From 8804cc94e3b66550797279f540b960d18a78421f Mon Sep 17 00:00:00 2001 From: Adrian Chadd Date: Fri, 8 Mar 2013 14:45:06 -0800 Subject: [PATCH] Initial cut of the open ath9k htc firmware. --- LICENCE.TXT | 21 + Makefile | 89 + NOTICE.TXT | 149 + build | 22 + .../build/include/xtensa/config/core-isa.h | 424 + .../build/include/xtensa/config/core-matmap.h | 301 + .../build/include/xtensa/config/specreg.h | 84 + .../build/include/xtensa/config/tie.h | 54 + .../build/k2_1_0/image/k2_ram/Makefile | 80 + .../image/k2_ram/builds_fusion_vista.ram | 45 + .../build/k2_1_0/image/k2_ram/rom.addrs.ld | 69 + .../build/k2_1_0/image/k2_ram/target.ram.ld | 264 + .../k2_1_0/image/k2_ram/target.ram_debug.ld | 264 + .../build/k2_1_0/lib/ram/dummy.txt | 0 .../build/k2_1_0/ram/adf/Makefile | 61 + .../build/k2_1_0/ram/adf/Makefile.ss | 48 + .../build/k2_1_0/ram/buf_pool/Makefile | 59 + .../build/k2_1_0/ram/buf_pool/Makefile.ss | 44 + .../build/k2_1_0/ram/carrier_apd/Makefile | 59 + .../build/k2_1_0/ram/carrier_apd/Makefile.ss | 53 + .../build/k2_1_0/ram/cmnos/Makefile | 57 + .../build/k2_1_0/ram/cmnos/Makefile.ss | 48 + .../build/k2_1_0/ram/hif/Makefile | 59 + .../build/k2_1_0/ram/hif/Makefile.ss | 45 + .../build/k2_1_0/ram/htc/Makefile | 60 + .../build/k2_1_0/ram/htc/Makefile.ss | 44 + .../build/k2_1_0/ram/init/Makefile | 60 + .../build/k2_1_0/ram/init/Makefile.ss | 46 + .../build/k2_1_0/ram/wlan/Makefile | 61 + .../build/k2_1_0/ram/wlan/Makefile.ss | 44 + .../build/k2_1_0/ram/wmi/Makefile | 59 + .../build/k2_1_0/ram/wmi/Makefile.ss | 44 + .../magpie_1_1/image/magpie_ram/Makefile | 83 + .../image/magpie_ram/merlin_fusion.ram | 39 + .../magpie_1_1/image/magpie_ram/target.ram.ld | 264 + .../image/magpie_ram/target_gmac.ram.ld | 267 + .../image/output/asic/rom.asic.addrs.ld | 74 + .../magpie_1_1/image/output/asic/rom.asic.bin | Bin 0 -> 36948 bytes .../magpie_1_1/image/output/asic/rom.asic.hex | 9238 ++ .../magpie_1_1/image/output/asic/rom.asic.map | 1715 + .../image/output/asic/rom.asic.objdump | 107884 +++++++++++++++ .../magpie_1_1/image/output/asic/rom.asic.out | Bin 0 -> 760281 bytes .../image/output/fpga/rom.fpga.addrs.ld | 62 + .../magpie_1_1/image/output/fpga/rom.fpga.bin | Bin 0 -> 36932 bytes .../magpie_1_1/image/output/fpga/rom.fpga.hex | 9234 ++ .../magpie_1_1/image/output/fpga/rom.fpga.map | 1715 + .../image/output/fpga/rom.fpga.objdump | 107694 ++++++++++++++ .../magpie_1_1/image/output/fpga/rom.fpga.out | Bin 0 -> 759749 bytes .../build/magpie_1_1/inc/Magpie_api.h | 226 + .../build/magpie_1_1/inc/adf_nbuf_pvt.h | 425 + .../build/magpie_1_1/inc/allocram_api.h | 51 + .../build/magpie_1_1/inc/athos_api.h | 188 + .../build/magpie_1_1/inc/buf_pool_api.h | 50 + .../build/magpie_1_1/inc/clock_api.h | 21 + .../build/magpie_1_1/inc/cmnos_api.h | 628 + .../build/magpie_1_1/inc/dma_engine_api.h | 92 + .../build/magpie_1_1/inc/dma_lib.h | 57 + .../build/magpie_1_1/inc/eeprom_api.h | 32 + .../build/magpie_1_1/inc/hif_api.h | 70 + .../build/magpie_1_1/inc/hif_gmac.h | 257 + .../build/magpie_1_1/inc/hif_pci.h | 49 + .../build/magpie_1_1/inc/hif_usb.h | 58 + .../build/magpie_1_1/inc/htc_api.h | 126 + .../build/magpie_1_1/inc/intr_api.h | 150 + .../build/magpie_1_1/inc/magpie/reg_defs.h | 251 + .../build/magpie_1_1/inc/magpie/rom_cfg.h | 190 + .../build/magpie_1_1/inc/magpie_mem.h | 109 + .../build/magpie_1_1/inc/magpie_regdump.h | 42 + .../build/magpie_1_1/inc/mem_api.h | 10 + .../build/magpie_1_1/inc/misc_api.h | 34 + .../build/magpie_1_1/inc/opt_ah.h | 8 + .../build/magpie_1_1/inc/printf_api.h | 15 + .../build/magpie_1_1/inc/regdump.h | 33 + .../build/magpie_1_1/inc/romp_api.h | 48 + .../build/magpie_1_1/inc/string_api.h | 11 + .../build/magpie_1_1/inc/sys_cfg.h | 67 + .../build/magpie_1_1/inc/tasklet_api.h | 28 + .../build/magpie_1_1/inc/timer_api.h | 23 + .../build/magpie_1_1/inc/uart_api.h | 309 + .../build/magpie_1_1/inc/usb_api.h | 52 + .../build/magpie_1_1/inc/usb_defs.h | 904 + .../build/magpie_1_1/inc/usb_extr.h | 34 + .../build/magpie_1_1/inc/usb_pre.h | 99 + .../build/magpie_1_1/inc/usb_std.h | 106 + .../build/magpie_1_1/inc/usb_table.h | 131 + .../build/magpie_1_1/inc/usb_type.h | 60 + .../build/magpie_1_1/inc/usbfifo_api.h | 43 + .../build/magpie_1_1/inc/vbuf_api.h | 46 + .../build/magpie_1_1/inc/vdesc_api.h | 46 + .../build/magpie_1_1/inc/wdt_api.h | 56 + .../build/magpie_1_1/lib/ram/dummy.txt | 0 .../build/magpie_1_1/lib/rom/dummy.txt | 0 .../build/magpie_1_1/lib/sboot/dummy.txt | 0 .../build/magpie_1_1/ram/adf/Makefile | 61 + .../build/magpie_1_1/ram/adf/Makefile.ss | 48 + .../build/magpie_1_1/ram/carrier_apd/Makefile | 61 + .../magpie_1_1/ram/carrier_apd/Makefile.ss | 53 + .../build/magpie_1_1/ram/cmnos/Makefile | 57 + .../build/magpie_1_1/ram/cmnos/Makefile.ss | 46 + .../build/magpie_1_1/ram/init/Makefile | 60 + .../build/magpie_1_1/ram/init/Makefile.ss | 47 + .../build/magpie_1_1/ram/rompatch/Makefile | 59 + .../build/magpie_1_1/ram/rompatch/Makefile.ss | 47 + .../build/magpie_1_1/ram/wlan/Makefile | 61 + .../build/magpie_1_1/ram/wlan/Makefile.ss | 45 + .../build/magpie_1_1/ram/wmi/Makefile | 59 + .../build/magpie_1_1/ram/wmi/Makefile.ss | 45 + .../build/magpie_1_1/sboot/adf/Makefile | 58 + .../build/magpie_1_1/sboot/adf/nbuf/Makefile | 59 + .../magpie_1_1/sboot/adf/nbuf/Makefile.ss | 44 + .../sboot/adf/nbuf/inc/adf_nbuf_pvt.h | 425 + .../build/magpie_1_1/sboot/athos/Makefile | 60 + .../build/magpie_1_1/sboot/athos/Makefile.ss | 44 + .../magpie_1_1/sboot/athos/src/_vectors.o | Bin 0 -> 1638 bytes .../magpie_1_1/sboot/athos/src/athos_main.c | 753 + .../magpie_1_1/sboot/athos/src/crt1-tiny.o | Bin 0 -> 3352 bytes .../sboot/athos/src/libhandlers-board.a | Bin 0 -> 137614 bytes .../magpie_1_1/sboot/athos/src/xtos/Makefile | 232 + .../sboot/athos/src/xtos/Makefile.src | 256 + .../athos/src/xtos/_sharedvectors-for-reset.S | 40 + .../sboot/athos/src/xtos/_sharedvectors.S | 37 + .../sboot/athos/src/xtos/_vectors.S | 94 + .../sboot/athos/src/xtos/checkvecsize | 71 + .../sboot/athos/src/xtos/crt0-app.S | 165 + .../sboot/athos/src/xtos/crt1-boards.S | 237 + .../sboot/athos/src/xtos/crt1-sim.S | 246 + .../sboot/athos/src/xtos/crt1-tiny.S | 122 + .../sboot/athos/src/xtos/debug-vector.S | 65 + .../sboot/athos/src/xtos/deprecated.S | 120 + .../sboot/athos/src/xtos/double-vector.S | 98 + .../sboot/athos/src/xtos/exc-alloca-handler.S | 273 + .../athos/src/xtos/exc-c-wrapper-handler.S | 400 + .../sboot/athos/src/xtos/exc-return.S | 123 + .../sboot/athos/src/xtos/exc-sethandler.c | 66 + .../athos/src/xtos/exc-syscall-c-handler.c | 101 + .../athos/src/xtos/exc-syscall-handler.S | 190 + .../sboot/athos/src/xtos/exc-table.S | 62 + .../sboot/athos/src/xtos/exc-unhandled.S | 84 + .../magpie_1_1/sboot/athos/src/xtos/exit.S | 72 + .../magpie_1_1/sboot/athos/src/xtos/init.c | 64 + .../sboot/athos/src/xtos/int-handler.S | 59 + .../athos/src/xtos/int-highpri-dispatcher.S | 464 + .../athos/src/xtos/int-highpri-template.S | 154 + .../sboot/athos/src/xtos/int-initlevel.S | 59 + .../athos/src/xtos/int-lowpri-dispatcher.S | 787 + .../athos/src/xtos/int-medpri-dispatcher.S | 254 + .../sboot/athos/src/xtos/int-sethandler.c | 116 + .../sboot/athos/src/xtos/int-vector.S | 68 + .../sboot/athos/src/xtos/interrupt-pri.h | 178 + .../sboot/athos/src/xtos/interrupt-table.S | 134 + .../sboot/athos/src/xtos/intlevel-restore.S | 92 + .../sboot/athos/src/xtos/intlevel-set.S | 79 + .../sboot/athos/src/xtos/intlevel-setmin.S | 85 + .../sboot/athos/src/xtos/ints-off.S | 74 + .../magpie_1_1/sboot/athos/src/xtos/ints-on.S | 75 + .../sboot/athos/src/xtos/kernel-vector.S | 72 + .../sboot/athos/src/xtos/memep-enable.S | 63 + .../sboot/athos/src/xtos/memep-initrams.S | 91 + .../sboot/athos/src/xtos/memerror-vector.S | 482 + .../sboot/athos/src/xtos/nmi-vector.S | 61 + .../sboot/athos/src/xtos/null-alloca.S | 38 + .../sboot/athos/src/xtos/null-syscall.S | 38 + .../sboot/athos/src/xtos/null-vectors.S | 184 + .../sboot/athos/src/xtos/reloc-vectors.S | 119 + .../sboot/athos/src/xtos/reset-unneeded.S | 156 + .../sboot/athos/src/xtos/reset-vector.S | 434 + .../athos/src/xtos/shared-reset-vector.S | 64 + .../sboot/athos/src/xtos/switch_context.S | 94 + .../magpie_1_1/sboot/athos/src/xtos/textaddr | 59 + .../sboot/athos/src/xtos/tiny-refs-min.S | 28 + .../sboot/athos/src/xtos/tiny-refs.S | 33 + .../sboot/athos/src/xtos/user-vector-min.S | 105 + .../sboot/athos/src/xtos/user-vector.S | 180 + .../sboot/athos/src/xtos/window-vectors.S | 358 + .../sboot/athos/src/xtos/xtos-internal.h | 401 + .../sboot/athos/src/xtos/xtos-params.h | 123 + .../build/magpie_1_1/sboot/buf_pool/Makefile | 60 + .../magpie_1_1/sboot/buf_pool/Makefile.ss | 44 + .../sboot/buf_pool/inc/buf_pool_api.h | 50 + .../sboot/buf_pool/src/buf_pool_static.c | 128 + .../sboot/buf_pool/src/buf_pool_static.h | 24 + .../build/magpie_1_1/sboot/cmnos/Makefile | 62 + .../magpie_1_1/sboot/cmnos/allocram/Makefile | 60 + .../sboot/cmnos/allocram/Makefile.ss | 44 + .../sboot/cmnos/allocram/inc/allocram_api.h | 51 + .../sboot/cmnos/allocram/src/cmnos_allocram.c | 106 + .../magpie_1_1/sboot/cmnos/clock/Makefile | 61 + .../magpie_1_1/sboot/cmnos/clock/Makefile.ss | 45 + .../sboot/cmnos/clock/inc/clock_api.h | 21 + .../sboot/cmnos/clock/src/cmnos_clock.c | 290 + .../magpie_1_1/sboot/cmnos/eeprom/Makefile | 61 + .../magpie_1_1/sboot/cmnos/eeprom/Makefile.ss | 45 + .../sboot/cmnos/eeprom/inc/eeprom_api.h | 32 + .../sboot/cmnos/eeprom/src/cmnos_eeprom.c | 422 + .../magpie_1_1/sboot/cmnos/inc/cmnos_api.h | 638 + .../magpie_1_1/sboot/cmnos/intr/Makefile | 60 + .../magpie_1_1/sboot/cmnos/intr/Makefile.ss | 44 + .../sboot/cmnos/intr/inc/intr_api.h | 150 + .../sboot/cmnos/intr/src/cmnos_intr.c | 150 + .../build/magpie_1_1/sboot/cmnos/mem/Makefile | 61 + .../magpie_1_1/sboot/cmnos/mem/Makefile.ss | 45 + .../magpie_1_1/sboot/cmnos/mem/inc/mem_api.h | 10 + .../sboot/cmnos/mem/src/cmnos_mem.c | 30 + .../magpie_1_1/sboot/cmnos/misc/Makefile | 62 + .../magpie_1_1/sboot/cmnos/misc/Makefile.ss | 45 + .../sboot/cmnos/misc/inc/misc_api.h | 34 + .../sboot/cmnos/misc/src/cmnos_misc.c | 248 + .../magpie_1_1/sboot/cmnos/printf/Makefile | 61 + .../magpie_1_1/sboot/cmnos/printf/Makefile.ss | 45 + .../sboot/cmnos/printf/inc/printf_api.h | 15 + .../sboot/cmnos/printf/src/cmnos_printf.c | 322 + .../magpie_1_1/sboot/cmnos/rompatch/Makefile | 61 + .../sboot/cmnos/rompatch/Makefile.ss | 45 + .../sboot/cmnos/rompatch/inc/romp_api.h | 48 + .../sboot/cmnos/rompatch/src/cmnos_rompatch.c | 249 + .../magpie_1_1/sboot/cmnos/sflash/Makefile | 61 + .../magpie_1_1/sboot/cmnos/sflash/Makefile.ss | 45 + .../sboot/cmnos/sflash/inc/sflash_api.h | 24 + .../sboot/cmnos/sflash/src/cmnos_sflash.c | 600 + .../magpie_1_1/sboot/cmnos/string/Makefile | 61 + .../magpie_1_1/sboot/cmnos/string/Makefile.ss | 45 + .../sboot/cmnos/string/inc/string_api.h | 11 + .../sboot/cmnos/string/src/cmnos_string.c | 25 + .../magpie_1_1/sboot/cmnos/tasklet/Makefile | 61 + .../sboot/cmnos/tasklet/Makefile.ss | 204 + .../sboot/cmnos/tasklet/inc/tasklet_api.h | 28 + .../sboot/cmnos/tasklet/src/cmnos_tasklet.c | 136 + .../magpie_1_1/sboot/cmnos/timer/Makefile | 61 + .../magpie_1_1/sboot/cmnos/timer/Makefile.ss | 46 + .../sboot/cmnos/timer/inc/timer_api.h | 23 + .../sboot/cmnos/timer/src/cmnos_timer.c | 178 + .../magpie_1_1/sboot/cmnos/uart/Makefile | 61 + .../magpie_1_1/sboot/cmnos/uart/Makefile.ss | 45 + .../sboot/cmnos/uart/inc/uart_api.h | 309 + .../sboot/cmnos/uart/src/uart_api.c | 314 + .../build/magpie_1_1/sboot/cmnos/wdt/Makefile | 61 + .../magpie_1_1/sboot/cmnos/wdt/Makefile.ss | 45 + .../magpie_1_1/sboot/cmnos/wdt/inc/wdt_api.h | 56 + .../sboot/cmnos/wdt/src/cmnos_wdt.c | 170 + .../magpie_1_1/sboot/dma_engine/Makefile | 61 + .../magpie_1_1/sboot/dma_engine/Makefile.ss | 45 + .../sboot/dma_engine/inc/dma_engine_api.h | 92 + .../magpie_1_1/sboot/dma_engine/src/desc.c | 236 + .../magpie_1_1/sboot/dma_engine/src/desc.h | 144 + .../sboot/dma_engine/src/dma_engine.c | 386 + .../build/magpie_1_1/sboot/fwd/Makefile | 60 + .../build/magpie_1_1/sboot/fwd/Makefile.ss | 44 + .../build/magpie_1_1/sboot/fwd/fwd.c | 133 + .../build/magpie_1_1/sboot/fwd/fwd.h | 42 + .../build/magpie_1_1/sboot/hif/Makefile | 63 + .../magpie_1_1/sboot/hif/dma_lib/Makefile | 51 + .../magpie_1_1/sboot/hif/dma_lib/Makefile.ss | 45 + .../magpie_1_1/sboot/hif/dma_lib/dma_lib.c | 421 + .../build/magpie_1_1/sboot/hif/gmac/Makefile | 51 + .../magpie_1_1/sboot/hif/gmac/Makefile.ss | 44 + .../magpie_1_1/sboot/hif/gmac/hif_gmac.c | 1103 + .../build/magpie_1_1/sboot/hif/inc/dma_lib.h | 57 + .../build/magpie_1_1/sboot/hif/inc/hif_api.h | 70 + .../build/magpie_1_1/sboot/hif/inc/hif_gmac.h | 35 + .../build/magpie_1_1/sboot/hif/inc/hif_pci.h | 34 + .../build/magpie_1_1/sboot/hif/inc/hif_usb.h | 58 + .../build/magpie_1_1/sboot/hif/inc/usb_api.h | 52 + .../magpie_1_1/sboot/hif/inc/usbfifo_api.h | 43 + .../build/magpie_1_1/sboot/hif/pci/Makefile | 51 + .../magpie_1_1/sboot/hif/pci/Makefile.ss | 44 + .../build/magpie_1_1/sboot/hif/pci/hif_pci.c | 545 + .../build/magpie_1_1/sboot/hif/usb/Makefile | 51 + .../magpie_1_1/sboot/hif/usb/Makefile.ss | 47 + .../magpie_1_1/sboot/hif/usb/src/HIF_usb.c | 645 + .../magpie_1_1/sboot/hif/usb/src/usb_api.c | 2499 + .../magpie_1_1/sboot/hif/usb/src/usb_defs.h | 904 + .../magpie_1_1/sboot/hif/usb/src/usb_extr.h | 34 + .../magpie_1_1/sboot/hif/usb/src/usb_fifo.c | 435 + .../magpie_1_1/sboot/hif/usb/src/usb_pre.h | 99 + .../magpie_1_1/sboot/hif/usb/src/usb_std.h | 106 + .../magpie_1_1/sboot/hif/usb/src/usb_table.c | 244 + .../magpie_1_1/sboot/hif/usb/src/usb_table.h | 131 + .../magpie_1_1/sboot/hif/usb/src/usb_type.h | 60 + .../build/magpie_1_1/sboot/htc/Makefile | 60 + .../build/magpie_1_1/sboot/htc/Makefile.ss | 45 + .../build/magpie_1_1/sboot/htc/inc/htc_api.h | 126 + .../build/magpie_1_1/sboot/htc/src/htc.c | 1009 + .../magpie_1_1/sboot/htc/src/htc_internal.h | 97 + .../build/magpie_1_1/sboot/inc/Magpie_api.h | 201 + .../build/magpie_1_1/sboot/inc/athos_api.h | 188 + .../magpie_1_1/sboot/inc/magpie/reg_defs.h | 251 + .../magpie_1_1/sboot/inc/magpie/rom_cfg.h | 190 + .../build/magpie_1_1/sboot/inc/magpie_mem.h | 109 + .../magpie_1_1/sboot/inc/magpie_regdump.h | 42 + .../build/magpie_1_1/sboot/inc/opt_ah.h | 8 + .../build/magpie_1_1/sboot/inc/regdump.h | 33 + .../build/magpie_1_1/sboot/inc/sys_cfg.h | 67 + .../build/magpie_1_1/sboot/vbuf/Makefile | 60 + .../build/magpie_1_1/sboot/vbuf/Makefile.ss | 45 + .../magpie_1_1/sboot/vbuf/inc/vbuf_api.h | 46 + .../build/magpie_1_1/sboot/vbuf/src/vbuf.c | 94 + .../build/magpie_1_1/sboot/vbuf/src/vbuf.h | 25 + .../build/magpie_1_1/sboot/vdesc/Makefile | 60 + .../build/magpie_1_1/sboot/vdesc/Makefile.ss | 44 + .../magpie_1_1/sboot/vdesc/inc/vdesc_api.h | 46 + .../build/magpie_1_1/sboot/vdesc/src/vdesc.c | 218 + .../build/magpie_1_1/sboot/vdesc/src/vdesc.h | 24 + .../magpie_fw_dev/build/make_opt/Makefile.h | 48 + .../magpie_fw_dev/build/make_opt/Rules.make | 91 + .../build/make_opt/RulesCPP.make | 107 + .../build/make_opt/lib/_vectors.o | Bin 0 -> 1638 bytes .../build/make_opt/lib/crt1-tiny.o | Bin 0 -> 2760 bytes .../build/make_opt/lib/libhandlers-board.a | Bin 0 -> 155924 bytes .../magpie_fw_dev/build/utility/Makefile | 7 + .../build/utility/adjust_dep/Makefile | 13 + .../build/utility/adjust_dep/adj_dep.c | 42 + .../build/utility/adjust_time/Makefile | 10 + .../build/utility/adjust_time/adj_time.c | 39 + .../build/utility/athfw2lnx/Makefile | 11 + .../build/utility/athfw2lnx/athfw2lnx.c | 14 + .../magpie_fw_dev/build/utility/bin/adj_dep | Bin 0 -> 7994 bytes .../magpie_fw_dev/build/utility/bin/adj_time | Bin 0 -> 7400 bytes .../magpie_fw_dev/build/utility/bin/imghdr | Bin 0 -> 9638 bytes .../magpie_fw_dev/build/utility/bin/patch_gen | Bin 0 -> 10862 bytes .../magpie_fw_dev/build/utility/bin2hex.pl | 168 + .../build/utility/bin2hex/Makefile | 12 + .../build/utility/bin2hex/bin2hex | Bin 0 -> 6956 bytes .../build/utility/bin2hex/bin2hex.c | 192 + .../build/utility/bin2hex/bin2hex_swp.c | 235 + .../build/utility/imghdr/Makefile | 10 + .../build/utility/imghdr/imghdr.c | 177 + .../build/utility/patch_gen/Makefile | 13 + .../build/utility/patch_gen/dt_defs.h | 47 + .../build/utility/patch_gen/main.c | 102 + .../build/utility/patch_gen/patch.c | 164 + .../build/utility/patch_gen/patch.h | 16 + .../magpie_fw_dev/build/utility/sh/make_fw.sh | 58 + .../magpie_fw_dev/build/utility/sh/make_ld.sh | 66 + .../magpie_fw_dev/target/adf/adf_nbuf.c | 692 + .../magpie_fw_dev/target/adf/adf_nbuf_pvt.h | 232 + .../magpie_fw_dev/target/adf/adf_net.c | 39 + .../magpie_fw_dev/target/adf/adf_net_pvt.h | 111 + .../target/adf/adf_os_atomic_pvt.h | 50 + .../target/adf/adf_os_defer_pvt.c | 11 + .../target/adf/adf_os_defer_pvt.h | 89 + .../magpie_fw_dev/target/adf/adf_os_dma.c | 47 + .../magpie_fw_dev/target/adf/adf_os_dma_pvt.h | 42 + .../magpie_fw_dev/target/adf/adf_os_io_pvt.h | 69 + .../magpie_fw_dev/target/adf/adf_os_irq_pvt.c | 35 + .../magpie_fw_dev/target/adf/adf_os_irq_pvt.h | 7 + .../target/adf/adf_os_lock_pvt.h | 51 + .../magpie_fw_dev/target/adf/adf_os_mem_pvt.h | 48 + .../target/adf/adf_os_module_pvt.h | 44 + .../magpie_fw_dev/target/adf/adf_os_pci_pvt.h | 86 + .../target/adf/adf_os_time_pvt.h | 47 + .../magpie_fw_dev/target/adf/adf_os_timer.c | 11 + .../target/adf/adf_os_timer_pvt.h | 73 + .../target/adf/adf_os_types_pvt.h | 146 + .../target/adf/adf_os_util_pvt.h | 66 + .../target/buf_pool/buf_pool_api.h | 50 + .../target/buf_pool/buf_pool_dynamic.c | 97 + .../target/buf_pool/buf_pool_static.c | 128 + .../target/buf_pool/buf_pool_static.h | 24 + .../magpie_fw_dev/target/cmnos/clock_api.h | 21 + .../magpie_fw_dev/target/cmnos/cmnos_api.h | 638 + .../magpie_fw_dev/target/cmnos/cmnos_clock.c | 290 + .../magpie_fw_dev/target/cmnos/cmnos_printf.c | 322 + .../magpie_fw_dev/target/cmnos/cmnos_sflash.c | 598 + .../magpie_fw_dev/target/cmnos/dbg_api.c | 1159 + .../magpie_fw_dev/target/cmnos/dbg_api.h | 43 + .../target/cmnos/k2_cmnos_clock_patch.c | 60 + .../target/cmnos/k2_fw_cmnos_printf.c | 316 + .../magpie_fw_dev/target/cmnos/printf_api.h | 15 + .../magpie_fw_dev/target/cmnos/sflash_api.h | 24 + .../target/hif/k2_HIF_usb_patch.c | 37 + .../magpie_fw_dev/target/hif/k2_fw_usb_api.c | 744 + .../magpie_fw_dev/target/htc/htc.c | 821 + .../magpie_fw_dev/target/htc/htc_api.h | 117 + .../magpie_fw_dev/target/htc/htc_internal.h | 94 + .../magpie_fw_dev/target/htc/htc_tgt.c | 42 + .../magpie_fw_dev/target/inc/OTUS/OTUS_htc.h | 88 + .../magpie_fw_dev/target/inc/OTUS/OTUS_misc.h | 70 + .../magpie_fw_dev/target/inc/OTUS/OTUS_soc.h | 225 + .../magpie_fw_dev/target/inc/adf_nbuf.h | 645 + .../magpie_fw_dev/target/inc/adf_net.h | 445 + .../magpie_fw_dev/target/inc/adf_net_sw.h | 108 + .../magpie_fw_dev/target/inc/adf_net_types.h | 341 + .../magpie_fw_dev/target/inc/adf_net_wcmd.h | 1489 + .../magpie_fw_dev/target/inc/adf_os_atomic.h | 61 + .../magpie_fw_dev/target/inc/adf_os_bitops.h | 199 + .../magpie_fw_dev/target/inc/adf_os_crypto.h | 76 + .../magpie_fw_dev/target/inc/adf_os_defer.h | 126 + .../magpie_fw_dev/target/inc/adf_os_dma.h | 94 + .../magpie_fw_dev/target/inc/adf_os_io.h | 169 + .../magpie_fw_dev/target/inc/adf_os_irq.h | 19 + .../magpie_fw_dev/target/inc/adf_os_lock.h | 134 + .../magpie_fw_dev/target/inc/adf_os_mem.h | 107 + .../magpie_fw_dev/target/inc/adf_os_module.h | 101 + .../magpie_fw_dev/target/inc/adf_os_pci.h | 118 + .../magpie_fw_dev/target/inc/adf_os_pseudo.h | 31 + .../target/inc/adf_os_stdtypes.h | 33 + .../magpie_fw_dev/target/inc/adf_os_time.h | 95 + .../magpie_fw_dev/target/inc/adf_os_timer.h | 64 + .../magpie_fw_dev/target/inc/adf_os_types.h | 300 + .../magpie_fw_dev/target/inc/adf_os_util.h | 62 + .../magpie_fw_dev/target/inc/asf_bitmap.h | 70 + .../magpie_fw_dev/target/inc/asf_queue.h | 571 + .../magpie_fw_dev/target/inc/asf_sm.h | 46 + .../magpie_fw_dev/target/inc/dt_defs.h | 92 + .../magpie_fw_dev/target/inc/k2/Magpie_api.h | 194 + .../target/inc/k2/allocram_api.h | 51 + .../magpie_fw_dev/target/inc/k2/athos_api.h | 99 + .../magpie_fw_dev/target/inc/k2/clock_api.h | 29 + .../magpie_fw_dev/target/inc/k2/cmnos_api.h | 630 + .../target/inc/k2/dma_engine_api.h | 92 + .../magpie_fw_dev/target/inc/k2/dma_lib.h | 57 + .../magpie_fw_dev/target/inc/k2/eeprom_api.h | 27 + .../magpie_fw_dev/target/inc/k2/hif_api.h | 70 + .../magpie_fw_dev/target/inc/k2/hif_gmac.h | 35 + .../magpie_fw_dev/target/inc/k2/hif_pci.h | 33 + .../magpie_fw_dev/target/inc/k2/hif_usb.h | 54 + .../magpie_fw_dev/target/inc/k2/intr_api.h | 144 + .../magpie_fw_dev/target/inc/k2/k2/reg_defs.h | 209 + .../magpie_fw_dev/target/inc/k2/k2/rom_cfg.h | 195 + .../magpie_fw_dev/target/inc/k2/k2_mem.h | 104 + .../magpie_fw_dev/target/inc/k2/magpie_mem.h | 107 + .../target/inc/k2/magpie_regdump.h | 42 + .../magpie_fw_dev/target/inc/k2/mem_api.h | 12 + .../magpie_fw_dev/target/inc/k2/misc_api.h | 35 + .../magpie_fw_dev/target/inc/k2/opt_ah.h | 8 + .../magpie_fw_dev/target/inc/k2/printf_api.h | 13 + .../magpie_fw_dev/target/inc/k2/regdump.h | 32 + .../magpie_fw_dev/target/inc/k2/romp_api.h | 48 + .../magpie_fw_dev/target/inc/k2/string_api.h | 13 + .../magpie_fw_dev/target/inc/k2/sys_cfg.h | 69 + .../magpie_fw_dev/target/inc/k2/tasklet_api.h | 26 + .../magpie_fw_dev/target/inc/k2/timer_api.h | 23 + .../magpie_fw_dev/target/inc/k2/uart_api.h | 304 + .../magpie_fw_dev/target/inc/k2/usb_api.h | 52 + .../magpie_fw_dev/target/inc/k2/usb_defs.h | 904 + .../magpie_fw_dev/target/inc/k2/usb_extr.h | 34 + .../magpie_fw_dev/target/inc/k2/usb_pre.h | 99 + .../magpie_fw_dev/target/inc/k2/usb_std.h | 106 + .../magpie_fw_dev/target/inc/k2/usb_table.h | 138 + .../magpie_fw_dev/target/inc/k2/usb_type.h | 60 + .../magpie_fw_dev/target/inc/k2/usbfifo_api.h | 43 + .../magpie_fw_dev/target/inc/k2/vbuf_api.h | 44 + .../magpie_fw_dev/target/inc/k2/vdesc_api.h | 43 + .../magpie_fw_dev/target/inc/k2/wdt_api.h | 51 + .../magpie_fw_dev/target/inc/osapi.h | 201 + .../magpie_fw_dev/target/init/app_start.c | 276 + .../magpie_fw_dev/target/init/init.c | 365 + .../magpie_fw_dev/target/init/init.h | 37 + .../magpie_fw_dev/target/init/magpie.c | 143 + .../target/rompatch/HIF_usb_patch.c | 70 + .../target/rompatch/cmnos_clock_patch.c | 55 + .../target/rompatch/usb_api_patch.c | 844 + .../magpie_fw_dev/target/wlan/wlan_pci.c | 131 + .../magpie_fw_dev/target/wlan/wlan_pci.h | 27 + .../magpie_fw_dev/target/wmi/wmi_internal.h | 65 + .../magpie_fw_dev/target/wmi/wmi_svc.c | 268 + .../magpie_fw_dev/target/wmi/wmi_svc_api.h | 106 + target_firmware/wlan/_ieee80211.h | 96 + target_firmware/wlan/ah.c | 195 + target_firmware/wlan/ah.h | 457 + target_firmware/wlan/ah_desc.h | 144 + target_firmware/wlan/ah_internal.h | 238 + target_firmware/wlan/ah_osdep.c | 130 + target_firmware/wlan/ah_osdep.h | 191 + target_firmware/wlan/ar5416.h | 83 + target_firmware/wlan/ar5416Phy.c | 229 + target_firmware/wlan/ar5416_hw.c | 1114 + target_firmware/wlan/ar5416_phy.c | 295 + target_firmware/wlan/ar5416desc.h | 465 + target_firmware/wlan/ar5416phy.h | 425 + target_firmware/wlan/ar5416reg.h | 1561 + target_firmware/wlan/ieee80211.h | 1248 + target_firmware/wlan/ieee80211_linux.h | 9 + target_firmware/wlan/ieee80211_node.h | 27 + target_firmware/wlan/ieee80211_output.c | 103 + target_firmware/wlan/ieee80211_proto.h | 22 + target_firmware/wlan/ieee80211_var.h | 209 + target_firmware/wlan/if_ath.c | 1936 + target_firmware/wlan/if_ath_pci.c | 208 + target_firmware/wlan/if_ath_pci.h | 46 + target_firmware/wlan/if_athrate.h | 117 + target_firmware/wlan/if_athvar.h | 530 + target_firmware/wlan/if_ethersubr.h | 79 + target_firmware/wlan/if_llc.h | 198 + target_firmware/wlan/if_owl.c | 2164 + target_firmware/wlan/include/athdefs.h | 84 + target_firmware/wlan/include/htc.h | 226 + target_firmware/wlan/include/htc_services.h | 42 + target_firmware/wlan/include/k2/wlan_cfg.h | 56 + .../wlan/include/magpie/wlan_cfg.h | 41 + target_firmware/wlan/include/wlan_hdr.h | 202 + target_firmware/wlan/include/wmi.h | 269 + target_firmware/wlan/queue.h | 567 + target_firmware/wlan/ratectrl.h | 262 + target_firmware/wlan/ratectrl11n.h | 176 + target_firmware/wlan/ratectrl_11n_ln.c | 1252 + .../xtensa-elf/include/xtensa/config/core.h | 1222 + .../inst/xtensa-elf/include/xtensa/corebits.h | 149 + .../inst/xtensa-elf/include/xtensa/hal.h | 895 + .../xtensa-elf/include/xtensa/xtruntime.h | 169 + 500 files changed, 316949 insertions(+) create mode 100644 LICENCE.TXT create mode 100644 Makefile create mode 100644 NOTICE.TXT create mode 100755 build create mode 100644 target_firmware/magpie_fw_dev/build/include/xtensa/config/core-isa.h create mode 100644 target_firmware/magpie_fw_dev/build/include/xtensa/config/core-matmap.h create mode 100644 target_firmware/magpie_fw_dev/build/include/xtensa/config/specreg.h create mode 100644 target_firmware/magpie_fw_dev/build/include/xtensa/config/tie.h create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/builds_fusion_vista.ram create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/rom.addrs.ld create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/target.ram.ld create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/target.ram_debug.ld create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/lib/ram/dummy.txt create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/adf/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/adf/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/buf_pool/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/buf_pool/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/carrier_apd/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/carrier_apd/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/cmnos/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/cmnos/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/hif/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/hif/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/htc/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/htc/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/init/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/init/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/wlan/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/wlan/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/wmi/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/k2_1_0/ram/wmi/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/merlin_fusion.ram create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/target.ram.ld create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/target_gmac.ram.ld create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.addrs.ld create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.bin create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.hex create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.map create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.objdump create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.out create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.addrs.ld create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.bin create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.hex create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.map create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.objdump create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.out create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/Magpie_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/adf_nbuf_pvt.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/allocram_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/athos_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/buf_pool_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/clock_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/cmnos_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/dma_engine_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/dma_lib.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/eeprom_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_gmac.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_pci.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_usb.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/htc_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/intr_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/magpie/reg_defs.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/magpie/rom_cfg.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/magpie_mem.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/magpie_regdump.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/mem_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/misc_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/opt_ah.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/printf_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/regdump.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/romp_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/string_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/sys_cfg.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/tasklet_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/timer_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/uart_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_defs.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_extr.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_pre.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_std.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_table.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_type.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usbfifo_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/vbuf_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/vdesc_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/inc/wdt_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/lib/ram/dummy.txt create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/lib/rom/dummy.txt create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/lib/sboot/dummy.txt create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/adf/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/adf/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/carrier_apd/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/carrier_apd/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/cmnos/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/cmnos/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/init/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/init/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/rompatch/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/rompatch/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wlan/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wlan/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wmi/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wmi/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/inc/adf_nbuf_pvt.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/_vectors.o create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/athos_main.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/crt1-tiny.o create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/libhandlers-board.a create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/Makefile create mode 100644 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/Makefile.src create mode 100644 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_sharedvectors-for-reset.S create mode 100644 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_sharedvectors.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_vectors.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/checkvecsize create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt0-app.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-boards.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-sim.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-tiny.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/debug-vector.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/deprecated.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/double-vector.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-alloca-handler.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-c-wrapper-handler.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-return.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-sethandler.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-syscall-c-handler.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-syscall-handler.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-table.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-unhandled.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exit.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/init.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-handler.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-highpri-dispatcher.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-highpri-template.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-initlevel.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-lowpri-dispatcher.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-medpri-dispatcher.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-sethandler.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-vector.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/interrupt-pri.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/interrupt-table.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-restore.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-set.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-setmin.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/ints-off.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/ints-on.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/kernel-vector.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memep-enable.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memep-initrams.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memerror-vector.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/nmi-vector.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-alloca.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-syscall.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-vectors.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reloc-vectors.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reset-unneeded.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reset-vector.S create mode 100644 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/shared-reset-vector.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/switch_context.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/textaddr create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/tiny-refs-min.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/tiny-refs.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/user-vector-min.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/user-vector.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/window-vectors.S create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/xtos-internal.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/xtos-params.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/inc/buf_pool_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/src/buf_pool_static.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/src/buf_pool_static.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/inc/allocram_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/src/cmnos_allocram.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/inc/clock_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/src/cmnos_clock.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/inc/eeprom_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/src/cmnos_eeprom.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/inc/cmnos_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/inc/intr_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/src/cmnos_intr.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/inc/mem_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/src/cmnos_mem.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/inc/misc_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/src/cmnos_misc.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/inc/printf_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/src/cmnos_printf.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/inc/romp_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/src/cmnos_rompatch.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/inc/sflash_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/src/cmnos_sflash.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/inc/string_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/src/cmnos_string.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/inc/tasklet_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/src/cmnos_tasklet.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/inc/timer_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/src/cmnos_timer.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/inc/uart_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/src/uart_api.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/inc/wdt_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/src/cmnos_wdt.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/inc/dma_engine_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/desc.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/desc.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/dma_engine.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/fwd.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/fwd.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/dma_lib.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/hif_gmac.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/dma_lib.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_gmac.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_pci.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_usb.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/usb_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/usbfifo_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/hif_pci.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/HIF_usb.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_api.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_defs.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_extr.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_fifo.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_pre.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_std.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_table.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_table.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_type.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/inc/htc_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/src/htc.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/src/htc_internal.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/Magpie_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/athos_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie/reg_defs.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie/rom_cfg.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie_mem.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie_regdump.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/opt_ah.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/regdump.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/sys_cfg.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/inc/vbuf_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/src/vbuf.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/src/vbuf.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/Makefile.ss create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/inc/vdesc_api.h create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/src/vdesc.c create mode 100755 target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/src/vdesc.h create mode 100755 target_firmware/magpie_fw_dev/build/make_opt/Makefile.h create mode 100755 target_firmware/magpie_fw_dev/build/make_opt/Rules.make create mode 100755 target_firmware/magpie_fw_dev/build/make_opt/RulesCPP.make create mode 100755 target_firmware/magpie_fw_dev/build/make_opt/lib/_vectors.o create mode 100755 target_firmware/magpie_fw_dev/build/make_opt/lib/crt1-tiny.o create mode 100755 target_firmware/magpie_fw_dev/build/make_opt/lib/libhandlers-board.a create mode 100755 target_firmware/magpie_fw_dev/build/utility/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/utility/adjust_dep/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/utility/adjust_dep/adj_dep.c create mode 100755 target_firmware/magpie_fw_dev/build/utility/adjust_time/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/utility/adjust_time/adj_time.c create mode 100644 target_firmware/magpie_fw_dev/build/utility/athfw2lnx/Makefile create mode 100644 target_firmware/magpie_fw_dev/build/utility/athfw2lnx/athfw2lnx.c create mode 100755 target_firmware/magpie_fw_dev/build/utility/bin/adj_dep create mode 100755 target_firmware/magpie_fw_dev/build/utility/bin/adj_time create mode 100755 target_firmware/magpie_fw_dev/build/utility/bin/imghdr create mode 100755 target_firmware/magpie_fw_dev/build/utility/bin/patch_gen create mode 100755 target_firmware/magpie_fw_dev/build/utility/bin2hex.pl create mode 100755 target_firmware/magpie_fw_dev/build/utility/bin2hex/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/utility/bin2hex/bin2hex create mode 100755 target_firmware/magpie_fw_dev/build/utility/bin2hex/bin2hex.c create mode 100755 target_firmware/magpie_fw_dev/build/utility/bin2hex/bin2hex_swp.c create mode 100755 target_firmware/magpie_fw_dev/build/utility/imghdr/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/utility/imghdr/imghdr.c create mode 100755 target_firmware/magpie_fw_dev/build/utility/patch_gen/Makefile create mode 100755 target_firmware/magpie_fw_dev/build/utility/patch_gen/dt_defs.h create mode 100755 target_firmware/magpie_fw_dev/build/utility/patch_gen/main.c create mode 100755 target_firmware/magpie_fw_dev/build/utility/patch_gen/patch.c create mode 100755 target_firmware/magpie_fw_dev/build/utility/patch_gen/patch.h create mode 100755 target_firmware/magpie_fw_dev/build/utility/sh/make_fw.sh create mode 100755 target_firmware/magpie_fw_dev/build/utility/sh/make_ld.sh create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_nbuf.c create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_nbuf_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_net.c create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_net_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_atomic_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_defer_pvt.c create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_defer_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_dma.c create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_dma_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_io_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_irq_pvt.c create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_irq_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_lock_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_mem_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_module_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_pci_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_time_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_timer.c create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_timer_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_types_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/adf/adf_os_util_pvt.h create mode 100755 target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_api.h create mode 100755 target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_dynamic.c create mode 100755 target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_static.c create mode 100755 target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_static.h create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/clock_api.h create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/cmnos_api.h create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/cmnos_clock.c create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/cmnos_printf.c create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/cmnos_sflash.c create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/dbg_api.c create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/dbg_api.h create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/k2_cmnos_clock_patch.c create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/k2_fw_cmnos_printf.c create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/printf_api.h create mode 100755 target_firmware/magpie_fw_dev/target/cmnos/sflash_api.h create mode 100755 target_firmware/magpie_fw_dev/target/hif/k2_HIF_usb_patch.c create mode 100755 target_firmware/magpie_fw_dev/target/hif/k2_fw_usb_api.c create mode 100755 target_firmware/magpie_fw_dev/target/htc/htc.c create mode 100755 target_firmware/magpie_fw_dev/target/htc/htc_api.h create mode 100755 target_firmware/magpie_fw_dev/target/htc/htc_internal.h create mode 100755 target_firmware/magpie_fw_dev/target/htc/htc_tgt.c create mode 100755 target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_htc.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_misc.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_soc.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_nbuf.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_net.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_net_sw.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_net_types.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_net_wcmd.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_atomic.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_bitops.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_crypto.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_defer.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_dma.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_io.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_irq.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_lock.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_mem.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_module.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_pci.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_pseudo.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_stdtypes.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_time.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_timer.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_types.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/adf_os_util.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/asf_bitmap.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/asf_queue.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/asf_sm.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/dt_defs.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/Magpie_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/allocram_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/athos_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/clock_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/cmnos_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/dma_engine_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/dma_lib.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/eeprom_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/hif_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/hif_gmac.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/hif_pci.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/hif_usb.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/intr_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/k2/reg_defs.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/k2/rom_cfg.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/k2_mem.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/magpie_mem.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/magpie_regdump.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/mem_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/misc_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/opt_ah.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/printf_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/regdump.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/romp_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/string_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/sys_cfg.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/tasklet_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/timer_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/uart_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/usb_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/usb_defs.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/usb_extr.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/usb_pre.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/usb_std.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/usb_table.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/usb_type.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/usbfifo_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/vbuf_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/vdesc_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/k2/wdt_api.h create mode 100755 target_firmware/magpie_fw_dev/target/inc/osapi.h create mode 100644 target_firmware/magpie_fw_dev/target/init/app_start.c create mode 100755 target_firmware/magpie_fw_dev/target/init/init.c create mode 100644 target_firmware/magpie_fw_dev/target/init/init.h create mode 100755 target_firmware/magpie_fw_dev/target/init/magpie.c create mode 100755 target_firmware/magpie_fw_dev/target/rompatch/HIF_usb_patch.c create mode 100755 target_firmware/magpie_fw_dev/target/rompatch/cmnos_clock_patch.c create mode 100755 target_firmware/magpie_fw_dev/target/rompatch/usb_api_patch.c create mode 100755 target_firmware/magpie_fw_dev/target/wlan/wlan_pci.c create mode 100755 target_firmware/magpie_fw_dev/target/wlan/wlan_pci.h create mode 100755 target_firmware/magpie_fw_dev/target/wmi/wmi_internal.h create mode 100755 target_firmware/magpie_fw_dev/target/wmi/wmi_svc.c create mode 100755 target_firmware/magpie_fw_dev/target/wmi/wmi_svc_api.h create mode 100755 target_firmware/wlan/_ieee80211.h create mode 100755 target_firmware/wlan/ah.c create mode 100755 target_firmware/wlan/ah.h create mode 100755 target_firmware/wlan/ah_desc.h create mode 100755 target_firmware/wlan/ah_internal.h create mode 100755 target_firmware/wlan/ah_osdep.c create mode 100755 target_firmware/wlan/ah_osdep.h create mode 100755 target_firmware/wlan/ar5416.h create mode 100755 target_firmware/wlan/ar5416Phy.c create mode 100644 target_firmware/wlan/ar5416_hw.c create mode 100755 target_firmware/wlan/ar5416_phy.c create mode 100755 target_firmware/wlan/ar5416desc.h create mode 100755 target_firmware/wlan/ar5416phy.h create mode 100755 target_firmware/wlan/ar5416reg.h create mode 100755 target_firmware/wlan/ieee80211.h create mode 100755 target_firmware/wlan/ieee80211_linux.h create mode 100755 target_firmware/wlan/ieee80211_node.h create mode 100755 target_firmware/wlan/ieee80211_output.c create mode 100755 target_firmware/wlan/ieee80211_proto.h create mode 100755 target_firmware/wlan/ieee80211_var.h create mode 100755 target_firmware/wlan/if_ath.c create mode 100755 target_firmware/wlan/if_ath_pci.c create mode 100755 target_firmware/wlan/if_ath_pci.h create mode 100755 target_firmware/wlan/if_athrate.h create mode 100755 target_firmware/wlan/if_athvar.h create mode 100755 target_firmware/wlan/if_ethersubr.h create mode 100755 target_firmware/wlan/if_llc.h create mode 100755 target_firmware/wlan/if_owl.c create mode 100755 target_firmware/wlan/include/athdefs.h create mode 100755 target_firmware/wlan/include/htc.h create mode 100755 target_firmware/wlan/include/htc_services.h create mode 100755 target_firmware/wlan/include/k2/wlan_cfg.h create mode 100755 target_firmware/wlan/include/magpie/wlan_cfg.h create mode 100755 target_firmware/wlan/include/wlan_hdr.h create mode 100755 target_firmware/wlan/include/wmi.h create mode 100755 target_firmware/wlan/queue.h create mode 100755 target_firmware/wlan/ratectrl.h create mode 100755 target_firmware/wlan/ratectrl11n.h create mode 100755 target_firmware/wlan/ratectrl_11n_ln.c create mode 100644 toolchain/inst/xtensa-elf/include/xtensa/config/core.h create mode 100644 toolchain/inst/xtensa-elf/include/xtensa/corebits.h create mode 100644 toolchain/inst/xtensa-elf/include/xtensa/hal.h create mode 100644 toolchain/inst/xtensa-elf/include/xtensa/xtruntime.h diff --git a/LICENCE.TXT b/LICENCE.TXT new file mode 100644 index 0000000..ee2738e --- /dev/null +++ b/LICENCE.TXT @@ -0,0 +1,21 @@ +Files with a Qualcomm Atheros / Atheros licence fall under the following +licence. Please see NOTICES.TXT for information about other files in this +repository. + +---- + +Copyright (c) 2013 Qualcomm Atheros, Inc. + +Permission to use, copy, modify, and/or distribute this software for any +purpose with or without fee is hereby granted, provided that the above +copyright notice and this permission notice appear in all copies. + +THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES WITH +REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY +AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY SPECIAL, DIRECT, +INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM +LOSS OF USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR +OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR +PERFORMANCE OF THIS SOFTWARE. + +---- diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..81f4500 --- /dev/null +++ b/Makefile @@ -0,0 +1,89 @@ +GMP_VER=5.0.5 +GMP_URL=http://ftp.gnu.org/gnu/gmp/gmp-$(GMP_VER).tar.bz2 +GMP_TAR=gmp-$(GMP_VER).tar.bz2 + +MPFR_VER=3.1.1 +MPFR_URL=http://ftp.gnu.org/gnu/mpfr/mpfr-$(MPFR_VER).tar.bz2 +MPFR_TAR=mpfr-$(MPFR_VER).tar.bz2 + +MPC_VER=1.0.1 +MPC_URL=http://ftp.gnu.org/gnu/mpc/mpc-$(MPC_VER).tar.gz +MPC_TAR=mpc-$(MPC_VER).tar.gz + +BINUTILS_VER=2.23.1 +BINUTILS_URL=http://ftp.gnu.org/gnu/binutils/binutils-$(BINUTILS_VER).tar.bz2 +BINUTILS_TAR=binutils-$(BINUTILS_VER).tar.bz2 + +GCC_VER=4.7.2 +GCC_URL=http://ftp.gnu.org/gnu/gcc/gcc-$(GCC_VER)/gcc-$(GCC_VER).tar.bz2 +GCC_TAR=gcc-$(GCC_VER).tar.bz2 + +BASEDIR=$(shell pwd) +TOOLCHAIN_DIR=$(BASEDIR)/toolchain +TARGET=xtensa-elf + +all: toolchain + +gmp-$(GMP_VER): + wget -N -P $(TOOLCHAIN_DIR)/dl $(GMP_URL) + tar -C $(TOOLCHAIN_DIR)/dl -xjf $(TOOLCHAIN_DIR)/dl/$(GMP_TAR) + +gmp: gmp-$(GMP_VER) + mkdir -p $(TOOLCHAIN_DIR)/build/gmp + cd $(TOOLCHAIN_DIR)/build/gmp; \ + $(TOOLCHAIN_DIR)/dl/$, which includes this file, for more details. + */ + +/* + * Xtensa processor core configuration information. + * + * Customer ID=4748; Build=0x2230f; Copyright (c) 1999-2008 by Tensilica Inc. ALL RIGHTS RESERVED. + * These coded instructions, statements, and computer programs are the + * copyrighted works and confidential proprietary information of Tensilica Inc. + * They may not be modified, copied, reproduced, distributed, or disclosed to + * third parties in any manner, medium, or form, in whole or in part, without + * the prior written consent of Tensilica Inc. + */ + +#ifndef _XTENSA_CORE_CONFIGURATION_H +#define _XTENSA_CORE_CONFIGURATION_H + + +/**************************************************************************** + Parameters Useful for Any Code, USER or PRIVILEGED + ****************************************************************************/ + +/* + * Note: Macros of the form XCHAL_HAVE_*** have a value of 1 if the option is + * configured, and a value of 0 otherwise. These macros are always defined. + */ + + +/*---------------------------------------------------------------------- + ISA + ----------------------------------------------------------------------*/ + +#define XCHAL_HAVE_BE 1 /* big-endian byte ordering */ +#define XCHAL_HAVE_WINDOWED 1 /* windowed registers option */ +#define XCHAL_NUM_AREGS 32 /* num of physical addr regs */ +#define XCHAL_NUM_AREGS_LOG2 5 /* log2(XCHAL_NUM_AREGS) */ +#define XCHAL_MAX_INSTRUCTION_SIZE 3 /* max instr bytes (3..8) */ +#define XCHAL_HAVE_DEBUG 1 /* debug option */ +#define XCHAL_HAVE_DENSITY 1 /* 16-bit instructions */ +#define XCHAL_HAVE_LOOPS 1 /* zero-overhead loops */ +#define XCHAL_HAVE_NSA 1 /* NSA/NSAU instructions */ +#define XCHAL_HAVE_MINMAX 1 /* MIN/MAX instructions */ +#define XCHAL_HAVE_SEXT 1 /* SEXT instruction */ +#define XCHAL_HAVE_CLAMPS 0 /* CLAMPS instruction */ +#define XCHAL_HAVE_MUL16 1 /* MUL16S/MUL16U instructions */ +#define XCHAL_HAVE_MUL32 1 /* MULL instruction */ +#define XCHAL_HAVE_MUL32_HIGH 1 /* MULUH/MULSH instructions */ +#define XCHAL_HAVE_DIV32 0 /* QUOS/QUOU/REMS/REMU instructions */ +#define XCHAL_HAVE_L32R 1 /* L32R instruction */ +#define XCHAL_HAVE_ABSOLUTE_LITERALS 1 /* non-PC-rel (extended) L32R */ +#define XCHAL_HAVE_CONST16 0 /* CONST16 instruction */ +#define XCHAL_HAVE_ADDX 1 /* ADDX#/SUBX# instructions */ +#define XCHAL_HAVE_WIDE_BRANCHES 0 /* B*.W18 or B*.W15 instr's */ +#define XCHAL_HAVE_PREDICTED_BRANCHES 0 /* B[EQ/EQZ/NE/NEZ]T instr's */ +#define XCHAL_HAVE_CALL4AND12 1 /* (obsolete option) */ +#define XCHAL_HAVE_ABS 1 /* ABS instruction */ +/*#define XCHAL_HAVE_POPC 0*/ /* POPC instruction */ +/*#define XCHAL_HAVE_CRC 0*/ /* CRC instruction */ +#define XCHAL_HAVE_RELEASE_SYNC 1 /* L32AI/S32RI instructions */ +#define XCHAL_HAVE_S32C1I 1 /* S32C1I instruction */ +#define XCHAL_HAVE_SPECULATION 0 /* speculation */ +#define XCHAL_HAVE_FULL_RESET 1 /* all regs/state reset */ +#define XCHAL_NUM_CONTEXTS 1 /* */ +#define XCHAL_NUM_MISC_REGS 2 /* num of scratch regs (0..4) */ +#define XCHAL_HAVE_TAP_MASTER 0 /* JTAG TAP control instr's */ +#define XCHAL_HAVE_PRID 1 /* processor ID register */ +#define XCHAL_HAVE_THREADPTR 1 /* THREADPTR register */ +#define XCHAL_HAVE_BOOLEANS 0 /* boolean registers */ +#define XCHAL_HAVE_CP 0 /* CPENABLE reg (coprocessor) */ +#define XCHAL_CP_MAXCFG 0 /* max allowed cp id plus one */ +#define XCHAL_HAVE_MAC16 0 /* MAC16 package */ +#define XCHAL_HAVE_VECTORFPU2005 0 /* vector floating-point pkg */ +#define XCHAL_HAVE_FP 0 /* floating point pkg */ +#define XCHAL_HAVE_VECTRA1 0 /* Vectra I pkg */ +#define XCHAL_HAVE_VECTRALX 0 /* Vectra LX pkg */ +#define XCHAL_HAVE_HIFI2 0 /* HiFi2 Audio Engine pkg */ + + +/*---------------------------------------------------------------------- + MISC + ----------------------------------------------------------------------*/ + +#define XCHAL_NUM_WRITEBUFFER_ENTRIES 4 /* size of write buffer */ +#define XCHAL_INST_FETCH_WIDTH 4 /* instr-fetch width in bytes */ +#define XCHAL_DATA_WIDTH 4 /* data width in bytes */ +/* In T1050, applies to selected core load and store instructions (see ISA): */ +#define XCHAL_UNALIGNED_LOAD_EXCEPTION 1 /* unaligned loads cause exc. */ +#define XCHAL_UNALIGNED_STORE_EXCEPTION 1 /* unaligned stores cause exc.*/ + +#define XCHAL_CORE_ID "Magpie_P0" /* alphanum core name + (CoreID) set in the Xtensa + Processor Generator */ + +#define XCHAL_BUILD_UNIQUE_ID 0x0002230F /* 22-bit sw build ID */ + +/* + * These definitions describe the hardware targeted by this software. + */ +#define XCHAL_HW_CONFIGID0 0xC280DBFF /* ConfigID hi 32 bits*/ +#define XCHAL_HW_CONFIGID1 0x0D02230F /* ConfigID lo 32 bits*/ +#define XCHAL_HW_VERSION_NAME "LX2.1.0" /* full version name */ +#define XCHAL_HW_VERSION_MAJOR 2210 /* major ver# of targeted hw */ +#define XCHAL_HW_VERSION_MINOR 0 /* minor ver# of targeted hw */ +#define XCHAL_HW_VERSION 221000 /* major*100+minor */ +#define XCHAL_HW_REL_LX2 1 +#define XCHAL_HW_REL_LX2_1 1 +#define XCHAL_HW_REL_LX2_1_0 1 +#define XCHAL_HW_CONFIGID_RELIABLE 1 +/* If software targets a *range* of hardware versions, these are the bounds: */ +#define XCHAL_HW_MIN_VERSION_MAJOR 2210 /* major v of earliest tgt hw */ +#define XCHAL_HW_MIN_VERSION_MINOR 0 /* minor v of earliest tgt hw */ +#define XCHAL_HW_MIN_VERSION 221000 /* earliest targeted hw */ +#define XCHAL_HW_MAX_VERSION_MAJOR 2210 /* major v of latest tgt hw */ +#define XCHAL_HW_MAX_VERSION_MINOR 0 /* minor v of latest tgt hw */ +#define XCHAL_HW_MAX_VERSION 221000 /* latest targeted hw */ + + +/*---------------------------------------------------------------------- + CACHE + ----------------------------------------------------------------------*/ + +#define XCHAL_ICACHE_LINESIZE 16 /* I-cache line size in bytes */ +#define XCHAL_DCACHE_LINESIZE 16 /* D-cache line size in bytes */ +#define XCHAL_ICACHE_LINEWIDTH 4 /* log2(I line size in bytes) */ +#define XCHAL_DCACHE_LINEWIDTH 4 /* log2(D line size in bytes) */ + +#define XCHAL_ICACHE_SIZE 0 /* I-cache size in bytes or 0 */ +#define XCHAL_DCACHE_SIZE 0 /* D-cache size in bytes or 0 */ + +#define XCHAL_DCACHE_IS_WRITEBACK 0 /* writeback feature */ + + + + +/**************************************************************************** + Parameters Useful for PRIVILEGED (Supervisory or Non-Virtualized) Code + ****************************************************************************/ + + +#ifndef XTENSA_HAL_NON_PRIVILEGED_ONLY + +/*---------------------------------------------------------------------- + CACHE + ----------------------------------------------------------------------*/ + +#define XCHAL_HAVE_PIF 1 /* any outbound PIF present */ + +/* If present, cache size in bytes == (ways * 2^(linewidth + setwidth)). */ + +/* Number of cache sets in log2(lines per way): */ +#define XCHAL_ICACHE_SETWIDTH 0 +#define XCHAL_DCACHE_SETWIDTH 0 + +/* Cache set associativity (number of ways): */ +#define XCHAL_ICACHE_WAYS 1 +#define XCHAL_DCACHE_WAYS 1 + +/* Cache features: */ +#define XCHAL_ICACHE_LINE_LOCKABLE 0 +#define XCHAL_DCACHE_LINE_LOCKABLE 0 +#define XCHAL_ICACHE_ECC_PARITY 0 +#define XCHAL_DCACHE_ECC_PARITY 0 + +/* Number of encoded cache attr bits (see for decoded bits): */ +#define XCHAL_CA_BITS 4 + + +/*---------------------------------------------------------------------- + INTERNAL I/D RAM/ROMs and XLMI + ----------------------------------------------------------------------*/ + +#define XCHAL_NUM_INSTROM 0 /* number of core instr. ROMs */ +#define XCHAL_NUM_INSTRAM 1 /* number of core instr. RAMs */ +#define XCHAL_NUM_DATAROM 0 /* number of core data ROMs */ +#define XCHAL_NUM_DATARAM 1 /* number of core data RAMs */ +#define XCHAL_NUM_URAM 0 /* number of core unified RAMs*/ +#define XCHAL_NUM_XLMI 0 /* number of core XLMI ports */ + +/* Instruction RAM 0: */ +#define XCHAL_INSTRAM0_VADDR 0x00800000 +#define XCHAL_INSTRAM0_PADDR 0x00800000 +#define XCHAL_INSTRAM0_SIZE 4194304 +#define XCHAL_INSTRAM0_ECC_PARITY 0 + +/* Data RAM 0: */ +#define XCHAL_DATARAM0_VADDR 0x00400000 +#define XCHAL_DATARAM0_PADDR 0x00400000 +#define XCHAL_DATARAM0_SIZE 4194304 +#define XCHAL_DATARAM0_ECC_PARITY 0 + + +/*---------------------------------------------------------------------- + INTERRUPTS and TIMERS + ----------------------------------------------------------------------*/ + +#define XCHAL_HAVE_INTERRUPTS 1 /* interrupt option */ +#define XCHAL_HAVE_HIGHPRI_INTERRUPTS 1 /* med/high-pri. interrupts */ +#define XCHAL_HAVE_NMI 1 /* non-maskable interrupt */ +#define XCHAL_HAVE_CCOUNT 1 /* CCOUNT reg. (timer option) */ +#define XCHAL_NUM_TIMERS 1 /* number of CCOMPAREn regs */ +#define XCHAL_NUM_INTERRUPTS 19 /* number of interrupts */ +#define XCHAL_NUM_INTERRUPTS_LOG2 5 /* ceil(log2(NUM_INTERRUPTS)) */ +#define XCHAL_NUM_EXTINTERRUPTS 17 /* num of external interrupts */ +#define XCHAL_NUM_INTLEVELS 4 /* number of interrupt levels + (not including level zero) */ +#define XCHAL_EXCM_LEVEL 3 /* level masked by PS.EXCM */ + /* (always 1 in XEA1; levels 2 .. EXCM_LEVEL are "medium priority") */ + +/* Masks of interrupts at each interrupt level: */ +#define XCHAL_INTLEVEL1_MASK 0x00000001 +#define XCHAL_INTLEVEL2_MASK 0x00007FFE +#define XCHAL_INTLEVEL3_MASK 0x00038000 +#define XCHAL_INTLEVEL4_MASK 0x00000000 +#define XCHAL_INTLEVEL5_MASK 0x00040000 +#define XCHAL_INTLEVEL6_MASK 0x00000000 +#define XCHAL_INTLEVEL7_MASK 0x00000000 + +/* Masks of interrupts at each range 1..n of interrupt levels: */ +#define XCHAL_INTLEVEL1_ANDBELOW_MASK 0x00000001 +#define XCHAL_INTLEVEL2_ANDBELOW_MASK 0x00007FFF +#define XCHAL_INTLEVEL3_ANDBELOW_MASK 0x0003FFFF +#define XCHAL_INTLEVEL4_ANDBELOW_MASK 0x0003FFFF +#define XCHAL_INTLEVEL5_ANDBELOW_MASK 0x0007FFFF +#define XCHAL_INTLEVEL6_ANDBELOW_MASK 0x0007FFFF +#define XCHAL_INTLEVEL7_ANDBELOW_MASK 0x0007FFFF + +/* Level of each interrupt: */ +#define XCHAL_INT0_LEVEL 1 +#define XCHAL_INT1_LEVEL 2 +#define XCHAL_INT2_LEVEL 2 +#define XCHAL_INT3_LEVEL 2 +#define XCHAL_INT4_LEVEL 2 +#define XCHAL_INT5_LEVEL 2 +#define XCHAL_INT6_LEVEL 2 +#define XCHAL_INT7_LEVEL 2 +#define XCHAL_INT8_LEVEL 2 +#define XCHAL_INT9_LEVEL 2 +#define XCHAL_INT10_LEVEL 2 +#define XCHAL_INT11_LEVEL 2 +#define XCHAL_INT12_LEVEL 2 +#define XCHAL_INT13_LEVEL 2 +#define XCHAL_INT14_LEVEL 2 +#define XCHAL_INT15_LEVEL 3 +#define XCHAL_INT16_LEVEL 3 +#define XCHAL_INT17_LEVEL 3 +#define XCHAL_INT18_LEVEL 5 +#define XCHAL_DEBUGLEVEL 4 /* debug interrupt level */ +#define XCHAL_HAVE_DEBUG_EXTERN_INT 1 /* OCD external db interrupt */ +#define XCHAL_NMILEVEL 5 /* NMI "level" (for use with + EXCSAVE/EPS/EPC_n, RFI n) */ + +/* Type of each interrupt: */ +#define XCHAL_INT0_TYPE XTHAL_INTTYPE_SOFTWARE +#define XCHAL_INT1_TYPE XTHAL_INTTYPE_TIMER +#define XCHAL_INT2_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT3_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT4_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT5_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT6_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT7_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT8_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT9_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT10_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT11_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT12_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT13_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT14_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT15_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT16_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT17_TYPE XTHAL_INTTYPE_EXTERN_LEVEL +#define XCHAL_INT18_TYPE XTHAL_INTTYPE_NMI + +/* Masks of interrupts for each type of interrupt: */ +#define XCHAL_INTTYPE_MASK_UNCONFIGURED 0xFFF80000 +#define XCHAL_INTTYPE_MASK_SOFTWARE 0x00000001 +#define XCHAL_INTTYPE_MASK_EXTERN_EDGE 0x00000000 +#define XCHAL_INTTYPE_MASK_EXTERN_LEVEL 0x0003FFFC +#define XCHAL_INTTYPE_MASK_TIMER 0x00000002 +#define XCHAL_INTTYPE_MASK_NMI 0x00040000 +#define XCHAL_INTTYPE_MASK_WRITE_ERROR 0x00000000 + +/* Interrupt numbers assigned to specific interrupt sources: */ +#define XCHAL_TIMER0_INTERRUPT 1 /* CCOMPARE0 */ +#define XCHAL_TIMER1_INTERRUPT XTHAL_TIMER_UNCONFIGURED +#define XCHAL_TIMER2_INTERRUPT XTHAL_TIMER_UNCONFIGURED +#define XCHAL_TIMER3_INTERRUPT XTHAL_TIMER_UNCONFIGURED +#define XCHAL_NMI_INTERRUPT 18 /* non-maskable interrupt */ + +/* Interrupt numbers for levels at which only one interrupt is configured: */ +#define XCHAL_INTLEVEL1_NUM 0 +#define XCHAL_INTLEVEL5_NUM 18 +/* (There are many interrupts each at level(s) 2, 3.) */ + + +/* + * External interrupt vectors/levels. + * These macros describe how Xtensa processor interrupt numbers + * (as numbered internally, eg. in INTERRUPT and INTENABLE registers) + * map to external BInterrupt pins, for those interrupts + * configured as external (level-triggered, edge-triggered, or NMI). + * See the Xtensa processor databook for more details. + */ + +/* Core interrupt numbers mapped to each EXTERNAL interrupt number: */ +#define XCHAL_EXTINT0_NUM 2 /* (intlevel 2) */ +#define XCHAL_EXTINT1_NUM 3 /* (intlevel 2) */ +#define XCHAL_EXTINT2_NUM 4 /* (intlevel 2) */ +#define XCHAL_EXTINT3_NUM 5 /* (intlevel 2) */ +#define XCHAL_EXTINT4_NUM 6 /* (intlevel 2) */ +#define XCHAL_EXTINT5_NUM 7 /* (intlevel 2) */ +#define XCHAL_EXTINT6_NUM 8 /* (intlevel 2) */ +#define XCHAL_EXTINT7_NUM 9 /* (intlevel 2) */ +#define XCHAL_EXTINT8_NUM 10 /* (intlevel 2) */ +#define XCHAL_EXTINT9_NUM 11 /* (intlevel 2) */ +#define XCHAL_EXTINT10_NUM 12 /* (intlevel 2) */ +#define XCHAL_EXTINT11_NUM 13 /* (intlevel 2) */ +#define XCHAL_EXTINT12_NUM 14 /* (intlevel 2) */ +#define XCHAL_EXTINT13_NUM 15 /* (intlevel 3) */ +#define XCHAL_EXTINT14_NUM 16 /* (intlevel 3) */ +#define XCHAL_EXTINT15_NUM 17 /* (intlevel 3) */ +#define XCHAL_EXTINT16_NUM 18 /* (intlevel 5) */ + + +/*---------------------------------------------------------------------- + EXCEPTIONS and VECTORS + ----------------------------------------------------------------------*/ + +#define XCHAL_XEA_VERSION 2 /* Xtensa Exception Architecture + number: 1 == XEA1 (old) + 2 == XEA2 (new) + 0 == XEAX (extern) */ +#define XCHAL_HAVE_XEA1 0 /* Exception Architecture 1 */ +#define XCHAL_HAVE_XEA2 1 /* Exception Architecture 2 */ +#define XCHAL_HAVE_XEAX 0 /* External Exception Arch. */ +#define XCHAL_HAVE_EXCEPTIONS 1 /* exception option */ +#define XCHAL_HAVE_MEM_ECC_PARITY 0 /* local memory ECC/parity */ +#define XCHAL_HAVE_VECTOR_SELECT 1 /* relocatable vectors */ +#define XCHAL_HAVE_VECBASE 1 /* relocatable vectors */ +#define XCHAL_VECBASE_RESET_VADDR 0x008E0800 /* VECBASE reset value */ +#define XCHAL_VECBASE_RESET_PADDR 0x008E0800 +#define XCHAL_RESET_VECBASE_OVERLAP 0 + +#define XCHAL_RESET_VECTOR0_VADDR 0x008E0000 +#define XCHAL_RESET_VECTOR0_PADDR 0x008E0000 +#define XCHAL_RESET_VECTOR1_VADDR 0x0F000000 +#define XCHAL_RESET_VECTOR1_PADDR 0x0F000000 +#define XCHAL_RESET_VECTOR_VADDR 0x008E0000 +#define XCHAL_RESET_VECTOR_PADDR 0x008E0000 +#define XCHAL_USER_VECOFS 0x00000620 +#define XCHAL_USER_VECTOR_VADDR 0x008E0E20 +#define XCHAL_USER_VECTOR_PADDR 0x008E0E20 +#define XCHAL_KERNEL_VECOFS 0x00000540 +#define XCHAL_KERNEL_VECTOR_VADDR 0x008E0D40 +#define XCHAL_KERNEL_VECTOR_PADDR 0x008E0D40 +#define XCHAL_DOUBLEEXC_VECOFS 0x00000720 +#define XCHAL_DOUBLEEXC_VECTOR_VADDR 0x008E0F20 +#define XCHAL_DOUBLEEXC_VECTOR_PADDR 0x008E0F20 +#define XCHAL_WINDOW_OF4_VECOFS 0x00000000 +#define XCHAL_WINDOW_UF4_VECOFS 0x00000040 +#define XCHAL_WINDOW_OF8_VECOFS 0x00000080 +#define XCHAL_WINDOW_UF8_VECOFS 0x000000C0 +#define XCHAL_WINDOW_OF12_VECOFS 0x00000100 +#define XCHAL_WINDOW_UF12_VECOFS 0x00000140 +#define XCHAL_WINDOW_VECTORS_VADDR 0x008E0800 +#define XCHAL_WINDOW_VECTORS_PADDR 0x008E0800 +#define XCHAL_INTLEVEL2_VECOFS 0x00000220 +#define XCHAL_INTLEVEL2_VECTOR_VADDR 0x008E0A20 +#define XCHAL_INTLEVEL2_VECTOR_PADDR 0x008E0A20 +#define XCHAL_INTLEVEL3_VECOFS 0x00000320 +#define XCHAL_INTLEVEL3_VECTOR_VADDR 0x008E0B20 +#define XCHAL_INTLEVEL3_VECTOR_PADDR 0x008E0B20 +#define XCHAL_INTLEVEL4_VECOFS 0x00000420 +#define XCHAL_INTLEVEL4_VECTOR_VADDR 0x008E0C20 +#define XCHAL_INTLEVEL4_VECTOR_PADDR 0x008E0C20 +#define XCHAL_DEBUG_VECOFS XCHAL_INTLEVEL4_VECOFS +#define XCHAL_DEBUG_VECTOR_VADDR XCHAL_INTLEVEL4_VECTOR_VADDR +#define XCHAL_DEBUG_VECTOR_PADDR XCHAL_INTLEVEL4_VECTOR_PADDR +#define XCHAL_NMI_VECOFS 0x000004E4 +#define XCHAL_NMI_VECTOR_VADDR 0x008E0CE4 +#define XCHAL_NMI_VECTOR_PADDR 0x008E0CE4 +#define XCHAL_INTLEVEL5_VECOFS XCHAL_NMI_VECOFS +#define XCHAL_INTLEVEL5_VECTOR_VADDR XCHAL_NMI_VECTOR_VADDR +#define XCHAL_INTLEVEL5_VECTOR_PADDR XCHAL_NMI_VECTOR_PADDR + + +/*---------------------------------------------------------------------- + DEBUG + ----------------------------------------------------------------------*/ + +#define XCHAL_HAVE_OCD 1 /* OnChipDebug option */ +#define XCHAL_NUM_IBREAK 2 /* number of IBREAKn regs */ +#define XCHAL_NUM_DBREAK 2 /* number of DBREAKn regs */ +#define XCHAL_HAVE_OCD_DIR_ARRAY 1 /* faster OCD option */ + + +/*---------------------------------------------------------------------- + MMU + ----------------------------------------------------------------------*/ + +/* See core-matmap.h header file for more details. */ + +#define XCHAL_HAVE_TLBS 1 /* inverse of HAVE_CACHEATTR */ +#define XCHAL_HAVE_SPANNING_WAY 1 /* one way maps I+D 4GB vaddr */ +#define XCHAL_HAVE_IDENTITY_MAP 1 /* vaddr == paddr always */ +#define XCHAL_HAVE_CACHEATTR 0 /* CACHEATTR register present */ +#define XCHAL_HAVE_MIMIC_CACHEATTR 1 /* region protection */ +#define XCHAL_HAVE_XLT_CACHEATTR 0 /* region prot. w/translation */ +#define XCHAL_HAVE_PTP_MMU 0 /* full MMU (with page table + [autorefill] and protection) + usable for an MMU-based OS */ +/* If none of the above last 4 are set, it's a custom TLB configuration. */ + +#define XCHAL_MMU_ASID_BITS 0 /* number of bits in ASIDs */ +#define XCHAL_MMU_RINGS 1 /* number of rings (1..4) */ +#define XCHAL_MMU_RING_BITS 0 /* num of bits in RING field */ + +#endif /* !XTENSA_HAL_NON_PRIVILEGED_ONLY */ + + +#endif /* _XTENSA_CORE_CONFIGURATION_H */ diff --git a/target_firmware/magpie_fw_dev/build/include/xtensa/config/core-matmap.h b/target_firmware/magpie_fw_dev/build/include/xtensa/config/core-matmap.h new file mode 100644 index 0000000..f0acaca --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/include/xtensa/config/core-matmap.h @@ -0,0 +1,301 @@ +/* + * xtensa/config/core-matmap.h -- Memory access and translation mapping + * parameters (CHAL) of the Xtensa processor core configuration. + * + * If you are using Xtensa Tools, see (which includes + * this file) for more details. + * + * In the Xtensa processor products released to date, all parameters + * defined in this file are derivable (at least in theory) from + * information contained in the core-isa.h header file. + * In particular, the following core configuration parameters are relevant: + * XCHAL_HAVE_CACHEATTR + * XCHAL_HAVE_MIMIC_CACHEATTR + * XCHAL_HAVE_XLT_CACHEATTR + * XCHAL_HAVE_PTP_MMU + * XCHAL_ITLB_ARF_ENTRIES_LOG2 + * XCHAL_DTLB_ARF_ENTRIES_LOG2 + * XCHAL_DCACHE_IS_WRITEBACK + * XCHAL_ICACHE_SIZE (presence of I-cache) + * XCHAL_DCACHE_SIZE (presence of D-cache) + * XCHAL_HW_VERSION_MAJOR + * XCHAL_HW_VERSION_MINOR + */ + +/* + * Customer ID=4748; Build=0x2230f; Copyright (c) 1999-2008 by Tensilica Inc. ALL RIGHTS RESERVED. + * These coded instructions, statements, and computer programs are the + * copyrighted works and confidential proprietary information of Tensilica Inc. + * They may not be modified, copied, reproduced, distributed, or disclosed to + * third parties in any manner, medium, or form, in whole or in part, without + * the prior written consent of Tensilica Inc. + */ + + +#ifndef XTENSA_CONFIG_CORE_MATMAP_H +#define XTENSA_CONFIG_CORE_MATMAP_H + + +/*---------------------------------------------------------------------- + CACHE (MEMORY ACCESS) ATTRIBUTES + ----------------------------------------------------------------------*/ + + +/* Cache Attribute encodings -- lists of access modes for each cache attribute: */ +#define XCHAL_FCA_LIST XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_BYPASS XCHAL_SEP \ + XTHAL_FAM_BYPASS XCHAL_SEP \ + XTHAL_FAM_BYPASS XCHAL_SEP \ + XTHAL_FAM_BYPASS XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION XCHAL_SEP \ + XTHAL_FAM_EXCEPTION +#define XCHAL_LCA_LIST XTHAL_LAM_BYPASSG XCHAL_SEP \ + XTHAL_LAM_BYPASSG XCHAL_SEP \ + XTHAL_LAM_BYPASSG XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_BYPASSG XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_EXCEPTION XCHAL_SEP \ + XTHAL_LAM_BYPASSG XCHAL_SEP \ + XTHAL_LAM_EXCEPTION +#define XCHAL_SCA_LIST XTHAL_SAM_BYPASS XCHAL_SEP \ + XTHAL_SAM_BYPASS XCHAL_SEP \ + XTHAL_SAM_BYPASS XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_BYPASS XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_EXCEPTION XCHAL_SEP \ + XTHAL_SAM_BYPASS XCHAL_SEP \ + XTHAL_SAM_EXCEPTION + + +/* + * Specific encoded cache attribute values of general interest. + * If a specific cache mode is not available, the closest available + * one is returned instead (eg. writethru instead of writeback, + * bypass instead of writethru). + */ +#define XCHAL_CA_BYPASS 2 /* cache disabled (bypassed) mode */ +#define XCHAL_CA_WRITETHRU 2 /* cache enabled (write-through) mode */ +#define XCHAL_CA_WRITEBACK 2 /* cache enabled (write-back) mode */ +#define XCHAL_CA_BYPASS_RW 0 /* cache disabled (bypassed) mode (no exec) */ +#define XCHAL_CA_WRITETHRU_RW 0 /* cache enabled (write-through) mode (no exec) */ +#define XCHAL_CA_WRITEBACK_RW 0 /* cache enabled (write-back) mode (no exec) */ +#define XCHAL_CA_ILLEGAL 15 /* no access allowed (all cause exceptions) mode */ +#define XCHAL_CA_ISOLATE 0 /* cache isolate (accesses go to cache not memory) mode */ + + +/*---------------------------------------------------------------------- + MMU + ----------------------------------------------------------------------*/ + +/* + * General notes on MMU parameters. + * + * Terminology: + * ASID = address-space ID (acts as an "extension" of virtual addresses) + * VPN = virtual page number + * PPN = physical page number + * CA = encoded cache attribute (access modes) + * TLB = translation look-aside buffer (term is stretched somewhat here) + * I = instruction (fetch accesses) + * D = data (load and store accesses) + * way = each TLB (ITLB and DTLB) consists of a number of "ways" + * that simultaneously match the virtual address of an access; + * a TLB successfully translates a virtual address if exactly + * one way matches the vaddr; if none match, it is a miss; + * if multiple match, one gets a "multihit" exception; + * each way can be independently configured in terms of number of + * entries, page sizes, which fields are writable or constant, etc. + * set = group of contiguous ways with exactly identical parameters + * ARF = auto-refill; hardware services a 1st-level miss by loading a PTE + * from the page table and storing it in one of the auto-refill ways; + * if this PTE load also misses, a miss exception is posted for s/w. + * min-wired = a "min-wired" way can be used to map a single (minimum-sized) + * page arbitrarily under program control; it has a single entry, + * is non-auto-refill (some other way(s) must be auto-refill), + * all its fields (VPN, PPN, ASID, CA) are all writable, and it + * supports the XCHAL_MMU_MIN_PTE_PAGE_SIZE page size (a current + * restriction is that this be the only page size it supports). + * + * TLB way entries are virtually indexed. + * TLB ways that support multiple page sizes: + * - must have all writable VPN and PPN fields; + * - can only use one page size at any given time (eg. setup at startup), + * selected by the respective ITLBCFG or DTLBCFG special register, + * whose bits n*4+3 .. n*4 index the list of page sizes for way n + * (XCHAL_xTLB_SETm_PAGESZ_LOG2_LIST for set m corresponding to way n); + * this list may be sparse for auto-refill ways because auto-refill + * ways have independent lists of supported page sizes sharing a + * common encoding with PTE entries; the encoding is the index into + * this list; unsupported sizes for a given way are zero in the list; + * selecting unsupported sizes results in undefined hardware behaviour; + * - is only possible for ways 0 thru 7 (due to ITLBCFG/DTLBCFG definition). + */ + +#define XCHAL_MMU_ASID_INVALID 0 /* ASID value indicating invalid address space */ +#define XCHAL_MMU_ASID_KERNEL 0 /* ASID value indicating kernel (ring 0) address space */ +#define XCHAL_MMU_SR_BITS 0 /* number of size-restriction bits supported */ +#define XCHAL_MMU_CA_BITS 4 /* number of bits needed to hold cache attribute encoding */ +#define XCHAL_MMU_MAX_PTE_PAGE_SIZE 29 /* max page size in a PTE structure (log2) */ +#define XCHAL_MMU_MIN_PTE_PAGE_SIZE 29 /* min page size in a PTE structure (log2) */ + + +/*** Instruction TLB: ***/ + +#define XCHAL_ITLB_WAY_BITS 0 /* number of bits holding the ways */ +#define XCHAL_ITLB_WAYS 1 /* number of ways (n-way set-associative TLB) */ +#define XCHAL_ITLB_ARF_WAYS 0 /* number of auto-refill ways */ +#define XCHAL_ITLB_SETS 1 /* number of sets (groups of ways with identical settings) */ + +/* Way set to which each way belongs: */ +#define XCHAL_ITLB_WAY0_SET 0 + +/* Ways sets that are used by hardware auto-refill (ARF): */ +#define XCHAL_ITLB_ARF_SETS 0 /* number of auto-refill sets */ + +/* Way sets that are "min-wired" (see terminology comment above): */ +#define XCHAL_ITLB_MINWIRED_SETS 0 /* number of "min-wired" sets */ + + +/* ITLB way set 0 (group of ways 0 thru 0): */ +#define XCHAL_ITLB_SET0_WAY 0 /* index of first way in this way set */ +#define XCHAL_ITLB_SET0_WAYS 1 /* number of (contiguous) ways in this way set */ +#define XCHAL_ITLB_SET0_ENTRIES_LOG2 3 /* log2(number of entries in this way) */ +#define XCHAL_ITLB_SET0_ENTRIES 8 /* number of entries in this way (always a power of 2) */ +#define XCHAL_ITLB_SET0_ARF 0 /* 1=autorefill by h/w, 0=non-autorefill (wired/constant/static) */ +#define XCHAL_ITLB_SET0_PAGESIZES 1 /* number of supported page sizes in this way */ +#define XCHAL_ITLB_SET0_PAGESZ_BITS 0 /* number of bits to encode the page size */ +#define XCHAL_ITLB_SET0_PAGESZ_LOG2_MIN 29 /* log2(minimum supported page size) */ +#define XCHAL_ITLB_SET0_PAGESZ_LOG2_MAX 29 /* log2(maximum supported page size) */ +#define XCHAL_ITLB_SET0_PAGESZ_LOG2_LIST 29 /* list of log2(page size)s, separated by XCHAL_SEP; + 2^PAGESZ_BITS entries in list, unsupported entries are zero */ +#define XCHAL_ITLB_SET0_ASID_CONSTMASK 0 /* constant ASID bits; 0 if all writable */ +#define XCHAL_ITLB_SET0_VPN_CONSTMASK 0x00000000 /* constant VPN bits, not including entry index bits; 0 if all writable */ +#define XCHAL_ITLB_SET0_PPN_CONSTMASK 0xE0000000 /* constant PPN bits, including entry index bits; 0 if all writable */ +#define XCHAL_ITLB_SET0_CA_CONSTMASK 0 /* constant CA bits; 0 if all writable */ +#define XCHAL_ITLB_SET0_ASID_RESET 0 /* 1 if ASID reset values defined (and all writable); 0 otherwise */ +#define XCHAL_ITLB_SET0_VPN_RESET 0 /* 1 if VPN reset values defined (and all writable); 0 otherwise */ +#define XCHAL_ITLB_SET0_PPN_RESET 0 /* 1 if PPN reset values defined (and all writable); 0 otherwise */ +#define XCHAL_ITLB_SET0_CA_RESET 1 /* 1 if CA reset values defined (and all writable); 0 otherwise */ +/* Constant VPN values for each entry of ITLB way set 0 (because VPN_CONSTMASK is non-zero): */ +#define XCHAL_ITLB_SET0_E0_VPN_CONST 0x00000000 +#define XCHAL_ITLB_SET0_E1_VPN_CONST 0x20000000 +#define XCHAL_ITLB_SET0_E2_VPN_CONST 0x40000000 +#define XCHAL_ITLB_SET0_E3_VPN_CONST 0x60000000 +#define XCHAL_ITLB_SET0_E4_VPN_CONST 0x80000000 +#define XCHAL_ITLB_SET0_E5_VPN_CONST 0xA0000000 +#define XCHAL_ITLB_SET0_E6_VPN_CONST 0xC0000000 +#define XCHAL_ITLB_SET0_E7_VPN_CONST 0xE0000000 +/* Constant PPN values for each entry of ITLB way set 0 (because PPN_CONSTMASK is non-zero): */ +#define XCHAL_ITLB_SET0_E0_PPN_CONST 0x00000000 +#define XCHAL_ITLB_SET0_E1_PPN_CONST 0x20000000 +#define XCHAL_ITLB_SET0_E2_PPN_CONST 0x40000000 +#define XCHAL_ITLB_SET0_E3_PPN_CONST 0x60000000 +#define XCHAL_ITLB_SET0_E4_PPN_CONST 0x80000000 +#define XCHAL_ITLB_SET0_E5_PPN_CONST 0xA0000000 +#define XCHAL_ITLB_SET0_E6_PPN_CONST 0xC0000000 +#define XCHAL_ITLB_SET0_E7_PPN_CONST 0xE0000000 +/* Reset CA values for each entry of ITLB way set 0 (because SET0_CA_RESET is non-zero): */ +#define XCHAL_ITLB_SET0_E0_CA_RESET 0x02 +#define XCHAL_ITLB_SET0_E1_CA_RESET 0x02 +#define XCHAL_ITLB_SET0_E2_CA_RESET 0x02 +#define XCHAL_ITLB_SET0_E3_CA_RESET 0x02 +#define XCHAL_ITLB_SET0_E4_CA_RESET 0x02 +#define XCHAL_ITLB_SET0_E5_CA_RESET 0x02 +#define XCHAL_ITLB_SET0_E6_CA_RESET 0x02 +#define XCHAL_ITLB_SET0_E7_CA_RESET 0x02 + + +/*** Data TLB: ***/ + +#define XCHAL_DTLB_WAY_BITS 0 /* number of bits holding the ways */ +#define XCHAL_DTLB_WAYS 1 /* number of ways (n-way set-associative TLB) */ +#define XCHAL_DTLB_ARF_WAYS 0 /* number of auto-refill ways */ +#define XCHAL_DTLB_SETS 1 /* number of sets (groups of ways with identical settings) */ + +/* Way set to which each way belongs: */ +#define XCHAL_DTLB_WAY0_SET 0 + +/* Ways sets that are used by hardware auto-refill (ARF): */ +#define XCHAL_DTLB_ARF_SETS 0 /* number of auto-refill sets */ + +/* Way sets that are "min-wired" (see terminology comment above): */ +#define XCHAL_DTLB_MINWIRED_SETS 0 /* number of "min-wired" sets */ + + +/* DTLB way set 0 (group of ways 0 thru 0): */ +#define XCHAL_DTLB_SET0_WAY 0 /* index of first way in this way set */ +#define XCHAL_DTLB_SET0_WAYS 1 /* number of (contiguous) ways in this way set */ +#define XCHAL_DTLB_SET0_ENTRIES_LOG2 3 /* log2(number of entries in this way) */ +#define XCHAL_DTLB_SET0_ENTRIES 8 /* number of entries in this way (always a power of 2) */ +#define XCHAL_DTLB_SET0_ARF 0 /* 1=autorefill by h/w, 0=non-autorefill (wired/constant/static) */ +#define XCHAL_DTLB_SET0_PAGESIZES 1 /* number of supported page sizes in this way */ +#define XCHAL_DTLB_SET0_PAGESZ_BITS 0 /* number of bits to encode the page size */ +#define XCHAL_DTLB_SET0_PAGESZ_LOG2_MIN 29 /* log2(minimum supported page size) */ +#define XCHAL_DTLB_SET0_PAGESZ_LOG2_MAX 29 /* log2(maximum supported page size) */ +#define XCHAL_DTLB_SET0_PAGESZ_LOG2_LIST 29 /* list of log2(page size)s, separated by XCHAL_SEP; + 2^PAGESZ_BITS entries in list, unsupported entries are zero */ +#define XCHAL_DTLB_SET0_ASID_CONSTMASK 0 /* constant ASID bits; 0 if all writable */ +#define XCHAL_DTLB_SET0_VPN_CONSTMASK 0x00000000 /* constant VPN bits, not including entry index bits; 0 if all writable */ +#define XCHAL_DTLB_SET0_PPN_CONSTMASK 0xE0000000 /* constant PPN bits, including entry index bits; 0 if all writable */ +#define XCHAL_DTLB_SET0_CA_CONSTMASK 0 /* constant CA bits; 0 if all writable */ +#define XCHAL_DTLB_SET0_ASID_RESET 0 /* 1 if ASID reset values defined (and all writable); 0 otherwise */ +#define XCHAL_DTLB_SET0_VPN_RESET 0 /* 1 if VPN reset values defined (and all writable); 0 otherwise */ +#define XCHAL_DTLB_SET0_PPN_RESET 0 /* 1 if PPN reset values defined (and all writable); 0 otherwise */ +#define XCHAL_DTLB_SET0_CA_RESET 1 /* 1 if CA reset values defined (and all writable); 0 otherwise */ +/* Constant VPN values for each entry of DTLB way set 0 (because VPN_CONSTMASK is non-zero): */ +#define XCHAL_DTLB_SET0_E0_VPN_CONST 0x00000000 +#define XCHAL_DTLB_SET0_E1_VPN_CONST 0x20000000 +#define XCHAL_DTLB_SET0_E2_VPN_CONST 0x40000000 +#define XCHAL_DTLB_SET0_E3_VPN_CONST 0x60000000 +#define XCHAL_DTLB_SET0_E4_VPN_CONST 0x80000000 +#define XCHAL_DTLB_SET0_E5_VPN_CONST 0xA0000000 +#define XCHAL_DTLB_SET0_E6_VPN_CONST 0xC0000000 +#define XCHAL_DTLB_SET0_E7_VPN_CONST 0xE0000000 +/* Constant PPN values for each entry of DTLB way set 0 (because PPN_CONSTMASK is non-zero): */ +#define XCHAL_DTLB_SET0_E0_PPN_CONST 0x00000000 +#define XCHAL_DTLB_SET0_E1_PPN_CONST 0x20000000 +#define XCHAL_DTLB_SET0_E2_PPN_CONST 0x40000000 +#define XCHAL_DTLB_SET0_E3_PPN_CONST 0x60000000 +#define XCHAL_DTLB_SET0_E4_PPN_CONST 0x80000000 +#define XCHAL_DTLB_SET0_E5_PPN_CONST 0xA0000000 +#define XCHAL_DTLB_SET0_E6_PPN_CONST 0xC0000000 +#define XCHAL_DTLB_SET0_E7_PPN_CONST 0xE0000000 +/* Reset CA values for each entry of DTLB way set 0 (because SET0_CA_RESET is non-zero): */ +#define XCHAL_DTLB_SET0_E0_CA_RESET 0x02 +#define XCHAL_DTLB_SET0_E1_CA_RESET 0x02 +#define XCHAL_DTLB_SET0_E2_CA_RESET 0x02 +#define XCHAL_DTLB_SET0_E3_CA_RESET 0x02 +#define XCHAL_DTLB_SET0_E4_CA_RESET 0x02 +#define XCHAL_DTLB_SET0_E5_CA_RESET 0x02 +#define XCHAL_DTLB_SET0_E6_CA_RESET 0x02 +#define XCHAL_DTLB_SET0_E7_CA_RESET 0x02 + + + + +#endif /*XTENSA_CONFIG_CORE_MATMAP_H*/ diff --git a/target_firmware/magpie_fw_dev/build/include/xtensa/config/specreg.h b/target_firmware/magpie_fw_dev/build/include/xtensa/config/specreg.h new file mode 100644 index 0000000..f342a9d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/include/xtensa/config/specreg.h @@ -0,0 +1,84 @@ +/* + * Xtensa Special Register symbolic names + */ + +/* $Id: //depot/rel/BadgerPass/Xtensa/SWConfig/hal/specreg.h.tpp#1 $ */ + +/* + * Customer ID=4748; Build=0x2230f; Copyright (c) 1998-2002 by Tensilica Inc. ALL RIGHTS RESERVED. + * These coded instructions, statements, and computer programs are the + * copyrighted works and confidential proprietary information of Tensilica Inc. + * They may not be modified, copied, reproduced, distributed, or disclosed to + * third parties in any manner, medium, or form, in whole or in part, without + * the prior written consent of Tensilica Inc. + */ + +#ifndef XTENSA_SPECREG_H +#define XTENSA_SPECREG_H + +/* Include these special register bitfield definitions, for historical reasons: */ +#include + + +/* Special registers: */ +#define LBEG 0 +#define LEND 1 +#define LCOUNT 2 +#define SAR 3 +#define LITBASE 5 +#define SCOMPARE1 12 +#define WINDOWBASE 72 +#define WINDOWSTART 73 +#define IBREAKENABLE 96 +#define DDR 104 +#define IBREAKA_0 128 +#define IBREAKA_1 129 +#define DBREAKA_0 144 +#define DBREAKA_1 145 +#define DBREAKC_0 160 +#define DBREAKC_1 161 +#define EPC_1 177 +#define EPC_2 178 +#define EPC_3 179 +#define EPC_4 180 +#define EPC_5 181 +#define DEPC 192 +#define EPS_2 194 +#define EPS_3 195 +#define EPS_4 196 +#define EPS_5 197 +#define EXCSAVE_1 209 +#define EXCSAVE_2 210 +#define EXCSAVE_3 211 +#define EXCSAVE_4 212 +#define EXCSAVE_5 213 +#define INTERRUPT 226 +#define INTENABLE 228 +#define PS 230 +#define VECBASE 231 +#define EXCCAUSE 232 +#define DEBUGCAUSE 233 +#define CCOUNT 234 +#define PRID 235 +#define ICOUNT 236 +#define ICOUNTLEVEL 237 +#define EXCVADDR 238 +#define CCOMPARE_0 240 +#define MISC_REG_0 244 +#define MISC_REG_1 245 + +/* Special cases (bases of special register series): */ +#define IBREAKA 128 +#define DBREAKA 144 +#define DBREAKC 160 +#define EPC 176 +#define EPS 192 +#define EXCSAVE 208 +#define CCOMPARE 240 + +/* Special names for read-only and write-only interrupt registers: */ +#define INTREAD 226 +#define INTSET 226 +#define INTCLEAR 227 + +#endif /* XTENSA_SPECREG_H */ diff --git a/target_firmware/magpie_fw_dev/build/include/xtensa/config/tie.h b/target_firmware/magpie_fw_dev/build/include/xtensa/config/tie.h new file mode 100644 index 0000000..b53910c --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/include/xtensa/config/tie.h @@ -0,0 +1,54 @@ +/* + * tie.h -- compile-time HAL definitions dependent on CORE & TIE configuration + * + * NOTE: This header file is not meant to be included directly. + */ + +/* + * This header file describes this specific Xtensa processor's TIE extensions + * that extend basic Xtensa core functionality. It is customized to this + * Xtensa processor configuration. + * + * Customer ID=4748; Build=0x2230f; Copyright (C) 1999-2008 by Tensilica Inc. ALL RIGHTS RESERVED. + * These coded instructions, statements, and computer programs are the + * copyrighted works and confidential proprietary information of Tensilica Inc. + * They may not be modified, copied, reproduced, distributed, or disclosed to + * third parties in any manner, medium, or form, in whole or in part, without + * the prior written consent of Tensilica Inc. + */ + +#ifndef _XTENSA_CORE_TIE_H +#define _XTENSA_CORE_TIE_H + +#define XCHAL_CP_NUM 0 /* number of coprocessors */ +#define XCHAL_CP_MAX 0 /* max CP ID + 1 (0 if none) */ +#define XCHAL_CP_MASK 0x00 /* bitmask of all CPs by ID */ +#define XCHAL_CP_PORT_MASK 0x00 /* bitmask of only port CPs */ + +/* Save area for non-coprocessor optional and custom (TIE) state: */ +#define XCHAL_NCP_SA_SIZE 4 +#define XCHAL_NCP_SA_ALIGN 4 + +/* Total save area for optional and custom state (NCP + CPn): */ +#define XCHAL_TOTAL_SA_SIZE 16 /* with 16-byte align padding */ +#define XCHAL_TOTAL_SA_ALIGN 4 /* actual minimum alignment */ + +/* + * Detailed contents of save areas. + * NOTE: caller must define the XCHAL_SA_{UREG,SREG,REGF} macros (they + * are not defined here) before expanding the XCHAL_SA_xxx_LIST macros. + * + * XCHAL_SA_SREG(dbnum,offset,size,contentsz,align,name,sregnum,bitmask,x,x) + * XCHAL_SA_UREG(dbnum,offset,size,contentsz,align,name,uregnum,bitmask,x,x) + * XCHAL_SA_REGF(dbnum,offset,size,contentsz,align,name,index,span,x,x, + * basename,regf_name,regf_numentries) + */ + +#define XCHAL_SA_NCP_NUM 1 +#define XCHAL_SA_NCP_LIST \ + XCHAL_SA_SREG(0x020C, 0, 4, 4, 4, scompare1, 12,0xFFFFFFFF,0,0) + +/* Byte length of instruction from its first nibble (op0 field), per FLIX. */ +#define XCHAL_OP0_FORMAT_LENGTHS 3,3,3,3,3,3,3,3,2,2,2,2,2,2,3,3 + +#endif /*_XTENSA_CORE_TIE_H*/ diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/Makefile new file mode 100755 index 0000000..5870104 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/Makefile @@ -0,0 +1,80 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + +DIRS = \ + $(PRJ_ROOT)/$(TARGET)/adf \ + $(PRJ_ROOT)/$(TARGET)/init \ + $(PRJ_ROOT)/$(TARGET)/wmi \ + $(PRJ_ROOT)/$(TARGET)/wlan \ + $(PRJ_ROOT)/$(TARGET)/carrier_apd \ + $(PRJ_ROOT)/$(TARGET)/cmnos \ + $(PRJ_ROOT)/$(TARGET)/htc \ + $(PRJ_ROOT)/$(TARGET)/hif \ + $(PRJ_ROOT)/$(TARGET)/buf_pool + +COMPONENTS_sw.ram = \ + $(LIB_PATH)/libcmnos.a \ + $(LIB_PATH)/libadf.a \ + $(LIB_PATH)/libwmi.a \ + $(LIB_PATH)/libwlan.a \ + $(LIB_PATH)/libcarrier_apd.a \ + $(LIB_PATH)/libbuf_pool.a \ + $(LIB_PATH)/libhif.a \ + $(LIB_PATH)/libhtc.a + + +ifeq ($(debug),1) +LINKFLAGS_sw.ram = \ + -T./target.ram_debug.ld \ + --start-group \ + $(COMPONENTS_sw.ram) \ + --end-group +else +LINKFLAGS_sw.ram = \ + -T./target.ram.ld \ + --start-group \ + $(COMPONENTS_sw.ram) \ + --end-group +endif + +all: util toolchain_prep + @for i in $(DIRS) ; do $(MAKE) -C $$i all CC=$(XCC) LD=$(XLD) AR=$(XAR) || exit ; done + +dep: util toolchain_prep + @for i in $(DIRS) ; do $(MAKE) -C $$i dep CC=$(XCC) LD=$(XLD) AR=$(XAR) || exit ; done + +clean: + find $(PRJ_ROOT)/ram -name "*.o" -exec rm -f {} \; + rm -rf $(PRJ_ROOT)/lib/ram/*.a + @for i in $(DIRS) ; do echo $$i; $(MAKE) -C $$i clean; done + rm -f *.bin *.hex *.objdump *.out *.map *.c *.fw *.fw.back *.c.back + rm -f ../../../utility/athfw2lnx/athfw2lnx + +init: toolchain_prep + for i in $(DIRS) ; do $(MAKE) -C $$i init CC=$(XCC) LD=$(XLD) AR=$(XAR) ; done + +build: binary image + +binary: + $(XLD) $(PRJ_ROOT)/ram/init/obj/app_start.o $(PRJ_ROOT)/ram/init/obj/init.o $(PRJ_ROOT)/ram/init/obj/magpie.o $(LINKFLAGS_sw.ram) -Map $(PRJNAME).map -o $(PRJNAME).out + +toolchain_prep: +ifeq ($(XTENSA_TOOL_INSTALLED),0) + @cd ../../../toolchain && \ + (test -d tools) || tar xvzf toolchain.tgz +endif + +image: + $(XOBJCOPY) \ + --change-section-lma .text-0x400000 \ + --change-section-vma .text-0x400000 \ + -O binary $(PRJNAME).out $(PRJNAME).bin + rm -f ../../../utility/athfw2lnx/athfw2lnx + $(shell cp -f fwu.c fwu.c.back 2> /dev/null) + $(shell cp -f htc_9271.fw htc_9271.fw.back 2> /dev/null) + $(BIN2HEX) $(PRJNAME).bin fwu.c 0 $(MAGPIE_IF) + $(MAKE) -C ../../../utility/athfw2lnx ATH_FW=$(PRJ_ROOT)/image/k2_ram/fwu.c + $(shell ../../../utility/athfw2lnx/athfw2lnx > htc_9271.fw) + +util: + $(MAKE) -C ../../../utility/ clean + $(MAKE) -C ../../../utility/ all diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/builds_fusion_vista.ram b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/builds_fusion_vista.ram new file mode 100755 index 0000000..35f7fa1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/builds_fusion_vista.ram @@ -0,0 +1,45 @@ +#!/bin/bash + +MAGPIE_ROOT=`pwd`/../../../../;export MAGPIE_ROOT +PROJECT=k2; export PROJECT +TARGET=ram; export TARGET + +PRJ_ROOT=$MAGPIE_ROOT/build/k2_1_0; export PRJ_ROOT +PRJNAME=ram; export PRJNAME +PRJPATH=$PRJ_ROOT/$PRJNAME; export PRJPATH +PRJ_HPATH=$PRJPATH/inc; export PRJ_HPATH + +XTENSA_TOOLS_INC=$XTENSA_TOOLS_ROOT/xtensa-elf/include +XTENSA_GCC_INC=$XTENSA_TOOLS_ROOT/lib/gcc/xtensa-elf/4.7.2/include + +HPATH=" -I$XTENSA_TOOLS_INC \ + -I$XTENSA_GCC_INC \ + -I$MAGPIE_ROOT/target/inc/k2 \ + -I$MAGPIE_ROOT/target/inc/k2/k2 \ + -I$MAGPIE_ROOT/../wlan/include \ + -I$MAGPIE_ROOT/../wlan/include/k2 \ + -I$MAGPIE_ROOT/../wlan/ \ + -I$MAGPIE_ROOT/target/cmnos/ \ + -I$MAGPIE_ROOT/target/wmi/ \ + -I$MAGPIE_ROOT/target/wlan/ \ + -I$MAGPIE_ROOT/target/buf_pool/ \ + -I$MAGPIE_ROOT/target/htc/ \ + -I$MAGPIE_ROOT/target/inc \ + -I$MAGPIE_ROOT/target/inc/OTUS \ + -I$MAGPIE_ROOT/target/adf/ \ + -I$MAGPIE_ROOT/build/include"; + + +export HPATH + + +LIB_PATH=$PRJ_ROOT/lib/ram; export LIB_PATH +CROSS_COMPILE=$XTENSA_TOOLS_ROOT/bin; export CROSS_COMPILE +TARGET_PREFIX=xt-; export TARGET_PREFIX + +ARCH=""; export ARCH +DEFS=""; export DEFS +CCOPTS=" -g -Os -Wunused-label -Wunused-variable -Wunused-value -Wpointer-arith -Wundef -nostdlib -Wundef"; export CCOPTS +ASOPTS="-Wa, --fatal-warnings"; export ASOPTS +DFLAGS="-D_RAM_ -DPROJECT_K2 -DBIG_ENDIAN -D_BYTE_ORDER=_BIG_ENDIAN -D__XTENSA__ -DFUSION_USB_FW -DRX_SCATTER -DFUSION_USB_ENABLE_TX_STREAM -DFUSION_USB_ENABLE_RX_STREAM -DATH_ENABLE_CABQ"; export DFLAGS +TARGET_LDFLAGS="-g -nostdlib"; export TARGET_LDFLAGS diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/rom.addrs.ld b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/rom.addrs.ld new file mode 100755 index 0000000..936d4d4 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/rom.addrs.ld @@ -0,0 +1,69 @@ +PROVIDE ( _indir_tbl = 0x00500000 ); +PROVIDE (athos_indirection_table_install = 0x008e1548); +PROVIDE ( memcpy = 0x008e4eb8 ); +PROVIDE ( memset = 0x008e519c ); +PROVIDE ( __divsi3 = 0x008e4cec ); +PROVIDE ( __udivsi3 = 0x008e4da0 ); +PROVIDE ( __umodsi3 = 0x008e4df0 ); +PROVIDE ( __modsi3 = 0x008e4d54 ); +PROVIDE ( athos_interrupt_init = 0x008e1600 ); +PROVIDE ( athos_unblock_all_intrlvl = 0x008e1460 ); +PROVIDE ( athos_interrupt_handler = 0x008e15f0 ); +PROVIDE ( _xtos_set_interrupt_handler = 0x008e1230 ); +PROVIDE ( hif_module_install = 0x008e2bd4 ); +PROVIDE ( strcmp = 0x008e48b4 ); +PROVIDE ( strlen = 0x008e4a64 ); +PROVIDE ( strcpy = 0x008e49cc ); +PROVIDE ( strncpy = 0x008e4b90 ); +PROVIDE ( Xthal_num_ccompare = 0x004e5869 ); +PROVIDE ( cticks = 0x0050088c ); +PROVIDE ( UsbDeviceDescriptor = 0x004e0100 ); +PROVIDE ( String00Descriptor = 0x004e01a0 ); +PROVIDE ( String10Descriptor = 0x004e01b0 ); +PROVIDE ( String20Descriptor = 0x004e01c0 ); +PROVIDE ( String30Descriptor = 0x004e01e0 ); +PROVIDE ( HIFusb_DescTraceDump = 0x008e2c18 ); +PROVIDE ( xthal_get_intenable = 0x008e4cd4 ); +PROVIDE ( xthal_set_intenable = 0x008e4ce4 ); +PROVIDE ( xthal_get_interrupt = 0x008e4cdc ); +PROVIDE ( xthal_get_ccompare = 0x008e4cc4 ); +PROVIDE ( xthal_set_ccompare = 0x008e4cb4 ); +PROVIDE ( xthal_get_ccount = 0x008e4cac ); +PROVIDE ( Xthal_num_ccompare = 0x004e5869 ); +PROVIDE ( zfDmaReclaimPacket = 0x008e4568 ); +PROVIDE ( zfDmaPutPacket = 0x008e45fc ); +PROVIDE ( zfDmaGetPacket = 0x008e452c ); +PROVIDE ( handle_hp_rx_complete_isr = 0x008e2b18 ); +PROVIDE ( handle_mp_rx_complete_isr = 0x008e2b38 ); +PROVIDE ( handle_tx_complete_isr = 0x008e2adc ); +PROVIDE ( u16TxRxCounter = 0x005009e4 ); +PROVIDE ( pu8DescriptorEX = 0x005009e0 ); +PROVIDE ( fwCheckSum = 0x005009dc ); +PROVIDE ( eUsbCxCommand = 0x005009f0 ); +PROVIDE ( ControlCmd = 0x005009c0 ); +PROVIDE ( eUsbCxFinishAction = 0x005009ec ); +PROVIDE ( UsbChirpFinish = 0x005009f4 ); +PROVIDE ( cmnos_allocram_debug = 0x008e1ae8 ); +PROVIDE ( g_hifUSBCtx = 0x00500978 ); +PROVIDE ( _HIFusb_return_recv_buf = 0x008e2a74 ); +PROVIDE ( vdesc_module_install = 0x008e413c ); +PROVIDE ( vbuf_module_install = 0x008e408c ); +PROVIDE ( mUsbFIFOConfig = 0x008e3ca4 ); +PROVIDE ( mUsbEPMxPtSzHigh = 0x008e3cc4 ); +PROVIDE ( mUsbEPMxPtSzLow = 0x008e3cec ); +PROVIDE ( mUsbEPinHighBandSet = 0x008e3d10 ); +PROVIDE ( mUsbFIFOConfig = 0x008e3ca4 ); +PROVIDE ( mUsbFIFOMap = 0x008e3c84 ); +PROVIDE ( mUsbEPMap = 0x008e3c68 ); +PROVIDE ( usbFifoConf = 0x005009cc ); +PROVIDE ( u8UsbInterfaceValue = 0x005009f8 ); +PROVIDE ( u8UsbConfigValue = 0x005009f6 ); +PROVIDE ( u8UsbInterfaceAlternateSetting = 0x005009fa ); +PROVIDE ( ControlCmd = 0x005009c0 ); +PROVIDE ( vUsbFIFO_EPxCfg_HS = 0x008e3d5c ); +PROVIDE ( vUsbClrEPx = 0x008e2d00 ); +PROVIDE ( bSet_configuration = 0x008e2f7c ); +PROVIDE ( _HIFusb_isr_handler = 0x008e2b58 ); +PROVIDE ( bGet_descriptor = 0x008e2ec4 ); +PROVIDE ( u8ConfigDescriptorEX = 0x005009e8 ); +PROVIDE ( bStandardCommand = 0x008e328c ); diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/target.ram.ld b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/target.ram.ld new file mode 100755 index 0000000..0b2d62e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/target.ram.ld @@ -0,0 +1,264 @@ + +/* Linker script for Magpie RAM-based applications */ + +/* +Currently, ROM code reserves the first 8KB of RAM for its data/bss. +The next 12KB or RAM is used for RAM software's literals and read-only data. +After that comes 64KB reserved for RAM software's data and bss. +Then 92KB of RAM is reserved for text (code). +The last 8KB of RAM is reserved for ROM patches, customer DataSets, +and RAM software expansion. (There is also some additional RAM between +segments that can be used, if needed.) + ROM reserved: 0x00500000..0x00501fff + Literals: 0x00502000..0x00504fff + Data: 0x00505000..0x00514fff + Text: 0x00915000..0x0092bfff +TBD: May want to use a 2-pass link approach in order to +eliminate fixed boundaries. Support for physical addressing +in Tensilica tools would be helpful, but it's not coming +any time soon. +*/ + +/* dram_seg: 0x504000, see target.rom.ld */ + +/* ***** */ +MEMORY +{ + lit_seg : org = 0x004E5200, len = 0x1DE00 + iram_seg : org = 0x00903000, len = 0x9B40 + dram_seg : org = 0x0050CB40, len = 0x1800 + +} + +PHDRS +{ + lit_phdr PT_LOAD; + dram_phdr PT_LOAD; + iram_phdr PT_LOAD; +} + +/* Default entry point: */ +ENTRY(app_start) + +SECTIONS +{ + /* + * This empty section is used to convince RAM linkage + * to share litbase with ROM code. + */ + .lit4 (NOLOAD) : + { + _rom_literal_start = ABSOLUTE(.); + . += 0x1BE00; /* Reserved virtual space for physical mem gap and ROM */ + _lit4_start = ABSOLUTE(.); + _lit4_end = ABSOLUTE(.); + _rom_literal_end = ABSOLUTE(.); + } >lit_seg :NONE + + .dport0.rodata : + { + _dport0_rodata_start = ABSOLUTE(.); /* 0x505000 */ + *(.dport0.rodata) + *(.dport.rodata) + _dport0_rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dport0.literal : + { + _dport0_literal_start = ABSOLUTE(.); + *(.dport0.literal) + *(.dport.literal) + _dport0_literal_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dram0.rodata : + { + _dram0_rodata_start = ABSOLUTE(.); + *(.dram0.rodata) + *(.dram.rodata) + _dram0_rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .rodata : + { + _rodata_start = ABSOLUTE(.); + *(.rodata) + *(.rodata.*) + *(.gnu.linkonce.r.*) + *(.rodata1) + __XT_EXCEPTION_TABLE__ = ABSOLUTE(.); + *(.xt_except_table) + *(.gcc_except_table) + *(.gnu.linkonce.e.*) + *(.gnu.version_r) + . = ALIGN(4); /* this table MUST be 4-byte aligned */ + _bss_table_start = ABSOLUTE(.); + LONG(_dport0_bss_start) + LONG(_dport0_bss_end) + LONG(_bss_start) + LONG(_bss_end) + _bss_table_end = ABSOLUTE(.); + _rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .literals : + { + _literals_start = ABSOLUTE(.); + *(*.lit4) + *(.gnu.linkonce.lit4.*) + _literals_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dram0.literal : + { + _dram0_literal_start = ABSOLUTE(.); + *(.dram0.literal) + *(.dram.literal) + _dram0_literal_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dport0.data : + { + _dport0_data_start = ABSOLUTE(.); + *(.dport0.data) + *(.dport.data) + _dport0_data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .dport0.bss : + { + . = ALIGN (8); + _dport0_bss_start = ABSOLUTE(.); + *(.dport0.bss) + . = ALIGN (8); + _dport0_bss_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .dram0.data : + { + _dram0_data_start = ABSOLUTE(.); + *(.dram0.data) + *(.dram.data) + _dram0_data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .data : + { + _data_start = ABSOLUTE(.); + *(.data) + *(.data.*) + *(.gnu.linkonce.d.*) + *(.data1) + *(.sdata) + *(.sdata.*) + *(.gnu.linkonce.s.*) + *(.sdata2) + *(.sdata2.*) + *(.gnu.linkonce.s2.*) + *(.jcr) + *(.eh_frame) + /* C++ constructor and destructor tables, properly ordered: */ + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + /* C++ exception handlers table: */ + __XT_EXCEPTION_DESCS__ = ABSOLUTE(.); + *(.xt_except_desc) + *(.gnu.linkonce.h.*) + __XT_EXCEPTION_DESCS_END__ = ABSOLUTE(.); + *(.xt_except_desc_end) + *(.dynamic) + *(.gnu.version_d) + _data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .bss : + { + . = ALIGN (8); + _bss_start = ABSOLUTE(.); + *(.dynsbss) + *(.sbss) + *(.sbss.*) + *(.gnu.linkonce.sb.*) + *(.scommon) + *(.sbss2) + *(.sbss2.*) + *(.gnu.linkonce.sb2.*) + *(.dynbss) + *(.bss) + *(.bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + *(.dram0.bss) + . = ALIGN (8); + _bss_end = ABSOLUTE(.); + _end = ALIGN(0x8); + PROVIDE(end = ALIGN(0x8)); + _fw_image_end = ABSOLUTE(.); + /*_stack_sentry = ALIGN(0x8);*/ + } >dram_seg :dram_phdr + + .text : + { + _stext = .; + _text_start = ABSOLUTE(.); + *(.entry.text) + *(.init.literal) + *(.init) + *(.literal .text .text.* .stub .gnu.warning .gnu.linkonce.literal.* .gnu.linkonce.t.*.literal .gnu.linkonce.t.*) + *(.fini.literal) + *(.fini) + *(.gnu.version) + _text_end = ABSOLUTE(.); + _etext = .; + } >iram_seg :iram_phdr + + .iram0.text : + { + _iram0_text_start = ABSOLUTE(.); + *(.iram0.literal .iram.literal .iram.text.literal .iram0.text .iram.text) + _iram0_text_end = ABSOLUTE(.); + } >iram_seg :iram_phdr + + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + .debug_info 0 : { *(.debug_info) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } + + .xt.insn 0 : + { + KEEP (*(.xt.insn)) + KEEP (*(.gnu.linkonce.x.*)) + } + .xt.prop 0 : + { + KEEP (*(.xt.prop)) + KEEP (*(.gnu.linkonce.prop.*)) + } + .xt.lit 0 : + { + KEEP (*(.xt.lit)) + KEEP (*(.gnu.linkonce.p.*)) + } +} + +INCLUDE "rom.addrs.ld" + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/target.ram_debug.ld b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/target.ram_debug.ld new file mode 100755 index 0000000..72b293f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/image/k2_ram/target.ram_debug.ld @@ -0,0 +1,264 @@ + +/* Linker script for Magpie RAM-based applications */ + +/* +Currently, ROM code reserves the first 8KB of RAM for its data/bss. +The next 12KB or RAM is used for RAM software's literals and read-only data. +After that comes 64KB reserved for RAM software's data and bss. +Then 92KB of RAM is reserved for text (code). +The last 8KB of RAM is reserved for ROM patches, customer DataSets, +and RAM software expansion. (There is also some additional RAM between +segments that can be used, if needed.) + ROM reserved: 0x00500000..0x00501fff + Literals: 0x00502000..0x00504fff + Data: 0x00505000..0x00514fff + Text: 0x00915000..0x0092bfff +TBD: May want to use a 2-pass link approach in order to +eliminate fixed boundaries. Support for physical addressing +in Tensilica tools would be helpful, but it's not coming +any time soon. +*/ + +/* dram_seg: 0x504000, see target.rom.ld */ + +/* ***** */ +MEMORY +{ + lit_seg : org = 0x004E5200, len = 0x1EE00 + iram_seg : org = 0x00904000, len = 0xA800 + dram_seg : org = 0x0050E800, len = 0x1800 + +} + +PHDRS +{ + lit_phdr PT_LOAD; + dram_phdr PT_LOAD; + iram_phdr PT_LOAD; +} + +/* Default entry point: */ +ENTRY(app_start) + +SECTIONS +{ + /* + * This empty section is used to convince RAM linkage + * to share litbase with ROM code. + */ + .lit4 (NOLOAD) : + { + _rom_literal_start = ABSOLUTE(.); + . += 0x1BE00; /* Reserved virtual space for physical mem gap and ROM */ + _lit4_start = ABSOLUTE(.); + _lit4_end = ABSOLUTE(.); + _rom_literal_end = ABSOLUTE(.); + } >lit_seg :NONE + + .dport0.rodata : + { + _dport0_rodata_start = ABSOLUTE(.); /* 0x505000 */ + *(.dport0.rodata) + *(.dport.rodata) + _dport0_rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dport0.literal : + { + _dport0_literal_start = ABSOLUTE(.); + *(.dport0.literal) + *(.dport.literal) + _dport0_literal_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dram0.rodata : + { + _dram0_rodata_start = ABSOLUTE(.); + *(.dram0.rodata) + *(.dram.rodata) + _dram0_rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .rodata : + { + _rodata_start = ABSOLUTE(.); + *(.rodata) + *(.rodata.*) + *(.gnu.linkonce.r.*) + *(.rodata1) + __XT_EXCEPTION_TABLE__ = ABSOLUTE(.); + *(.xt_except_table) + *(.gcc_except_table) + *(.gnu.linkonce.e.*) + *(.gnu.version_r) + . = ALIGN(4); /* this table MUST be 4-byte aligned */ + _bss_table_start = ABSOLUTE(.); + LONG(_dport0_bss_start) + LONG(_dport0_bss_end) + LONG(_bss_start) + LONG(_bss_end) + _bss_table_end = ABSOLUTE(.); + _rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .literals : + { + _literals_start = ABSOLUTE(.); + *(*.lit4) + *(.gnu.linkonce.lit4.*) + _literals_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dram0.literal : + { + _dram0_literal_start = ABSOLUTE(.); + *(.dram0.literal) + *(.dram.literal) + _dram0_literal_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dport0.data : + { + _dport0_data_start = ABSOLUTE(.); + *(.dport0.data) + *(.dport.data) + _dport0_data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .dport0.bss : + { + . = ALIGN (8); + _dport0_bss_start = ABSOLUTE(.); + *(.dport0.bss) + . = ALIGN (8); + _dport0_bss_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .dram0.data : + { + _dram0_data_start = ABSOLUTE(.); + *(.dram0.data) + *(.dram.data) + _dram0_data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .data : + { + _data_start = ABSOLUTE(.); + *(.data) + *(.data.*) + *(.gnu.linkonce.d.*) + *(.data1) + *(.sdata) + *(.sdata.*) + *(.gnu.linkonce.s.*) + *(.sdata2) + *(.sdata2.*) + *(.gnu.linkonce.s2.*) + *(.jcr) + *(.eh_frame) + /* C++ constructor and destructor tables, properly ordered: */ + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + /* C++ exception handlers table: */ + __XT_EXCEPTION_DESCS__ = ABSOLUTE(.); + *(.xt_except_desc) + *(.gnu.linkonce.h.*) + __XT_EXCEPTION_DESCS_END__ = ABSOLUTE(.); + *(.xt_except_desc_end) + *(.dynamic) + *(.gnu.version_d) + _data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .bss : + { + . = ALIGN (8); + _bss_start = ABSOLUTE(.); + *(.dynsbss) + *(.sbss) + *(.sbss.*) + *(.gnu.linkonce.sb.*) + *(.scommon) + *(.sbss2) + *(.sbss2.*) + *(.gnu.linkonce.sb2.*) + *(.dynbss) + *(.bss) + *(.bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + *(.dram0.bss) + . = ALIGN (8); + _bss_end = ABSOLUTE(.); + _end = ALIGN(0x8); + PROVIDE(end = ALIGN(0x8)); + _fw_image_end = ABSOLUTE(.); + /*_stack_sentry = ALIGN(0x8);*/ + } >dram_seg :dram_phdr + + .text : + { + _stext = .; + _text_start = ABSOLUTE(.); + *(.entry.text) + *(.init.literal) + *(.init) + *(.literal .text .text.* .stub .gnu.warning .gnu.linkonce.literal.* .gnu.linkonce.t.*.literal .gnu.linkonce.t.*) + *(.fini.literal) + *(.fini) + *(.gnu.version) + _text_end = ABSOLUTE(.); + _etext = .; + } >iram_seg :iram_phdr + + .iram0.text : + { + _iram0_text_start = ABSOLUTE(.); + *(.iram0.literal .iram.literal .iram.text.literal .iram0.text .iram.text) + _iram0_text_end = ABSOLUTE(.); + } >iram_seg :iram_phdr + + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + .debug_info 0 : { *(.debug_info) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } + + .xt.insn 0 : + { + KEEP (*(.xt.insn)) + KEEP (*(.gnu.linkonce.x.*)) + } + .xt.prop 0 : + { + KEEP (*(.xt.prop)) + KEEP (*(.gnu.linkonce.prop.*)) + } + .xt.lit 0 : + { + KEEP (*(.xt.lit)) + KEEP (*(.gnu.linkonce.p.*)) + } +} + +INCLUDE "rom.addrs.ld" + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/lib/ram/dummy.txt b/target_firmware/magpie_fw_dev/build/k2_1_0/lib/ram/dummy.txt new file mode 100755 index 0000000..e69de29 diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/adf/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/adf/Makefile new file mode 100755 index 0000000..eee2bb6 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/adf/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = adf + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(LAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +# export SSOBJPATH = $(PRJ_ROOT)/build/$(TARGET)/$(LAYERNAME)/obj + + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/obj + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . +#DIRS = net os nbuf + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libadf.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/adf/Makefile.ss b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/adf/Makefile.ss new file mode 100755 index 0000000..8611c2a --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/adf/Makefile.ss @@ -0,0 +1,48 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/adf_nbuf.o \ + $(SSOBJPATH)/adf_net.o \ + $(SSOBJPATH)/adf_os_defer_pvt.o \ + $(SSOBJPATH)/adf_os_dma.o \ + $(SSOBJPATH)/adf_os_irq_pvt.o \ + $(SSOBJPATH)/adf_os_timer.o + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/buf_pool/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/buf_pool/Makefile new file mode 100755 index 0000000..2eeb0ba --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/buf_pool/Makefile @@ -0,0 +1,59 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = buf_pool + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libbuf_pool.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/buf_pool/Makefile.ss b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/buf_pool/Makefile.ss new file mode 100755 index 0000000..1fe76f9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/buf_pool/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/buf_pool_static.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/carrier_apd/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/carrier_apd/Makefile new file mode 100755 index 0000000..d9b80f7 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/carrier_apd/Makefile @@ -0,0 +1,59 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = carrier_apd +export SLAYERNAME = ../wlan + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/$(SLAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +# export SSOBJPATH = $(PRJ_ROOT)/build/$(TARGET)/$(LAYERNAME)/usb/obj + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SLAYERNAME)/$(SSNAME)/obj + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcarrier_apd.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/carrier_apd/Makefile.ss b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/carrier_apd/Makefile.ss new file mode 100755 index 0000000..bef6db9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/carrier_apd/Makefile.ss @@ -0,0 +1,53 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/ah.o \ + $(SSOBJPATH)/ar5416_hw.o \ + $(SSOBJPATH)/ar5416_phy.o \ + $(SSOBJPATH)/ah_osdep.o \ + $(SSOBJPATH)/if_ath.o \ + $(SSOBJPATH)/if_ath_pci.o \ + $(SSOBJPATH)/if_owl.o \ + $(SSOBJPATH)/ieee80211_output.o \ + $(SSOBJPATH)/ar5416Phy.o \ + $(SSOBJPATH)/ratectrl_11n_ln.o + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/cmnos/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/cmnos/Makefile new file mode 100755 index 0000000..5fbf26b --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/cmnos/Makefile @@ -0,0 +1,57 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = cmnos + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(LAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +# export SSOBJPATH = $(PRJ_ROOT)/build/$(TARGET)/$(LAYERNAME)/obj +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/obj + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . +#DIRS = dbg printf sflash clock + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/cmnos/Makefile.ss b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/cmnos/Makefile.ss new file mode 100755 index 0000000..74f66c2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/cmnos/Makefile.ss @@ -0,0 +1,48 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/k2_cmnos_clock_patch.o \ + $(SSOBJPATH)/dbg_api.o \ + $(SSOBJPATH)/k2_fw_cmnos_printf.o \ + $(SSOBJPATH)/cmnos_sflash.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/hif/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/hif/Makefile new file mode 100755 index 0000000..52c578f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/hif/Makefile @@ -0,0 +1,59 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = hif + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(LAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +# export SSOBJPATH = $(PRJ_ROOT)/build/$(TARGET)/$(LAYERNAME)/obj +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/obj + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +#DIRS = usb +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libhif.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/hif/Makefile.ss b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/hif/Makefile.ss new file mode 100755 index 0000000..2a17993 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/hif/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/k2_fw_usb_api.o \ + $(SSOBJPATH)/k2_HIF_usb_patch.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/htc/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/htc/Makefile new file mode 100755 index 0000000..d1159ac --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/htc/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = htc + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libhtc.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/htc/Makefile.ss b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/htc/Makefile.ss new file mode 100755 index 0000000..ae26c92 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/htc/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/htc.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/init/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/init/Makefile new file mode 100755 index 0000000..81da922 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/init/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = ram +export SSNAME = init + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libinit.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/init/Makefile.ss b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/init/Makefile.ss new file mode 100755 index 0000000..642be84 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/init/Makefile.ss @@ -0,0 +1,46 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/app_start.o \ + $(SSOBJPATH)/init.o \ + $(SSOBJPATH)/magpie.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wlan/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wlan/Makefile new file mode 100755 index 0000000..c05e4e9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wlan/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = ram +export SSNAME = wlan + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libwlan.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wlan/Makefile.ss b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wlan/Makefile.ss new file mode 100755 index 0000000..aab1446 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wlan/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/wlan_pci.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wmi/Makefile b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wmi/Makefile new file mode 100755 index 0000000..6a3704f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wmi/Makefile @@ -0,0 +1,59 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = wmi + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libwmi.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wmi/Makefile.ss b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wmi/Makefile.ss new file mode 100755 index 0000000..dd4a587 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/k2_1_0/ram/wmi/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/wmi_svc.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/Makefile new file mode 100755 index 0000000..6193b99 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/Makefile @@ -0,0 +1,83 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + +ifeq ($(TGT_BUILD),) + TGT_BUILD=asic +endif + +DIRS = \ + $(PRJ_ROOT)/$(TARGET)/adf \ + $(PRJ_ROOT)/$(TARGET)/init \ + $(PRJ_ROOT)/$(TARGET)/wmi \ + $(PRJ_ROOT)/$(TARGET)/wlan \ + $(PRJ_ROOT)/$(TARGET)/carrier_apd \ + $(PRJ_ROOT)/$(TARGET)/cmnos \ + $(PRJ_ROOT)/$(TARGET)/rompatch + +COMPONENTS_sw.ram = \ + $(LIB_PATH)/libcmnos.a \ + $(LIB_PATH)/libadf.a \ + $(LIB_PATH)/libwmi.a \ + $(LIB_PATH)/libwlan.a \ + $(LIB_PATH)/libcarrier_apd.a \ + $(LIB_PATH)/librompatch.a + + +LINKFLAGS_sw.ram = \ + -T./target.ram.ld \ + --start-group \ + $(COMPONENTS_sw.ram) \ + --end-group + +FW_LOAD_ADDR=`$(XREADELF) -l ram.out | grep LOAD | awk '/LOAD/ { if (NR==1) print $$3 }'` +FW_EXEC_ADDR=`$(XREADELF) -l ram.out | grep 'Entry point' | awk '{ print $$3 }'` + +all: util toolchain_prep + @for i in $(DIRS) ; do $(MAKE) -C $$i all CC=$(XCC) LD=$(XLD) AR=$(XAR) || exit ; done + +dep: util toolchain_prep + for i in $(DIRS) ; do $(MAKE) -C $$i dep CC=$(XCC) LD=$(XLD) AR=$(XAR) || exit ; done + +clean: util_clean + find $(PRJ_ROOT)/ram -name "*.o" -exec rm -f {} \; + find $(PRJ_ROOT)/lib -name "*.a" -exec rm -f {} \; +# for i in $(DIRS) ; do $(MAKE) -C $$i clean; done + rm -f *.bin *.objdump *.out *.map *.c rom.addrs.ld *.fw *.fw.back *.c.back + rm -f ../../../utility/athfw2lnx/athfw2lnx + +init: toolchain_prep + for i in $(DIRS) ; do $(MAKE) -C $$i init CC=$(XCC) LD=$(XLD) AR=$(XAR) ; done + +build: binary image + +binary: + if [ -d $(OUTPUT_DIR)/$(TGT_BUILD) ]; \ + then cp -vf $(OUTPUT_DIR)/$(TGT_BUILD)/rom.$(TGT_BUILD).addrs.ld rom.addrs.ld; \ + fi + + $(XLD) $(PRJ_ROOT)/ram/init/obj/app_start.o $(PRJ_ROOT)/ram/init/obj/init.o $(PRJ_ROOT)/ram/init/obj/magpie.o $(LINKFLAGS_sw.ram) -Map $(PRJNAME).map -o $(PRJNAME).out + +toolchain_prep: +ifeq ($(XTENSA_TOOL_INSTALLED),0) + @cd ../../../toolchain && \ + (test -d tools) || tar xvzf toolchain.tgz + +endif + +image: + $(XOBJCOPY) \ + --change-section-lma .text-0x400000 \ + --change-section-vma .text-0x400000 \ + -O binary $(PRJNAME).out $(PRJNAME).bin + $(XOBJDUMP) -Dlxs $(PRJNAME).out > $(PRJNAME).objdump + rm -f ../../../utility/athfw2lnx/athfw2lnx + $(shell cp -f fwu.c fwu.c.back 2> /dev/null) + $(shell cp -f htc_7010.fw htc_7010.fw.back 2> /dev/null) + $(BIN2HEX) $(PRJNAME).bin fwu.c 0 usb + $(MAKE) -C ../../../utility/athfw2lnx ATH_FW=$(PRJ_ROOT)/image/magpie_ram/fwu.c + $(shell ../../../utility/athfw2lnx/athfw2lnx > htc_7010.fw) + +util: + @cd ../../../utility && $(MAKE) all + +util_clean: + @cd ../../../utility && $(MAKE) clean diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/merlin_fusion.ram b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/merlin_fusion.ram new file mode 100755 index 0000000..07bde75 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/merlin_fusion.ram @@ -0,0 +1,39 @@ +#!/bin/bash + +MAGPIE_ROOT=`pwd`/../../../../;export MAGPIE_ROOT +PROJECT=magpie; export PROJECT +TARGET=ram; export TARGET + +PRJ_ROOT=$MAGPIE_ROOT/build/magpie_1_1; export PRJ_ROOT +PRJNAME=ram; export PRJNAME +PRJPATH=$PRJ_ROOT/$PRJNAME; export PRJPATH +PRJ_HPATH=$PRJPATH/inc; export PRJ_HPATH +OUTPUT_DIR=$PRJ_ROOT/image/output; export OUTPUT_DIR + +XTENSA_TOOLS_INC=$XTENSA_TOOLS_ROOT/xtensa-elf/include + +HPATH=" -I$XTENSA_TOOLS_INC \ + -I$PRJ_ROOT/inc \ + -I$PRJ_ROOT/inc/$PROJECT \ + -I$MAGPIE_ROOT/../wlan/include \ + -I$MAGPIE_ROOT/../wlan/include/magpie \ + -I$MAGPIE_ROOT/../wlan/ \ + -I$MAGPIE_ROOT/target/cmnos/ \ + -I$MAGPIE_ROOT/target/wmi/ \ + -I$MAGPIE_ROOT/target/wlan/ \ + -I$MAGPIE_ROOT/target/inc \ + -I$MAGPIE_ROOT/target/inc/OTUS \ + -I$MAGPIE_ROOT/target/adf/ \ + -I$MAGPIE_ROOT/build/include"; + +export HPATH + +LIB_PATH=$PRJ_ROOT/lib/ram; export LIB_PATH +CROSS_COMPILE=$XTENSA_TOOLS_ROOT/bin; export CROSS_COMPILE +TARGET_PREFIX=xt-; export TARGET_PREFIX + +ARCH=""; export ARCH +DEFS=""; export DEFS +CCOPTS=" -g -Os -Wunused-label -Wunused-variable -Wunused-value -Wpointer-arith -Wundef -nostdlib -Wundef"; export CCOPTS +ASOPTS="-Wa, --fatal-warnings"; export ASOPTS +DFLAGS="-DROM_VER_1_1 -D_ROM_1_1_ -D_RAM_ -DPROJECT_MAGPIE -DBIG_ENDIAN -D_BYTE_ORDER=_BIG_ENDIAN -DATH_STATS_ENABLE -D__XTENSA__ -DFUSION_USB_FW -DMAGPIE_MERLIN -DRX_SCATTER -DFUSION_USB_ENABLE_TX_STREAM -DFUSION_USB_ENABLE_RX_STREAM -DATH_ENABLE_CABQ"; export DFLAGS diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/target.ram.ld b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/target.ram.ld new file mode 100755 index 0000000..0da8315 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/target.ram.ld @@ -0,0 +1,264 @@ + +/* Linker script for Magpie RAM-based applications */ + +/* +Currently, ROM code reserves the first 8KB of RAM for its data/bss. +The next 12KB or RAM is used for RAM software's literals and read-only data. +After that comes 64KB reserved for RAM software's data and bss. +Then 92KB of RAM is reserved for text (code). +The last 8KB of RAM is reserved for ROM patches, customer DataSets, +and RAM software expansion. (There is also some additional RAM between +segments that can be used, if needed.) + ROM reserved: 0x00500000..0x00501fff + Literals: 0x00502000..0x00504fff + Data: 0x00505000..0x00514fff + Text: 0x00915000..0x0092bfff +TBD: May want to use a 2-pass link approach in order to +eliminate fixed boundaries. Support for physical addressing +in Tensilica tools would be helpful, but it's not coming +any time soon. +*/ + +/* dram_seg: 0x504000, see target.rom.ld */ + +/* ***** */ +MEMORY +{ + lit_seg : org = 0x004E8000, len = 0x1f600 + iram_seg : org = 0x00906000, len = 0xad00 + dram_seg : org = 0x00510d00, len = 0x6000 + +} + +PHDRS +{ + lit_phdr PT_LOAD; + dram_phdr PT_LOAD; + iram_phdr PT_LOAD; +} + +/* Default entry point: */ +ENTRY(app_start) + +SECTIONS +{ + /* + * This empty section is used to convince RAM linkage + * to share litbase with ROM code. + */ + .lit4 (NOLOAD) : + { + _rom_literal_start = ABSOLUTE(.); + . += 0x19000; /* Reserved virtual space for physical mem gap and ROM */ + _lit4_start = ABSOLUTE(.); + _lit4_end = ABSOLUTE(.); + _rom_literal_end = ABSOLUTE(.); + } >lit_seg :NONE + + .dport0.rodata : + { + _dport0_rodata_start = ABSOLUTE(.); /* 0x505000 */ + *(.dport0.rodata) + *(.dport.rodata) + _dport0_rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dport0.literal : + { + _dport0_literal_start = ABSOLUTE(.); + *(.dport0.literal) + *(.dport.literal) + _dport0_literal_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dram0.rodata : + { + _dram0_rodata_start = ABSOLUTE(.); + *(.dram0.rodata) + *(.dram.rodata) + _dram0_rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .rodata : + { + _rodata_start = ABSOLUTE(.); + *(.rodata) + *(.rodata.*) + *(.gnu.linkonce.r.*) + *(.rodata1) + __XT_EXCEPTION_TABLE__ = ABSOLUTE(.); + *(.xt_except_table) + *(.gcc_except_table) + *(.gnu.linkonce.e.*) + *(.gnu.version_r) + . = ALIGN(4); /* this table MUST be 4-byte aligned */ + _bss_table_start = ABSOLUTE(.); + LONG(_dport0_bss_start) + LONG(_dport0_bss_end) + LONG(_bss_start) + LONG(_bss_end) + _bss_table_end = ABSOLUTE(.); + _rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .literals : + { + _literals_start = ABSOLUTE(.); + *(*.lit4) + *(.gnu.linkonce.lit4.*) + _literals_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dram0.literal : + { + _dram0_literal_start = ABSOLUTE(.); + *(.dram0.literal) + *(.dram.literal) + _dram0_literal_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dport0.data : + { + _dport0_data_start = ABSOLUTE(.); + *(.dport0.data) + *(.dport.data) + _dport0_data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .dport0.bss : + { + . = ALIGN (8); + _dport0_bss_start = ABSOLUTE(.); + *(.dport0.bss) + . = ALIGN (8); + _dport0_bss_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .dram0.data : + { + _dram0_data_start = ABSOLUTE(.); + *(.dram0.data) + *(.dram.data) + _dram0_data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .data : + { + _data_start = ABSOLUTE(.); + *(.data) + *(.data.*) + *(.gnu.linkonce.d.*) + *(.data1) + *(.sdata) + *(.sdata.*) + *(.gnu.linkonce.s.*) + *(.sdata2) + *(.sdata2.*) + *(.gnu.linkonce.s2.*) + *(.jcr) + *(.eh_frame) + /* C++ constructor and destructor tables, properly ordered: */ + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + /* C++ exception handlers table: */ + __XT_EXCEPTION_DESCS__ = ABSOLUTE(.); + *(.xt_except_desc) + *(.gnu.linkonce.h.*) + __XT_EXCEPTION_DESCS_END__ = ABSOLUTE(.); + *(.xt_except_desc_end) + *(.dynamic) + *(.gnu.version_d) + _data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .bss : + { + . = ALIGN (8); + _bss_start = ABSOLUTE(.); + *(.dynsbss) + *(.sbss) + *(.sbss.*) + *(.gnu.linkonce.sb.*) + *(.scommon) + *(.sbss2) + *(.sbss2.*) + *(.gnu.linkonce.sb2.*) + *(.dynbss) + *(.bss) + *(.bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + *(.dram0.bss) + . = ALIGN (8); + _bss_end = ABSOLUTE(.); + _end = ALIGN(0x8); + PROVIDE(end = ALIGN(0x8)); + _fw_image_end = ABSOLUTE(.); + /*_stack_sentry = ALIGN(0x8);*/ + } >dram_seg :dram_phdr + + .text : + { + _stext = .; + _text_start = ABSOLUTE(.); + *(.entry.text) + *(.init.literal) + *(.init) + *(.literal .text .text.* .stub .gnu.warning .gnu.linkonce.literal.* .gnu.linkonce.t.*.literal .gnu.linkonce.t.*) + *(.fini.literal) + *(.fini) + *(.gnu.version) + _text_end = ABSOLUTE(.); + _etext = .; + } >iram_seg :iram_phdr + + .iram0.text : + { + _iram0_text_start = ABSOLUTE(.); + *(.iram0.literal .iram.literal .iram.text.literal .iram0.text .iram.text) + _iram0_text_end = ABSOLUTE(.); + } >iram_seg :iram_phdr + + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + .debug_info 0 : { *(.debug_info) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } + + .xt.insn 0 : + { + KEEP (*(.xt.insn)) + KEEP (*(.gnu.linkonce.x.*)) + } + .xt.prop 0 : + { + KEEP (*(.xt.prop)) + KEEP (*(.gnu.linkonce.prop.*)) + } + .xt.lit 0 : + { + KEEP (*(.xt.lit)) + KEEP (*(.gnu.linkonce.p.*)) + } +} + +INCLUDE "rom.addrs.ld" + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/target_gmac.ram.ld b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/target_gmac.ram.ld new file mode 100755 index 0000000..43a5d97 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/magpie_ram/target_gmac.ram.ld @@ -0,0 +1,267 @@ + +/* Linker script for Magpie RAM-based applications */ + +/* +Currently, ROM code reserves the first 8KB of RAM for its data/bss. +The next 12KB or RAM is used for RAM software's literals and read-only data. +After that comes 64KB reserved for RAM software's data and bss. +Then 92KB of RAM is reserved for text (code). +The last 8KB of RAM is reserved for ROM patches, customer DataSets, +and RAM software expansion. (There is also some additional RAM between +segments that can be used, if needed.) + ROM reserved: 0x00500000..0x00501fff + Literals: 0x00502000..0x00504fff + Data: 0x00505000..0x00514fff + Text: 0x00915000..0x0092bfff +TBD: May want to use a 2-pass link approach in order to +eliminate fixed boundaries. Support for physical addressing +in Tensilica tools would be helpful, but it's not coming +any time soon. +*/ + +/* dram_seg: 0x504000, see target.rom.ld */ + +/* ***** */ + /*lit_seg : org = 0x00508000, len = 0x9000 + iram_seg : org = 0x00911000, len = 0xb000 + dram_seg : org = 0x0051c000, len = 0x6000 */ +MEMORY +{ + lit_seg : org = 0x004E8000, len = 0x1E600 + iram_seg : org = 0x00906600, len = 0x9500 + dram_seg : org = 0x0050FB00, len = 0x6000 + +} + +PHDRS +{ + lit_phdr PT_LOAD; + dram_phdr PT_LOAD; + iram_phdr PT_LOAD; +} + +/* Default entry point: */ +ENTRY(app_start) + +SECTIONS +{ + /* + * This empty section is used to convince RAM linkage + * to share litbase with ROM code. + */ + .lit4 (NOLOAD) : + { + _rom_literal_start = ABSOLUTE(.); + . += 0x1a600; /* Reserved virtual space for physical mem gap and ROM */ + _lit4_start = ABSOLUTE(.); + _lit4_end = ABSOLUTE(.); + _rom_literal_end = ABSOLUTE(.); + } >lit_seg :NONE + + .dport0.rodata : + { + _dport0_rodata_start = ABSOLUTE(.); /* 0x505000 */ + *(.dport0.rodata) + *(.dport.rodata) + _dport0_rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dport0.literal : + { + _dport0_literal_start = ABSOLUTE(.); + *(.dport0.literal) + *(.dport.literal) + _dport0_literal_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dram0.rodata : + { + _dram0_rodata_start = ABSOLUTE(.); + *(.dram0.rodata) + *(.dram.rodata) + _dram0_rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .rodata : + { + _rodata_start = ABSOLUTE(.); + *(.rodata) + *(.rodata.*) + *(.gnu.linkonce.r.*) + *(.rodata1) + __XT_EXCEPTION_TABLE__ = ABSOLUTE(.); + *(.xt_except_table) + *(.gcc_except_table) + *(.gnu.linkonce.e.*) + *(.gnu.version_r) + . = ALIGN(4); /* this table MUST be 4-byte aligned */ + _bss_table_start = ABSOLUTE(.); + LONG(_dport0_bss_start) + LONG(_dport0_bss_end) + LONG(_bss_start) + LONG(_bss_end) + _bss_table_end = ABSOLUTE(.); + _rodata_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .literals : + { + _literals_start = ABSOLUTE(.); + *(*.lit4) + *(.gnu.linkonce.lit4.*) + _literals_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dram0.literal : + { + _dram0_literal_start = ABSOLUTE(.); + *(.dram0.literal) + *(.dram.literal) + _dram0_literal_end = ABSOLUTE(.); + } >lit_seg :lit_phdr + + .dport0.data : + { + _dport0_data_start = ABSOLUTE(.); + *(.dport0.data) + *(.dport.data) + _dport0_data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .dport0.bss : + { + . = ALIGN (8); + _dport0_bss_start = ABSOLUTE(.); + *(.dport0.bss) + . = ALIGN (8); + _dport0_bss_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .dram0.data : + { + _dram0_data_start = ABSOLUTE(.); + *(.dram0.data) + *(.dram.data) + _dram0_data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .data : + { + _data_start = ABSOLUTE(.); + *(.data) + *(.data.*) + *(.gnu.linkonce.d.*) + *(.data1) + *(.sdata) + *(.sdata.*) + *(.gnu.linkonce.s.*) + *(.sdata2) + *(.sdata2.*) + *(.gnu.linkonce.s2.*) + *(.jcr) + *(.eh_frame) + /* C++ constructor and destructor tables, properly ordered: */ + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + /* C++ exception handlers table: */ + __XT_EXCEPTION_DESCS__ = ABSOLUTE(.); + *(.xt_except_desc) + *(.gnu.linkonce.h.*) + __XT_EXCEPTION_DESCS_END__ = ABSOLUTE(.); + *(.xt_except_desc_end) + *(.dynamic) + *(.gnu.version_d) + _data_end = ABSOLUTE(.); + } >dram_seg :dram_phdr + + .bss : + { + . = ALIGN (8); + _bss_start = ABSOLUTE(.); + *(.dynsbss) + *(.sbss) + *(.sbss.*) + *(.gnu.linkonce.sb.*) + *(.scommon) + *(.sbss2) + *(.sbss2.*) + *(.gnu.linkonce.sb2.*) + *(.dynbss) + *(.bss) + *(.bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + *(.dram0.bss) + . = ALIGN (8); + _bss_end = ABSOLUTE(.); + _end = ALIGN(0x8); + PROVIDE(end = ALIGN(0x8)); + _fw_image_end = ABSOLUTE(.); + /*_stack_sentry = ALIGN(0x8);*/ + } >dram_seg :dram_phdr + + .text : + { + _stext = .; + _text_start = ABSOLUTE(.); + *(.entry.text) + *(.init.literal) + *(.init) + *(.literal .text .text.* .stub .gnu.warning .gnu.linkonce.literal.* .gnu.linkonce.t.*.literal .gnu.linkonce.t.*) + *(.fini.literal) + *(.fini) + *(.gnu.version) + _text_end = ABSOLUTE(.); + _etext = .; + } >iram_seg :iram_phdr + + .iram0.text : + { + _iram0_text_start = ABSOLUTE(.); + *(.iram0.literal .iram.literal .iram.text.literal .iram0.text .iram.text) + _iram0_text_end = ABSOLUTE(.); + } >iram_seg :iram_phdr + + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + .debug_info 0 : { *(.debug_info) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } + + .xt.insn 0 : + { + KEEP (*(.xt.insn)) + KEEP (*(.gnu.linkonce.x.*)) + } + .xt.prop 0 : + { + KEEP (*(.xt.prop)) + KEEP (*(.gnu.linkonce.prop.*)) + } + .xt.lit 0 : + { + KEEP (*(.xt.lit)) + KEEP (*(.gnu.linkonce.p.*)) + } +} + +INCLUDE "rom.addrs.ld" + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.addrs.ld b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.addrs.ld new file mode 100755 index 0000000..a54a98e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.addrs.ld @@ -0,0 +1,74 @@ +PROVIDE ( _indir_tbl = 0x00500000 ); +PROVIDE ( memcpy = 0x008e6b64 ); +PROVIDE ( memset = 0x008e6e48 ); +PROVIDE ( __divsi3 = 0x008e6998 ); +PROVIDE ( __udivsi3 = 0x008e6a4c ); +PROVIDE ( __umodsi3 = 0x008e6a9c ); +PROVIDE ( __modsi3 = 0x008e6a00 ); +PROVIDE ( athos_interrupt_init = 0x008e1614 ); +PROVIDE ( athos_unblock_all_intrlvl = 0x008e1460 ); +PROVIDE ( athos_interrupt_handler = 0x008e1604 ); +PROVIDE ( _xtos_set_interrupt_handler = 0x008e1230 ); +PROVIDE ( generic_hif_module_install = 0x008e1548 ); +PROVIDE ( htc_module_install = 0x008e54a8 ); +PROVIDE ( buf_pool_module_install = 0x008e6264 ); +PROVIDE ( vbuf_module_install = 0x008e4f60 ); +PROVIDE ( vdesc_module_install = 0x008e5010 ); +PROVIDE ( strcmp = 0x008e6560 ); +PROVIDE ( strlen = 0x008e6710 ); +PROVIDE ( strcpy = 0x008e6678 ); +PROVIDE ( strncpy = 0x008e683c ); +PROVIDE ( Xthal_num_ccompare = 0x004e87f9 ); +PROVIDE ( cticks = 0x0050095c ); +PROVIDE ( UsbDeviceDescriptor = 0x004e0100 ); +PROVIDE ( String00Descriptor = 0x004e01a0 ); +PROVIDE ( String10Descriptor = 0x004e01b0 ); +PROVIDE ( String20Descriptor = 0x004e01c0 ); +PROVIDE ( String30Descriptor = 0x004e01e0 ); +PROVIDE ( HIFusb_DescTraceDump = 0x008e37e8 ); +PROVIDE ( xthal_get_intenable = 0x008e6980 ); +PROVIDE ( xthal_set_intenable = 0x008e6990 ); +PROVIDE ( xthal_get_interrupt = 0x008e6988 ); +PROVIDE ( xthal_get_ccompare = 0x008e6970 ); +PROVIDE ( xthal_set_ccompare = 0x008e6960 ); +PROVIDE ( xthal_get_ccount = 0x008e6958 ); +PROVIDE ( Xthal_num_ccompare = 0x004e87f9 ); +PROVIDE ( zfDmaReclaimPacket = 0x008e5d2c ); +PROVIDE ( zfDmaPutPacket = 0x008e5dc0 ); +PROVIDE ( zfDmaGetPacket = 0x008e5cf0 ); +PROVIDE ( fwd_init = 0x008e5e78 ); +PROVIDE ( usbFifoConf = 0x00500aa4 ); +PROVIDE ( _HIFusb_isr_handler = 0x008e36e4 ); +PROVIDE ( mUsbFIFOConfig = 0x008e4934 ); +PROVIDE ( mUsbEPMxPtSzHigh = 0x008e4954 ); +PROVIDE ( mUsbEPMxPtSzLow = 0x008e497c ); +PROVIDE ( mUsbEPinHighBandSet = 0x008e49a0 ); +PROVIDE ( mUsbFIFOConfig = 0x008e4934 ); +PROVIDE ( mUsbFIFOMap = 0x008e4914 ); +PROVIDE ( mUsbEPMap = 0x008e48f8 ); +PROVIDE ( u8UsbInterfaceValue = 0x00500ad0 ); +PROVIDE ( u8UsbConfigValue = 0x00500ace ); +PROVIDE ( u8UsbInterfaceAlternateSetting = 0x00500ad2 ); +PROVIDE ( ControlCmd = 0x00500a98 ); +PROVIDE ( vUsbFIFO_EPxCfg_HS = 0x008e49ec ); +PROVIDE ( vUsbClrEPx = 0x008e38d0 ); +PROVIDE ( bSet_configuration = 0x008e3b54 ); +PROVIDE ( eUsbCxFinishAction = 0x00500ac4 ); +PROVIDE ( pci_sc = 0x00500708 ); +PROVIDE ( __pci_reap_recv = 0x008e31e8 ); +PROVIDE ( __pci_reap_xmitted = 0x008e31bc ); +PROVIDE ( _HIFusb_start = 0x008e34a0 ); +PROVIDE ( g_hifUSBCtx = 0x00500a54 ); +PROVIDE ( _HIFusb_return_recv_buf = 0x008e367c ); +PROVIDE ( athos_indirection_table_install = 0x008e1574 ); +PROVIDE ( u8ConfigDescriptorEX = 0x00500ac0 ); +PROVIDE ( bStandardCommand = 0x008e3e64 ); +PROVIDE ( u16TxRxCounter = 0x00500abc ); +PROVIDE ( pu8DescriptorEX = 0x00500ab8 ); +PROVIDE ( bGet_descriptor = 0x008e3a9c ); +PROVIDE ( _xtos_set_exception_handler = 0x008e6348 ); +PROVIDE (HTCMsgRecvHandler = 0x008e581c); +PROVIDE (HTCControlSvcProcessMsg = 0x008e56f4); +PROVIDE (HTCFreeMsgBuffer = 0x008e54ec); +PROVIDE ( u8UsbDeviceDescriptor = 0x00500ad8 ); + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.bin b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.bin new file mode 100755 index 0000000000000000000000000000000000000000..f5e50fccd97762781d8a0b34a5531d4f3597f781 GIT binary patch literal 36948 zcmeFa30PEDwkW($O%z1|MHL_vpjC&WI5j~rI7O2bq!dkTK@>w8Z$e~fFlvZ1DvE$w z3=(8eCbhsBO{5I5&D7Z-iD*b7CYl7aW9O#R=_#?h)7`nb#`@RZrwUC>_v`!q`~L5} z{{_B%_O$j|Yp=cb+T%Huiy{%Kmm-!`YHuL;t4Dcg{!R_bEN4g*BlKy4(*1YAZxRHd zNa_Ati2HA$?!RN}2O4o9lZ&(j=&nD}6fqK@_X6JwT$>Q=lNKyalKY4rT9@z{WUQV3 zN5~6te@05@A_*GL7d-%(H-!Qq@T-fON==n^JIk8oYi0!Q$mq-3W9>^?lk`=d`_~8+ zJb0tviR()DhXQc|BS3%;2QhOK&>RxOC>V)EmlJ}KSoB^(hVa5&jQxWkqZA;w+vb-4 zr9>eRXcUa~yMO{;G?QV1d%a3}vB(C>155 zIAlc{^eCDK5c9|3`nXs)UPk*P<@aHQJ;p_pn8S*PMoCO?eb2d`n%oT zdH)B7LD+8igF0#;sPy@lWT54cZ-#gs_@9D*2-RmO zP==rx)u-ozUykZS=0G||^+}sih7fdi{#i)>3e_*o2R|S9fP6tVs$T;92>JjosRPJa zcb3xlM-Kr=UwUt54}yRA`+_+5yA2LxL;tqI^Y34N{Q8z#-~Q*f|M~Ij_m;ks;`1Hr zaVPy>4vC3D`~e1O`VSzd>9Tv#({#UokS_lR>Hhbo*B3(@{$K3wmB|0QlqJo~zcmXU zZx%k$j2`-*K((ZS`L_na;|;SOgJ6GyPgH~OK!a#QgSfOova!Ku)4#~hHA??_v*4G_!jGFpqGqwUSt4on@oAPy zn|*zoWwK_!f5ywT2IhK$;Fk@4K>yMDV#)7%i)`Hiyc-w?_JB<^NC56aD|ZeKj%^gpdj`!q3PGIur>eAmMv~ z>z|S|O;EOCnk2?AslxDs4RLP3fk zNnU9c39Tqvgp$<4a|=a6SdRN#QD`GeM9DIl4HRMoy*uaK*$8c0^sa4N);sgIEq-Ut zwnyKwkL12%8!35v-bne|b4D88wvRa9wvF@-&l|zRb4D%=+edB;+eU6O!jz$8U`ZGx zXP1=dWF=x1Y!rlwvgFa38eOKgX;E`lbSA6IjBZ-o{HV~}AhI+FBb!B0&BC|_QGA1N zVzX#cbH$>{tg6M^ABB|>f_e!U>kCP%4RV3NI;8Jq1%d=2vQ`8OT?v&T1sZ#FXoxN} zG$wR{3P}Z@SwU7%25Ln19Bj)K(j~}eBJzD6j|2)nOTe0{pF##H``GpzAh28^`S|8Y z;Q4qcLFlziP$DTI`dMg`qA>_kl}JWP=ma92?{Y;zU?{>Pk;u>g-xK@=)<9(47w*qk z52*J=1PZOY@B!t=iu(S*OK4Ykz53Y*e?dY3N(f{U>XZs3k3urJFu@2 zQ)?7b$lZ*;n+XtF^KU-BUkTL;@Kh@qlyR=+>f(E{)jc9DCB*G3WbKC2@_D5h?6K?DS&YTc8xn6`{sR#hAt{E6)!3(EkhT zK9%3+Ry9)pQh^)7P*_J~orbGWb}HL~0MAGuw0kiZ;IP&t819=_TqP>)3L1JI;J6AP z6#*brL9|5Oh`tH*NFaEK(h&(HeEDH*j{6n?0NN@jnW$8wNGN%Sk2A6_tnI35O`Gzv zB0HF4!UdMmuT3)XikCId#hE|ZV&0=LezMiLCn)}t^7uWtNl^|W~-i1A`?D1rpZ<oopSJaYH3Ws|zaa|5W|m1Q4$rW_EEI){NOk zH95C$x!Bc)zYKIimI1)p7#USHify)GaT%Z+I1QOb$n0VKdI%2V;<6m~M!uayO3(v# zni^REl4Di$83a!?isM*i1d2Bs1vK#qc=BZ=At-Fr%4Oza*EQ1OqgGQ8x~72yzlhm> zk4*9NOE-leQYdqVQT^4Oa@g6fP@<6NZxB977-q|acQI_xmlaoYpr7Q zOF!%10kH7^>t>{G!hgKJY$8g4fQ2m!xK2_m`Z6^Vnq=j8Ajkco8YP-!75zBJeMOCu zOtMD&W{&%^8YP=#t#~-c{jM5KHpvbVfVb6Xib>XohjQE(`F6mkqtMiFG+l|N5mJpc z@Qg(F85_&xw-^YU_tf~EAT&*TXs2)724N!&$M31>zd=AQ?+6$sAQ33ce07KEv~tVN zLN%HJI(7bJ1eyss;V5w;y5DrVTy}sU-G8%hUnF`!cAZ2zFEJv~*-pP#!en5N1*ikR z+IId~SsMwrHsG7!#p}zX(1Q@L=(m9=yh7ME5~xNtAcOlM&#W)M)5dBPg5R-Fbux9A z`M<)*U!j#aQWlO<0GMM#4M#SsQ7UORHVU43?!OT`0tG=u!qG$b0Byof3Os=Ve*v&6 zG&KU*4I9g`)A%CMTrRQ7*ForTQ>t((_-x`15!GXM$_^@TX^uMzcY#vqC~u$=BCkq- zK7^rJq_BQ-jyo&IeIK=1KP5yHABX1^Cnl=Rm+_-|?|1-8L6-SViOU`u0-53fjjYI0HR+Xszm%6?;wjAx%DD+V#aLeNps z?Ww_sA>nS8xkRwVX*>%#w^!jKKa@X*&*u`C0;5-*?>O<%d%&`cvRgdZJ4bVw8>W-D zpFS0womW(8Q{Xm5G%J!iRFQRk1>rd(WAziV+@@ud4hT zp5qgAUO5go7H z6b);hU`c^YmL&^APqb73Ho%>&5`~~V(|w;;WW49pE%{u~BhOaNbl7Bx(;G8-9IZA& zl-B8~aI{Q~iX%`_B>GttDx8Q4CZT*4THeI9#duiCYZ7`abgrcywDpwtR;9$(!?&)idG1xk) z5zX*5xj;$J7j;RwrJ#S$KpNAlOFbbn$Zc~65 zxCLl$P_H9@+wTT1@zaJ-G!@d#Fc%nR{OJ&D)|U;QBeI3G@j(qH5{XgxvFz0;45>p;?QWG8RN24U8+;ocJX3@xyYALwX-ZT*Lc45@iv zdM`VJpFs(jBMGlF-Wft!Br1hO)6#O!AXZoo(RxMim*5>xJoPIuv}Yk`SV|^cZ9(vG z1WBc~g7R)ELm-#u9v(a&N@p?O7rHu>7Txw8!E7T!cRNb^v`pXa_I^G(0F2Y2D#9CzqQAOP1W zmURj8+)E-6Sv3HIo_gxbMgl$hS{{zJs?in|+SU}^%C>3SX*VbRk(dbLhocJY?5}KZ zfOU-;Rj#NJwl|z@qk21^PI?F+z|r~09H<|c_>=ePWGHd|`Hv$}1=5P}Zq%l(#r|zV zSv%v`!E|5>H+R$q{y!=2EnSPDysTZ|*CDv4D50%JRs9vKYNNu@cBrVO0m0MUK-AaN zK&B^#1|dA(o*8$NG@{`K$>(m6{P-IrKkf#}hnYN=Noo*|c5s<_DE)jw?{V;tX+ZLn_VW>77 z)u>T*1ahcQT~kb}u1(*r?Vt?;`9w@STthf|R*mW-&@L6)-K1-c20MKR4(6Ro)Ckzw zDOKJwUOx;q)xm+{%(?TtC(F8SWAtN7qos5Ab4>!=P2$%p6 z!(u*D(eDJ`c!o>?y!x@n(V#|q3(9jE8#**KUHQ&Tz^_KFwJad3(^6y*8pCST26!Q; zM(q&5wt+<=-<09KG^N9v)k#O-KGtPlJNM)9tREUPCD}m_hat;*POy|xmdi!b?4Vh~ zW)BNk;oZ^4opP*Rp>As81?PCj_6KypLRcO9v*jCu_1^{493sVngrt>@L??4 zSE}`aWY21rv|+%o@k7PATzwUCh32A(R~2N0$POwRv-Lb?XaK<*@{y}BJ1G6Kv*6Sx z_|m9ir{sR81uI_i?JYFtB6BiwCFLR*n=QcXskm+Lc+^2DGN7OmIxzvx89dRY?o0yA)_{RQ;@tZFN z2BtmzAJMqHHL^O=w?pRhim&0-O91CeYKt^(z9f^Stvvo|TO=ufJ0lIU+y^EG1f)IvTYp-J+k8nXOKQ;-Gin=C^y4UWB*$HH)tQS* zu`9nz$SH&RzaA~`b8w+S8@>TspH`#JbI%`aE?uP_JhZ;2SVdGD+KZ%yx*bm5R$sTjIAim9I9OO{Z@xe`#& zfs*>D>Pc_^*3|~xld4#CxQnfs)Z5k;-rLhY>8ahnH7ON4!-ifQ?WILs#kojsEJ3dI z5H%>3F1EL@x6Kf$d}{aSby0i6^52f%HOX~-UpNQ_JM(S}@?G+H5X0I2&qr_ex#L5F zwti9uQn)>bljUz%bw4!v`bI(C?FOT)WMgUaIVYes$~M3gpT+&16FVaFZm)Ucy|U79 zg5~ouFOJ#O>Rj{_PL{XfnbLv708W`d4P8Jm&{A6ZdzzMa`>z88A=HS}Cj67hm&8aWz#${gQ=C_sU~P7d6gK1ByxS&f z&t+qp#$d8{z9(#N_%C6^?`aB&kF;7M75jn?C~$I+Dm=1hKM!dTO2rS)mF;f$t~mWNtW`Sz(~Lm+os zQG#z(Ml0KxF=T5dDsZ*D*9Dps63l(Sar2Q|njc;c^63KAAo zWegQI=Qe4Ype9#K^4xz0colkcTy9DTTOFDUyRriQmm!r^# z3VqO|Z;ffwwMTcbo!WhTUsIwFv6Yn5Z^LBcfUYp^H|Q%tv=Qi|Y-9^Zqn>=SM`+Yn zM7M~dTf}@F#^=YRPm%Ukp|N1};fnjD0L!Ir-}tN>0^w+kon5}7og^Alx0jxBQ{Mk8 zuz(Z_N2hMz`k;`|qUg&x9qqzE*Q*0cG+IGB6QqTqQP_7FsyAxT&~ez5H))m%5GW6>3WULn@PJ=`pl{qP^EMiqU^S3z3n?=f!a>Swlel_}aj{62a z3x^DRkf$qwO?WtuQKQd5H`M4iIqnaD!*;SwQmuGq?;mL1=iaK1Lkv16E zegl53BFFs}$IhU0b&84Xh_plQ@4&BC@Qe4mpoA8UA?)N>j8B`F-8JU$XHq|0?lpY)dl)$Ob=Uy#V(lIe9i$;8`aK8` zIb9W zS0}cmIucq_Dy)q*j|~XpQZG@wTBf=&MNxCYgU~)xm_o(8=wK-LF4j zf&nWg41LpTRH6S2NB_~LZ8CdtgfW6}hEX$MaNUvUb`-if5q&!e-BK~arr1^v_9NI1 zU1tm|d!xtqgTok6#V%~E(l+mEB10D8twv+%l0et69QLd0GIfbhz5)?y(9d)nHJ()X zm7_>TG^TO%V`tp}#60Cf0oTgPr*X!r>5`U}ElZv-Q9^p0w3Nn?9> zmV`4>5UZN;iC`p=jJQ+L5!9~a*gb73{#2ZOUd{LdJjb3=Gcp>06hCi34uNn6q}jN? z@j&A~zzTFcxJAK?sM^|QqdK10nCjJW6(fRqshaVxO(JMWFraoa1VflWC8MZGg4!DO z<<*nHH!Lov0713W0ib$1Nz!AQq^z9*Nw{m*3`pFU5y_~|zYZbOo7>=N)br1N2)D!G z&v$enm{H&b#abW8iJ5?G{%W#TO* zBj<5SniXITijt#8tvT+m;K*vc|C7$&TdNq&047Q1Ct#QHvXl&5@;>I49)Z*=&fzY= z1w~*3gBTNTY37)dc9!-9cMut~M70W+9hUqV<}9%}OJdIQ87kSE@j=F#mtKS}_5r@I zMF}EZO8O}7-RrzoQf=8;(t(E>+Pc~;1zSJ$j(^b5#YvNEY`k{Wb%B0)wTolNRZGo? z>YuMY_0F%GpXb=U&8GlsE(+mT*O-mh05ubccY}xwN4Ak()$Gc1U!n3XPL@OA>gRxP zO7nBD5P@o+qI%m$dn$=LJ#9g}yLH7I?@eAgn@$W!ZwC24HCeJONjL~}*kv|P*>FY$ zXZ=X5{}vm{3Nh zTmCgElna%=(9N+g(vZVin+OSb0WoYDUZfWe{s2Y1px}wuymi9SxQg>y8>mw_6QW`! zsF|n;CNh$Vh+@`(vMi^JX+c})C?QKkTD$6 zOqp*2pB#JE+fxB5nsyg}3a0uEP_?tYK%^H_R65!v0mzGIhZlsm0m|CRY9n38-eFcVv4ago+sIVWaL56>7gP~QH-}wO(;c|t3O;8FbF<?SGzYS&;2n%{k;%1>1yE_t0Si;eAujUY<>4{p$vx)K$@WtV_O(w z#Mf7h21*~6)i@#1SXk+@!?_?P6GTfPj$}*_Fc*@_k^|`3|(TbVzOV` z6vJU9Q57%(e!gjMHId4jx)lJ{%YeD@^Gmzd%W>|)F%L_mOBT#3`chqfdw zNp%oFpoxrDAG|1-;od$BtGUS(R#PFB&L)L)6}e~$1WcOB(w|FKb}uIUKHdGbadJeq z@ITIvL6$jnz@Og1G0z@|mz6_GoTei13$g}@(^cfSXF&kk)^oj2N7t;HJyI!2=X85` z#iIDOL5eYTK+Nm>?14P@^Smtu$wL;jLbSDlONqdm4O9J!w@D2tF!FON8yT`X008z3 zHm5{EzpCZW4V0M<0wvwm59z2}20&9#Zq76Hzy?eO&VUx|r(BLogPs58gwdpOh zB2BZRlnh5OSu0D=hh__NARNaIi1}*i2VmeB3CtG0be zv{rg*l1$agy2sa-$|8A>xBrDQdXPI#h<#EynDJqTk8j$_G6q|PtYDnhToEA zScLyhn3|2z7eUXH11HPuO4!gZP9&pD%xqj;WY|p%3oHY3k*YX>8rj*nrpVYuk_lQm z@tBQ=*R(zbm9@C5^uZ&6;Y^}MuZq(v!_dA-OnkgPh|??b+@Fws1O3?8C4d`g z7vOTFwdml~qQegq9hp^hY#zR_xhtpW_;mQcUtG^(A;Uucp71a z&y61sk*N@$Mn)PwL^i?rkRC!JK5?tE_ z@xl@eV2xVAxpG6{<_%qW=UkhPm&R&^Y9<+$Vbb+MV4RXx56&F-qwxK^+_+ zGSCSNkxtTcEfm;H3&zo~#qJ=>LS`BOMloa-ZC+aDF-L`E=T4dU8*{+H_>H;3GM^1( z&duwx1ZvA^)nH*@(;4njlt0go?|E<)K#{F6Z0J43)ex1G~`wG2~ zmX?bi>@Y%Myc_?;gP?=lGco;@&j$ns851vyx*LU@2l1dVFO!*nO_~yCMlzhiN=86# zfY_Q=(vx>7;$*pS-P1oXC0-}+8)E`S8KaId=}3`kg>QA5A%!RM$+Ws@uMlMvG(zH4uaglI z$6A|3EFsS(H}iTy#{3#h`$N^*HoJpuomCm#G<$q*M>;KxJo(N?b~z_8GwVj6Wc$h;@5DeHyH!d`+Xp=*xxw@t zqOw_WTns?w)31-yA!Z`qxw_hE?h@7SHtZGyQMn~OpiR2sBZ77pk&orfFZ$Z>dvbZo z#W#xj6-5I-Ek38jzk!^%GdLId;6Fhy{tSkvgTQlVet|!ZWXKI$%Nfku6KwI=lR=Av z*rAcYNicPz*R|qqHcNS1@)d}NFO=tY(Ke)ZH&o5sPm3UajD6KqTh1aJwA*;~`dL@HX-yf^J0QgU;xOuAY-sMmZ?5EDL zX)3MXA*kPY)%##leW&XEW?J=hSmKhlhuUwM8fBDyODUh=FLN7oCn)8IKn6g(Z0a;4 z6@x+ZJpeo8!kGzN#fIWsrqOVOyaT~y8tBsu&&^ZSMk=j)%FcpZA~rGyIM<~@Au->; z>qkTh42kewisyX+Zq?(4Ddgg`5{_Q%#a%4qo7o*A&mFrRZ<0Q4WUD-eOkV}dn+UY_ z{L12k8pw$|T_xeKQ=h4VsO5B>guncDrY;w)=I$e%pF308?0MX0NpnCO#{87i2Tx)ashEWmnXD)#DUw+n!91#F zvcs9j40=U-e21koZl8I-sVVjV7slkZ>L)QvR7}o9=HH^2$0M01BA8q?^JF-)v@OP{ z4>Rc}nDwC+eMp=>C|a+I*DF;_ezZQq%P(H2GslbV!Vm<@=QOdM4B63SdzY;97V2c> zhjc%b%a5^;-|$cJ17m~yrUZ$$2OllOtM$tt^eGfhKno<2G@n93CMKWs3ZN6H5AvHF zbR-Ms#VmP1k|hj93&i3yNfrUpE#i4G$`0Vw0C+DN1N3-$x&qgi@HWxUgI()|4!PfY zc(E^vP=PUuV&cgWdSOgKI8z8mW3WEybrftXE!e$I&HN0OB?Vn8;2`7m9QRA)Y$V4` zk2P}KuVJT2wj;-V4l>W=xckXrhuKIj?T&}u84q2--6q+ebKJ*qcmL@e_w)E5@lKLd zKyex$Bq(}uH}0h<&Wwj%8xIZQgC^PS9Cs^zzW;?B_dfi*NmiNTJ_u!d2QfkI3Ant0 zpW{O&nLEc_i%;Rc9QST~ik8?*4tq$6PH*6lH*f+U9)yZ+h4_m(?kYIb0k4vv0WPZ{ z3S90aCq0zQy75r+c&Hs8G0C3Jaj(Qj2=E$wlz8yQ1x2xekFJAE9>wPIP~~{2hU(e( z+as)CcZjz=mf=^K*oF;@Y%LF=KiQTWo zM&-_AL$2~B!3TKKSldCx8Yxg_*FxXUb{+8qZ!jV#8t4%$|lE~+;8ZqeZXGU`v z=?$Nfl_~U}Yql{fU{C`F17PTtHTs=30tPtfPk2_l3l82UGRsa~9@PX~m;0ZamgBxU zW<6`naldagM-n`j`;5f98CeLE{vXT~;a)+suPDjSkx*r=NUTgcX776# zIw{;xg1z>h)!IP*#g7<<$Xd7B@Fy>Qj|_nyV8Tn`=UxgjK{^2>Hs((53*KBW{U54B z-*@W3_X{GIe@Y1Ggfpwu%rg;8NhI@h6ti+7vtkmnT*W*U#;k5NwHext9b9MZKK=e^ z7+qKuvxc6!K{c_7E0!AvR6h&k*-Xf0#Iy-biS%7hd02p2GoCh`qoN-Hujyr*8JY0e-w z13bFPniZ5@l@^xyoYu#vm26Ll(~1lUK9&TrDM1pSAc~I>#Z4AjV#MajVpEL7I9Xzd z@!=->v}g&l-f?_$II~I3Y*aC2-@gY{rKzwD#k{Yn&=kaf9g`wvQ$*1z5-}?mvh;Y1 z@OK4rIjuym6(4j63+4J4F&86&E$V}IU6!ay%WB1k$ZZ3vD?2pXbBD6{yFp*;o|GJ% zYbcO#T2aiC;+;<(bZ{}EqnX`s4ESBVFvuM&9LFoP%fE{lcwn_)1i;^}(Jv71ToAKB zau`oSPCC77tS#jRGJFY zjBKyT9M0+wbE%un=CC%h^l{9Ghe;D2$M#xM*A{pCj^3zC@Vf-3Zj#X(xF13>`s1S- z+&73}#h~Ax<9>rnT?3e)7?q1x4m$Qovo%_O#2bev3JMmc!`Y~c>HxL%Q0EbN zWtYfIN_cwa3T5qQSDo!4_$*1W?+NDAy4SH{104*#pt^z6IkT zXQ0*L%+5AL6Ks`vY#V4G^!ELC^>#|1-i+B!Z{ffxd}60J-#cz`aGitgTwR{Nx?vt( zdLhL)S7e%t>g+>Z8&A)$u&2r{O3AF@kMB8$kH@jcBwq;P^vB@c%iF&mn&+?&+zfm| z;BvIu;}4M&E5bn)=}lA$guY}{180StRS5l2S{NiP6u^~!1?cDpPW*Dt?K4p5iqlaA zUGXB6(JvB8s)J!#_=0H>V_bv`iv$;Do7nyRhd1?z@OyBZ+ngd@*RQU!6{PEB7Vd9TCE4DvBjm%rCcK@@XL2plcy@oBRTAh96u4z^Uxk_3{U)oAe3U z?ysmGNQM&N8U?wO-4~g2dtI%<(2I<{jI=EXR=P!v{zc6>#VzvD47lf(i$uiVi>=1Y zWB3_ha_i9mg1urigSKEUQd0|eJLQ_qnAs~Z!Hur<;#Xv*USi&c9yDhjGiDz50b{WE z*ie_B6AUm0>b<;ewC3DiVsJ7W_XhaN?6RIfQIE`VxYyBo80Ms43l_$u9^3L8B@n>p zU+cf>_j|@P``CF{73A=J0_1mlp3aHD7C~sLXkMUjSXMUx7kvgsZFO$~13VmO5XWdG zIs+1mQ;ylC_Qat_ix&77XNpC3Uy)9!i-F-%6bxsbynG-=Bn`amO?I&HKh=eD_4 zu{XFTi0hT;L0l)lZVxr}`hXrt3Iau0GQ$FYKZl?-#FTopV*o>!FG42loN2XJI#;zxQ5|gOD+=g1q`VjTYnSc8z+dH z-COHZ8b(^J zkoFL@l-9smLa^AukZuQp*7SMiFmOE!Mm?UzgY;S)&pV9Y`PLkAmz>KyO4A9|!(?8s zKTLMC^oPl5g#IwuY}nIH9%Mgh&msL}acu&GIu05V2&aa_!r_NLa6(UjNV~n#`o6Q^ z!5(ssXd5dTB|C6j=F82isIpO8))aKvx-20DXMkD7CG83>k%;c9=kih_%&O?+p7tko z1tQma+}n|BDiE6rB&GtNp^TQ?E4k|pZ`CO1m6$X%I%hbU%#7c@#A)jpLal{mE?F!`M&+u*d>U^ z?Us7Z_FAN7T5)rWjIZ>*!Rm%)4`_h zrUbY|*R;FoAk~>ys8qf)#%EK(&~9gXqoHc2Pj84di@B($@g38PRj z?lQa)KmV=^cr6zgRWrK`3CtLLbw%mx zYxCVqPWkYQF8io0TexTVCv`!r!pXR9TWX^mjo)J(gI*%!`qw3(aY+D+ufI_)ztGjFyK zWz!`Hz+PRPf=L7t4fngb1R>Y5Wk}PaxtsxsaFW0N6j6-IT}h49)6zlmC-HNin| z<}uUEo5qtj0lY@*$bz@e$T(P|1xP~|A=ROE! zkTA6ha%u@jO?{+X;%ayshal}tgu!f7;V2lhQc93yPGCg*9=Df45&d`x&*0MUFj(+C z2FJWC{03yVQ&`f;j-{-l1p@;@FLCO3&rHRbV0%02{%; zRl3dC>3S6+o^>uDi(tCoi$4|XYh0#YDMbl%LYOYx^#T`@i7sea8Ip3rE2<^c@cnpS zR!D4#MreYsnfY~l@AuYN=~dZKcvG-TLNCmkU8Grdx!|F5p|hul$t@iC993=~n+qRQ ztImxdzIFT~(XDA0-h5$*;`axD8ls2o4Sj51TaC5aIn**rUy%7awo4MQUQRBV9F}ki zqUx@*YXcnev(IvqMKO@lC61pgI_@~O9!ft;NFI3R;vBpU0<9&mj-#(+w3R?*$#ijD z&6B2Fa-nYcq2UF78j#_07Xh*shL!#+P6$x#MybbFfL%s%wpD zHMQzn;XZMz0Y)HgtFaZ%{b?Vi^IkZCI|QiV@CAyS*ebY|4?CYQQ-e<_<+z{a;Aru_ z&jH|0?x-6Sm*x^{8p#~yOL>b_-d!Y;IpWRbi)^|(*Tn9C7Y*R}(L-&GnmO7J+p(+0 zfz-?~vOl|WJb_+II1c>qb&#l-9$>;@g$EZxm}G;{)_8Igr-q~%X_^7j_WqvF6jY>n zbP(>P^xy%hDacEHkw-4e67CcF+FVmkC-+X13Nq!KOp7_!f1~j2%R$lXz23+5mc(nmGx#{l_%dtibZJgb5gC7niR%yB{V) z+piBT!p<+2a@?+JUH*ZQT=;$&p?jKO>BhU; zbUE%5Iqr_Kqf(kq-W>4uS>|jw^K&(GCW3h}lIc@1FTpvH_k|=42p#I8WM0M@_&$M7 zu;M;pi>=S@X$#^(KHQsLmhx}}^D@X3m-r>YI}~J0gp1Lao#2BE;4X9qwI6i~*ZP=Q zqV^Q9`m-daS>kGIv&Ix78g6N{uD|f+NMM^b&;1t=o*3fzQ!@~1av_?qN?-Q>L-s5o}|?v(@lI4~6Cf!n?d3^Ksc#0rt` zM>fLObN=ZUB;`V@zXa~>y!vcdr&3Now%8diXRQ0xoe}=GWOewLihL{x#NYpfWW7>8 z1;LjS74$=r{8uEYoCI^ME*5D-!nszVARIpJ`7`V5!pcYCvv2~TM3BS?9~8kxia-!9 zn418wta*|!S}vr&$|0C*&DU()8ZX@vA6^!JUoNVP?!4J-ZAsXZxHm}<8q7#VaMLpS z+IU*@lCLVQ(KBzfTH6xZ6FZUw#$W+~ISY`MYd0G%?H*~o-1J^_B!F42DWYdy@?vXE zZkyabrDJNKR3vyHSV#(0A_T>NCGu4aEn?NcAOi>KnoDrC@e;IdARFXjg>$SM;$^)_ z5ZMs>ccCy9DkF_n2-z@!APq_gh1LPhc#SYi3PPI;LYddapIP5Wl1%0WmjC$WLidZ8 zY-0KyOsW?GPJ;|qgb$WhX9`V%jlBznCgH}j2`)Cj{O7Kujhcse02f zBu&gO-%UO|5C!dDzQPfBCr9K;%751FTR}HogBd)5Ik#etfn(uB7zJ(*Dy@E8TrF7N zso_}0kjT)Kc{D}Jnx)Y*rA&~3HH)HWiq@3JhcNx2OwHPI{RC!UUAbm`c@zw1Nh{`r zIyBYRKHw~RO}T7sxzD;y&uaLrSWmASV*=(pv;3Al2D)0hjtLBo-c7{J!n z1&6C=%hcaM6e2^loRv8Z$;|1Vf@d#-e2Gj{4)Oyr<$-Ik-jWcLqlP9GwJ zgjD(jcNhY^Pf`Vt%F9#krQ_-WVN$-L&9}b8SG;6PynilSe}t_MSJFiX+#)%h#L=3m69J=q^p2pj1{pO1Uv5|fh+B=?9}YA zt}pHjX9mNVH_o4e`NK|F6|R4&TQ~34g)~{2@c3r9<*?b|-G2rwE?G6m^h5k6=%Km9Mz z;75l&k#%ndG4R{Z5F<4)+40JH!Fi!|*xQ;K~sA zs4WwW$QOJOs#s8&0sWnPRu-%Y`POe1{g^Pwgj9vdM({fr7{D*kh=n&3z;crehz~~# zZbA;ec_-T>oL6O)lM=9j%%2=~v zuK(&h5gf9(Sg>3|Rq*OOc+XWJmiT1eHxhVZvP2;9SvrHzU7e@E+Vkl?sgO?ix6fT{ zB^(g?u>ygTr(+h!6AEi`g2#!hm@8c!Er8=4f}I9_Rw57x$TwSt$uA0d5%G0inHYan z!?U?2$2g9J%wO(h70eH;dxt#y$%zFatc{}t-c}|Wyex%|V*@?9hbdC@UVcO??Gb!G zdplD^)&u@9L)UCgKs2C1we;<`2_ZU}w^v%;=ely$fTOC^o10k&LrRdouPeIGa_L?z1I5 zcxyTcX9wu~9+(4eNf&KR4;l&7!-e%EeHJ>MwKbh+9WVfdM*{QHzd=bN=?um%Nxs9n zqEx#x!I9`w7`7*)I!U@dZ0~{{$-a)TRzgwI7S_fS4H*YI%LBzMkxF+6%F^UJ!K%^H zeOXsaP9$wfmuyWBBl7lE*jwAJ>Cl{BCTP7yA8J0bjoLo2zNcBWDdK4Asu`ij9?G{J z1cCOly-7L^+#*Gn%K_QS4ykk#EI3l~d#ig-7Gps!DwCxuW&RJX81;=SL2>Z;o%ODy z_pK|81#Ag<4U;WlSUba(2w1y-EfKPIAzLD1?IN~B%-Y3liG;OF*b*Ps?!%TyS-X@i z@n!A4Y>AAu%h(b>*6zoa$XUCbE%9gV{%lDAYY$*c0$F=t>}G{-o`SITUis4?wKK(t&|l`mIS6q@&zJRx#F9UT_yXhb?tFd5!s_-`U7TPA`kW@B zsJ5mF06)>JAOC)oz>gC6Q35|o;71AkD1jd(@S_BNl)#S?_)!8sO5jHc{3wARCGevJ z{@Wz5(0vn$5d6lrZwAWn+konoHgI2|3=x7a^RI#1b$h3esJck`>EZedW~ z7i1823*g<5)&~xL=i4_!1JDru9L=u*zH7q3ap0!W-#iA^Av^~h@KjR*aKqqUh2I_z zhO#8B4jk0GW*E|v!NKp5N3MaqB}hIQx&QJOl-~*R_k}t}DIo7_a3>)QGKstfj+FZx z+)YTclS5#I`vGu-t3cqh<`%d=fcpx~uN5Kj<50ib$o&oc?mPoBK<<8po0OS|;Fr{) zx#6#Vk_gpL1Rf_Y0jC4nAbYI?&6fZ#5(eT8Xui)gkOu9+f^6X9clFw{;DER2FjT*C z4yu0&e)oJilwWp@hyX+zh~^^(c#xwE8Asc7eytti8^8g+>T>Wq(R|X@(Kc|4!1aOy z9J)ww#5aS3cBut<)qV)>5V%2<;R9t1ec%X=8|3~h5<>mv*FxRvG>|U>nq~;w(LxNc zCUC}1aCP7^Ag)98g&RZVC@LS zLR#E3a3DL&*Wf$By$=q9`wH9;{H}c@^f}P4x_s~z;Fr7IA4BVD0EZ9gULELCof90$ zrw+=&S$%y1;7NeCNQ7`=FSu*q0DqDc(vN|!0T%|2L-p1V!O0>0b8xRh7~~Rv5*)US9!Y>BX%O5kRG%CPX}REvz-<5r@~?w-sk@29(2>N85t_eC1P=I~4|PR8P{s-l zc$!>->Zg1PE)v{kaKP`BOORIwt_t!YeQGn5O$G=3XICz`HQ*dTrxi4+93jx(42cHn zZbe(Z{ZH`A!Ic0E(Ib!p19D(6xErYc!F))+2JRO9#rpZX`q2D(>EGQ%PZ+?>Xn?f~>@^+}BJr~vA*9mSjs-J!moC6%dKOhC%5Kg^` z>ZgG`ra|6pBIkF&T>^I*>WY!r3Uam~R6p-iRR0se@e`o;6TtnGKcM>g9JmY!15fjp zgKLIz(Dw5IXF9;8&jVX10)Gv-UT{O;0Cqtzs$U2?kqL6kltcU$!~xfWuTcG>W>lXY ziRvGH71b|>_@kSlEr^_6g+5GVH3Say=rMr#X$CmpC8r2n1EgW_!9BL-|7q-MUfVdL z_$0wlD~Mit>*bkN5Q8No+xe)8)5wxs43_1rS||JTC!j4hpqVJV^2v=rN`1^ z=%I)H0j2c6=%tt5b8m_Id$X&x>@<+UqnY>S&HI|)ycLo`7(_crn0Te({&U_X*SK-&3*B6awW4ynn^Q7ILr!oV~=|c-ck| z(O;qejQ$7u8?pBaaSXphhd&nbWx=+!4*EyvN30HN>__|H{fham=|9sqh)RdXuMg4Q zzI{7AM`N~b{2b(G;rsJTLbw&z8r3T-{6Ho@$L884 z9R>f>A>vxcD>quWHfz^sldPa$|Lo)6&;Oj3G2&b9pRnh?9x>N~2m=id6#Q3^ZkoP$ zImeXyL-hIf5X7v{^Yi&Nd>1^|Zrr%Ba7oH&cF8s!w^SBl+e}QC)WlL@;sie3s>tOH z3a!ohq%xtQDNjr(ql}WN7m^t%Q-Q!rbaiBhs7jT1aH66OLR?iUfoaAL04aoY zfo0C% zLBr>XikAydg=p^X_F631N~1{m2{i#3K7~o?V$D#Vi3t4>ZSj7pNbO^_(^##-2aNT6 z)VC7!8q?hZTg2)9P-x<8V-;zavy6T@!}JQdj;}T=a)qt}u5U&omC#OmLpxt2vaN>c zh<1EC*vK(PqTX0tTfcqh?!7O7yJBt*EdU2@&+(dDt#)UddH4O)bsq?^7@q-!aKJ@8 zm2ib@pGS>}CC5L(Ws)SrAWDcYpNiudrZe1FA$DiSkbyP>JS9O6eb@?Xft~0$>g%`? zVJ$wR8Oe!%0wD8YFqZk)freNmwHo^wDN8vgN-}P?Oi3Mogp`q=dP5a~*YU#SIT=sM zP-a-r{fx%#*~vrLcfz?{Lg0>XJ~)#8qvPC9Djl&s=BD9gF7w7Ay(7$gRH1kHrScW= z6%y@klln%p)yd73%7k_VKdz{NEO>J|!9YWenDr zYI#6OJ1;k_zzbcKs3kUfW*dBHu)Dpp-OKc!+5I%~d$zqFoZ_&`tmiA`tH?|gbxv?Q zOJeEhpm%7rI)nN#4V~mJ1-=$sf~E`y**;CjX&k~2=FHYpPiXyj+AkdoNM_+<3zQpC7N zO?Q<)O2)IgV&#eY5b13v@=#duoAP;5P~VC*YpnFyy_cWW zA;bzpz8VFIgOZ9E=5!SHotQ>Jz+nR)-R54K`aRO+oVIt2?vtj`A*0jk>^$zYv)tUR XHEMWADt4c)W;6XBDSw}Y&k+6tuAARg literal 0 HcmV?d00001 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.hex b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.hex new file mode 100755 index 0000000..fc9920b --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.hex @@ -0,0 +1,9238 @@ +60001C00 +008E0800 +22221221 +E0000000 +008E0063 +004E8720 +00528001 +00000000 +C00000E4 +3112FFF7 +02E73102 +160012FF +F615FFF6 +16FFF7C0 +30D72005 +66016000 +21000000 +00000000 +00000000 +00000000 +04360500 +0200D30F +D30F7531 +14074714 +05330C07 +04437631 +E6043605 +7539ED00 +020015FF +E6C030D7 +20600006 +00074714 +05330C07 +0443043E +057539F0 +03020012 +FFE1CA20 +83208421 +8522222C +0C743B0F +8650B455 +9630B433 +7433F463 +FFE50000 +653FE065 +5FDD12FF +D8020531 +01020000 +0200C011 +01493100 +48310102 +00C00014 +000404D2 +31140005 +04D33150 +03C90000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01120200 +FFFF40FF +0CF37010 +02022010 +01300000 +00000000 +00000000 +00000000 +0209003C +01018000 +09FA0004 +060000FF +00000507 +02010200 +07008205 +00020002 +05070383 +00400701 +04054003 +01000507 +02050200 +07000605 +00020002 +00000000 +0209003C +01018000 +09FA0004 +060000FF +00000507 +02010040 +07008205 +40020000 +05070383 +00400701 +04054002 +00000507 +02050040 +07000605 +40020000 +00000000 +03040409 +00000000 +00000000 +00000000 +03100041 +00540048 +00450052 +004F0053 +03180055 +00530042 +0032002E +00300020 +0057004C +0041004E +00000000 +00000000 +030C0031 +00320033 +00340035 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +008E6928 +008E1104 +008E6928 +008E6928 +008E1174 +008E102C +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E63AC +008E63AC +008E63AC +008E63AC +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +008E6928 +00000000 +FFFFFFFF +008E6920 +00000012 +008E6920 +00000011 +008E6920 +00000010 +008E6920 +0000000F +008E6920 +0000000E +008E6920 +0000000D +008E6920 +0000000C +008E6920 +0000000B +008E6920 +0000000A +008E6920 +00000009 +008E6920 +00000008 +008E6920 +00000007 +008E6920 +00000006 +008E6920 +00000005 +008E6920 +00000004 +008E6920 +00000003 +008E6920 +00000002 +008E6920 +00000001 +008E6920 +00000000 +FFFFFFFE +00040000 +FFFFFFFE +00020000 +FFFFFFFE +00010000 +FFFFFFFE +00008000 +FFFFFFFE +00004000 +FFFFFFFE +00002000 +FFFFFFFE +00001000 +FFFFFFFE +00000800 +FFFFFFFE +00000400 +FFFFFFFE +00000200 +FFFFFFFE +00000100 +FFFFFFFE +00000080 +FFFFFFFE +00000040 +FFFFFFFE +00000020 +FFFFFFFE +00000010 +FFFFFFFE +00000008 +FFFFFFFE +00000004 +FFFFFFFE +00000002 +FFFFFFFE +00000001 +00000000 +00000000 +008E2320 +0050000C +008E2488 +00500020 +008E29BC +00500044 +008E2F5C +0050004C +008E4858 +00500070 +008E22F8 +00500118 +008E2CC4 +00500104 +008E1D6C +005000E0 +008E1C98 +00500144 +008E2B08 +00500150 +008E2DD0 +00500160 +008E216C +0050017C +00000000 +0050006C +008E2B2C +0050018C +008E2BE4 +005001A4 +008E5010 +00500294 +008E4F60 +00500280 +008E1548 +005001C0 +008E337C +00500328 +008E4EB8 +005002F4 +008E6264 +00500264 +008E4848 +00500258 +008E5C58 +005002B4 +00000001 +00000001 +008E24B8 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00020004 +00080000 +00000000 +00000000 +00000000 +00000000 +AA00AA00 +AAAAAAAA +EEAAAAAA +EEEEEEEE +FEEEEEEE +FFFFFFFF +FFFFFFFF +7FFFFFFF +F7EFDFBF +7EFCFDFB +FDFBDFBF +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +07090000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00053000 +00000800 +00000000 +00000000 +00000000 +00000000 +00053000 +00000900 +00000000 +00000000 +00000000 +00000000 +00053000 +00000A00 +00000000 +00000000 +00000000 +00000000 +00053000 +00000B00 +00000000 +00000000 +00000000 +00000000 +00053000 +00000C00 +00000000 +00000000 +00000000 +00000000 +00053000 +00000D00 +00000000 +00000000 +00000000 +00000000 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +008E6950 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +005C9401 +5D94025E +94035F94 +00430000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +005C9001 +5D90025E +90035F90 +00530000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +009C9400 +1D90019D +94029E94 +039F9404 +08940509 +94060A94 +070B9400 +43000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +009C9001 +9D90029E +90071D90 +039F9004 +78900579 +90067A90 +077B9000 +53000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00DC9400 +1D9001DD +9402DE94 +03DF9404 +04940505 +94060694 +07079408 +08940909 +940A0A94 +0B0B9400 +43000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00DC9001 +DD9002DE +900B1D90 +03DF9004 +B49005B5 +9006B690 +07B79008 +B89009B9 +900ABA90 +0BBB9000 +53000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +02D2160A +20000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +02D3160A +20000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00470063 +FFF90000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01530000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00140063 +FFF90000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +211C9092 +14931513 +000602E8 +3003230A +83309416 +0A300000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +04140063 +FFF90000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +200A0011 +00001300 +0103E631 +01020016 +00021700 +03D30F77 +6B069060 +B4667763 +F85402FC +0F140063 +FFF90000 +03B13095 +17B33204 +01300502 +3072490B +64500825 +5CFF0502 +31020030 +02B131B1 +33030241 +02330C83 +30040330 +00230400 +331A03C3 +53040331 +140007D5 +10211C70 +04340A0A +40000000 +D100CF48 +CF460000 +8154CF40 +8155CE4C +8156CE48 +8157CE44 +D160CE40 +D170CD4C +D180CD48 +D190CD44 +D1A0CD40 +D1B0CC4C +D1C0CC48 +D1D0CC44 +D1E0CC40 +D1F00103 +41C8340F +14000311 +0C225C70 +240A7005 +130C743B +1604550C +23522024 +52219354 +94552352 +22245223 +93569457 +032C9004 +2D90031C +94041D94 +032E9004 +2F90031E +94041F94 +82548355 +84568557 +00030000 +03B13002 +0130B333 +73290C02 +0230C826 +B0220202 +31030030 +821403B1 +31831565 +20442316 +15241616 +25161713 +000802E6 +3003E631 +03B13021 +1C700102 +00C0406C +10085C00 +071E0009 +AEC0D10F +02E631C0 +20845A01 +020003B1 +31835985 +5B000300 +6C1006DF +F0D10FC7 +2F211C70 +00030000 +95171200 +0803B130 +02E61693 +10921121 +1C701200 +0A010200 +03240204 +24096C10 +0E0F1600 +C0D11C00 +0B0DE331 +0D02300F +00309D19 +0D01309F +1A9D1B0F +03308DC0 +9F12DF10 +8EC10FD0 +008D198E +1A8F1B0D +02310E00 +310F0131 +8C121000 +0C1D000A +0C03310D +000200D0 +090D3600 +D10F0000 +6C1004C1 +5272533B +18000DA8 +28288000 +17000E6F +85310D28 +1108770C +18000F26 +7224C83E +23762424 +7625C020 +08690C09 +6239D10F +28762422 +7625086A +0CC0200A +6239D10F +C020D10F +C020D10F +6C1004DA +20DB30DC +205BFFEA +D2A0D10F +02D21621 +1C909214 +12000894 +16951702 +E6310102 +00C04021 +1C706C10 +0E0F2600 +0FE2300C +E4301D00 +100CFF01 +0DFF010E +033064F0 +5E9E120D +02300E00 +309D190D +01302E16 +0A2D160B +0F0C060F +CC010CE3 +311D000E +C1EF0CCF +040CEF0C +0F0F062F +FC120DFC +0B8DC02E +C201011F +020FD000 +0FE2300C +E4301D00 +100CFF01 +0DFF0165 +FFC58D19 +8E1A8F1B +0D02310E +00310F01 +312E1202 +1000111D +000A0E03 +310D0002 +00D0090E +3600D10F +82548456 +85570123 +00000000 +02D31621 +1C909214 +12000894 +16951702 +E6310102 +00C04021 +1C706C10 +0E0F3600 +0FE2300C +E4301D00 +120CFF01 +0DFF010E +033064F0 +5E9E120D +02300E00 +309D190D +01302E16 +0A2D160B +0F0C060F +CC010CE3 +311D000E +C1EF0CCF +040CEF0C +0F0F062F +FC120DFC +0B8DC02E +C201011F +020FD000 +0FE2300C +E4301D00 +120CFF01 +0DFF0165 +FFC58D19 +8E1A8F1B +0D02310E +00310F01 +312E1202 +1000131D +000A0E03 +310D0002 +00D009D1 +0F825484 +56855701 +33000000 +6C1006DA +101B0014 +C1C05815 +EDC02016 +0015D410 +13001615 +00171700 +1804280A +88800C02 +00283680 +0C020029 +32807981 +17287216 +1A00190B +80000C02 +0029627D +0599020C +02002966 +7DB12202 +024F6924 +CBD10F00 +6C10162A +1C10DB20 +2C0A8058 +15D80DEE +309D130B +E8301A00 +1ADE10C0 +90180018 +9B1C8C20 +2882129C +1299110B +8000D10F +6C100469 +280E8830 +8A31293A +4C698404 +79A111C8 +AE180018 +2882161A +001B0B80 +00C020D1 +0FC021D1 +0F000000 +6C100402 +26000202 +43D10F00 +6C100402 +0600D10F +6C1004CC +215BFFFC +D10F0000 +6C101613 +00182832 +121A001C +0B80002A +1C10DB20 +2C0A8058 +15B60AEE +309A1389 +209912D2 +10581428 +0119022D +DAF82B0A +056DBA20 +AD2E2CE2 +7E2C9610 +2AE27F2A +96112FE2 +802F9612 +2EE2812E +9613C8C3 +299C10D2 +A0883BDA +100B8000 +D10F0000 +6C10162A +1C10DB20 +2C0A8058 +15A10DEE +309D130B +E8301A00 +1DDE10C0 +90130018 +9B1C8C20 +2832129C +1299110B +80000BB1 +300CB230 +0DB3300E +B4302832 +121A001E +0B80001D +00151A00 +1F0C0200 +2BD27D0C +02002CD2 +7E0C0200 +2832122D +D27F0B80 +00883ADA +100B8000 +2832590B +800063FF +FC000000 +6C100418 +0018888E +0B8000C8 +AE68A105 +68A21069 +A30BDA20 +580775D1 +0FDA2058 +088FD10F +DA205812 +9AD10F00 +6C1004C0 +A0130018 +12002028 +3A4C0339 +026D8A04 +2A9400B1 +99140021 +8B20C8B3 +8A210BB0 +00B82274 +29F11C00 +271A0028 +1D00261E +00251F00 +241B0022 +18002319 +00299930 +98BD9FBE +9EBF2DB6 +109AB99C +B8C0A91B +002A5813 +5F12002B +C0A3DB20 +58135CC0 +A0DB2058 +135BC0A2 +DB205813 +59C0A8DB +20581357 +C0ACDB20 +581355C0 +ADDB2058 +1354DB20 +C0A65813 +52D10F00 +6C100418 +00182882 +47DA200B +8000D10F +6C1004C2 +30150015 +26FA000C +02002252 +7D062201 +0322020C +0200C133 +14002C22 +567DC020 +DB40DA20 +5BFEFCB1 +227329F3 +C2A10C02 +0029527D +0699010A +99020C02 +00180018 +28824629 +567D0B80 +00C2B20C +02002A52 +7D06AA01 +0BAA020C +02002A56 +7D5BFF7A +D10F0000 +6C100412 +00182822 +381A002D +0B800028 +22130B80 +00282211 +0B80001A +002E2822 +121B002F +2C2A020B +80002822 +5F0B8000 +D10F0000 +6C100615 +0018DA30 +285260C0 +B1DC100B +8000DA30 +2B1100DC +20285260 +0B1B460B +4B360B0B +4F2B1500 +0B800028 +523B2A1A +F40B8000 +D10F0000 +6C1006C0 +A09A1012 +001523FA +00C0AC0C +02002922 +7D039901 +0A99020C +02001800 +1829267D +2A0A8028 +8260C0B2 +DC100B80 +001C0030 +8B107CB9 +67C1860C +02002F22 +7D03FF01 +08FF020C +02002F26 +7D1E0031 +0C02002D +227D0EDD +020C0200 +2D267D1A +00032B0A +80C0C25B +FFD81A00 +322B0A82 +C1C05BFF +D51A0033 +2B0A92C0 +C65BFFD2 +1A00342B +0A98C0CC +5BFFCF1A +00352B0A +A4C1C05B +FFCD1A00 +362B0AB4 +C0C85BFF +CAD10F00 +6C1004C0 +B21D0037 +19003912 +00380C02 +002F928A +0C02001C +003A2A92 +800CFC01 +0C02002C +968A0BAB +020C0200 +2B96800C +02002892 +810C0200 +2A96800C +02002F96 +8AC1E00C +02002CD2 +860ECC02 +0C02001B +00182CD6 +862BB212 +7E87661A +003B0BB0 +00C7AC1B +003C0C02 +00190032 +1E003D2B +B2800A9F +010C0200 +9EF0B49D +1C003E0A +DD010C02 +009CD001 +0404B89C +0BBB180A +CC010C02 +009BC0BC +99C78F0A +99010C02 +009890C0 +F00C0200 +2F26801D +003F0C02 +002D2681 +1E00310E +DD020C02 +002D2681 +D10F1A00 +400BB000 +C0C10C02 +002C2680 +D10F0000 +6C100412 +00181A00 +15C0CE2D +FA000C02 +002BA27D +0DBB010C +BB020C02 +002BA67D +1900410C +02002822 +1629A67C +1A00420B +80002822 +1D0B8000 +63FFF600 +6C10041A +00151200 +18190043 +0C020028 +221629A6 +7C1A0042 +0B800028 +227BC0A0 +0B800028 +22170B80 +0063FFEE +6C100413 +00371B00 +44297A80 +C0A02AB5 +000C0200 +28328409 +88020C02 +00283684 +C0420C02 +00223286 +0422020C +02002236 +86D10F00 +6C10085B +FF1DC0A1 +12001524 +FA000C02 +0029227D +0499010A +99020C02 +0029267D +1800450C +02002826 +7C5BFF55 +5BFF3A13 +00182832 +5A0B8000 +28325E0B +8000D5A0 +C0B20C02 +0029227D +0499010B +99020C02 +00883E29 +267D0B80 +002CEA0F +190039C1 +700C0200 +2B928A0C +BB010C02 +002B968A +69A3231F +00460C02 +002E928A +0FEE010C +02002E96 +8A0C0200 +2D928A07 +DD020C02 +002D968A +600027CD +A32A1A00 +0C020028 +928A0A88 +020C0200 +28968A60 +0010C4C0 +0C02002B +928A0CBB +020C0200 +2B968AC0 +A50C0200 +29227D04 +99010A99 +020C0200 +2832161A +00472926 +7D0B8000 +5BFE72C0 +A60C0200 +29227D04 +99010A99 +020C0200 +2832161A +00482926 +7D0B8000 +2832620B +8000D6A0 +CEA0C0A7 +0C020029 +227D0499 +010A9902 +0C020028 +32552A0A +FC29267D +0B8000CE +A76001E0 +2BACFE6F +B2026001 +B469A14E +28325F0B +80001B00 +4929B100 +B1990909 +4F29B500 +6E92B060 +00141B00 +4A0C0200 +2A227D0B +AA020C02 +002A267D +60001F5B +FF9AC1A4 +0C020029 +227D0499 +010A9902 +0C020028 +32161A00 +4B29267D +0B8000C0 +B90C0200 +2A227D04 +AA010BAA +020C0200 +2A267D2B +32166951 +081A004C +0BB00060 +00116953 +081A004D +0BB00060 +00051A00 +4E0BB000 +C1A50C02 +0029227D +0499010A +99020C02 +00883E29 +267D0B80 +00D5A028 +32121A00 +4FDB500B +8000CF5F +C0AB0C02 +0029227D +0499010A +99020C02 +0029267D +CC6A2832 +5F0B8000 +5BFEFB5B +FF732832 +1C0B8000 +C0AD0C02 +0029227D +0499010A +99020C02 +0029267D +5BFF51D1 +0F695302 +60008669 +51026000 +80695249 +2832511A +00501B00 +510B8000 +2832A02A +0A640B80 +002832A5 +2A0A640B +80002832 +121A0052 +0B800028 +32121A00 +530B8000 +581127C0 +A0581166 +DA105811 +9565A073 +8A1264A0 +6E0BA000 +D10F6955 +66C0AA0C +02002922 +7D049901 +0A99020C +02002832 +161A0054 +29267D0B +80001A00 +37C0B30C +02002BA6 +820C0200 +27A68363 +FFFC2832 +511A0050 +1B00510B +80002832 +A02A0A64 +0B800028 +32A52A0A +640B8000 +2832121A +00520B80 +005810A9 +5BFEED28 +32CA0B80 +005BFF2F +D10F5BFF +39C0A80C +02002922 +7D049901 +0A99020C +02002832 +161A0055 +29267D0B +800063FE +795BFF30 +2832161A +00560B80 +0063FE6A +6C100419 +0015C0A0 +0C02002A +967D1800 +570C0200 +28967C5B +FF34C020 +D10F0000 +6C1004D5 +20120058 +93219520 +C020D10F +6C10041D +0058B33C +0C2C148A +D182D00E +CB117BA3 +0B02CE0A +0BAF0C9F +D19ED0D1 +0F180018 +2882121A +00590B80 +0063FFFC +6C100413 +00181200 +58283212 +1A005A8B +200B8000 +2832128B +211A005B +0B8000D1 +0F000000 +6C100418 +005D1900 +5C13005E +93229821 +9920D10F +6C100413 +005F8332 +83310343 +5B581327 +032228D3 +A0581325 +03A80C72 +83F6D10F +6C100458 +001D1200 +5F8220D1 +0F000000 +6C100412 +005F8222 +8221D10F +6C1004D1 +0F000000 +6C1004D1 +0F000000 +6C1004D1 +0F000000 +6C1004D1 +0F000000 +6C1004D1 +0F000000 +6C1004C0 +60190060 +1A006217 +0061C033 +D5A06D3A +108851B1 +66A78472 +4B04A98B +7B230825 +5C140665 +0A0A550A +18005F95 +82D10F00 +6C100458 +13041500 +632B3AE8 +8250D3A0 +245CE802 +AA0C5813 +3C88400A +32399250 +AA889840 +D10F0000 +6C100418 +006B1900 +6A1A0069 +1B00681C +00671D00 +661E0065 +1F006413 +006C9328 +98279922 +9A269B25 +9C249D23 +9E219F20 +D10F0000 +6C10041A +006D1500 +6EC0700C +02002952 +950A9902 +0C020029 +56950C02 +00275698 +0C020027 +5699280A +C00C0200 +2856930C +02001600 +6F275692 +0E2411A6 +44C76C06 +44010C02 +0093400C +02002B52 +9F0B0B51 +65BFF3C0 +21D10F00 +6C100418 +006F0E24 +11A844C7 +8C084401 +0C020084 +4012006E +0C020025 +229F0509 +51659FF3 +253500C0 +21D10F00 +6C100412 +00442321 +00282101 +C93CCD86 +14006E0C +02002442 +8704044F +774710C0 +22D10FC0 +81282501 +C020D10F +C021D10F +7647EFC0 +23D10F00 +6C100418 +00442881 +001A0070 +160018CB +8372A33E +A32575A2 +39752A25 +C030DA20 +043B092B +B1005BFF +C768A108 +2862161A +00710B80 +00B122B1 +3303034F +02024F72 +59DBC020 +D10F2862 +161A0072 +0B8000C0 +21D10F28 +62161A00 +730B8000 +C024D10F +6C100418 +00442881 +001A0070 +CA8172A3 +23A32373 +A21E732A +13DA20DB +405BFFC7 +B122B248 +0A843902 +024F7239 +EBC020D1 +0FC021D1 +0F180018 +2882161A +00740B80 +00C024D1 +0F000000 +6C100629 +3AE81500 +44140018 +C0700C02 +0099100C +02002851 +009711B0 +886481EA +C4D01200 +1523FA00 +1600370C +02002C22 +7D03CC01 +0DCC020C +02002C26 +7DC4C30C +02002B22 +7D03BB01 +0CBB020C +02002B26 +7D2A5AC0 +0C020029 +62840A99 +020C0200 +28423BC1 +A4296684 +0B8000C4 +C40C0200 +2B227D03 +BB010CBB +020C0200 +2B267D2A +AA3F0C02 +00296284 +0A99010C +02002842 +3B2A1AF4 +2966840B +8000C4C9 +0C02002B +227D03BB +010CBB02 +0C02002B +267DC0A2 +0C020029 +62860A99 +020C0200 +28423BC1 +A4296686 +0B8000C7 +EB160076 +1A00750C +02002D62 +860EDD01 +0C02002D +6686C5D0 +0C02002C +227D03CC +010DCC02 +0C02002C +267D1B00 +770C0200 +29A2870B +99010C02 +0028423B +29A6872A +0A640B80 +00C4D51A +00780C02 +002C227D +03CC010D +CC020C02 +002C267D +C0B60C02 +0029A281 +0B99020C +02002842 +3B29A681 +C1A40B80 +00C0A40C +02002962 +860A9902 +0C020028 +423BC1A4 +2966860B +8000C4E6 +0C02002D +227D03DD +010EDD02 +0C02002D +267D1C00 +790C0200 +2B62800C +BB020C02 +002B6680 +0C02008E +10B0EF0C +02009F10 +6BE10260 +00B80C02 +00296286 +0C020099 +110C0200 +88110808 +406580A2 +28423BC1 +A40B8000 +63FFCCC4 +C716003C +0C02002B +227D03BB +010CBB02 +0C02002B +267D2A1A +160C0200 +2962810A +99020C02 +0028423B +C1A42966 +810B8000 +C4880C02 +002F227D +03FF0108 +FF020C02 +002F267D +1E007A0C +02002D62 +840EDD02 +0C02002D +6684C0C1 +2C550012 +007B0C02 +00222281 +12006E13 +007C0C02 +002A229F +7A300427 +5500D10F +0A0851C8 +8A28423B +2A0A640B +800063FF +E00A094F +CA96C0A1 +C0B05BFF +16D10F0C +02008A10 +6AA10263 +FF5C1C00 +7D0C0200 +2B227D0C +BB020C02 +002B267D +D10FD10F +6C100418 +00801900 +7F1A007E +13008193 +23982299 +219A20D1 +0F000000 +6C1004D1 +0F000000 +6C100419 +0082C0A0 +C1839A90 +299C101A +00836D8A +039A90B8 +99180018 +28824EC0 +A00B8000 +D10F0000 +6C100413 +00182832 +480B8000 +28324DD4 +A00B8000 +28324EC7 +DF1C0082 +002104C0 +9100991A +8BC00D99 +030A9A01 +0B9B019B +C00B8000 +283249DA +400B8000 +D10F0000 +6C100413 +00182832 +480B8000 +28324DD4 +A00B8000 +28324E1C +00820021 +04C0918B +C000991A +0A9A020B +9B029BC0 +0B800028 +3249DA40 +0B8000D1 +0F000000 +6C10041A +0084C182 +728B1D19 +00850C02 +002D9000 +0C02002C +90010C02 +002B9002 +0C020029 +90030C02 +00CD3C2E +AC110C02 +0029E000 +0C020028 +E0010C02 +002FE002 +0C02002E +E0030C02 +00150018 +2852480B +80001900 +86285249 +09290B93 +9094910B +8000D10F +6C10041A +0084C182 +728B1D19 +00870C02 +002D9000 +0C02002C +90010C02 +002B9002 +0C020029 +90030C02 +001C0086 +0C2C0B8B +C0CEB12D +AD012DDC +7FB2DD0C +020028D0 +000C0200 +2FD0010C +02002ED0 +020C0200 +2DD0030C +02008AC1 +0BB000D2 +A0D10F00 +6C100418 +008B1900 +8A1A0089 +1B008813 +008C9325 +98249926 +9A219B20 +D10F0000 +6C1004D1 +0F000000 +6C100418 +00901900 +8F1A008E +1B008D13 +00919324 +98239922 +9A219B20 +D10F0000 +6C100413 +00371200 +920C0200 +223684D1 +0F000000 +6C1004D1 +0F000000 +6C100418 +00938C23 +19009413 +001808C8 +0179890E +DA20883A +0C494C99 +210B8000 +6000171A +00952832 +128B22DD +200B8000 +DA20883A +C0909921 +0B80001B +0037C0C3 +0C02002C +B682C1A0 +0C02002A +B68363FF +FC000000 +6C100419 +00968890 +1A009765 +805B9290 +9B208AA1 +64A05213 +00181A00 +988B2228 +32128C21 +DD200B80 +00283212 +DBC01A00 +990B8000 +2832121A +009A0B80 +00C040C2 +54040941 +CC972832 +121A009B +0B800028 +32121A00 +9C8B200B +8000B422 +B1447549 +DF283212 +1A009B0B +8000D10F +6C1004D1 +0F000000 +6C1004D1 +0F000000 +6C100658 +114A1800 +2DD3A014 +00180822 +28600008 +58114503 +A90C729B +0A284215 +DA100B80 +0064AFEB +221000D1 +0F000000 +6C100413 +00370C02 +00233285 +C082C023 +0324407C +37040482 +39D10FD2 +40D10F00 +6C100412 +009D2221 +06D10F00 +6C100418 +00A41900 +A31A00A2 +1B00A11C +00A01D00 +9F1E009E +1300A593 +28982799 +269A249B +239C229D +219E20D1 +0F000000 +6C100413 +00186929 +11283214 +C0AD0B80 +00283214 +C0AA0B80 +00D10FC0 +9D792107 +283214DA +200B8000 +D10F0000 +6C100ECC +2AD810C3 +60268400 +B1166000 +1ED610DA +20DB4058 +1168DB40 +AA592990 +00DA2029 +6400B166 +58114FD2 +A065AFE2 +C0D0DA10 +7A61150A +6B0C0BB2 +026DB909 +B0662C60 +002C3400 +B1336000 +01C0202D +3400D10F +6C100ED9 +50DD10DA +40261611 +221613C0 +60C020C0 +409D189A +1B991A25 +1213B133 +273DFF27 +70FFC285 +6473C478 +79152730 +00C29DB1 +33797932 +273000C0 +A1B1339A +1D60002A +DA700B50 +00600019 +C1C87BC2 +04C2D42D +16118B1B +2A1211AB +AA2AADFF +2AA0FF0B +5000B122 +63FFB2C0 +B09B1DC3 +C07C790B +273000C0 +D1B1339D +1E600003 +C0E09E1E +2F7CD00F +0F476FF9 +23C08098 +1C891C09 +9B0A07BB +0929BCD0 +273000B1 +33991C2A +7CD00A0A +476EA9E4 +991C6000 +03C0C09C +1C290A6C +C2DE7D79 +21273000 +8F1EB133 +2E7CD0B1 +FF9F1E0E +0E476FE9 +0D273000 +B133287C +D0080847 +6E89F1C4 +C2797916 +273000C0 +B1B1332A +7C9465A2 +05273000 +C0A1B133 +6001FDC0 +A0C0B07C +79026000 +EFC4D47D +79026000 +E7C5E57E +79026000 +DFC5F87F +79026000 +D7280A62 +78790260 +00CE290A +64797902 +6000C52C +0A707C79 +026000BA +2D0A757D +79026000 +B32E0A78 +7E790260 +00AAC0F0 +2F161060 +002CC188 +7A8204C2 +94291611 +8A1B2412 +11C4842B +0A64AA44 +244DFF24 +423F7B71 +027879D5 +674FD204 +0406C29D +2916102A +0A627A7A +02600086 +2B0A6277 +B2026000 +AD2C0A73 +7C7A0260 +017A2D0A +7377D202 +6001A12E +0A787E7A +02600256 +2F0A7877 +FA026001 +A9287CBC +64823629 +7CAB6492 +302A7CA8 +64A2672B +7C9C64B2 +242C0A70 +7C710E2D +7C8B64D2 +182E0A78 +7E710260 +008ADA40 +DB10C1C0 +1D00A65B +FF70D6A0 +600079C0 +B164A103 +281211C1 +F8DA80B4 +88281611 +78FA0263 +FF478A1A +63FF4EC4 +9479721A +C4A477AA +9BC5B57B +7A026001 +1EC5C577 +CA8E2D7C +A865D132 +63FF85C4 +E27E7A02 +600136C4 +F277FA02 +600194C2 +9EC0B1C3 +C18D1CDE +10C2A0D6 +D00DA638 +C0D0286C +FF6D8A14 +DF9000D1 +0400B81A +B1DD0848 +0108CF39 +2FE400B1 +EED91099 +188B1C8A +1E2D1210 +06BB0CB0 +BC0DCB39 +9B1FC9A4 +2C1210C8 +CADAC00B +5000B122 +C0D02D16 +10C37060 +0001C270 +8E1D891F +CDE9B199 +600008DA +700B5000 +8919B122 +8A1FB099 +9919B0AA +9A1F6B91 +E92B1210 +8718C8B5 +DAB00B50 +00B122B1 +6960000C +2A7000B1 +770B5000 +291212B1 +22B066B0 +99291612 +6B91E88C +1D971864 +CD2F8D1F +B1DD9D19 +60008AC0 +A063FE02 +64B10C2F +1211C1B8 +C1E8DAF0 +B4FF2F16 +117FE27D +8A1A63FE +48280A64 +78723D29 +0A647792 +0263FE90 +2A0A707A +7934C3A0 +0B50002A +0A780B50 +00C0B8C0 +C19C1E9B +1C63FE74 +C5D37D79 +192F1211 +C1E8DBF0 +B4FF2F16 +117FE246 +891A6000 +4D280A63 +787179C2 +A50B5000 +DA700B50 +00B22263 +FF02C295 +7979EBC2 +A50B5000 +63FEF5C2 +A00B5000 +B1228A19 +B0AA9A19 +6BA1EF63 +FC8F7AB2 +04C2C42C +16118A1B +63FDC2C1 +D87BD204 +C2E42E16 +11891B2F +1211A9FF +2FFDFF2F +F23F9F18 +CCF31800 +A7981889 +18299000 +C0606490 +7A89182A +9001B166 +B19965AF +F563FE9C +C4B37B79 +852D1211 +C1C8DBD0 +B4DD2D16 +117DCA02 +63FC608B +1A63FC67 +D10FDA40 +DB10C0CA +1D00A85B +FEECD6A0 +63FE692E +7C8B65EF +5563FDA8 +281211C1 +F8DA80B4 +88281611 +78F2048A +1A63FD3D +C1987A92 +04C2A42A +16118A1B +63FD2EDA +40DB10C1 +C01D00A9 +5BFEDCD6 +A063FE2C +C06063FE +2B000000 +6C100ADB +20921494 +16951796 +189719DE +101A00AA +93152F1C +302D1C10 +9D119F10 +C0349312 +8AA08CE0 +8DE18EE2 +5BFEE2D2 +A0D10F00 +6C1004D1 +0F000000 +6C100418 +00AB1300 +AC932198 +20D10F00 +6C100418 +00182B21 +018C2128 +82128D22 +1A00AD0B +8000D10F +6C100419 +00AE8321 +1800AF14 +0018A939 +798B0E28 +4212DB30 +1A00B00B +8000C020 +D10FDA20 +5BFFF01A +00B12B21 +01284212 +BC2CDD30 +0B800029 +2101C0A0 +CA95AA3C +AA2B2FB0 +0C2FC403 +2EB00D2E +C4022DB0 +0E2DC401 +2BB00F2B +C4002B21 +01B4AA0A +0A4F7BA3 +D8C021D1 +0F000000 +6C10041A +00B22AA1 +01022B02 +290A000A +1A4F6AA1 +0C6DA906 +8CB0B4BB +09C90360 +0001C090 +CD90DA20 +83225BFF +DAC8A71D +00B3C021 +93D0D10F +C020D10F +6C100418 +00B38880 +0B8000D1 +0F000000 +6C100613 +0018DA20 +283260C0 +B2DC100B +8000CFAD +1A00B428 +32122B11 +002C1101 +0B800019 +00B22A11 +002B1101 +2A95002B +9501C9BD +1C0070AA +BD7AC316 +7DC21328 +32601C00 +B50B8000 +2832571A +00B50B80 +00CCA2C0 +20D10F28 +32560B80 +00C021D1 +0F000000 +6C1004D1 +0F000000 +6C100418 +00B81900 +B71A00B6 +1300B993 +23982299 +219A20D1 +0F000000 +6C1004D1 +0F000000 +6C100418 +00BE1900 +BD1A00BC +1B00BB1C +00BA1300 +BF932598 +2499239A +229B219C +20D10F00 +6C100413 +00C0C020 +9230D10F +6C100492 +40C05093 +41954395 +42D10F00 +6C100483 +221500C0 +C0816831 +09845098 +229250C8 +409423D1 +0F000000 +6C100483 +221700C0 +69310785 +70C060C0 +40CC50D1 +0F752906 +CC6D9470 +60000DD6 +50855365 +5FEE63FF +E9885398 +639422D1 +0F000000 +6C100413 +00C08230 +C040C052 +C9249522 +8A218923 +88209930 +94230B80 +00942282 +30652FE9 +9430D10F +6C100418 +00C41900 +C31A00C2 +1B00C113 +00C59324 +98239922 +9A219B20 +D10F0000 +6C100493 +23C05094 +24952095 +21D10F00 +6C100458 +0F501C00 +C61800C7 +89C0C0B0 +083828C9 +92A8AA8D +910DAD0C +6AD113DB +90899065 +9FF06000 +091E00C7 +0E3E28C0 +B0AEAA99 +209A21C8 +B292B0D1 +0FDBC063 +FFF60000 +6C100417 +00C68570 +C030C060 +C85B7521 +0BD65085 +50655FF5 +600009C0 +60C85484 +50C86694 +60932093 +22D10FD6 +7063FFF2 +6C100413 +00C6C020 +9230D10F +6C100412 +00C6C030 +8820C98D +580F2D8C +2089C10A +990C6B91 +11DAC088 +C389C099 +2093C08B +C40B8000 +63FFDCD1 +0F000000 +6C100418 +00CB1900 +CA1A00C9 +1B00C813 +00CC9324 +98239922 +9A219B20 +D10F0000 +6C100412 +00151400 +CD0C0200 +23227F19 +00CE7431 +110C0200 +28227FC0 +52C02309 +880C0852 +39D10FC0 +21D10F00 +6C100413 +0037C083 +0C020028 +3682221A +000C0200 +22368363 +FFFC0000 +6C100415 +00371600 +CF68210F +6922166F +34139361 +0C020023 +5682D10F +C8369362 +0C020023 +5683D10F +6C100619 +00CFC081 +DB10C0C2 +C0D39D11 +9C108AB0 +2895008B +B15BFFEF +D10F0000 +6C10061E +00CFDB10 +C0C2C0D0 +9D119C10 +8AB02DE5 +008BB15B +FFE8D10F +6C100612 +00CF1800 +D0C09029 +25009921 +98225BFF +ECDB10C0 +C18D229D +119C108A +B08BB15B +FFDED10F +6C100414 +00CF2241 +00180037 +8342C824 +0C020023 +8683D10F +6C100418 +00D61900 +D51A00D4 +1B00D31C +00D21D00 +D11300D7 +93269825 +99249A23 +9B229C21 +9D20D10F +6C100412 +00D8C030 +0C020023 +2681C093 +0C020018 +00182882 +3D292683 +0B80001B +00D95800 +401900DA +0C020023 +2681C0A7 +0C02002A +26822395 +04239505 +D10F0000 +6C1004D1 +0F000000 +6C1004C0 +20D10F00 +6C100413 +00DB1400 +D86D3A08 +0C020028 +42857A8F +FF0C0200 +1900DB22 +46806D9A +080C0200 +2A428579 +AFFFD10F +6C100413 +00DB1400 +D86D3A08 +0C020028 +42857A8F +FF0C0200 +1900DB22 +46806D9A +080C0200 +2A428579 +AFFFD10F +6C100414 +00D80C02 +00234285 +7F3F03C0 +20D10F0C +02002842 +80282400 +C021D10F +6C1004D1 +0F000000 +6C100412 +00DA8223 +D10F0000 +6C100418 +00DA2881 +00C88E2A +2000C8A9 +5BFFD82A +2001B122 +65AFF4D1 +0F000000 +6C100417 +00DA1400 +DCC08125 +7100C060 +83717521 +0F1200DD +05863826 +75000642 +389231D1 +0FD10F00 +6C100414 +00D8DB30 +0C020023 +4283280A +80083802 +0C020002 +4A142846 +83580EC4 +0A8B140C +02002B46 +810A0A47 +0C02002A +468029FA +7F093901 +0C020029 +4683D10F +6C10041F +00DAC0E1 +1400E318 +00E21900 +E11A00E0 +1B00DF1C +00DD1D00 +DE1300E4 +93272EF5 +0092F194 +25982699 +249A239B +229C219D +20D10F00 +6C10040C +02008220 +D10F0000 +6C10040C +02009320 +D10F0000 +6C1004C9 +20682116 +68220F68 +23146824 +0568250A +63FFFCC0 +20D10FC0 +22D10FC0 +21D10FC0 +23D10F00 +6C1004C8 +29B024C0 +35C02604 +3238D10F +C024D10F +6C1004C9 +20682112 +68221324 +2CFDC033 +C0260432 +38D10FC0 +20D10FC0 +21D10FC0 +22D10F00 +6C10041A +00E55BFF +E0C0B80B +AB021A00 +E55BFFE0 +D10F0000 +6C100612 +00182822 +121A00E6 +0B80001A +00E75BFF +D70C0200 +9A100C02 +00891071 +97EC2822 +121A00E8 +0B80001A +00E75BFF +D01300E9 +0C02009A +100C0200 +89100399 +010C0200 +99101A00 +E70C0200 +8B105BFF +CB28223B +C0AA0B80 +001A00E7 +5BFFC40C +02009A10 +1A00EA0C +02008910 +0A99020C +02009910 +1A00E70C +02008B10 +5BFFBF28 +223BC0AA +0B80001A +00E75BFF +B90C0200 +9A100C02 +00891003 +99010C02 +0099101A +00E70C02 +008B105B +FFB52822 +3BC0AA0B +8000D10F +6C100412 +00182822 +CC0B8000 +2822CD0B +80002822 +BDC0A4C0 +B10B8000 +2822BFC0 +A0C0B10B +8000C0A0 +2822C0C0 +B22C6A80 +0B8000D1 +0F000000 +6C100412 +00182822 +CC0B8000 +2822CD0B +80002822 +BDC0A4C0 +B10B8000 +2822BDC0 +A5C0B10B +80002822 +BFC0A0C0 +B10B8000 +2822BFC0 +A1C0B10B +80002822 +BFC0A2C0 +B10B8000 +2822BFC0 +A3C0B10B +80001200 +EBD10F00 +6C100416 +00182862 +D2DA300B +8000D5A0 +69A60A28 +62121A00 +EC0B8000 +D10F2862 +79DB30DA +200B8000 +040B4FDC +A02862C0 +DA500C0C +4F0B8000 +D10F0000 +6C1004D1 +0F000000 +6C100414 +00EB8932 +99428831 +98418230 +9240D10F +6C100414 +00182842 +D0DA300B +80002842 +C6DA300B +8000C8A7 +88208B22 +0B8000D1 +0F284212 +1A00ED0B +8000D10F +6C1004DA +30130018 +2832C70B +8000DBA0 +C8A98821 +8C22C0A0 +0B8000D1 +0F283212 +1A00EE0B +8000D10F +6C100413 +00EB1200 +18600009 +2822CFDA +30C0B30B +80002822 +C9C0A30B +800065AF +EA600009 +2822CFDA +30C0B20B +80002822 +C9C0A20B +800065AF +EA600009 +2822CFDA +30C0B10B +80002822 +C9C0A10B +800065AF +EA600009 +2822CEDA +30C0B50B +80002822 +C5C0A50B +800065AF +EA2822C5 +C0A40B80 +00C8AD28 +22CEDA30 +C0B40B80 +00C04160 +0001C040 +2822C9C0 +A00B8000 +C8AA2822 +CFDA30C0 +B00B8000 +C041654F +CBD10F00 +6C100412 +00182822 +D1DA300B +800069A6 +0C282212 +1A00EF0B +8000C72F +D10F2822 +C3DB400B +8000D2A0 +D10F0000 +6C100412 +00182822 +D2DA300B +800068A6 +072822C8 +DB400B80 +00D10F00 +6C10046F +3503C021 +D10FC020 +D10F0000 +6C100424 +6A80222A +00034239 +D10F0000 +6C1004C0 +20D10F00 +6C1004D1 +0F000000 +6C1004C0 +20223400 +224400D1 +0F000000 +6C100419 +00F01300 +F21A00F8 +1B00F71C +00F61D00 +F51E00F4 +1F00F318 +00F19824 +9A229B29 +9C289D27 +9E269F2B +99209325 +1800FA98 +2A1300FB +1900F999 +21932CD1 +0F000000 +6C100418 +01021901 +011A0100 +1B00FF1C +00FE1D00 +F01E00FD +1F00FC13 +01039327 +98289926 +9A229B24 +9C259D21 +9E239F20 +D10F0000 +6C100418 +0104828D +C0308421 +948D9321 +D10F0000 +6C10048A +202DA106 +0C02002D +A50B8CA1 +2BA105AC +BB0C0200 +18001828 +82B69BA7 +2AAC100B +80001C01 +04DB2088 +C1C0A08C +C20B8000 +D10F0000 +6C100416 +0104826E +836FC050 +72390695 +6E956F60 +00038821 +986E9521 +D10F0000 +6C10041B +010488B0 +DA208BB2 +0B8000D1 +0F000000 +6C100619 +01081200 +181A0107 +1B01061C +01059C10 +9B119A12 +28229699 +13DA100B +80002822 +AE1A0109 +0B800028 +22AF1A01 +0A0B8000 +2822AE1A +010B0B80 +002822AE +1A010C0B +80001901 +04C02092 +9E929F92 +9DD10F00 +6C1004D1 +0F000000 +6C100414 +01048930 +99408831 +98418232 +9242D10F +6C100414 +00181301 +04284212 +1A010D8B +330B8000 +2842121A +010E8B35 +0B800015 +010F1201 +108A330C +02002A26 +8089350C +02002956 +80284212 +1A01118B +390B8000 +89390C02 +002926C0 +2842121A +01128B3B +0B8000C0 +A18D3B0C +02009D50 +1C0113C7 +BF0C0200 +2BC6810C +02002A26 +810C0200 +2A26C10C +02009A51 +D10F0000 +6C1004C0 +20D10F00 +6C100414 +0110C051 +6921070C +02002546 +81D10F69 +25070C02 +002546C1 +D10F6926 +0713010F +0C020095 +31D10F00 +6C100469 +21041201 +09D10F69 +25041201 +0BD10F68 +260263FF +FC12010C +D10F0000 +6C100694 +10160018 +683423DA +305BFFF4 +D5A02862 +79DB30DA +200B8000 +DCA02862 +B08B10DA +500B8000 +DA305BFF +E2D10FC0 +C06A4150 +C070D4C0 +2862A60B +8000D5A0 +CCA163FF +FC286279 +DA20DB30 +0B800028 +6252DBA0 +C0A00B80 +009A5128 +6279DB30 +DA200B80 +002A5504 +8B10B144 +C0C09C50 +2C55052C +55062C55 +07C87097 +50D75074 +B9B56000 +01D7C0C9 +7E120104 +2862A10B +8000C0B0 +97A0DC70 +892D8770 +9BC0C890 +99A19A2D +657FE4D1 +0FD10F00 +6C100412 +00186933 +358A402D +A1060C02 +008CA12D +A50B2BA1 +05ACBB0C +02002822 +B69BA72A +AC100B80 +001A0104 +8EAE8BAF +CEE594AE +28229794 +AF0B8000 +60001528 +22B1DB40 +1A010A0B +80001A01 +0FC0910C +020029A6 +81C020D1 +0F94B163 +FFD50000 +6C100469 +340C1A01 +0489ADC8 +90994194 +ADD10FDA +305BFFAF +18001828 +82B4DB40 +0B8000DA +305BFFA0 +D10F0000 +6C1004D1 +0F000000 +6C1004D1 +0F000000 +6C1004D1 +0F000000 +6C1004B0 +346E4603 +C020D10F +C021D10F +6C10046B +35066A33 +03C420D1 +0F226A40 +D10F0000 +6C100419 +01130C02 +00180114 +29928014 +010B1200 +18798802 +60008F23 +4CDC6000 +122822B3 +DA400B80 +00DBA088 +318C32C0 +A00B8000 +2822B7DA +400B8000 +65AFE114 +010C6000 +122822B3 +DA400B80 +00DBA088 +318C32C0 +A00B8000 +2822B7DA +400B8000 +65AFE116 +010A246C +F82822B7 +DA600B80 +00C9A228 +22B5DA60 +0B800088 +308B320B +8000C051 +600001C0 +502822B7 +DA400B80 +00C9A328 +22B3DA40 +0B8000DB +A088318C +32C0A00B +8000C051 +655FBDD1 +0F000000 +6C1004C0 +23C08428 +34002244 +00D10F00 +6C100419 +01151301 +171A011D +1B011C1C +011B1D01 +1A1E0119 +1F011818 +01169824 +9A229B29 +9C289D27 +9E269F2B +99209325 +18011F98 +2A130120 +19011E99 +21932CD1 +0F000000 +6C100412 +00181301 +04282212 +1A01218B +390B8000 +1A012215 +01100C02 +00282212 +2B52C60B +80002822 +B81A010B +0B800028 +22121A01 +238B3B0B +80001A01 +2214010F +0C020028 +22128B46 +0B800028 +22B81A01 +0C0B8000 +2822121A +01248B33 +0B80001A +01220C02 +00282212 +2B52860B +80002822 +B81A0109 +0B800028 +22128B35 +1A01250B +80001A01 +220C0200 +2822122B +42850B80 +002822B8 +1A010A0B +8000D10F +6C100412 +0126882C +1A0127C4 +90688108 +0C020029 +A4886000 +08180018 +2882350B +8000C090 +992BD10F +6C100412 +0126882C +69820818 +00182882 +360B8000 +2B211289 +2BC0A00B +A939992B +D10F0000 +6C1004C0 +31280AEF +140051C0 +73C160C0 +2A6D2A31 +0F391129 +9C3F0799 +03090947 +0499020C +02002A90 +0006AA02 +0C02002A +94000C02 +00259000 +0855010C +02002594 +00B13303 +0347C031 +C0AA6DAA +310F3911 +299C5F07 +99030909 +47049902 +0C02002A +900006AA +020C0200 +2A94000C +02002590 +00085501 +0C020025 +9400B133 +030347D1 +0F000000 +6C100413 +01261501 +28263002 +C04F283C +58060643 +086609C0 +83266001 +0C020028 +56C00C02 +00265683 +0C020024 +56C0C021 +923BD10F +6C100414 +01262241 +036F2207 +CC282340 +02683203 +C020D10F +C021C080 +28452E92 +4BD10F00 +6C100415 +0126C071 +24510312 +01272850 +02C94968 +411E6942 +17245104 +04844F6B +44026B41 +2C684441 +69450560 +009C6882 +12C020D1 +0F0C0200 +29208307 +99020C02 +00292483 +975BD670 +C0216961 +0227552E +D10F1A01 +29D6700A +4A092AA1 +7F0C0200 +2A248B97 +5B63FFDF +0000C1B0 +0C02002B +248B0C02 +00272488 +0C02002B +248B0C02 +002C2088 +7FCFF616 +0128C09F +0C020029 +66C0C040 +18012AC0 +DD6DDA0F +084A0A8A +A00C0200 +2A6683B1 +4404044F +C0D70C02 +002D66C0 +1C012B0C +02002C66 +830C0200 +2966C0C0 +B20C0200 +2B248886 +5B63FF77 +6C100414 +01262241 +03130127 +6E2F03C0 +20D10F0C +02002234 +82C02192 +4BD10F00 +6C10041A +01262BA1 +030B8947 +68913268 +92266893 +3768964F +6997221B +012C9BA8 +2BB10118 +001829A1 +05288235 +0B993629 +A5120B80 +00C021D1 +0F0B0947 +CB93C020 +D10F2BA2 +109BA82B +B00163FF +D50B0B47 +CAB968BB +2D68BC30 +C3C07CB9 +E029A214 +99A82B90 +0163FFBA +1B012D9B +A82BB001 +63FFAF8B +AA9BA82B +B10163FF +A529A211 +63FFDC29 +A21263FF +D629A213 +63FFD000 +6C1004C0 +21150128 +1301260C +02002256 +C028311B +0C020028 +5683C04F +0C020024 +56C0923B +D10F0000 +6C100413 +01261201 +272A3007 +C0541401 +28CDA5C0 +9029351B +0C020028 +20820808 +460C0200 +28248260 +005C0C02 +002B2083 +79B7066E +A256C020 +D10F6FA2 +F82A351B +5803F9C7 +DB0C0200 +2C42C20D +CC010C02 +002C46C2 +2F0A800C +02002E20 +820FEE02 +0C02002E +24825BFF +450C0200 +29208305 +99020C02 +00292483 +0C020028 +20960808 +450C0200 +282496C0 +21923BD1 +0F2A351B +5803810C +02002A42 +C205AA02 +0C02002A +46C263FF +AE000000 +6C100413 +01270C02 +00140126 +23308228 +411BC020 +78370B69 +81082941 +041B0128 +6E9201D1 +0FC0210C +020022B6 +C02C411D +0C02002C +B683C0AF +0C02002A +B6C02245 +12924BD1 +0F000000 +6C100413 +01270C02 +00140126 +283082C0 +202A4104 +788738CF +A5894A2B +40072990 +0D0A0D47 +C0547B99 +262D451C +2B451D12 +01280C02 +002C3083 +79C71658 +035B0C02 +002E22C2 +05EE020C +02002E26 +C2600015 +D10F5803 +B9C78B0C +02002F22 +C208FF01 +0C02002F +26C25BFF +09C02192 +4B0C0200 +2A308305 +AA020C02 +002A3483 +0C020029 +30960909 +450C0200 +293496D1 +0F000000 +6C100416 +01261401 +28C03127 +6112C450 +D8500709 +476E7D01 +C0300398 +39039539 +05221408 +770C8368 +07074F27 +65120558 +026D2918 +2B31012A +3100B23C +9C68B433 +936800BB +11ABAA0C +02002A46 +83C05308 +0341C081 +69332182 +682E2101 +2D2100B4 +229268C0 +F70C0200 +2F46C000 +EE11AEDD +0C02002D +46836000 +2A693213 +0C020025 +46C08968 +2991000C +02002946 +83600013 +6931100C +02002846 +C08A682A +A1000C02 +002A4683 +C0BF0C02 +002B46C0 +CC74986B +C0C09C6C +D10F0000 +6C100419 +0126C031 +C4602591 +12D76084 +98050847 +6E5D01C0 +30038639 +03873907 +550C0505 +4F259512 +B3670727 +126A7138 +160128C0 +306D7A28 +0C02002B +6283B133 +2B44000B +88140B07 +5F0B8557 +25440327 +44022844 +018A9703 +0347B444 +0BAA039A +97259112 +84986000 +01C03004 +3A0A9A98 +CC56C0B1 +C0C09C9C +9B9BD10F +6C100418 +00031201 +26190030 +88801D01 +311E0130 +79892B18 +00182882 +121A012E +0B80001C +00322C26 +1029CC64 +2ACC442B +CC2C2B26 +122A2613 +2CCC202C +26112926 +14D10F19 +01322D26 +132E2612 +18009D1F +012F2F26 +11282610 +63FFE200 +6C10041A +01262AA1 +021B0018 +C9AE68A1 +3068A337 +68A53E68 +A64568A8 +4CC0C97C +A15B68A9 +4EC0DB7D +A10DC020 +D10F28B2 +310B8000 +D2A0D10F +28B2240B +8000C021 +D10F28B2 +2D0B8000 +D2A0D10F +28B22E0B +8000D2A0 +D10F28B2 +2F0B8000 +D2A0D10F +28B2300B +8000D2A0 +D10F28B2 +250B8000 +D2A0D10F +28B2230B +8000D2A0 +D10F28B2 +260B8000 +C0211A01 +281D0110 +1C010F0C +020022D6 +870C0200 +22C6860C +020022D6 +C70C0200 +92C7C0B8 +0C020029 +A2C20B99 +020C0200 +29A6C2C0 +820C0200 +2FA2C208 +FF020C02 +002FA6C2 +0C02002E +A2C202EE +020C0200 +2EA6C22D +1A000C02 +002CA2C2 +0DCC020C +02002CA6 +C22B2A00 +0C020029 +A2C20B99 +020C0200 +29A6C2D1 +0F000000 +6C100419 +01331600 +15120126 +1300180C +02002A21 +02C38029 +667C78A1 +63C3B17B +A9792721 +031A0134 +28321208 +7711DB70 +0B8000C0 +EFC0D1C0 +C08B2715 +01281401 +2764B059 +283212C0 +7F1A0135 +0B8000C0 +C09C271B +01360C02 +002A627D +0BAA020C +02002A66 +7D0C0200 +C0912956 +C00C0200 +2956830C +02002756 +C00C0200 +294488D1 +0F1A0137 +2832122B +21032C21 +052C2512 +08BB119B +280B8000 +C0C29C2C +D10F9C27 +0C02002D +56C00C02 +002C5683 +0C02002E +56C00C02 +002D4488 +2832121A +01380B80 +001D0139 +0C02002C +627D0DCC +010C0200 +2C667DC3 +AF2BFA00 +0C020029 +627D0B99 +010A9902 +0C020029 +667D0778 +390B8000 +D10F0000 +6C100612 +01262821 +1A130127 +140018B0 +886480AE +284232C0 +9129251A +0B80001A +012C2E7A +092EA500 +1B013A1C +013B1E01 +2D0C0200 +2D3083C0 +912F0A03 +79D73D2C +260A2D22 +106DFA10 +0E9F090D +9C09B199 +2CC1002C +F5000909 +4728D108 +C091C1FD +08884728 +E5046DFA +100A9D09 +0B9C092C +C1002CD5 +00B19909 +09476000 +3F9B2A2D +2210C091 +C0F36DFA +100E9F09 +0D9B092B +B1002BF5 +00B19909 +094728D1 +08290A01 +2F0A1D08 +884728E5 +046DFA10 +0A9D090C +9B092BB1 +002BD500 +B1990909 +472C6A0A +2CE5000C +0200C052 +1C012829 +30880C02 +0028C283 +0C020098 +100C0200 +8D102E0A +602B0A80 +0D0F412F +24020BDB +010EDA01 +2A24012B +24000C02 +00891009 +89472925 +020C0200 +88100888 +57088811 +0C02008F +100F0F57 +A8FF2F25 +030C0200 +2CC2830C +02009C10 +0C02008B +100B8B47 +08BB110C +02008910 +090947AB +99292504 +0C020088 +10088857 +0888110C +02008F10 +0F0F57A8 +FF2F2505 +7ED80E28 +42270B80 +00892B0A +5938992B +D10F69AD +07284228 +0B8000D1 +0FD95063 +FFEB0000 +6C1004C0 +202B0AFD +13012729 +0AFF0C02 +002A30AB +0BAA010C +02002A34 +AB0C0200 +2934910C +02002934 +900C0200 +2934970C +02002934 +950C0200 +293494C1 +800C0200 +2834830C +02002234 +83C0610C +02002634 +81C4500C +02002534 +920C0200 +2234890C +02002234 +ABC2440C +02002434 +830C0200 +2234910C +02002234 +900C0200 +223497D1 +0F000000 +6C100413 +0127240A +BF0C0200 +22309404 +22010C02 +00223494 +D10F0000 +6C100414 +01268A20 +9A438921 +99448822 +98458323 +9346D10F +6C100412 +01268823 +0B80001B +012864A0 +4B8DA01F +013C8CD1 +0C02002F +F03CC093 +0F2E147F +9007B1E9 +09094F60 +0001D9E0 +C9906D99 +0C0C0200 +2DB2BF0C +02009DC0 +B4CC8DA0 +8824C090 +29D50729 +D50599D0 +2FD50699 +A12FA504 +0B8000D1 +0F6DA905 +0C02002C +B2BFD10F +6C100412 +01268825 +0B800064 +A07389A0 +2CA1048E +91299105 +1B01280C +2D4F0E99 +086DD90C +0C02008D +900C0200 +2DB6BEB4 +99C0D30C +0C41CAC5 +68C11068 +C25A69C3 +12C0E70C +02002EB6 +C0600007 +C0F10C02 +002FB6C0 +0C020088 +900C0200 +28B6BEC0 +DF1C013C +0C02002D +B6C0C0B8 +0C020029 +C02D0B99 +020C0200 +29C42D88 +260B8000 +D10F1F01 +27280AC0 +0C02002E +F09408EE +020C0200 +2EF494D1 +0F0C0200 +2DB6C063 +FFB10000 +6C100418 +00182882 +161A013D +0B8000D1 +0F000000 +6C100413 +00182832 +161A013E +0B800012 +0075C1A1 +0C020029 +22810A99 +020C0200 +28323B2A +0A642926 +810B8000 +1800510C +02002922 +80089902 +0C020029 +26800C02 +002F2283 +08FF020C +02002F26 +831E013F +0C02002D +22840EDD +020C0200 +2D2684C0 +C10C0200 +2B228C0C +BB020C02 +002B268C +D10F0000 +6C100413 +013C2B0A +C01A0127 +1601280C +020029A0 +940B9902 +0C020029 +A494C08F +0C020028 +66C01501 +400C0200 +2566BEC0 +480C0200 +22302D04 +22020C02 +0022342D +D10F0000 +6C10045B +F2E7D10F +6C100412 +01271500 +180C0200 +2420A379 +470E2852 +2B0B8000 +2852121A +01410B80 +00C07016 +01267F47 +4F0C0200 +2320A278 +370E0C02 +002920A2 +0909460C +02002924 +A27E3705 +2852210B +80007D37 +05285222 +0B80007F +37052852 +200B8000 +896B6992 +0A0C0200 +C0942924 +8860000A +6991070C +0200C0A1 +2A248897 +6B784747 +0C020023 +20AB2C0A +FD7E3711 +0C02002B +20AB0CBB +010C0200 +2B24AB27 +651A7D37 +112E0AFB +0C02002D +20AB0EDD +010C0200 +2D24AB7C +3711280A +F70C0200 +2F20AB08 +FF010C02 +002F24AB +D10F0000 +6C100412 +01271500 +180C0200 +2420A37B +470E0C02 +002820A6 +79870528 +52330B80 +0079470E +0C020029 +20A47997 +05285234 +0B8000C0 +70160126 +7F47700C +02002320 +A2783717 +0C020029 +20A20909 +460C0200 +2924A228 +52121A01 +420B8000 +7E370528 +52210B80 +007D3705 +2852220B +80007F37 +05285220 +0B80007C +3709976C +0C0200C0 +91292488 +7B37070C +0200C0A4 +2A248889 +6B69920A +0C0200C0 +B42B2488 +60000A69 +91070C02 +00C0C12C +2488976B +784F0260 +00800C02 +002320AB +7E371D2A +0AFD0C02 +002920AB +0A99010C +02002924 +AB285212 +1A014327 +651A0B80 +007D3738 +2A0AFB0C +02002920 +AB0A9901 +0C020029 +24AB2852 +121A0144 +0B800019 +00CE1A00 +150C0200 +28522A29 +A67F0B80 +00285229 +0B800028 +522C0B80 +007C371A +2A0AF70C +02002920 +AB0A9901 +0C020029 +24AB2852 +121A0145 +0B8000D1 +0F000000 +6C1004C3 +A1130015 +25FA0017 +00180C02 +0029327D +0599010A +99020C02 +0028725E +29367D0B +80001200 +37C04469 +A1220C02 +002C2286 +04CC020C +02002C26 +86C6BF0C +02002A22 +840BAA01 +0C02002A +26846000 +9C1B0075 +C76E69A3 +200C0200 +2EB28406 +EE010C02 +002EB684 +0C02002D +B28C06DD +010C0200 +2DB68C60 +0073283A +050C0200 +28B683C1 +FF0C0200 +2E22840F +EE020C02 +002E2684 +C7D90C02 +002C2284 +0DCC010C +02002C26 +841A0146 +0C02002A +B682C090 +0C020028 +723B2A0A +6429B684 +0B80000C +02002C22 +8406CC01 +0C02002C +2684C6B7 +0C02002A +22840BAA +010C0200 +2A26840C +02002922 +86049902 +0C020029 +2686C3E2 +0C02002D +327D05DD +010EDD02 +0C02002D +367DD10F +6C100412 +00182822 +5E0B8000 +D4A0C3B0 +13001525 +FA001901 +26C0700C +02002A32 +7D05AA01 +0BAA020C +02002822 +372A367D +27951C27 +951D2795 +2C0B8000 +28223B2A +1A2C0B80 +00190128 +0C02002A +92CA77A7 +F6C3A30C +02002932 +7D059901 +0A99020C +02002822 +161A0147 +29367D0B +80001601 +27694233 +C3A40C02 +0029327D +0599010A +99020C02 +0028221F +29367D0B +8000C3C5 +0C02002B +327D05BB +010CBB02 +0C02002B +367D0C02 +0027648B +28225E0B +800068A1 +132A0AC0 +0C020029 +60940A99 +020C0200 +296494D1 +0F2C0ABF +0C02002B +60940CBB +010C0200 +2B6494D1 +0F000000 +6C100418 +01481301 +49932198 +20D10F00 +6C100419 +01511A01 +501B014F +1C014E1D +014D1E01 +4C1F014B +13014A18 +0152982A +99292A26 +1A2B2619 +9C249D23 +9E229320 +9F211801 +5A282614 +1F015413 +01531E01 +551D0133 +1C01561B +01571A01 +58190159 +2926109A +2F9B2D9C +2E9D2C9E +2B93279F +28180163 +98261F01 +5C13015B +1E015D1D +015E1C01 +5F1B0160 +1A016119 +01629925 +2A26182B +26172C26 +162D2615 +2E261123 +26132F26 +12130164 +23261BD1 +0F000000 +6C1004C0 +53242C2F +05440315 +00510404 +47054402 +0C020023 +4400D10F +6C1004C0 +53240A80 +A4240544 +03150051 +04044705 +44020C02 +00234400 +D10F0000 +6C1004C0 +53240A90 +A4240544 +03150051 +04044705 +44020C02 +00234400 +D10F0000 +6C10040F +27110B36 +11048514 +A766C073 +266C3F07 +66031700 +51060647 +0766020C +02002564 +00D10F00 +6C10040F +26110B35 +11A655C0 +63255C3E +06550316 +00510505 +47065502 +0C020024 +5400D10F +6C10042A +0A9FC073 +0F261126 +6C3F0766 +03170051 +06064707 +66020C02 +00296000 +0A99010C +02002964 +00C08104 +B714B177 +03880C0B +77110877 +1D0C0200 +25600007 +55020C02 +00256400 +D10F0000 +6C100418 +01651901 +66288100 +299100B0 +88658179 +6591761A +01672AA1 +0065A16D +C0A1C0BF +5BFFB9C0 +A0C0B15B +FFBFC0A1 +C0B15BFF +BDC0A02B +0A865BFF +C3C0A1C0 +B65BFFC1 +C0A1C0B1 +2C2A005B +FFC7C0A1 +C0B12C2A +005BFFCE +C0A1C0B1 +2C2A005B +FFD5C0A2 +2B0AF25B +FFA9C0A2 +C1B25BFF +AEC0A3C1 +B25BFFAC +C0A22B0A +865BFFB2 +C0A3C0B6 +5BFFB0C0 +A2C0B02C +2A005BFF +B6C0A2C0 +B02C2A00 +5BFFBDC0 +A2C0B02C +2A005BFF +C4C0A32B +0AFE5BFF +98C0AEC1 +B35BFF9D +C0AE2B0A +835BFFA3 +C0A3C0B0 +C4C05BFF +A9C0A3C0 +B0C4C05B +FFB1C0A3 +C0B0C4C0 +5BFFB7C0 +A42B0AFF +5BFF8BC0 +AFC0B45B +FF91C0AF +2B0A835B +FF97C0A4 +C0B1C4C0 +5BFF9CC0 +A4C0B1C4 +C05BFFA4 +C0A4C0B1 +C4C05BFF +ABC0A5C4 +BF5BFF7F +C0A4C0B5 +5BFF84C0 +A5C0B55B +FF83C0A4 +2B0A865B +FF89C0A5 +C0B65BFF +87C0A5C0 +B12C2A00 +5BFF8CC0 +A5C0B12C +2A005BFF +94C0A5C0 +B12C2A00 +5BFF9AC0 +A62B0A6F +5BFF6EC0 +A6C0B65B +FF74C0A7 +C0B65BFF +72C0A62B +0A865BFF +78C0A7C0 +B65BFF76 +C0A6C0B1 +2C2A005B +FF7CC0A6 +C0B12C2A +005BFF83 +C0A6C0B1 +2C2A005B +FF8AD10F +6C100418 +01651901 +66288100 +299100B0 +88658118 +6591151A +01672AA1 +0065A10C +C0A1C0BF +5BFF55C0 +A0C0B15B +FF5BC0A0 +2B0A865B +FF61C0A1 +C0B65BFF +5FC0A1C0 +B1C4C05B +FF65C0A1 +C0B1C4C0 +5BFF6CC0 +A1C0B1C4 +C05BFF73 +C0A22B0A +F25BFF47 +C0A2C1B2 +5BFF4CC0 +A22B0A86 +5BFF52C0 +A3C0B65B +FF51C0A2 +C0B0C4C0 +5BFF56C0 +A2C0B0C4 +C05BFF5E +C0A2C0B0 +C4C05BFF +65C0A32B +0AFE5BFF +39C0AEC1 +B35BFF3E +C0AE2B0A +835BFF44 +C0A3C0B0 +C4C05BFF +4AC0A3C0 +B0C4C05B +FF52C0A3 +C0B0C4C0 +5BFF58C0 +A42B0AFF +5BFF2CC0 +AFC0B45B +FF32C0AF +2B0A825B +FF38C0A4 +C0B1C4C0 +5BFF3DC0 +A4C0B1C4 +C05BFF45 +C0A4C0B1 +C4C05BFF +4CC0A5C4 +BF5BFF20 +C0A4C0B5 +5BFF25C0 +A42B0A86 +5BFF2BC0 +A5C0B65B +FF2AC0A5 +C0B1C4C0 +5BFF2FC0 +A5C0B1C4 +C05BFF37 +C0A5C0B1 +C4C05BFF +3ED10F00 +6C10040C +02008220 +D10F0000 +6C10040C +02009320 +D10F0000 +6C100416 +0168C839 +15016968 +31076832 +04D10F15 +016A0224 +0906440B +9540D10F +6C100428 +2CFC6E82 +03C021D1 +0F180018 +19016802 +24092882 +AF09440B +B84A0B80 +00DB30DA +205BFFEE +88418240 +8B42A822 +DA205BFF +E8BC2AC0 +B25BFFE6 +2A2C18C0 +B15BFFE4 +C020D10F +6C1004DC +40DB3018 +00181A01 +68022909 +2882B00A +920BB82A +0B8000C0 +C2C0318F +218D208B +221E016A +AFD2DA20 +0EDD0C0D +C3385BFF +D6DB30B8 +2A5BFFD4 +2A2C1CC0 +B15BFFD2 +B42AC0B1 +5BFFD0D1 +0F000000 +6C10046E +2403C021 +D10F1800 +181B0168 +022A0928 +82AE0BAA +0BB8AA0B +8000DB30 +DA205BFF +C9C020D1 +0F000000 +6C1004DB +301C0168 +18001802 +2A090CAA +0B8CA189 +A02882B1 +B8AAAC92 +0B8000B4 +2AC0B15B +FFBBD10F +6C100418 +00181B01 +68022A09 +2882B50B +AA0BB8AA +0B8000D2 +A0D10F00 +6C100414 +0168C0B0 +02230904 +330B8831 +84308232 +8333A844 +B44A5BFF +ACDA40DB +305BFFAA +73210FC0 +A00C0200 +2A25010C +02008224 +7329F1D1 +0F000000 +6C100428 +2CFC6E82 +03C020D1 +0F180018 +1B016802 +2A092882 +B70BAA0B +B8AA0B80 +000A024F +D10F0000 +6C100418 +00181B01 +68022A09 +2882B30B +AA0BB8AA +0B8000D2 +A0D10F00 +6C1004DB +301C0168 +18001802 +2A090CAA +0B8CA189 +A02882B4 +B8AAAC92 +0B8000B4 +2AC0B15B +FF8AD10F +6C10046E +2403C020 +D10F1800 +181B0168 +022A0928 +82B70BAA +0BB8AA0B +80000A02 +4FD10F00 +6C100419 +01721A01 +711B0170 +1C016F1D +016E1E01 +6D1F016C +13016B18 +0173982B +99299A2A +9B289C27 +9D269E23 +93209F22 +13017493 +2CD10F00 +6C100416 +00182862 +52C0A0C2 +B00B8000 +140175C0 +5095A095 +A19A406A +2218C031 +286252C0 +A0C2B00B +8000B133 +894099A1 +95A09A40 +7329E892 +41D10F00 +6C100416 +01758260 +C0308461 +C82A8521 +B0449560 +94619321 +D10FC020 +D10F0000 +6C100413 +01758431 +85309521 +9230B144 +9431D10F +6C100418 +01771901 +76130178 +93239821 +9920D10F +6C100416 +00182862 +52C0A0C2 +B40B8000 +140179C0 +5025A507 +95A09A40 +6A2219C0 +31286252 +C0A0C2B4 +0B8000B1 +33894099 +A025A507 +9A407329 +E7D10F00 +6C100415 +01798250 +C030C826 +84209450 +9320D10F +C020D10F +6C100422 +2C10D10F +6C100484 +212C2105 +2821072A +21068531 +2E210429 +31072D31 +052B3106 +2F31042F +25042E35 +042D2505 +2C35052B +25062A35 +06292507 +28350795 +219431D1 +0F000000 +6C100418 +017C1901 +7B1A017A +13017D93 +23982299 +219A20D1 +0F000000 +6C100484 +208240C8 +25D42082 +20652FF8 +25410522 +41042341 +0605220C +03220CD1 +0F000000 +6C1004D5 +20822028 +21062621 +05A38803 +660C2625 +05282506 +245104A3 +44245504 +84212221 +05A422D1 +0F000000 +6C100486 +208560C8 +55D65085 +50655FF8 +246106A3 +49296506 +28210425 +61058761 +A3882825 +04A752A2 +42D10F00 +6C1004D5 +20822028 +21062621 +0503880C +A3662625 +05282506 +24510403 +440C2455 +04842122 +2105A422 +D10F0000 +6C100422 +2104D10F +6C100485 +20265105 +87512551 +06A76696 +309540D1 +0F000000 +6C1004BC +22D10F00 +6C100418 +0018DD40 +DC302882 +9B2A22B1 +C0B00B80 +00D10F00 +6C100614 +0018D520 +284252C0 +A02B2ACC +0B8000D2 +A08844C0 +B02C2ACC +0B800088 +32261A8C +A6269820 +8C342C26 +B18A332A +26B09212 +28427229 +42862B42 +879B1099 +11DB100B +8000C4E0 +C3A4C0B3 +C0D62F42 +88C0C02C +66492D26 +AC296249 +2C664C2B +26AF0A99 +28C2AC0E +99352966 +4A883029 +2A7CA929 +2866448B +312F663D +2D42892E +65822D66 +3E2C6648 +2A65832B +66472A22 +B02B2C19 +2226A528 +427C2C2C +1899220B +8000884E +0B80002B +20192E22 +B02D4275 +69A209DA +E08C310B +D0006000 +06DAE0C0 +C10BD000 +2526A9DA +20C0B4C4 +C0C09129 +269E5BFF +C5284274 +2A22B00B +8000D10F +6C1004D1 +0F000000 +6C100424 +22A69430 +2326A6D1 +0F000000 +6C1004DA +205800BC +D4A0C0B8 +5BFF9815 +0018D3A0 +8854C0B0 +C0C80B80 +00DC40DA +20C0B0C1 +E6C081C0 +F02922A7 +2D22AA2D +34032934 +052F3400 +0989140D +8D142834 +012E3406 +2852842D +34022934 +040B8000 +D10F0000 +6C1004DA +40C0B85B +FF7A2A22 +B0180018 +DC400336 +0F288277 +02660A2B +60190B80 +002922A8 +2822AA7F +974BDA40 +5BFF912B +22A75805 +EED7A0DA +405BFF8E +C0412B22 +A75805FE +2C69072B +6902B17D +0AD739A7 +BB07CC0C +2C65072B +65022A22 +9C003104 +004B1A0A +BA022A26 +9CC85EDA +20B46CDD +30580094 +D10FA8FF +2F26AAD1 +0F000000 +6C100825 +16032616 +04241602 +C84EDA20 +DB30DC40 +8441C0D0 +5BFFD965 +4FEFDD30 +DA200031 +04C0B103 +3C0F02CC +0A00BB1A +B4CC5800 +84D10F00 +6C1004DC +40DB30DA +20C0D15B +FFCFD10F +6C1008DA +405BFF71 +D5A0DA40 +5BFF67D6 +A09A15C0 +B8DA405B +FF449611 +D7A01800 +18C09023 +5400C4D0 +2C500103 +3B0F02BB +0A9B140D +CC022C54 +0123A400 +29A40128 +82792BB0 +182A22B0 +0B800085 +40D6A0DA +40255105 +5BFF55D3 +A0DA405B +FF2A0565 +0C03550C +75AB06DA +405BFF26 +D5A06B56 +026000BF +23229CC0 +6064309C +DA40C0B2 +97165BFF +34D720B4 +2BC062C0 +D19A12C0 +832C5CFE +C090C050 +29A40128 +A4006430 +B26BC402 +6000AC9C +179B1000 +510400DE +1A9E137E +3057DA40 +C0B45BFF +26C08089 +13DBA025 +A4002C79 +022CA401 +2D710EC7 +AF0A9903 +ADCC0C0C +4B0C8A14 +2C750E2C +B4032AB4 +022F7108 +09330128 +75027DF7 +048A1058 +00F28B10 +8F12C0D1 +8C172EF0 +01B4662C +CCFCB4EE +2EF4016E +C445B155 +277C1C2B +BC1C63FF +84971687 +166A6113 +C0828911 +2674042F +7001A969 +991508FF +022F7401 +8B141800 +188C152A +22B02C74 +030C8D14 +288276DC +402D7402 +2BB0180B +8000D10F +23269C63 +FFC00000 +6C1004D1 +0F000000 +6C1004D1 +0F000000 +6C100418 +00182882 +7A2A22B0 +0B8000B8 +A2D10F00 +6C100419 +017E1301 +801A0186 +1B01851C +01841D01 +831E0182 +1F018118 +017F9824 +9A289B27 +9C219D26 +9E229F23 +99209325 +18018898 +2A130189 +19018799 +29932BD1 +0F000000 +6C100418 +0018DC30 +28829E2A +22B1C0B0 +0B8000D1 +0F000000 +6C100413 +00182832 +85DA200B +8000DCA0 +28329C2A +22B1C0B0 +0B8000D2 +A0D10F00 +6C100428 +229B2922 +9C73804F +73904CC0 +62C9412A +49042B49 +008C44C8 +A17AB23B +2D22AF7D +CA08DA20 +5BFFEDD3 +A0CCA92E +22A806EE +022E26A8 +D10F5BFE +E5C84C88 +44C2F00F +5F02B188 +98442FA4 +01180018 +DC302882 +84DA20C0 +B00B8000 +D10F0000 +6C1006DA +20263002 +28300324 +22A60866 +11086602 +C0809810 +5BFFD9D7 +A0C0BA5B +FEB61800 +18D5A088 +84C0B0C0 +CA0B8000 +26540306 +8914C0A0 +C0B32B54 +012A5400 +295402C9 +462B229E +C1A57BAA +04C0D360 +000C2C41 +0876C11A +8440654F +ECC0D118 +0018DC70 +2D540428 +8284DA20 +C0B00B80 +00D10FDA +40BA5EDF +102D3008 +C0908843 +BA3C0D9C +380B8000 +DDA065AF +D18A102A +54082922 +9E295405 +2A410A2A +54070999 +0F02990A +0A8A142A +54062A30 +05283004 +94922922 +9E088811 +0A880209 +990F0299 +0A289508 +2F229E2E +30060FFF +0F02FF0A +2EF4182C +229E2B30 +070CCC0F +02CC0A2B +C4192A22 +9E2B4109 +C0C1B1AA +0CBB022B +45092A26 +9E63FF66 +6C1004DA +205BFFA0 +D4A0C0B4 +5BFE7C16 +0018D5A0 +8864C0B0 +C0C40B80 +00C096C0 +A02A5400 +2954012B +30022B54 +02286278 +2A22B00B +8000CBA6 +C0E02E54 +032C3003 +2D22AB2B +22AAC092 +ACDD7DB2 +1C2A22B0 +2862752D +26AB2B30 +020B8000 +DC402862 +84DA20C0 +B00B8000 +D10F2954 +0363FFEB +C09163FF +F4000000 +6C1006C8 +3163FFFC +DA40DB10 +B41C5BFE +768B1029 +B0002AB0 +01089911 +0A990268 +920D6894 +5B69950C +DA505BFF +D7600004 +DA505BFF +97C0302A +52A8C071 +1600187F +A70EDC40 +286282DA +50C0B00B +80006000 +1ADA40C0 +B85BFE41 +DC402A52 +B0022B0F +28627705 +BB0A2BB0 +190B8000 +C83F2C52 +A82A52A9 +07CC022C +56A8C8A1 +0BA000D1 +0FC03163 +FFB00000 +6C1004DA +305BFE5C +29A0017A +970E090A +440AAA0F +04AA0A88 +A5B08898 +A5DB30DA +405BFF53 +2E42A87E +E712DA40 +C0C0C7BD +C1D60BEB +012B46A8 +C7BF5BFF +5BD10F00 +6C1004DA +205BFE4C +24A000C0 +B8DA205B +FE37044C +0F03CC0A +8CC2DB20 +88C2DA40 +8CC60B80 +00D10F00 +6C100428 +29052521 +06242900 +050541A8 +44084432 +C8576851 +0D695205 +04440904 +24122425 +04D10F04 +141263FF +F4000000 +6C1004D1 +0F000000 +6C1008DB +10B41CD7 +20023738 +DA705BFE +2C8B1097 +148A1128 +B00626B0 +002AACF8 +9A162BB0 +07088811 +06650F04 +550A2951 +0D0B8802 +98152B42 +A79B1709 +880C0808 +4B981358 +047BD7A0 +8B178A16 +58048C8B +152B550D +8D108C13 +2ED001B1 +790A9739 +7DE7098A +142BD004 +5BFFE3D1 +0F2F42A8 +C0D19212 +7FF73000 +610400D2 +1A7C7B1C +2B590729 +590207CA +0C0ABB0C +AA992955 +022B5507 +28429C08 +28022846 +9C2C5907 +B1CC2C55 +07600005 +00610400 +D21A2942 +9B7FE715 +092E022E +469B2D51 +08B4577D +D719DA70 +5BFFC060 +0011B457 +C0F0C78F +08280308 +98012846 +9B2F5506 +8A14C0B8 +5BFDEA8D +52DC308B +1288D1DA +608DD60B +8000DD60 +DC70DB20 +DA405BFF +03D10F00 +6C1004D1 +0F000000 +6C100418 +00182882 +A60B8000 +CAAEC0B0 +0C02002B +A5090C02 +002BA508 +0C02002B +A50B0C02 +002BA50A +0C02009B +A60C0200 +9BA70C02 +009BA829 +AC109921 +9920D10F +6C1004DA +205BFFEE +C0809822 +9823D10F +6C10040C +02002621 +03062614 +0C020085 +230C0200 +242103C0 +33055202 +74300226 +6C011501 +8A6D6A20 +8820058A +01088910 +08AA110A +9902088A +57088814 +0588010A +88020988 +029820B4 +22D10F00 +6C1004DA +208B3058 +00041800 +182882A3 +DA300B80 +00D10F00 +6C100415 +00186430 +5BC060C0 +402852A7 +DA300B80 +000C0200 +26A5010C +020026A5 +000C0200 +26A5030C +020026A5 +020C0200 +96A20C02 +0096A30C +020096A4 +2635058B +310C0200 +9BA32931 +040C0200 +29A503CC +43D7A060 +00040C02 +009A4483 +30D4A065 +3FAA6000 +01C040DB +70DA200C +02002852 +BA94720B +8000D10F +6C1006DA +209311D2 +306A3141 +C0501300 +18C0709A +102832A6 +0B8000D6 +A0CCA163 +FFFC2832 +52C0A0DB +400B8000 +9A612465 +04B177C0 +B09B602B +65052B65 +062B6507 +C8509560 +D5607729 +CB8A1060 +0001C050 +DB505BFF +CDD10F00 +6C100489 +30DA20C0 +C064907A +C0F0222A +002D9C10 +0C02002F +95090C02 +002F9508 +0C02002F +950B0C02 +002F950A +0C02009F +960C0200 +9F970C02 +009F9828 +91060C02 +008E9128 +950B2891 +05AE880C +02009897 +0C02002F +95080C02 +002F9509 +CDCBDBD0 +0C02002E +910802EE +020C0200 +2E95082C +31040C02 +002C950A +6000040C +02009DC4 +8990DCD0 +659F8929 +1A000C02 +0028D100 +0988020C +020028D5 +000C0200 +8FA289A3 +9DB2CCF9 +CC9793A3 +93A29331 +60000393 +9193A318 +00182882 +BB0B8000 +D10F0000 +6C1004D1 +0F000000 +6C100485 +20832175 +310F0C02 +00285101 +C0210808 +41688101 +D10FC020 +D10F0000 +6C1004DA +20120018 +2822B90B +8000D3A0 +CCA3C0A0 +60001328 +22A10B80 +00D2A0CC +A163FFFC +DB305800 +3CDA20D2 +A0D10F00 +6C100418 +00182882 +B9DA200B +8000DBA0 +CCA3C030 +60001A83 +228923C0 +C0739906 +9C229C23 +6000038A +319A229C +31DA3058 +002ED230 +D10F0000 +6C100483 +20C040C4 +78C56315 +00188821 +73891528 +5212DB30 +1A018B0B +80002852 +121A018C +0B8000D1 +0FDC600C +02001A01 +8D293101 +DB302852 +12090940 +097C390B +8000C0B5 +B144DA40 +580396CC +A7285212 +1A018E0B +80000C02 +00833463 +FFAF0000 +6C100419 +01921301 +941A0191 +1B01901C +018F1D01 +971E0196 +1F019518 +01939826 +9D2A9E29 +9F289925 +93279A24 +9B239C20 +18019C98 +2D1C0198 +1B01991A +019A1301 +9D19019B +992C932E +9A2B9B22 +9C21D10F +6C100425 +3CF09520 +0C020024 +31022425 +04CA36C0 +200C0200 +253CF029 +3DFF2831 +0328957E +C8209520 +D2500C02 +002A3100 +77AF090C +02008334 +653FD9D1 +0F2C3DFF +C0B02BC6 +3CD10F00 +6C1004D5 +20822083 +51723903 +C020D10F +0C020028 +21010808 +41C88E0C +02002921 +01090941 +689203C0 +20D10F0C +02008A22 +0C02008A +A49A50D1 +0F000000 +6C1008DA +30C0B0C0 +410C0200 +24A5010C +02002BA5 +000C0200 +2BA5020C +02008832 +7A896615 +00180C02 +0089320C +0200DA10 +DB308855 +C1C49394 +0B80001C +019E0C02 +002D3101 +0CDD010C +02002D35 +010C0200 +2B11010C +BB010C02 +008A212B +15012852 +A82B3CF0 +2AACF00B +80008A21 +8855DB10 +C1C40B80 +008A210C +020029A1 +01049902 +0C020029 +A5019321 +D10F0C02 +008AA463 +FF760000 +6C1008DA +3014019E +C0510C02 +0029A101 +04990105 +99020C02 +0029A501 +0C020088 +327A8972 +0C02008B +320C0200 +93B40C02 +008A3273 +A9068C21 +0C02009C +32160018 +DA108865 +DB30C1C4 +0B80000C +02002C31 +0104CC01 +0C02002C +35010C02 +002B1101 +04BB010C +02008A21 +2B150128 +62A82B3C +F02AACF0 +0B80008A +218865DB +10C1C40B +80008A21 +0C020029 +A1010599 +020C0200 +29A50193 +21D10F0C +02008AA4 +63FF6A00 +6C10040C +02001800 +1828823B +C0A59320 +0B8000D1 +0F000000 +6C100619 +01A11A01 +A01B019F +1200189B +109A1128 +227A9912 +C0A00B80 +00282272 +C0A0DB10 +0B80001B +01A22822 +7CC0A0B1 +BC0B8000 +C020D10F +6C100418 +00181B01 +A1DC2028 +82778AB1 +2BB0080B +8000D10F +6C100415 +01A1C0A1 +B3282552 +00C92122 +0A000828 +146D8906 +8950B455 +09220360 +0001C020 +032B0CC0 +200BA239 +D10F0000 +6C10048A +308CA12B +A1051601 +A12EA106 +ACBB0C02 +002CB101 +0C02008D +B10C0200 +24B100B8 +B2CCDB0C +02008920 +9960B422 +60000200 +89602FCC +FCA9D904 +FC38C9C6 +B3C80828 +146D890D +0C02008A +200C0200 +9A90B422 +B4998A30 +89A0C895 +DA908990 +659FF827 +A1060E77 +0C07074F +27A50628 +310489A1 +B8750E88 +0CB88F28 +350425A5 +0628A105 +2F3504A9 +88A8770C +02008FB1 +0C02009F +71CA48C0 +810C0200 +98706000 +06C0930C +02009970 +180018DC +30288276 +8A612B60 +090B8000 +CC43CC51 +0B2000D1 +0FADCA0C +02008B20 +5BFFC1D5 +A00C0200 +822165AF +CBC0920C +02009970 +63FFC800 +6C1004C0 +801301A3 +93209824 +9825982B +98269827 +98289829 +98229821 +982A982C +D10F0000 +6C100413 +00182832 +121A01A4 +0B800012 +00370C02 +002B2284 +1A00E72C +2A000CBB +020B0B4F +5BFF8F28 +323B2A3A +E80B8000 +0C02002B +22841A00 +E72CDAFF +0CBB010B +0B4F5BFF +880C0200 +1C01A52B +22841A00 +E70CBB02 +0B0B4F5B +FF832832 +3B2A3AE8 +0B80000C +02001C01 +A62B2284 +1A00E70C +BB010B0B +4F5BFF7B +28323B2A +3AE80B80 +000C0200 +2B22861A +00E5C0C1 +0CBB020B +0B4F5BFF +741A01A7 +C1B55BFF +7228323B +2A3AE80B +80001A01 +A8C0B55B +FF6E2832 +3B2A3AE8 +0B800028 +32121A01 +A90B8000 +D10F0000 +6C100613 +01AA0C02 +0088300C +02002815 +000C0200 +2211007F +2F130C02 +008A300C +02002A15 +000C0200 +2911007F +97EB0C02 +00221100 +028247D1 +0F000000 +6C10041A +01AAC0C2 +082B110C +BB020B0B +4F5BFF54 +D10F0000 +6C10046A +313A0309 +40B136B2 +34B03806 +643B1601 +AB041412 +C0306D4A +230C0200 +8760A23A +B4660707 +4F738901 +CC9A27A4 +01078B14 +2BA40060 +0004A23C +27C400B2 +33C020D1 +0F000000 +6C100416 +00181A01 +AC286212 +DB20DC30 +0B80006A +3117C040 +5BFFD2D5 +A0A24ADB +505BFFE5 +A454C0A0 +5BFFDD73 +42E9DC30 +286212DB +201A01AD +0B8000D1 +0F000000 +6C1004D5 +206A3112 +C76F220A +006D3A08 +8450B455 +064403A4 +22D10FC0 +20D10F00 +6C10045B +FFC01300 +18D4A028 +32121A01 +AEDB400B +8000DB40 +DA205BFF +CF283212 +1A01AF8B +220B8000 +C0A08920 +99255BFF +C45BFFB4 +DBA0BC2A +5BFFC728 +32121A01 +B08B230B +8000C0A0 +5BFFBD89 +24659053 +C0408A20 +8B215BFF +D25BFFAA +8B218A20 +0B2B145B +FFDF8C23 +7AC11728 +32121A01 +B10B8000 +9424C0A1 +5BFFB189 +24649FD1 +60002028 +32121A01 +B20B8000 +C0A2C091 +99245BFF +AB5BFF9B +7DA70828 +32121A01 +B30B8000 +C020D10F +6C100418 +01B81901 +B71A01B6 +1B01B51C +01B41301 +B9932198 +2599249A +239B229C +20D10F00 +6C100412 +01BAC050 +C03A0224 +026D3A03 +9540B444 +D10F0000 +6C1004D1 +0F000000 +6C100694 +106A414A +1B01BA16 +0018C070 +0B330A28 +62A10B80 +002862A6 +D2A00B80 +00D4A028 +6252DB50 +C0A00B80 +008C10B1 +77254504 +9A41C0B0 +2B45062B +45058930 +94202B25 +04CC9592 +3077C9C6 +D10F9921 +63FFF3D1 +0F000000 +6C100412 +01BA0233 +0A8230C0 +50C82F86 +20882198 +30952124 +65052565 +06252504 +D10F0000 +6C1004DC +40DB30DA +205BFFF4 +D2A0D10F +6C100412 +01BA0233 +0A8530C8 +50954194 +30D10F00 +6C10046F +2D2D1901 +BBCA3BC0 +A01601BD +1401BE18 +00061C01 +BC0E2511 +09370CAC +5CA85582 +C093C007 +64399450 +092B0C0B +A238D10F +C020D10F +C0A00997 +0C1601BD +1800061C +01BC0E25 +111401BE +AC5CA855 +82C099C0 +07643994 +50092B0C +0BA238D1 +0F000000 +C0236000 +02000000 +95179218 +12000803 +B13002E6 +16931092 +11211C70 +12000A01 +02000324 +02042409 +6C100E0C +03300D06 +001D01BC +8F189C12 +0C02300E +00309C19 +0C01309E +1A9C1B0D +FC0A8CC0 +DE1064C0 +020FC000 +8D198E1A +8F1B0D02 +310E0031 +0F01318E +120C3600 +0E033110 +000C1D00 +0A0D0002 +00D009D1 +0F000000 +024830B1 +22002004 +03493003 +02190033 +1A6730BF +03835703 +22020203 +06023301 +033F0400 +31040202 +19024931 +02483022 +2C1F0323 +0C034831 +01020002 +49306420 +7A7F2F08 +7E2F197D +2F3A6000 +8C239CF0 +94309531 +96329733 +02161401 +080463FF +DC23DCF0 +94309531 +96329733 +235CF483 +30233CE0 +98309931 +9A329B33 +022A1402 +080463FF +B8010804 +2DDCF090 +D091D192 +D293D323 +1CF48330 +2DDC1023 +3CD09430 +95319632 +97339834 +99359A36 +9B370F08 +04023E14 +03080463 +FF830000 +01080402 +48300021 +04C02100 +221A0249 +31010200 +C020D00F +C021D00F +00000F22 +11B12203 +4830782F +0AB0330F +22117827 +F7030342 +00310408 +23100322 +18020247 +02493103 +0330C220 +03230C03 +48310102 +00C022D0 +0F000000 +6C100216 +01BF05E6 +30D40006 +5201B322 +02E63101 +020053FF +B5D04005 +E6310102 +00D10F00 +6C100228 +20002930 +00C0A379 +8952032B +027AB053 +032B037A +B831B122 +6480B7B1 +337A2043 +28200029 +3000B122 +79893164 +80A4B133 +7A203028 +20002930 +00B12279 +891E6480 +91B13360 +001D0000 +C0806D88 +0F282000 +293000B1 +22798904 +B133648F +FF09820C +D10F0000 +1401C017 +01C36D48 +29282200 +2932000F +85117989 +5E058902 +779C2028 +22012932 +010F8511 +79894C05 +8902779C +08222C08 +233C0801 +1102222C +04233C04 +74802F15 +01C11601 +8A758026 +1701C276 +80207780 +1DB422B4 +336D4816 +88208930 +B4227989 +2374800A +75800776 +80047780 +01B433C0 +20D10F00 +058A0277 +AC0A798B +03C72FD1 +0FC021D1 +0F098203 +74281915 +01C17480 +DD75280B +16018A75 +80D47628 +027680CE +09820CD1 +0F088A57 +098B570B +A20CD10F +6C100202 +2A021401 +C01501C1 +16018A27 +0AFF7F3F +0B7E3F17 +C08378A0 +2A600063 +00283000 +B13328A4 +00C988B1 +AA7E37E7 +28300028 +A400C88B +283001B2 +3328A401 +B2AA658F +D2D10F00 +C0806D88 +138830B4 +3374800F +75801476 +801998A0 +778001B4 +AAD10F00 +C08028A4 +00D10F00 +08085F28 +A500D10F +08085F28 +A500C080 +28A402D1 +0F000000 +C0806D88 +0C283000 +B13328A4 +00B1AA64 +8FFFD10F +6C100223 +2CFC1401 +C01501C1 +16018A27 +0AFF7F2F +067E2F0D +60001C00 +283004B1 +33CA887E +3711B233 +88307680 +2E778807 +B3330232 +0CD10F00 +C0806D88 +0F8831B4 +3374800A +75800C76 +80117780 +FFB33302 +320CD10F +B1330232 +0CD10F00 +B2330232 +0CD10F00 +6C100464 +407F0235 +02050541 +64504027 +20002830 +00B04468 +40257879 +226D0816 +D640C944 +C972B044 +B133B122 +C9652720 +00283000 +77890863 +FFE2C020 +D10F0000 +08720CD1 +0F253000 +22200005 +220CD10F +6E44BB87 +20863077 +69B41901 +C41801C5 +C7AF6000 +0687208B +3077B9A2 +244CFCC9 +470A7D03 +A97C0DCC +017C880D +B433B422 +6F44E163 +FF88C020 +D10FC020 +D10F0000 +283000B1 +3328A400 +B044CA47 +B1AA6480 +487E373D +283000B0 +4428A400 +C945B1AA +CB862830 +01B23328 +A400B044 +C845B1AA +CD8E6000 +24D10F00 +6C1002DA +20644FF4 +1B01C015 +01C11601 +8A270AFF +7F3FB07E +3FC1C083 +78A05460 +00A5C090 +7FAF287E +AF326A44 +13042812 +D30F6D88 +0399A0B4 +AA0E8811 +08440CC8 +4929A400 +B0442AAC +01654FF4 +D10F0000 +29A400B0 +44644FF3 +B1AA7EA7 +CC29A400 +B044644F +E629A401 +B044644F +DEB2AA63 +FFB70000 +C0806D88 +196A454B +8830B433 +7B801275 +801D7680 +2A98A024 +4CFCB4AA +77808E63 +FF8BC080 +28A400B0 +44B1AA63 +FF7F0000 +08085F28 +A500244C +FEB2AA63 +FF6F0000 +08085F28 +A500C080 +28A40224 +4CFDB3AA +63FF5A00 +C0806D88 +10283000 +B13328A4 +00B044C8 +46B1AA64 +8FFF63FF +40D10F00 +6C10020F +1400D10F +82148315 +211C7001 +14000003 +00000000 +82518350 +02E63182 +54845601 +020003B1 +31835585 +57000300 +6C1002D1 +0F000000 +6C100202 +EA30D10F +6C100265 +200503F0 +31000200 +D10F0000 +6C1002CC +2302F030 +D10FC020 +D10F0000 +6C100202 +E430D10F +6C100202 +E230D10F +6C100202 +E431D10F +6C100203 +27030216 +06031306 +6E323406 +15147353 +3A056F04 +043F0405 +440C0041 +0400331A +C0206D49 +0D736304 +03660CB1 +220F2211 +03131473 +6301B122 +02050607 +523AD10F +C9350602 +0607623B +D10F0000 +736310C0 +21C74F07 +423AD10F +00000000 +44495630 +C020D10F +6C100202 +27020212 +06031306 +6E322C05 +2F04043F +04745B14 +05440C00 +41040033 +1A6D4908 +73230203 +220C0313 +14732302 +03220C67 +70020202 +06D10F00 +CC350000 +00444956 +30C020D1 +0F000000 +6C10026E +322FD620 +056F0404 +3F04745B +2A05440C +00410400 +331A220A +006D490D +73630403 +660CB122 +0F221103 +13147363 +02222C01 +D10FC83B +D10F0000 +73630CC0 +21D10F00 +00000000 +44495630 +C020D10F +6C10026E +3229052F +04043F04 +745B1605 +440C0041 +0400331A +D30F6D49 +08732302 +03220C03 +13147323 +0203220C +D10F0000 +CC350000 +00444956 +30C020D1 +0F000000 +6C10046E +441B0235 +02050541 +CD520428 +146D890D +8A308920 +7A9906B4 +22B43324 +4CFCD640 +B0446840 +116D690E +27300028 +2000B122 +B1337789 +06B044C0 +20D10F00 +07820CD1 +0F000000 +006D4909 +263000B1 +33265400 +B155D10F +6E47ED26 +3000B133 +244CFF26 +5400255C +017E5727 +6E46D926 +30002730 +01B23324 +4CFE2654 +00275401 +B2556000 +0E000000 +6C100202 +25027F2F +C67E2FD7 +04471428 +0A037838 +5A6D7915 +86308731 +96508632 +97518733 +9652233C +10975325 +5C107C47 +0B863087 +31B83396 +509751B8 +557D4F07 +7E4F147F +4F21D10F +8630B433 +9650B455 +7E4F047F +4F11D10F +263100B2 +33265500 +B2557F4F +02D10F00 +26300026 +5400D10F +644FFA00 +3304083B +010B330C +86306D79 +21873188 +32076618 +96508933 +08771897 +51863409 +88189852 +233C1006 +99189953 +255C107C +47158731 +88320766 +189650B8 +33087718 +9751255C +08088602 +7D470C87 +31B43307 +66189650 +B455D670 +AB337E4F +067F4F18 +D10F0000 +26300027 +3001B233 +26540027 +5401B255 +7F4F01D1 +0F263000 +265400D1 +0F000000 +6C1004D8 +20D940DA +40D730D3 +20727B1B +A742723B +16A84364 +40A36D49 +09B022B0 +33242000 +243400D2 +80D10F00 +6E9B0808 +7B020B0B +4164B089 +B0AC69C0 +0260007D +0A42120A +0D436DDA +092E7000 +2E3400B1 +77B133D4 +306D2A65 +22700022 +44002270 +01224401 +22700222 +44022270 +03224403 +22700422 +44042270 +05224405 +22700622 +44062270 +07224407 +22700822 +44082270 +09224409 +22700A22 +440A2270 +0B22440B +22700C22 +440C2270 +0D22440D +22700E22 +440E2270 +0F22440F +277C1024 +4C10D280 +D10FD380 +6F9B0260 +0082C0A1 +269C0F09 +963B0646 +120A6635 +060F4106 +64020422 +126DFA15 +8D709D30 +8C719C31 +8B729B32 +8A739A33 +277C1023 +3C10D430 +0C6A110A +9A0C6D2A +45827092 +40827192 +41827292 +42827392 +43827492 +44827592 +45827692 +46827792 +47827892 +48827992 +49827A92 +4A827B92 +4B827C92 +4C827D92 +4D827E92 +4E827F92 +4F277C40 +244C40D3 +40D6A06F +A40263FE +E20A2B43 +0A2914D4 +906DB907 +8C709C30 +B477B433 +0E9A1104 +42140334 +026D2945 +82709240 +82719241 +82729242 +82739243 +82749244 +82759245 +82769246 +82779247 +82789248 +82799249 +827A924A +827B924B +827C924C +827D924D +827E924E +827F924F +277C4024 +4C400A6A +0CD34063 +FE750000 +006D4904 +235400B1 +55D10F00 +6E48F123 +5400B155 +B0447E57 +286E48E4 +235500B2 +55244CFE +60001A00 +6C100203 +03470837 +11073302 +00371107 +3302D520 +7F2FCC7E +2FD60447 +146D790A +93509351 +93529353 +255C107C +47069350 +9351255C +087D4703 +9350B455 +7E470423 +5500B255 +7F470223 +5400D10F +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +0053FFF0 +00040020 +00500950 +00500B78 +008E1240 +008E12F4 +00500400 +008E1074 +00050023 +80000007 +C0000000 +00500598 +008E6938 +004E8840 +00500508 +008E6920 +00007FFE +008E12E8 +00038000 +008E1399 +004E8740 +0053FE00 +00520E00 +40000000 +00500000 +004E8860 +004E886C +004E88A8 +004E88B0 +004E88C4 +004E8908 +004E8938 +00500640 +005006F8 +00500100 +008E6980 +008E6990 +008E6988 +008E1460 +008E1454 +008E1468 +008E1428 +008E1474 +008E14D4 +008E1604 +02625A00 +004E8954 +004E8968 +41544852 +10000000 +00500B7C +00500B9C +00500BA8 +00500BC0 +00500BE0 +0004FE00 +0005BE00 +00051E00 +FFFEEFEF +004E8970 +13FFFE00 +A55A0000 +00036000 +00000B7C +004E8984 +008E1860 +004E8998 +008E189C +00500978 +008E18FC +FFFEEFFF +004E89B4 +004E89B8 +00500950 +20000000 +004E89E8 +004E8A08 +004E8A18 +004E8A28 +004E8A38 +00530000 +00010000 +004E8A48 +004E8A54 +004E8A70 +004E89CC +004E89BC +008E1C14 +00500954 +004E8A8C +004E8AB0 +004E8ACC +008E1C34 +008E1C44 +008E1C74 +0050095C +000C3500 +FFF3CB00 +004E8750 +00500974 +008E1D10 +008E1CF8 +008E1CAC +008E1D00 +008E1CDC +008E1CCC +008E1CE8 +008E1CF0 +008E1D44 +00020000 +10FF3E00 +10FF2000 +00000FFF +004E8AFC +004E8AEC +004E8AF4 +004E8B04 +00055E00 +0003FE00 +FFFAFFFF +0001FE00 +0000FFC1 +10FF0000 +10FF1E00 +000C0000 +04000000 +008E1F04 +008E1EBC +008E1E5C +008E1E24 +00500980 +008E2188 +004E0600 +004E0601 +00500990 +004E0771 +008E2190 +008E2298 +008E2234 +008E21B8 +008E21F8 +008E2318 +008E6E48 +008E6B64 +008E6C5C +008E6ADC +01000000 +FFFE000F +004E0001 +004E8B0C +00500A28 +005006F8 +004E8B44 +004E8B78 +004E8B90 +004E8980 +004E8B9C +004E0100 +008E2340 +008E2354 +008E23B0 +008E235C +008E241C +008E245C +008E242C +008E247C +004E8BB0 +004E8BD8 +004E8BA4 +004E8BC4 +00500700 +008E29B4 +008E2980 +004E8BE0 +FFB00000 +0003FFFF +004E8BFC +004E8C20 +00500704 +00500A2C +004E8C44 +0053C000 +008E2B00 +008E2A9C +008E2A8C +008E2A50 +008E2B24 +008E6678 +008E683C +008E6710 +008E6560 +008E6774 +00500A30 +008E2B50 +008E2B5C +008E2B88 +008E2B6C +008E2BBC +00500A34 +00009C40 +008E2C88 +008E2C14 +008E2C58 +008E2C04 +008E2C94 +5F574454 +5F535553 +00500A38 +07270E00 +008E2D90 +008E2D54 +008E2D74 +008E2D2C +008E2DB8 +008E2D10 +008E2CE4 +00050E00 +0001C200 +00500A44 +00002710 +008E2E38 +008E2E48 +008E2DF8 +008E2EA0 +008E2ED4 +008E2EC0 +008E2EF4 +008E2EC8 +008E2F1C +00050018 +004E8C64 +00050010 +004E8C80 +FFFFDFFF +00002000 +00500708 +004E8C8C +004E8CA0 +004E8CB0 +004E8CC0 +008E3110 +008E31A0 +008E3164 +008E3210 +008E32B0 +008E32DC +008E32F8 +008E3308 +008E31A8 +008E3320 +008E3318 +008E3328 +008E30D8 +008E300C +008E31E8 +008E31BC +008E3024 +008E2FAC +008E2FE8 +008E2FD4 +00500A54 +008E33B0 +008E33C4 +008E33FC +008E341C +00500A60 +00500A68 +00500A78 +00500A80 +004E8CD8 +004E8CF0 +00055A00 +00055600 +004E8D04 +004E8D1C +00054E00 +00010007 +008E3430 +008E34A0 +008E3570 +008E36E4 +008E361C +008E367C +008E36C0 +008E36D0 +008E348C +008E3484 +008E351C +008E3794 +004E8D34 +004E8D48 +004E8D60 +004E8D74 +004E8D88 +00500A98 +0000FF80 +0000FE00 +00500620 +00500730 +007EFDFB +00500780 +00500770 +004E8D9C +004E01A0 +004E01B0 +004E01C0 +004E01E0 +008E3F64 +004E8DDC +004E8DFC +08000000 +004E8DB4 +004E8E08 +F7FFFFFF +004E0160 +004E0120 +00010080 +004E8E28 +004E8E3C +00010001 +0000C600 +004E8E50 +004E8E60 +004E8E78 +004E8E88 +004E8E98 +00001010 +004E8EA8 +008E4270 +008E4254 +008E476C +008E4468 +008E4530 +008E41D0 +008E4058 +008E3CD4 +008E3D8C +008E3B2C +008E3B54 +008E3BFC +008E3C44 +008E3E64 +008E4394 +008E43A8 +008E441C +008E4460 +008E3A9C +008E3A7C +008E39AC +008E3988 +008E3954 +008E3E08 +008E4288 +008E42F0 +008E3884 +008E38AC +008E4668 +00500ACE +00500AD0 +00500AD2 +005007C0 +00053000 +00054000 +008E4CE4 +008E4D7C +008E4D2C +008E4DA8 +008E4DEC +008E4E28 +008E4E50 +008E4DD0 +008E4E6C +008E4E94 +00500AF8 +008E4EF0 +008E4F2C +008E4F4C +00500B04 +008E4F74 +008E4FB0 +008E4FC8 +008E4FD0 +008E5114 +008E5318 +008E52E0 +008E520C +008E51FC +008E5328 +008E51F4 +008E5494 +008E581C +008E57BC +008E56F4 +008E577C +0000FF00 +004E8EBC +004E8950 +004E8EC8 +004E8ED8 +008E591C +008E5A50 +008E5AA8 +008E5B68 +008E5B90 +008E59C0 +008E5BC0 +008E5974 +008E5B70 +008E5924 +008E5964 +008E5BF8 +008E5CF0 +008E5D2C +008E5DC0 +0000FFFC +008E5EB0 +008E5EF8 +00500B0C +00500B14 +008E5FFC +004E8EE0 +00001A00 +FFFFE5FF +00054100 +00060000 +004E8EFC +00054200 +00054204 +004E8F18 +004E8F44 +004E8F70 +004E8F90 +004E8FAC +004E8FC4 +004E8FF0 +004E900C +008E6288 +008E62A8 +008E6300 +008E6324 +008E6334 +008E62A0 +00500B18 +008E6950 +00500850 +008E63B4 +008E6928 +FFFBFFF0 +FF000000 +00FF0000 +000000FF +40404040 +FEFEFEFF +80808080 +00000000 +00000000 +00500400 +00500950 +004E0260 +00000000 +00000000 +00000000 +00000000 +00000000 +55AA55AA +AA55AA55 +FFFFFFFF +00000000 +00000000 +00989680 +00000000 +00000000 +00000000 +00000001 +01312D00 +00000000 +00000000 +00000000 +00000002 +02625A00 +00000000 +00000000 +00000000 +FFFFFFFF +00000000 +00000000 +00000000 +00000000 +00001B62 +004E9034 +1B620000 +00000020 +05010101 +01010101 +01010201 +01010101 +01010100 +00040000 +0002230F +C280DBFF +0D02230F +000008A2 +004E903C +000008A2 +000008A2 +01010101 +01040000 +0000001D +0000001D +01010101 +01010000 +00000000 +00000000 +00000000 +00800000 +00800000 +00400000 +00000000 +00000000 +00000000 +00400000 +00400000 +00400000 +00000000 +00000000 +00000000 +00000000 +00000000 +01020202 +02020202 +02020202 +02020203 +03030500 +00000000 +00000000 +00000000 +52414D20 +6661696C +0A0D0000 +46617461 +6C206578 +63657074 +696F6E20 +28256429 +3A207063 +3D307825 +78206261 +64766164 +64723D30 +78257820 +64756D70 +20617265 +613D3078 +25780A00 +2D41312D +0A0D0000 +6D697361 +6C69676E +65645F6C +6F61640A +0D000000 +46617461 +6C206578 +63657074 +696F6E20 +28256429 +3A200970 +633D3078 +2578200A +0D096261 +64766164 +64723D30 +78257820 +0A0D0964 +756D7020 +61726561 +3D307825 +780A0000 +09657063 +313D3078 +25782C20 +65706332 +3D307825 +782C2065 +7063333D +30782578 +2C206570 +63343D30 +7825780A +00000000 +30782530 +38782C20 +30782530 +38782C20 +30782530 +38782C20 +0A0D0000 +202D2025 +735F7665 +723A2025 +78202D20 +0A0A0D00 +61736963 +00000000 +64696476 +69642066 +726F6D20 +6F776C20 +0A000000 +64656661 +756C7420 +64696476 +6964200A +00000000 +382E2077 +61697420 +666F7220 +646F776E +6C6F6164 +2E2E2E2E +200A0D00 +32000000 +33000000 +20332E31 +206E6F20 +70617463 +680A0D00 +20332E31 +206E6F20 +65657020 +6F722063 +6F727275 +70740A0D +00000000 +20332E31 +20534E53 +543A2065 +65702069 +6E697420 +6661696C +6564210A +0D000000 +342E2077 +64742073 +74617274 +0A0D0000 +342E2077 +61726D20 +73746172 +740A0D00 +342E2063 +6F6C6420 +73746172 +740A0D00 +352E2068 +69662028 +2564290A +00000000 +53544152 +542E2E2E +2E0A0000 +524F4D3E +3A6D6469 +6F20646F +776E6C6F +61642072 +65616479 +0A000000 +362E2053 +4E53543A +20686F73 +74696620 +636F7272 +7570740A +0D000000 +52414D20 +616C6C6F +63617469 +6F6E2028 +25642062 +79746573 +29206661 +696C6564 +210A0000 +414C4C4F +4352414D +20437572 +72656E74 +20416464 +72203078 +25780A00 +414C4C4F +4352414D +2052656D +61696E69 +6E672042 +79746573 +2025640A +00000000 +2D453132 +2D000000 +2D453130 +2D000000 +2D453131 +2D000000 +2D453133 +2D000000 +4D697361 +6C69676E +6564206C +6F61643A +2070633D +30782578 +20626164 +76616464 +723D3078 +25782064 +756D7020 +61726561 +3D307825 +780A0000 +61737365 +7274696F +6E206661 +696C6564 +3F207063 +3D307825 +782C206C +696E653D +25642C20 +64756D70 +20617265 +613D3078 +25780A00 +54617267 +65742049 +443A2030 +78257820 +28256429 +0A000000 +44656275 +6720496E +666F3A00 +30782530 +38782000 +30313233 +34353637 +38390000 +30313233 +34353637 +38396162 +63646566 +00000000 +30313233 +34353637 +38394142 +43444546 +00000000 +3C6E756C +6C3E0000 +09737A3A +2025642C +206C643A +2025702C +20666E3A +2025700D +00000000 +21696E76 +616C6964 +2064706F +72742061 +64647265 +73732C20 +30782530 +38780A0D +00000000 +63702025 +64206279 +74657320 +66726F6D +20307825 +30387820 +746F2030 +78253038 +78000000 +702E6F66 +743A2030 +78253034 +782C2070 +2E737A20 +3A203078 +25303478 +0A000000 +57616974 +696E6720 +666F7220 +686F7374 +20726573 +65742E2E +00000000 +72656365 +69766564 +2E0A0000 +42616420 +456E6769 +6E65206E +756D6265 +720A0000 +456D7074 +79205258 +20526561 +700A0000 +456D7074 +79205458 +20526561 +70200A00 +496E7661 +6C696420 +50697065 +206E756D +6265720A +00000000 +0A0D093D +3E5B646E +515D2030 +78253038 +78200A5B +00000000 +093D3E5B +7570515D +20307825 +30387820 +0A5B0000 +093D3E5B +68702064 +6E515D20 +30782530 +3878200A +5B000000 +093D3E5B +6D702064 +6E515D20 +30782530 +3878200A +5B000000 +0A0D5B68 +7020646E +515D2030 +78253038 +782C2000 +444D4120 +54524143 +45203078 +25303878 +0A0D2020 +20205B00 +0A0D5B6D +7020646E +515D2030 +78253038 +782C2000 +0A0D5B64 +6E515D20 +30782530 +38782C20 +00000000 +0A0A0D5B +7570515D +20307825 +3038782C +20000000 +2D206375 +73746F6D +20757362 +20636F6E +6669670A +00000000 +095B6355 +53425F52 +45515F44 +4F574E4C +4F41445D +3A203078 +25303878 +2C202530 +32780A0D +00000000 +090A0D3D +3D3E5B63 +5553425F +5245515F +434F4D50 +5D3A2030 +78253038 +780A0D00 +636B7375 +6D3D2578 +00000000 +56656E64 +6F72436D +643A2044 +6F776E6C +6F616443 +6F6D706C +65746521 +0A000000 +7A665265 +73657455 +53424649 +464F0A0D +00000000 +7A665475 +726E4F66 +66506F77 +65720A0D +00000000 +47656E65 +72617465 +20457665 +6E740A00 +215B534F +55524345 +5F305D20 +62697437 +206F6E0A +0D000000 +21555342 +20726573 +65740A0D +00000000 +21555342 +20737573 +70656E64 +0A0D0000 +21555342 +20726573 +756D650A +0D000000 +362E2075 +73625F68 +636C6B20 +7264790A +0D000000 +30782530 +38782854 +295D0000 +30782530 +38782825 +63292D3E +00000000 +0A0D2020 +20000000 +6D69692D +6D64696F +202D2072 +65736574 +202D2073 +74617274 +200A0000 +6D69692D +6D64696F +202D2072 +65736574 +202D2065 +6E64200A +00000000 +73746172 +74656420 +72656365 +6976696E +67206279 +74657320 +746F2025 +78206C65 +6E677468 +2025640A +00000000 +636F6D70 +6C657465 +64207265 +63656976 +696E6720 +62797465 +7320746F +20257820 +6C656E67 +74682025 +640A0000 +4669726D +77617265 +20446F77 +6E6C6F61 +64206C65 +6E677468 +20307825 +780A0000 +4669726D +77617265 +20457865 +63204164 +64726573 +73203078 +25780A00 +4669726D +77617265 +20636865 +636B7375 +6D203078 +25780A00 +4669726D +77617265 +20636865 +636B7375 +6D206661 +696C6564 +202D2072 +65206E65 +676F7469 +6174696E +67200A00 +4669726D +77617265 +20446F77 +6E6C6F61 +64206973 +20676F6F +64200A00 +434F4D4D +414E4420 +544F2053 +54415254 +20464952 +4D574152 +45205245 +43454956 +4544200A +00000000 +372E312E +30000000 +4C58322E +312E3000 +00500400 +00500400 +00500950 +00500B78 +B1E7D8E8 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.map b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.map new file mode 100755 index 0000000..3567d7f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.map @@ -0,0 +1,1715 @@ +Archive member included because of file (symbol) + +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (_DebugExceptionVector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (_DoubleExceptionVector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (_KernelExceptionVector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (_ResetVector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (_UserExceptionVector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (_NMIExceptionVector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (_WindowOverflow4) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (_Level2Vector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (_Level3Vector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) (_xtos_alloca_handler) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) (_xtos_syscall_handler) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) (_xtos_l1int_handler) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + (_xtos_set_interrupt_handler) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) (_Level2FromVector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) (_Level3FromVector) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) (_xtos_interrupt_table) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) (_xtos_unhandled_exception) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) (_xtos_return_from_exc) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) (_rom_store_table) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_allocram_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + (cticks) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (eep_state) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_intr_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_mem_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_misc_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_printf_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_romp_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_string_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_tasklet_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_timer_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_wdt_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (cmnos_uart_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (hif_pci_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (hif_usb_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (usbfifo_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + (UsbDeviceDescriptor) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) (vUsbFIFO_EPxCfg_HS) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (dma_lib_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (vbuf_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (vdesc_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + (htc_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (dma_engine_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) (zfDmaGetPacket) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (fwd_init) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + (buf_pool_module_install) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (_xtos_set_exception_handler) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) (_xtos_c_handler_table) +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) (_xtos_c_wrapper_handler) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) (xthal_get_ccount) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + (Xthal_num_ccompare) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (xthal_window_spill) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(cache_asm--hw_configid0.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (xthals_hw_configid0) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(cache_asm--hw_configid1.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (xthals_hw_configid1) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(cache_asm--release_major.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (xthals_release_major) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(cache_asm--release_minor.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o (xthals_release_minor) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (xthal_get_intenable) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (xthal_get_interrupt) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) (xthal_set_intenable) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) (Xthal_intlevel) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + (__divsi3) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + (__modsi3) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + (__udivsi3) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + (__umodsi3) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) (memcmp) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + (memcpy) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) (memmove) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + (memset) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + (strcmp) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + (strcpy) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + (strlen) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) (strncmp) +/opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) (strncpy) + +Memory Configuration + +Name Origin Length Attributes +ResetVector_text_seg 0x008e0000 0x00000800 +WindowVectors_text_seg 0x008e0800 0x00000200 +Level2InterruptVector_lit_seg 0x008e0a00 0x00000020 +Level2InterruptVector_text_seg 0x008e0a20 0x000000e0 +Level3InterruptVector_lit_seg 0x008e0b00 0x00000020 +Level3InterruptVector_text_seg 0x008e0b20 0x000000e0 +DebugExceptionVector_lit_seg 0x008e0c00 0x00000020 +DebugExceptionVector_text_seg 0x008e0c20 0x000000e0 +NMIExceptionVector_lit_seg 0x008e0ce0 0x00000004 +NMIExceptionVector_text_seg 0x008e0ce4 0x0000001c +KernelExceptionVector_lit_seg 0x008e0d00 0x00000040 +KernelExceptionVector_text_seg 0x008e0d40 0x000000c0 +UserExceptionVector_lit_seg 0x008e0e00 0x00000020 +UserExceptionVector_text_seg 0x008e0e20 0x000000e0 +DoubleExceptionVector_lit_seg 0x008e0f00 0x00000020 +DoubleExceptionVector_text_seg 0x008e0f20 0x000000e0 +irom_seg 0x008e1000 0x00007000 +drom_seg 0x004e8000 0x00001800 +dram_seg 0x00500000 0x00001000 +dram1_seg 0x0053f7f0 0x00000800 +dram2_seg 0x004e0100 0x00000400 +*default* 0x00000000 0xffffffff + +Linker script and memory map + + 0x008e0800 PROVIDE (_memmap_vecbase_reset, 0x8e0800) + 0x00021001 _memmap_cacheattr_wb_base = 0x21001 + 0x00021001 _memmap_cacheattr_wt_base = 0x21001 + 0x00022002 _memmap_cacheattr_bp_base = 0x22002 + 0xfff00ff0 _memmap_cacheattr_unused_mask = 0xfff00ff0 + 0x22221221 _memmap_cacheattr_wb_trapnull = 0x22221221 + 0x22221221 _memmap_cacheattr_wt_trapnull = 0x22221221 + 0x22222222 _memmap_cacheattr_bp_trapnull = 0x22222222 + 0xfff21ff1 _memmap_cacheattr_wb_strict = 0xfff21ff1 + 0xfff21ff1 _memmap_cacheattr_wt_strict = 0xfff21ff1 + 0xfff22ff2 _memmap_cacheattr_bp_strict = 0xfff22ff2 + 0x22221221 _memmap_cacheattr_wb_allvalid = 0x22221221 + 0x22221221 _memmap_cacheattr_wt_allvalid = 0x22221221 + 0x22222222 _memmap_cacheattr_bp_allvalid = 0x22222222 + 0x22221221 PROVIDE (_memmap_cacheattr_reset, _memmap_cacheattr_wb_trapnull) + +.lit4 0x004e8000 0x718 + 0x004e8000 _lit4_start = (.) + *(*.lit4) + .lit4 0x004e8000 0x10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .ResetVector.lit4 + 0x004e8010 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .UserExceptionVector.lit4 + 0x004e8018 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .lit4 0x004e801c 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .lit4 0x004e8020 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .lit4 0x004e8028 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .lit4 0x004e8034 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .lit4 0x004e8040 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .lit4 0x004e8048 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .lit4 0x004e8050 0x110 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .lit4 0x004e8160 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .lit4 0x004e817c 0x38 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .lit4 0x004e81b4 0x54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .lit4 0x004e8208 0x2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .lit4 0x004e8234 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .lit4 0x004e8248 0x50 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .lit4 0x004e8298 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .lit4 0x004e82b4 0x34 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .lit4 0x004e82e8 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .lit4 0x004e8300 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .lit4 0x004e8318 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .lit4 0x004e8334 0x2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .lit4 0x004e8360 0x34 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .lit4 0x004e8394 0x7c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .lit4 0x004e8410 0x88 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .lit4 0x004e8498 0xfc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .lit4 0x004e8594 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .lit4 0x004e85a0 0x34 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .lit4 0x004e85d4 0x10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .lit4 0x004e85e4 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .lit4 0x004e85f8 0x30 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .lit4 0x004e8628 0x50 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .lit4 0x004e8678 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .lit4 0x004e867c 0x54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .lit4 0x004e86d0 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .lit4 0x004e86ec 0x10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .lit4 0x004e86fc 0x4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .lit4 0x004e8700 0x10 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .lit4 0x004e8710 0x8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + *(.gnu.linkonce.lit4.*) + 0x004e8718 _lit4_end = (.) + +.ResetVector.literal + 0x004e8718 0x0 + 0x004e8718 _ResetVector_literal_start = (.) + *(.ResetVector.literal) + 0x004e8718 _ResetVector_literal_end = (.) + +.dport0.stack 0x0053f7f0 0x800 + 0x0053f7f0 _reserved_stack_start = . + 0x0053f7f0 _stack_sentry = ALIGN (0x8) + 0x0053fff0 . = (. + 0x800) + *fill* 0x0053f7f0 0x800 00 + *fill* 0x0053fff0 0x0 00 + *fill* 0x0053fff0 0x0 00 + *fill* 0x0053fff0 0x0 00 + *fill* 0x0053fff0 0x0 00 + 0x0053fff0 __stack = . + 0x0053fff0 _reserved_stack_end = . + +.dport0.usb_in_rom + 0x004e0100 0xec + 0x004e0100 _reserved_rom_data_start = . + 0x004e0100 _reserved_rom_data_end = . + 0x004e0260 _data_start_in_rom = 0x160 + .dport0.usb_in_rom + 0x004e0100 0xec /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + 0x004e0100 UsbDeviceDescriptor + 0x004e01c0 String20Descriptor + 0x004e01b0 String10Descriptor + 0x004e0120 u8HSConfigDescriptor01 + 0x004e0160 u8FSConfigDescriptor01 + 0x004e01a0 String00Descriptor + 0x004e01e0 String30Descriptor + +.dport0.data 0x00500000 0x400 + 0x00500000 _reserved_data_start = 0x0 + 0x00500000 _indir_tbl = 0x0 + 0x00500400 . = 0x400 + *fill* 0x00500000 0x400 00 + *fill* 0x00500400 0x0 00 + *fill* 0x00500400 0x0 00 + *fill* 0x00500400 0x0 00 + *fill* 0x00500400 0x0 00 + 0x00500400 _reserved_data_end = . + 0x00500400 _dport0_data_start = (.) + *(.dport0.data) + *(.dport.data) + 0x00500400 _dport0_data_end = (.) + +.dport0.bss 0x00500400 0x0 + 0x00500400 . = ALIGN (0x8) + 0x00500400 _dport0_bss_start = (.) + *(.dport0.bss) + 0x00500400 . = ALIGN (0x8) + 0x00500400 _dport0_bss_end = (.) + +.dram0.rodata 0x004e8718 0x0 + 0x004e8718 _dram0_rodata_start = (.) + *(.dram0.rodata) + *(.dram.rodata) + 0x004e8718 _dram0_rodata_end = (.) + +.rodata 0x004e8720 0x934 + 0x004e8720 _rodata_start = (.) + *(.rodata) + .rodata 0x004e8720 0x30 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + 0x004e8720 _rom_store_table + .rodata 0x004e8750 0x50 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + 0x004e8750 cmnos_clocking_table + .rodata 0x004e87a0 0x98 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + 0x004e87b4 Xthal_have_loops + 0x004e87e6 Xthal_have_mimic_cacheattr + 0x004e87e8 Xthal_mmu_rings + 0x004e87b3 Xthal_have_density + 0x004e87a8 Xthal_rev_no + 0x004e87b1 Xthal_memory_order + 0x004e8834 Xthal_xlmi_size + 0x004e87bc Xthal_have_highlevel_interrupts + 0x004e87dc Xthal_hw_min_version_major + 0x004e87b9 Xthal_have_exceptions + 0x004e87d4 Xthal_hw_release_major + 0x004e87fc Xthal_instrom_vaddr + 0x004e8804 Xthal_instrom_size + 0x004e8830 Xthal_xlmi_paddr + 0x004e87e9 Xthal_mmu_ca_bits + 0x004e87e7 Xthal_have_tlbs + 0x004e8800 Xthal_instrom_paddr + 0x004e87b2 Xthal_have_windowed + 0x004e87f9 Xthal_num_ccompare + 0x004e87b0 Xthal_num_aregs_log2 + 0x004e8828 Xthal_dataram_size + 0x004e8824 Xthal_dataram_paddr + 0x004e87a0 Xthal_release_major + 0x004e8820 Xthal_dataram_vaddr + 0x004e87e0 Xthal_hw_max_version_major + 0x004e87f6 Xthal_num_instram + 0x004e87f5 Xthal_dtlb_ways + 0x004e87e4 Xthal_have_spanning_way + 0x004e881c Xthal_datarom_size + 0x004e87b8 Xthal_have_mul16 + 0x004e87c0 Xthal_have_s32c1i + 0x004e87f4 Xthal_itlb_ways + 0x004e87d0 Xthal_hw_configid1 + 0x004e87bb Xthal_have_interrupts + 0x004e87bf Xthal_have_release_sync + 0x004e87d8 Xthal_hw_release_name + 0x004e880c Xthal_instram_paddr + 0x004e87c2 Xthal_have_pif + 0x004e87b6 Xthal_have_minmax + 0x004e87ac Xthal_num_aregs + 0x004e87bd Xthal_have_nmi + 0x004e87c8 Xthal_build_unique_id + 0x004e8814 Xthal_datarom_vaddr + 0x004e8818 Xthal_datarom_paddr + 0x004e87e5 Xthal_have_identity_map + 0x004e87ba Xthal_xea_version + 0x004e87ec Xthal_mmu_max_pte_page_size + 0x004e87be Xthal_have_prid + 0x004e87f0 Xthal_mmu_min_pte_page_size + 0x004e8810 Xthal_instram_size + 0x004e882c Xthal_xlmi_vaddr + 0x004e87b5 Xthal_have_nsa + 0x004e87f8 Xthal_have_ccount + 0x004e87cc Xthal_hw_configid0 + 0x004e87c4 Xthal_num_writebuffer_entries + 0x004e87a4 Xthal_release_name + 0x004e8808 Xthal_instram_vaddr + 0x004e87f7 Xthal_num_dataram + 0x004e87c1 Xthal_have_threadptr + 0x004e87b7 Xthal_have_sext + *fill* 0x004e8838 0x8 00 + .rodata 0x004e8840 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + 0x004e8840 Xthal_intlevel + *(.rodata.*) + .rodata.str1.4 + 0x004e8860 0x22a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + *fill* 0x004e8a8a 0x2 00 + .rodata.str1.4 + 0x004e8a8c 0x5d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + *fill* 0x004e8ae9 0x3 00 + .rodata.str1.4 + 0x004e8aec 0x1e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + *fill* 0x004e8b0a 0x2 00 + .rodata.str1.4 + 0x004e8b0c 0x98 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + 0x9c (size before relaxing) + .rodata.str1.4 + 0x004e8ba4 0x3b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + *fill* 0x004e8bdf 0x1 00 + .rodata.str1.4 + 0x004e8be0 0x82 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + *fill* 0x004e8c62 0x2 00 + .rodata.str1.4 + 0x004e8c64 0x71 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + *fill* 0x004e8cd5 0x3 00 + .rodata.str1.4 + 0x004e8cd8 0xc2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + *fill* 0x004e8d9a 0x2 00 + .rodata.str1.4 + 0x004e8d9c 0x11e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + *fill* 0x004e8eba 0x2 00 + .rodata.str1.4 + 0x004e8ebc 0x22 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + 0x27 (size before relaxing) + *fill* 0x004e8ede 0x2 00 + .rodata.str1.4 + 0x004e8ee0 0x151 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + *fill* 0x004e9031 0x3 00 + .rodata.str1.4 + 0x004e9034 0x10 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + *(.gnu.linkonce.r.*) + *(.rodata1) + 0x004e9044 __XT_EXCEPTION_TABLE__ = (.) + *(.xt_except_table) + *(.gcc_except_table) + *(.gnu.linkonce.e.*) + *(.gnu.version_r) + 0x004e9044 . = ALIGN (0x4) + 0x004e9044 _bss_table_start = (.) + 0x004e9044 0x4 LONG 0x500400 _dport0_bss_start + 0x004e9048 0x4 LONG 0x500400 _dport0_bss_end + 0x004e904c 0x4 LONG 0x500950 _bss_start + 0x004e9050 0x4 LONG 0x500b78 _bss_end + 0x004e9054 _bss_table_end = (.) + 0x004e9054 _rodata_end = (.) + +.dram0.literal 0x004e9054 0x0 + 0x004e9054 _dram0_literal_start = (.) + *(.dram0.literal) + *(.dram.literal) + 0x004e9054 _dram0_literal_end = (.) + +.dram0.data 0x00500400 0x0 + 0x00500400 _dram0_data_start = (.) + *(.dram0.data) + *(.dram.data) + 0x00500400 _dram0_data_end = (.) + +.data 0x00500400 0x550 + 0x00500400 _data_start = (.) + *(.data) + .data 0x00500400 0x100 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + 0x00500400 _xtos_exc_handler_table + .data 0x00500500 0x138 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + 0x00500500 _xtos_enabled + 0x00500500 _xtos_intstruct + 0x00500508 _xtos_interrupt_table + 0x005005a0 _xtos_interrupt_mask_table + 0x00500504 _xtos_vpri_enabled + *fill* 0x00500638 0x8 00 + .data 0x00500640 0xb8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + 0x00500640 basic_ROM_module_table + .data 0x005006f8 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + 0x005006fc assprint + 0x005006f8 assloop + .data 0x00500700 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + 0x00500700 _putc + .data 0x00500704 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + 0x00500704 patch_addr + .data 0x00500708 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + 0x00500708 pci_sc + *fill* 0x00500714 0xc 00 + .data 0x00500720 0x44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + 0x00500720 TestPatn0 + 0x00500730 TestPatn1 + *fill* 0x00500764 0xc 00 + .data 0x00500770 0x4c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + 0x00500780 u8OtherSpeedConfigDescriptorEX + 0x00500770 u8DeviceQualifierDescriptorEX + *fill* 0x005007bc 0x4 00 + .data 0x005007c0 0x90 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + 0x005007c0 eng_q + .data 0x00500850 0x100 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + 0x00500850 _xtos_c_handler_table + *(.data.*) + *(.gnu.linkonce.d.*) + *(.data1) + *(.sdata) + *(.sdata.*) + *(.gnu.linkonce.s.*) + *(.sdata2) + *(.sdata2.*) + *(.gnu.linkonce.s2.*) + *(.jcr) + *(.eh_frame) + *crtbegin.o(.ctors) + *(EXCLUDE_FILE(*crtend.o) .ctors) + *(SORT(.ctors.*)) + *(.ctors) + *crtbegin.o(.dtors) + *(EXCLUDE_FILE(*crtend.o) .dtors) + *(SORT(.dtors.*)) + *(.dtors) + 0x00500950 __XT_EXCEPTION_DESCS__ = (.) + *(.xt_except_desc) + *(.gnu.linkonce.h.*) + 0x00500950 __XT_EXCEPTION_DESCS_END__ = (.) + *(.xt_except_desc_end) + *(.dynamic) + *(.gnu.version_d) + 0x00500950 _data_end = (.) + +.bss 0x00500950 0x2a8 + 0x00500950 . = ALIGN (0x8) + 0x00500950 _bss_start = (.) + *(.dynsbss) + *(.sbss) + *(.sbss.*) + *(.gnu.linkonce.sb.*) + *(.scommon) + *(.sbss2) + *(.sbss2.*) + *(.gnu.linkonce.sb2.*) + *(.dynbss) + *(.bss) + .bss 0x00500950 0x2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + *fill* 0x00500952 0x2 00 + .bss 0x00500954 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + 0x00500954 allocram_current_addr + 0x00500958 allocram_remaining_bytes + .bss 0x0050095c 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + 0x00500970 pll_ctrl_setting_5ghz + 0x0050096c pll_ctrl_setting_24ghz + 0x00500964 clock_info + 0x0050095c cticks + 0x00500968 cmnos_skip_pll_init + 0x00500960 curr_band + .bss 0x00500978 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + 0x00500978 eep_state + 0x0050097a eep_exist + *fill* 0x0050097c 0x4 00 + .bss 0x00500980 0xa8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + 0x00500980 cmnos_enabled_interrupts + 0x00500990 cmnos_isr_info + .bss 0x00500a28 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + 0x00500a28 current_dump + .bss 0x00500a2c 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + 0x00500a2c patch_start + .bss 0x00500a30 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .bss 0x00500a34 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + 0x00500a34 timer_list + .bss 0x00500a38 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + 0x00500a38 wdt_ctrl + .bss 0x00500a44 0x10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .bss 0x00500a54 0x44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + 0x00500a54 g_hifUSBCtx + .bss 0x00500a98 0x5e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + 0x00500abc u16TxRxCounter + 0x00500ad0 u8UsbInterfaceValue + 0x00500ae0 u8String10Descriptor + 0x00500ad4 u16FirmwareComplete + 0x00500ad8 u8UsbDeviceDescriptor + 0x00500ad2 u8UsbInterfaceAlternateSetting + 0x00500aa4 usbFifoConf + 0x00500ab8 pu8DescriptorEX + 0x00500ac8 eUsbCxCommand + 0x00500af0 UsbStatus + 0x00500a98 ControlCmd + 0x00500ace u8UsbConfigValue + 0x00500ae4 u8String20Descriptor + 0x00500ae8 u8String30Descriptor + 0x00500ac4 eUsbCxFinishAction + 0x00500ac0 u8ConfigDescriptorEX + 0x00500acc UsbChirpFinish + 0x00500ab4 fwCheckSum + 0x00500adc u8String00Descriptor + *fill* 0x00500af6 0x2 00 + .bss 0x00500af8 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + 0x00500af8 g_vbufCtx + .bss 0x00500b04 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + 0x00500b04 g_vdescCtx + .bss 0x00500b0c 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + 0x00500b0c fwd_sc + .bss 0x00500b18 0x2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + 0x00500b18 g_poolCtx + .bss 0x00500b44 0x2d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + 0x00500b4d Xthal_have_clamps + 0x00500b70 Xthal_num_xlmi + 0x00500b48 Xthal_release_internal + 0x00500b6a Xthal_itlb_way_bits + 0x00500b6b Xthal_itlb_arf_ways + 0x00500b44 Xthal_release_minor + 0x00500b64 Xthal_have_xlt_cacheattr + 0x00500b50 Xthal_have_speculation + 0x00500b67 Xthal_mmu_asid_kernel + 0x00500b69 Xthal_mmu_sr_bits + 0x00500b65 Xthal_have_cacheattr + 0x00500b6d Xthal_dtlb_arf_ways + 0x00500b6c Xthal_dtlb_way_bits + 0x00500b60 Xthal_hw_release_internal + 0x00500b58 Xthal_hw_min_version_minor + 0x00500b4f Xthal_have_fp + 0x00500b68 Xthal_mmu_ring_bits + 0x00500b4e Xthal_have_mac16 + 0x00500b4c Xthal_have_booleans + 0x00500b66 Xthal_mmu_asid_bits + 0x00500b6e Xthal_num_instrom + 0x00500b54 Xthal_hw_release_minor + 0x00500b5c Xthal_hw_max_version_minor + 0x00500b6f Xthal_num_datarom + *(.bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + *(.dram0.bss) + 0x00500b78 . = ALIGN (0x8) + *fill* 0x00500b71 0x7 00 + *fill* 0x00500b78 0x0 00 + *fill* 0x00500b78 0x0 00 + *fill* 0x00500b78 0x0 00 + *fill* 0x00500b78 0x0 00 + 0x00500b78 _bss_end = (.) + 0x00500bf8 . = (. + 0x80) + *fill* 0x00500b78 0x80 00 + *fill* 0x00500bf8 0x0 00 + *fill* 0x00500bf8 0x0 00 + *fill* 0x00500bf8 0x0 00 + *fill* 0x00500bf8 0x0 00 + 0x00500bf8 _end = ALIGN (0x8) + 0x00500bf8 PROVIDE (end, ALIGN (0x8)) + +.ResetVector.text + 0x008e0000 0xde + 0x008e0000 _ResetVector_text_start = (.) + *(.ResetVector.text) + .ResetVector.text + 0x008e0000 0xde /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + 0x008e0000 _ResetVector + 0x008e0020 _ResetHandler + 0x008e00de _ResetVector_text_end = (.) + +.WindowVectors.text + 0x008e0800 0x16a + 0x008e0800 _WindowVectors_text_start = (.) + *(.WindowVectors.text) + .WindowVectors.text + 0x008e0800 0x16a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + 0x008e0900 _WindowOverflow12 + 0x008e0800 _WindowOverflow4 + 0x008e0840 _WindowUnderflow4 + 0x008e0940 _WindowUnderflow12 + 0x008e0880 _WindowOverflow8 + 0x008e08c0 _WindowUnderflow8 + 0x008e096a _WindowVectors_text_end = (.) + +.KernelExceptionVector.literal + 0x008e0d00 0x0 + 0x008e0d00 _KernelExceptionVector_literal_start = (.) + *(.KernelExceptionVector.literal) + 0x008e0d00 _KernelExceptionVector_literal_end = (.) + +.KernelExceptionVector.text + 0x008e0d40 0x6 + 0x008e0d40 _KernelExceptionVector_text_start = (.) + *(.KernelExceptionVector.text) + .KernelExceptionVector.text + 0x008e0d40 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + 0x008e0d40 _KernelExceptionVector + 0x008e0d46 _KernelExceptionVector_text_end = (.) + +.UserExceptionVector.literal + 0x008e0e00 0x0 + 0x008e0e00 _UserExceptionVector_literal_start = (.) + *(.UserExceptionVector.literal) + 0x008e0e00 _UserExceptionVector_literal_end = (.) + +.UserExceptionVector.text + 0x008e0e20 0x17 + 0x008e0e20 _UserExceptionVector_text_start = (.) + *(.UserExceptionVector.text) + .UserExceptionVector.text + 0x008e0e20 0x17 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + 0x008e0e20 _UserExceptionVector + 0x008e0e37 _UserExceptionVector_text_end = (.) + +.DoubleExceptionVector.literal + 0x008e0f00 0x0 + 0x008e0f00 _DoubleExceptionVector_literal_start = (.) + *(.DoubleExceptionVector.literal) + 0x008e0f00 _DoubleExceptionVector_literal_end = (.) + +.DoubleExceptionVector.text + 0x008e0f20 0x6 + 0x008e0f20 _DoubleExceptionVector_text_start = (.) + *(.DoubleExceptionVector.text) + .DoubleExceptionVector.text + 0x008e0f20 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + 0x008e0f20 _DoubleExceptionVector + 0x008e0f26 _DoubleExceptionVector_text_end = (.) + +.Level2InterruptVector.literal + 0x008e0a00 0x0 + 0x008e0a00 _Level2InterruptVector_literal_start = (.) + *(.Level2InterruptVector.literal) + 0x008e0a00 _Level2InterruptVector_literal_end = (.) + +.Level2InterruptVector.text + 0x008e0a20 0x6 + 0x008e0a20 _Level2InterruptVector_text_start = (.) + *(.Level2InterruptVector.text) + .Level2InterruptVector.text + 0x008e0a20 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + 0x008e0a20 _Level2Vector + 0x008e0a26 _Level2InterruptVector_text_end = (.) + +.Level3InterruptVector.literal + 0x008e0b00 0x0 + 0x008e0b00 _Level3InterruptVector_literal_start = (.) + *(.Level3InterruptVector.literal) + 0x008e0b00 _Level3InterruptVector_literal_end = (.) + +.Level3InterruptVector.text + 0x008e0b20 0x6 + 0x008e0b20 _Level3InterruptVector_text_start = (.) + *(.Level3InterruptVector.text) + .Level3InterruptVector.text + 0x008e0b20 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + 0x008e0b20 _Level3Vector + 0x008e0b26 _Level3InterruptVector_text_end = (.) + +.DebugExceptionVector.literal + 0x008e0c00 0x0 + 0x008e0c00 _DebugExceptionVector_literal_start = (.) + *(.DebugExceptionVector.literal) + 0x008e0c00 _DebugExceptionVector_literal_end = (.) + +.DebugExceptionVector.text + 0x008e0c20 0x6 + 0x008e0c20 _DebugExceptionVector_text_start = (.) + *(.DebugExceptionVector.text) + .DebugExceptionVector.text + 0x008e0c20 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + 0x008e0c20 _DebugExceptionVector + 0x008e0c26 _DebugExceptionVector_text_end = (.) + +.NMIExceptionVector.literal + 0x008e0ce0 0x0 + 0x008e0ce0 _NMIExceptionVector_literal_start = (.) + *(.NMIExceptionVector.literal) + 0x008e0ce0 _NMIExceptionVector_literal_end = (.) + +.NMIExceptionVector.text + 0x008e0ce4 0x3 + 0x008e0ce4 _NMIExceptionVector_text_start = (.) + *(.NMIExceptionVector.text) + .NMIExceptionVector.text + 0x008e0ce4 0x3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + 0x008e0ce4 _NMIExceptionVector + 0x008e0ce7 _NMIExceptionVector_text_end = (.) + +.iram0.text 0x008e1000 0x0 + 0x008e1000 _iram0_text_start = (.) + *(.iram0.literal .iram.literal .iram.text.literal .iram0.text .iram.text) + 0x008e1000 _iram0_text_end = (.) + +.text 0x008e1000 0x5e94 + 0x008e1000 _stext = . + 0x008e1000 _text_start = (.) + *(.entry.text) + *(.init) + *(.init) + *(.literal .text .literal.* .text.* .stub .gnu.warning .gnu.linkonce.literal.* .gnu.linkonce.t.*.literal .gnu.linkonce.t.*) + .text 0x008e1000 0x2a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + 0x008e1000 _start + *fill* 0x008e102a 0x2 00 + .text 0x008e102c 0xd7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + 0x008e102c _xtos_alloca_handler + *fill* 0x008e1103 0x1 00 + .text 0x008e1104 0x6f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + 0x008e1104 _xtos_syscall_handler + 0x008e1143 _SyscallException + *fill* 0x008e1173 0x1 00 + .text 0x008e1174 0x6e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + 0x008e1174 _xtos_l1int_handler + 0x008e1192 _LevelOneInterrupt + *fill* 0x008e11e2 0x2 00 + .text 0x008e11e4 0x5c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + 0x008e11e4 _xtos_set_interrupt_handler_arg + 0x008e1230 _xtos_set_interrupt_handler + .text 0x008e1240 0xb1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + 0x008e1240 _Level2FromVector + 0x008e12f1 _Level2HandlerLabel + *fill* 0x008e12f1 0x3 00 + .text 0x008e12f4 0xae /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + 0x008e12f4 _Level3FromVector + 0x008e13a2 _Level3HandlerLabel + *fill* 0x008e13a2 0x2 00 + .text 0x008e13a4 0x88e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + 0x008e1604 athos_interrupt_handler + 0x008e18c8 turn_off_rc + 0x008e167c athos_init + 0x008e16e8 read_usb_conf + 0x008e178c set_pci_conf + 0x008e1614 athos_interrupt_init + 0x008e1548 generic_hif_module_install + 0x008e16b0 _read_usb_desc + 0x008e13f8 Magpie_fatal_exception_handler + 0x008e1468 athos_restore_intrlvl + 0x008e18fc bootentry + 0x008e1c14 main + 0x008e189c pci_gmac_bootload + 0x008e1574 athos_indirection_table_install + 0x008e1860 bootload + 0x008e13a4 post + 0x008e1454 athos_block_all_intrlvl + 0x008e1460 athos_unblock_all_intrlvl + *fill* 0x008e1c32 0x2 00 + .text 0x008e1c34 0x78 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + 0x008e1c98 cmnos_allocram_module_install + 0x008e1c44 cmnos_allocram + 0x008e1c74 cmnos_allocram_debug + 0x008e1c34 cmnos_allocram_init + .text 0x008e1cac 0xf2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + 0x008e1cdc cmnos_refclk_speed_get + 0x008e1ce8 cmnos_uart_frequency + 0x008e1cf0 cmnos_sysclk_change + 0x008e1d00 cmnos_wlan_band_set + 0x008e1d44 cmnos_tick + 0x008e1d08 cmnos_pll_init + 0x008e1cac cmnos_delay_us + 0x008e1cf8 cmnos_clockregs_init + 0x008e1ccc cmnos_milliseconds + 0x008e1d6c cmnos_clock_module_install + 0x008e1d10 cmnos_clock_init + *fill* 0x008e1d9e 0x2 00 + .text 0x008e1da0 0x3e5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + 0x008e1e24 cmnos_eep_is_exist + 0x008e1da0 cmnos_eeprom_write_hword + 0x008e216c cmnos_eep_module_install + 0x008e1df8 cmnos_eeprom_read_hword + 0x008e1ebc cmnos_eep_read + 0x008e1f04 cmnos_eep_init + 0x008e1e5c cmnos_eep_write + *fill* 0x008e2185 0x3 00 + .text 0x008e2188 0x18e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + 0x008e21b8 cmnos_intr_mask_inum + 0x008e2298 cmnos_intr_invoke_isr + 0x008e22f8 cmnos_intr_module_install + 0x008e2188 cmnos_intr_dummy + 0x008e21f8 cmnos_intr_unmask_inum + 0x008e2190 cmnos_intr_init + 0x008e2234 cmnos_intr_attach_isr + *fill* 0x008e2316 0x2 00 + .text 0x008e2318 0x26 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + 0x008e2318 cmnos_mem_init + 0x008e2320 cmnos_mem_module_install + *fill* 0x008e233e 0x2 00 + .text 0x008e2340 0x175 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + 0x008e235c cmnos_misaligned_load_handler + 0x008e247c cmnos_rom_version_get + 0x008e2354 cmnos_mac_reset + 0x008e2340 cmnos_system_reset + 0x008e245c cmnos_is_host_present + 0x008e242c cmnos_get_kbhit + 0x008e2488 cmnos_misc_module_install + 0x008e23b0 cmnos_assfail + 0x008e241c cmnos_report_failure_to_host + 0x008e2424 cmnos_target_id_get + *fill* 0x008e24b5 0x3 00 + .text 0x008e24b8 0x513 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + 0x008e29bc cmnos_printf_module_install + 0x008e24b8 cmnos_write_char + 0x008e2980 cmnos_printf + 0x008e29b4 cmnos_printf_init + *fill* 0x008e29cb 0x1 00 + .text 0x008e29cc 0x155 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + 0x008e2a50 cmnos_romp_decode + 0x008e2b08 cmnos_romp_module_install + 0x008e2a9c cmnos_romp_download + 0x008e2b00 cmnos_romp_init + 0x008e29e4 _read_rom_patch + 0x008e29cc _patch_dump + 0x008e2a8c cmnos_romp_install + *fill* 0x008e2b21 0x3 00 + .text 0x008e2b24 0x2b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + 0x008e2b2c cmnos_string_module_install + 0x008e2b24 cmnos_string_init + *fill* 0x008e2b4f 0x1 00 + .text 0x008e2b50 0xb2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + 0x008e2b50 cmnos_tasklet_init + 0x008e2b88 cmnos_tasklet_disable + 0x008e2b6c cmnos_tasklet_schedule + 0x008e2be4 cmnos_tasklet_module_install + 0x008e2bbc cmnos_tasklet_run + 0x008e2b5c cmnos_tasklet_init_task + *fill* 0x008e2c02 0x2 00 + .text 0x008e2c04 0xde /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + 0x008e2c04 cmnos_timer_setfn + 0x008e2c58 cmnos_timer_disarm + 0x008e2c14 cmnos_timer_arm + 0x008e2cc4 cmnos_timer_module_install + 0x008e2c94 cmnos_timer_handler + 0x008e2c88 cmnos_timer_init + *fill* 0x008e2ce2 0x2 00 + .text 0x008e2ce4 0x114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + 0x008e2d90 cmnos_wdt_init + 0x008e2d74 cmnos_wdt_disable + 0x008e2dd0 cmnos_wdt_module_install + 0x008e2ce4 cmnos_wdt_last_boot + 0x008e2db8 cmnos_wdt_task + 0x008e2d2c cmnos_wdt_set + 0x008e2d10 cmnos_wdt_reset + 0x008e2d54 cmnos_wdt_enable + .text 0x008e2df8 0x19b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + 0x008e2e74 _uart_char_put_nowait + 0x008e2ed4 _uart_str_out + 0x008e2e40 _uart_char_get_nothing + 0x008e2e48 _uart_char_put + 0x008e2ec0 _uart_task + 0x008e2ec8 _uart_status + 0x008e2df8 _uart_init + 0x008e2f5c cmnos_uart_module_install + 0x008e2ea0 _uart_char_get + 0x008e2e38 _uart_char_put_nothing + 0x008e2ef4 _uart_config + *fill* 0x008e2f93 0x1 00 + .text 0x008e2f94 0x41a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + 0x008e337c hif_pci_api_install + 0x008e31e8 __pci_reap_recv + 0x008e2fd4 __pci_get_tx_eng + 0x008e300c __pci_enable + 0x008e32f8 __pci_is_pipe_supported + 0x008e2fe8 __pci_get_rx_eng + 0x008e2fa0 __pci_reg_write + 0x008e3308 __pci_get_max_msg_len + 0x008e3210 __pci_isr_handler + 0x008e32b0 __pci_xmit_buf + 0x008e3110 __pci_init + 0x008e3328 __pci_get_def_pipe + 0x008e31a8 __pci_reg_callback + 0x008e3338 hif_pci_module_install + 0x008e3164 __pci_cfg_pipe + 0x008e2fac __pci_get_pipe + 0x008e3318 __pci_get_reserved_headroom + 0x008e30d8 __pci_boot_init + 0x008e31bc __pci_reap_xmitted + 0x008e32dc __pci_return_recv + 0x008e3320 __pci_shutdown + 0x008e2f94 __pci_reg_read + 0x008e31a0 __pci_start + 0x008e3024 __pci_reset + *fill* 0x008e33ae 0x2 00 + .text 0x008e33b0 0x4d4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + 0x008e37e8 HIFusb_DescTraceDump + 0x008e367c _HIFusb_return_recv_buf + 0x008e36c0 _HIFusb_is_pipe_supported + 0x008e3430 _HIFusb_init + 0x008e36e4 _HIFusb_isr_handler + 0x008e36d0 _HIFusb_get_max_msg_len + 0x008e36b0 _HIFusb_pause_recv + 0x008e3570 _HIFusb_config_pipe + 0x008e36a8 _HIFusb_set_recv_bufsz + 0x008e37a4 hif_usb_module_install + 0x008e348c _HIFusb_register_callback + 0x008e3484 _HIFusb_shutdown + 0x008e3794 _HIFusb_get_default_pipe + 0x008e34a0 _HIFusb_start + 0x008e361c _HIFusb_send_buffer + 0x008e36b8 _HIFusb_resume_recv + .text 0x008e3884 0x1071 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + 0x008e4848 usbfifo_module_install + 0x008e4858 cmnos_usb_module_install + 0x008e476c _usb_init + *fill* 0x008e48f5 0x3 00 + .text 0x008e48f8 0x3b3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + 0x008e4934 mUsbFIFOConfig + 0x008e497c mUsbEPMxPtSzLow + 0x008e48f8 mUsbEPMap + 0x008e4b7c vUsbFIFO_EPxCfg_FS + 0x008e4954 mUsbEPMxPtSzHigh + 0x008e49ec vUsbFIFO_EPxCfg_HS + 0x008e4914 mUsbFIFOMap + 0x008e49a0 mUsbEPinHighBandSet + *fill* 0x008e4cab 0x1 00 + .text 0x008e4cac 0x243 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + 0x008e4d7c __dma_lib_rx_init + 0x008e4eb8 dma_lib_module_install + 0x008e4e50 __dma_reap_recv + 0x008e4cb8 __dma_reg_write + 0x008e4da8 __dma_hard_xmit + 0x008e4e28 __dma_xmit_done + 0x008e4cc4 __dma_set_base + 0x008e4d2c __dma_lib_rx_config + 0x008e4ce4 __dma_lib_tx_init + 0x008e4e6c __dma_return_recv + 0x008e4cac __dma_reg_read + 0x008e4dd0 __dma_reap_xmitted + 0x008e4dec __dma_flush_xmit + 0x008e4e94 __dma_recv_pkt + *fill* 0x008e4eef 0x1 00 + .text 0x008e4ef0 0x84 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + 0x008e4f4c _vbuf_free_vbuf + 0x008e4f60 vbuf_module_install + 0x008e4f2c _vbuf_alloc_vbuf + 0x008e4ef0 _vbuf_init + .text 0x008e4f74 0xb5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + 0x008e4fb0 _vdesc_alloc_desc + 0x008e4fc8 _vdesc_get_hw_desc + 0x008e5010 vdesc_module_install + 0x008e4f74 _vdesc_init + 0x008e4fd0 _vdesc_swap_vdesc + *fill* 0x008e5029 0x3 00 + .text 0x008e502c 0x8ef /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + 0x008e56f4 HTCControlSvcProcessMsg + 0x008e57e4 AdjustCreditThreshold + 0x008e5114 _HTC_Init + 0x008e520c _HTC_Ready + 0x008e5260 ReturnBuffers + 0x008e5328 _HTC_SendMsg + 0x008e567c HTCProcessConfigPipeMsg + 0x008e5494 _HTC_GetReservedHeadroom + 0x008e57bc HTCSendDoneHandler + 0x008e5524 HTCCheckAndSendCreditReport + 0x008e5484 _HTC_PauseRecv + 0x008e51f4 _HTC_Shutdown + 0x008e54ec HTCFreeMsgBuffer + 0x008e5318 _HTC_ReturnBuffers + 0x008e581c HTCMsgRecvHandler + 0x008e54a8 htc_module_install + 0x008e577c HTCControlSvcProcessSendComplete + 0x008e5504 HTCAllocMsgBuffer + 0x008e51fc _HTC_RegisterService + 0x008e5584 HTCProcessConnectMsg + 0x008e50fc HTC_AssembleBuffers + 0x008e548c _HTC_ResumeRecv + 0x008e52e0 _HTC_ReturnBuffersList + 0x008e5814 RedistributeCredit + *fill* 0x008e591b 0x1 00 + .text 0x008e591c 0x3d3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + 0x008e5964 _DMAengine_init_tx_queue + 0x008e5924 _DMAengine_init_rx_queue + 0x008e591c _DMAengine_init + 0x008e5a50 _DMAengine_config_rx_queue + 0x008e5c58 dma_engine_module_install + 0x008e5b70 _DMAengine_has_compl_packets + 0x008e5b68 _DMAengine_flush_xmit + 0x008e5b90 _DMAengine_reap_recv_buf + 0x008e5bc0 _DMAengine_reap_xmited_buf + 0x008e5bf8 _DMAengine_desc_dump + 0x008e59c0 _DMAengine_return_recv_buf + 0x008e5aa8 _DMAengine_xmit_buf + *fill* 0x008e5cef 0x1 00 + .text 0x008e5cf0 0x16f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + 0x008e5d2c zfDmaReclaimPacket + 0x008e5cf0 zfDmaGetPacket + 0x008e5dc0 zfDmaPutPacket + *fill* 0x008e5e5f 0x1 00 + .text 0x008e5e60 0x404 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + 0x008e5eb0 fwd_retbuf_handler + 0x008e615c magpie_mdio_copy_bytes + 0x008e5ffc magpie_mdio_boot_init + 0x008e619c fw_compute_cksum + 0x008e61bc mdio_get_fw_image + 0x008e5ec8 fwd_tgt_process_last + 0x008e5ef8 fwd_tgt_recv + 0x008e6114 mdio_read_block + 0x008e60c0 magpie_mdio_wait_for_lock + 0x008e5fd8 magpie_mdio_module_install + 0x008e5e78 fwd_init + 0x008e60fc magpie_mdio_release_lock + .text 0x008e6264 0xe3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + 0x008e6264 buf_pool_module_install + 0x008e62a8 _buf_pool_static_create_pool + 0x008e62a0 _buf_pool_static_shutdown + 0x008e6300 _buf_pool_static_alloc_buf + 0x008e6324 _buf_pool_static_alloc_buf_align + 0x008e6334 _buf_pool_static_free_buf + 0x008e6288 _buf_pool_static_init + *fill* 0x008e6347 0x1 00 + .text 0x008e6348 0x61 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + 0x008e6348 _xtos_set_exception_handler + *fill* 0x008e63a9 0x3 00 + .text 0x008e63ac 0x79 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + 0x008e63ac _xtos_cause3_handler + 0x008e63d4 _GeneralException + 0x008e63b4 _xtos_c_wrapper_handler + *fill* 0x008e6425 0x3 00 + .text 0x008e6428 0x137 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + 0x008e6428 xthal_window_spill_nw + 0x008e6428 xthal_spill_registers_into_stack_nw + 0x008e653c xthal_window_spill + *fill* 0x008e655f 0x1 00 + .text 0x008e6560 0x118 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + 0x008e6560 strcmp + .text 0x008e6678 0x98 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + 0x008e6678 strcpy + .text 0x008e6710 0x63 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + 0x008e6710 strlen + *fill* 0x008e6773 0x1 00 + .text 0x008e6774 0x8e /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + 0x008e6774 strncmp + *fill* 0x008e6802 0x2 00 + .text 0x008e6804 0x11b /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + 0x008e683c strncpy + *fill* 0x008e691f 0x1 00 + .text 0x008e6920 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + 0x008e6920 _xtos_unhandled_interrupt + .text 0x008e6928 0xd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + 0x008e6928 _xtos_unhandled_exception + *fill* 0x008e6935 0x3 00 + .text 0x008e6938 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + 0x008e6938 _xtos_return_from_exc + .text 0x008e6950 0x5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + 0x008e6950 _xtos_p_none + *fill* 0x008e6955 0x3 00 + .text 0x008e6958 0x26 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + 0x008e6960 xthal_set_ccompare + 0x008e6970 xthal_get_ccompare + 0x008e6958 xthal_get_ccount + *fill* 0x008e697e 0x2 00 + .text 0x008e6980 0x8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + 0x008e6980 xthal_get_intenable + .text 0x008e6988 0x8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + 0x008e6988 xthal_get_interrupt + 0x008e6988 xthal_get_intread + .text 0x008e6990 0x8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + 0x008e6990 xthal_set_intenable + .text 0x008e6998 0x68 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + 0x008e6998 __divsi3 + .text 0x008e6a00 0x49 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + 0x008e6a00 __modsi3 + *fill* 0x008e6a49 0x3 00 + .text 0x008e6a4c 0x50 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + 0x008e6a4c __udivsi3 + .text 0x008e6a9c 0x3d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + 0x008e6a9c __umodsi3 + *fill* 0x008e6ad9 0x3 00 + .text 0x008e6adc 0x45 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + 0x008e6adc memcmp + *fill* 0x008e6b21 0x3 00 + .text 0x008e6b24 0x135 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + 0x008e6b64 memcpy + *fill* 0x008e6c59 0x3 00 + .text 0x008e6c5c 0x1c2 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + 0x008e6c5c memmove + *fill* 0x008e6e1e 0x2 00 + .text 0x008e6e20 0x74 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + 0x008e6e48 memset + *(.fini.literal) + *(.fini) + *(.gnu.version) + 0x008e6e94 _text_end = (.) + 0x008e6e94 _text_end_magic = . + 0x008e6e94 _etext = . + +.debug + *(.debug) + +.line + *(.line) + +.debug_srcinfo + *(.debug_srcinfo) + +.debug_sfnames + *(.debug_sfnames) + +.debug_aranges 0x00000000 0x840 + *(.debug_aranges) + .debug_aranges + 0x00000000 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .debug_aranges + 0x00000020 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .debug_aranges + 0x00000040 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .debug_aranges + 0x00000060 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .debug_aranges + 0x00000080 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .debug_aranges + 0x000000a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .debug_aranges + 0x000000c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .debug_aranges + 0x000000e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .debug_aranges + 0x00000100 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .debug_aranges + 0x00000120 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .debug_aranges + 0x00000140 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .debug_aranges + 0x00000160 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .debug_aranges + 0x00000180 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .debug_aranges + 0x000001a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_aranges + 0x000001c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .debug_aranges + 0x000001e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .debug_aranges + 0x00000200 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .debug_aranges + 0x00000220 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .debug_aranges + 0x00000240 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .debug_aranges + 0x00000260 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_aranges + 0x00000280 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_aranges + 0x000002a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_aranges + 0x000002c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_aranges + 0x000002e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_aranges + 0x00000300 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_aranges + 0x00000320 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_aranges + 0x00000340 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_aranges + 0x00000360 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_aranges + 0x00000380 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_aranges + 0x000003a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_aranges + 0x000003c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_aranges + 0x000003e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_aranges + 0x00000400 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_aranges + 0x00000420 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_aranges + 0x00000440 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_aranges + 0x00000460 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_aranges + 0x00000480 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_aranges + 0x000004a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_aranges + 0x000004c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_aranges + 0x000004e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_aranges + 0x00000500 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_aranges + 0x00000520 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_aranges + 0x00000540 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_aranges + 0x00000560 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_aranges + 0x00000580 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_aranges + 0x000005a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_aranges + 0x000005c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .debug_aranges + 0x000005e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .debug_aranges + 0x00000600 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .debug_aranges + 0x00000620 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .debug_aranges + 0x00000640 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .debug_aranges + 0x00000660 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .debug_aranges + 0x00000680 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .debug_aranges + 0x000006a0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .debug_aranges + 0x000006c0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .debug_aranges + 0x000006e0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .debug_aranges + 0x00000700 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .debug_aranges + 0x00000720 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_aranges + 0x00000740 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .debug_aranges + 0x00000760 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_aranges + 0x00000780 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .debug_aranges + 0x000007a0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .debug_aranges + 0x000007c0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .debug_aranges + 0x000007e0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .debug_aranges + 0x00000800 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .debug_aranges + 0x00000820 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + +.debug_pubnames + 0x00000000 0x24ff + *(.debug_pubnames) + .debug_pubnames + 0x00000000 0x56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_pubnames + 0x00000056 0x1c9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_pubnames + 0x0000021f 0xaf /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_pubnames + 0x000002ce 0x19d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_pubnames + 0x0000046b 0xd5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_pubnames + 0x00000540 0xf1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_pubnames + 0x00000631 0x42 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_pubnames + 0x00000673 0x13a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_pubnames + 0x000007ad 0x78 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_pubnames + 0x00000825 0xcc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_pubnames + 0x000008f1 0x48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_pubnames + 0x00000939 0xb1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_pubnames + 0x000009ea 0xae /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_pubnames + 0x00000a98 0xcb /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_pubnames + 0x00000b63 0xf8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_pubnames + 0x00000c5b 0x21c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_pubnames + 0x00000e77 0x1b1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_pubnames + 0x00001028 0x212 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_pubnames + 0x0000123a 0x101 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .debug_pubnames + 0x0000133b 0xb2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_pubnames + 0x000013ed 0x146 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_pubnames + 0x00001533 0x70 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_pubnames + 0x000015a3 0x8d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_pubnames + 0x00001630 0x241 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_pubnames + 0x00001871 0x164 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_pubnames + 0x000019d5 0x4f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_pubnames + 0x00001a24 0x139 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_pubnames + 0x00001b5d 0xf7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_pubnames + 0x00001c54 0x32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_pubnames + 0x00001c86 0x7fb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .debug_pubnames + 0x00002481 0x25 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + .debug_pubnames + 0x000024a6 0x1d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_pubnames + 0x000024c3 0x1e /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_pubnames + 0x000024e1 0x1e /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + +.debug_info 0x00000000 0x806eb + *(.debug_info) + .debug_info 0x00000000 0x79 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .debug_info 0x00000079 0x7c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .debug_info 0x000000f5 0x7d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .debug_info 0x00000172 0x7d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .debug_info 0x000001ef 0x7c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .debug_info 0x0000026b 0x7b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .debug_info 0x000002e6 0x7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .debug_info 0x00000360 0x7e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .debug_info 0x000003de 0x7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .debug_info 0x00000458 0x7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .debug_info 0x000004d2 0x82 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .debug_info 0x00000554 0x83 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .debug_info 0x000005d7 0x85 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .debug_info 0x0000065c 0x3b2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_info 0x00000a0e 0x85 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .debug_info 0x00000a93 0x85 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .debug_info 0x00000b18 0x7f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .debug_info 0x00000b97 0x7d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .debug_info 0x00000c14 0x7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .debug_info 0x00000c8e 0x537c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_info 0x0000600a 0x4878 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_info 0x0000a882 0x4b5d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_info 0x0000f3df 0x4a08 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_info 0x00013de7 0x4ae9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_info 0x000188d0 0x474d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_info 0x0001d01d 0x4b9d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_info 0x00021bba 0x4a67 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_info 0x00026621 0x4995 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_info 0x0002afb6 0x472c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_info 0x0002f6e2 0x48b1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_info 0x00033f93 0x4973 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_info 0x00038906 0x4943 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_info 0x0003d249 0x49ad /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_info 0x00041bf6 0x4dec /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_info 0x000469e2 0x4f73 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_info 0x0004b955 0x5313 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_info 0x00050c68 0x3db /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .debug_info 0x00051043 0x4996 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_info 0x000559d9 0x4d2a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_info 0x0005a703 0x47dd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_info 0x0005eee0 0x4843 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_info 0x00063723 0x58a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_info 0x00068fc3 0x4c2f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_info 0x0006dbf2 0x50a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_info 0x00072c9a 0x4e26 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_info 0x00077ac0 0x49ef /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_info 0x0007c4af 0x2ef /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_info 0x0007c79e 0x79 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .debug_info 0x0007c817 0x85 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .debug_info 0x0007c89c 0xc9 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .debug_info 0x0007c965 0xc20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .debug_info 0x0007d585 0xd3 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .debug_info 0x0007d658 0xcb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .debug_info 0x0007d723 0xcb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .debug_info 0x0007d7ee 0xcb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .debug_info 0x0007d8b9 0x229 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + .debug_info 0x0007dae2 0xf4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .debug_info 0x0007dbd6 0xf4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .debug_info 0x0007dcca 0xf4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .debug_info 0x0007ddbe 0xf4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .debug_info 0x0007deb2 0xb40 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_info 0x0007e9f2 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .debug_info 0x0007eaff 0xb81 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_info 0x0007f680 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .debug_info 0x0007f78d 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .debug_info 0x0007f89a 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .debug_info 0x0007f9a7 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .debug_info 0x0007fab4 0xb29 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .debug_info 0x000805dd 0x10e /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + +.debug_abbrev 0x00000000 0x321a + *(.debug_abbrev) + .debug_abbrev 0x00000000 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .debug_abbrev 0x00000014 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .debug_abbrev 0x00000028 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .debug_abbrev 0x0000003c 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .debug_abbrev 0x00000050 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .debug_abbrev 0x00000064 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .debug_abbrev 0x00000078 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .debug_abbrev 0x0000008c 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .debug_abbrev 0x000000a0 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .debug_abbrev 0x000000b4 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .debug_abbrev 0x000000c8 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .debug_abbrev 0x000000dc 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .debug_abbrev 0x000000f0 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .debug_abbrev 0x00000104 0xce /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_abbrev 0x000001d2 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .debug_abbrev 0x000001e6 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .debug_abbrev 0x000001fa 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .debug_abbrev 0x0000020e 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .debug_abbrev 0x00000222 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .debug_abbrev 0x00000236 0x20d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_abbrev 0x00000443 0x168 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_abbrev 0x000005ab 0x1a9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_abbrev 0x00000754 0x18b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_abbrev 0x000008df 0x166 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_abbrev 0x00000a45 0x137 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_abbrev 0x00000b7c 0x1b1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_abbrev 0x00000d2d 0x1b4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_abbrev 0x00000ee1 0x18c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_abbrev 0x0000106d 0x137 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_abbrev 0x000011a4 0x164 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_abbrev 0x00001308 0x166 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_abbrev 0x0000146e 0x196 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_abbrev 0x00001604 0x1d5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_abbrev 0x000017d9 0x1cf /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_abbrev 0x000019a8 0x240 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_abbrev 0x00001be8 0x1cd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_abbrev 0x00001db5 0x65 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .debug_abbrev 0x00001e1a 0x142 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_abbrev 0x00001f5c 0x1b6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_abbrev 0x00002112 0x16a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_abbrev 0x0000227c 0x183 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_abbrev 0x000023ff 0x21c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_abbrev 0x0000261b 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_abbrev 0x0000281b 0x168 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_abbrev 0x00002983 0x1d3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_abbrev 0x00002b56 0x186 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_abbrev 0x00002cdc 0xbc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_abbrev 0x00002d98 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .debug_abbrev 0x00002dac 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .debug_abbrev 0x00002dc0 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .debug_abbrev 0x00002dd4 0x4c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .debug_abbrev 0x00002e20 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .debug_abbrev 0x00002e34 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .debug_abbrev 0x00002e48 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .debug_abbrev 0x00002e5c 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .debug_abbrev 0x00002e70 0x62 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + .debug_abbrev 0x00002ed2 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .debug_abbrev 0x00002ee6 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .debug_abbrev 0x00002efa 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .debug_abbrev 0x00002f0e 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .debug_abbrev 0x00002f22 0xd7 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_abbrev 0x00002ff9 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .debug_abbrev 0x0000300d 0xd7 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_abbrev 0x000030e4 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .debug_abbrev 0x000030f8 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .debug_abbrev 0x0000310c 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .debug_abbrev 0x00003120 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .debug_abbrev 0x00003134 0xd2 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .debug_abbrev 0x00003206 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + +.debug_line 0x00000000 0x1e431 + *(.debug_line) + .debug_line 0x00000000 0x87 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .debug_line 0x00000087 0x42 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .debug_line 0x000000c9 0x43 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .debug_line 0x0000010c 0x43 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .debug_line 0x0000014f 0x1aa /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .debug_line 0x000002f9 0x6b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .debug_line 0x00000364 0x3a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .debug_line 0x0000039e 0x195 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .debug_line 0x00000533 0x40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .debug_line 0x00000573 0x40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .debug_line 0x000005b3 0x1e7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .debug_line 0x0000079a 0x13a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .debug_line 0x000008d4 0x104 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .debug_line 0x000009d8 0x2d8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_line 0x00000cb0 0x171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .debug_line 0x00000e21 0x16b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .debug_line 0x00000f8c 0x4c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .debug_line 0x00000fd8 0x55 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .debug_line 0x0000102d 0x70 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .debug_line 0x0000109d 0x1562 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_line 0x000025ff 0xd96 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_line 0x00003395 0xe1b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_line 0x000041b0 0x102c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_line 0x000051dc 0xe81 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_line 0x0000605d 0xd26 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_line 0x00006d83 0xeab /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_line 0x00007c2e 0x10c7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_line 0x00008cf5 0xe7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_line 0x00009b6f 0xd32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_line 0x0000a8a1 0xe54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_line 0x0000b6f5 0xe2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_line 0x0000c521 0xea6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_line 0x0000d3c7 0xf00 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_line 0x0000e2c7 0x1154 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_line 0x0000f41b 0x12a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_line 0x000106bc 0x1ccd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_line 0x00012389 0x1bc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .debug_line 0x00012545 0x1029 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_line 0x0001356e 0xf37 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_line 0x000144a5 0xe0d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_line 0x000152b2 0xe66 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_line 0x00016118 0x180f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_line 0x00017927 0x11cf /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_line 0x00018af6 0xfb1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_line 0x00019aa7 0x114e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_line 0x0001abf5 0xec1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_line 0x0001bab6 0x2ba /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_line 0x0001bd70 0x3f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .debug_line 0x0001bdaf 0x113 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .debug_line 0x0001bec2 0xcc /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .debug_line 0x0001bf8e 0x1cb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .debug_line 0x0001c159 0x335 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .debug_line 0x0001c48e 0x8c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .debug_line 0x0001c51a 0x8d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .debug_line 0x0001c5a7 0x8d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .debug_line 0x0001c634 0x1ec /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + .debug_line 0x0001c820 0x16c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .debug_line 0x0001c98c 0x124 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .debug_line 0x0001cab0 0x136 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .debug_line 0x0001cbe6 0x106 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .debug_line 0x0001ccec 0x2f0 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_line 0x0001cfdc 0x355 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .debug_line 0x0001d331 0x32d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_line 0x0001d65e 0x18c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .debug_line 0x0001d7ea 0x2e2 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .debug_line 0x0001dacc 0x1da /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .debug_line 0x0001dca6 0x168 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .debug_line 0x0001de0e 0x322 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .debug_line 0x0001e130 0x301 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + +.debug_frame + *(.debug_frame) + +.debug_str + *(.debug_str) + +.debug_loc + *(.debug_loc) + +.debug_macinfo + *(.debug_macinfo) + +.debug_weaknames + *(.debug_weaknames) + +.debug_funcnames + *(.debug_funcnames) + +.debug_typenames + *(.debug_typenames) + +.debug_varnames + *(.debug_varnames) + +.xt.insn + *(.xt.insn) + *(.gnu.linkonce.x.*) + +.xt.prop 0x00000000 0x4fbc + *(.xt.prop) + .xt.prop 0x00000000 0x3c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .xt.prop 0x0000003c 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .xt.prop 0x00000054 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .xt.prop 0x0000006c 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .xt.prop 0x00000084 0xd8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .xt.prop 0x0000015c 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .xt.prop 0x00000174 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .xt.prop 0x0000018c 0x90 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .xt.prop 0x0000021c 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .xt.prop 0x00000234 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .xt.prop 0x0000024c 0x78 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .xt.prop 0x000002c4 0x90 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .xt.prop 0x00000354 0x3c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .xt.prop 0x00000390 0x84 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .xt.prop 0x00000414 0x9c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .xt.prop 0x000004b0 0x9c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .xt.prop 0x0000054c 0x24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .xt.prop 0x00000570 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .xt.prop 0x00000588 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .xt.prop 0x000005a0 0x450 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .xt.prop 0x000009f0 0x84 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .xt.prop 0x00000a74 0x138 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .xt.prop 0x00000bac 0x240 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .xt.prop 0x00000dec 0xf0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .xt.prop 0x00000edc 0x3c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .xt.prop 0x00000f18 0x180 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .xt.prop 0x00001098 0x4f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .xt.prop 0x00001590 0x144 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .xt.prop 0x000016d4 0x3c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .xt.prop 0x00001710 0x114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .xt.prop 0x00001824 0x150 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .xt.prop 0x00001974 0x114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .xt.prop 0x00001a88 0x1a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .xt.prop 0x00001c2c 0x42c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .xt.prop 0x00002058 0x450 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .xt.prop 0x000024a8 0xa50 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .xt.prop 0x00002ef8 0x54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .xt.prop 0x00002f4c 0xe4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .xt.prop 0x00003030 0x1f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .xt.prop 0x00003228 0x9c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .xt.prop 0x000032c4 0xb4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .xt.prop 0x00003378 0x5c4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .xt.prop 0x0000393c 0x33c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .xt.prop 0x00003c78 0xc0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .xt.prop 0x00003d38 0x2a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .xt.prop 0x00003fd8 0x114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .xt.prop 0x000040ec 0x54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .xt.prop 0x00004140 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .xt.prop 0x00004158 0x60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .xt.prop 0x000041b8 0x6c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .xt.prop 0x00004224 0x210 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .xt.prop 0x00004434 0xe4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .xt.prop 0x00004518 0x18 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .xt.prop 0x00004530 0x18 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .xt.prop 0x00004548 0x18 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .xt.prop 0x00004560 0x90 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .xt.prop 0x000045f0 0x6c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .xt.prop 0x0000465c 0x90 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .xt.prop 0x000046ec 0x60 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .xt.prop 0x0000474c 0x3c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .xt.prop 0x00004788 0x198 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .xt.prop 0x00004920 0xa8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .xt.prop 0x000049c8 0xc0 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .xt.prop 0x00004a88 0xfc /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .xt.prop 0x00004b84 0x108 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .xt.prop 0x00004c8c 0xb4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .xt.prop 0x00004d40 0xe4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .xt.prop 0x00004e24 0x198 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + *(.xt.prop.*) + *(.gnu.linkonce.prop.*) + +.xt.lit 0x00000000 0x8 + *(.xt.lit) + .xt.lit 0x00000000 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + *(.xt.lit.*) + *(.gnu.linkonce.p.*) + +.xt.profile_range + *(.xt.profile_range) + *(.gnu.linkonce.profile_range.*) + +.xt.profile_ranges + *(.xt.profile_ranges) + *(.gnu.linkonce.xt.profile_ranges.*) + +.xt.profile_files + *(.xt.profile_files) + *(.gnu.linkonce.xt.profile_files.*) +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o +START GROUP +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a +END GROUP +LOAD /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a +LOAD /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a +LOAD /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a +OUTPUT(rom.asic.out elf32-xtensa-be) + +.xtensa.info 0x00000000 0x1b4 + .xtensa.info 0x00000000 0x1b4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.objdump b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.objdump new file mode 100755 index 0000000..001831c --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.objdump @@ -0,0 +1,107884 @@ + +rom.asic.out: file format elf32-xtensa-be +rom.asic.out +architecture: xtensa, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x008e0000 + +Xtensa header: + +Machine = Base +Insn tables = true +Literal tables = true + +Program Header: + LOAD off 0x00000200 vaddr 0x008e0000 paddr 0x008e0000 align 2**0 + filesz 0x000000de memsz 0x000000de flags r-x + LOAD off 0x000002de vaddr 0x008e0800 paddr 0x008e0800 align 2**0 + filesz 0x0000016a memsz 0x0000016a flags r-x + LOAD off 0x00000448 vaddr 0x008e0a20 paddr 0x008e0a20 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x00000450 vaddr 0x008e0b20 paddr 0x008e0b20 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x00000458 vaddr 0x008e0c20 paddr 0x008e0c20 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x00000460 vaddr 0x008e0ce4 paddr 0x008e0ce4 align 2**0 + filesz 0x00000003 memsz 0x00000003 flags r-x + LOAD off 0x00000464 vaddr 0x008e0d40 paddr 0x008e0d40 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x0000046c vaddr 0x008e0e20 paddr 0x008e0e20 align 2**0 + filesz 0x00000017 memsz 0x00000017 flags r-x + LOAD off 0x00000484 vaddr 0x008e0f20 paddr 0x008e0f20 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x0000048c vaddr 0x008e1000 paddr 0x008e1000 align 2**0 + filesz 0x00005e94 memsz 0x00005e94 flags r-x + LOAD off 0x00006320 vaddr 0x004e8000 paddr 0x004e8000 align 2**0 + filesz 0x00001054 memsz 0x00001054 flags r-x + LOAD off 0x00007370 vaddr 0x00500000 paddr 0x00500000 align 2**0 + filesz 0x00000950 memsz 0x00000ff8 flags rw- + LOAD off 0x00007cc4 vaddr 0x0053f7f0 paddr 0x0053f7f0 align 2**0 + filesz 0x00000000 memsz 0x00000800 flags rw- + LOAD off 0x00007cd0 vaddr 0x004e0100 paddr 0x004e0100 align 2**0 + filesz 0x000000ec memsz 0x000000ec flags r-- + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .lit4 00000718 004e8000 004e8000 00006320 2**2 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 1 .ResetVector.literal 00000000 004e8718 004e8718 00006a38 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 2 .dport0.stack 00000800 0053f7f0 0053f7f0 00007cc4 2**0 + ALLOC + 3 .dport0.usb_in_rom 000000ec 004e0100 004e0100 00007cd0 2**4 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 4 .dport0.data 00000400 00500000 00500004 00007374 2**0 + CONTENTS, ALLOC, LOAD, DATA + 5 .dport0.bss 00000000 00500400 00500400 00007dbc 2**0 + + 6 .dram0.rodata 00000000 004e8718 004e8718 00007dbc 2**0 + CONTENTS + 7 .rodata 00000934 004e8720 004e8720 00006a40 2**4 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 8 .dram0.literal 00000000 004e9054 004e9054 00007dbc 2**0 + CONTENTS + 9 .dram0.data 00000000 00500400 00500400 00007dbc 2**0 + CONTENTS + 10 .data 00000550 00500400 00500400 00007774 2**4 + CONTENTS, ALLOC, LOAD, DATA + 11 .bss 000002a8 00500950 00500950 00007cc4 2**4 + ALLOC + 12 .ResetVector.text 000000de 008e0000 008e0000 00000200 2**4 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 13 .WindowVectors.text 0000016a 008e0800 008e0800 000002de 2**0 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 14 .KernelExceptionVector.literal 00000000 008e0d00 008e0d00 00007dbc 2**0 + CONTENTS + 15 .KernelExceptionVector.text 00000006 008e0d40 008e0d40 00000464 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 16 .UserExceptionVector.literal 00000000 008e0e00 008e0e00 00007dbc 2**0 + CONTENTS + 17 .UserExceptionVector.text 00000017 008e0e20 008e0e20 0000046c 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 18 .DoubleExceptionVector.literal 00000000 008e0f00 008e0f00 00007dbc 2**0 + CONTENTS + 19 .DoubleExceptionVector.text 00000006 008e0f20 008e0f20 00000484 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 20 .Level2InterruptVector.literal 00000000 008e0a00 008e0a00 00007dbc 2**0 + CONTENTS + 21 .Level2InterruptVector.text 00000006 008e0a20 008e0a20 00000448 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 22 .Level3InterruptVector.literal 00000000 008e0b00 008e0b00 00007dbc 2**0 + CONTENTS + 23 .Level3InterruptVector.text 00000006 008e0b20 008e0b20 00000450 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 24 .DebugExceptionVector.literal 00000000 008e0c00 008e0c00 00007dbc 2**0 + CONTENTS + 25 .DebugExceptionVector.text 00000006 008e0c20 008e0c20 00000458 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 26 .NMIExceptionVector.literal 00000000 008e0ce0 008e0ce0 00007dbc 2**0 + CONTENTS + 27 .NMIExceptionVector.text 00000003 008e0ce4 008e0ce4 00000460 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 28 .iram0.text 00000000 008e1000 008e1000 00007dbc 2**0 + CONTENTS + 29 .text 00005e94 008e1000 008e1000 0000048c 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 30 .debug_aranges 00000840 00000000 00000000 00007dc0 2**3 + CONTENTS, READONLY, DEBUGGING + 31 .debug_pubnames 000024ff 00000000 00000000 00008600 2**0 + CONTENTS, READONLY, DEBUGGING + 32 .debug_info 000806eb 00000000 00000000 0000aaff 2**0 + CONTENTS, READONLY, DEBUGGING + 33 .debug_abbrev 0000321a 00000000 00000000 0008b1ea 2**0 + CONTENTS, READONLY, DEBUGGING + 34 .debug_line 0001e431 00000000 00000000 0008e404 2**0 + CONTENTS, READONLY, DEBUGGING + 35 .xt.prop 00004fbc 00000000 00000000 000ac835 2**0 + CONTENTS, READONLY + 36 .xt.lit 00000008 00000000 00000000 000b17f1 2**0 + CONTENTS, READONLY + 37 .xtensa.info 000001b4 00000000 00000000 000b17f9 2**0 + CONTENTS, READONLY +SYMBOL TABLE: +004e8000 l d .lit4 00000000 .lit4 +004e8718 l d .ResetVector.literal 00000000 .ResetVector.literal +0053f7f0 l d .dport0.stack 00000000 .dport0.stack +004e0100 l d .dport0.usb_in_rom 00000000 .dport0.usb_in_rom +00500000 l d .dport0.data 00000000 .dport0.data +00500400 l d .dport0.bss 00000000 .dport0.bss +004e8718 l d .dram0.rodata 00000000 .dram0.rodata +004e8720 l d .rodata 00000000 .rodata +004e9054 l d .dram0.literal 00000000 .dram0.literal +00500400 l d .dram0.data 00000000 .dram0.data +00500400 l d .data 00000000 .data +00500950 l d .bss 00000000 .bss +008e0000 l d .ResetVector.text 00000000 .ResetVector.text +008e0800 l d .WindowVectors.text 00000000 .WindowVectors.text +008e0d00 l d .KernelExceptionVector.literal 00000000 .KernelExceptionVector.literal +008e0d40 l d .KernelExceptionVector.text 00000000 .KernelExceptionVector.text +008e0e00 l d .UserExceptionVector.literal 00000000 .UserExceptionVector.literal +008e0e20 l d .UserExceptionVector.text 00000000 .UserExceptionVector.text +008e0f00 l d .DoubleExceptionVector.literal 00000000 .DoubleExceptionVector.literal +008e0f20 l d .DoubleExceptionVector.text 00000000 .DoubleExceptionVector.text +008e0a00 l d .Level2InterruptVector.literal 00000000 .Level2InterruptVector.literal +008e0a20 l d .Level2InterruptVector.text 00000000 .Level2InterruptVector.text +008e0b00 l d .Level3InterruptVector.literal 00000000 .Level3InterruptVector.literal +008e0b20 l d .Level3InterruptVector.text 00000000 .Level3InterruptVector.text +008e0c00 l d .DebugExceptionVector.literal 00000000 .DebugExceptionVector.literal +008e0c20 l d .DebugExceptionVector.text 00000000 .DebugExceptionVector.text +008e0ce0 l d .NMIExceptionVector.literal 00000000 .NMIExceptionVector.literal +008e0ce4 l d .NMIExceptionVector.text 00000000 .NMIExceptionVector.text +008e1000 l d .iram0.text 00000000 .iram0.text +008e1000 l d .text 00000000 .text +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_pubnames 00000000 .debug_pubnames +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .xt.prop 00000000 .xt.prop +00000000 l d .xt.lit 00000000 .xt.lit +00000000 l d .xtensa.info 00000000 .xtensa.info +00000000 l d *ABS* 00000000 .shstrtab +00000000 l d *ABS* 00000000 .symtab +00000000 l d *ABS* 00000000 .strtab +00000000 l df *ABS* 00000000 crt1-tiny.S +00000000 l df *ABS* 00000000 reset-vector.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +008e00b6 l .ResetVector.text 00000000 unpackdone +008e0094 l .ResetVector.text 00000000 unpack +008e00b0 l .ResetVector.text 00000000 upnext +008e00a0 l .ResetVector.text 00000000 uploop +00000000 l df *ABS* 00000000 user-vector.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 exc-alloca-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 exc-syscall-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000007 l *ABS* 00000000 .Ldelta_done +00000000 l df *ABS* 00000000 int-lowpri-dispatcher.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +008e11ce l .text 00000000 spurious_int +00000000 l df *ABS* 00000000 int-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +008e12d4 l .text 00000000 spurious2int +008e12e8 l .text 00000000 return2from_exc +00000000 l df *ABS* 00000000 int-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +008e1388 l .text 00000000 spurious3int +008e1399 l .text 00000000 return3from_exc +004e8740 l O .rodata 00000010 pattern.init_91_2 +008e1428 l F .text 00000029 athos_linkage_check +008e1474 l F .text 0000005e AR6002_misaligned_load_handler +008e14d4 l F .text 00000071 AR6002_fatal_exception_handler +00500950 l O .bss 00000002 i.1_140_4 +00500974 l O .bss 00000004 last_tick.1_93_1 +008e24e4 l F .text 00000054 _cvt +008e2538 l F .text 00000445 cmnos_vprintf +00500a30 l O .bss 00000004 g_tasklet_ctx_121 +00500a44 l O .bss 00000010 uart_ctl_blk_122 +008e2f1c l F .text 00000040 _uart_hwinit +008e33b0 l F .text 00000012 usbfifo_get_command_buf +008e33c4 l F .text 00000036 usbfifo_recv_command +008e33fc l F .text 0000001e usbfifo_get_event_buf +008e341c l F .text 00000011 usbfifo_send_event_done +008e351c l F .text 00000007 _HIFusb_get_reserved_headroom +008e3524 l F .text 0000002b enable_rx +008e3550 l F .text 0000001e get_queue_from_pipe +008e3884 l F .text 00000028 vUsb_ep0tx +008e38ac l F .text 00000022 vUsb_ep0rx +008e38d0 l F .text 00000081 vUsbClrEPx +008e3954 l F .text 00000034 bGet_status +008e3988 l F .text 00000023 bClear_feature +008e39ac l F .text 000000d0 bSet_feature +008e3a7c l F .text 0000001f bSet_address +008e3a9c l F .text 0000008f bGet_descriptor +008e3b2c l F .text 00000026 bGet_configuration +008e3b54 l F .text 000000a5 bSet_configuration +008e3bfc l F .text 00000045 bGet_interface +008e3c44 l F .text 0000008d bSet_interface +008e3cd4 l F .text 000000b6 vUsbEP0TxData +008e3d8c l F .text 0000007c vUsbEP0RxData +008e3e08 l F .text 0000005b vUsb_SetupDescriptor +008e3e64 l F .text 000000fd bStandardCommand +008e3f64 l F .text 000000f2 VendorCommand +008e4058 l F .text 00000176 vUsb_ep0setup +008e41d0 l F .text 00000081 cFUSB200Init +008e4254 l F .text 0000001a _usbfifo_enable_event_isr +008e4270 l F .text 00000018 _usbfifo_init +008e4288 l F .text 00000068 vUsb_Reg_Out +008e42f0 l F .text 000000a2 vUsb_Status_In +008e4394 l F .text 00000011 zfResetUSBFIFO +008e43a8 l F .text 00000072 zfTurnOffPower +008e441c l F .text 00000042 zfGenWatchDogEvent +008e4460 l F .text 00000008 zfJumpToBootCode +008e4468 l F .text 000000c6 _usb_rom_task +008e4530 l F .text 00000135 _usb_fw_task +008e4668 l F .text 00000104 _usb_clk_init +008e502c l F .text 00000021 adf_nbuf_tailroom +008e5050 l F .text 00000029 adf_nbuf_push_head +008e507c l F .text 0000002b adf_nbuf_put_tail +008e50a8 l F .text 0000002a adf_nbuf_pull_head +008e50d4 l F .text 00000008 adf_nbuf_len +008e50dc l F .text 00000015 adf_nbuf_peek_header +008e50f4 l F .text 00000007 adf_nbuf_get_priv +008e5974 l F .text 0000004b swapData +008e59d8 l F .text 00000078 config_queue +008e5ca8 l F .text 00000047 relinkUSBDescToVdesc +008e5e60 l F .text 00000015 mii_reg_write_32 +00000000 l df *ABS* 00000000 exc-c-wrapper-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S +008e6804 l .text 00000000 __strncpy_aux +00000000 l df *ABS* 00000000 _vectors.S +00000000 l df *ABS* 00000000 debug-vector.S +00000000 l df *ABS* 00000000 double-vector.S +00000000 l df *ABS* 00000000 kernel-vector.S +00000000 l df *ABS* 00000000 nmi-vector.S +00000000 l df *ABS* 00000000 window-vectors.S +00000000 l df *ABS* 00000000 int-vector.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 int-vector.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 interrupt-table.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 exc-unhandled.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 exc-return.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 exc-table.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S +008e6b24 l .text 00000000 __memcpy_aux +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S +008e6e20 l .text 00000000 __memset_aux +00500abc g O .bss 00000002 u16TxRxCounter +008e1cdc g F .text 0000000c cmnos_refclk_speed_get +00000000 *UND* 00000000 _write_usb_desc_to_eeprom +008e235c g F .text 00000051 cmnos_misaligned_load_handler +008e37e8 g F .text 0000009c HIFusb_DescTraceDump +004e87b4 g O .rodata 00000001 Xthal_have_loops +008e6678 g F .text 00000000 strcpy +008e5964 g F .text 00000010 _DMAengine_init_tx_queue +008e1604 g F .text 00000010 athos_interrupt_handler +008e337c g F .text 00000032 hif_pci_api_install +008e6990 g F .text 00000000 xthal_set_intenable +004e87e6 g O .rodata 00000001 Xthal_have_mimic_cacheattr +004e87e8 g O .rodata 00000001 Xthal_mmu_rings +004e87b3 g O .rodata 00000001 Xthal_have_density +00500b4d g O .bss 00000001 Xthal_have_clamps +008e1ce8 g F .text 00000005 cmnos_uart_frequency +00500ad0 g O .bss 00000002 u8UsbInterfaceValue +008e31e8 g F .text 00000028 __pci_reap_recv +008e29bc g F .text 0000000f cmnos_printf_module_install +008e2fd4 g F .text 00000014 __pci_get_tx_eng +008e21b8 g F .text 0000003e cmnos_intr_mask_inum +004e9054 g *ABS* 00000000 _bss_table_end +00000000 *UND* 00000000 __adf_net_register_drv +008e0000 g .ResetVector.text 00000000 _ResetVector +fff00ff0 g *ABS* 00000000 _memmap_cacheattr_unused_mask +008e56f4 g F .text 00000086 HTCControlSvcProcessMsg +008e0b26 g *ABS* 00000000 _Level3InterruptVector_text_end +004e8720 g O .rodata 00000018 _rom_store_table +00500b70 g O .bss 00000001 Xthal_num_xlmi +008e2298 g F .text 0000005f cmnos_intr_invoke_isr +004e87a8 g O .rodata 00000004 Xthal_rev_no +004e87b1 g O .rodata 00000001 Xthal_memory_order +008e2a50 g F .text 0000003c cmnos_romp_decode +00500000 g .dport0.data 00000000 _indir_tbl +008e1e24 g F .text 00000037 cmnos_eep_is_exist +00500b48 g O .bss 00000004 Xthal_release_internal +004e8834 g O .rodata 00000004 Xthal_xlmi_size +008e4f4c g F .text 00000014 _vbuf_free_vbuf +008e6264 g F .text 00000023 buf_pool_module_install +00500b6a g O .bss 00000001 Xthal_itlb_way_bits +004e8718 g *ABS* 00000000 _lit4_end +00500a2c g O .bss 00000004 patch_start +008e1cf0 g F .text 00000005 cmnos_sysclk_change +00021001 g *ABS* 00000000 _memmap_cacheattr_wt_base +008e24b8 g F .text 0000002a cmnos_write_char +008e0c00 g *ABS* 00000000 _DebugExceptionVector_literal_end +00500ae0 g O .bss 00000004 u8String10Descriptor +008e6c5c g F .text 000001c2 memmove +008e6e94 g *ABS* 00000000 _text_end +004e87bc g O .rodata 00000001 Xthal_have_highlevel_interrupts +008e367c g F .text 0000002a _HIFusb_return_recv_buf +008e4d7c g F .text 00000029 __dma_lib_rx_init +008e18c8 g F .text 00000033 turn_off_rc +008e0f26 g *ABS* 00000000 _DoubleExceptionVector_text_end +004e87dc g O .rodata 00000004 Xthal_hw_min_version_major +008e36c0 g F .text 00000010 _HIFusb_is_pipe_supported +004e8000 g *ABS* 00000000 _lit4_start +00500b6b g O .bss 00000001 Xthal_itlb_arf_ways +004e9054 g *ABS* 00000000 _dram0_literal_end +004e8840 g O .rodata 00000020 Xthal_intlevel +00500ad4 g O .bss 00000002 u16FirmwareComplete +00000000 g *ABS* 00000000 _xtos_vectors_ref_ +008e167c g F .text 00000032 athos_init +008e57e4 g F .text 0000002d AdjustCreditThreshold +00500700 g O .data 00000004 _putc +008e247c g F .text 0000000b cmnos_rom_version_get +004e9054 g *ABS* 00000000 _dram0_literal_start +00500a38 g O .bss 0000000c wdt_ctrl +008e2354 g F .text 00000005 cmnos_mac_reset +004e87b9 g O .rodata 00000001 Xthal_have_exceptions +008e6e94 g .text 00000000 _etext +008e4f60 g F .text 00000014 vbuf_module_install +00000000 *UND* 00000000 wlan_pci_register_drv +004e87d4 g O .rodata 00000004 Xthal_hw_release_major +008e2d90 g F .text 00000028 cmnos_wdt_init +00500b44 g O .bss 00000004 Xthal_release_minor +004e87fc g O .rodata 00000004 Xthal_instrom_vaddr +00500708 g O .data 0000000c pci_sc +004e8804 g O .rodata 00000004 Xthal_instrom_size +008e4848 g F .text 0000000f usbfifo_module_install +008e300c g F .text 00000016 __pci_enable +008e5114 g F .text 000000e0 _HTC_Init +008e0ce4 g *ABS* 00000000 _NMIExceptionVector_text_start +004e8830 g O .rodata 00000004 Xthal_xlmi_paddr +008e3430 g F .text 00000053 _HIFusb_init +004e0100 g O .dport0.usb_in_rom 00000012 UsbDeviceDescriptor +00500954 g O .bss 00000004 allocram_current_addr +008e2d74 g F .text 0000001c cmnos_wdt_disable +008e6b64 g F .text 00000000 memcpy +008e5eb0 g F .text 00000018 fwd_retbuf_handler +00000000 *UND* 00000000 _need_user_vector_ +008e0e37 g *ABS* 00000000 _UserExceptionVector_text_end +008e6920 g F .text 00000000 _xtos_unhandled_interrupt +004e87e9 g O .rodata 00000001 Xthal_mmu_ca_bits +00500b64 g O .bss 00000001 Xthal_have_xlt_cacheattr +004e9054 g *ABS* 00000000 _rodata_end +00500b50 g O .bss 00000001 Xthal_have_speculation +008e4f2c g F .text 0000001e _vbuf_alloc_vbuf +008e2b50 g F .text 0000000c cmnos_tasklet_init +008e5924 g F .text 00000040 _DMAengine_init_rx_queue +00500b04 g O .bss 00000008 g_vdescCtx +008e32f8 g F .text 0000000e __pci_is_pipe_supported +008e615c g F .text 0000003d magpie_mdio_copy_bytes +004e87e7 g O .rodata 00000001 Xthal_have_tlbs +008e0ce7 g *ABS* 00000000 _NMIExceptionVector_text_end +00500ad8 g O .bss 00000004 u8UsbDeviceDescriptor +008e0900 g .WindowVectors.text 00000000 _WindowOverflow12 +008e0c20 g *ABS* 00000000 _DebugExceptionVector_text_start +00500970 g O .bss 00000004 pll_ctrl_setting_5ghz +008e6960 g F .text 00000000 xthal_set_ccompare +008e2fe8 g F .text 00000023 __pci_get_rx_eng +008e6a4c g F .text 00000050 __udivsi3 +008e2e74 g F .text 0000002c _uart_char_put_nowait +004e8800 g O .rodata 00000004 Xthal_instrom_paddr +008e2fa0 g F .text 0000000a __pci_reg_write +008e16e8 g F .text 000000a3 read_usb_conf +008e0800 g *ABS* 00000000 _WindowVectors_text_start +008e520c g F .text 00000052 _HTC_Ready +008e2c04 g F .text 0000000f cmnos_timer_setfn +008e2dd0 g F .text 00000028 cmnos_wdt_module_install +008e4858 g F .text 0000009d cmnos_usb_module_install +00500950 g *ABS* 00000000 _bss_start +008e6928 g .text 00000000 _xtos_unhandled_exception +00500400 g *ABS* 00000000 _dram0_data_end +00500b67 g O .bss 00000001 Xthal_mmu_asid_kernel +008e5260 g F .text 0000007d ReturnBuffers +008e63ac g .text 00000000 _xtos_cause3_handler +00500780 g O .data 0000003c u8OtherSpeedConfigDescriptorEX +004e87b2 g O .rodata 00000001 Xthal_have_windowed +00500ad2 g O .bss 00000002 u8UsbInterfaceAlternateSetting +00500950 g *ABS* 00000000 __XT_EXCEPTION_DESCS__ +008e22f8 g F .text 0000001e cmnos_intr_module_install +008e2188 g F .text 00000005 cmnos_intr_dummy +008e2ed4 g F .text 0000001d _uart_str_out +22221221 g *ABS* 00000000 _memmap_cacheattr_wb_allvalid +00500a54 g O .bss 00000044 g_hifUSBCtx +008e2c58 g F .text 00000030 cmnos_timer_disarm +008e1c98 g F .text 00000014 cmnos_allocram_module_install +008e2b88 g F .text 00000031 cmnos_tasklet_disable +008e178c g F .text 000000d2 set_pci_conf +008e3308 g F .text 0000000e __pci_get_max_msg_len +00000000 *UND* 00000000 send_buffer_via_dma +008e1000 g *ABS* 00000000 _text_start +00500958 g O .bss 00000004 allocram_remaining_bytes +008e4eb8 g F .text 00000037 dma_lib_module_install +008e1614 g F .text 00000066 athos_interrupt_init +008e2e40 g F .text 00000007 _uart_char_get_nothing +008e2340 g F .text 00000011 cmnos_system_reset +00021001 g *ABS* 00000000 _memmap_cacheattr_wb_base +008e5328 g F .text 0000015a _HTC_SendMsg +008e0b20 g *ABS* 00000000 _Level3InterruptVector_text_start +008e4fb0 g F .text 00000018 _vdesc_alloc_desc +008e2b08 g F .text 00000019 cmnos_romp_module_install +00500b69 g O .bss 00000001 Xthal_mmu_sr_bits +008e2ce4 g F .text 0000002b cmnos_wdt_last_boot +008e4e50 g F .text 0000001b __dma_reap_recv +008e2a9c g F .text 00000061 cmnos_romp_download +005007c0 g O .data 00000090 eng_q +004e87f9 g O .rodata 00000001 Xthal_num_ccompare +008e0ce0 g *ABS* 00000000 _NMIExceptionVector_literal_end +008e1548 g F .text 0000002b generic_hif_module_install +fff22ff2 g *ABS* 00000000 _memmap_cacheattr_bp_strict +0050096c g O .bss 00000004 pll_ctrl_setting_24ghz +008e591c g F .text 00000005 _DMAengine_init +008e3210 g F .text 0000009f __pci_isr_handler +008e4934 g F .text 0000001e mUsbFIFOConfig +008e5d2c g F .text 00000092 zfDmaReclaimPacket +22221221 g *ABS* 00000000 _memmap_cacheattr_wt_trapnull +008e36e4 g F .text 000000ad _HIFusb_isr_handler +008e62a8 g F .text 00000055 _buf_pool_static_create_pool +008e0d40 g .KernelExceptionVector.text 00000000 _KernelExceptionVector +00500964 g O .bss 00000004 clock_info +008e2b00 g F .text 00000005 cmnos_romp_init +008e0e00 g *ABS* 00000000 _UserExceptionVector_literal_start +008e16b0 g F .text 00000036 _read_usb_desc +008e0800 g .WindowVectors.text 00000000 _WindowOverflow4 +008e4cb8 g F .text 0000000a __dma_reg_write +00000000 g *ABS* 00000000 xthals_release_minor +008e32b0 g F .text 0000002a __pci_xmit_buf +00500400 g .data 00000000 _xtos_exc_handler_table +008e0d40 g *ABS* 00000000 _KernelExceptionVector_text_start +008e3110 g F .text 00000053 __pci_init +00500640 g O .data 000000b8 basic_ROM_module_table +008e5ffc g F .text 000000c2 magpie_mdio_boot_init +008e2e48 g F .text 0000002c _uart_char_put +00500b78 g *ABS* 00000000 _bss_end +008e13f8 g F .text 00000030 Magpie_fatal_exception_handler +008e6428 g .text 00000000 xthal_window_spill_nw +008e1240 g .text 00000000 _Level2FromVector +008e62a0 g F .text 00000005 _buf_pool_static_shutdown +00500b18 g O .bss 0000002c g_poolCtx +008e0840 g .WindowVectors.text 00000000 _WindowUnderflow4 +00500978 g O .bss 00000002 eep_state +004e87b0 g O .rodata 00000001 Xthal_num_aregs_log2 +008e4da8 g F .text 00000028 __dma_hard_xmit +00500500 g O .data 00000004 _xtos_enabled +00500500 g .data 00000000 _xtos_intstruct +004e8828 g O .rodata 00000004 Xthal_dataram_size +008e567c g F .text 00000075 HTCProcessConfigPipeMsg +008e6300 g F .text 00000022 _buf_pool_static_alloc_buf +008e096a g *ABS* 00000000 _WindowVectors_text_end +00500aa4 g O .bss 00000010 usbFifoConf +004e8824 g O .rodata 00000004 Xthal_dataram_paddr +00500ab8 g O .bss 00000004 pu8DescriptorEX +00500400 g *ABS* 00000000 _dram0_data_start +008e2ec0 g F .text 00000005 _uart_task +004e0100 g .dport0.usb_in_rom 00000000 _reserved_rom_data_end +004e87a0 g O .rodata 00000004 Xthal_release_major +008e0940 g .WindowVectors.text 00000000 _WindowUnderflow12 +008e3328 g F .text 0000000d __pci_get_def_pipe +22222222 g *ABS* 00000000 _memmap_cacheattr_bp_allvalid +008e1174 g .text 00000000 _xtos_l1int_handler +004e8820 g O .rodata 00000004 Xthal_dataram_vaddr +004e87e0 g O .rodata 00000004 Xthal_hw_max_version_major +004e87f6 g O .rodata 00000001 Xthal_num_instram +22221221 g *ABS* 00000000 _memmap_cacheattr_wt_allvalid +008e6970 g F .text 00000000 xthal_get_ccompare +008e5494 g F .text 00000013 _HTC_GetReservedHeadroom +004e01c0 g O .dport0.usb_in_rom 00000018 String20Descriptor +008e1da0 g F .text 00000057 cmnos_eeprom_write_hword +00500950 g *ABS* 00000000 __XT_EXCEPTION_DESCS_END__ +00500b65 g O .bss 00000001 Xthal_have_cacheattr +004e87f5 g O .rodata 00000001 Xthal_dtlb_ways +008e21f8 g F .text 00000039 cmnos_intr_unmask_inum +00500704 g O .data 00000004 patch_addr +008e1d00 g F .text 00000005 cmnos_wlan_band_set +00500ac8 g O .bss 00000004 eUsbCxCommand +00000000 *UND* 00000000 WMI_service_module_install +008e6324 g F .text 00000010 _buf_pool_static_alloc_buf_align +008e6a9c g F .text 0000003d __umodsi3 +00500b6d g O .bss 00000001 Xthal_dtlb_arf_ways +004e01b0 g O .dport0.usb_in_rom 00000010 String10Descriptor +00500400 g *ABS* 00000000 _dport0_data_start +008e29e4 g F .text 00000069 _read_rom_patch +008e5a50 g F .text 00000057 _DMAengine_config_rx_queue +00500af0 g O .bss 00000006 UsbStatus +004e0120 g O .dport0.usb_in_rom 0000003c u8HSConfigDescriptor01 +008e6958 g F .text 00000000 xthal_get_ccount +008e6e94 g .text 00000000 _text_end_magic +008e2318 g F .text 00000005 cmnos_mem_init +0053f7f0 g .dport0.stack 00000000 _stack_sentry +008e2c14 g F .text 00000042 cmnos_timer_arm +008e5c58 g F .text 00000050 dma_engine_module_install +008e63d4 g .text 00000000 _GeneralException +008e2980 g F .text 00000033 cmnos_printf +008e619c g F .text 0000001f fw_compute_cksum +008e216c g F .text 00000019 cmnos_eep_module_install +0d02230f g *ABS* 00000000 xthals_hw_configid1 +008e6428 g .text 00000000 xthal_spill_registers_into_stack_nw +00000000 *UND* 00000000 hif_module_install +00500b6c g O .bss 00000001 Xthal_dtlb_way_bits +008e57bc g F .text 00000027 HTCSendDoneHandler +008e5b70 g F .text 0000001e _DMAengine_has_compl_packets +008e31a8 g F .text 00000014 __pci_reg_callback +008e497c g F .text 00000024 mUsbEPMxPtSzLow +004e87e4 g O .rodata 00000001 Xthal_have_spanning_way +008e5524 g F .text 0000005e HTCCheckAndSendCreditReport +008e1000 g .text 00000000 _start +008e00de g *ABS* 00000000 _ResetVector_text_end +00500a98 g O .bss 0000000c ControlCmd +00500400 g *ABS* 00000000 _data_start +008e6950 g F .text 00000000 _xtos_p_none +00500ace g O .bss 00000002 u8UsbConfigValue +00500980 g O .bss 00000004 cmnos_enabled_interrupts +008e2ec8 g F .text 0000000a _uart_status +008e2db8 g F .text 00000018 cmnos_wdt_task +008e5484 g F .text 00000005 _HTC_PauseRecv +008e1d44 g F .text 00000026 cmnos_tick +008e245c g F .text 0000001f cmnos_is_host_present +004e881c g O .rodata 00000004 Xthal_datarom_size +004e87b8 g O .rodata 00000001 Xthal_have_mul16 +008e1df8 g F .text 0000002b cmnos_eeprom_read_hword +008e48f8 g F .text 0000001c mUsbEPMap +00500b60 g O .bss 00000004 Xthal_hw_release_internal +00000000 *UND* 00000000 __adf_os_setup_intr +004e87c0 g O .rodata 00000001 Xthal_have_s32c1i +008e4fc8 g F .text 00000008 _vdesc_get_hw_desc +008e6774 g F .text 0000008e strncmp +008e0b00 g *ABS* 00000000 _Level3InterruptVector_literal_end +004e87f4 g O .rodata 00000001 Xthal_itlb_ways +008e1d08 g F .text 00000005 cmnos_pll_init +008e2b2c g F .text 00000023 cmnos_string_module_install +008e2d2c g F .text 00000028 cmnos_wdt_set +008e0d00 g *ABS* 00000000 _KernelExceptionVector_literal_end +008e1ebc g F .text 00000045 cmnos_eep_read +004e87d0 g O .rodata 00000004 Xthal_hw_configid1 +008e683c g F .text 00000000 strncpy +008e0800 g *ABS* 00000000 _memmap_vecbase_reset +008e0a20 g .Level2InterruptVector.text 00000000 _Level2Vector +008e1cac g F .text 00000020 cmnos_delay_us +004e87bb g O .rodata 00000001 Xthal_have_interrupts +00500b0c g O .bss 0000000c fwd_sc +008e1cf8 g F .text 00000005 cmnos_clockregs_init +008e0f20 g *ABS* 00000000 _DoubleExceptionVector_text_start +008e6334 g F .text 00000013 _buf_pool_static_free_buf +008e1468 g F .text 0000000a athos_restore_intrlvl +fff21ff1 g *ABS* 00000000 _memmap_cacheattr_wb_strict +008e18fc g F .text 00000318 bootentry +008e12f4 g .text 00000000 _Level3FromVector +004e87bf g O .rodata 00000001 Xthal_have_release_sync +008e6348 g F .text 00000061 _xtos_set_exception_handler +004e87d8 g O .rodata 00000004 Xthal_hw_release_name +005006fc g O .data 00000004 assprint +0050095c g O .bss 00000004 cticks +008e2b6c g F .text 00000019 cmnos_tasklet_schedule +008e2df8 g F .text 0000003e _uart_init +00500400 g .dport0.data 00000000 _reserved_data_end +008e5010 g F .text 00000019 vdesc_module_install +008e0f00 g *ABS* 00000000 _DoubleExceptionVector_literal_start +008e1ccc g F .text 0000000d cmnos_milliseconds +008e3338 g F .text 00000041 hif_pci_module_install +008e6adc g F .text 00000045 memcmp +008e2f5c g F .text 00000037 cmnos_uart_module_install +008e2ea0 g F .text 00000020 _uart_char_get +00500b58 g O .bss 00000004 Xthal_hw_min_version_minor +00500950 g *ABS* 00000000 _data_end +008e4e28 g F .text 00000026 __dma_xmit_done +008e102c g .text 00000000 _xtos_alloca_handler +008e1000 g *ABS* 00000000 _iram0_text_end +004e880c g O .rodata 00000004 Xthal_instram_paddr +004e8718 g *ABS* 00000000 _ResetVector_literal_end +008e5b68 g F .text 00000005 _DMAengine_flush_xmit +008e2cc4 g F .text 0000001e cmnos_timer_module_install +004e9044 g *ABS* 00000000 __XT_EXCEPTION_TABLE__ +008e11e4 g F .text 0000004c _xtos_set_interrupt_handler_arg +004e87c2 g O .rodata 00000001 Xthal_have_pif +004e87b6 g O .rodata 00000001 Xthal_have_minmax +008e5b90 g F .text 0000002f _DMAengine_reap_recv_buf +008e5cf0 g F .text 00000039 zfDmaGetPacket +008e3164 g F .text 0000003a __pci_cfg_pipe +008e4b7c g F .text 0000012f vUsbFIFO_EPxCfg_FS +00500ae4 g O .bss 00000004 u8String20Descriptor +00500508 g .data 00000000 _xtos_interrupt_table +00500b4f g O .bss 00000001 Xthal_have_fp +008e61bc g F .text 000000a8 mdio_get_fw_image +008e2be4 g F .text 0000001e cmnos_tasklet_module_install +008e2bbc g F .text 00000028 cmnos_tasklet_run +008e6288 g F .text 00000016 _buf_pool_static_init +008e5ec8 g F .text 0000002e fwd_tgt_process_last +008e0020 g .ResetVector.text 00000000 _ResetHandler +fff21ff1 g *ABS* 00000000 _memmap_cacheattr_wt_strict +008e6e48 g F .text 00000000 memset +008e63b4 g .text 00000000 _xtos_c_wrapper_handler +008e1c14 g F .text 0000001e main +00500b68 g O .bss 00000001 Xthal_mmu_ring_bits +008e5ef8 g F .text 000000df fwd_tgt_recv +008e5bc0 g F .text 00000036 _DMAengine_reap_xmited_buf +008e5bf8 g F .text 0000005e _DMAengine_desc_dump +008e51f4 g F .text 00000005 _HTC_Shutdown +004e0100 g .dport0.usb_in_rom 00000000 _reserved_rom_data_start +008e4cc4 g F .text 00000020 __dma_set_base +005005a0 g .data 00000000 _xtos_interrupt_mask_table +008e242c g F .text 0000002d cmnos_get_kbhit +008e0a20 g *ABS* 00000000 _Level2InterruptVector_text_start +008e0c20 g .DebugExceptionVector.text 00000000 _DebugExceptionVector +00500ae8 g O .bss 00000004 u8String30Descriptor +008e1d6c g F .text 00000032 cmnos_clock_module_install +008e6114 g F .text 00000045 mdio_read_block +008e0ce4 g .NMIExceptionVector.text 00000000 _NMIExceptionVector +008e2fac g F .text 00000027 __pci_get_pipe +00500ac4 g O .bss 00000004 eUsbCxFinishAction +004e87ac g O .rodata 00000004 Xthal_num_aregs +004e87bd g O .rodata 00000001 Xthal_have_nmi +008e5dc0 g F .text 0000009f zfDmaPutPacket +008e3318 g F .text 00000007 __pci_get_reserved_headroom +008e54ec g F .text 00000015 HTCFreeMsgBuffer +008e4d2c g F .text 0000004d __dma_lib_rx_config +004e87c8 g O .rodata 00000004 Xthal_build_unique_id +004e8814 g O .rodata 00000004 Xthal_datarom_vaddr +00500850 g .data 00000000 _xtos_c_handler_table +008e189c g F .text 0000002c pci_gmac_bootload +00500504 g O .data 00000004 _xtos_vpri_enabled +008e0b20 g .Level3InterruptVector.text 00000000 _Level3Vector +008e0d46 g *ABS* 00000000 _KernelExceptionVector_text_end +008e6998 g F .text 00000068 __divsi3 +008e0f00 g *ABS* 00000000 _DoubleExceptionVector_literal_end +008e1104 g .text 00000000 _xtos_syscall_handler +008e1192 g .text 00000000 _LevelOneInterrupt +008e36d0 g F .text 00000012 _HIFusb_get_max_msg_len +008e6560 g F .text 00000000 strcmp +0053f7f0 g .dport0.stack 00000000 _reserved_stack_start +004e8818 g O .rodata 00000004 Xthal_datarom_paddr +008e2c94 g F .text 0000002d cmnos_timer_handler +004e8718 g *ABS* 00000000 _dram0_rodata_start +004e87e5 g O .rodata 00000001 Xthal_have_identity_map +008e36b0 g F .text 00000005 _HIFusb_pause_recv +0053fff0 g .dport0.stack 00000000 _reserved_stack_end +008e30d8 g F .text 00000035 __pci_boot_init +008e3570 g F .text 000000ab _HIFusb_config_pipe +008e31bc g F .text 0000002c __pci_reap_xmitted +004e8718 g *ABS* 00000000 _ResetVector_literal_start +008e2d10 g F .text 0000001a cmnos_wdt_reset +008e36a8 g F .text 00000005 _HIFusb_set_recv_bufsz +00500b4e g O .bss 00000001 Xthal_have_mac16 +008e2488 g F .text 0000002d cmnos_misc_module_install +008e0e00 g *ABS* 00000000 _UserExceptionVector_literal_end +004e0260 g .dport0.usb_in_rom 00000000 _data_start_in_rom +008e5318 g F .text 00000010 _HTC_ReturnBuffers +008e1574 g F .text 0000008f athos_indirection_table_install +005006f8 g O .data 00000004 assloop +008e6980 g F .text 00000000 xthal_get_intenable +004e87ba g O .rodata 00000001 Xthal_xea_version +00500a28 g O .bss 00000004 current_dump +008e4ce4 g F .text 00000048 __dma_lib_tx_init +004e87ec g O .rodata 00000004 Xthal_mmu_max_pte_page_size +008e32dc g F .text 0000001b __pci_return_recv +008e2b5c g F .text 0000000f cmnos_tasklet_init_task +008e0c00 g *ABS* 00000000 _DebugExceptionVector_literal_start +00500990 g O .bss 00000098 cmnos_isr_info +008e4954 g F .text 00000027 mUsbEPMxPtSzHigh +008e49ec g F .text 00000190 vUsbFIFO_EPxCfg_HS +008e0ce0 g *ABS* 00000000 _NMIExceptionVector_literal_start +008e1f04 g F .text 00000268 cmnos_eep_init +00500ac0 g O .bss 00000004 u8ConfigDescriptorEX +004e8750 g O .rodata 00000050 cmnos_clocking_table +008e0e20 g *ABS* 00000000 _UserExceptionVector_text_start +00500acc g O .bss 00000002 UsbChirpFinish +008e581c g F .text 000000ff HTCMsgRecvHandler +008e37a4 g F .text 00000041 hif_usb_module_install +00500b4c g O .bss 00000001 Xthal_have_booleans +008e1860 g F .text 0000003b bootload +00500000 g .dport0.data 00000000 _reserved_data_start +008e3320 g F .text 00000005 __pci_shutdown +22221221 g *ABS* 00000000 _memmap_cacheattr_wb_trapnull +008e348c g F .text 00000014 _HIFusb_register_callback +008e6938 g .text 00000000 _xtos_return_from_exc +008e4e6c g F .text 00000028 __dma_return_recv +008e4914 g F .text 0000001e mUsbFIFOMap +004e9044 g *ABS* 00000000 _bss_table_start +008e0a00 g *ABS* 00000000 _Level2InterruptVector_literal_end +00500b66 g O .bss 00000001 Xthal_mmu_asid_bits +008e12f1 g .text 00000000 _Level2HandlerLabel +008e23b0 g F .text 0000006c cmnos_assfail +008e29cc g F .text 00000018 _patch_dump +004e87be g O .rodata 00000001 Xthal_have_prid +008e4cac g F .text 0000000a __dma_reg_read +004e87f0 g O .rodata 00000004 Xthal_mmu_min_pte_page_size +008e13a2 g .text 00000000 _Level3HandlerLabel +008e3484 g F .text 00000005 _HIFusb_shutdown +008e2c88 g F .text 0000000c cmnos_timer_init +008e4dd0 g F .text 0000001b __dma_reap_xmitted +008e2a8c g F .text 0000000d cmnos_romp_install +008e3794 g F .text 0000000f _HIFusb_get_default_pipe +004e8810 g O .rodata 00000004 Xthal_instram_size +00500b6e g O .bss 00000001 Xthal_num_instrom +c280dbff g *ABS* 00000000 xthals_hw_configid0 +008e54a8 g F .text 00000041 htc_module_install +00500400 g *ABS* 00000000 _dport0_data_end +0053fff0 g .dport0.stack 00000000 __stack +008e241c g F .text 00000005 cmnos_report_failure_to_host +008e2190 g F .text 00000026 cmnos_intr_init +008e0b00 g *ABS* 00000000 _Level3InterruptVector_literal_start +00500af8 g O .bss 0000000c g_vbufCtx +008e2f94 g F .text 0000000a __pci_reg_read +008e0000 g *ABS* 00000000 _ResetVector_text_start +004e8720 g *ABS* 00000000 _rodata_start +008e0f20 g .DoubleExceptionVector.text 00000000 _DoubleExceptionVector +008e60c0 g F .text 00000039 magpie_mdio_wait_for_lock +00500bf8 g .bss 00000000 _end +008e34a0 g F .text 0000007a _HIFusb_start +008e2424 g F .text 00000005 cmnos_target_id_get +00500720 g O .data 00000006 TestPatn0 +008e13a4 g F .text 00000053 post +008e4dec g F .text 00000039 __dma_flush_xmit +008e4f74 g F .text 0000003b _vdesc_init +00500b54 g O .bss 00000004 Xthal_hw_release_minor +008e5fd8 g F .text 00000022 magpie_mdio_module_install +008e1000 g *ABS* 00000000 _iram0_text_start +008e5e78 g F .text 00000038 fwd_init +008e577c g F .text 0000003f HTCControlSvcProcessSendComplete +008e1c44 g F .text 00000030 cmnos_allocram +008e0880 g .WindowVectors.text 00000000 _WindowOverflow8 +008e1230 g F .text 00000010 _xtos_set_interrupt_handler +008e59c0 g F .text 00000017 _DMAengine_return_recv_buf +008e5504 g F .text 0000001f HTCAllocMsgBuffer +008e51fc g F .text 0000000d _HTC_RegisterService +004e882c g O .rodata 00000004 Xthal_xlmi_vaddr +008e361c g F .text 0000005e _HIFusb_send_buffer +00500ab4 g O .bss 00000004 fwCheckSum +008e29b4 g F .text 00000005 cmnos_printf_init +008e5584 g F .text 000000f8 HTCProcessConnectMsg +008e6988 g F .text 00000000 xthal_get_interrupt +008e2320 g F .text 0000001e cmnos_mem_module_install +008e2234 g F .text 00000064 cmnos_intr_attach_isr +004e87b5 g O .rodata 00000001 Xthal_have_nsa +008e653c g F .text 00000000 xthal_window_spill +00500b5c g O .bss 00000004 Xthal_hw_max_version_minor +004e87f8 g O .rodata 00000001 Xthal_have_ccount +004e87cc g O .rodata 00000004 Xthal_hw_configid0 +008e6a00 g F .text 00000049 __modsi3 +008e1c74 g F .text 00000021 cmnos_allocram_debug +004e87c4 g O .rodata 00000002 Xthal_num_writebuffer_entries +008e36b8 g F .text 00000005 _HIFusb_resume_recv +0050097a g O .bss 00000002 eep_exist +004e0160 g O .dport0.usb_in_rom 0000003c u8FSConfigDescriptor01 +22221221 g *ABS* 00000000 _memmap_cacheattr_reset +00000000 *UND* 00000000 download_write_flag +004e87a4 g O .rodata 00000004 Xthal_release_name +22222222 g *ABS* 00000000 _memmap_cacheattr_bp_trapnull +008e0c26 g *ABS* 00000000 _DebugExceptionVector_text_end +008e08c0 g .WindowVectors.text 00000000 _WindowUnderflow8 +008e0a26 g *ABS* 00000000 _Level2InterruptVector_text_end +008e50fc g F .text 00000017 HTC_AssembleBuffers +008e1c34 g F .text 00000010 cmnos_allocram_init +008e548c g F .text 00000005 _HTC_ResumeRecv +008e6988 g F .text 00000000 xthal_get_intread +008e0e20 g .UserExceptionVector.text 00000000 _UserExceptionVector +008e31a0 g F .text 00000005 __pci_start +00500b6f g O .bss 00000001 Xthal_num_datarom +008e1000 g .text 00000000 _stext +008e1d10 g F .text 00000033 cmnos_clock_init +008e6710 g F .text 00000000 strlen +008e5aa8 g F .text 000000be _DMAengine_xmit_buf +008e4ef0 g F .text 0000003b _vbuf_init +00500968 g O .bss 00000004 cmnos_skip_pll_init +008e2b24 g F .text 00000005 cmnos_string_init +008e60fc g F .text 00000016 magpie_mdio_release_lock +00500adc g O .bss 00000004 u8String00Descriptor +008e1454 g F .text 0000000b athos_block_all_intrlvl +008e52e0 g F .text 00000037 _HTC_ReturnBuffersList +00500a34 g O .bss 00000004 timer_list +00022002 g *ABS* 00000000 _memmap_cacheattr_bp_base +008e476c g F .text 000000d9 _usb_init +008e49a0 g F .text 0000004a mUsbEPinHighBandSet +004e01a0 g O .dport0.usb_in_rom 00000004 String00Descriptor +008e0d00 g *ABS* 00000000 _KernelExceptionVector_literal_start +004e8718 g *ABS* 00000000 _dram0_rodata_end +00500400 g *ABS* 00000000 _dport0_bss_start +004e8808 g O .rodata 00000004 Xthal_instram_vaddr +008e3024 g F .text 000000b4 __pci_reset +008e1460 g F .text 00000008 athos_unblock_all_intrlvl +008e5814 g F .text 00000005 RedistributeCredit +00500400 g *ABS* 00000000 _dport0_bss_end +004e87f7 g O .rodata 00000001 Xthal_num_dataram +00500730 g O .data 00000034 TestPatn1 +008e1e5c g F .text 00000060 cmnos_eep_write +008e0a00 g *ABS* 00000000 _Level2InterruptVector_literal_start +00500960 g O .bss 00000004 curr_band +00001b62 g *ABS* 00000000 xthals_release_major +008e2d54 g F .text 0000001e cmnos_wdt_enable +004e01e0 g O .dport0.usb_in_rom 0000000c String30Descriptor +008e4e94 g F .text 00000023 __dma_recv_pkt +008e1143 g .text 00000000 _SyscallException +008e2e38 g F .text 00000005 _uart_char_put_nothing +00500770 g O .data 0000000a u8DeviceQualifierDescriptorEX +004e87c1 g O .rodata 00000001 Xthal_have_threadptr +008e2ef4 g F .text 00000027 _uart_config +008e4fd0 g F .text 0000003d _vdesc_swap_vdesc +004e87b7 g O .rodata 00000001 Xthal_have_sext + + +Contents of section .lit4: + 4e8000 0053fff0 00040020 00500950 00500b78 .S..... .P.P.P.x + 4e8010 008e1240 008e12f4 00500400 008e1074 ...@.....P.....t + 4e8020 00050023 80000007 c0000000 00500598 ...#.........P.. + 4e8030 008e6938 004e8840 00500508 008e6920 ..i8.N.@.P....i + 4e8040 00007ffe 008e12e8 00038000 008e1399 ................ + 4e8050 004e8740 0053fe00 00520e00 40000000 .N.@.S...R..@... + 4e8060 00500000 004e8860 004e886c 004e88a8 .P...N.`.N.l.N.. + 4e8070 004e88b0 004e88c4 004e8908 004e8938 .N...N...N...N.8 + 4e8080 00500640 005006f8 00500100 008e6980 .P.@.P...P....i. + 4e8090 008e6990 008e6988 008e1460 008e1454 ..i...i....`...T + 4e80a0 008e1468 008e1428 008e1474 008e14d4 ...h...(...t.... + 4e80b0 008e1604 02625a00 004e8954 004e8968 .....bZ..N.T.N.h + 4e80c0 41544852 10000000 00500b7c 00500b9c ATHR.....P.|.P.. + 4e80d0 00500ba8 00500bc0 00500be0 0004fe00 .P...P...P...... + 4e80e0 0005be00 00051e00 fffeefef 004e8970 .............N.p + 4e80f0 13fffe00 a55a0000 00036000 00000b7c .....Z....`....| + 4e8100 004e8984 008e1860 004e8998 008e189c .N.....`.N...... + 4e8110 00500978 008e18fc fffeefff 004e89b4 .P.x.........N.. + 4e8120 004e89b8 00500950 20000000 004e89e8 .N...P.P ....N.. + 4e8130 004e8a08 004e8a18 004e8a28 004e8a38 .N...N...N.(.N.8 + 4e8140 00530000 00010000 004e8a48 004e8a54 .S.......N.H.N.T + 4e8150 004e8a70 004e89cc 004e89bc 008e1c14 .N.p.N...N...... + 4e8160 00500954 004e8a8c 004e8ab0 004e8acc .P.T.N...N...N.. + 4e8170 008e1c34 008e1c44 008e1c74 0050095c ...4...D...t.P.\ + 4e8180 000c3500 fff3cb00 004e8750 00500974 ..5......N.P.P.t + 4e8190 008e1d10 008e1cf8 008e1cac 008e1d00 ................ + 4e81a0 008e1cdc 008e1ccc 008e1ce8 008e1cf0 ................ + 4e81b0 008e1d44 00020000 10ff3e00 10ff2000 ...D......>... . + 4e81c0 00000fff 004e8afc 004e8aec 004e8af4 .....N...N...N.. + 4e81d0 004e8b04 00055e00 0003fe00 fffaffff .N....^......... + 4e81e0 0001fe00 0000ffc1 10ff0000 10ff1e00 ................ + 4e81f0 000c0000 04000000 008e1f04 008e1ebc ................ + 4e8200 008e1e5c 008e1e24 00500980 008e2188 ...\...$.P....!. + 4e8210 004e0600 004e0601 00500990 004e0771 .N...N...P...N.q + 4e8220 008e2190 008e2298 008e2234 008e21b8 ..!..."..."4..!. + 4e8230 008e21f8 008e2318 008e6e48 008e6b64 ..!...#...nH..kd + 4e8240 008e6c5c 008e6adc 01000000 fffe000f ..l\..j......... + 4e8250 004e0001 004e8b0c 00500a28 005006f8 .N...N...P.(.P.. + 4e8260 004e8b44 004e8b78 004e8b90 004e8980 .N.D.N.x.N...N.. + 4e8270 004e8b9c 004e0100 008e2340 008e2354 .N...N....#@..#T + 4e8280 008e23b0 008e235c 008e241c 008e245c ..#...#\..$...$\ + 4e8290 008e242c 008e247c 004e8bb0 004e8bd8 ..$,..$|.N...N.. + 4e82a0 004e8ba4 004e8bc4 00500700 008e29b4 .N...N...P....). + 4e82b0 008e2980 004e8be0 ffb00000 0003ffff ..)..N.......... + 4e82c0 004e8bfc 004e8c20 00500704 00500a2c .N...N. .P...P., + 4e82d0 004e8c44 0053c000 008e2b00 008e2a9c .N.D.S....+...*. + 4e82e0 008e2a8c 008e2a50 008e2b24 008e6678 ..*...*P..+$..fx + 4e82f0 008e683c 008e6710 008e6560 008e6774 ..h<..g...e`..gt + 4e8300 00500a30 008e2b50 008e2b5c 008e2b88 .P.0..+P..+\..+. + 4e8310 008e2b6c 008e2bbc 00500a34 00009c40 ..+l..+..P.4...@ + 4e8320 008e2c88 008e2c14 008e2c58 008e2c04 ..,...,...,X..,. + 4e8330 008e2c94 5f574454 5f535553 00500a38 ..,._WDT_SUS.P.8 + 4e8340 07270e00 008e2d90 008e2d54 008e2d74 .'....-...-T..-t + 4e8350 008e2d2c 008e2db8 008e2d10 008e2ce4 ..-,..-...-...,. + 4e8360 00050e00 0001c200 00500a44 00002710 .........P.D..'. + 4e8370 008e2e38 008e2e48 008e2df8 008e2ea0 ...8...H..-..... + 4e8380 008e2ed4 008e2ec0 008e2ef4 008e2ec8 ................ + 4e8390 008e2f1c 00050018 004e8c64 00050010 ../......N.d.... + 4e83a0 004e8c80 ffffdfff 00002000 00500708 .N........ ..P.. + 4e83b0 004e8c8c 004e8ca0 004e8cb0 004e8cc0 .N...N...N...N.. + 4e83c0 008e3110 008e31a0 008e3164 008e3210 ..1...1...1d..2. + 4e83d0 008e32b0 008e32dc 008e32f8 008e3308 ..2...2...2...3. + 4e83e0 008e31a8 008e3320 008e3318 008e3328 ..1...3 ..3...3( + 4e83f0 008e30d8 008e300c 008e31e8 008e31bc ..0...0...1...1. + 4e8400 008e3024 008e2fac 008e2fe8 008e2fd4 ..0$../.../.../. + 4e8410 00500a54 008e33b0 008e33c4 008e33fc .P.T..3...3...3. + 4e8420 008e341c 00500a60 00500a68 00500a78 ..4..P.`.P.h.P.x + 4e8430 00500a80 004e8cd8 004e8cf0 00055a00 .P...N...N....Z. + 4e8440 00055600 004e8d04 004e8d1c 00054e00 ..V..N...N....N. + 4e8450 00010007 008e3430 008e34a0 008e3570 ......40..4...5p + 4e8460 008e36e4 008e361c 008e367c 008e36c0 ..6...6...6|..6. + 4e8470 008e36d0 008e348c 008e3484 008e351c ..6...4...4...5. + 4e8480 008e3794 004e8d34 004e8d48 004e8d60 ..7..N.4.N.H.N.` + 4e8490 004e8d74 004e8d88 00500a98 0000ff80 .N.t.N...P...... + 4e84a0 0000fe00 00500620 00500730 007efdfb .....P. .P.0.~.. + 4e84b0 00500780 00500770 004e8d9c 004e01a0 .P...P.p.N...N.. + 4e84c0 004e01b0 004e01c0 004e01e0 008e3f64 .N...N...N....?d + 4e84d0 004e8ddc 004e8dfc 08000000 004e8db4 .N...N.......N.. + 4e84e0 004e8e08 f7ffffff 004e0160 004e0120 .N.......N.`.N. + 4e84f0 00010080 004e8e28 004e8e3c 00010001 .....N.(.N.<.... + 4e8500 0000c600 004e8e50 004e8e60 004e8e78 .....N.P.N.`.N.x + 4e8510 004e8e88 004e8e98 00001010 004e8ea8 .N...N.......N.. + 4e8520 008e4270 008e4254 008e476c 008e4468 ..Bp..BT..Gl..Dh + 4e8530 008e4530 008e41d0 008e4058 008e3cd4 ..E0..A...@X..<. + 4e8540 008e3d8c 008e3b2c 008e3b54 008e3bfc ..=...;,..;T..;. + 4e8550 008e3c44 008e3e64 008e4394 008e43a8 ..d..C...C. + 4e8560 008e441c 008e4460 008e3a9c 008e3a7c ..D...D`..:...:| + 4e8570 008e39ac 008e3988 008e3954 008e3e08 ..9...9...9T..>. + 4e8580 008e4288 008e42f0 008e3884 008e38ac ..B...B...8...8. + 4e8590 008e4668 00500ace 00500ad0 00500ad2 ..Fh.P...P...P.. + 4e85a0 005007c0 00053000 00054000 008e4ce4 .P....0...@...L. + 4e85b0 008e4d7c 008e4d2c 008e4da8 008e4dec ..M|..M,..M...M. + 4e85c0 008e4e28 008e4e50 008e4dd0 008e4e6c ..N(..NP..M...Nl + 4e85d0 008e4e94 00500af8 008e4ef0 008e4f2c ..N..P....N...O, + 4e85e0 008e4f4c 00500b04 008e4f74 008e4fb0 ..OL.P....Ot..O. + 4e85f0 008e4fc8 008e4fd0 008e5114 008e5318 ..O...O...Q...S. + 4e8600 008e52e0 008e520c 008e51fc 008e5328 ..R...R...Q...S( + 4e8610 008e51f4 008e5494 008e581c 008e57bc ..Q...T...X...W. + 4e8620 008e56f4 008e577c 0000ff00 004e8ebc ..V...W|.....N.. + 4e8630 004e8950 004e8ec8 004e8ed8 008e591c .N.P.N...N....Y. + 4e8640 008e5a50 008e5aa8 008e5b68 008e5b90 ..ZP..Z...[h..[. + 4e8650 008e59c0 008e5bc0 008e5974 008e5b70 ..Y...[...Yt..[p + 4e8660 008e5924 008e5964 008e5bf8 008e5cf0 ..Y$..Yd..[...\. + 4e8670 008e5d2c 008e5dc0 0000fffc 008e5eb0 ..],..].......^. + 4e8680 008e5ef8 00500b0c 00500b14 008e5ffc ..^..P...P...._. + 4e8690 004e8ee0 00001a00 ffffe5ff 00054100 .N............A. + 4e86a0 00060000 004e8efc 00054200 00054204 .....N....B...B. + 4e86b0 004e8f18 004e8f44 004e8f70 004e8f90 .N...N.D.N.p.N.. + 4e86c0 004e8fac 004e8fc4 004e8ff0 004e900c .N...N...N...N.. + 4e86d0 008e6288 008e62a8 008e6300 008e6324 ..b...b...c...c$ + 4e86e0 008e6334 008e62a0 00500b18 008e6950 ..c4..b..P....iP + 4e86f0 00500850 008e63b4 008e6928 fffbfff0 .P.P..c...i(.... + 4e8700 ff000000 00ff0000 000000ff 40404040 ............@@@@ + 4e8710 fefefeff 80808080 ........ +Contents of section .dport0.usb_in_rom: + 4e0100 01120200 ffff40ff 0cf37010 02022010 ......@...p... . + 4e0110 01300000 00000000 00000000 00000000 .0.............. + 4e0120 0209003c 01018000 09fa0004 060000ff ...<............ + 4e0130 00000507 02010200 07008205 00020002 ................ + 4e0140 05070383 00400701 04054003 01000507 .....@....@..... + 4e0150 02050200 07000605 00020002 00000000 ................ + 4e0160 0209003c 01018000 09fa0004 060000ff ...<............ + 4e0170 00000507 02010040 07008205 40020000 .......@....@... + 4e0180 05070383 00400701 04054002 00000507 .....@....@..... + 4e0190 02050040 07000605 40020000 00000000 ...@....@....... + 4e01a0 03040409 00000000 00000000 00000000 ................ + 4e01b0 03100041 00540048 00450052 004f0053 ...A.T.H.E.R.O.S + 4e01c0 03180055 00530042 0032002e 00300020 ...U.S.B.2...0. + 4e01d0 0057004c 0041004e 00000000 00000000 .W.L.A.N........ + 4e01e0 030c0031 00320033 00340035 ...1.2.3.4.5 +Contents of section .dport0.data: + 500000 00000000 00000000 00000000 00000000 ................ + 500010 00000000 00000000 00000000 00000000 ................ + 500020 00000000 00000000 00000000 00000000 ................ + 500030 00000000 00000000 00000000 00000000 ................ + 500040 00000000 00000000 00000000 00000000 ................ + 500050 00000000 00000000 00000000 00000000 ................ + 500060 00000000 00000000 00000000 00000000 ................ + 500070 00000000 00000000 00000000 00000000 ................ + 500080 00000000 00000000 00000000 00000000 ................ + 500090 00000000 00000000 00000000 00000000 ................ + 5000a0 00000000 00000000 00000000 00000000 ................ + 5000b0 00000000 00000000 00000000 00000000 ................ + 5000c0 00000000 00000000 00000000 00000000 ................ + 5000d0 00000000 00000000 00000000 00000000 ................ + 5000e0 00000000 00000000 00000000 00000000 ................ + 5000f0 00000000 00000000 00000000 00000000 ................ + 500100 00000000 00000000 00000000 00000000 ................ + 500110 00000000 00000000 00000000 00000000 ................ + 500120 00000000 00000000 00000000 00000000 ................ + 500130 00000000 00000000 00000000 00000000 ................ + 500140 00000000 00000000 00000000 00000000 ................ + 500150 00000000 00000000 00000000 00000000 ................ + 500160 00000000 00000000 00000000 00000000 ................ + 500170 00000000 00000000 00000000 00000000 ................ + 500180 00000000 00000000 00000000 00000000 ................ + 500190 00000000 00000000 00000000 00000000 ................ + 5001a0 00000000 00000000 00000000 00000000 ................ + 5001b0 00000000 00000000 00000000 00000000 ................ + 5001c0 00000000 00000000 00000000 00000000 ................ + 5001d0 00000000 00000000 00000000 00000000 ................ + 5001e0 00000000 00000000 00000000 00000000 ................ + 5001f0 00000000 00000000 00000000 00000000 ................ + 500200 00000000 00000000 00000000 00000000 ................ + 500210 00000000 00000000 00000000 00000000 ................ + 500220 00000000 00000000 00000000 00000000 ................ + 500230 00000000 00000000 00000000 00000000 ................ + 500240 00000000 00000000 00000000 00000000 ................ + 500250 00000000 00000000 00000000 00000000 ................ + 500260 00000000 00000000 00000000 00000000 ................ + 500270 00000000 00000000 00000000 00000000 ................ + 500280 00000000 00000000 00000000 00000000 ................ + 500290 00000000 00000000 00000000 00000000 ................ + 5002a0 00000000 00000000 00000000 00000000 ................ + 5002b0 00000000 00000000 00000000 00000000 ................ + 5002c0 00000000 00000000 00000000 00000000 ................ + 5002d0 00000000 00000000 00000000 00000000 ................ + 5002e0 00000000 00000000 00000000 00000000 ................ + 5002f0 00000000 00000000 00000000 00000000 ................ + 500300 00000000 00000000 00000000 00000000 ................ + 500310 00000000 00000000 00000000 00000000 ................ + 500320 00000000 00000000 00000000 00000000 ................ + 500330 00000000 00000000 00000000 00000000 ................ + 500340 00000000 00000000 00000000 00000000 ................ + 500350 00000000 00000000 00000000 00000000 ................ + 500360 00000000 00000000 00000000 00000000 ................ + 500370 00000000 00000000 00000000 00000000 ................ + 500380 00000000 00000000 00000000 00000000 ................ + 500390 00000000 00000000 00000000 00000000 ................ + 5003a0 00000000 00000000 00000000 00000000 ................ + 5003b0 00000000 00000000 00000000 00000000 ................ + 5003c0 00000000 00000000 00000000 00000000 ................ + 5003d0 00000000 00000000 00000000 00000000 ................ + 5003e0 00000000 00000000 00000000 00000000 ................ + 5003f0 00000000 00000000 00000000 00000000 ................ +Contents of section .rodata: + 4e8720 00500400 00500950 004e0260 00000000 .P...P.P.N.`.... + 4e8730 00000000 00000000 00000000 00000000 ................ + 4e8740 55aa55aa aa55aa55 ffffffff 00000000 U.U..U.U........ + 4e8750 00000000 00989680 00000000 00000000 ................ + 4e8760 00000000 00000001 01312d00 00000000 .........1-..... + 4e8770 00000000 00000000 00000002 02625a00 .............bZ. + 4e8780 00000000 00000000 00000000 ffffffff ................ + 4e8790 00000000 00000000 00000000 00000000 ................ + 4e87a0 00001b62 004e9034 1b620000 00000020 ...b.N.4.b..... + 4e87b0 05010101 01010101 01010201 01010101 ................ + 4e87c0 01010100 00040000 0002230f c280dbff ..........#..... + 4e87d0 0d02230f 000008a2 004e903c 000008a2 ..#......N.<.... + 4e87e0 000008a2 01010101 01040000 0000001d ................ + 4e87f0 0000001d 01010101 01010000 00000000 ................ + 4e8800 00000000 00000000 00800000 00800000 ................ + 4e8810 00400000 00000000 00000000 00000000 .@.............. + 4e8820 00400000 00400000 00400000 00000000 .@...@...@...... + 4e8830 00000000 00000000 00000000 00000000 ................ + 4e8840 01020202 02020202 02020202 02020203 ................ + 4e8850 03030500 00000000 00000000 00000000 ................ + 4e8860 52414d20 6661696c 0a0d0000 46617461 RAM fail....Fata + 4e8870 6c206578 63657074 696f6e20 28256429 l exception (%d) + 4e8880 3a207063 3d307825 78206261 64766164 : pc=0x%x badvad + 4e8890 64723d30 78257820 64756d70 20617265 dr=0x%x dump are + 4e88a0 613d3078 25780a00 2d41312d 0a0d0000 a=0x%x..-A1-.... + 4e88b0 6d697361 6c69676e 65645f6c 6f61640a misaligned_load. + 4e88c0 0d000000 46617461 6c206578 63657074 ....Fatal except + 4e88d0 696f6e20 28256429 3a200970 633d3078 ion (%d): .pc=0x + 4e88e0 2578200a 0d096261 64766164 64723d30 %x ...badvaddr=0 + 4e88f0 78257820 0a0d0964 756d7020 61726561 x%x ...dump area + 4e8900 3d307825 780a0000 09657063 313d3078 =0x%x....epc1=0x + 4e8910 25782c20 65706332 3d307825 782c2065 %x, epc2=0x%x, e + 4e8920 7063333d 30782578 2c206570 63343d30 pc3=0x%x, epc4=0 + 4e8930 7825780a 00000000 30782530 38782c20 x%x.....0x%08x, + 4e8940 30782530 38782c20 30782530 38782c20 0x%08x, 0x%08x, + 4e8950 0a0d0000 202d2025 735f7665 723a2025 .... - %s_ver: % + 4e8960 78202d20 0a0a0d00 61736963 00000000 x - ....asic.... + 4e8970 64696476 69642066 726f6d20 6f776c20 didvid from owl + 4e8980 0a000000 64656661 756c7420 64696476 ....default didv + 4e8990 6964200a 00000000 382e2077 61697420 id .....8. wait + 4e89a0 666f7220 646f776e 6c6f6164 2e2e2e2e for download.... + 4e89b0 200a0d00 32000000 33000000 20332e31 ...2...3... 3.1 + 4e89c0 206e6f20 70617463 680a0d00 20332e31 no patch... 3.1 + 4e89d0 206e6f20 65657020 6f722063 6f727275 no eep or corru + 4e89e0 70740a0d 00000000 20332e31 20534e53 pt...... 3.1 SNS + 4e89f0 543a2065 65702069 6e697420 6661696c T: eep init fail + 4e8a00 6564210a 0d000000 342e2077 64742073 ed!.....4. wdt s + 4e8a10 74617274 0a0d0000 342e2077 61726d20 tart....4. warm + 4e8a20 73746172 740a0d00 342e2063 6f6c6420 start...4. cold + 4e8a30 73746172 740a0d00 352e2068 69662028 start...5. hif ( + 4e8a40 2564290a 00000000 53544152 542e2e2e %d).....START... + 4e8a50 2e0a0000 524f4d3e 3a6d6469 6f20646f ....ROM>:mdio do + 4e8a60 776e6c6f 61642072 65616479 0a000000 wnload ready.... + 4e8a70 362e2053 4e53543a 20686f73 74696620 6. SNST: hostif + 4e8a80 636f7272 7570740a 0d000000 52414d20 corrupt.....RAM + 4e8a90 616c6c6f 63617469 6f6e2028 25642062 allocation (%d b + 4e8aa0 79746573 29206661 696c6564 210a0000 ytes) failed!... + 4e8ab0 414c4c4f 4352414d 20437572 72656e74 ALLOCRAM Current + 4e8ac0 20416464 72203078 25780a00 414c4c4f Addr 0x%x..ALLO + 4e8ad0 4352414d 2052656d 61696e69 6e672042 CRAM Remaining B + 4e8ae0 79746573 2025640a 00000000 2d453132 ytes %d.....-E12 + 4e8af0 2d000000 2d453130 2d000000 2d453131 -...-E10-...-E11 + 4e8b00 2d000000 2d453133 2d000000 4d697361 -...-E13-...Misa + 4e8b10 6c69676e 6564206c 6f61643a 2070633d ligned load: pc= + 4e8b20 30782578 20626164 76616464 723d3078 0x%x badvaddr=0x + 4e8b30 25782064 756d7020 61726561 3d307825 %x dump area=0x% + 4e8b40 780a0000 61737365 7274696f 6e206661 x...assertion fa + 4e8b50 696c6564 3f207063 3d307825 782c206c iled? pc=0x%x, l + 4e8b60 696e653d 25642c20 64756d70 20617265 ine=%d, dump are + 4e8b70 613d3078 25780a00 54617267 65742049 a=0x%x..Target I + 4e8b80 443a2030 78257820 28256429 0a000000 D: 0x%x (%d).... + 4e8b90 44656275 6720496e 666f3a00 30782530 Debug Info:.0x%0 + 4e8ba0 38782000 30313233 34353637 38390000 8x .0123456789.. + 4e8bb0 30313233 34353637 38396162 63646566 0123456789abcdef + 4e8bc0 00000000 30313233 34353637 38394142 ....0123456789AB + 4e8bd0 43444546 00000000 3c6e756c 6c3e0000 CDEF...... + 4e8be0 09737a3a 2025642c 206c643a 2025702c .sz: %d, ld: %p, + 4e8bf0 20666e3a 2025700d 00000000 21696e76 fn: %p.....!inv + 4e8c00 616c6964 2064706f 72742061 64647265 alid dport addre + 4e8c10 73732c20 30782530 38780a0d 00000000 ss, 0x%08x...... + 4e8c20 63702025 64206279 74657320 66726f6d cp %d bytes from + 4e8c30 20307825 30387820 746f2030 78253038 0x%08x to 0x%08 + 4e8c40 78000000 702e6f66 743a2030 78253034 x...p.oft: 0x%04 + 4e8c50 782c2070 2e737a20 3a203078 25303478 x, p.sz : 0x%04x + 4e8c60 0a000000 57616974 696e6720 666f7220 ....Waiting for + 4e8c70 686f7374 20726573 65742e2e 00000000 host reset...... + 4e8c80 72656365 69766564 2e0a0000 42616420 received....Bad + 4e8c90 456e6769 6e65206e 756d6265 720a0000 Engine number... + 4e8ca0 456d7074 79205258 20526561 700a0000 Empty RX Reap... + 4e8cb0 456d7074 79205458 20526561 70200a00 Empty TX Reap .. + 4e8cc0 496e7661 6c696420 50697065 206e756d Invalid Pipe num + 4e8cd0 6265720a 00000000 0a0d093d 3e5b646e ber........=>[dn + 4e8ce0 515d2030 78253038 78200a5b 00000000 Q] 0x%08x .[.... + 4e8cf0 093d3e5b 7570515d 20307825 30387820 .=>[upQ] 0x%08x + 4e8d00 0a5b0000 093d3e5b 68702064 6e515d20 .[...=>[hp dnQ] + 4e8d10 30782530 3878200a 5b000000 093d3e5b 0x%08x .[....=>[ + 4e8d20 6d702064 6e515d20 30782530 3878200a mp dnQ] 0x%08x . + 4e8d30 5b000000 0a0d5b68 7020646e 515d2030 [.....[hp dnQ] 0 + 4e8d40 78253038 782c2000 444d4120 54524143 x%08x, .DMA TRAC + 4e8d50 45203078 25303878 0a0d2020 20205b00 E 0x%08x.. [. + 4e8d60 0a0d5b6d 7020646e 515d2030 78253038 ..[mp dnQ] 0x%08 + 4e8d70 782c2000 0a0d5b64 6e515d20 30782530 x, ...[dnQ] 0x%0 + 4e8d80 38782c20 00000000 0a0a0d5b 7570515d 8x, .......[upQ] + 4e8d90 20307825 3038782c 20000000 2d206375 0x%08x, ...- cu + 4e8da0 73746f6d 20757362 20636f6e 6669670a stom usb config. + 4e8db0 00000000 095b6355 53425f52 45515f44 .....[cUSB_REQ_D + 4e8dc0 4f574e4c 4f41445d 3a203078 25303878 OWNLOAD]: 0x%08x + 4e8dd0 2c202530 32780a0d 00000000 090a0d3d , %02x.........= + 4e8de0 3d3e5b63 5553425f 5245515f 434f4d50 =>[cUSB_REQ_COMP + 4e8df0 5d3a2030 78253038 780a0d00 636b7375 ]: 0x%08x...cksu + 4e8e00 6d3d2578 00000000 56656e64 6f72436d m=%x....VendorCm + 4e8e10 643a2044 6f776e6c 6f616443 6f6d706c d: DownloadCompl + 4e8e20 65746521 0a000000 7a665265 73657455 ete!....zfResetU + 4e8e30 53424649 464f0a0d 00000000 7a665475 SBFIFO......zfTu + 4e8e40 726e4f66 66506f77 65720a0d 00000000 rnOffPower...... + 4e8e50 47656e65 72617465 20457665 6e740a00 Generate Event.. + 4e8e60 215b534f 55524345 5f305d20 62697437 ![SOURCE_0] bit7 + 4e8e70 206f6e0a 0d000000 21555342 20726573 on.....!USB res + 4e8e80 65740a0d 00000000 21555342 20737573 et......!USB sus + 4e8e90 70656e64 0a0d0000 21555342 20726573 pend....!USB res + 4e8ea0 756d650a 0d000000 362e2075 73625f68 ume.....6. usb_h + 4e8eb0 636c6b20 7264790a 0d000000 30782530 clk rdy.....0x%0 + 4e8ec0 38782854 295d0000 30782530 38782825 8x(T)]..0x%08x(% + 4e8ed0 63292d3e 00000000 0a0d2020 20000000 c)->...... ... + 4e8ee0 6d69692d 6d64696f 202d2072 65736574 mii-mdio - reset + 4e8ef0 202d2073 74617274 200a0000 6d69692d - start ...mii- + 4e8f00 6d64696f 202d2072 65736574 202d2065 mdio - reset - e + 4e8f10 6e64200a 00000000 73746172 74656420 nd .....started + 4e8f20 72656365 6976696e 67206279 74657320 receiving bytes + 4e8f30 746f2025 78206c65 6e677468 2025640a to %x length %d. + 4e8f40 00000000 636f6d70 6c657465 64207265 ....completed re + 4e8f50 63656976 696e6720 62797465 7320746f ceiving bytes to + 4e8f60 20257820 6c656e67 74682025 640a0000 %x length %d... + 4e8f70 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa + 4e8f80 64206c65 6e677468 20307825 780a0000 d length 0x%x... + 4e8f90 4669726d 77617265 20457865 63204164 Firmware Exec Ad + 4e8fa0 64726573 73203078 25780a00 4669726d dress 0x%x..Firm + 4e8fb0 77617265 20636865 636b7375 6d203078 ware checksum 0x + 4e8fc0 25780a00 4669726d 77617265 20636865 %x..Firmware che + 4e8fd0 636b7375 6d206661 696c6564 202d2072 cksum failed - r + 4e8fe0 65206e65 676f7469 6174696e 67200a00 e negotiating .. + 4e8ff0 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa + 4e9000 64206973 20676f6f 64200a00 434f4d4d d is good ..COMM + 4e9010 414e4420 544f2053 54415254 20464952 AND TO START FIR + 4e9020 4d574152 45205245 43454956 4544200a MWARE RECEIVED . + 4e9030 00000000 372e312e 30000000 4c58322e ....7.1.0...LX2. + 4e9040 312e3000 00500400 00500400 00500950 1.0..P...P...P.P + 4e9050 00500b78 .P.x +Contents of section .data: + 500400 008e6928 008e1104 008e6928 008e6928 ..i(......i(..i( + 500410 008e1174 008e102c 008e6928 008e6928 ...t...,..i(..i( + 500420 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500430 008e63ac 008e63ac 008e63ac 008e63ac ..c...c...c...c. + 500440 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500450 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500460 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500470 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500480 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500490 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004a0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004b0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004c0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004d0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004e0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004f0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500500 00000000 ffffffff 008e6920 00000012 ..........i .... + 500510 008e6920 00000011 008e6920 00000010 ..i ......i .... + 500520 008e6920 0000000f 008e6920 0000000e ..i ......i .... + 500530 008e6920 0000000d 008e6920 0000000c ..i ......i .... + 500540 008e6920 0000000b 008e6920 0000000a ..i ......i .... + 500550 008e6920 00000009 008e6920 00000008 ..i ......i .... + 500560 008e6920 00000007 008e6920 00000006 ..i ......i .... + 500570 008e6920 00000005 008e6920 00000004 ..i ......i .... + 500580 008e6920 00000003 008e6920 00000002 ..i ......i .... + 500590 008e6920 00000001 008e6920 00000000 ..i ......i .... + 5005a0 fffffffe 00040000 fffffffe 00020000 ................ + 5005b0 fffffffe 00010000 fffffffe 00008000 ................ + 5005c0 fffffffe 00004000 fffffffe 00002000 ......@....... . + 5005d0 fffffffe 00001000 fffffffe 00000800 ................ + 5005e0 fffffffe 00000400 fffffffe 00000200 ................ + 5005f0 fffffffe 00000100 fffffffe 00000080 ................ + 500600 fffffffe 00000040 fffffffe 00000020 .......@....... + 500610 fffffffe 00000010 fffffffe 00000008 ................ + 500620 fffffffe 00000004 fffffffe 00000002 ................ + 500630 fffffffe 00000001 00000000 00000000 ................ + 500640 008e2320 0050000c 008e2488 00500020 ..# .P....$..P. + 500650 008e29bc 00500044 008e2f5c 0050004c ..)..P.D../\.P.L + 500660 008e4858 00500070 008e22f8 00500118 ..HX.P.p.."..P.. + 500670 008e2cc4 00500104 008e1d6c 005000e0 ..,..P.....l.P.. + 500680 008e1c98 00500144 008e2b08 00500150 .....P.D..+..P.P + 500690 008e2dd0 00500160 008e216c 0050017c ..-..P.`..!l.P.| + 5006a0 00000000 0050006c 008e2b2c 0050018c .....P.l..+,.P.. + 5006b0 008e2be4 005001a4 008e5010 00500294 ..+..P....P..P.. + 5006c0 008e4f60 00500280 008e1548 005001c0 ..O`.P.....H.P.. + 5006d0 008e337c 00500328 008e4eb8 005002f4 ..3|.P.(..N..P.. + 5006e0 008e6264 00500264 008e4848 00500258 ..bd.P.d..HH.P.X + 5006f0 008e5c58 005002b4 00000001 00000001 ..\X.P.......... + 500700 008e24b8 00000000 00000000 00000000 ..$............. + 500710 00000000 00000000 00000000 00000000 ................ + 500720 00020004 00080000 00000000 00000000 ................ + 500730 00000000 00000000 aa00aa00 aaaaaaaa ................ + 500740 eeaaaaaa eeeeeeee feeeeeee ffffffff ................ + 500750 ffffffff 7fffffff f7efdfbf 7efcfdfb ............~... + 500760 fdfbdfbf 00000000 00000000 00000000 ................ + 500770 00000000 00000000 00000000 00000000 ................ + 500780 07090000 00000000 00000000 00000000 ................ + 500790 00000000 00000000 00000000 00000000 ................ + 5007a0 00000000 00000000 00000000 00000000 ................ + 5007b0 00000000 00000000 00000000 00000000 ................ + 5007c0 00053000 00000800 00000000 00000000 ..0............. + 5007d0 00000000 00000000 00053000 00000900 ..........0..... + 5007e0 00000000 00000000 00000000 00000000 ................ + 5007f0 00053000 00000a00 00000000 00000000 ..0............. + 500800 00000000 00000000 00053000 00000b00 ..........0..... + 500810 00000000 00000000 00000000 00000000 ................ + 500820 00053000 00000c00 00000000 00000000 ..0............. + 500830 00000000 00000000 00053000 00000d00 ..........0..... + 500840 00000000 00000000 00000000 00000000 ................ + 500850 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500860 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500870 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500880 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500890 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008a0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008b0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008c0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008d0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008e0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008f0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500900 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500910 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500920 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500930 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500940 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP +Contents of section .ResetVector.text: + 8e0000 60001c00 008e0800 22221221 e0000000 `......."".!.... + 8e0010 008e0063 004e8720 00528001 00000000 ...c.N. .R...... + 8e0020 c00000e4 3112fff7 02e73102 160012ff ....1.....1..... + 8e0030 f615fff6 16fff7c0 30d72005 66016000 ........0. .f.`. + 8e0040 21000000 00000000 00000000 00000000 !............... + 8e0050 04360500 0200d30f d30f7531 14074714 .6........u1..G. + 8e0060 05330c07 04437631 e6043605 7539ed00 .3...Cv1..6.u9.. + 8e0070 020015ff e6c030d7 20600006 00074714 ......0. `....G. + 8e0080 05330c07 0443043e 057539f0 03020012 .3...C.>.u9..... + 8e0090 ffe1ca20 83208421 8522222c 0c743b0f ... . .!."",.t;. + 8e00a0 8650b455 9630b433 7433f463 ffe50000 .P.U.0.3t3.c.... + 8e00b0 653fe065 5fdd12ff d8020531 01020000 e?.e_......1.... + 8e00c0 0200c011 01493100 48310102 00c00014 .....I1.H1...... + 8e00d0 000404d2 31140005 04d33150 03c9 ....1.....1P.. +Contents of section .WindowVectors.text: + 8e0800 005c9401 5d94025e 94035f94 00430000 .\..]..^.._..C.. + 8e0810 00000000 00000000 00000000 00000000 ................ + 8e0820 00000000 00000000 00000000 00000000 ................ + 8e0830 00000000 00000000 00000000 00000000 ................ + 8e0840 005c9001 5d90025e 90035f90 00530000 .\..]..^.._..S.. + 8e0850 00000000 00000000 00000000 00000000 ................ + 8e0860 00000000 00000000 00000000 00000000 ................ + 8e0870 00000000 00000000 00000000 00000000 ................ + 8e0880 009c9400 1d90019d 94029e94 039f9404 ................ + 8e0890 08940509 94060a94 070b9400 43000000 ............C... + 8e08a0 00000000 00000000 00000000 00000000 ................ + 8e08b0 00000000 00000000 00000000 00000000 ................ + 8e08c0 009c9001 9d90029e 90071d90 039f9004 ................ + 8e08d0 78900579 90067a90 077b9000 53000000 x..y..z..{..S... + 8e08e0 00000000 00000000 00000000 00000000 ................ + 8e08f0 00000000 00000000 00000000 00000000 ................ + 8e0900 00dc9400 1d9001dd 9402de94 03df9404 ................ + 8e0910 04940505 94060694 07079408 08940909 ................ + 8e0920 940a0a94 0b0b9400 43000000 00000000 ........C....... + 8e0930 00000000 00000000 00000000 00000000 ................ + 8e0940 00dc9001 dd9002de 900b1d90 03df9004 ................ + 8e0950 b49005b5 9006b690 07b79008 b89009b9 ................ + 8e0960 900aba90 0bbb9000 5300 ........S. +Contents of section .KernelExceptionVector.text: + 8e0d40 00140063 fff9 ...c.. +Contents of section .UserExceptionVector.text: + 8e0e20 211c9092 14931513 000602e8 3003230a !...........0.#. + 8e0e30 83309416 0a3000 .0...0. +Contents of section .DoubleExceptionVector.text: + 8e0f20 04140063 fff9 ...c.. +Contents of section .Level2InterruptVector.text: + 8e0a20 02d2160a 2000 .... . +Contents of section .Level3InterruptVector.text: + 8e0b20 02d3160a 2000 .... . +Contents of section .DebugExceptionVector.text: + 8e0c20 00470063 fff9 .G.c.. +Contents of section .NMIExceptionVector.text: + 8e0ce4 015300 .S. +Contents of section .text: + 8e1000 200a0011 00001300 0103e631 01020016 ..........1.... + 8e1010 00021700 03d30f77 6b069060 b4667763 .......wk..`.fwc + 8e1020 f85402fc 0f140063 fff90000 03b13095 .T.....c......0. + 8e1030 17b33204 01300502 3072490b 64500825 ..2..0..0rI.dP.% + 8e1040 5cff0502 31020030 02b131b1 33030241 \...1..0..1.3..A + 8e1050 02330c83 30040330 00230400 331a03c3 .3..0..0.#..3... + 8e1060 53040331 140007d5 10211c70 04340a0a S..1.....!.p.4.. + 8e1070 40000000 d100cf48 cf460000 8154cf40 @......H.F...T.@ + 8e1080 8155ce4c 8156ce48 8157ce44 d160ce40 .U.L.V.H.W.D.`.@ + 8e1090 d170cd4c d180cd48 d190cd44 d1a0cd40 .p.L...H...D...@ + 8e10a0 d1b0cc4c d1c0cc48 d1d0cc44 d1e0cc40 ...L...H...D...@ + 8e10b0 d1f00103 41c8340f 14000311 0c225c70 ....A.4......"\p + 8e10c0 240a7005 130c743b 1604550c 23522024 $.p...t;..U.#R $ + 8e10d0 52219354 94552352 22245223 93569457 R!.T.U#R"$R#.V.W + 8e10e0 032c9004 2d90031c 94041d94 032e9004 .,..-........... + 8e10f0 2f90031e 94041f94 82548355 84568557 /........T.U.V.W + 8e1100 00030000 03b13002 0130b333 73290c02 ......0..0.3s).. + 8e1110 0230c826 b0220202 31030030 821403b1 .0.&."..1..0.... + 8e1120 31831565 20442316 15241616 25161713 1..e D#..$..%... + 8e1130 000802e6 3003e631 03b13021 1c700102 ....0..1..0!.p.. + 8e1140 00c0406c 10085c00 071e0009 aec0d10f ..@l..\......... + 8e1150 02e631c0 20845a01 020003b1 31835985 ..1. .Z.....1.Y. + 8e1160 5b000300 6c1006df f0d10fc7 2f211c70 [...l......./!.p + 8e1170 00030000 95171200 0803b130 02e61693 ...........0.... + 8e1180 10921121 1c701200 0a010200 03240204 ...!.p.......$.. + 8e1190 24096c10 0e0f1600 c0d11c00 0b0de331 $.l............1 + 8e11a0 0d02300f 00309d19 0d01309f 1a9d1b0f ..0..0....0..... + 8e11b0 03308dc0 9f12df10 8ec10fd0 008d198e .0.............. + 8e11c0 1a8f1b0d 02310e00 310f0131 8c121000 .....1..1..1.... + 8e11d0 0c1d000a 0c03310d 000200d0 090d3600 ......1.......6. + 8e11e0 d10f0000 6c1004c1 5272533b 18000da8 ....l...RrS;.... + 8e11f0 28288000 17000e6f 85310d28 1108770c ((.....o.1.(..w. + 8e1200 18000f26 7224c83e 23762424 7625c020 ...&r$.>#v$$v%. + 8e1210 08690c09 6239d10f 28762422 7625086a .i..b9..(v$"v%.j + 8e1220 0cc0200a 6239d10f c020d10f c020d10f .. .b9... ... .. + 8e1230 6c1004da 20db30dc 205bffea d2a0d10f l... .0. [...... + 8e1240 02d21621 1c909214 12000894 16951702 ...!............ + 8e1250 e6310102 00c04021 1c706c10 0e0f2600 .1....@!.pl...&. + 8e1260 0fe2300c e4301d00 100cff01 0dff010e ..0..0.......... + 8e1270 033064f0 5e9e120d 02300e00 309d190d .0d.^....0..0... + 8e1280 01302e16 0a2d160b 0f0c060f cc010ce3 .0...-.......... + 8e1290 311d000e c1ef0ccf 040cef0c 0f0f062f 1............../ + 8e12a0 fc120dfc 0b8dc02e c201011f 020fd000 ................ + 8e12b0 0fe2300c e4301d00 100cff01 0dff0165 ..0..0.........e + 8e12c0 ffc58d19 8e1a8f1b 0d02310e 00310f01 ..........1..1.. + 8e12d0 312e1202 1000111d 000a0e03 310d0002 1...........1... + 8e12e0 00d0090e 3600d10f 82548456 85570123 ....6....T.V.W.# + 8e12f0 00000000 02d31621 1c909214 12000894 .......!........ + 8e1300 16951702 e6310102 00c04021 1c706c10 .....1....@!.pl. + 8e1310 0e0f3600 0fe2300c e4301d00 120cff01 ..6...0..0...... + 8e1320 0dff010e 033064f0 5e9e120d 02300e00 .....0d.^....0.. + 8e1330 309d190d 01302e16 0a2d160b 0f0c060f 0....0...-...... + 8e1340 cc010ce3 311d000e c1ef0ccf 040cef0c ....1........... + 8e1350 0f0f062f fc120dfc 0b8dc02e c201011f .../............ + 8e1360 020fd000 0fe2300c e4301d00 120cff01 ......0..0...... + 8e1370 0dff0165 ffc58d19 8e1a8f1b 0d02310e ...e..........1. + 8e1380 00310f01 312e1202 1000131d 000a0e03 .1..1........... + 8e1390 310d0002 00d009d1 0f825484 56855701 1.........T.V.W. + 8e13a0 33000000 6c1006da 101b0014 c1c05815 3...l.........X. + 8e13b0 edc02016 0015d410 13001615 00171700 .. ............. + 8e13c0 1804280a 88800c02 00283680 0c020029 ..(......(6....) + 8e13d0 32807981 17287216 1a00190b 80000c02 2.y..(r......... + 8e13e0 0029627d 0599020c 02002966 7db12202 .)b}......)f}.". + 8e13f0 024f6924 cbd10f00 6c10162a 1c10db20 .Oi$....l..*... + 8e1400 2c0a8058 15d80dee 309d130b e8301a00 ,..X....0....0.. + 8e1410 1ade10c0 90180018 9b1c8c20 2882129c ........... (... + 8e1420 1299110b 8000d10f 6c100469 280e8830 ........l..i(..0 + 8e1430 8a31293a 4c698404 79a111c8 ae180018 .1):Li..y....... + 8e1440 2882161a 001b0b80 00c020d1 0fc021d1 (......... ...!. + 8e1450 0f000000 6c100402 26000202 43d10f00 ....l...&...C... + 8e1460 6c100402 0600d10f 6c1004cc 215bfffc l.......l...![.. + 8e1470 d10f0000 6c101613 00182832 121a001c ....l.....(2.... + 8e1480 0b80002a 1c10db20 2c0a8058 15b60aee ...*... ,..X.... + 8e1490 309a1389 209912d2 10581428 0119022d 0... ....X.(...- + 8e14a0 daf82b0a 056dba20 ad2e2ce2 7e2c9610 ..+..m. ..,.~,.. + 8e14b0 2ae27f2a 96112fe2 802f9612 2ee2812e *..*../../...... + 8e14c0 9613c8c3 299c10d2 a0883bda 100b8000 ....).....;..... + 8e14d0 d10f0000 6c10162a 1c10db20 2c0a8058 ....l..*... ,..X + 8e14e0 15a10dee 309d130b e8301a00 1dde10c0 ....0....0...... + 8e14f0 90130018 9b1c8c20 2832129c 1299110b ....... (2...... + 8e1500 80000bb1 300cb230 0db3300e b4302832 ....0..0..0..0(2 + 8e1510 121a001e 0b80001d 00151a00 1f0c0200 ................ + 8e1520 2bd27d0c 02002cd2 7e0c0200 2832122d +.}...,.~...(2.- + 8e1530 d27f0b80 00883ada 100b8000 2832590b ......:.....(2Y. + 8e1540 800063ff fc000000 6c100418 0018888e ..c.....l....... + 8e1550 0b8000c8 ae68a105 68a21069 a30bda20 .....h..h..i... + 8e1560 580775d1 0fda2058 088fd10f da205812 X.u... X..... X. + 8e1570 9ad10f00 6c1004c0 a0130018 12002028 ....l......... ( + 8e1580 3a4c0339 026d8a04 2a9400b1 99140021 :L.9.m..*......! + 8e1590 8b20c8b3 8a210bb0 00b82274 29f11c00 . ...!...."t)... + 8e15a0 271a0028 1d00261e 00251f00 241b0022 '..(..&..%..$.." + 8e15b0 18002319 00299930 98bd9fbe 9ebf2db6 ..#..).0......-. + 8e15c0 109ab99c b8c0a91b 002a5813 5f12002b .........*X._..+ + 8e15d0 c0a3db20 58135cc0 a0db2058 135bc0a2 ... X.\... X.[.. + 8e15e0 db205813 59c0a8db 20581357 c0acdb20 . X.Y... X.W... + 8e15f0 581355c0 addb2058 1354db20 c0a65813 X.U... X.T. ..X. + 8e1600 52d10f00 6c100418 00182882 47da200b R...l.....(.G. . + 8e1610 8000d10f 6c1004c2 30150015 26fa000c ....l...0...&... + 8e1620 02002252 7d062201 0322020c 0200c133 .."R}."..".....3 + 8e1630 14002c22 567dc020 db40da20 5bfefcb1 ..,"V}. .@. [... + 8e1640 227329f3 c2a10c02 0029527d 0699010a "s)......)R}.... + 8e1650 99020c02 00180018 28824629 567d0b80 ........(.F)V}.. + 8e1660 00c2b20c 02002a52 7d06aa01 0baa020c ......*R}....... + 8e1670 02002a56 7d5bff7a d10f0000 6c100412 ..*V}[.z....l... + 8e1680 00182822 381a002d 0b800028 22130b80 ..("8..-...("... + 8e1690 00282211 0b80001a 002e2822 121b002f .(".......(".../ + 8e16a0 2c2a020b 80002822 5f0b8000 d10f0000 ,*....("_....... + 8e16b0 6c100615 0018da30 285260c0 b1dc100b l......0(R`..... + 8e16c0 8000da30 2b1100dc 20285260 0b1b460b ...0+... (R`..F. + 8e16d0 4b360b0b 4f2b1500 0b800028 523b2a1a K6..O+.....(R;*. + 8e16e0 f40b8000 d10f0000 6c1006c0 a09a1012 ........l....... + 8e16f0 001523fa 00c0ac0c 02002922 7d039901 ..#.......)"}... + 8e1700 0a99020c 02001800 1829267d 2a0a8028 .........)&}*..( + 8e1710 8260c0b2 dc100b80 001c0030 8b107cb9 .`.........0..|. + 8e1720 67c1860c 02002f22 7d03ff01 08ff020c g...../"}....... + 8e1730 02002f26 7d1e0031 0c02002d 227d0edd ../&}..1...-"}.. + 8e1740 020c0200 2d267d1a 00032b0a 80c0c25b ....-&}...+....[ + 8e1750 ffd81a00 322b0a82 c1c05bff d51a0033 ....2+....[....3 + 8e1760 2b0a92c0 c65bffd2 1a00342b 0a98c0cc +....[....4+.... + 8e1770 5bffcf1a 00352b0a a4c1c05b ffcd1a00 [....5+....[.... + 8e1780 362b0ab4 c0c85bff cad10f00 6c1004c0 6+....[.....l... + 8e1790 b21d0037 19003912 00380c02 002f928a ...7..9..8.../.. + 8e17a0 0c02001c 003a2a92 800cfc01 0c02002c .....:*........, + 8e17b0 968a0bab 020c0200 2b96800c 02002892 ........+.....(. + 8e17c0 810c0200 2a96800c 02002f96 8ac1e00c ....*...../..... + 8e17d0 02002cd2 860ecc02 0c02001b 00182cd6 ..,...........,. + 8e17e0 862bb212 7e87661a 003b0bb0 00c7ac1b .+..~.f..;...... + 8e17f0 003c0c02 00190032 1e003d2b b2800a9f .<.....2..=+.... + 8e1800 010c0200 9ef0b49d 1c003e0a dd010c02 ..........>..... + 8e1810 009cd001 0404b89c 0bbb180a cc010c02 ................ + 8e1820 009bc0bc 99c78f0a 99010c02 009890c0 ................ + 8e1830 f00c0200 2f26801d 003f0c02 002d2681 ..../&...?...-&. + 8e1840 1e00310e dd020c02 002d2681 d10f1a00 ..1......-&..... + 8e1850 400bb000 c0c10c02 002c2680 d10f0000 @........,&..... + 8e1860 6c100412 00181a00 15c0ce2d fa000c02 l..........-.... + 8e1870 002ba27d 0dbb010c bb020c02 002ba67d .+.}.........+.} + 8e1880 1900410c 02002822 1629a67c 1a00420b ..A...(".).|..B. + 8e1890 80002822 1d0b8000 63fff600 6c10041a ..("....c...l... + 8e18a0 00151200 18190043 0c020028 221629a6 .......C...(".). + 8e18b0 7c1a0042 0b800028 227bc0a0 0b800028 |..B...("{.....( + 8e18c0 22170b80 0063ffee 6c100413 00371b00 "....c..l....7.. + 8e18d0 44297a80 c0a02ab5 000c0200 28328409 D)z...*.....(2.. + 8e18e0 88020c02 00283684 c0420c02 00223286 .....(6..B..."2. + 8e18f0 0422020c 02002236 86d10f00 6c10085b ."...."6....l..[ + 8e1900 ff1dc0a1 12001524 fa000c02 0029227d .......$.....)"} + 8e1910 0499010a 99020c02 0029267d 1800450c .........)&}..E. + 8e1920 02002826 7c5bff55 5bff3a13 00182832 ..(&|[.U[.:...(2 + 8e1930 5a0b8000 28325e0b 8000d5a0 c0b20c02 Z...(2^......... + 8e1940 0029227d 0499010b 99020c02 00883e29 .)"}..........>) + 8e1950 267d0b80 002cea0f 190039c1 700c0200 &}...,....9.p... + 8e1960 2b928a0c bb010c02 002b968a 69a3231f +........+..i.#. + 8e1970 00460c02 002e928a 0fee010c 02002e96 .F.............. + 8e1980 8a0c0200 2d928a07 dd020c02 002d968a ....-........-.. + 8e1990 600027cd a32a1a00 0c020028 928a0a88 `.'..*.....(.... + 8e19a0 020c0200 28968a60 0010c4c0 0c02002b ....(..`.......+ + 8e19b0 928a0cbb 020c0200 2b968ac0 a50c0200 ........+....... + 8e19c0 29227d04 99010a99 020c0200 2832161a )"}.........(2.. + 8e19d0 00472926 7d0b8000 5bfe72c0 a60c0200 .G)&}...[.r..... + 8e19e0 29227d04 99010a99 020c0200 2832161a )"}.........(2.. + 8e19f0 00482926 7d0b8000 2832620b 8000d6a0 .H)&}...(2b..... + 8e1a00 cea0c0a7 0c020029 227d0499 010a9902 .......)"}...... + 8e1a10 0c020028 32552a0a fc29267d 0b8000ce ...(2U*..)&}.... + 8e1a20 a76001e0 2bacfe6f b2026001 b469a14e .`..+..o..`..i.N + 8e1a30 28325f0b 80001b00 4929b100 b1990909 (2_.....I)...... + 8e1a40 4f29b500 6e92b060 00141b00 4a0c0200 O)..n..`....J... + 8e1a50 2a227d0b aa020c02 002a267d 60001f5b *"}......*&}`..[ + 8e1a60 ff9ac1a4 0c020029 227d0499 010a9902 .......)"}...... + 8e1a70 0c020028 32161a00 4b29267d 0b8000c0 ...(2...K)&}.... + 8e1a80 b90c0200 2a227d04 aa010baa 020c0200 ....*"}......... + 8e1a90 2a267d2b 32166951 081a004c 0bb00060 *&}+2.iQ...L...` + 8e1aa0 00116953 081a004d 0bb00060 00051a00 ..iS...M...`.... + 8e1ab0 4e0bb000 c1a50c02 0029227d 0499010a N........)"}.... + 8e1ac0 99020c02 00883e29 267d0b80 00d5a028 ......>)&}.....( + 8e1ad0 32121a00 4fdb500b 8000cf5f c0ab0c02 2...O.P...._.... + 8e1ae0 0029227d 0499010a 99020c02 0029267d .)"}.........)&} + 8e1af0 cc6a2832 5f0b8000 5bfefb5b ff732832 .j(2_...[..[.s(2 + 8e1b00 1c0b8000 c0ad0c02 0029227d 0499010a .........)"}.... + 8e1b10 99020c02 0029267d 5bff51d1 0f695302 .....)&}[.Q..iS. + 8e1b20 60008669 51026000 80695249 2832511a `..iQ.`..iRI(2Q. + 8e1b30 00501b00 510b8000 2832a02a 0a640b80 .P..Q...(2.*.d.. + 8e1b40 002832a5 2a0a640b 80002832 121a0052 .(2.*.d...(2...R + 8e1b50 0b800028 32121a00 530b8000 581127c0 ...(2...S...X.'. + 8e1b60 a0581166 da105811 9565a073 8a1264a0 .X.f..X..e.s..d. + 8e1b70 6e0ba000 d10f6955 66c0aa0c 02002922 n.....iUf.....)" + 8e1b80 7d049901 0a99020c 02002832 161a0054 }.........(2...T + 8e1b90 29267d0b 80001a00 37c0b30c 02002ba6 )&}.....7.....+. + 8e1ba0 820c0200 27a68363 fffc2832 511a0050 ....'..c..(2Q..P + 8e1bb0 1b00510b 80002832 a02a0a64 0b800028 ..Q...(2.*.d...( + 8e1bc0 32a52a0a 640b8000 2832121a 00520b80 2.*.d...(2...R.. + 8e1bd0 005810a9 5bfeed28 32ca0b80 005bff2f .X..[..(2....[./ + 8e1be0 d10f5bff 39c0a80c 02002922 7d049901 ..[.9.....)"}... + 8e1bf0 0a99020c 02002832 161a0055 29267d0b ......(2...U)&}. + 8e1c00 800063fe 795bff30 2832161a 00560b80 ..c.y[.0(2...V.. + 8e1c10 0063fe6a 6c100419 0015c0a0 0c02002a .c.jl..........* + 8e1c20 967d1800 570c0200 28967c5b ff34c020 .}..W...(.|[.4. + 8e1c30 d10f0000 6c1004d5 20120058 93219520 ....l... ..X.!. + 8e1c40 c020d10f 6c10041d 0058b33c 0c2c148a . ..l....X.<.,.. + 8e1c50 d182d00e cb117ba3 0b02ce0a 0baf0c9f ......{......... + 8e1c60 d19ed0d1 0f180018 2882121a 00590b80 ........(....Y.. + 8e1c70 0063fffc 6c100413 00181200 58283212 .c..l.......X(2. + 8e1c80 1a005a8b 200b8000 2832128b 211a005b ..Z. ...(2..!..[ + 8e1c90 0b8000d1 0f000000 6c100418 005d1900 ........l....].. + 8e1ca0 5c13005e 93229821 9920d10f 6c100413 \..^.".!. ..l... + 8e1cb0 005f8332 83310343 5b581327 032228d3 ._.2.1.C[X.'."(. + 8e1cc0 a0581325 03a80c72 83f6d10f 6c100458 .X.%...r....l..X + 8e1cd0 001d1200 5f8220d1 0f000000 6c100412 ...._. .....l... + 8e1ce0 005f8222 8221d10f 6c1004d1 0f000000 ._.".!..l....... + 8e1cf0 6c1004d1 0f000000 6c1004d1 0f000000 l.......l....... + 8e1d00 6c1004d1 0f000000 6c1004d1 0f000000 l.......l....... + 8e1d10 6c1004c0 60190060 1a006217 0061c033 l...`..`..b..a.3 + 8e1d20 d5a06d3a 108851b1 66a78472 4b04a98b ..m:..Q.f..rK... + 8e1d30 7b230825 5c140665 0a0a550a 18005f95 {#.%\..e..U..._. + 8e1d40 82d10f00 6c100458 13041500 632b3ae8 ....l..X....c+:. + 8e1d50 8250d3a0 245ce802 aa0c5813 3c88400a .P..$\....X.<.@. + 8e1d60 32399250 aa889840 d10f0000 6c100418 29.P...@....l... + 8e1d70 006b1900 6a1a0069 1b00681c 00671d00 .k..j..i..h..g.. + 8e1d80 661e0065 1f006413 006c9328 98279922 f..e..d..l.(.'." + 8e1d90 9a269b25 9c249d23 9e219f20 d10f0000 .&.%.$.#.!. .... + 8e1da0 6c10041a 006d1500 6ec0700c 02002952 l....m..n.p...)R + 8e1db0 950a9902 0c020029 56950c02 00275698 .......)V....'V. + 8e1dc0 0c020027 5699280a c00c0200 2856930c ...'V.(.....(V.. + 8e1dd0 02001600 6f275692 0e2411a6 44c76c06 ....o'V..$..D.l. + 8e1de0 44010c02 0093400c 02002b52 9f0b0b51 D.....@...+R...Q + 8e1df0 65bff3c0 21d10f00 6c100418 006f0e24 e...!...l....o.$ + 8e1e00 11a844c7 8c084401 0c020084 4012006e ..D...D.....@..n + 8e1e10 0c020025 229f0509 51659ff3 253500c0 ...%"...Qe..%5.. + 8e1e20 21d10f00 6c100412 00442321 00282101 !...l....D#!.(!. + 8e1e30 c93ccd86 14006e0c 02002442 8704044f .<....n...$B...O + 8e1e40 774710c0 22d10fc0 81282501 c020d10f wG.."....(%.. .. + 8e1e50 c021d10f 7647efc0 23d10f00 6c100418 .!..vG..#...l... + 8e1e60 00442881 001a0070 160018cb 8372a33e .D(....p.....r.> + 8e1e70 a32575a2 39752a25 c030da20 043b092b .%u.9u*%.0. .;.+ + 8e1e80 b1005bff c768a108 2862161a 00710b80 ..[..h..(b...q.. + 8e1e90 00b122b1 3303034f 02024f72 59dbc020 ..".3..O..OrY.. + 8e1ea0 d10f2862 161a0072 0b8000c0 21d10f28 ..(b...r....!..( + 8e1eb0 62161a00 730b8000 c024d10f 6c100418 b...s....$..l... + 8e1ec0 00442881 001a0070 ca8172a3 23a32373 .D(....p..r.#.#s + 8e1ed0 a21e732a 13da20db 405bffc7 b122b248 ..s*.. .@[...".H + 8e1ee0 0a843902 024f7239 ebc020d1 0fc021d1 ..9..Or9.. ...!. + 8e1ef0 0f180018 2882161a 00740b80 00c024d1 ....(....t....$. + 8e1f00 0f000000 6c100629 3ae81500 44140018 ....l..):...D... + 8e1f10 c0700c02 0099100c 02002851 009711b0 .p........(Q.... + 8e1f20 886481ea c4d01200 1523fa00 1600370c .d.......#....7. + 8e1f30 02002c22 7d03cc01 0dcc020c 02002c26 ..,"}.........,& + 8e1f40 7dc4c30c 02002b22 7d03bb01 0cbb020c }.....+"}....... + 8e1f50 02002b26 7d2a5ac0 0c020029 62840a99 ..+&}*Z....)b... + 8e1f60 020c0200 28423bc1 a4296684 0b8000c4 ....(B;..)f..... + 8e1f70 c40c0200 2b227d03 bb010cbb 020c0200 ....+"}......... + 8e1f80 2b267d2a aa3f0c02 00296284 0a99010c +&}*.?...)b..... + 8e1f90 02002842 3b2a1af4 2966840b 8000c4c9 ..(B;*..)f...... + 8e1fa0 0c02002b 227d03bb 010cbb02 0c02002b ...+"}.........+ + 8e1fb0 267dc0a2 0c020029 62860a99 020c0200 &}.....)b....... + 8e1fc0 28423bc1 a4296686 0b8000c7 eb160076 (B;..)f........v + 8e1fd0 1a00750c 02002d62 860edd01 0c02002d ..u...-b.......- + 8e1fe0 6686c5d0 0c02002c 227d03cc 010dcc02 f......,"}...... + 8e1ff0 0c02002c 267d1b00 770c0200 29a2870b ...,&}..w...)... + 8e2000 99010c02 0028423b 29a6872a 0a640b80 .....(B;)..*.d.. + 8e2010 00c4d51a 00780c02 002c227d 03cc010d .....x...,"}.... + 8e2020 cc020c02 002c267d c0b60c02 0029a281 .....,&}.....).. + 8e2030 0b99020c 02002842 3b29a681 c1a40b80 ......(B;)...... + 8e2040 00c0a40c 02002962 860a9902 0c020028 ......)b.......( + 8e2050 423bc1a4 2966860b 8000c4e6 0c02002d B;..)f.........- + 8e2060 227d03dd 010edd02 0c02002d 267d1c00 "}.........-&}.. + 8e2070 790c0200 2b62800c bb020c02 002b6680 y...+b.......+f. + 8e2080 0c02008e 10b0ef0c 02009f10 6be10260 ............k..` + 8e2090 00b80c02 00296286 0c020099 110c0200 .....)b......... + 8e20a0 88110808 406580a2 28423bc1 a40b8000 ....@e..(B;..... + 8e20b0 63ffccc4 c716003c 0c02002b 227d03bb c......<...+"}.. + 8e20c0 010cbb02 0c02002b 267d2a1a 160c0200 .......+&}*..... + 8e20d0 2962810a 99020c02 0028423b c1a42966 )b.......(B;..)f + 8e20e0 810b8000 c4880c02 002f227d 03ff0108 ........./"}.... + 8e20f0 ff020c02 002f267d 1e007a0c 02002d62 ...../&}..z...-b + 8e2100 840edd02 0c02002d 6684c0c1 2c550012 .......-f...,U.. + 8e2110 007b0c02 00222281 12006e13 007c0c02 .{...""...n..|.. + 8e2120 002a229f 7a300427 5500d10f 0a0851c8 .*".z0.'U.....Q. + 8e2130 8a28423b 2a0a640b 800063ff e00a094f .(B;*.d...c....O + 8e2140 ca96c0a1 c0b05bff 16d10f0c 02008a10 ......[......... + 8e2150 6aa10263 ff5c1c00 7d0c0200 2b227d0c j..c.\..}...+"}. + 8e2160 bb020c02 002b267d d10fd10f 6c100418 .....+&}....l... + 8e2170 00801900 7f1a007e 13008193 23982299 .......~....#.". + 8e2180 219a20d1 0f000000 6c1004d1 0f000000 !. .....l....... + 8e2190 6c100419 0082c0a0 c1839a90 299c101a l...........)... + 8e21a0 00836d8a 039a90b8 99180018 28824ec0 ..m.........(.N. + 8e21b0 a00b8000 d10f0000 6c100413 00182832 ........l.....(2 + 8e21c0 480b8000 28324dd4 a00b8000 28324ec7 H...(2M.....(2N. + 8e21d0 df1c0082 002104c0 9100991a 8bc00d99 .....!.......... + 8e21e0 030a9a01 0b9b019b c00b8000 283249da ............(2I. + 8e21f0 400b8000 d10f0000 6c100413 00182832 @.......l.....(2 + 8e2200 480b8000 28324dd4 a00b8000 28324e1c H...(2M.....(2N. + 8e2210 00820021 04c0918b c000991a 0a9a020b ...!............ + 8e2220 9b029bc0 0b800028 3249da40 0b8000d1 .......(2I.@.... + 8e2230 0f000000 6c10041a 0084c182 728b1d19 ....l.......r... + 8e2240 00850c02 002d9000 0c02002c 90010c02 .....-.....,.... + 8e2250 002b9002 0c020029 90030c02 00cd3c2e .+.....)......<. + 8e2260 ac110c02 0029e000 0c020028 e0010c02 .....).....(.... + 8e2270 002fe002 0c02002e e0030c02 00150018 ./.............. + 8e2280 2852480b 80001900 86285249 09290b93 (RH......(RI.).. + 8e2290 9094910b 8000d10f 6c10041a 0084c182 ........l....... + 8e22a0 728b1d19 00870c02 002d9000 0c02002c r........-....., + 8e22b0 90010c02 002b9002 0c020029 90030c02 .....+.....).... + 8e22c0 001c0086 0c2c0b8b c0ceb12d ad012ddc .....,.....-..-. + 8e22d0 7fb2dd0c 020028d0 000c0200 2fd0010c ......(...../... + 8e22e0 02002ed0 020c0200 2dd0030c 02008ac1 ........-....... + 8e22f0 0bb000d2 a0d10f00 6c100418 008b1900 ........l....... + 8e2300 8a1a0089 1b008813 008c9325 98249926 ...........%.$.& + 8e2310 9a219b20 d10f0000 6c1004d1 0f000000 .!. ....l....... + 8e2320 6c100418 00901900 8f1a008e 1b008d13 l............... + 8e2330 00919324 98239922 9a219b20 d10f0000 ...$.#.".!. .... + 8e2340 6c100413 00371200 920c0200 223684d1 l....7......"6.. + 8e2350 0f000000 6c1004d1 0f000000 6c100418 ....l.......l... + 8e2360 00938c23 19009413 001808c8 0179890e ...#.........y.. + 8e2370 da20883a 0c494c99 210b8000 6000171a . .:.IL.!...`... + 8e2380 00952832 128b22dd 200b8000 da20883a ..(2..". .... .: + 8e2390 c0909921 0b80001b 0037c0c3 0c02002c ...!.....7....., + 8e23a0 b682c1a0 0c02002a b68363ff fc000000 .......*..c..... + 8e23b0 6c100419 00968890 1a009765 805b9290 l..........e.[.. + 8e23c0 9b208aa1 64a05213 00181a00 988b2228 . ..d.R......."( + 8e23d0 32128c21 dd200b80 00283212 dbc01a00 2..!. ...(2..... + 8e23e0 990b8000 2832121a 009a0b80 00c040c2 ....(2........@. + 8e23f0 54040941 cc972832 121a009b 0b800028 T..A..(2.......( + 8e2400 32121a00 9c8b200b 8000b422 b1447549 2..... ....".DuI + 8e2410 df283212 1a009b0b 8000d10f 6c1004d1 .(2.........l... + 8e2420 0f000000 6c1004d1 0f000000 6c100658 ....l.......l..X + 8e2430 114a1800 2dd3a014 00180822 28600008 .J..-......"(`.. + 8e2440 58114503 a90c729b 0a284215 da100b80 X.E...r..(B..... + 8e2450 0064afeb 221000d1 0f000000 6c100413 .d..".......l... + 8e2460 00370c02 00233285 c082c023 0324407c .7...#2....#.$@| + 8e2470 37040482 39d10fd2 40d10f00 6c100412 7...9...@...l... + 8e2480 009d2221 06d10f00 6c100418 00a41900 .."!....l....... + 8e2490 a31a00a2 1b00a11c 00a01d00 9f1e009e ................ + 8e24a0 1300a593 28982799 269a249b 239c229d ....(.'.&.$.#.". + 8e24b0 219e20d1 0f000000 6c100413 00186929 !. .....l.....i) + 8e24c0 11283214 c0ad0b80 00283214 c0aa0b80 .(2......(2..... + 8e24d0 00d10fc0 9d792107 283214da 200b8000 .....y!.(2.. ... + 8e24e0 d10f0000 6c100ecc 2ad810c3 60268400 ....l...*...`&.. + 8e24f0 b1166000 1ed610da 20db4058 1168db40 ..`..... .@X.h.@ + 8e2500 aa592990 00da2029 6400b166 58114fd2 .Y)... )d..fX.O. + 8e2510 a065afe2 c0d0da10 7a61150a 6b0c0bb2 .e......za..k... + 8e2520 026db909 b0662c60 002c3400 b1336000 .m...f,`.,4..3`. + 8e2530 01c0202d 3400d10f 6c100ed9 50dd10da .. -4...l...P... + 8e2540 40261611 221613c0 60c020c0 409d189a @&.."...`. .@... + 8e2550 1b991a25 1213b133 273dff27 70ffc285 ...%...3'=.'p... + 8e2560 6473c478 79152730 00c29db1 33797932 ds.xy.'0....3yy2 + 8e2570 273000c0 a1b1339a 1d60002a da700b50 '0....3..`.*.p.P + 8e2580 00600019 c1c87bc2 04c2d42d 16118b1b .`....{....-.... + 8e2590 2a1211ab aa2aadff 2aa0ff0b 5000b122 *....*..*...P.." + 8e25a0 63ffb2c0 b09b1dc3 c07c790b 273000c0 c........|y.'0.. + 8e25b0 d1b1339d 1e600003 c0e09e1e 2f7cd00f ..3..`....../|.. + 8e25c0 0f476ff9 23c08098 1c891c09 9b0a07bb .Go.#........... + 8e25d0 0929bcd0 273000b1 33991c2a 7cd00a0a .)..'0..3..*|... + 8e25e0 476ea9e4 991c6000 03c0c09c 1c290a6c Gn....`......).l + 8e25f0 c2de7d79 21273000 8f1eb133 2e7cd0b1 ..}y!'0....3.|.. + 8e2600 ff9f1e0e 0e476fe9 0d273000 b133287c .....Go..'0..3(| + 8e2610 d0080847 6e89f1c4 c2797916 273000c0 ...Gn....yy.'0.. + 8e2620 b1b1332a 7c9465a2 05273000 c0a1b133 ..3*|.e..'0....3 + 8e2630 6001fdc0 a0c0b07c 79026000 efc4d47d `......|y.`....} + 8e2640 79026000 e7c5e57e 79026000 dfc5f87f y.`....~y.`..... + 8e2650 79026000 d7280a62 78790260 00ce290a y.`..(.bxy.`..). + 8e2660 64797902 6000c52c 0a707c79 026000ba dyy.`..,.p|y.`.. + 8e2670 2d0a757d 79026000 b32e0a78 7e790260 -.u}y.`....x~y.` + 8e2680 00aac0f0 2f161060 002cc188 7a8204c2 ..../..`.,..z... + 8e2690 94291611 8a1b2412 11c4842b 0a64aa44 .)....$....+.d.D + 8e26a0 244dff24 423f7b71 027879d5 674fd204 $M.$B?{q.xy.gO.. + 8e26b0 0406c29d 2916102a 0a627a7a 02600086 ....)..*.bzz.`.. + 8e26c0 2b0a6277 b2026000 ad2c0a73 7c7a0260 +.bw..`..,.s|z.` + 8e26d0 017a2d0a 7377d202 6001a12e 0a787e7a .z-.sw..`....x~z + 8e26e0 02600256 2f0a7877 fa026001 a9287cbc .`.V/.xw..`..(|. + 8e26f0 64823629 7cab6492 302a7ca8 64a2672b d.6)|.d.0*|.d.g+ + 8e2700 7c9c64b2 242c0a70 7c710e2d 7c8b64d2 |.d.$,.p|q.-|.d. + 8e2710 182e0a78 7e710260 008ada40 db10c1c0 ...x~q.`...@.... + 8e2720 1d00a65b ff70d6a0 600079c0 b164a103 ...[.p..`.y..d.. + 8e2730 281211c1 f8da80b4 88281611 78fa0263 (........(..x..c + 8e2740 ff478a1a 63ff4ec4 9479721a c4a477aa .G..c.N..yr...w. + 8e2750 9bc5b57b 7a026001 1ec5c577 ca8e2d7c ...{z.`....w..-| + 8e2760 a865d132 63ff85c4 e27e7a02 600136c4 .e.2c....~z.`.6. + 8e2770 f277fa02 600194c2 9ec0b1c3 c18d1cde .w..`........... + 8e2780 10c2a0d6 d00da638 c0d0286c ff6d8a14 .......8..(l.m.. + 8e2790 df9000d1 0400b81a b1dd0848 0108cf39 ...........H...9 + 8e27a0 2fe400b1 eed91099 188b1c8a 1e2d1210 /............-.. + 8e27b0 06bb0cb0 bc0dcb39 9b1fc9a4 2c1210c8 .......9....,... + 8e27c0 cadac00b 5000b122 c0d02d16 10c37060 ....P.."..-...p` + 8e27d0 0001c270 8e1d891f cde9b199 600008da ...p........`... + 8e27e0 700b5000 8919b122 8a1fb099 9919b0aa p.P...."........ + 8e27f0 9a1f6b91 e92b1210 8718c8b5 dab00b50 ..k..+.........P + 8e2800 00b122b1 6960000c 2a7000b1 770b5000 ..".i`..*p..w.P. + 8e2810 291212b1 22b066b0 99291612 6b91e88c )...".f..)..k... + 8e2820 1d971864 cd2f8d1f b1dd9d19 60008ac0 ...d./......`... + 8e2830 a063fe02 64b10c2f 1211c1b8 c1e8daf0 .c..d../........ + 8e2840 b4ff2f16 117fe27d 8a1a63fe 48280a64 ../....}..c.H(.d + 8e2850 78723d29 0a647792 0263fe90 2a0a707a xr=).dw..c..*.pz + 8e2860 7934c3a0 0b50002a 0a780b50 00c0b8c0 y4...P.*.x.P.... + 8e2870 c19c1e9b 1c63fe74 c5d37d79 192f1211 .....c.t..}y./.. + 8e2880 c1e8dbf0 b4ff2f16 117fe246 891a6000 ....../....F..`. + 8e2890 4d280a63 787179c2 a50b5000 da700b50 M(.cxqy...P..p.P + 8e28a0 00b22263 ff02c295 7979ebc2 a50b5000 .."c....yy....P. + 8e28b0 63fef5c2 a00b5000 b1228a19 b0aa9a19 c.....P.."...... + 8e28c0 6ba1ef63 fc8f7ab2 04c2c42c 16118a1b k..c..z....,.... + 8e28d0 63fdc2c1 d87bd204 c2e42e16 11891b2f c....{........./ + 8e28e0 1211a9ff 2ffdff2f f23f9f18 ccf31800 ..../../.?...... + 8e28f0 a7981889 18299000 c0606490 7a89182a .....)...`d.z..* + 8e2900 9001b166 b19965af f563fe9c c4b37b79 ...f..e..c....{y + 8e2910 852d1211 c1c8dbd0 b4dd2d16 117dca02 .-........-..}.. + 8e2920 63fc608b 1a63fc67 d10fda40 db10c0ca c.`..c.g...@.... + 8e2930 1d00a85b feecd6a0 63fe692e 7c8b65ef ...[....c.i.|.e. + 8e2940 5563fda8 281211c1 f8da80b4 88281611 Uc..(........(.. + 8e2950 78f2048a 1a63fd3d c1987a92 04c2a42a x....c.=..z....* + 8e2960 16118a1b 63fd2eda 40db10c1 c01d00a9 ....c...@....... + 8e2970 5bfedcd6 a063fe2c c06063fe 2b000000 [....c.,.`c.+... + 8e2980 6c100adb 20921494 16951796 189719de l... ........... + 8e2990 101a00aa 93152f1c 302d1c10 9d119f10 ....../.0-...... + 8e29a0 c0349312 8aa08ce0 8de18ee2 5bfee2d2 .4..........[... + 8e29b0 a0d10f00 6c1004d1 0f000000 6c100418 ....l.......l... + 8e29c0 00ab1300 ac932198 20d10f00 6c100418 ......!. ...l... + 8e29d0 00182b21 018c2128 82128d22 1a00ad0b ..+!..!(...".... + 8e29e0 8000d10f 6c100419 00ae8321 1800af14 ....l......!.... + 8e29f0 0018a939 798b0e28 4212db30 1a00b00b ...9y..(B..0.... + 8e2a00 8000c020 d10fda20 5bfff01a 00b12b21 ... ... [.....+! + 8e2a10 01284212 bc2cdd30 0b800029 2101c0a0 .(B..,.0...)!... + 8e2a20 ca95aa3c aa2b2fb0 0c2fc403 2eb00d2e ...<.+/../...... + 8e2a30 c4022db0 0e2dc401 2bb00f2b c4002b21 ..-..-..+..+..+! + 8e2a40 01b4aa0a 0a4f7ba3 d8c021d1 0f000000 .....O{...!..... + 8e2a50 6c10041a 00b22aa1 01022b02 290a000a l.....*...+.)... + 8e2a60 1a4f6aa1 0c6da906 8cb0b4bb 09c90360 .Oj..m.........` + 8e2a70 0001c090 cd90da20 83225bff dac8a71d ....... ."[..... + 8e2a80 00b3c021 93d0d10f c020d10f 6c100418 ...!..... ..l... + 8e2a90 00b38880 0b8000d1 0f000000 6c100613 ............l... + 8e2aa0 0018da20 283260c0 b2dc100b 8000cfad ... (2`......... + 8e2ab0 1a00b428 32122b11 002c1101 0b800019 ...(2.+..,...... + 8e2ac0 00b22a11 002b1101 2a95002b 9501c9bd ..*..+..*..+.... + 8e2ad0 1c0070aa bd7ac316 7dc21328 32601c00 ..p..z..}..(2`.. + 8e2ae0 b50b8000 2832571a 00b50b80 00cca2c0 ....(2W......... + 8e2af0 20d10f28 32560b80 00c021d1 0f000000 ..(2V....!..... + 8e2b00 6c1004d1 0f000000 6c100418 00b81900 l.......l....... + 8e2b10 b71a00b6 1300b993 23982299 219a20d1 ........#.".!. . + 8e2b20 0f000000 6c1004d1 0f000000 6c100418 ....l.......l... + 8e2b30 00be1900 bd1a00bc 1b00bb1c 00ba1300 ................ + 8e2b40 bf932598 2499239a 229b219c 20d10f00 ..%.$.#.".!. ... + 8e2b50 6c100413 00c0c020 9230d10f 6c100492 l...... .0..l... + 8e2b60 40c05093 41954395 42d10f00 6c100483 @.P.A.C.B...l... + 8e2b70 221500c0 c0816831 09845098 229250c8 ".....h1..P.".P. + 8e2b80 409423d1 0f000000 6c100483 221700c0 @.#.....l..."... + 8e2b90 69310785 70c060c0 40cc50d1 0f752906 i1..p.`.@.P..u). + 8e2ba0 cc6d9470 60000dd6 50855365 5fee63ff .m.p`...P.Se_.c. + 8e2bb0 e9885398 639422d1 0f000000 6c100413 ..S.c.".....l... + 8e2bc0 00c08230 c040c052 c9249522 8a218923 ...0.@.R.$.".!.# + 8e2bd0 88209930 94230b80 00942282 30652fe9 . .0.#....".0e/. + 8e2be0 9430d10f 6c100418 00c41900 c31a00c2 .0..l........... + 8e2bf0 1b00c113 00c59324 98239922 9a219b20 .......$.#.".!. + 8e2c00 d10f0000 6c100493 23c05094 24952095 ....l...#.P.$. . + 8e2c10 21d10f00 6c100458 0f501c00 c61800c7 !...l..X.P...... + 8e2c20 89c0c0b0 083828c9 92a8aa8d 910dad0c .....8(......... + 8e2c30 6ad113db 90899065 9ff06000 091e00c7 j......e..`..... + 8e2c40 0e3e28c0 b0aeaa99 209a21c8 b292b0d1 .>(..... .!..... + 8e2c50 0fdbc063 fff60000 6c100417 00c68570 ...c....l......p + 8e2c60 c030c060 c85b7521 0bd65085 50655ff5 .0.`.[u!..P.Pe_. + 8e2c70 600009c0 60c85484 50c86694 60932093 `...`.T.P.f.`. . + 8e2c80 22d10fd6 7063fff2 6c100413 00c6c020 "...pc..l...... + 8e2c90 9230d10f 6c100412 00c6c030 8820c98d .0..l......0. .. + 8e2ca0 580f2d8c 2089c10a 990c6b91 11dac088 X.-. .....k..... + 8e2cb0 c389c099 2093c08b c40b8000 63ffdcd1 .... .......c... + 8e2cc0 0f000000 6c100418 00cb1900 ca1a00c9 ....l........... + 8e2cd0 1b00c813 00cc9324 98239922 9a219b20 .......$.#.".!. + 8e2ce0 d10f0000 6c100412 00151400 cd0c0200 ....l........... + 8e2cf0 23227f19 00ce7431 110c0200 28227fc0 #"....t1....(".. + 8e2d00 52c02309 880c0852 39d10fc0 21d10f00 R.#....R9...!... + 8e2d10 6c100413 0037c083 0c020028 3682221a l....7.....(6.". + 8e2d20 000c0200 22368363 fffc0000 6c100415 ...."6.c....l... + 8e2d30 00371600 cf68210f 6922166f 34139361 .7...h!.i".o4..a + 8e2d40 0c020023 5682d10f c8369362 0c020023 ...#V....6.b...# + 8e2d50 5683d10f 6c100619 00cfc081 db10c0c2 V...l........... + 8e2d60 c0d39d11 9c108ab0 2895008b b15bffef ........(....[.. + 8e2d70 d10f0000 6c10061e 00cfdb10 c0c2c0d0 ....l........... + 8e2d80 9d119c10 8ab02de5 008bb15b ffe8d10f ......-....[.... + 8e2d90 6c100612 00cf1800 d0c09029 25009921 l..........)%..! + 8e2da0 98225bff ecdb10c0 c18d229d 119c108a ."[......."..... + 8e2db0 b08bb15b ffded10f 6c100414 00cf2241 ...[....l....."A + 8e2dc0 00180037 8342c824 0c020023 8683d10f ...7.B.$...#.... + 8e2dd0 6c100418 00d61900 d51a00d4 1b00d31c l............... + 8e2de0 00d21d00 d11300d7 93269825 99249a23 .........&.%.$.# + 8e2df0 9b229c21 9d20d10f 6c100412 00d8c030 .".!. ..l......0 + 8e2e00 0c020023 2681c093 0c020018 00182882 ...#&.........(. + 8e2e10 3d292683 0b80001b 00d95800 401900da =)&.......X.@... + 8e2e20 0c020023 2681c0a7 0c02002a 26822395 ...#&......*&.#. + 8e2e30 04239505 d10f0000 6c1004d1 0f000000 .#......l....... + 8e2e40 6c1004c0 20d10f00 6c100413 00db1400 l... ...l....... + 8e2e50 d86d3a08 0c020028 42857a8f ff0c0200 .m:....(B.z..... + 8e2e60 1900db22 46806d9a 080c0200 2a428579 ..."F.m.....*B.y + 8e2e70 afffd10f 6c100413 00db1400 d86d3a08 ....l........m:. + 8e2e80 0c020028 42857a8f ff0c0200 1900db22 ...(B.z........" + 8e2e90 46806d9a 080c0200 2a428579 afffd10f F.m.....*B.y.... + 8e2ea0 6c100414 00d80c02 00234285 7f3f03c0 l........#B..?.. + 8e2eb0 20d10f0c 02002842 80282400 c021d10f .....(B.($..!.. + 8e2ec0 6c1004d1 0f000000 6c100412 00da8223 l.......l......# + 8e2ed0 d10f0000 6c100418 00da2881 00c88e2a ....l.....(....* + 8e2ee0 2000c8a9 5bffd82a 2001b122 65aff4d1 ...[..* .."e... + 8e2ef0 0f000000 6c100417 00da1400 dcc08125 ....l..........% + 8e2f00 7100c060 83717521 0f1200dd 05863826 q..`.qu!......8& + 8e2f10 75000642 389231d1 0fd10f00 6c100414 u..B8.1.....l... + 8e2f20 00d8db30 0c020023 4283280a 80083802 ...0...#B.(...8. + 8e2f30 0c020002 4a142846 83580ec4 0a8b140c ....J.(F.X...... + 8e2f40 02002b46 810a0a47 0c02002a 468029fa ..+F...G...*F.). + 8e2f50 7f093901 0c020029 4683d10f 6c10041f ..9....)F...l... + 8e2f60 00dac0e1 1400e318 00e21900 e11a00e0 ................ + 8e2f70 1b00df1c 00dd1d00 de1300e4 93272ef5 .............'.. + 8e2f80 0092f194 25982699 249a239b 229c219d ....%.&.$.#.".!. + 8e2f90 20d10f00 6c10040c 02008220 d10f0000 ...l...... .... + 8e2fa0 6c10040c 02009320 d10f0000 6c1004c9 l...... ....l... + 8e2fb0 20682116 68220f68 23146824 0568250a h!.h".h#.h$.h%. + 8e2fc0 63fffcc0 20d10fc0 22d10fc0 21d10fc0 c... ..."...!... + 8e2fd0 23d10f00 6c1004c8 29b024c0 35c02604 #...l...).$.5.&. + 8e2fe0 3238d10f c024d10f 6c1004c9 20682112 28...$..l... h!. + 8e2ff0 68221324 2cfdc033 c0260432 38d10fc0 h".$,..3.&.28... + 8e3000 20d10fc0 21d10fc0 22d10f00 6c10041a ...!..."...l... + 8e3010 00e55bff e0c0b80b ab021a00 e55bffe0 ..[..........[.. + 8e3020 d10f0000 6c100612 00182822 121a00e6 ....l.....(".... + 8e3030 0b80001a 00e75bff d70c0200 9a100c02 ......[......... + 8e3040 00891071 97ec2822 121a00e8 0b80001a ...q..("........ + 8e3050 00e75bff d01300e9 0c02009a 100c0200 ..[............. + 8e3060 89100399 010c0200 99101a00 e70c0200 ................ + 8e3070 8b105bff cb28223b c0aa0b80 001a00e7 ..[..(";........ + 8e3080 5bffc40c 02009a10 1a00ea0c 02008910 [............... + 8e3090 0a99020c 02009910 1a00e70c 02008b10 ................ + 8e30a0 5bffbf28 223bc0aa 0b80001a 00e75bff [..(";........[. + 8e30b0 b90c0200 9a100c02 00891003 99010c02 ................ + 8e30c0 0099101a 00e70c02 008b105b ffb52822 ...........[..(" + 8e30d0 3bc0aa0b 8000d10f 6c100412 00182822 ;.......l.....(" + 8e30e0 cc0b8000 2822cd0b 80002822 bdc0a4c0 ....("....(".... + 8e30f0 b10b8000 2822bfc0 a0c0b10b 8000c0a0 ....(".......... + 8e3100 2822c0c0 b22c6a80 0b8000d1 0f000000 ("...,j......... + 8e3110 6c100412 00182822 cc0b8000 2822cd0b l.....("....(".. + 8e3120 80002822 bdc0a4c0 b10b8000 2822bdc0 ..("........(".. + 8e3130 a5c0b10b 80002822 bfc0a0c0 b10b8000 ......("........ + 8e3140 2822bfc0 a1c0b10b 80002822 bfc0a2c0 ("........(".... + 8e3150 b10b8000 2822bfc0 a3c0b10b 80001200 ....(".......... + 8e3160 ebd10f00 6c100416 00182862 d2da300b ....l.....(b..0. + 8e3170 8000d5a0 69a60a28 62121a00 ec0b8000 ....i..(b....... + 8e3180 d10f2862 79db30da 200b8000 040b4fdc ..(by.0. .....O. + 8e3190 a02862c0 da500c0c 4f0b8000 d10f0000 .(b..P..O....... + 8e31a0 6c1004d1 0f000000 6c100414 00eb8932 l.......l......2 + 8e31b0 99428831 98418230 9240d10f 6c100414 .B.1.A.0.@..l... + 8e31c0 00182842 d0da300b 80002842 c6da300b ..(B..0...(B..0. + 8e31d0 8000c8a7 88208b22 0b8000d1 0f284212 ..... .".....(B. + 8e31e0 1a00ed0b 8000d10f 6c1004da 30130018 ........l...0... + 8e31f0 2832c70b 8000dba0 c8a98821 8c22c0a0 (2.........!.".. + 8e3200 0b8000d1 0f283212 1a00ee0b 8000d10f .....(2......... + 8e3210 6c100413 00eb1200 18600009 2822cfda l........`..(".. + 8e3220 30c0b30b 80002822 c9c0a30b 800065af 0.....("......e. + 8e3230 ea600009 2822cfda 30c0b20b 80002822 .`..("..0.....(" + 8e3240 c9c0a20b 800065af ea600009 2822cfda ......e..`..(".. + 8e3250 30c0b10b 80002822 c9c0a10b 800065af 0.....("......e. + 8e3260 ea600009 2822ceda 30c0b50b 80002822 .`..("..0.....(" + 8e3270 c5c0a50b 800065af ea2822c5 c0a40b80 ......e..("..... + 8e3280 00c8ad28 22ceda30 c0b40b80 00c04160 ...("..0......A` + 8e3290 0001c040 2822c9c0 a00b8000 c8aa2822 ...@("........(" + 8e32a0 cfda30c0 b00b8000 c041654f cbd10f00 ..0......AeO.... + 8e32b0 6c100412 00182822 d1da300b 800069a6 l.....("..0...i. + 8e32c0 0c282212 1a00ef0b 8000c72f d10f2822 .("......../..(" + 8e32d0 c3db400b 8000d2a0 d10f0000 6c100412 ..@.........l... + 8e32e0 00182822 d2da300b 800068a6 072822c8 ..("..0...h..(". + 8e32f0 db400b80 00d10f00 6c10046f 3503c021 .@......l..o5..! + 8e3300 d10fc020 d10f0000 6c100424 6a80222a ... ....l..$j."* + 8e3310 00034239 d10f0000 6c1004c0 20d10f00 ..B9....l... ... + 8e3320 6c1004d1 0f000000 6c1004c0 20223400 l.......l... "4. + 8e3330 224400d1 0f000000 6c100419 00f01300 "D......l....... + 8e3340 f21a00f8 1b00f71c 00f61d00 f51e00f4 ................ + 8e3350 1f00f318 00f19824 9a229b29 9c289d27 .......$.".).(.' + 8e3360 9e269f2b 99209325 1800fa98 2a1300fb .&.+. .%....*... + 8e3370 1900f999 21932cd1 0f000000 6c100418 ....!.,.....l... + 8e3380 01021901 011a0100 1b00ff1c 00fe1d00 ................ + 8e3390 f01e00fd 1f00fc13 01039327 98289926 ...........'.(.& + 8e33a0 9a229b24 9c259d21 9e239f20 d10f0000 .".$.%.!.#. .... + 8e33b0 6c100418 0104828d c0308421 948d9321 l........0.!...! + 8e33c0 d10f0000 6c10048a 202da106 0c02002d ....l... -.....- + 8e33d0 a50b8ca1 2ba105ac bb0c0200 18001828 ....+..........( + 8e33e0 82b69ba7 2aac100b 80001c01 04db2088 ....*......... . + 8e33f0 c1c0a08c c20b8000 d10f0000 6c100416 ............l... + 8e3400 0104826e 836fc050 72390695 6e956f60 ...n.o.Pr9..n.o` + 8e3410 00038821 986e9521 d10f0000 6c10041b ...!.n.!....l... + 8e3420 010488b0 da208bb2 0b8000d1 0f000000 ..... .......... + 8e3430 6c100619 01081200 181a0107 1b01061c l............... + 8e3440 01059c10 9b119a12 28229699 13da100b ........("...... + 8e3450 80002822 ae1a0109 0b800028 22af1a01 ..(".......("... + 8e3460 0a0b8000 2822ae1a 010b0b80 002822ae ....(".......(". + 8e3470 1a010c0b 80001901 04c02092 9e929f92 .......... ..... + 8e3480 9dd10f00 6c1004d1 0f000000 6c100414 ....l.......l... + 8e3490 01048930 99408831 98418232 9242d10f ...0.@.1.A.2.B.. + 8e34a0 6c100414 00181301 04284212 1a010d8b l........(B..... + 8e34b0 330b8000 2842121a 010e8b35 0b800015 3...(B.....5.... + 8e34c0 010f1201 108a330c 02002a26 8089350c ......3...*&..5. + 8e34d0 02002956 80284212 1a01118b 390b8000 ..)V.(B.....9... + 8e34e0 89390c02 002926c0 2842121a 01128b3b .9...)&.(B.....; + 8e34f0 0b8000c0 a18d3b0c 02009d50 1c0113c7 ......;....P.... + 8e3500 bf0c0200 2bc6810c 02002a26 810c0200 ....+.....*&.... + 8e3510 2a26c10c 02009a51 d10f0000 6c1004c0 *&.....Q....l... + 8e3520 20d10f00 6c100414 0110c051 6921070c ...l......Qi!.. + 8e3530 02002546 81d10f69 25070c02 002546c1 ..%F...i%....%F. + 8e3540 d10f6926 0713010f 0c020095 31d10f00 ..i&........1... + 8e3550 6c100469 21041201 09d10f69 25041201 l..i!......i%... + 8e3560 0bd10f68 260263ff fc12010c d10f0000 ...h&.c......... + 8e3570 6c100694 10160018 683423da 305bfff4 l.......h4#.0[.. + 8e3580 d5a02862 79db30da 200b8000 dca02862 ..(by.0. .....(b + 8e3590 b08b10da 500b8000 da305bff e2d10fc0 ....P....0[..... + 8e35a0 c06a4150 c070d4c0 2862a60b 8000d5a0 .jAP.p..(b...... + 8e35b0 cca163ff fc286279 da20db30 0b800028 ..c..(by. .0...( + 8e35c0 6252dba0 c0a00b80 009a5128 6279db30 bR........Q(by.0 + 8e35d0 da200b80 002a5504 8b10b144 c0c09c50 . ...*U....D...P + 8e35e0 2c55052c 55062c55 07c87097 50d75074 ,U.,U.,U..p.P.Pt + 8e35f0 b9b56000 01d7c0c9 7e120104 2862a10b ..`.....~...(b.. + 8e3600 8000c0b0 97a0dc70 892d8770 9bc0c890 .......p.-.p.... + 8e3610 99a19a2d 657fe4d1 0fd10f00 6c100412 ...-e.......l... + 8e3620 00186933 358a402d a1060c02 008ca12d ..i35.@-.......- + 8e3630 a50b2ba1 05acbb0c 02002822 b69ba72a ..+.......("...* + 8e3640 ac100b80 001a0104 8eae8baf cee594ae ................ + 8e3650 28229794 af0b8000 60001528 22b1db40 ("......`..("..@ + 8e3660 1a010a0b 80001a01 0fc0910c 020029a6 ..............). + 8e3670 81c020d1 0f94b163 ffd50000 6c100469 .. ....c....l..i + 8e3680 340c1a01 0489adc8 90994194 add10fda 4.........A..... + 8e3690 305bffaf 18001828 82b4db40 0b8000da 0[.....(...@.... + 8e36a0 305bffa0 d10f0000 6c1004d1 0f000000 0[......l....... + 8e36b0 6c1004d1 0f000000 6c1004d1 0f000000 l.......l....... + 8e36c0 6c1004b0 346e4603 c020d10f c021d10f l...4nF.. ...!.. + 8e36d0 6c10046b 35066a33 03c420d1 0f226a40 l..k5.j3.. .."j@ + 8e36e0 d10f0000 6c100419 01130c02 00180114 ....l........... + 8e36f0 29928014 010b1200 18798802 60008f23 )........y..`..# + 8e3700 4cdc6000 122822b3 da400b80 00dba088 L.`..("..@...... + 8e3710 318c32c0 a00b8000 2822b7da 400b8000 1.2.....("..@... + 8e3720 65afe114 010c6000 122822b3 da400b80 e.....`..("..@.. + 8e3730 00dba088 318c32c0 a00b8000 2822b7da ....1.2.....(".. + 8e3740 400b8000 65afe116 010a246c f82822b7 @...e.....$l.(". + 8e3750 da600b80 00c9a228 22b5da60 0b800088 .`.....("..`.... + 8e3760 308b320b 8000c051 600001c0 502822b7 0.2....Q`...P(". + 8e3770 da400b80 00c9a328 22b3da40 0b8000db .@.....("..@.... + 8e3780 a088318c 32c0a00b 8000c051 655fbdd1 ..1.2......Qe_.. + 8e3790 0f000000 6c1004c0 23c08428 34002244 ....l...#..(4."D + 8e37a0 00d10f00 6c100419 01151301 171a011d ....l........... + 8e37b0 1b011c1c 011b1d01 1a1e0119 1f011818 ................ + 8e37c0 01169824 9a229b29 9c289d27 9e269f2b ...$.".).(.'.&.+ + 8e37d0 99209325 18011f98 2a130120 19011e99 . .%....*.. .... + 8e37e0 21932cd1 0f000000 6c100412 00181301 !.,.....l....... + 8e37f0 04282212 1a01218b 390b8000 1a012215 .("...!.9.....". + 8e3800 01100c02 00282212 2b52c60b 80002822 .....(".+R....(" + 8e3810 b81a010b 0b800028 22121a01 238b3b0b .......("...#.;. + 8e3820 80001a01 2214010f 0c020028 22128b46 ...."......("..F + 8e3830 0b800028 22b81a01 0c0b8000 2822121a ...(".......(".. + 8e3840 01248b33 0b80001a 01220c02 00282212 .$.3....."...(". + 8e3850 2b52860b 80002822 b81a0109 0b800028 +R....(".......( + 8e3860 22128b35 1a01250b 80001a01 220c0200 "..5..%....."... + 8e3870 2822122b 42850b80 002822b8 1a010a0b (".+B....("..... + 8e3880 8000d10f 6c100412 0126882c 1a0127c4 ....l....&.,..'. + 8e3890 90688108 0c020029 a4886000 08180018 .h.....)..`..... + 8e38a0 2882350b 8000c090 992bd10f 6c100412 (.5......+..l... + 8e38b0 0126882c 69820818 00182882 360b8000 .&.,i.....(.6... + 8e38c0 2b211289 2bc0a00b a939992b d10f0000 +!..+....9.+.... + 8e38d0 6c1004c0 31280aef 140051c0 73c160c0 l...1(....Q.s.`. + 8e38e0 2a6d2a31 0f391129 9c3f0799 03090947 *m*1.9.).?.....G + 8e38f0 0499020c 02002a90 0006aa02 0c02002a ......*........* + 8e3900 94000c02 00259000 0855010c 02002594 .....%...U....%. + 8e3910 00b13303 0347c031 c0aa6daa 310f3911 ..3..G.1..m.1.9. + 8e3920 299c5f07 99030909 47049902 0c02002a )._.....G......* + 8e3930 900006aa 020c0200 2a94000c 02002590 ........*.....%. + 8e3940 00085501 0c020025 9400b133 030347d1 ..U....%...3..G. + 8e3950 0f000000 6c100413 01261501 28263002 ....l....&..(&0. + 8e3960 c04f283c 58060643 086609c0 83266001 .O(h.Eh. + 8e3e80 4cc0c97c a15b68a9 4ec0db7d a10dc020 L..|.[h.N..}... + 8e3e90 d10f28b2 310b8000 d2a0d10f 28b2240b ..(.1.......(.$. + 8e3ea0 8000c021 d10f28b2 2d0b8000 d2a0d10f ...!..(.-....... + 8e3eb0 28b22e0b 8000d2a0 d10f28b2 2f0b8000 (.........(./... + 8e3ec0 d2a0d10f 28b2300b 8000d2a0 d10f28b2 ....(.0.......(. + 8e3ed0 250b8000 d2a0d10f 28b2230b 8000d2a0 %.......(.#..... + 8e3ee0 d10f28b2 260b8000 c0211a01 281d0110 ..(.&....!..(... + 8e3ef0 1c010f0c 020022d6 870c0200 22c6860c ......"....."... + 8e3f00 020022d6 c70c0200 92c7c0b8 0c020029 .."............) + 8e3f10 a2c20b99 020c0200 29a6c2c0 820c0200 ........)....... + 8e3f20 2fa2c208 ff020c02 002fa6c2 0c02002e /......../...... + 8e3f30 a2c202ee 020c0200 2ea6c22d 1a000c02 ...........-.... + 8e3f40 002ca2c2 0dcc020c 02002ca6 c22b2a00 .,........,..+*. + 8e3f50 0c020029 a2c20b99 020c0200 29a6c2d1 ...)........)... + 8e3f60 0f000000 6c100419 01331600 15120126 ....l....3.....& + 8e3f70 1300180c 02002a21 02c38029 667c78a1 ......*!...)f|x. + 8e3f80 63c3b17b a9792721 031a0134 28321208 c..{.y'!...4(2.. + 8e3f90 7711db70 0b8000c0 efc0d1c0 c08b2715 w..p..........'. + 8e3fa0 01281401 2764b059 283212c0 7f1a0135 .(..'d.Y(2.....5 + 8e3fb0 0b8000c0 c09c271b 01360c02 002a627d ......'..6...*b} + 8e3fc0 0baa020c 02002a66 7d0c0200 c0912956 ......*f}.....)V + 8e3fd0 c00c0200 2956830c 02002756 c00c0200 ....)V....'V.... + 8e3fe0 294488d1 0f1a0137 2832122b 21032c21 )D.....7(2.+!.,! + 8e3ff0 052c2512 08bb119b 280b8000 c0c29c2c .,%.....(......, + 8e4000 d10f9c27 0c02002d 56c00c02 002c5683 ...'...-V....,V. + 8e4010 0c02002e 56c00c02 002d4488 2832121a ....V....-D.(2.. + 8e4020 01380b80 001d0139 0c02002c 627d0dcc .8.....9...,b}.. + 8e4030 010c0200 2c667dc3 af2bfa00 0c020029 ....,f}..+.....) + 8e4040 627d0b99 010a9902 0c020029 667d0778 b}.........)f}.x + 8e4050 390b8000 d10f0000 6c100612 01262821 9.......l....&(! + 8e4060 1a130127 140018b0 886480ae 284232c0 ...'.....d..(B2. + 8e4070 9129251a 0b80001a 012c2e7a 092ea500 .)%......,.z.... + 8e4080 1b013a1c 013b1e01 2d0c0200 2d3083c0 ..:..;..-...-0.. + 8e4090 912f0a03 79d73d2c 260a2d22 106dfa10 ./..y.=,&.-".m.. + 8e40a0 0e9f090d 9c09b199 2cc1002c f5000909 ........,..,.... + 8e40b0 4728d108 c091c1fd 08884728 e5046dfa G(........G(..m. + 8e40c0 100a9d09 0b9c092c c1002cd5 00b19909 .......,..,..... + 8e40d0 09476000 3f9b2a2d 2210c091 c0f36dfa .G`.?.*-".....m. + 8e40e0 100e9f09 0d9b092b b1002bf5 00b19909 .......+..+..... + 8e40f0 094728d1 08290a01 2f0a1d08 884728e5 .G(..)../....G(. + 8e4100 046dfa10 0a9d090c 9b092bb1 002bd500 .m........+..+.. + 8e4110 b1990909 472c6a0a 2ce5000c 0200c052 ....G,j.,......R + 8e4120 1c012829 30880c02 0028c283 0c020098 ..()0....(...... + 8e4130 100c0200 8d102e0a 602b0a80 0d0f412f ........`+....A/ + 8e4140 24020bdb 010eda01 2a24012b 24000c02 $.......*$.+$... + 8e4150 00891009 89472925 020c0200 88100888 .....G)%........ + 8e4160 57088811 0c02008f 100f0f57 a8ff2f25 W..........W../% + 8e4170 030c0200 2cc2830c 02009c10 0c02008b ....,........... + 8e4180 100b8b47 08bb110c 02008910 090947ab ...G..........G. + 8e4190 99292504 0c020088 10088857 0888110c .)%........W.... + 8e41a0 02008f10 0f0f57a8 ff2f2505 7ed80e28 ......W../%.~..( + 8e41b0 42270b80 00892b0a 5938992b d10f69ad B'....+.Y8.+..i. + 8e41c0 07284228 0b8000d1 0fd95063 ffeb0000 .(B(......Pc.... + 8e41d0 6c1004c0 202b0afd 13012729 0aff0c02 l... +....').... + 8e41e0 002a30ab 0baa010c 02002a34 ab0c0200 .*0.......*4.... + 8e41f0 2934910c 02002934 900c0200 2934970c )4....)4....)4.. + 8e4200 02002934 950c0200 293494c1 800c0200 ..)4....)4...... + 8e4210 2834830c 02002234 83c0610c 02002634 (4...."4..a...&4 + 8e4220 81c4500c 02002534 920c0200 2234890c ..P...%4...."4.. + 8e4230 02002234 abc2440c 02002434 830c0200 .."4..D...$4.... + 8e4240 2234910c 02002234 900c0200 223497d1 "4...."4...."4.. + 8e4250 0f000000 6c100413 0127240a bf0c0200 ....l....'$..... + 8e4260 22309404 22010c02 00223494 d10f0000 "0.."...."4..... + 8e4270 6c100414 01268a20 9a438921 99448822 l....&. .C.!.D." + 8e4280 98458323 9346d10f 6c100412 01268823 .E.#.F..l....&.# + 8e4290 0b80001b 012864a0 4b8da01f 013c8cd1 .....(d.K....<.. + 8e42a0 0c02002f f03cc093 0f2e147f 9007b1e9 .../.<.......... + 8e42b0 09094f60 0001d9e0 c9906d99 0c0c0200 ..O`......m..... + 8e42c0 2db2bf0c 02009dc0 b4cc8da0 8824c090 -............$.. + 8e42d0 29d50729 d50599d0 2fd50699 a12fa504 )..)..../..../.. + 8e42e0 0b8000d1 0f6da905 0c02002c b2bfd10f .....m.....,.... + 8e42f0 6c100412 01268825 0b800064 a07389a0 l....&.%...d.s.. + 8e4300 2ca1048e 91299105 1b01280c 2d4f0e99 ,....)....(.-O.. + 8e4310 086dd90c 0c02008d 900c0200 2db6beb4 .m..........-... + 8e4320 99c0d30c 0c41cac5 68c11068 c25a69c3 .....A..h..h.Zi. + 8e4330 12c0e70c 02002eb6 c0600007 c0f10c02 .........`...... + 8e4340 002fb6c0 0c020088 900c0200 28b6bec0 ./..........(... + 8e4350 df1c013c 0c02002d b6c0c0b8 0c020029 ...<...-.......) + 8e4360 c02d0b99 020c0200 29c42d88 260b8000 .-......).-.&... + 8e4370 d10f1f01 27280ac0 0c02002e f09408ee ....'(.......... + 8e4380 020c0200 2ef494d1 0f0c0200 2db6c063 ............-..c + 8e4390 ffb10000 6c100418 00182882 161a013d ....l.....(....= + 8e43a0 0b8000d1 0f000000 6c100413 00182832 ........l.....(2 + 8e43b0 161a013e 0b800012 0075c1a1 0c020029 ...>.....u.....) + 8e43c0 22810a99 020c0200 28323b2a 0a642926 ".......(2;*.d)& + 8e43d0 810b8000 1800510c 02002922 80089902 ......Q...)".... + 8e43e0 0c020029 26800c02 002f2283 08ff020c ...)&..../"..... + 8e43f0 02002f26 831e013f 0c02002d 22840edd ../&...?...-"... + 8e4400 020c0200 2d2684c0 c10c0200 2b228c0c ....-&......+".. + 8e4410 bb020c02 002b268c d10f0000 6c100413 .....+&.....l... + 8e4420 013c2b0a c01a0127 1601280c 020029a0 .<+....'..(...). + 8e4430 940b9902 0c020029 a494c08f 0c020028 .......).......( + 8e4440 66c01501 400c0200 2566bec0 480c0200 f...@...%f..H... + 8e4450 22302d04 22020c02 0022342d d10f0000 "0-."...."4-.... + 8e4460 6c10045b f2e7d10f 6c100412 01271500 l..[....l....'.. + 8e4470 180c0200 2420a379 470e2852 2b0b8000 ....$ .yG.(R+... + 8e4480 2852121a 01410b80 00c07016 01267f47 (R...A....p..&.G + 8e4490 4f0c0200 2320a278 370e0c02 002920a2 O...# .x7....) . + 8e44a0 0909460c 02002924 a27e3705 2852210b ..F...)$.~7.(R!. + 8e44b0 80007d37 05285222 0b80007f 37052852 ..}7.(R"....7.(R + 8e44c0 200b8000 896b6992 0a0c0200 c0942924 ....ki.......)$ + 8e44d0 8860000a 6991070c 0200c0a1 2a248897 .`..i.......*$.. + 8e44e0 6b784747 0c020023 20ab2c0a fd7e3711 kxGG...# .,..~7. + 8e44f0 0c02002b 20ab0cbb 010c0200 2b24ab27 ...+ .......+$.' + 8e4500 651a7d37 112e0afb 0c02002d 20ab0edd e.}7.......- ... + 8e4510 010c0200 2d24ab7c 3711280a f70c0200 ....-$.|7.(..... + 8e4520 2f20ab08 ff010c02 002f24ab d10f0000 / ......./$..... + 8e4530 6c100412 01271500 180c0200 2420a37b l....'......$ .{ + 8e4540 470e0c02 002820a6 79870528 52330b80 G....( .y..(R3.. + 8e4550 0079470e 0c020029 20a47997 05285234 .yG....) .y..(R4 + 8e4560 0b8000c0 70160126 7f47700c 02002320 ....p..&.Gp...# + 8e4570 a2783717 0c020029 20a20909 460c0200 .x7....) ...F... + 8e4580 2924a228 52121a01 420b8000 7e370528 )$.(R...B...~7.( + 8e4590 52210b80 007d3705 2852220b 80007f37 R!...}7.(R"....7 + 8e45a0 05285220 0b80007c 3709976c 0c0200c0 .(R ...|7..l.... + 8e45b0 91292488 7b37070c 0200c0a4 2a248889 .)$.{7......*$.. + 8e45c0 6b69920a 0c0200c0 b42b2488 60000a69 ki.......+$.`..i + 8e45d0 91070c02 00c0c12c 2488976b 784f0260 .......,$..kxO.` + 8e45e0 00800c02 002320ab 7e371d2a 0afd0c02 .....# .~7.*.... + 8e45f0 002920ab 0a99010c 02002924 ab285212 .) .......)$.(R. + 8e4600 1a014327 651a0b80 007d3738 2a0afb0c ..C'e....}78*... + 8e4610 02002920 ab0a9901 0c020029 24ab2852 ..) .......)$.(R + 8e4620 121a0144 0b800019 00ce1a00 150c0200 ...D............ + 8e4630 28522a29 a67f0b80 00285229 0b800028 (R*).....(R)...( + 8e4640 522c0b80 007c371a 2a0af70c 02002920 R,...|7.*.....) + 8e4650 ab0a9901 0c020029 24ab2852 121a0145 .......)$.(R...E + 8e4660 0b8000d1 0f000000 6c1004c3 a1130015 ........l....... + 8e4670 25fa0017 00180c02 0029327d 0599010a %........)2}.... + 8e4680 99020c02 0028725e 29367d0b 80001200 .....(r^)6}..... + 8e4690 37c04469 a1220c02 002c2286 04cc020c 7.Di."...,"..... + 8e46a0 02002c26 86c6bf0c 02002a22 840baa01 ..,&......*".... + 8e46b0 0c02002a 26846000 9c1b0075 c76e69a3 ...*&.`....u.ni. + 8e46c0 200c0200 2eb28406 ee010c02 002eb684 ............... + 8e46d0 0c02002d b28c06dd 010c0200 2db68c60 ...-........-..` + 8e46e0 0073283a 050c0200 28b683c1 ff0c0200 .s(:....(....... + 8e46f0 2e22840f ee020c02 002e2684 c7d90c02 ."........&..... + 8e4700 002c2284 0dcc010c 02002c26 841a0146 .,".......,&...F + 8e4710 0c02002a b682c090 0c020028 723b2a0a ...*.......(r;*. + 8e4720 6429b684 0b80000c 02002c22 8406cc01 d)........,".... + 8e4730 0c02002c 2684c6b7 0c02002a 22840baa ...,&......*"... + 8e4740 010c0200 2a26840c 02002922 86049902 ....*&....)".... + 8e4750 0c020029 2686c3e2 0c02002d 327d05dd ...)&......-2}.. + 8e4760 010edd02 0c02002d 367dd10f 6c100412 .......-6}..l... + 8e4770 00182822 5e0b8000 d4a0c3b0 13001525 ..("^..........% + 8e4780 fa001901 26c0700c 02002a32 7d05aa01 ....&.p...*2}... + 8e4790 0baa020c 02002822 372a367d 27951c27 ......("7*6}'..' + 8e47a0 951d2795 2c0b8000 28223b2a 1a2c0b80 ..'.,...(";*.,.. + 8e47b0 00190128 0c02002a 92ca77a7 f6c3a30c ...(...*..w..... + 8e47c0 02002932 7d059901 0a99020c 02002822 ..)2}.........(" + 8e47d0 161a0147 29367d0b 80001601 27694233 ...G)6}.....'iB3 + 8e47e0 c3a40c02 0029327d 0599010a 99020c02 .....)2}........ + 8e47f0 0028221f 29367d0b 8000c3c5 0c02002b .(".)6}........+ + 8e4800 327d05bb 010cbb02 0c02002b 367d0c02 2}.........+6}.. + 8e4810 0027648b 28225e0b 800068a1 132a0ac0 .'d.("^...h..*.. + 8e4820 0c020029 60940a99 020c0200 296494d1 ...)`.......)d.. + 8e4830 0f2c0abf 0c02002b 60940cbb 010c0200 .,.....+`....... + 8e4840 2b6494d1 0f000000 6c100418 01481301 +d......l....H.. + 8e4850 49932198 20d10f00 6c100419 01511a01 I.!. ...l....Q.. + 8e4860 501b014f 1c014e1d 014d1e01 4c1f014b P..O..N..M..L..K + 8e4870 13014a18 0152982a 99292a26 1a2b2619 ..J..R.*.)*&.+&. + 8e4880 9c249d23 9e229320 9f211801 5a282614 .$.#.". .!..Z(&. + 8e4890 1f015413 01531e01 551d0133 1c01561b ..T..S..U..3..V. + 8e48a0 01571a01 58190159 2926109a 2f9b2d9c .W..X..Y)&../.-. + 8e48b0 2e9d2c9e 2b93279f 28180163 98261f01 ..,.+.'.(..c.&.. + 8e48c0 5c13015b 1e015d1d 015e1c01 5f1b0160 \..[..]..^.._..` + 8e48d0 1a016119 01629925 2a26182b 26172c26 ..a..b.%*&.+&.,& + 8e48e0 162d2615 2e261123 26132f26 12130164 .-&..&.#&./&...d + 8e48f0 23261bd1 0f000000 6c1004c0 53242c2f #&......l...S$,/ + 8e4900 05440315 00510404 47054402 0c020023 .D...Q..G.D....# + 8e4910 4400d10f 6c1004c0 53240a80 a4240544 D...l...S$...$.D + 8e4920 03150051 04044705 44020c02 00234400 ...Q..G.D....#D. + 8e4930 d10f0000 6c1004c0 53240a90 a4240544 ....l...S$...$.D + 8e4940 03150051 04044705 44020c02 00234400 ...Q..G.D....#D. + 8e4950 d10f0000 6c10040f 27110b36 11048514 ....l...'..6.... + 8e4960 a766c073 266c3f07 66031700 51060647 .f.s&l?.f...Q..G + 8e4970 0766020c 02002564 00d10f00 6c10040f .f....%d....l... + 8e4980 26110b35 11a655c0 63255c3e 06550316 &..5..U.c%\>.U.. + 8e4990 00510505 47065502 0c020024 5400d10f .Q..G.U....$T... + 8e49a0 6c10042a 0a9fc073 0f261126 6c3f0766 l..*...s.&.&l?.f + 8e49b0 03170051 06064707 66020c02 00296000 ...Q..G.f....)`. + 8e49c0 0a99010c 02002964 00c08104 b714b177 ......)d.......w + 8e49d0 03880c0b 77110877 1d0c0200 25600007 ....w..w....%`.. + 8e49e0 55020c02 00256400 d10f0000 6c100418 U....%d.....l... + 8e49f0 01651901 66288100 299100b0 88658179 .e..f(..)....e.y + 8e4a00 6591761a 01672aa1 0065a16d c0a1c0bf e.v..g*..e.m.... + 8e4a10 5bffb9c0 a0c0b15b ffbfc0a1 c0b15bff [......[......[. + 8e4a20 bdc0a02b 0a865bff c3c0a1c0 b65bffc1 ...+..[......[.. + 8e4a30 c0a1c0b1 2c2a005b ffc7c0a1 c0b12c2a ....,*.[......,* + 8e4a40 005bffce c0a1c0b1 2c2a005b ffd5c0a2 .[......,*.[.... + 8e4a50 2b0af25b ffa9c0a2 c1b25bff aec0a3c1 +..[......[..... + 8e4a60 b25bffac c0a22b0a 865bffb2 c0a3c0b6 .[....+..[...... + 8e4a70 5bffb0c0 a2c0b02c 2a005bff b6c0a2c0 [......,*.[..... + 8e4a80 b02c2a00 5bffbdc0 a2c0b02c 2a005bff .,*.[......,*.[. + 8e4a90 c4c0a32b 0afe5bff 98c0aec1 b35bff9d ...+..[......[.. + 8e4aa0 c0ae2b0a 835bffa3 c0a3c0b0 c4c05bff ..+..[........[. + 8e4ab0 a9c0a3c0 b0c4c05b ffb1c0a3 c0b0c4c0 .......[........ + 8e4ac0 5bffb7c0 a42b0aff 5bff8bc0 afc0b45b [....+..[......[ + 8e4ad0 ff91c0af 2b0a835b ff97c0a4 c0b1c4c0 ....+..[........ + 8e4ae0 5bff9cc0 a4c0b1c4 c05bffa4 c0a4c0b1 [........[...... + 8e4af0 c4c05bff abc0a5c4 bf5bff7f c0a4c0b5 ..[......[...... + 8e4b00 5bff84c0 a5c0b55b ff83c0a4 2b0a865b [......[....+..[ + 8e4b10 ff89c0a5 c0b65bff 87c0a5c0 b12c2a00 ......[......,*. + 8e4b20 5bff8cc0 a5c0b12c 2a005bff 94c0a5c0 [......,*.[..... + 8e4b30 b12c2a00 5bff9ac0 a62b0a6f 5bff6ec0 .,*.[....+.o[.n. + 8e4b40 a6c0b65b ff74c0a7 c0b65bff 72c0a62b ...[.t....[.r..+ + 8e4b50 0a865bff 78c0a7c0 b65bff76 c0a6c0b1 ..[.x....[.v.... + 8e4b60 2c2a005b ff7cc0a6 c0b12c2a 005bff83 ,*.[.|....,*.[.. + 8e4b70 c0a6c0b1 2c2a005b ff8ad10f 6c100418 ....,*.[....l... + 8e4b80 01651901 66288100 299100b0 88658118 .e..f(..)....e.. + 8e4b90 6591151a 01672aa1 0065a10c c0a1c0bf e....g*..e...... + 8e4ba0 5bff55c0 a0c0b15b ff5bc0a0 2b0a865b [.U....[.[..+..[ + 8e4bb0 ff61c0a1 c0b65bff 5fc0a1c0 b1c4c05b .a....[._......[ + 8e4bc0 ff65c0a1 c0b1c4c0 5bff6cc0 a1c0b1c4 .e......[.l..... + 8e4bd0 c05bff73 c0a22b0a f25bff47 c0a2c1b2 .[.s..+..[.G.... + 8e4be0 5bff4cc0 a22b0a86 5bff52c0 a3c0b65b [.L..+..[.R....[ + 8e4bf0 ff51c0a2 c0b0c4c0 5bff56c0 a2c0b0c4 .Q......[.V..... + 8e4c00 c05bff5e c0a2c0b0 c4c05bff 65c0a32b .[.^......[.e..+ + 8e4c10 0afe5bff 39c0aec1 b35bff3e c0ae2b0a ..[.9....[.>..+. + 8e4c20 835bff44 c0a3c0b0 c4c05bff 4ac0a3c0 .[.D......[.J... + 8e4c30 b0c4c05b ff52c0a3 c0b0c4c0 5bff58c0 ...[.R......[.X. + 8e4c40 a42b0aff 5bff2cc0 afc0b45b ff32c0af .+..[.,....[.2.. + 8e4c50 2b0a825b ff38c0a4 c0b1c4c0 5bff3dc0 +..[.8......[.=. + 8e4c60 a4c0b1c4 c05bff45 c0a4c0b1 c4c05bff .....[.E......[. + 8e4c70 4cc0a5c4 bf5bff20 c0a4c0b5 5bff25c0 L....[. ....[.%. + 8e4c80 a42b0a86 5bff2bc0 a5c0b65b ff2ac0a5 .+..[.+....[.*.. + 8e4c90 c0b1c4c0 5bff2fc0 a5c0b1c4 c05bff37 ....[./......[.7 + 8e4ca0 c0a5c0b1 c4c05bff 3ed10f00 6c10040c ......[.>...l... + 8e4cb0 02008220 d10f0000 6c10040c 02009320 ... ....l...... + 8e4cc0 d10f0000 6c100416 0168c839 15016968 ....l....h.9..ih + 8e4cd0 31076832 04d10f15 016a0224 0906440b 1.h2.....j.$..D. + 8e4ce0 9540d10f 6c100428 2cfc6e82 03c021d1 .@..l..(,.n...!. + 8e4cf0 0f180018 19016802 24092882 af09440b ......h.$.(...D. + 8e4d00 b84a0b80 00db30da 205bffee 88418240 .J....0. [...A.@ + 8e4d10 8b42a822 da205bff e8bc2ac0 b25bffe6 .B.". [...*..[.. + 8e4d20 2a2c18c0 b15bffe4 c020d10f 6c1004dc *,...[... ..l... + 8e4d30 40db3018 00181a01 68022909 2882b00a @.0.....h.).(... + 8e4d40 920bb82a 0b8000c0 c2c0318f 218d208b ...*......1.!. . + 8e4d50 221e016a afd2da20 0edd0c0d c3385bff "..j... .....8[. + 8e4d60 d6db30b8 2a5bffd4 2a2c1cc0 b15bffd2 ..0.*[..*,...[.. + 8e4d70 b42ac0b1 5bffd0d1 0f000000 6c10046e .*..[.......l..n + 8e4d80 2403c021 d10f1800 181b0168 022a0928 $..!.......h.*.( + 8e4d90 82ae0baa 0bb8aa0b 8000db30 da205bff ...........0. [. + 8e4da0 c9c020d1 0f000000 6c1004db 301c0168 .. .....l...0..h + 8e4db0 18001802 2a090caa 0b8ca189 a02882b1 ....*........(.. + 8e4dc0 b8aaac92 0b8000b4 2ac0b15b ffbbd10f ........*..[.... + 8e4dd0 6c100418 00181b01 68022a09 2882b50b l.......h.*.(... + 8e4de0 aa0bb8aa 0b8000d2 a0d10f00 6c100414 ............l... + 8e4df0 0168c0b0 02230904 330b8831 84308232 .h...#..3..1.0.2 + 8e4e00 8333a844 b44a5bff acda40db 305bffaa .3.D.J[...@.0[.. + 8e4e10 73210fc0 a00c0200 2a25010c 02008224 s!......*%.....$ + 8e4e20 7329f1d1 0f000000 6c100428 2cfc6e82 s)......l..(,.n. + 8e4e30 03c020d1 0f180018 1b016802 2a092882 .. .......h.*.(. + 8e4e40 b70baa0b b8aa0b80 000a024f d10f0000 ...........O.... + 8e4e50 6c100418 00181b01 68022a09 2882b30b l.......h.*.(... + 8e4e60 aa0bb8aa 0b8000d2 a0d10f00 6c1004db ............l... + 8e4e70 301c0168 18001802 2a090caa 0b8ca189 0..h....*....... + 8e4e80 a02882b4 b8aaac92 0b8000b4 2ac0b15b .(..........*..[ + 8e4e90 ff8ad10f 6c10046e 2403c020 d10f1800 ....l..n$.. .... + 8e4ea0 181b0168 022a0928 82b70baa 0bb8aa0b ...h.*.(........ + 8e4eb0 80000a02 4fd10f00 6c100419 01721a01 ....O...l....r.. + 8e4ec0 711b0170 1c016f1d 016e1e01 6d1f016c q..p..o..n..m..l + 8e4ed0 13016b18 0173982b 99299a2a 9b289c27 ..k..s.+.).*.(.' + 8e4ee0 9d269e23 93209f22 13017493 2cd10f00 .&.#. ."..t.,... + 8e4ef0 6c100416 00182862 52c0a0c2 b00b8000 l.....(bR....... + 8e4f00 140175c0 5095a095 a19a406a 2218c031 ..u.P.....@j"..1 + 8e4f10 286252c0 a0c2b00b 8000b133 894099a1 (bR........3.@.. + 8e4f20 95a09a40 7329e892 41d10f00 6c100416 ...@s)..A...l... + 8e4f30 01758260 c0308461 c82a8521 b0449560 .u.`.0.a.*.!.D.` + 8e4f40 94619321 d10fc020 d10f0000 6c100413 .a.!... ....l... + 8e4f50 01758431 85309521 9230b144 9431d10f .u.1.0.!.0.D.1.. + 8e4f60 6c100418 01771901 76130178 93239821 l....w..v..x.#.! + 8e4f70 9920d10f 6c100416 00182862 52c0a0c2 . ..l.....(bR... + 8e4f80 b40b8000 140179c0 5025a507 95a09a40 ......y.P%.....@ + 8e4f90 6a2219c0 31286252 c0a0c2b4 0b8000b1 j"..1(bR........ + 8e4fa0 33894099 a025a507 9a407329 e7d10f00 3.@..%...@s).... + 8e4fb0 6c100415 01798250 c030c826 84209450 l....y.P.0.&. .P + 8e4fc0 9320d10f c020d10f 6c100422 2c10d10f . ... ..l..",... + 8e4fd0 6c100484 212c2105 2821072a 21068531 l...!,!.(!.*!..1 + 8e4fe0 2e210429 31072d31 052b3106 2f31042f .!.)1.-1.+1./1./ + 8e4ff0 25042e35 042d2505 2c35052b 25062a35 %..5.-%.,5.+%.*5 + 8e5000 06292507 28350795 219431d1 0f000000 .)%.(5..!.1..... + 8e5010 6c100418 017c1901 7b1a017a 13017d93 l....|..{..z..}. + 8e5020 23982299 219a20d1 0f000000 6c100484 #.".!. .....l... + 8e5030 208240c8 25d42082 20652ff8 25410522 .@.%. . e/.%A." + 8e5040 41042341 0605220c 03220cd1 0f000000 A.#A..".."...... + 8e5050 6c1004d5 20822028 21062621 05a38803 l... . (!.&!.... + 8e5060 660c2625 05282506 245104a3 44245504 f.&%.(%.$Q..D$U. + 8e5070 84212221 05a422d1 0f000000 6c100486 .!"!..".....l... + 8e5080 208560c8 55d65085 50655ff8 246106a3 .`.U.P.Pe_.$a.. + 8e5090 49296506 28210425 61058761 a3882825 I)e.(!.%a..a..(% + 8e50a0 04a752a2 42d10f00 6c1004d5 20822028 ..R.B...l... . ( + 8e50b0 21062621 0503880c a3662625 05282506 !.&!.....f&%.(%. + 8e50c0 24510403 440c2455 04842122 2105a422 $Q..D.$U..!"!.." + 8e50d0 d10f0000 6c100422 2104d10f 6c100485 ....l.."!...l... + 8e50e0 20265105 87512551 06a76696 309540d1 &Q..Q%Q..f.0.@. + 8e50f0 0f000000 6c1004bc 22d10f00 6c100418 ....l..."...l... + 8e5100 0018dd40 dc302882 9b2a22b1 c0b00b80 ...@.0(..*"..... + 8e5110 00d10f00 6c100614 0018d520 284252c0 ....l...... (BR. + 8e5120 a02b2acc 0b8000d2 a08844c0 b02c2acc .+*.......D..,*. + 8e5130 0b800088 32261a8c a6269820 8c342c26 ....2&...&. .4,& + 8e5140 b18a332a 26b09212 28427229 42862b42 ..3*&...(Br)B.+B + 8e5150 879b1099 11db100b 8000c4e0 c3a4c0b3 ................ + 8e5160 c0d62f42 88c0c02c 66492d26 ac296249 ../B...,fI-&.)bI + 8e5170 2c664c2b 26af0a99 28c2ac0e 99352966 ,fL+&...(....5)f + 8e5180 4a883029 2a7ca929 2866448b 312f663d J.0)*|.)(fD.1/f= + 8e5190 2d42892e 65822d66 3e2c6648 2a65832b -B..e.-f>,fH*e.+ + 8e51a0 66472a22 b02b2c19 2226a528 427c2c2c fG*".+,."&.(B|,, + 8e51b0 1899220b 8000884e 0b80002b 20192e22 .."....N...+ .." + 8e51c0 b02d4275 69a209da e08c310b d0006000 .-Bui.....1...`. + 8e51d0 06dae0c0 c10bd000 2526a9da 20c0b4c4 ........%&.. ... + 8e51e0 c0c09129 269e5bff c5284274 2a22b00b ...)&.[..(Bt*".. + 8e51f0 8000d10f 6c1004d1 0f000000 6c100424 ....l.......l..$ + 8e5200 22a69430 2326a6d1 0f000000 6c1004da "..0#&......l... + 8e5210 205800bc d4a0c0b8 5bff9815 0018d3a0 X......[....... + 8e5220 8854c0b0 c0c80b80 00dc40da 20c0b0c1 .T........@. ... + 8e5230 e6c081c0 f02922a7 2d22aa2d 34032934 .....)".-".-4.)4 + 8e5240 052f3400 0989140d 8d142834 012e3406 ./4.......(4..4. + 8e5250 2852842d 34022934 040b8000 d10f0000 (R.-4.)4........ + 8e5260 6c1004da 40c0b85b ff7a2a22 b0180018 l...@..[.z*".... + 8e5270 dc400336 0f288277 02660a2b 60190b80 .@.6.(.w.f.+`... + 8e5280 002922a8 2822aa7f 974bda40 5bff912b .)".("...K.@[..+ + 8e5290 22a75805 eed7a0da 405bff8e c0412b22 ".X.....@[...A+" + 8e52a0 a75805fe 2c69072b 6902b17d 0ad739a7 .X..,i.+i..}..9. + 8e52b0 bb07cc0c 2c65072b 65022a22 9c003104 ....,e.+e.*"..1. + 8e52c0 004b1a0a ba022a26 9cc85eda 20b46cdd .K....*&..^. .l. + 8e52d0 30580094 d10fa8ff 2f26aad1 0f000000 0X....../&...... + 8e52e0 6c100825 16032616 04241602 c84eda20 l..%..&..$...N. + 8e52f0 db30dc40 8441c0d0 5bffd965 4fefdd30 .0.@.A..[..eO..0 + 8e5300 da200031 04c0b103 3c0f02cc 0a00bb1a . .1....<....... + 8e5310 b4cc5800 84d10f00 6c1004dc 40db30da ..X.....l...@.0. + 8e5320 20c0d15b ffcfd10f 6c1008da 405bff71 ..[....l...@[.q + 8e5330 d5a0da40 5bff67d6 a09a15c0 b8da405b ...@[.g.......@[ + 8e5340 ff449611 d7a01800 18c09023 5400c4d0 .D.........#T... + 8e5350 2c500103 3b0f02bb 0a9b140d cc022c54 ,P..;.........,T + 8e5360 0123a400 29a40128 82792bb0 182a22b0 .#..)..(.y+..*". + 8e5370 0b800085 40d6a0da 40255105 5bff55d3 ....@...@%Q.[.U. + 8e5380 a0da405b ff2a0565 0c03550c 75ab06da ..@[.*.e..U.u... + 8e5390 405bff26 d5a06b56 026000bf 23229cc0 @[.&..kV.`..#".. + 8e53a0 6064309c da40c0b2 97165bff 34d720b4 `d0..@....[.4. . + 8e53b0 2bc062c0 d19a12c0 832c5cfe c090c050 +.b......,\....P + 8e53c0 29a40128 a4006430 b26bc402 6000ac9c )..(..d0.k..`... + 8e53d0 179b1000 510400de 1a9e137e 3057da40 ....Q......~0W.@ + 8e53e0 c0b45bff 26c08089 13dba025 a4002c79 ..[.&......%..,y + 8e53f0 022ca401 2d710ec7 af0a9903 adcc0c0c .,..-q.......... + 8e5400 4b0c8a14 2c750e2c b4032ab4 022f7108 K...,u.,..*../q. + 8e5410 09330128 75027df7 048a1058 00f28b10 .3.(u.}....X.... + 8e5420 8f12c0d1 8c172ef0 01b4662c ccfcb4ee ..........f,.... + 8e5430 2ef4016e c445b155 277c1c2b bc1c63ff ...n.E.U'|.+..c. + 8e5440 84971687 166a6113 c0828911 2674042f .....ja.....&t./ + 8e5450 7001a969 991508ff 022f7401 8b141800 p..i...../t..... + 8e5460 188c152a 22b02c74 030c8d14 288276dc ...*".,t....(.v. + 8e5470 402d7402 2bb0180b 8000d10f 23269c63 @-t.+.......#&.c + 8e5480 ffc00000 6c1004d1 0f000000 6c1004d1 ....l.......l... + 8e5490 0f000000 6c100418 00182882 7a2a22b0 ....l.....(.z*". + 8e54a0 0b8000b8 a2d10f00 6c100419 017e1301 ........l....~.. + 8e54b0 801a0186 1b01851c 01841d01 831e0182 ................ + 8e54c0 1f018118 017f9824 9a289b27 9c219d26 .......$.(.'.!.& + 8e54d0 9e229f23 99209325 18018898 2a130189 .".#. .%....*... + 8e54e0 19018799 29932bd1 0f000000 6c100418 ....).+.....l... + 8e54f0 0018dc30 28829e2a 22b1c0b0 0b8000d1 ...0(..*"....... + 8e5500 0f000000 6c100413 00182832 85da200b ....l.....(2.. . + 8e5510 8000dca0 28329c2a 22b1c0b0 0b8000d2 ....(2.*"....... + 8e5520 a0d10f00 6c100428 229b2922 9c73804f ....l..(".)".s.O + 8e5530 73904cc0 62c9412a 49042b49 008c44c8 s.L.b.A*I.+I..D. + 8e5540 a17ab23b 2d22af7d ca08da20 5bffedd3 .z.;-".}... [... + 8e5550 a0cca92e 22a806ee 022e26a8 d10f5bfe ....".....&...[. + 8e5560 e5c84c88 44c2f00f 5f02b188 98442fa4 ..L.D..._....D/. + 8e5570 01180018 dc302882 84da20c0 b00b8000 .....0(... ..... + 8e5580 d10f0000 6c1006da 20263002 28300324 ....l... &0.(0.$ + 8e5590 22a60866 11086602 c0809810 5bffd9d7 "..f..f.....[... + 8e55a0 a0c0ba5b feb61800 18d5a088 84c0b0c0 ...[............ + 8e55b0 ca0b8000 26540306 8914c0a0 c0b32b54 ....&T........+T + 8e55c0 012a5400 295402c9 462b229e c1a57baa .*T.)T..F+"...{. + 8e55d0 04c0d360 000c2c41 0876c11a 8440654f ...`..,A.v...@eO + 8e55e0 ecc0d118 0018dc70 2d540428 8284da20 .......p-T.(... + 8e55f0 c0b00b80 00d10fda 40ba5edf 102d3008 ........@.^..-0. + 8e5600 c0908843 ba3c0d9c 380b8000 dda065af ...C.<..8.....e. + 8e5610 d18a102a 54082922 9e295405 2a410a2a ...*T.)".)T.*A.* + 8e5620 54070999 0f02990a 0a8a142a 54062a30 T..........*T.*0 + 8e5630 05283004 94922922 9e088811 0a880209 .(0...)"........ + 8e5640 990f0299 0a289508 2f229e2e 30060fff .....(../"..0... + 8e5650 0f02ff0a 2ef4182c 229e2b30 070ccc0f .......,".+0.... + 8e5660 02cc0a2b c4192a22 9e2b4109 c0c1b1aa ...+..*".+A..... + 8e5670 0cbb022b 45092a26 9e63ff66 6c1004da ...+E.*&.c.fl... + 8e5680 205bffa0 d4a0c0b4 5bfe7c16 0018d5a0 [......[.|..... + 8e5690 8864c0b0 c0c40b80 00c096c0 a02a5400 .d...........*T. + 8e56a0 2954012b 30022b54 02286278 2a22b00b )T.+0.+T.(bx*".. + 8e56b0 8000cba6 c0e02e54 032c3003 2d22ab2b .......T.,0.-".+ + 8e56c0 22aac092 acdd7db2 1c2a22b0 2862752d ".....}..*".(bu- + 8e56d0 26ab2b30 020b8000 dc402862 84da20c0 &.+0.....@(b.. . + 8e56e0 b00b8000 d10f2954 0363ffeb c09163ff ......)T.c....c. + 8e56f0 f4000000 6c1006c8 3163fffc da40db10 ....l...1c...@.. + 8e5700 b41c5bfe 768b1029 b0002ab0 01089911 ..[.v..)..*..... + 8e5710 0a990268 920d6894 5b69950c da505bff ...h..h.[i...P[. + 8e5720 d7600004 da505bff 97c0302a 52a8c071 .`...P[...0*R..q + 8e5730 1600187f a70edc40 286282da 50c0b00b .......@(b..P... + 8e5740 80006000 1ada40c0 b85bfe41 dc402a52 ..`...@..[.A.@*R + 8e5750 b0022b0f 28627705 bb0a2bb0 190b8000 ..+.(bw...+..... + 8e5760 c83f2c52 a82a52a9 07cc022c 56a8c8a1 .?,R.*R....,V... + 8e5770 0ba000d1 0fc03163 ffb00000 6c1004da ......1c....l... + 8e5780 305bfe5c 29a0017a 970e090a 440aaa0f 0[.\)..z....D... + 8e5790 04aa0a88 a5b08898 a5db30da 405bff53 ..........0.@[.S + 8e57a0 2e42a87e e712da40 c0c0c7bd c1d60beb .B.~...@........ + 8e57b0 012b46a8 c7bf5bff 5bd10f00 6c1004da .+F...[.[...l... + 8e57c0 205bfe4c 24a000c0 b8da205b fe37044c [.L$..... [.7.L + 8e57d0 0f03cc0a 8cc2db20 88c2da40 8cc60b80 ....... ...@.... + 8e57e0 00d10f00 6c100428 29052521 06242900 ....l..().%!.$). + 8e57f0 050541a8 44084432 c8576851 0d695205 ..A.D.D2.WhQ.iR. + 8e5800 04440904 24122425 04d10f04 141263ff .D..$.$%......c. + 8e5810 f4000000 6c1004d1 0f000000 6c1008db ....l.......l... + 8e5820 10b41cd7 20023738 da705bfe 2c8b1097 .... .78.p[.,... + 8e5830 148a1128 b00626b0 002aacf8 9a162bb0 ...(..&..*....+. + 8e5840 07088811 06650f04 550a2951 0d0b8802 .....e..U.)Q.... + 8e5850 98152b42 a79b1709 880c0808 4b981358 ..+B........K..X + 8e5860 047bd7a0 8b178a16 58048c8b 152b550d .{......X....+U. + 8e5870 8d108c13 2ed001b1 790a9739 7de7098a ........y..9}... + 8e5880 142bd004 5bffe3d1 0f2f42a8 c0d19212 .+..[..../B..... + 8e5890 7ff73000 610400d2 1a7c7b1c 2b590729 ..0.a....|{.+Y.) + 8e58a0 590207ca 0c0abb0c aa992955 022b5507 Y.........)U.+U. + 8e58b0 28429c08 28022846 9c2c5907 b1cc2c55 (B..(.(F.,Y...,U + 8e58c0 07600005 00610400 d21a2942 9b7fe715 .`...a....)B.... + 8e58d0 092e022e 469b2d51 08b4577d d719da70 ....F.-Q..W}...p + 8e58e0 5bffc060 0011b457 c0f0c78f 08280308 [..`...W.....(.. + 8e58f0 98012846 9b2f5506 8a14c0b8 5bfdea8d ..(F./U.....[... + 8e5900 52dc308b 1288d1da 608dd60b 8000dd60 R.0.....`......` + 8e5910 dc70db20 da405bff 03d10f00 6c1004d1 .p. .@[.....l... + 8e5920 0f000000 6c100418 00182882 a60b8000 ....l.....(..... + 8e5930 caaec0b0 0c02002b a5090c02 002ba508 .......+.....+.. + 8e5940 0c02002b a50b0c02 002ba50a 0c02009b ...+.....+...... + 8e5950 a60c0200 9ba70c02 009ba829 ac109921 ...........)...! + 8e5960 9920d10f 6c1004da 205bffee c0809822 . ..l... [....." + 8e5970 9823d10f 6c10040c 02002621 03062614 .#..l.....&!..&. + 8e5980 0c020085 230c0200 242103c0 33055202 ....#...$!..3.R. + 8e5990 74300226 6c011501 8a6d6a20 8820058a t0.&l....mj . .. + 8e59a0 01088910 08aa110a 9902088a 57088814 ............W... + 8e59b0 0588010a 88020988 029820b4 22d10f00 .......... ."... + 8e59c0 6c1004da 208b3058 00041800 182882a3 l... .0X.....(.. + 8e59d0 da300b80 00d10f00 6c100415 00186430 .0......l.....d0 + 8e59e0 5bc060c0 402852a7 da300b80 000c0200 [.`.@(R..0...... + 8e59f0 26a5010c 020026a5 000c0200 26a5030c &.....&.....&... + 8e5a00 020026a5 020c0200 96a20c02 0096a30c ..&............. + 8e5a10 020096a4 2635058b 310c0200 9ba32931 ....&5..1.....)1 + 8e5a20 040c0200 29a503cc 43d7a060 00040c02 ....)...C..`.... + 8e5a30 009a4483 30d4a065 3faa6000 01c040db ..D.0..e?.`...@. + 8e5a40 70da200c 02002852 ba94720b 8000d10f p. ...(R..r..... + 8e5a50 6c1006da 209311d2 306a3141 c0501300 l... ...0j1A.P.. + 8e5a60 18c0709a 102832a6 0b8000d6 a0cca163 ..p..(2........c + 8e5a70 fffc2832 52c0a0db 400b8000 9a612465 ..(2R...@....a$e + 8e5a80 04b177c0 b09b602b 65052b65 062b6507 ..w...`+e.+e.+e. + 8e5a90 c8509560 d5607729 cb8a1060 0001c050 .P.`.`w)...`...P + 8e5aa0 db505bff cdd10f00 6c100489 30da20c0 .P[.....l...0. . + 8e5ab0 c064907a c0f0222a 002d9c10 0c02002f .d.z.."*.-...../ + 8e5ac0 95090c02 002f9508 0c02002f 950b0c02 ...../...../.... + 8e5ad0 002f950a 0c02009f 960c0200 9f970c02 ./.............. + 8e5ae0 009f9828 91060c02 008e9128 950b2891 ...(.......(..(. + 8e5af0 05ae880c 02009897 0c02002f 95080c02 .........../.... + 8e5b00 002f9509 cdcbdbd0 0c02002e 910802ee ./.............. + 8e5b10 020c0200 2e95082c 31040c02 002c950a .......,1....,.. + 8e5b20 6000040c 02009dc4 8990dcd0 659f8929 `...........e..) + 8e5b30 1a000c02 0028d100 0988020c 020028d5 .....(........(. + 8e5b40 000c0200 8fa289a3 9db2ccf9 cc9793a3 ................ + 8e5b50 93a29331 60000393 9193a318 00182882 ...1`.........(. + 8e5b60 bb0b8000 d10f0000 6c1004d1 0f000000 ........l....... + 8e5b70 6c100485 20832175 310f0c02 00285101 l... .!u1....(Q. + 8e5b80 c0210808 41688101 d10fc020 d10f0000 .!..Ah..... .... + 8e5b90 6c1004da 20120018 2822b90b 8000d3a0 l... ...("...... + 8e5ba0 cca3c0a0 60001328 22a10b80 00d2a0cc ....`..("....... + 8e5bb0 a163fffc db305800 3cda20d2 a0d10f00 .c...0X.<. ..... + 8e5bc0 6c100418 00182882 b9da200b 8000dba0 l.....(... ..... + 8e5bd0 cca3c030 60001a83 228923c0 c0739906 ...0`...".#..s.. + 8e5be0 9c229c23 6000038a 319a229c 31da3058 .".#`...1.".1.0X + 8e5bf0 002ed230 d10f0000 6c100483 20c040c4 ...0....l... .@. + 8e5c00 78c56315 00188821 73891528 5212db30 x.c....!s..(R..0 + 8e5c10 1a018b0b 80002852 121a018c 0b8000d1 ......(R........ + 8e5c20 0fdc600c 02001a01 8d293101 db302852 ..`......)1..0(R + 8e5c30 12090940 097c390b 8000c0b5 b144da40 ...@.|9......D.@ + 8e5c40 580396cc a7285212 1a018e0b 80000c02 X....(R......... + 8e5c50 00833463 ffaf0000 6c100419 01921301 ..4c....l....... + 8e5c60 941a0191 1b01901c 018f1d01 971e0196 ................ + 8e5c70 1f019518 01939826 9d2a9e29 9f289925 .......&.*.).(.% + 8e5c80 93279a24 9b239c20 18019c98 2d1c0198 .'.$.#. ....-... + 8e5c90 1b01991a 019a1301 9d19019b 992c932e .............,.. + 8e5ca0 9a2b9b22 9c21d10f 6c100425 3cf09520 .+.".!..l..%<.. + 8e5cb0 0c020024 31022425 04ca36c0 200c0200 ...$1.$%..6. ... + 8e5cc0 253cf029 3dff2831 0328957e c8209520 %<.)=.(1.(.~. . + 8e5cd0 d2500c02 002a3100 77af090c 02008334 .P...*1.w......4 + 8e5ce0 653fd9d1 0f2c3dff c0b02bc6 3cd10f00 e?...,=...+.<... + 8e5cf0 6c1004d5 20822083 51723903 c020d10f l... . .Qr9.. .. + 8e5d00 0c020028 21010808 41c88e0c 02002921 ...(!...A.....)! + 8e5d10 01090941 689203c0 20d10f0c 02008a22 ...Ah... ......" + 8e5d20 0c02008a a49a50d1 0f000000 6c1008da ......P.....l... + 8e5d30 30c0b0c0 410c0200 24a5010c 02002ba5 0...A...$.....+. + 8e5d40 000c0200 2ba5020c 02008832 7a896615 ....+......2z.f. + 8e5d50 00180c02 0089320c 0200da10 db308855 ......2......0.U + 8e5d60 c1c49394 0b80001c 019e0c02 002d3101 .............-1. + 8e5d70 0cdd010c 02002d35 010c0200 2b11010c ......-5....+... + 8e5d80 bb010c02 008a212b 15012852 a82b3cf0 ......!+..(R.+<. + 8e5d90 2aacf00b 80008a21 8855db10 c1c40b80 *......!.U...... + 8e5da0 008a210c 020029a1 01049902 0c020029 ..!...)........) + 8e5db0 a5019321 d10f0c02 008aa463 ff760000 ...!.......c.v.. + 8e5dc0 6c1008da 3014019e c0510c02 0029a101 l...0....Q...).. + 8e5dd0 04990105 99020c02 0029a501 0c020088 .........)...... + 8e5de0 327a8972 0c02008b 320c0200 93b40c02 2z.r....2....... + 8e5df0 008a3273 a9068c21 0c02009c 32160018 ..2s...!....2... + 8e5e00 da108865 db30c1c4 0b80000c 02002c31 ...e.0........,1 + 8e5e10 0104cc01 0c02002c 35010c02 002b1101 .......,5....+.. + 8e5e20 04bb010c 02008a21 2b150128 62a82b3c .......!+..(b.+< + 8e5e30 f02aacf0 0b80008a 218865db 10c1c40b .*......!.e..... + 8e5e40 80008a21 0c020029 a1010599 020c0200 ...!...)........ + 8e5e50 29a50193 21d10f0c 02008aa4 63ff6a00 )...!.......c.j. + 8e5e60 6c10040c 02001800 1828823b c0a59320 l........(.;... + 8e5e70 0b8000d1 0f000000 6c100619 01a11a01 ........l....... + 8e5e80 a01b019f 1200189b 109a1128 227a9912 ...........("z.. + 8e5e90 c0a00b80 00282272 c0a0db10 0b80001b .....("r........ + 8e5ea0 01a22822 7cc0a0b1 bc0b8000 c020d10f ..("|........ .. + 8e5eb0 6c100418 00181b01 a1dc2028 82778ab1 l......... (.w.. + 8e5ec0 2bb0080b 8000d10f 6c100415 01a1c0a1 +.......l....... + 8e5ed0 b3282552 00c92122 0a000828 146d8906 .(%R..!"...(.m.. + 8e5ee0 8950b455 09220360 0001c020 032b0cc0 .P.U.".`... .+.. + 8e5ef0 200ba239 d10f0000 6c10048a 308ca12b ..9....l...0..+ + 8e5f00 a1051601 a12ea106 acbb0c02 002cb101 .............,.. + 8e5f10 0c02008d b10c0200 24b100b8 b2ccdb0c ........$....... + 8e5f20 02008920 9960b422 60000200 89602fcc ... .`."`....`/. + 8e5f30 fca9d904 fc38c9c6 b3c80828 146d890d .....8.....(.m.. + 8e5f40 0c02008a 200c0200 9a90b422 b4998a30 .... ......"...0 + 8e5f50 89a0c895 da908990 659ff827 a1060e77 ........e..'...w + 8e5f60 0c07074f 27a50628 310489a1 b8750e88 ...O'..(1....u.. + 8e5f70 0cb88f28 350425a5 0628a105 2f3504a9 ...(5.%..(../5.. + 8e5f80 88a8770c 02008fb1 0c02009f 71ca48c0 ..w.........q.H. + 8e5f90 810c0200 98706000 06c0930c 02009970 .....p`........p + 8e5fa0 180018dc 30288276 8a612b60 090b8000 ....0(.v.a+`.... + 8e5fb0 cc43cc51 0b2000d1 0fadca0c 02008b20 .C.Q. ......... + 8e5fc0 5bffc1d5 a00c0200 822165af cbc0920c [........!e..... + 8e5fd0 02009970 63ffc800 6c1004c0 801301a3 ...pc...l....... + 8e5fe0 93209824 9825982b 98269827 98289829 . .$.%.+.&.'.(.) + 8e5ff0 98229821 982a982c d10f0000 6c100413 .".!.*.,....l... + 8e6000 00182832 121a01a4 0b800012 00370c02 ..(2.........7.. + 8e6010 002b2284 1a00e72c 2a000cbb 020b0b4f .+"....,*......O + 8e6020 5bff8f28 323b2a3a e80b8000 0c02002b [..(2;*:.......+ + 8e6030 22841a00 e72cdaff 0cbb010b 0b4f5bff "....,.......O[. + 8e6040 880c0200 1c01a52b 22841a00 e70cbb02 .......+"....... + 8e6050 0b0b4f5b ff832832 3b2a3ae8 0b80000c ..O[..(2;*:..... + 8e6060 02001c01 a62b2284 1a00e70c bb010b0b .....+"......... + 8e6070 4f5bff7b 28323b2a 3ae80b80 000c0200 O[.{(2;*:....... + 8e6080 2b22861a 00e5c0c1 0cbb020b 0b4f5bff +"...........O[. + 8e6090 741a01a7 c1b55bff 7228323b 2a3ae80b t.....[.r(2;*:.. + 8e60a0 80001a01 a8c0b55b ff6e2832 3b2a3ae8 .......[.n(2;*:. + 8e60b0 0b800028 32121a01 a90b8000 d10f0000 ...(2........... + 8e60c0 6c100613 01aa0c02 0088300c 02002815 l.........0...(. + 8e60d0 000c0200 2211007f 2f130c02 008a300c ....".../.....0. + 8e60e0 02002a15 000c0200 2911007f 97eb0c02 ..*.....)....... + 8e60f0 00221100 028247d1 0f000000 6c10041a ."....G.....l... + 8e6100 01aac0c2 082b110c bb020b0b 4f5bff54 .....+......O[.T + 8e6110 d10f0000 6c10046a 313a0309 40b136b2 ....l..j1:..@.6. + 8e6120 34b03806 643b1601 ab041412 c0306d4a 4.8.d;.......0mJ + 8e6130 230c0200 8760a23a b4660707 4f738901 #....`.:.f..Os.. + 8e6140 cc9a27a4 01078b14 2ba40060 0004a23c ..'.....+..`...< + 8e6150 27c400b2 33c020d1 0f000000 6c100416 '...3. .....l... + 8e6160 00181a01 ac286212 db20dc30 0b80006a .....(b.. .0...j + 8e6170 3117c040 5bffd2d5 a0a24adb 505bffe5 1..@[.....J.P[.. + 8e6180 a454c0a0 5bffdd73 42e9dc30 286212db .T..[..sB..0(b.. + 8e6190 201a01ad 0b8000d1 0f000000 6c1004d5 ...........l... + 8e61a0 206a3112 c76f220a 006d3a08 8450b455 j1..o"..m:..P.U + 8e61b0 064403a4 22d10fc0 20d10f00 6c10045b .D.."... ...l..[ + 8e61c0 ffc01300 18d4a028 32121a01 aedb400b .......(2.....@. + 8e61d0 8000db40 da205bff cf283212 1a01af8b ...@. [..(2..... + 8e61e0 220b8000 c0a08920 99255bff c45bffb4 "...... .%[..[.. + 8e61f0 dba0bc2a 5bffc728 32121a01 b08b230b ...*[..(2.....#. + 8e6200 8000c0a0 5bffbd89 24659053 c0408a20 ....[...$e.S.@. + 8e6210 8b215bff d25bffaa 8b218a20 0b2b145b .![..[...!. .+.[ + 8e6220 ffdf8c23 7ac11728 32121a01 b10b8000 ...#z..(2....... + 8e6230 9424c0a1 5bffb189 24649fd1 60002028 .$..[...$d..`. ( + 8e6240 32121a01 b20b8000 c0a2c091 99245bff 2............$[. + 8e6250 ab5bff9b 7da70828 32121a01 b30b8000 .[..}..(2....... + 8e6260 c020d10f 6c100418 01b81901 b71a01b6 . ..l........... + 8e6270 1b01b51c 01b41301 b9932198 2599249a ..........!.%.$. + 8e6280 239b229c 20d10f00 6c100412 01bac050 #.". ...l......P + 8e6290 c03a0224 026d3a03 9540b444 d10f0000 .:.$.m:..@.D.... + 8e62a0 6c1004d1 0f000000 6c100694 106a414a l.......l....jAJ + 8e62b0 1b01ba16 0018c070 0b330a28 62a10b80 .......p.3.(b... + 8e62c0 002862a6 d2a00b80 00d4a028 6252db50 .(b........(bR.P + 8e62d0 c0a00b80 008c10b1 77254504 9a41c0b0 ........w%E..A.. + 8e62e0 2b45062b 45058930 94202b25 04cc9592 +E.+E..0. +%.... + 8e62f0 3077c9c6 d10f9921 63fff3d1 0f000000 0w.....!c....... + 8e6300 6c100412 01ba0233 0a8230c0 50c82f86 l......3..0.P./. + 8e6310 20882198 30952124 65052565 06252504 .!.0.!$e.%e.%%. + 8e6320 d10f0000 6c1004dc 40db30da 205bfff4 ....l...@.0. [.. + 8e6330 d2a0d10f 6c100412 01ba0233 0a8530c8 ....l......3..0. + 8e6340 50954194 30d10f00 6c10046f 2d2d1901 P.A.0...l..o--.. + 8e6350 bbca3bc0 a01601bd 1401be18 00061c01 ..;............. + 8e6360 bc0e2511 09370cac 5ca85582 c093c007 ..%..7..\.U..... + 8e6370 64399450 092b0c0b a238d10f c020d10f d9.P.+...8... .. + 8e6380 c0a00997 0c1601bd 1800061c 01bc0e25 ...............% + 8e6390 111401be ac5ca855 82c099c0 07643994 .....\.U.....d9. + 8e63a0 50092b0c 0ba238d1 0f000000 c0236000 P.+...8......#`. + 8e63b0 02000000 95179218 12000803 b13002e6 .............0.. + 8e63c0 16931092 11211c70 12000a01 02000324 .....!.p.......$ + 8e63d0 02042409 6c100e0c 03300d06 001d01bc ..$.l....0...... + 8e63e0 8f189c12 0c02300e 00309c19 0c01309e ......0..0....0. + 8e63f0 1a9c1b0d fc0a8cc0 de1064c0 020fc000 ..........d..... + 8e6400 8d198e1a 8f1b0d02 310e0031 0f01318e ........1..1..1. + 8e6410 120c3600 0e033110 000c1d00 0a0d0002 ..6...1......... + 8e6420 00d009d1 0f000000 024830b1 22002004 .........H0.". . + 8e6430 03493003 02190033 1a6730bf 03835703 .I0....3.g0...W. + 8e6440 22020203 06023301 033f0400 31040202 ".....3..?..1... + 8e6450 19024931 02483022 2c1f0323 0c034831 ..I1.H0",..#..H1 + 8e6460 01020002 49306420 7a7f2f08 7e2f197d ....I0d z./.~/.} + 8e6470 2f3a6000 8c239cf0 94309531 96329733 /:`..#...0.1.2.3 + 8e6480 02161401 080463ff dc23dcf0 94309531 ......c..#...0.1 + 8e6490 96329733 235cf483 30233ce0 98309931 .2.3#\..0#<..0.1 + 8e64a0 9a329b33 022a1402 080463ff b8010804 .2.3.*....c..... + 8e64b0 2ddcf090 d091d192 d293d323 1cf48330 -..........#...0 + 8e64c0 2ddc1023 3cd09430 95319632 97339834 -..#<..0.1.2.3.4 + 8e64d0 99359a36 9b370f08 04023e14 03080463 .5.6.7....>....c + 8e64e0 ff830000 01080402 48300021 04c02100 ........H0.!..!. + 8e64f0 221a0249 31010200 c020d00f c021d00f "..I1.... ...!.. + 8e6500 00000f22 11b12203 4830782f 0ab0330f ..."..".H0x/..3. + 8e6510 22117827 f7030342 00310408 23100322 ".x'...B.1..#.." + 8e6520 18020247 02493103 0330c220 03230c03 ...G.I1..0. .#.. + 8e6530 48310102 00c022d0 0f000000 6c100216 H1....".....l... + 8e6540 01bf05e6 30d40006 5201b322 02e63101 ....0...R.."..1. + 8e6550 020053ff b5d04005 e6310102 00d10f00 ..S...@..1...... + 8e6560 6c100228 20002930 00c0a379 8952032b l..( .)0...y.R.+ + 8e6570 027ab053 032b037a b831b122 6480b7b1 .z.S.+.z.1."d... + 8e6580 337a2043 28200029 3000b122 79893164 3z C( .)0.."y.1d + 8e6590 80a4b133 7a203028 20002930 00b12279 ...3z 0( .)0.."y + 8e65a0 891e6480 91b13360 001d0000 c0806d88 ..d...3`......m. + 8e65b0 0f282000 293000b1 22798904 b133648f .( .)0.."y...3d. + 8e65c0 ff09820c d10f0000 1401c017 01c36d48 ..............mH + 8e65d0 29282200 2932000f 85117989 5e058902 )(".)2....y.^... + 8e65e0 779c2028 22012932 010f8511 79894c05 w. (".)2....y.L. + 8e65f0 8902779c 08222c08 233c0801 1102222c ..w..",.#<....", + 8e6600 04233c04 74802f15 01c11601 8a758026 .#<.t./......u.& + 8e6610 1701c276 80207780 1db422b4 336d4816 ...v. w...".3mH. + 8e6620 88208930 b4227989 2374800a 75800776 . .0."y.#t..u..v + 8e6630 80047780 01b433c0 20d10f00 058a0277 ..w...3. ......w + 8e6640 ac0a798b 03c72fd1 0fc021d1 0f098203 ..y.../...!..... + 8e6650 74281915 01c17480 dd75280b 16018a75 t(....t..u(....u + 8e6660 80d47628 027680ce 09820cd1 0f088a57 ..v(.v.........W + 8e6670 098b570b a20cd10f 6c100202 2a021401 ..W.....l...*... + 8e6680 c01501c1 16018a27 0aff7f3f 0b7e3f17 .......'...?.~?. + 8e6690 c08378a0 2a600063 00283000 b13328a4 ..x.*`.c.(0..3(. + 8e66a0 00c988b1 aa7e37e7 28300028 a400c88b .....~7.(0.(.... + 8e66b0 283001b2 3328a401 b2aa658f d2d10f00 (0..3(....e..... + 8e66c0 c0806d88 138830b4 3374800f 75801476 ..m...0.3t..u..v + 8e66d0 801998a0 778001b4 aad10f00 c08028a4 ....w.........(. + 8e66e0 00d10f00 08085f28 a500d10f 08085f28 ......_(......_( + 8e66f0 a500c080 28a402d1 0f000000 c0806d88 ....(.........m. + 8e6700 0c283000 b13328a4 00b1aa64 8fffd10f .(0..3(....d.... + 8e6710 6c100223 2cfc1401 c01501c1 16018a27 l..#,..........' + 8e6720 0aff7f2f 067e2f0d 60001c00 283004b1 .../.~/.`...(0.. + 8e6730 33ca887e 3711b233 88307680 2e778807 3..~7..3.0v..w.. + 8e6740 b3330232 0cd10f00 c0806d88 0f8831b4 .3.2......m...1. + 8e6750 3374800a 75800c76 80117780 ffb33302 3t..u..v..w...3. + 8e6760 320cd10f b1330232 0cd10f00 b2330232 2....3.2.....3.2 + 8e6770 0cd10f00 6c100464 407f0235 02050541 ....l..d@..5...A + 8e6780 64504027 20002830 00b04468 40257879 dP@' .(0..Dh@%xy + 8e6790 226d0816 d640c944 c972b044 b133b122 "m...@.D.r.D.3." + 8e67a0 c9652720 00283000 77890863 ffe2c020 .e' .(0.w..c... + 8e67b0 d10f0000 08720cd1 0f253000 22200005 .....r...%0." .. + 8e67c0 220cd10f 6e44bb87 20863077 69b41901 "...nD.. .0wi... + 8e67d0 c41801c5 c7af6000 0687208b 3077b9a2 ......`... .0w.. + 8e67e0 244cfcc9 470a7d03 a97c0dcc 017c880d $L..G.}..|...|.. + 8e67f0 b433b422 6f44e163 ff88c020 d10fc020 .3."oD.c... ... + 8e6800 d10f0000 283000b1 3328a400 b044ca47 ....(0..3(...D.G + 8e6810 b1aa6480 487e373d 283000b0 4428a400 ..d.H~7=(0..D(.. + 8e6820 c945b1aa cb862830 01b23328 a400b044 .E....(0..3(...D + 8e6830 c845b1aa cd8e6000 24d10f00 6c1002da .E....`.$...l... + 8e6840 20644ff4 1b01c015 01c11601 8a270aff dO..........'.. + 8e6850 7f3fb07e 3fc1c083 78a05460 00a5c090 .?.~?...x.T`.... + 8e6860 7faf287e af326a44 13042812 d30f6d88 ..(~.2jD..(...m. + 8e6870 0399a0b4 aa0e8811 08440cc8 4929a400 .........D..I).. + 8e6880 b0442aac 01654ff4 d10f0000 29a400b0 .D*..eO.....)... + 8e6890 44644ff3 b1aa7ea7 cc29a400 b044644f DdO...~..)...DdO + 8e68a0 e629a401 b044644f deb2aa63 ffb70000 .)...DdO...c.... + 8e68b0 c0806d88 196a454b 8830b433 7b801275 ..m..jEK.0.3{..u + 8e68c0 801d7680 2a98a024 4cfcb4aa 77808e63 ..v.*..$L...w..c + 8e68d0 ff8bc080 28a400b0 44b1aa63 ff7f0000 ....(...D..c.... + 8e68e0 08085f28 a500244c feb2aa63 ff6f0000 .._(..$L...c.o.. + 8e68f0 08085f28 a500c080 28a40224 4cfdb3aa .._(....(..$L... + 8e6900 63ff5a00 c0806d88 10283000 b13328a4 c.Z...m..(0..3(. + 8e6910 00b044c8 46b1aa64 8fff63ff 40d10f00 ..D.F..d..c.@... + 8e6920 6c10020f 1400d10f 82148315 211c7001 l...........!.p. + 8e6930 14000003 00000000 82518350 02e63182 .........Q.P..1. + 8e6940 54845601 020003b1 31835585 57000300 T.V.....1.U.W... + 8e6950 6c1002d1 0f000000 6c100202 ea30d10f l.......l....0.. + 8e6960 6c100265 200503f0 31000200 d10f0000 l..e ...1....... + 8e6970 6c1002cc 2302f030 d10fc020 d10f0000 l...#..0... .... + 8e6980 6c100202 e430d10f 6c100202 e230d10f l....0..l....0.. + 8e6990 6c100202 e431d10f 6c100203 27030216 l....1..l...'... + 8e69a0 06031306 6e323406 15147353 3a056f04 ....n24...sS:.o. + 8e69b0 043f0405 440c0041 0400331a c0206d49 .?..D..A..3.. mI + 8e69c0 0d736304 03660cb1 220f2211 03131473 .sc..f.."."....s + 8e69d0 6301b122 02050607 523ad10f c9350602 c.."....R:...5.. + 8e69e0 0607623b d10f0000 736310c0 21c74f07 ..b;....sc..!.O. + 8e69f0 423ad10f 00000000 44495630 c020d10f B:......DIV0. .. + 8e6a00 6c100202 27020212 06031306 6e322c05 l...'.......n2,. + 8e6a10 2f04043f 04745b14 05440c00 41040033 /..?.t[..D..A..3 + 8e6a20 1a6d4908 73230203 220c0313 14732302 .mI.s#.."....s#. + 8e6a30 03220c67 70020202 06d10f00 cc350000 .".gp........5.. + 8e6a40 00444956 30c020d1 0f000000 6c10026e .DIV0. .....l..n + 8e6a50 322fd620 056f0404 3f04745b 2a05440c 2/. .o..?.t[*.D. + 8e6a60 00410400 331a220a 006d490d 73630403 .A..3."..mI.sc.. + 8e6a70 660cb122 0f221103 13147363 02222c01 f.."."....sc.",. + 8e6a80 d10fc83b d10f0000 73630cc0 21d10f00 ...;....sc..!... + 8e6a90 00000000 44495630 c020d10f 6c10026e ....DIV0. ..l..n + 8e6aa0 3229052f 04043f04 745b1605 440c0041 2)./..?.t[..D..A + 8e6ab0 0400331a d30f6d49 08732302 03220c03 ..3...mI.s#..".. + 8e6ac0 13147323 0203220c d10f0000 cc350000 ..s#.."......5.. + 8e6ad0 00444956 30c020d1 0f000000 6c10046e .DIV0. .....l..n + 8e6ae0 441b0235 02050541 cd520428 146d890d D..5...A.R.(.m.. + 8e6af0 8a308920 7a9906b4 22b43324 4cfcd640 .0. z...".3$L..@ + 8e6b00 b0446840 116d690e 27300028 2000b122 .Dh@.mi.'0.( .." + 8e6b10 b1337789 06b044c0 20d10f00 07820cd1 .3w...D. ....... + 8e6b20 0f000000 006d4909 263000b1 33265400 .....mI.&0..3&T. + 8e6b30 b155d10f 6e47ed26 3000b133 244cff26 .U..nG.&0..3$L.& + 8e6b40 5400255c 017e5727 6e46d926 30002730 T.%\.~W'nF.&0.'0 + 8e6b50 01b23324 4cfe2654 00275401 b2556000 ..3$L.&T.'T..U`. + 8e6b60 0e000000 6c100202 25027f2f c67e2fd7 ....l...%../.~/. + 8e6b70 04471428 0a037838 5a6d7915 86308731 .G.(..x8Zmy..0.1 + 8e6b80 96508632 97518733 9652233c 10975325 .P.2.Q.3.R#<..S% + 8e6b90 5c107c47 0b863087 31b83396 509751b8 \.|G..0.1.3.P.Q. + 8e6ba0 557d4f07 7e4f147f 4f21d10f 8630b433 U}O.~O..O!...0.3 + 8e6bb0 9650b455 7e4f047f 4f11d10f 263100b2 .P.U~O..O...&1.. + 8e6bc0 33265500 b2557f4f 02d10f00 26300026 3&U..U.O....&0.& + 8e6bd0 5400d10f 644ffa00 3304083b 010b330c T...dO..3..;..3. + 8e6be0 86306d79 21873188 32076618 96508933 .0my!.1.2.f..P.3 + 8e6bf0 08771897 51863409 88189852 233c1006 .w..Q.4....R#<.. + 8e6c00 99189953 255c107c 47158731 88320766 ...S%\.|G..1.2.f + 8e6c10 189650b8 33087718 9751255c 08088602 ..P.3.w..Q%\.... + 8e6c20 7d470c87 31b43307 66189650 b455d670 }G..1.3.f..P.U.p + 8e6c30 ab337e4f 067f4f18 d10f0000 26300027 .3~O..O.....&0.' + 8e6c40 3001b233 26540027 5401b255 7f4f01d1 0..3&T.'T..U.O.. + 8e6c50 0f263000 265400d1 0f000000 6c1004d8 .&0.&T......l... + 8e6c60 20d940da 40d730d3 20727b1b a742723b .@.@.0. r{..Br; + 8e6c70 16a84364 40a36d49 09b022b0 33242000 ..Cd@.mI..".3$ . + 8e6c80 243400d2 80d10f00 6e9b0808 7b020b0b $4......n...{... + 8e6c90 4164b089 b0ac69c0 0260007d 0a42120a Ad....i..`.}.B.. + 8e6ca0 0d436dda 092e7000 2e3400b1 77b133d4 .Cm...p..4..w.3. + 8e6cb0 306d2a65 22700022 44002270 01224401 0m*e"p."D."p."D. + 8e6cc0 22700222 44022270 03224403 22700422 "p."D."p."D."p." + 8e6cd0 44042270 05224405 22700622 44062270 D."p."D."p."D."p + 8e6ce0 07224407 22700822 44082270 09224409 ."D."p."D."p."D. + 8e6cf0 22700a22 440a2270 0b22440b 22700c22 "p."D."p."D."p." + 8e6d00 440c2270 0d22440d 22700e22 440e2270 D."p."D."p."D."p + 8e6d10 0f22440f 277c1024 4c10d280 d10fd380 ."D.'|.$L....... + 8e6d20 6f9b0260 0082c0a1 269c0f09 963b0646 o..`....&....;.F + 8e6d30 120a6635 060f4106 64020422 126dfa15 ..f5..A.d..".m.. + 8e6d40 8d709d30 8c719c31 8b729b32 8a739a33 .p.0.q.1.r.2.s.3 + 8e6d50 277c1023 3c10d430 0c6a110a 9a0c6d2a '|.#<..0.j....m* + 8e6d60 45827092 40827192 41827292 42827392 E.p.@.q.A.r.B.s. + 8e6d70 43827492 44827592 45827692 46827792 C.t.D.u.E.v.F.w. + 8e6d80 47827892 48827992 49827a92 4a827b92 G.x.H.y.I.z.J.{. + 8e6d90 4b827c92 4c827d92 4d827e92 4e827f92 K.|.L.}.M.~.N... + 8e6da0 4f277c40 244c40d3 40d6a06f a40263fe O'|@$L@.@..o..c. + 8e6db0 e20a2b43 0a2914d4 906db907 8c709c30 ..+C.)...m...p.0 + 8e6dc0 b477b433 0e9a1104 42140334 026d2945 .w.3....B..4.m)E + 8e6dd0 82709240 82719241 82729242 82739243 .p.@.q.A.r.B.s.C + 8e6de0 82749244 82759245 82769246 82779247 .t.D.u.E.v.F.w.G + 8e6df0 82789248 82799249 827a924a 827b924b .x.H.y.I.z.J.{.K + 8e6e00 827c924c 827d924d 827e924e 827f924f .|.L.}.M.~.N...O + 8e6e10 277c4024 4c400a6a 0cd34063 fe750000 '|@$L@.j..@c.u.. + 8e6e20 006d4904 235400b1 55d10f00 6e48f123 .mI.#T..U...nH.# + 8e6e30 5400b155 b0447e57 286e48e4 235500b2 T..U.D~W(nH.#U.. + 8e6e40 55244cfe 60001a00 6c100203 03470837 U$L.`...l....G.7 + 8e6e50 11073302 00371107 3302d520 7f2fcc7e ..3..7..3.. ./.~ + 8e6e60 2fd60447 146d790a 93509351 93529353 /..G.my..P.Q.R.S + 8e6e70 255c107c 47069350 9351255c 087d4703 %\.|G..P.Q%\.}G. + 8e6e80 9350b455 7e470423 5500b255 7f470223 .P.U~G.#U..U.G.# + 8e6e90 5400d10f T... +Contents of section .debug_aranges: + 0000 0000001c 00020000 00000400 00000000 ................ + 0010 008e1000 0000002a 00000000 00000000 .......*........ + 0020 0000001c 00020000 00790400 00000000 .........y...... + 0030 008e0c20 00000006 00000000 00000000 ... ............ + 0040 0000001c 00020000 00f50400 00000000 ................ + 0050 008e0f20 00000006 00000000 00000000 ... ............ + 0060 0000001c 00020000 01720400 00000000 .........r...... + 0070 008e0d40 00000006 00000000 00000000 ...@............ + 0080 0000001c 00020000 01ef0400 00000000 ................ + 0090 008e0000 000000de 00000000 00000000 ................ + 00a0 0000001c 00020000 026b0400 00000000 .........k...... + 00b0 008e0e20 00000017 00000000 00000000 ... ............ + 00c0 0000001c 00020000 02e60400 00000000 ................ + 00d0 008e0ce4 00000003 00000000 00000000 ................ + 00e0 0000001c 00020000 03600400 00000000 .........`...... + 00f0 008e0800 0000016a 00000000 00000000 .......j........ + 0100 0000001c 00020000 03de0400 00000000 ................ + 0110 008e0a20 00000006 00000000 00000000 ... ............ + 0120 0000001c 00020000 04580400 00000000 .........X...... + 0130 008e0b20 00000006 00000000 00000000 ... ............ + 0140 0000001c 00020000 04d20400 00000000 ................ + 0150 008e102c 000000d7 00000000 00000000 ...,............ + 0160 0000001c 00020000 05540400 00000000 .........T...... + 0170 008e1104 0000006f 00000000 00000000 .......o........ + 0180 0000001c 00020000 05d70400 00000000 ................ + 0190 008e1174 0000006e 00000000 00000000 ...t...n........ + 01a0 0000001c 00020000 065c0400 00000000 .........\...... + 01b0 008e11e4 0000005c 00000000 00000000 .......\........ + 01c0 0000001c 00020000 0a0e0400 00000000 ................ + 01d0 008e1240 000000b1 00000000 00000000 ...@............ + 01e0 0000001c 00020000 0a930400 00000000 ................ + 01f0 008e12f4 000000ae 00000000 00000000 ................ + 0200 0000001c 00020000 0b180400 00000000 ................ + 0210 008e6920 00000008 00000000 00000000 ..i ............ + 0220 0000001c 00020000 0b970400 00000000 ................ + 0230 008e6928 0000000d 00000000 00000000 ..i(............ + 0240 0000001c 00020000 0c140400 00000000 ................ + 0250 008e6938 00000018 00000000 00000000 ..i8............ + 0260 0000001c 00020000 0c8e0400 00000000 ................ + 0270 008e13a4 0000088e 00000000 00000000 ................ + 0280 0000001c 00020000 600a0400 00000000 ........`....... + 0290 008e1c34 00000078 00000000 00000000 ...4...x........ + 02a0 0000001c 00020000 a8820400 00000000 ................ + 02b0 008e1cac 000000f2 00000000 00000000 ................ + 02c0 0000001c 00020000 f3df0400 00000000 ................ + 02d0 008e1da0 000003e5 00000000 00000000 ................ + 02e0 0000001c 00020001 3de70400 00000000 ........=....... + 02f0 008e2188 0000018e 00000000 00000000 ..!............. + 0300 0000001c 00020001 88d00400 00000000 ................ + 0310 008e2318 00000026 00000000 00000000 ..#....&........ + 0320 0000001c 00020001 d01d0400 00000000 ................ + 0330 008e2340 00000175 00000000 00000000 ..#@...u........ + 0340 0000001c 00020002 1bba0400 00000000 ................ + 0350 008e24b8 00000513 00000000 00000000 ..$............. + 0360 0000001c 00020002 66210400 00000000 ........f!...... + 0370 008e29cc 00000155 00000000 00000000 ..)....U........ + 0380 0000001c 00020002 afb60400 00000000 ................ + 0390 008e2b24 0000002b 00000000 00000000 ..+$...+........ + 03a0 0000001c 00020002 f6e20400 00000000 ................ + 03b0 008e2b50 000000b2 00000000 00000000 ..+P............ + 03c0 0000001c 00020003 3f930400 00000000 ........?....... + 03d0 008e2c04 000000de 00000000 00000000 ..,............. + 03e0 0000001c 00020003 89060400 00000000 ................ + 03f0 008e2ce4 00000114 00000000 00000000 ..,............. + 0400 0000001c 00020003 d2490400 00000000 .........I...... + 0410 008e2df8 0000019b 00000000 00000000 ..-............. + 0420 0000001c 00020004 1bf60400 00000000 ................ + 0430 008e2f94 0000041a 00000000 00000000 ../............. + 0440 0000001c 00020004 69e20400 00000000 ........i....... + 0450 008e33b0 000004d4 00000000 00000000 ..3............. + 0460 0000001c 00020004 b9550400 00000000 .........U...... + 0470 008e3884 00001071 00000000 00000000 ..8....q........ + 0480 0000001c 00020005 10430400 00000000 .........C...... + 0490 008e48f8 000003b3 00000000 00000000 ..H............. + 04a0 0000001c 00020005 59d90400 00000000 ........Y....... + 04b0 008e4cac 00000243 00000000 00000000 ..L....C........ + 04c0 0000001c 00020005 a7030400 00000000 ................ + 04d0 008e4ef0 00000084 00000000 00000000 ..N............. + 04e0 0000001c 00020005 eee00400 00000000 ................ + 04f0 008e4f74 000000b5 00000000 00000000 ..Ot............ + 0500 0000001c 00020006 37230400 00000000 ........7#...... + 0510 008e502c 000008ef 00000000 00000000 ..P,............ + 0520 0000001c 00020006 8fc30400 00000000 ................ + 0530 008e591c 000003d3 00000000 00000000 ..Y............. + 0540 0000001c 00020006 dbf20400 00000000 ................ + 0550 008e5cf0 0000016f 00000000 00000000 ..\....o........ + 0560 0000001c 00020007 2c9a0400 00000000 ........,....... + 0570 008e5e60 00000404 00000000 00000000 ..^`............ + 0580 0000001c 00020007 7ac00400 00000000 ........z....... + 0590 008e6264 000000e3 00000000 00000000 ..bd............ + 05a0 0000001c 00020007 c4af0400 00000000 ................ + 05b0 008e6348 00000061 00000000 00000000 ..cH...a........ + 05c0 0000001c 00020007 c79e0400 00000000 ................ + 05d0 008e6950 00000005 00000000 00000000 ..iP............ + 05e0 0000001c 00020007 c8170400 00000000 ................ + 05f0 008e63ac 00000079 00000000 00000000 ..c....y........ + 0600 0000001c 00020007 c89c0400 00000000 ................ + 0610 008e6958 00000026 00000000 00000000 ..iX...&........ + 0620 0000001c 00020007 d5850400 00000000 ................ + 0630 008e6428 00000137 00000000 00000000 ..d(...7........ + 0640 0000001c 00020007 d6580400 00000000 .........X...... + 0650 008e6980 00000008 00000000 00000000 ..i............. + 0660 0000001c 00020007 d7230400 00000000 .........#...... + 0670 008e6988 00000008 00000000 00000000 ..i............. + 0680 0000001c 00020007 d7ee0400 00000000 ................ + 0690 008e6990 00000008 00000000 00000000 ..i............. + 06a0 0000001c 00020007 dae20400 00000000 ................ + 06b0 008e6998 00000068 00000000 00000000 ..i....h........ + 06c0 0000001c 00020007 dbd60400 00000000 ................ + 06d0 008e6a00 00000049 00000000 00000000 ..j....I........ + 06e0 0000001c 00020007 dcca0400 00000000 ................ + 06f0 008e6a4c 00000050 00000000 00000000 ..jL...P........ + 0700 0000001c 00020007 ddbe0400 00000000 ................ + 0710 008e6a9c 0000003d 00000000 00000000 ..j....=........ + 0720 0000001c 00020007 deb20400 00000000 ................ + 0730 008e6adc 00000045 00000000 00000000 ..j....E........ + 0740 0000001c 00020007 e9f20400 00000000 ................ + 0750 008e6b24 00000135 00000000 00000000 ..k$...5........ + 0760 0000001c 00020007 eaff0400 00000000 ................ + 0770 008e6c5c 000001c2 00000000 00000000 ..l\............ + 0780 0000001c 00020007 f6800400 00000000 ................ + 0790 008e6e20 00000074 00000000 00000000 ..n ...t........ + 07a0 0000001c 00020007 f78d0400 00000000 ................ + 07b0 008e6560 00000118 00000000 00000000 ..e`............ + 07c0 0000001c 00020007 f89a0400 00000000 ................ + 07d0 008e6678 00000098 00000000 00000000 ..fx............ + 07e0 0000001c 00020007 f9a70400 00000000 ................ + 07f0 008e6710 00000063 00000000 00000000 ..g....c........ + 0800 0000001c 00020007 fab40400 00000000 ................ + 0810 008e6774 0000008e 00000000 00000000 ..gt............ + 0820 0000001c 00020008 05dd0400 00000000 ................ + 0830 008e6804 0000011b 00000000 00000000 ..h............. +Contents of section .debug_pubnames: + 0000 00000052 00020000 065c0000 00000000 ...R.....\...... + 0010 02f15f78 746f735f 7365745f 696e7465 .._xtos_set_inte + 0020 72727570 745f6861 6e646c65 725f6172 rrupt_handler_ar + 0030 67000000 03655f78 746f735f 7365745f g....e_xtos_set_ + 0040 696e7465 72727570 745f6861 6e646c65 interrupt_handle + 0050 72000000 00000000 01c50002 00000c8e r............... + 0060 00000000 000049bc 5f726f6d 5f73746f ......I._rom_sto + 0070 72655f74 61626c65 0000004a ca626173 re_table...J.bas + 0080 69635f52 4f4d5f6d 6f64756c 655f7461 ic_ROM_module_ta + 0090 626c6500 00004d32 706f7374 0000004d ble...M2post...M + 00a0 704d6167 7069655f 66617461 6c5f6578 pMagpie_fatal_ex + 00b0 63657074 696f6e5f 68616e64 6c657200 ception_handler. + 00c0 00004e1a 6174686f 735f626c 6f636b5f ..N.athos_block_ + 00d0 616c6c5f 696e7472 6c766c00 00004e58 all_intrlvl...NX + 00e0 6174686f 735f756e 626c6f63 6b5f616c athos_unblock_al + 00f0 6c5f696e 74726c76 6c000000 4e946174 l_intrlvl...N.at + 0100 686f735f 72657374 6f72655f 696e7472 hos_restore_intr + 0110 6c766c00 00004fd8 67656e65 7269635f lvl...O.generic_ + 0120 6869665f 6d6f6475 6c655f69 6e737461 hif_module_insta + 0130 6c6c0000 00502861 74686f73 5f696e64 ll...P(athos_ind + 0140 69726563 74696f6e 5f746162 6c655f69 irection_table_i + 0150 6e737461 6c6c0000 00507261 74686f73 nstall...Prathos + 0160 5f696e74 65727275 70745f68 616e646c _interrupt_handl + 0170 65720000 0050cd61 74686f73 5f696e74 er...P.athos_int + 0180 65727275 70745f69 6e697400 00005103 errupt_init...Q. + 0190 6174686f 735f696e 69740000 0051365f athos_init...Q6_ + 01a0 72656164 5f757362 5f646573 63000000 read_usb_desc... + 01b0 51ab7265 61645f75 73625f63 6f6e6600 Q.read_usb_conf. + 01c0 000051e5 7365745f 7063695f 636f6e66 ..Q.set_pci_conf + 01d0 00000052 4e626f6f 746c6f61 64000000 ...RNbootload... + 01e0 526b7063 695f676d 61635f62 6f6f746c Rkpci_gmac_bootl + 01f0 6f616400 00005291 7475726e 5f6f6666 oad...R.turn_off + 0200 5f726300 000052b1 626f6f74 656e7472 _rc...R.bootentr + 0210 79000000 535b6d61 696e0000 00000000 y...S[main...... + 0220 0000ab00 02000060 0a000000 00000046 .......`.......F + 0230 c3616c6c 6f637261 6d5f6375 7272656e .allocram_curren + 0240 745f6164 64720000 0046e561 6c6c6f63 t_addr...F.alloc + 0250 72616d5f 72656d61 696e696e 675f6279 ram_remaining_by + 0260 74657300 0000474f 636d6e6f 735f616c tes...GOcmnos_al + 0270 6c6f6372 616d5f69 6e697400 000047b3 locram_init...G. + 0280 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 0290 00480d63 6d6e6f73 5f616c6c 6f637261 .H.cmnos_allocra + 02a0 6d5f6465 62756700 00004836 636d6e6f m_debug...H6cmno + 02b0 735f616c 6c6f6372 616d5f6d 6f64756c s_allocram_modul + 02c0 655f696e 7374616c 6c000000 00000000 e_install....... + 02d0 01990002 0000a882 00000000 000046ba ..............F. + 02e0 63746963 6b730000 0046cd63 7572725f cticks...F.curr_ + 02f0 62616e64 00000048 17636d6e 6f735f63 band...H.cmnos_c + 0300 6c6f636b 696e675f 7461626c 65000000 locking_table... + 0310 483f636c 6f636b5f 696e666f 00000048 H?clock_info...H + 0320 56636d6e 6f735f73 6b69705f 706c6c5f Vcmnos_skip_pll_ + 0330 696e6974 00000048 76706c6c 5f637472 init...Hvpll_ctr + 0340 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. + 0350 00004899 706c6c5f 6374726c 5f736574 ..H.pll_ctrl_set + 0360 74696e67 5f356768 7a000000 48d0636d ting_5ghz...H.cm + 0370 6e6f735f 64656c61 795f7573 00000049 nos_delay_us...I + 0380 30636d6e 6f735f6d 696c6c69 7365636f 0cmnos_milliseco + 0390 6e647300 0000495b 636d6e6f 735f7265 nds...I[cmnos_re + 03a0 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 03b0 00498a63 6d6e6f73 5f756172 745f6672 .I.cmnos_uart_fr + 03c0 65717565 6e637900 000049b7 636d6e6f equency...I.cmno + 03d0 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. + 03e0 000049df 636d6e6f 735f636c 6f636b72 ..I.cmnos_clockr + 03f0 6567735f 696e6974 0000004a 08636d6e egs_init...J.cmn + 0400 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set + 0410 0000004a 49636d6e 6f735f70 6c6c5f69 ...JIcmnos_pll_i + 0420 6e697400 00004a6c 636d6e6f 735f636c nit...Jlcmnos_cl + 0430 6f636b5f 696e6974 0000004a c1636d6e ock_init...J.cmn + 0440 6f735f74 69636b00 00004b1c 636d6e6f os_tick...K.cmno + 0450 735f636c 6f636b5f 6d6f6475 6c655f69 s_clock_module_i + 0460 6e737461 6c6c0000 00000000 0000d100 nstall.......... + 0470 020000f3 df000000 00000046 d0656570 ...........F.eep + 0480 5f737461 74650000 0046e665 65705f65 _state...F.eep_e + 0490 78697374 00000047 48636d6e 6f735f65 xist...GHcmnos_e + 04a0 6570726f 6d5f7772 6974655f 68776f72 eprom_write_hwor + 04b0 64000000 479c636d 6e6f735f 65657072 d...G.cmnos_eepr + 04c0 6f6d5f72 6561645f 68776f72 64000000 om_read_hword... + 04d0 47fe636d 6e6f735f 6565705f 69735f65 G.cmnos_eep_is_e + 04e0 78697374 00000048 39636d6e 6f735f65 xist...H9cmnos_e + 04f0 65705f77 72697465 00000048 dc636d6e ep_write...H.cmn + 0500 6f735f65 65705f72 65616400 00004977 os_eep_read...Iw + 0510 636d6e6f 735f6565 705f696e 69740000 cmnos_eep_init.. + 0520 0049c963 6d6e6f73 5f656570 5f6d6f64 .I.cmnos_eep_mod + 0530 756c655f 696e7374 616c6c00 00000000 ule_install..... + 0540 000000ed 00020001 3de70000 00000000 ........=....... + 0550 487a636d 6e6f735f 6973725f 696e666f Hzcmnos_isr_info + 0560 00000048 95636d6e 6f735f65 6e61626c ...H.cmnos_enabl + 0570 65645f69 6e746572 72757074 73000000 ed_interrupts... + 0580 48d4636d 6e6f735f 696e7472 5f64756d H.cmnos_intr_dum + 0590 6d790000 00491163 6d6e6f73 5f696e74 my...I.cmnos_int + 05a0 725f696e 69740000 00494163 6d6e6f73 r_init...IAcmnos + 05b0 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. + 05c0 000049a1 636d6e6f 735f696e 74725f75 ..I.cmnos_intr_u + 05d0 6e6d6173 6b5f696e 756d0000 004a0563 nmask_inum...J.c + 05e0 6d6e6f73 5f696e74 725f6174 74616368 mnos_intr_attach + 05f0 5f697372 0000004a 6a636d6e 6f735f69 _isr...Jjcmnos_i + 0600 6e74725f 696e766f 6b655f69 73720000 ntr_invoke_isr.. + 0610 004aab63 6d6e6f73 5f696e74 725f6d6f .J.cmnos_intr_mo + 0620 64756c65 5f696e73 74616c6c 00000000 dule_install.... + 0630 00000000 3e000200 0188d000 00000000 ....>........... + 0640 0046ed63 6d6e6f73 5f6d656d 5f696e69 .F.cmnos_mem_ini + 0650 74000000 4710636d 6e6f735f 6d656d5f t...G.cmnos_mem_ + 0660 6d6f6475 6c655f69 6e737461 6c6c0000 module_install.. + 0670 00000000 00013600 020001d0 1d000000 ......6......... + 0680 00000048 56617373 6c6f6f70 00000048 ...HVassloop...H + 0690 6a617373 7072696e 74000000 48936375 jassprint...H.cu + 06a0 7272656e 745f6475 6d700000 00493663 rrent_dump...I6c + 06b0 6d6e6f73 5f737973 74656d5f 72657365 mnos_system_rese + 06c0 74000000 495d636d 6e6f735f 6d61635f t...I]cmnos_mac_ + 06d0 72657365 74000000 4981636d 6e6f735f reset...I.cmnos_ + 06e0 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 06f0 68616e64 6c657200 000049c6 636d6e6f handler...I.cmno + 0700 735f6173 73666169 6c000000 4a11636d s_assfail...J.cm + 0710 6e6f735f 7265706f 72745f66 61696c75 nos_report_failu + 0720 72655f74 6f5f686f 73740000 004a6263 re_to_host...Jbc + 0730 6d6e6f73 5f746172 6765745f 69645f67 mnos_target_id_g + 0740 65740000 004a8e63 6d6e6f73 5f676574 et...J.cmnos_get + 0750 5f6b6268 69740000 004ae963 6d6e6f73 _kbhit...J.cmnos + 0760 5f69735f 686f7374 5f707265 73656e74 _is_host_present + 0770 0000004b 31636d6e 6f735f72 6f6d5f76 ...K1cmnos_rom_v + 0780 65727369 6f6e5f67 65740000 004b5f63 ersion_get...K_c + 0790 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module + 07a0 5f696e73 74616c6c 00000000 00000000 _install........ + 07b0 74000200 021bba00 00000000 0046c65f t............F._ + 07c0 70757463 00000047 78636d6e 6f735f77 putc...Gxcmnos_w + 07d0 72697465 5f636861 72000000 49b3636d rite_char...I.cm + 07e0 6e6f735f 7072696e 74660000 0049fe63 nos_printf...I.c + 07f0 6d6e6f73 5f707269 6e74665f 696e6974 mnos_printf_init + 0800 0000004a 25636d6e 6f735f70 72696e74 ...J%cmnos_print + 0810 665f6d6f 64756c65 5f696e73 74616c6c f_module_install + 0820 00000000 00000000 c8000200 02662100 .............f!. + 0830 00000000 0046c370 61746368 5f737461 .....F.patch_sta + 0840 72740000 0046db70 61746368 5f616464 rt...F.patch_add + 0850 72000000 476c5f70 61746368 5f64756d r...Gl_patch_dum + 0860 70000000 47a45f72 6561645f 726f6d5f p...G._read_rom_ + 0870 70617463 68000000 4806636d 6e6f735f patch...H.cmnos_ + 0880 726f6d70 5f646563 6f646500 00004889 romp_decode...H. + 0890 636d6e6f 735f726f 6d705f69 6e737461 cmnos_romp_insta + 08a0 6c6c0000 0048b463 6d6e6f73 5f726f6d ll...H.cmnos_rom + 08b0 705f646f 776e6c6f 61640000 00493363 p_download...I3c + 08c0 6d6e6f73 5f726f6d 705f696e 69740000 mnos_romp_init.. + 08d0 00495763 6d6e6f73 5f726f6d 705f6d6f .IWcmnos_romp_mo + 08e0 64756c65 5f696e73 74616c6c 00000000 dule_install.... + 08f0 00000000 44000200 02afb600 00000000 ....D........... + 0900 0046c663 6d6e6f73 5f737472 696e675f .F.cmnos_string_ + 0910 696e6974 00000046 ec636d6e 6f735f73 init...F.cmnos_s + 0920 7472696e 675f6d6f 64756c65 5f696e73 tring_module_ins + 0930 74616c6c 00000000 00000000 ad000200 tall............ + 0940 02f6e200 00000000 00472663 6d6e6f73 .........G&cmnos + 0950 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 0960 474d636d 6e6f735f 7461736b 6c65745f GMcmnos_tasklet_ + 0970 696e6974 5f746173 6b000000 47a8636d init_task...G.cm + 0980 6e6f735f 7461736b 6c65745f 73636865 nos_tasklet_sche + 0990 64756c65 00000047 e9636d6e 6f735f74 dule...G.cmnos_t + 09a0 61736b6c 65745f64 69736162 6c650000 asklet_disable.. + 09b0 00483c63 6d6e6f73 5f746173 6b6c6574 .H__pci_get + 0cd0 5f72785f 656e6700 0000487a 5f5f7063 _rx_eng...Hz__pc + 0ce0 695f656e 61626c65 00000048 ac5f5f70 i_enable...H.__p + 0cf0 63695f72 65736574 00000048 e05f5f70 ci_reset...H.__p + 0d00 63695f62 6f6f745f 696e6974 00000049 ci_boot_init...I + 0d10 045f5f70 63695f69 6e697400 0000493d .__pci_init...I= + 0d20 5f5f7063 695f6366 675f7069 70650000 __pci_cfg_pipe.. + 0d30 0049a95f 5f706369 5f737461 72740000 .I.__pci_start.. + 0d40 0049dd5f 5f706369 5f726567 5f63616c .I.__pci_reg_cal + 0d50 6c626163 6b000000 4a2d5f5f 7063695f lback...J-__pci_ + 0d60 72656170 5f786d69 74746564 0000004a reap_xmitted...J + 0d70 8c5f5f70 63695f72 6561705f 72656376 .__pci_reap_recv + 0d80 0000004a de5f5f70 63695f69 73725f68 ...J.__pci_isr_h + 0d90 616e646c 65720000 004b2a5f 5f706369 andler...K*__pci + 0da0 5f786d69 745f6275 66000000 4b8c5f5f _xmit_buf...K.__ + 0db0 7063695f 72657475 726e5f72 65637600 pci_return_recv. + 0dc0 00004bec 5f5f7063 695f6973 5f706970 ..K.__pci_is_pip + 0dd0 655f7375 70706f72 74656400 00004c3f e_supported...L? + 0de0 5f5f7063 695f6765 745f6d61 785f6d73 __pci_get_max_ms + 0df0 675f6c65 6e000000 4c905f5f 7063695f g_len...L.__pci_ + 0e00 6765745f 72657365 72766564 5f686561 get_reserved_hea + 0e10 64726f6f 6d000000 4cd85f5f 7063695f droom...L.__pci_ + 0e20 73687574 646f776e 0000004d 0f5f5f70 shutdown...M.__p + 0e30 63695f67 65745f64 65665f70 69706500 ci_get_def_pipe. + 0e40 00004d71 6869665f 7063695f 6d6f6475 ..Mqhif_pci_modu + 0e50 6c655f69 6e737461 6c6c0000 004db168 le_install...M.h + 0e60 69665f70 63695f61 70695f69 6e737461 if_pci_api_insta + 0e70 6c6c0000 00000000 0001ad00 02000469 ll.............i + 0e80 e2000000 00000047 6e675f68 69665553 .......Gng_hifUS + 0e90 42437478 00000049 7d5f4849 46757362 BCtx...I}_HIFusb + 0ea0 5f696e69 74000000 49c85f48 49467573 _init...I._HIFus + 0eb0 625f7368 7574646f 776e0000 004a025f b_shutdown...J._ + 0ec0 48494675 73625f72 65676973 7465725f HIFusb_register_ + 0ed0 63616c6c 6261636b 0000004a 565f4849 callback...JV_HI + 0ee0 46757362 5f737461 72740000 004b4c5f Fusb_start...KL_ + 0ef0 48494675 73625f63 6f6e6669 675f7069 HIFusb_config_pi + 0f00 70650000 004bd35f 48494675 73625f73 pe...K._HIFusb_s + 0f10 656e645f 62756666 65720000 004c345f end_buffer...L4_ + 0f20 48494675 73625f72 65747572 6e5f7265 HIFusb_return_re + 0f30 63765f62 75660000 004c9b5f 48494675 cv_buf...L._HIFu + 0f40 73625f73 65745f72 6563765f 62756673 sb_set_recv_bufs + 0f50 7a000000 4cfc5f48 49467573 625f7061 z...L._HIFusb_pa + 0f60 7573655f 72656376 0000004d 495f4849 use_recv...MI_HI + 0f70 46757362 5f726573 756d655f 72656376 Fusb_resume_recv + 0f80 0000004d 975f4849 46757362 5f69735f ...M._HIFusb_is_ + 0f90 70697065 5f737570 706f7274 65640000 pipe_supported.. + 0fa0 004def5f 48494675 73625f67 65745f6d .M._HIFusb_get_m + 0fb0 61785f6d 73675f6c 656e0000 004e455f ax_msg_len...NE_ + 0fc0 48494675 73625f69 73725f68 616e646c HIFusb_isr_handl + 0fd0 65720000 004e955f 48494675 73625f67 er...N._HIFusb_g + 0fe0 65745f64 65666175 6c745f70 69706500 et_default_pipe. + 0ff0 00004f07 6869665f 7573625f 6d6f6475 ..O.hif_usb_modu + 1000 6c655f69 6e737461 6c6c0000 004f4748 le_install...OGH + 1010 49467573 625f4465 73635472 61636544 IFusb_DescTraceD + 1020 756d7000 00000000 0000020e 00020004 ump............. + 1030 b9550000 00000000 473c436f 6e74726f .U......G.. + 04a50 61646472 6573734c 0000001c f0022300 addressL......#. + 04a60 08616464 72657373 48000000 1cf00223 .addressH......# + 04a70 02087661 6c75654c 0000001c f0022304 ..valueL......#. + 04a80 0876616c 75654800 00001cf0 02230600 .valueH......#.. + 04a90 09574d49 5f415654 0000003d bb0e0000 .WMI_AVT...=.... + 04aa0 3e020800 003e1c0f 0000110c 00003e53 >....>........>S + 04ab0 08747570 6c654e75 6d4c0000 001cf002 .tupleNumL...... + 04ac0 23000874 75706c65 4e756d48 0000001c #..tupleNumH.... + 04ad0 f0022302 08617674 0000003e 0f022304 ..#..avt...>..#. + 04ae0 00110100 003e7508 62656163 6f6e5065 .....>u.beaconPe + 04af0 6e64696e 67436f75 6e740000 0016c802 ndingCount...... + 04b00 23000007 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON + 04b10 46494700 1000003e de084874 6348616e FIG....>..HtcHan + 04b20 646c6500 00003a71 02230008 506f6f6c dle...:q.#..Pool + 04b30 48616e64 6c650000 00369102 2304084d Handle...6..#..M + 04b40 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 04b50 00010802 2308084d 61784576 656e7445 ....#..MaxEventE + 04b60 76747300 00000108 02230c00 02010300 vts......#...... + 04b70 003ede04 0009574d 495f434d 445f4841 .>....WMI_CMD_HA + 04b80 4e444c45 52000000 3ee0075f 574d495f NDLER...>.._WMI_ + 04b90 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 04ba0 00003f47 0870436d 6448616e 646c6572 ..?G.pCmdHandler + 04bb0 0000003e e7022300 08436d64 49440000 ...>..#..CmdID.. + 04bc0 00133602 23040846 6c616773 00000013 ..6.#..Flags.... + 04bd0 36022306 00075f57 4d495f44 49535041 6.#..._WMI_DISPA + 04be0 5443485f 5441424c 45001000 003fa808 TCH_TABLE....?.. + 04bf0 704e6578 74000000 3fa80223 00087043 pNext...?..#..pC + 04c00 6f6e7465 78740000 0003fd02 2304084e ontext......#..N + 04c10 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 04c20 00010802 23080870 5461626c 65000000 ....#..pTable... + 04c30 3fc70223 0c000300 003f4704 0009574d ?..#.....?G...WM + 04c40 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 04c50 0000003e fc030000 3faf0400 0300003f ...>....?......? + 04c60 47040009 4854435f 4255465f 434f4e54 G...HTC_BUF_CONT + 04c70 45585400 00003a35 0c574d49 5f455654 EXT...:5.WMI_EVT + 04c80 5f434c41 53530004 0000405f 18574d49 _CLASS....@_.WMI + 04c90 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 04ca0 ffffffff 0d574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 04cb0 53535f43 4d445f45 56454e54 00000d57 SS_CMD_EVENT...W + 04cc0 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 04cd0 5f524550 4c590001 0d574d49 5f455654 _REPLY...WMI_EVT + 04ce0 5f434c41 53535f4d 41580002 0009574d _CLASS_MAX....WM + 04cf0 495f4556 545f434c 41535300 00003fea I_EVT_CLASS...?. + 04d00 075f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 04d10 54000c00 0040bd08 48746342 75664374 T....@..HtcBufCt + 04d20 78000000 3fd50223 00084576 656e7443 x...?..#..EventC + 04d30 6c617373 00000040 5f022304 08466c61 lass...@_.#..Fla + 04d40 67730000 00133602 23080009 776d695f gs....6.#...wmi_ + 04d50 68616e64 6c655f74 00000003 fd09574d handle_t......WM + 04d60 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> + 04d70 75030000 40cf0400 06000040 bd010300 u...@......@.... + 04d80 0040ea04 0009574d 495f4449 53504154 .@....WMI_DISPAT + 04d90 43485f54 41424c45 0000003f 47030000 CH_TABLE...?G... + 04da0 40f70400 02010300 00411604 00060000 @........A...... + 04db0 268d0103 0000411f 04000201 03000041 &.....A........A + 04dc0 2c040006 00000108 01030000 41350400 ,...........A5.. + 04dd0 02010300 00414204 00060000 13220103 .....AB......".. + 04de0 0000414b 0400075f 776d695f 7376635f ..AK..._wmi_svc_ + 04df0 61706973 002c0000 4293085f 574d495f apis.,..B.._WMI_ + 04e00 496e6974 00000040 f0022300 085f574d Init...@..#.._WM + 04e10 495f5265 67697374 65724469 73706174 I_RegisterDispat + 04e20 63685461 626c6500 00004118 02230408 chTable...A..#.. + 04e30 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 04e40 00004125 02230808 5f574d49 5f53656e ..A%.#.._WMI_Sen + 04e50 64457665 6e740000 00412e02 230c085f dEvent...A..#.._ + 04e60 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 04e70 656e7473 436f756e 74000000 413b0223 entsCount...A;.# + 04e80 10085f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 04e90 65746548 616e646c 65720000 0039a202 eteHandler...9.. + 04ea0 2314085f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 04eb0 6f6c4570 00000041 3b022318 085f574d olEp...A;.#.._WM + 04ec0 495f5368 7574646f 776e0000 00414402 I_Shutdown...AD. + 04ed0 231c085f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 04ee0 61676548 616e646c 65720000 00399902 ageHandler...9.. + 04ef0 2320085f 574d495f 53657276 69636543 # ._WMI_ServiceC + 04f00 6f6e6e65 63740000 00415102 23240870 onnect...AQ.#$.p + 04f10 52657365 72766564 00000003 fd022328 Reserved......#( + 04f20 00077a73 446d6144 65736300 14000043 ..zsDmaDesc....C + 04f30 15086374 726c0000 00016c02 23000873 ..ctrl....l.#..s + 04f40 74617475 73000000 016c0223 0208746f tatus....l.#..to + 04f50 74616c4c 656e0000 00016c02 23040864 talLen....l.#..d + 04f60 61746153 697a6500 0000016c 02230608 ataSize....l.#.. + 04f70 6c617374 41646472 00000043 15022308 lastAddr...C..#. + 04f80 08646174 61416464 72000000 01900223 .dataAddr......# + 04f90 0c086e65 78744164 64720000 00431502 ..nextAddr...C.. + 04fa0 23100003 00004293 04000300 00429304 #.....B......B.. + 04fb0 00077a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 04fc0 43550868 65616400 0000431c 02230008 CU.head...C..#.. + 04fd0 7465726d 696e6174 6f720000 00431c02 terminator...C.. + 04fe0 23040007 7a735478 446d6151 75657565 #...zsTxDmaQueue + 04ff0 00100000 43b90868 65616400 0000431c ....C..head...C. + 05000 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 05010 00431c02 23040878 6d697465 645f6275 .C..#..xmited_bu + 05020 665f6865 61640000 00148102 23080878 f_head......#..x + 05030 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 05040 00148102 230c0002 01030000 43b90400 ....#.......C... + 05050 03000043 23040002 01030000 43c90400 ...C#.......C... + 05060 03000043 55040002 01030000 43d90400 ...CU.......C... + 05070 02010300 0043e204 00020103 000043eb .....C........C. + 05080 04000600 00148101 03000043 f4040002 ...........C.... + 05090 01030000 44010400 06000014 81010300 ....D........... + 050a0 00440a04 00020103 00004417 04000600 .D........D..... + 050b0 00010801 03000044 20040006 0000431c .......D .....C. + 050c0 01030000 442d0400 02010300 00443a04 ....D-.......D:. + 050d0 0007646d 615f656e 67696e65 5f617069 ..dma_engine_api + 050e0 00400000 45b0085f 696e6974 00000043 .@..E.._init...C + 050f0 bb022300 085f696e 69745f72 785f7175 ..#.._init_rx_qu + 05100 65756500 000043cb 02230408 5f696e69 eue...C..#.._ini + 05110 745f7478 5f717565 75650000 0043db02 t_tx_queue...C.. + 05120 2308085f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 05130 65756500 000043e4 02230c08 5f786d69 eue...C..#.._xmi + 05140 745f6275 66000000 43ed0223 10085f66 t_buf...C..#.._f + 05150 6c757368 5f786d69 74000000 43cb0223 lush_xmit...C..# + 05160 14085f72 6561705f 72656376 5f627566 .._reap_recv_buf + 05170 00000043 fa022318 085f7265 7475726e ...C..#.._return + 05180 5f726563 765f6275 66000000 44030223 _recv_buf...D..# + 05190 1c085f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 051a0 75660000 00441002 2320085f 73776170 uf...D..# ._swap + 051b0 5f646174 61000000 44190223 24085f68 _data...D..#$._h + 051c0 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 051d0 00000044 26022328 085f6465 73635f64 ...D&.#(._desc_d + 051e0 756d7000 000043cb 02232c08 5f676574 ump...C..#,._get + 051f0 5f706163 6b657400 00004433 02233008 _packet...D3.#0. + 05200 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 05210 0000443c 02233408 5f707574 5f706163 ..D<.#4._put_pac + 05220 6b657400 0000443c 02233808 70526573 ket...D<.#8.pRes + 05230 65727665 64000000 03fd0223 3c00095f erved......#<.._ + 05240 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 05250 696f6e5f 7461626c 655f7400 000030ef ion_table_t...0. + 05260 09574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 05270 4158165f 415f6d61 67706965 5f696e64 AX._A_magpie_ind + 05280 69726563 74696f6e 5f746162 6c650003 irection_table.. + 05290 4c000046 de08636d 6e6f7300 000045b0 L..F..cmnos...E. + 052a0 02230008 64626700 000003ca 0323b803 .#..dbg......#.. + 052b0 08686966 00000029 980323c0 03086874 .hif...)..#...ht + 052c0 63000000 3b0b0323 f8030877 6d695f73 c...;..#...wmi_s + 052d0 76635f61 70690000 0045d203 23ac0408 vc_api...E..#... + 052e0 75736266 69666f5f 61706900 000032c3 usbfifo_api...2. + 052f0 0323d804 08627566 5f706f6f 6c000000 .#...buf_pool... + 05300 376c0323 e4040876 62756600 000014ab 7l.#...vbuf..... + 05310 03238005 08766465 73630000 00138d03 .#...vdesc...... + 05320 23940508 616c6c6f 6372616d 00000009 #...allocram.... + 05330 830323a8 0508646d 615f656e 67696e65 ..#...dma_engine + 05340 00000044 430323b4 0508646d 615f6c69 ...DC.#...dma_li + 05350 62000000 2c2c0323 f4050868 69665f70 b...,,.#...hif_p + 05360 63690000 002e8c03 23a80600 095f415f ci......#...._A_ + 05370 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 05380 6f6e5f74 61626c65 5f740000 0045e411 on_table_t...E.. + 05390 10000047 34086130 00000001 a5022300 ...G4.a0......#. + 053a0 08613100 000001a5 02230408 61320000 .a1......#..a2.. + 053b0 0001a502 23080861 33000000 01a50223 ....#..a3......# + 053c0 0c000e00 00470150 00004741 0f040007 .....G.P..GA.... + 053d0 5854656e 73615f65 78636570 74696f6e XTensa_exception + 053e0 5f667261 6d655f73 00800000 48250878 _frame_s....H%.x + 053f0 745f7063 00000001 a5022300 0878745f t_pc......#..xt_ + 05400 70730000 0001a502 23040878 745f7361 ps......#..xt_sa + 05410 72000000 01a50223 08087874 5f767072 r......#..xt_vpr + 05420 69000000 01a50223 0c087874 5f613200 i......#..xt_a2. + 05430 000001a5 02231008 78745f61 33000000 .....#..xt_a3... + 05440 01a50223 14087874 5f613400 000001a5 ...#..xt_a4..... + 05450 02231808 78745f61 35000000 01a50223 .#..xt_a5......# + 05460 1c087874 5f657863 63617573 65000000 ..xt_exccause... + 05470 01a50223 20087874 5f6c636f 756e7400 ...# .xt_lcount. + 05480 000001a5 02232408 78745f6c 62656700 .....#$.xt_lbeg. + 05490 000001a5 02232808 78745f6c 656e6400 .....#(.xt_lend. + 054a0 000001a5 02232c08 77620000 00473402 .....#,.wb...G4. + 054b0 23300009 4350555f 65786365 7074696f #0..CPU_exceptio + 054c0 6e5f6672 616d655f 74000000 47411108 n_frame_t...GA.. + 054d0 00004874 086d6f72 655f6461 74610000 ..Ht.more_data.. + 054e0 001cf002 2300086c 656e0000 001cf002 ....#..len...... + 054f0 2302086f 66667365 74000000 16610223 #..offset....a.# + 05500 04001108 00004896 08727370 00000016 ......H..rsp.... + 05510 61022300 086f6666 73657400 00001661 a.#..offset....a + 05520 02230400 110c0000 48dd0861 64647200 .#......H..addr. + 05530 00001661 02230008 6869665f 68616e64 ...a.#..hif_hand + 05540 6c650000 0028f502 23040872 785f7069 le...(..#..rx_pi + 05550 70650000 0016c802 23080874 785f7069 pe......#..tx_pi + 05560 70650000 0016c802 23090009 415f494e pe......#...A_IN + 05570 54333200 00000108 095f5f61 5f696e74 T32......__a_int + 05580 33325f74 00000048 dd09615f 696e7433 32_t...H..a_int3 + 05590 325f7400 000048ea 076d6469 6f5f6277 2_t...H..mdio_bw + 055a0 5f657865 63001800 00499208 73746172 _exec....I..star + 055b0 745f6164 64726573 73000000 16610223 t_address....a.# + 055c0 00086c65 6e677468 00000016 61022304 ..length....a.#. + 055d0 08657865 635f6164 64726573 73000000 .exec_address... + 055e0 16610223 08086368 65636b73 756d0000 .a.#..checksum.. + 055f0 00166102 230c0866 77645f73 74617465 ..a.#..fwd_state + 05600 00000048 fb022310 08637572 72656e74 ...H..#..current + 05610 5f77725f 70747200 000016d7 02231400 _wr_ptr......#.. + 05620 06000001 08010300 0001a504 00190000 ................ + 05630 01a50100 0049ab1a 00050000 499f1900 .....I......I... + 05640 0001a501 000049bc 1a001b5f 726f6d5f ......I...._rom_ + 05650 73746f72 655f7461 626c6500 000049ab store_table...I. + 05660 0503004e 8720010e 000001a5 10000049 ...N. .........I + 05670 e60f0300 0e000001 0f0b0000 49f30f0a ............I... + 05680 00030000 49e60400 1c000001 901c0000 ....I........... + 05690 01900300 0049ff04 00030000 48250400 .....I......H%.. + 056a0 02010e00 00010f3c 00004a21 0f3b0003 .......<..J!.;.. + 056b0 00004a14 04000e00 00010f07 00004a35 ..J...........J5 + 056c0 0f060003 00004a28 04000e00 00010f12 ......J(........ + 056d0 00004a49 0f110003 00004a3c 04000300 ..JI......J<.... + 056e0 0001a504 000e0000 010f4300 004a640f ..........C..Jd. + 056f0 42000300 004a5704 000e0000 010f2d00 B....JW.......-. + 05700 004a780f 2c000300 004a6b04 000e0000 .Jx.,....Jk..... + 05710 010f1b00 004a8c0f 1a000300 004a7f04 .....J.......J.. + 05720 00110800 004abd08 696e7374 616c6c5f .....J..install_ + 05730 666e0000 0009e902 23000861 70695f74 fn......#..api_t + 05740 626c0000 0003fd02 23040019 00004a93 bl......#.....J. + 05750 0100004a ca0f1600 1b626173 69635f52 ...J.....basic_R + 05760 4f4d5f6d 6f64756c 655f7461 626c6500 OM_module_table. + 05770 00004abd 05030050 06400103 00000440 ..J....P.@.....@ + 05780 04000201 03000045 b0040003 000046de .......E......F. + 05790 04000300 0005b304 00020103 00000135 ...............5 + 057a0 04000201 02010300 000fe204 00020103 ................ + 057b0 00000c7b 04000201 03000007 cb040002 ...{............ + 057c0 01030000 0b2b0400 02010300 00098304 .....+.......... + 057d0 00020103 00000875 04000201 0300000e .......u........ + 057e0 58040002 01030000 0f860400 02010300 X............... + 057f0 002f5d04 00030000 06d70400 02010300 ./]............. + 05800 000a8004 00020103 0000138d 04000201 ................ + 05810 03000014 ab040002 01030000 29980400 ............)... + 05820 02010300 002e8c04 00020103 00002c2c ..............,, + 05830 04000201 03000037 6c040002 01030000 .......7l....... + 05840 32c30400 02010300 00444304 00020109 2........DC..... + 05850 5f78746f 735f6861 6e646c65 725f6675 _xtos_handler_fu + 05860 6e630000 0043b903 00004bc1 0400095f nc...C....K...._ + 05870 78746f73 5f68616e 646c6572 0000004b xtos_handler...K + 05880 d9060000 4be00103 00004a12 04000201 ....K.....J..... + 05890 0300004c 00040002 010e0000 010f1400 ...L............ + 058a0 004c180f 13000300 004c0b04 000e0000 .L.......L...... + 058b0 010f0500 004c2c0f 04000300 004c1f04 .....L,......L.. + 058c0 00060000 0841010e 0000010f 1100004c .....A.........L + 058d0 460f1000 0300004c 39040003 000043b9 F......L9.....C. + 058e0 04000e00 00010f1c 00004c61 0f1b0003 ..........La.... + 058f0 00004c54 04000300 0043b904 000e0000 ..LT.....C...... + 05900 010f0200 004c7c0f 01000300 004c6f04 .....L|......Lo. + 05910 000e0000 010f1000 004c900f 0f000300 .........L...... + 05920 004c8304 000e0000 010f1900 004ca40f .L...........L.. + 05930 18000300 004c9704 000e0000 010f1e00 .....L.......... + 05940 004cb80f 1d000300 004cab04 000e0000 .L.......L...... + 05950 010f0f00 004ccc0f 0e000300 004cbf04 .....L.......L.. + 05960 000e0000 010f0d00 004ce00f 0c000300 .........L...... + 05970 004cd304 00060000 28f5010e 0000010f .L......(....... + 05980 1a00004c fa0f1900 0300004c ed040002 ...L.......L.... + 05990 01096d64 696f5f62 775f6578 65635f74 ..mdio_bw_exec_t + 059a0 00000049 0a030000 4d030400 06000001 ...I....M....... + 059b0 0801096a 6d705f66 756e6300 00000101 ...jmp_func..... + 059c0 1d017770 6f737400 01010392 01300290 ..wpost......0.. + 059d0 00008e13 a4008e13 f700004d 701e7061 ...........Mp.pa + 059e0 74746572 6e000000 49d90291 501f6900 ttern...I...P.i. + 059f0 00000182 1f746d70 00000001 a5001d01 .....tmp........ + 05a00 bc4d6167 7069655f 66617461 6c5f6578 .Magpie_fatal_ex + 05a10 63657074 696f6e5f 68616e64 6c657200 ception_handler. + 05a20 01010492 01b00102 9000008e 13f8008e ................ + 05a30 14280000 4dca2001 bc657863 5f667261 .(..M. ..exc_fra + 05a40 6d650000 004a0b01 521e6475 6d700000 me...J..R.dump.. + 05a50 0004a203 91d07e00 2101d161 74686f73 ......~.!..athos + 05a60 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 05a70 00010801 03920120 02900000 8e142800 ....... ......(. + 05a80 8e145100 004e1a20 01d1737a 00000001 ..Q..N. ..sz.... + 05a90 08015220 01d16c69 6e6b5f63 6865636b ..R ..link_check + 05aa0 00000030 d4015300 2201ef61 74686f73 ...0..S."..athos + 05ab0 5f626c6f 636b5f61 6c6c5f69 6e74726c _block_all_intrl + 05ac0 766c0000 0001a501 01039201 20029000 vl.......... ... + 05ad0 008e1454 008e145f 00004e58 1f746d70 ...T..._..NX.tmp + 05ae0 00000001 a5001d01 fe617468 6f735f75 .........athos_u + 05af0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 05b00 766c0001 01039201 20029000 008e1460 vl...... ......` + 05b10 008e1468 00004e94 1f746d70 00000004 ...h..N..tmp.... + 05b20 00002301 01066174 686f735f 72657374 ..#...athos_rest + 05b30 6f72655f 696e7472 6c766c00 01010392 ore_intrlvl..... + 05b40 01200290 00008e14 68008e14 7200004e . ......h...r..N + 05b50 d7240101 066f6c64 5f696e74 72000000 .$...old_intr... + 05b60 01a50152 00250101 10415236 3030325f ...R.%...AR6002_ + 05b70 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 05b80 68616e64 6c657200 01049201 b0010290 handler......... + 05b90 00008e14 74008e14 d200004f 45240101 ....t......OE$.. + 05ba0 10657863 5f667261 6d650000 004a0b01 .exc_frame...J.. + 05bb0 521e6475 6d700000 0004a203 91d07e1f R.dump........~. + 05bc0 73746b70 74720000 004a501f 69000000 stkptr...JP.i... + 05bd0 01080025 01013b41 52363030 325f6661 ...%..;AR6002_fa + 05be0 74616c5f 65786365 7074696f 6e5f6861 tal_exception_ha + 05bf0 6e646c65 72000104 9201b001 02900000 ndler........... + 05c00 8e14d400 8e154500 004fd824 01013b65 ......E..O.$..;e + 05c10 78635f66 72616d65 0000004a 0b01521f xc_frame...J..R. + 05c20 72657365 745f6675 6e630000 0001011e reset_func...... + 05c30 64756d70 00000004 a20391d0 7e1f6570 dump........~.ep + 05c40 63310000 0001a51f 65706332 00000001 c1......epc2.... + 05c50 a51f6570 63330000 0001a51f 65706334 ..epc3......epc4 + 05c60 00000001 a5002301 01be6765 6e657269 ......#...generi + 05c70 635f6869 665f6d6f 64756c65 5f696e73 c_hif_module_ins + 05c80 74616c6c 00010103 92012002 9000008e tall...... ..... + 05c90 1548008e 15730000 50282401 01be6170 .H...s..P($...ap + 05ca0 69730000 004b8b01 521f686f 73746966 is...K..R.hostif + 05cb0 00000005 7e002301 01d86174 686f735f ....~.#...athos_ + 05cc0 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 05cd0 655f696e 7374616c 6c000101 03920120 e_install...... + 05ce0 02900000 8e157400 8e160300 0050721f ......t......Pr. + 05cf0 74626c00 0000036b 1f690000 00040000 tbl....k.i...... + 05d00 2301021c 6174686f 735f696e 74657272 #...athos_interr + 05d10 7570745f 68616e64 6c657200 01010392 upt_handler..... + 05d20 01200290 00008e16 04008e16 14000050 . .............P + 05d30 cd240102 1c696e75 6d000000 04000152 .$...inum......R + 05d40 2401021c 696e7465 72727570 745f6672 $...interrupt_fr + 05d50 616d6500 000030e8 01530023 01022361 ame...0..S.#..#a + 05d60 74686f73 5f696e74 65727275 70745f69 thos_interrupt_i + 05d70 6e697400 01010392 01200290 00008e16 nit...... ...... + 05d80 14008e16 7a000051 031f6900 00000108 ....z..Q..i..... + 05d90 00230103 9f617468 6f735f69 6e697400 .#...athos_init. + 05da0 01010392 01200290 00008e16 7c008e16 ..... ......|... + 05db0 ae000051 36240103 9f686966 00000005 ...Q6$...hif.... + 05dc0 7e015200 260103b5 5f726561 645f7573 ~.R.&..._read_us + 05dd0 625f6465 73630000 00084101 01039201 b_desc....A..... + 05de0 30029000 008e16b0 008e16e6 000051ab 0.............Q. + 05df0 240103b5 70446573 63000000 0f650152 $...pDesc....e.R + 05e00 240103b5 4f666673 65740000 00018201 $...Offset...... + 05e10 53240103 b553697a 65000000 01820154 S$...Size......T + 05e20 1f704473 74000000 0f651e6d 53697a65 .pDst....e.mSize + 05e30 00000001 82029150 00260103 c8726561 .......P.&...rea + 05e40 645f7573 625f636f 6e660000 00084101 d_usb_conf....A. + 05e50 01039201 30029000 008e16e8 008e178b ....0........... + 05e60 000051e5 1e6d4461 74610000 0001a502 ..Q..mData...... + 05e70 91500026 0103e073 65745f70 63695f63 .P.&...set_pci_c + 05e80 6f6e6600 00000841 01010392 01200290 onf....A..... .. + 05e90 00008e17 8c008e18 5e000052 4e1f6d44 ........^..RN.mD + 05ea0 61746100 000001a5 1f66726f 6d6f776c ata......fromowl + 05eb0 00000016 c81f6469 64766964 00000001 ......didvid.... + 05ec0 a51f6770 696f656e 00000001 a51f6770 ..gpioen......gp + 05ed0 696f6675 6e630000 0001a500 27010410 iofunc......'... + 05ee0 626f6f74 6c6f6164 00010392 01200290 bootload..... .. + 05ef0 00008e18 60008e18 9b270104 22706369 ....`....'.."pci + 05f00 5f676d61 635f626f 6f746c6f 61640001 _gmac_bootload.. + 05f10 03920120 02900000 8e189c00 8e18c827 ... ...........' + 05f20 01043474 75726e5f 6f66665f 72630001 ..4turn_off_rc.. + 05f30 03920120 02900000 8e18c800 8e18fb23 ... ...........# + 05f40 01044362 6f6f7465 6e747279 00010104 ..Cbootentry.... + 05f50 9201c000 02900000 8e18fc00 8e1c1400 ................ + 05f60 00535b1f 686f7374 69660000 00057e1f .S[.hostif....~. + 05f70 7273745f 73746174 75730000 000e3a1f rst_status....:. + 05f80 72657445 45500000 000f561e 69000000 retEEP....V.i... + 05f90 01820503 00500950 1f617374 61727400 .....P.P.astart. + 05fa0 000003fd 1f617369 7a650000 0001081f .....asize...... + 05fb0 61737461 72740000 0003fd1f 6173697a astart......asiz + 05fc0 65000000 01081e66 775f6277 5f737461 e......fw_bw_sta + 05fd0 74650000 004d0302 91401f66 756e635f te...M...@.func_ + 05fe0 70747200 00004d24 00280105 8a6d6169 ptr...M$.(...mai + 05ff0 6e000000 01080101 03920120 02029000 n.......... .... + 06000 008e1c14 008e1c32 00000000 48740002 .......2....Ht.. + 06010 00000443 04012f72 6f6f742f 576f726b ...C../root/Work + 06020 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 06030 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 06040 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 06050 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 06060 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 06070 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + 06080 616d2f73 72632f63 6d6e6f73 5f616c6c am/src/cmnos_all + 06090 6f637261 6d2e6300 2f726f6f 742f576f ocram.c./root/Wo + 060a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 060b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 060c0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 060d0 2f616c6c 6f637261 6d007874 2d786363 /allocram.xt-xcc + 060e0 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: + 060f0 616c6967 6e5f696e 73747275 6374696f align_instructio + 06100 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O + 06110 50543a73 70616365 00010000 0025ff02 PT:space.....%.. + 06120 01030000 01150400 04696e74 00050404 .........int.... + 06130 63686172 00070105 00000125 05000001 char.......%.... + 06140 25030000 01320400 06000001 1e010300 %....2.......... + 06150 00013e04 00077072 696e7466 5f617069 ..>...printf_api + 06160 00080000 0182085f 7072696e 74665f69 ......._printf_i + 06170 6e697400 00000117 02230008 5f707269 nit......#.._pri + 06180 6e746600 00000144 02230400 0473686f ntf....D.#...sho + 06190 72742075 6e736967 6e656420 696e7400 rt unsigned int. + 061a0 07020975 696e7431 365f7400 00000182 ...uint16_t..... + 061b0 046c6f6e 6720756e 7369676e 65642069 .long unsigned i + 061c0 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. + 061d0 0001a607 75617274 5f666966 6f000800 ....uart_fifo... + 061e0 00021408 73746172 745f696e 64657800 ....start_index. + 061f0 00000198 02230008 656e645f 696e6465 .....#..end_inde + 06200 78000000 01980223 02086f76 65727275 x......#..overru + 06210 6e5f6572 72000000 01bb0223 04000775 n_err......#...u + 06220 6172745f 61706900 20000002 cd085f75 art_api. ....._u + 06230 6172745f 696e6974 00000003 24022300 art_init....$.#. + 06240 085f7561 72745f63 6861725f 70757400 ._uart_char_put. + 06250 0000034b 02230408 5f756172 745f6368 ...K.#.._uart_ch + 06260 61725f67 65740000 00035f02 2308085f ar_get...._.#.._ + 06270 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 06280 6802230c 085f7561 72745f74 61736b00 h.#.._uart_task. + 06290 00000117 02231008 5f756172 745f7374 .....#.._uart_st + 062a0 61747573 00000003 24022314 085f7561 atus....$.#.._ua + 062b0 72745f63 6f6e6669 67000000 03710223 rt_config....q.# + 062c0 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 062d0 00037a02 231c0003 00000214 04000775 ..z.#..........u + 062e0 6172745f 626c6b00 10000003 1e086465 art_blk.......de + 062f0 6275675f 6d6f6465 00000001 98022300 bug_mode......#. + 06300 08626175 64000000 01980223 02085f75 .baud......#.._u + 06310 61727400 000002cd 02230408 5f747800 art......#.._tx. + 06320 000001c9 02230800 06000001 bb010300 .....#.......... + 06330 00031e04 0004756e 7369676e 65642063 ......unsigned c + 06340 68617200 07010975 696e7438 5f740000 har....uint8_t.. + 06350 00032b02 01030000 03490400 03000003 ..+......I...... + 06360 3c040006 00000198 01030000 03590400 <............Y.. + 06370 02010300 00036604 00020103 0000036f ......f........o + 06380 04000201 03000003 78040003 00000125 ........x......% + 06390 04000600 00011e01 03000003 88040007 ................ + 063a0 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC + 063b0 54000c00 0003e008 636d645f 73747200 T.......cmd_str. + 063c0 00000381 02230008 68656c70 5f737472 .....#..help_str + 063d0 00000003 81022304 08636d64 5f66756e ......#..cmd_fun + 063e0 63000000 038e0223 08000764 62675f61 c......#...dbg_a + 063f0 70690008 00000413 085f6462 675f696e pi......._dbg_in + 06400 69740000 00011702 2300085f 6462675f it......#.._dbg_ + 06410 7461736b 00000001 17022304 000a0400 task......#..... + 06420 04756e73 69676e65 6420696e 74000704 .unsigned int... + 06430 06000004 13010300 00042604 000b0b03 ..........&..... + 06440 00000434 04000600 00041301 03000004 ...4............ + 06450 3c040006 0000011e 01030000 04490400 <............I.. + 06460 076d656d 5f617069 00140000 04b8085f .mem_api......._ + 06470 6d656d5f 696e6974 00000001 17022300 mem_init......#. + 06480 085f6d65 6d736574 00000004 2c022304 ._memset....,.#. + 06490 085f6d65 6d637079 00000004 42022308 ._memcpy....B.#. + 064a0 085f6d65 6d6d6f76 65000000 04420223 ._memmove....B.# + 064b0 0c085f6d 656d636d 70000000 044f0223 .._memcmp....O.# + 064c0 10000c72 65676973 7465725f 64756d70 ...register_dump + 064d0 5f730000 01030000 04b80400 02010300 _s.............. + 064e0 0004d204 00020103 000004db 04000600 ................ + 064f0 00011e01 03000004 e404000d 686f7374 ............host + 06500 69665f73 00040000 05400e48 49465f55 if_s.....@.HIF_U + 06510 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... + 06520 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P + 06530 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H + 06540 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS + 06550 54494600 000004f1 06000005 40010300 TIF.........@... + 06560 00054e04 00060000 033c0103 0000055b ..N......<.....[ + 06570 04000600 00019801 03000005 68040007 ............h... + 06580 6d697363 5f617069 00240000 0658085f misc_api.$...X._ + 06590 73797374 656d5f72 65736574 00000001 system_reset.... + 065a0 17022300 085f6d61 635f7265 73657400 ..#.._mac_reset. + 065b0 00000117 02230408 5f617373 6661696c .....#.._assfail + 065c0 00000004 d4022308 085f6d69 73616c69 ......#.._misali + 065d0 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle + 065e0 72000000 04d40223 0c085f72 65706f72 r......#.._repor + 065f0 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos + 06600 74000000 04dd0223 10085f74 61726765 t......#.._targe + 06610 745f6964 5f676574 00000004 ea022314 t_id_get......#. + 06620 085f6973 5f686f73 745f7072 6573656e ._is_host_presen + 06630 74000000 05540223 18085f6b 62686974 t....T.#.._kbhit + 06640 00000005 6102231c 085f726f 6d5f7665 ....a.#.._rom_ve + 06650 7273696f 6e5f6765 74000000 056e0223 rsion_get....n.# + 06660 20000600 00038101 03000006 58040006 ...........X... + 06670 00000381 01030000 06650400 06000001 .........e...... + 06680 1e010300 00067204 00060000 011e0103 ......r......... + 06690 0000067f 04000600 00011e01 03000006 ................ + 066a0 8c040007 73747269 6e675f61 70690018 ....string_api.. + 066b0 00000712 085f7374 72696e67 5f696e69 ....._string_ini + 066c0 74000000 01170223 00085f73 74726370 t......#.._strcp + 066d0 79000000 065e0223 04085f73 74726e63 y....^.#.._strnc + 066e0 70790000 00066b02 2308085f 7374726c py....k.#.._strl + 066f0 656e0000 00067802 230c085f 73747263 en....x.#.._strc + 06700 6d700000 00068502 2310085f 7374726e mp......#.._strn + 06710 636d7000 00000692 02231400 0f000004 cmp......#...... + 06720 16140000 071f1004 00095f41 5f54494d .........._A_TIM + 06730 45525f53 50414345 00000007 1209415f ER_SPACE......A_ + 06740 74696d65 725f7400 0000071f 03000007 timer_t......... + 06750 33040002 01030000 07490400 02010300 3........I...... + 06760 00075204 0009415f 48414e44 4c450000 ..R...A_HANDLE.. + 06770 00041602 0109415f 54494d45 525f4655 ......A_TIMER_FU + 06780 4e430000 00076903 0000076b 04000201 NC....i....k.... + 06790 03000007 84040007 74696d65 725f6170 ........timer_ap + 067a0 69001400 00080308 5f74696d 65725f69 i......._timer_i + 067b0 6e697400 00000117 02230008 5f74696d nit......#.._tim + 067c0 65725f61 726d0000 00074b02 2304085f er_arm....K.#.._ + 067d0 74696d65 725f6469 7361726d 00000007 timer_disarm.... + 067e0 54022308 085f7469 6d65725f 73657466 T.#.._timer_setf + 067f0 6e000000 07860223 0c085f74 696d6572 n......#.._timer + 06800 5f72756e 00000001 17022310 0009424f _run......#...BO + 06810 4f4c4541 4e000000 01980600 00080301 OLEAN........... + 06820 03000008 10040006 00000803 01030000 ................ + 06830 081d0400 06000008 03010300 00082a04 ..............*. + 06840 0007726f 6d705f61 70690010 0000089c ..romp_api...... + 06850 085f726f 6d705f69 6e697400 00000117 ._romp_init..... + 06860 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo + 06870 61640000 00081602 2304085f 726f6d70 ad......#.._romp + 06880 5f696e73 74616c6c 00000008 23022308 _install....#.#. + 06890 085f726f 6d705f64 65636f64 65000000 ._romp_decode... + 068a0 08300223 0c000772 6f6d5f70 61746368 .0.#...rom_patch + 068b0 5f737400 10000008 f8086372 63313600 _st.......crc16. + 068c0 00000198 02230008 6c656e00 00000198 .....#..len..... + 068d0 02230208 6c645f61 64647200 000001bb .#..ld_addr..... + 068e0 02230408 66756e5f 61646472 00000001 .#..fun_addr.... + 068f0 bb022308 08706675 6e000000 03520223 ..#..pfun....R.# + 06900 0c000765 65705f72 65646972 5f616464 ...eep_redir_add + 06910 72000400 00092a08 6f666673 65740000 r.....*.offset.. + 06920 00019802 23000873 697a6500 00000198 ....#..size..... + 06930 02230200 09415f55 494e5433 32000000 .#...A_UINT32... + 06940 04160600 00041301 03000009 38040007 ............8... + 06950 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... + 06960 09a90863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra + 06970 6d5f696e 69740000 00093e02 23000863 m_init....>.#..c + 06980 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... + 06990 093e0223 0408636d 6e6f735f 616c6c6f .>.#..cmnos_allo + 069a0 6372616d 5f646562 75670000 00011702 cram_debug...... + 069b0 23080002 01030000 09a90400 09415f54 #............A_T + 069c0 41534b4c 45545f46 554e4300 000009ab ASKLET_FUNC..... + 069d0 075f7461 736b6c65 74001000 000a0a08 ._tasklet....... + 069e0 66756e63 00000009 b2022300 08617267 func......#..arg + 069f0 00000004 13022304 08737461 74650000 ......#..state.. + 06a00 00011e02 2308086e 65787400 00000a0a ....#..next..... + 06a10 02230c00 03000009 c6040003 000009c6 .#.............. + 06a20 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. + 06a30 0009c603 00000a18 04000201 0300000a ................ + 06a40 30040002 01030000 0a390400 07746173 0........9...tas + 06a50 6b6c6574 5f617069 00140000 0ace085f klet_api......._ + 06a60 7461736b 6c65745f 696e6974 00000001 tasklet_init.... + 06a70 17022300 085f7461 736b6c65 745f696e ..#.._tasklet_in + 06a80 69745f74 61736b00 00000a32 02230408 it_task....2.#.. + 06a90 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable + 06aa0 0000000a 3b022308 085f7461 736b6c65 ....;.#.._taskle + 06ab0 745f7363 68656475 6c650000 000a3b02 t_schedule....;. + 06ac0 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. + 06ad0 00000117 02231000 02010300 000ace04 .....#.......... + 06ae0 00060000 092a0103 00000ad7 04000201 .....*.......... + 06af0 0300000a e4040007 636c6f63 6b5f6170 ........clock_ap + 06b00 69002400 000bc608 5f636c6f 636b5f69 i.$....._clock_i + 06b10 6e697400 00000ad0 02230008 5f636c6f nit......#.._clo + 06b20 636b7265 67735f69 6e697400 00000117 ckregs_init..... + 06b30 02230408 5f756172 745f6672 65717565 .#.._uart_freque + 06b40 6e637900 00000add 02230808 5f64656c ncy......#.._del + 06b50 61795f75 73000000 0ae60223 0c085f77 ay_us......#.._w + 06b60 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... + 06b70 e6022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe + 06b80 65645f67 65740000 000add02 2314085f ed_get......#.._ + 06b90 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... + 06ba0 dd022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha + 06bb0 6e676500 00000117 02231c08 5f636c6f nge......#.._clo + 06bc0 636b5f74 69636b00 00000117 02232000 ck_tick......# . + 06bd0 06000001 bb010300 000bc604 0009415f ..............A_ + 06be0 6f6c645f 696e7472 5f740000 0001bb06 old_intr_t...... + 06bf0 00000bd3 01030000 0be50400 02010300 ................ + 06c00 000bf204 00020103 00000bfb 04000600 ................ + 06c10 0001bb01 0300000c 04040009 415f6973 ............A_is + 06c20 725f7400 00000c0a 02010300 000c1e04 r_t............. + 06c30 00060000 04160103 00000c27 04000201 ...........'.... + 06c40 0300000c 34040007 696e7472 5f617069 ....4...intr_api + 06c50 002c0000 0d56085f 696e7472 5f696e69 .,...V._intr_ini + 06c60 74000000 01170223 00085f69 6e74725f t......#.._intr_ + 06c70 696e766f 6b655f69 73720000 000bcc02 invoke_isr...... + 06c80 2304085f 696e7472 5f646973 61626c65 #.._intr_disable + 06c90 0000000b eb022308 085f696e 74725f72 ......#.._intr_r + 06ca0 6573746f 72650000 000bf402 230c085f estore......#.._ + 06cb0 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. + 06cc0 000bfd02 2310085f 696e7472 5f756e6d ....#.._intr_unm + 06cd0 61736b5f 696e756d 0000000b fd022314 ask_inum......#. + 06ce0 085f696e 74725f61 74746163 685f6973 ._intr_attach_is + 06cf0 72000000 0c200223 18085f67 65745f69 r.... .#.._get_i + 06d00 6e747265 6e61626c 65000000 0c2d0223 ntrenable....-.# + 06d10 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl + 06d20 65000000 0c360223 20085f67 65745f69 e....6.# ._get_i + 06d30 6e747270 656e6469 6e670000 000c2d02 ntrpending....-. + 06d40 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ + 06d50 696e7472 6c766c00 00000117 02232800 intrlvl......#(. + 06d60 11040000 0d7c0874 696d656f 75740000 .....|.timeout.. + 06d70 0001bb02 23000861 6374696f 6e000000 ....#..action... + 06d80 01bb0223 00001208 00000d97 08636d64 ...#.........cmd + 06d90 00000001 bb022300 1300000d 56022304 ......#.....V.#. + 06da0 0009545f 5744545f 434d4400 00000d7c ..T_WDT_CMD....| + 06db0 02010300 000da604 00140400 000dfc0e ................ + 06dc0 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... + 06dd0 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. + 06de0 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. + 06df0 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B + 06e00 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY + 06e10 50450000 000daf06 00000dfc 01030000 PE.............. + 06e20 0e0d0400 07776474 5f617069 001c0000 .....wdt_api.... + 06e30 0eb1085f 7764745f 696e6974 00000001 ..._wdt_init.... + 06e40 17022300 085f7764 745f656e 61626c65 ..#.._wdt_enable + 06e50 00000001 17022304 085f7764 745f6469 ......#.._wdt_di + 06e60 7361626c 65000000 01170223 08085f77 sable......#.._w + 06e70 64745f73 65740000 000da802 230c085f dt_set......#.._ + 06e80 7764745f 7461736b 00000001 17022310 wdt_task......#. + 06e90 085f7764 745f7265 73657400 00000117 ._wdt_reset..... + 06ea0 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo + 06eb0 6f740000 000e1302 23180014 0400000f ot......#....... + 06ec0 180e5245 545f5355 43434553 5300000e ..RET_SUCCESS... + 06ed0 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R + 06ee0 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R + 06ef0 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. + 06f00 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO + 06f10 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. + 06f20 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... + 06f30 b1030000 01980400 0600000f 18010300 ................ + 06f40 000f2e04 00060000 0f180103 00000f3b ...............; + 06f50 04000765 65705f61 70690010 00000fa4 ...eep_api...... + 06f60 085f6565 705f696e 69740000 00011702 ._eep_init...... + 06f70 2300085f 6565705f 72656164 0000000f #.._eep_read.... + 06f80 34022304 085f6565 705f7772 69746500 4.#.._eep_write. + 06f90 00000f34 02230808 5f656570 5f69735f ...4.#.._eep_is_ + 06fa0 65786973 74000000 0f410223 0c000775 exist....A.#...u + 06fb0 73625f61 70690070 00001251 085f7573 sb_api.p...Q._us + 06fc0 625f696e 69740000 00011702 2300085f b_init......#.._ + 06fd0 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... + 06fe0 17022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas + 06ff0 6b000000 01170223 08085f75 73625f69 k......#.._usb_i + 07000 6e69745f 70687900 00000117 02230c08 nit_phy......#.. + 07010 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. + 07020 00011702 2310085f 7573625f 6570305f ....#.._usb_ep0_ + 07030 74780000 00011702 2314085f 7573625f tx......#.._usb_ + 07040 6570305f 72780000 00011702 2318085f ep0_rx......#.._ + 07050 7573625f 6765745f 696e7465 72666163 usb_get_interfac + 07060 65000000 08230223 1c085f75 73625f73 e....#.#.._usb_s + 07070 65745f69 6e746572 66616365 00000008 et_interface.... + 07080 23022320 085f7573 625f6765 745f636f #.# ._usb_get_co + 07090 6e666967 75726174 696f6e00 00000823 nfiguration....# + 070a0 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con + 070b0 66696775 72617469 6f6e0000 00082302 figuration....#. + 070c0 2328085f 7573625f 7374616e 64617264 #(._usb_standard + 070d0 5f636d64 00000008 2302232c 085f7573 _cmd....#.#,._us + 070e0 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... + 070f0 17022330 085f7573 625f706f 7765725f ..#0._usb_power_ + 07100 6f666600 00000117 02233408 5f757362 off......#4._usb + 07110 5f726573 65745f66 69666f00 00000117 _reset_fifo..... + 07120 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt + 07130 00000001 1702233c 085f7573 625f6a75 ......#<._usb_ju + 07140 6d705f62 6f6f7400 00000117 02234008 mp_boot......#@. + 07150 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature + 07160 00000008 23022344 085f7573 625f7365 ....#.#D._usb_se + 07170 745f6665 61747572 65000000 08230223 t_feature....#.# + 07180 48085f75 73625f73 65745f61 64647265 H._usb_set_addre + 07190 73730000 00082302 234c085f 7573625f ss....#.#L._usb_ + 071a0 6765745f 64657363 72697074 6f720000 get_descriptor.. + 071b0 00082302 2350085f 7573625f 6765745f ..#.#P._usb_get_ + 071c0 73746174 75730000 00082302 2354085f status....#.#T._ + 071d0 7573625f 73657475 705f6465 73630000 usb_setup_desc.. + 071e0 00011702 2358085f 7573625f 7265675f ....#X._usb_reg_ + 071f0 6f757400 00000117 02235c08 5f757362 out......#\._usb + 07200 5f737461 7475735f 696e0000 00011702 _status_in...... + 07210 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d + 07220 61746100 00000117 02236408 5f757362 ata......#d._usb + 07230 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... + 07240 17022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in + 07250 69740000 00011702 236c0007 5f564445 it......#l.._VDE + 07260 53430024 000012dd 086e6578 745f6465 SC.$.....next_de + 07270 73630000 0012dd02 23000862 75665f61 sc......#..buf_a + 07280 64647200 000012f1 02230408 6275665f ddr......#..buf_ + 07290 73697a65 00000012 f8022308 08646174 size......#..dat + 072a0 615f6f66 66736574 00000012 f802230a a_offset......#. + 072b0 08646174 615f7369 7a650000 0012f802 .data_size...... + 072c0 230c0863 6f6e7472 6f6c0000 0012f802 #..control...... + 072d0 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. + 072e0 00130602 23100003 00001251 04000941 ....#......Q...A + 072f0 5f55494e 54380000 00032b03 000012e4 _UINT8....+..... + 07300 04000941 5f55494e 54313600 00000182 ...A_UINT16..... + 07310 0f000012 e4140000 13131013 00030000 ................ + 07320 12510400 09564445 53430000 00125103 .Q...VDESC....Q. + 07330 0000131a 04000600 00132501 03000013 ..........%..... + 07340 2c040006 000012f1 01030000 13390400 ,............9.. + 07350 02010300 00134604 00077664 6573635f ......F...vdesc_ + 07360 61706900 14000013 be085f69 6e697400 api......._init. + 07370 00000ae6 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 07380 64657363 00000013 32022304 085f6765 desc....2.#.._ge + 07390 745f6877 5f646573 63000000 133f0223 t_hw_desc....?.# + 073a0 08085f73 7761705f 76646573 63000000 .._swap_vdesc... + 073b0 13480223 0c087052 65736572 76656400 .H.#..pReserved. + 073c0 00000413 02231000 075f5642 55460020 .....#..._VBUF. + 073d0 0000141e 08646573 635f6c69 73740000 .....desc_list.. + 073e0 00132502 2300086e 6578745f 62756600 ..%.#..next_buf. + 073f0 0000141e 02230408 6275665f 6c656e67 .....#..buf_leng + 07400 74680000 0012f802 23080872 65736572 th......#..reser + 07410 76656400 00001425 02230a08 63747800 ved....%.#..ctx. + 07420 00001306 02230c00 03000013 be04000f .....#.......... + 07430 000012e4 02000014 32100100 03000013 ........2....... + 07440 be040009 56425546 00000013 be030000 ....VBUF........ + 07450 14390400 06000014 43010300 00144a04 .9......C.....J. + 07460 00060000 14430103 00001457 04000201 .....C.....W.... + 07470 03000014 64040007 76627566 5f617069 ....d...vbuf_api + 07480 00140000 14e2085f 696e6974 0000000a ......._init.... + 07490 e6022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf + 074a0 00000014 50022304 085f616c 6c6f635f ....P.#.._alloc_ + 074b0 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. + 074c0 00145d02 2308085f 66726565 5f766275 ..].#.._free_vbu + 074d0 66000000 14660223 0c087052 65736572 f....f.#..pReser + 074e0 76656400 00000413 02231000 075f5f61 ved......#...__a + 074f0 64665f64 65766963 65000400 00150408 df_device....... + 07500 64756d6d 79000000 011e0223 00000300 dummy......#.... + 07510 00092a04 00075f5f 6164665f 646d615f ..*...__adf_dma_ + 07520 6d617000 0c000015 4b086275 66000000 map.....K.buf... + 07530 14430223 00086473 5f616464 72000000 .C.#..ds_addr... + 07540 15040223 04086473 5f6c656e 00000012 ...#..ds_len.... + 07550 f8022308 00120c00 00158508 5f5f7661 ..#.........__va + 07560 5f73746b 00000003 81022300 085f5f76 _stk......#..__v + 07570 615f7265 67000000 03810223 04085f5f a_reg......#..__ + 07580 76615f6e 64780000 00011e02 23080009 va_ndx......#... + 07590 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add + 075a0 725f7400 0000092a 09616466 5f6f735f r_t....*.adf_os_ + 075b0 646d615f 61646472 5f740000 00158509 dma_addr_t...... + 075c0 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz + 075d0 655f7400 0000092a 09616466 5f6f735f e_t....*.adf_os_ + 075e0 646d615f 73697a65 5f740000 0015b507 dma_size_t...... + 075f0 5f5f646d 615f7365 67730008 00001611 __dma_segs...... + 07600 08706164 64720000 00159e02 2300086c .paddr......#..l + 07610 656e0000 0015ce02 23040009 5f5f615f en......#...__a_ + 07620 75696e74 33325f74 00000009 2a09615f uint32_t....*.a_ + 07630 75696e74 33325f74 00000016 110f0000 uint32_t........ + 07640 15e50800 00164010 00000761 64665f6f ......@....adf_o + 07650 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... + 07660 00167908 6e736567 73000000 16230223 ..y.nsegs....#.# + 07670 0008646d 615f7365 67730000 00163302 ..dma_segs....3. + 07680 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. + 07690 000012e4 09615f75 696e7438 5f740000 .....a_uint8_t.. + 076a0 00167903 0000168a 0400075f 5f73675f ..y........__sg_ + 076b0 73656773 00080000 16cb0876 61646472 segs.......vaddr + 076c0 00000016 99022300 086c656e 00000016 ......#..len.... + 076d0 23022304 000f0000 16a02000 0016d810 #.#....... ..... + 076e0 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist + 076f0 00240000 170b086e 73656773 00000016 .$.....nsegs.... + 07700 23022300 0873675f 73656773 00000016 #.#..sg_segs.... + 07710 cb022304 00121000 00175408 76656e64 ..#.......T.vend + 07720 6f720000 00162302 23000864 65766963 or....#.#..devic + 07730 65000000 16230223 04087375 6276656e e....#.#..subven + 07740 646f7200 00001623 02230808 73756264 dor....#.#..subd + 07750 65766963 65000000 16230223 0c00046c evice....#.#...l + 07760 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne + 07770 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 + 07780 34000000 1754095f 5f615f75 696e7436 4....T.__a_uint6 + 07790 345f7400 0000176e 09615f75 696e7436 4_t....n.a_uint6 + 077a0 345f7400 0000177c 14040000 17da0e41 4_t....|.......A + 077b0 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 077c0 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS + 077d0 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I + 077e0 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso + 077f0 75726365 5f747970 655f7400 0000179e urce_type_t..... + 07800 12180000 18240873 74617274 00000017 .....$.start.... + 07810 8e022300 08656e64 00000017 8e022308 ..#..end......#. + 07820 08747970 65000000 17da0223 10000961 .type......#...a + 07830 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id + 07840 5f740000 00170b03 00001824 04001104 _t.........$.... + 07850 00001863 08706369 00000018 3d022300 ...c.pci....=.#. + 07860 08726177 00000004 13022300 00111000 .raw......#..... + 07870 00188208 70636900 00001824 02230008 ....pci....$.#.. + 07880 72617700 00000413 02230000 09616466 raw......#...adf + 07890 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... + 078a0 04130961 64665f6f 735f7265 736f7572 ...adf_os_resour + 078b0 63655f74 00000017 f6030000 18980400 ce_t............ + 078c0 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d + 078d0 6174615f 74000000 18630300 0018b604 ata_t....c...... + 078e0 00030000 14e20400 095f5f61 64665f6f .........__adf_o + 078f0 735f6465 76696365 5f740000 0018d709 s_device_t...... + 07900 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 07910 000018de 06000018 82010300 00190a04 ................ + 07920 00020103 00001917 04000961 64665f6f ...........adf_o + 07930 735f706d 5f740000 00041302 01030000 s_pm_t.......... + 07940 19310400 14040000 19710e41 44465f4f .1.......q.ADF_O + 07950 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. + 07960 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 07970 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ + 07980 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... + 07990 193a0961 64665f6f 735f6275 735f7265 .:.adf_os_bus_re + 079a0 675f6461 74615f74 00000018 44030000 g_data_t....D... + 079b0 032b0400 075f6164 665f6472 765f696e .+..._adf_drv_in + 079c0 666f0020 00001a4e 08647276 5f617474 fo. ...N.drv_att + 079d0 61636800 00001910 02230008 6472765f ach......#..drv_ + 079e0 64657461 63680000 00191902 23040864 detach......#..d + 079f0 72765f73 75737065 6e640000 00193302 rv_suspend....3. + 07a00 23080864 72765f72 6573756d 65000000 #..drv_resume... + 07a10 19190223 0c086275 735f7479 70650000 ...#..bus_type.. + 07a20 00197102 23100862 75735f64 61746100 ..q.#..bus_data. + 07a30 00001988 02231408 6d6f645f 6e616d65 .....#..mod_name + 07a40 00000019 a3022318 0869666e 616d6500 ......#..ifname. + 07a50 000019a3 02231c00 09616466 5f6f735f .....#...adf_os_ + 07a60 68616e64 6c655f74 00000004 13030000 handle_t........ + 07a70 16790400 02010201 095f5f61 64665f6f .y.......__adf_o + 07a80 735f7369 7a655f74 00000004 16140400 s_size_t........ + 07a90 001a9d0e 415f4641 4c534500 000e415f ....A_FALSE...A_ + 07aa0 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t + 07ab0 0000001a 83030000 150b0400 095f5f61 .............__a + 07ac0 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 07ad0 00001aab 02010d61 64665f6f 735f6361 .......adf_os_ca + 07ae0 6368655f 73796e63 00040000 1b350e41 che_sync.....5.A + 07af0 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. + 07b00 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR + 07b10 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P + 07b20 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY + 07b30 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... + 07b40 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn + 07b50 635f7400 00001acc 02010961 64665f6f c_t........adf_o + 07b60 735f7369 7a655f74 0000001a 6e060000 s_size_t....n... + 07b70 1b500109 6164665f 6f735f64 6d615f6d .P..adf_os_dma_m + 07b80 61705f74 0000001a b2030000 1b690400 ap_t.........i.. + 07b90 06000004 13010300 001ab204 00060000 ................ + 07ba0 04130102 01060000 159e0102 01047368 ..............sh + 07bb0 6f727420 696e7400 05020941 5f494e54 ort int....A_INT + 07bc0 31360000 001ba309 5f5f615f 696e7431 16......__a_int1 + 07bd0 365f7400 00001bb0 09615f69 6e743136 6_t......a_int16 + 07be0 5f740000 001bbd04 7369676e 65642063 _t......signed c + 07bf0 68617200 05010941 5f494e54 38000000 har....A_INT8... + 07c00 1bdd095f 5f615f69 6e74385f 74000000 ...__a_int8_t... + 07c10 1bec0961 5f696e74 385f7400 00001bf8 ...a_int8_t..... + 07c20 120c0000 1c6f0873 7570706f 72746564 .....o.supported + 07c30 00000016 23022300 08616476 65727469 ....#.#..adverti + 07c40 7a656400 00001623 02230408 73706565 zed....#.#..spee + 07c50 64000000 1bce0223 08086475 706c6578 d......#..duplex + 07c60 0000001c 0802230a 08617574 6f6e6567 ......#..autoneg + 07c70 00000016 8a02230b 000f0000 168a0600 ......#......... + 07c80 001c7c10 05000761 64665f6e 65745f65 ..|....adf_net_e + 07c90 74686164 64720006 00001ca0 08616464 thaddr.......add + 07ca0 72000000 1c6f0223 0000095f 5f615f75 r....o.#...__a_u + 07cb0 696e7431 365f7400 000012f8 09615f75 int16_t......a_u + 07cc0 696e7431 365f7400 00001ca0 120e0000 int16_t......... + 07cd0 1d040865 74686572 5f64686f 73740000 ...ether_dhost.. + 07ce0 001c6f02 23000865 74686572 5f73686f ..o.#..ether_sho + 07cf0 73740000 001c6f02 23060865 74686572 st....o.#..ether + 07d00 5f747970 65000000 1cb20223 0c001214 _type......#.... + 07d10 00001dc5 1569705f 76657273 696f6e00 .....ip_version. + 07d20 0000168a 01000402 23001569 705f686c ........#..ip_hl + 07d30 00000016 8a010404 02230008 69705f74 .........#..ip_t + 07d40 6f730000 00168a02 23010869 705f6c65 os......#..ip_le + 07d50 6e000000 1cb20223 02086970 5f696400 n......#..ip_id. + 07d60 00001cb2 02230408 69705f66 7261675f .....#..ip_frag_ + 07d70 6f666600 00001cb2 02230608 69705f74 off......#..ip_t + 07d80 746c0000 00168a02 23080869 705f7072 tl......#..ip_pr + 07d90 6f746f00 0000168a 02230908 69705f63 oto......#..ip_c + 07da0 6865636b 0000001c b202230a 0869705f heck......#..ip_ + 07db0 73616464 72000000 16230223 0c086970 saddr....#.#..ip + 07dc0 5f646164 64720000 00162302 23100007 _daddr....#.#... + 07dd0 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. + 07de0 0400001e 17087470 69640000 001cb202 ......tpid...... + 07df0 23001570 72696f00 0000168a 01000302 #..prio......... + 07e00 23021563 66690000 00168a01 03010223 #..cfi.........# + 07e10 02157669 64000000 1cb20204 0c022302 ..vid.........#. + 07e20 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... + 07e30 001e4815 72657300 0000168a 01000402 ..H.res......... + 07e40 23001576 616c0000 001cb202 040c0223 #..val.........# + 07e50 0000120c 00001e84 0872785f 62756673 .........rx_bufs + 07e60 697a6500 00001623 02230008 72785f6e ize....#.#..rx_n + 07e70 64657363 00000016 23022304 0874785f desc....#.#..tx_ + 07e80 6e646573 63000000 16230223 08001208 ndesc....#.#.... + 07e90 00001eaa 08706f6c 6c656400 00001a9d .....polled..... + 07ea0 02230008 706f6c6c 5f777400 00001623 .#..poll_wt....# + 07eb0 02230400 0f000016 8a400000 1eb7103f .#.......@.....? + 07ec0 00124600 001edf08 69665f6e 616d6500 ..F.....if_name. + 07ed0 00001eaa 02230008 6465765f 61646472 .....#..dev_addr + 07ee0 0000001c 6f022340 00140400 001f160e ....o.#@........ + 07ef0 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 07f00 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D + 07f10 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... + 07f20 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask + 07f30 5f740000 001edf07 6164665f 646d615f _t......adf_dma_ + 07f40 696e666f 00080000 1f630864 6d615f6d info.....c.dma_m + 07f50 61736b00 00001f16 02230008 73675f6e ask......#..sg_n + 07f60 73656773 00000016 23022304 00140400 segs....#.#..... + 07f70 001fb90e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU + 07f80 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET + 07f90 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 07fa0 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK + 07fb0 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 + 07fc0 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu + 07fd0 6d5f7479 70655f74 0000001f 63120800 m_type_t....c... + 07fe0 001ffc08 74785f63 6b73756d 0000001f ....tx_cksum.... + 07ff0 b9022300 0872785f 636b7375 6d000000 ..#..rx_cksum... + 08000 1fb90223 04000961 64665f6e 65745f63 ...#...adf_net_c + 08010 6b73756d 5f696e66 6f5f7400 00001fd3 ksum_info_t..... + 08020 14040000 20550e41 44465f4e 45545f54 .... U.ADF_NET_T + 08030 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE + 08040 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF + 08050 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... + 08060 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type + 08070 5f740000 00201612 10000020 a908636b _t... ..... ..ck + 08080 73756d5f 63617000 00001ffc 02230008 sum_cap......#.. + 08090 74736f00 00002055 02230808 766c616e tso... U.#..vlan + 080a0 5f737570 706f7274 65640000 00168a02 _supported...... + 080b0 230c0012 20000021 42087478 5f706163 #... ..!B.tx_pac + 080c0 6b657473 00000016 23022300 0872785f kets....#.#..rx_ + 080d0 7061636b 65747300 00001623 02230408 packets....#.#.. + 080e0 74785f62 79746573 00000016 23022308 tx_bytes....#.#. + 080f0 0872785f 62797465 73000000 16230223 .rx_bytes....#.# + 08100 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... + 08110 23022310 0872785f 64726f70 70656400 #.#..rx_dropped. + 08120 00001623 02231408 72785f65 72726f72 ...#.#..rx_error + 08130 73000000 16230223 18087478 5f657272 s....#.#..tx_err + 08140 6f727300 00001623 02231c00 09616466 ors....#.#...adf + 08150 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. + 08160 001c7c16 00002142 03000000 2167107f ..|...!B....!g.. + 08170 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 08180 61646472 00030400 00219e08 6e656c65 addr.....!..nele + 08190 6d000000 16230223 00086d63 61737400 m....#.#..mcast. + 081a0 00002159 02230400 09616466 5f6e6574 ..!Y.#...adf_net + 081b0 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t + 081c0 0000001c 16096164 665f6e65 745f636d ......adf_net_cm + 081d0 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... + 081e0 1e840961 64665f6e 65745f63 6d645f63 ...adf_net_cmd_c + 081f0 6b73756d 5f696e66 6f5f7400 00001ffc ksum_info_t..... + 08200 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin + 08210 675f696e 666f5f74 0000001e 48096164 g_info_t....H.ad + 08220 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in + 08230 666f5f74 0000001f 2d096164 665f6e65 fo_t....-.adf_ne + 08240 745f636d 645f7669 645f7400 00001cb2 t_cmd_vid_t..... + 08250 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off + 08260 6c6f6164 5f636170 5f740000 00206d09 load_cap_t... m. + 08270 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat + 08280 735f7400 000020a9 09616466 5f6e6574 s_t... ..adf_net + 08290 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... + 082a0 21670d61 64665f6e 65745f63 6d645f6d !g.adf_net_cmd_m + 082b0 63617374 5f636170 00040000 22e00e41 cast_cap...."..A + 082c0 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP + 082d0 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST + 082e0 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n + 082f0 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 08300 5f740000 00229818 03040000 23b2086c _t..."......#..l + 08310 696e6b5f 696e666f 00000021 9e022300 ink_info...!..#. + 08320 08706f6c 6c5f696e 666f0000 0021bb02 .poll_info...!.. + 08330 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... + 08340 21d80223 00087269 6e675f69 6e666f00 !..#..ring_info. + 08350 000021f6 02230008 646d615f 696e666f ..!..#..dma_info + 08360 00000022 13022300 08766964 00000022 ..."..#..vid..." + 08370 2f022300 086f6666 6c6f6164 5f636170 /.#..offload_cap + 08380 00000022 46022300 08737461 74730000 ..."F.#..stats.. + 08390 00226502 2300086d 63617374 5f696e66 ."e.#..mcast_inf + 083a0 6f000000 227e0223 00086d63 6173745f o..."~.#..mcast_ + 083b0 63617000 000022e0 02230000 14040000 cap..."..#...... + 083c0 24090e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C + 083d0 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 083e0 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW + 083f0 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 08400 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY + 08410 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 08420 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# + 08430 b2120800 00244908 72657375 6c740000 .....$I.result.. + 08440 00240902 23000876 616c0000 00162302 .$..#..val....#. + 08450 23040012 08000024 79087479 70650000 #......$y.type.. + 08460 00205502 2300086d 73730000 001cb202 . U.#..mss...... + 08470 23040868 64725f6f 66660000 00168a02 #..hdr_off...... + 08480 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q + 08490 68656164 000c0000 24b80868 65616400 head....$..head. + 084a0 00001443 02230008 7461696c 00000014 ...C.#..tail.... + 084b0 43022304 08716c65 6e000000 16230223 C.#..qlen....#.# + 084c0 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. + 084d0 00001443 03000016 99040003 00001623 ...C...........# + 084e0 04000201 06000013 25010600 00162301 ........%.....#. + 084f0 06000016 99010600 00169901 03000013 ................ + 08500 06040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q + 08510 68656164 5f740000 00247909 5f5f6164 head_t...$y.__ad + 08520 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 08530 0024f903 00002511 04000600 0024b801 .$....%......$.. + 08540 06000024 b8011404 00002631 0e415f53 ...$......&1.A_S + 08550 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA + 08560 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S + 08570 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A + 08580 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. + 08590 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL + 085a0 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP + 085b0 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT + 085c0 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ + 085d0 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A + 085e0 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... + 085f0 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO + 08600 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU + 08610 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT + 08620 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST + 08630 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st + 08640 61747573 5f740000 00253c06 00002631 atus_t...%<...&1 + 08650 01060000 011e0102 01096164 665f6e62 ..........adf_nb + 08660 75665f74 00000024 b8140400 0026960e uf_t...$.....&.. + 08670 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE + 08680 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM + 08690 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... + 086a0 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ + 086b0 74000000 265f0600 00263101 02010961 t...&_...&1....a + 086c0 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf + 086d0 6f5f7400 00001640 03000026 b4040002 o_t....@...&.... + 086e0 01020106 0000264f 01060000 24b80102 ......&O....$... + 086f0 01020106 0000264f 01060000 24b80106 ......&O....$... + 08700 0000264f 01060000 24b80106 0000264f ..&O....$.....&O + 08710 01020102 01060000 16230106 00001699 .........#...... + 08720 01020102 01060000 1b500106 00001a9d .........P...... + 08730 01060000 1a9d0109 6164665f 6f735f73 ........adf_os_s + 08740 676c6973 745f7400 000016d8 03000027 glist_t........' + 08750 2d040002 01020102 01060000 16990109 -............... + 08760 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 08770 00000025 11030000 27550400 02010300 ...%....'U...... + 08780 0024f904 00020102 01020106 0000264f .$............&O + 08790 01060000 24b80106 00001623 01060000 ....$......#.... + 087a0 16230106 00001a9d 01060000 1a9d0106 .#.............. + 087b0 00001fb9 01060000 16230109 6164665f .........#..adf_ + 087c0 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. + 087d0 00002427 03000027 b1040002 01020109 ..$'...'........ + 087e0 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. + 087f0 00244903 000027d5 04000201 02010961 .$I...'........a + 08800 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. + 08810 00000413 09616466 5f6e6574 5f766c61 .....adf_net_vla + 08820 6e686472 5f740000 001dc503 0000280a nhdr_t........(. + 08830 04000600 00263101 06000026 31010201 .....&1....&1... + 08840 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. + 08850 00002859 0864756d 6d790000 00011e02 ..(Y.dummy...... + 08860 23000002 01030000 28590400 02010300 #.......(Y...... + 08870 00286204 00075f48 49465f43 414c4c42 .(b..._HIF_CALLB + 08880 41434b00 0c000028 b7087365 6e645f62 ACK....(..send_b + 08890 75665f64 6f6e6500 0000285b 02230008 uf_done...([.#.. + 088a0 72656376 5f627566 00000028 64022304 recv_buf...(d.#. + 088b0 08636f6e 74657874 00000004 13022308 .context......#. + 088c0 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. + 088d0 00041309 4849465f 434f4e46 49470000 ....HIF_CONFIG.. + 088e0 00283803 000028c9 04000600 0028b701 .(8...(......(.. + 088f0 03000028 e0040002 01030000 28ed0400 ...(........(... + 08900 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... + 08910 286b0300 0028f604 00020103 0000290f (k...(........). + 08920 04000600 00011e01 03000029 18040002 ...........).... + 08930 01030000 29250400 06000001 1e010300 ....)%.......... + 08940 00292e04 00020103 0000293b 04000600 .)........);.... + 08950 00011e01 03000029 44040002 01030000 .......)D....... + 08960 29510400 07686966 5f617069 00380000 )Q...hif_api.8.. + 08970 2aaa085f 696e6974 00000028 e6022300 *.._init...(..#. + 08980 085f7368 7574646f 776e0000 0028ef02 ._shutdown...(.. + 08990 2304085f 72656769 73746572 5f63616c #.._register_cal + 089a0 6c626163 6b000000 29110223 08085f67 lback...)..#.._g + 089b0 65745f74 6f74616c 5f637265 6469745f et_total_credit_ + 089c0 636f756e 74000000 291e0223 0c085f73 count...)..#.._s + 089d0 74617274 00000028 ef022310 085f636f tart...(..#.._co + 089e0 6e666967 5f706970 65000000 29270223 nfig_pipe...)'.# + 089f0 14085f73 656e645f 62756666 65720000 .._send_buffer.. + 08a00 00293402 2318085f 72657475 726e5f72 .)4.#.._return_r + 08a10 6563765f 62756600 0000293d 02231c08 ecv_buf...)=.#.. + 08a20 5f69735f 70697065 5f737570 706f7274 _is_pipe_support + 08a30 65640000 00294a02 2320085f 6765745f ed...)J.# ._get_ + 08a40 6d61785f 6d73675f 6c656e00 0000294a max_msg_len...)J + 08a50 02232408 5f676574 5f726573 65727665 .#$._get_reserve + 08a60 645f6865 6164726f 6f6d0000 00291e02 d_headroom...).. + 08a70 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. + 08a80 000028ef 02232c08 5f676574 5f646566 ..(..#,._get_def + 08a90 61756c74 5f706970 65000000 29530223 ault_pipe...)S.# + 08aa0 30087052 65736572 76656400 00000413 0.pReserved..... + 08ab0 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. + 08ac0 0400002b 330e444d 415f454e 47494e45 ...+3.DMA_ENGINE + 08ad0 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN + 08ae0 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI + 08af0 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG + 08b00 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN + 08b10 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E + 08b20 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ + 08b30 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm + 08b40 615f656e 67696e65 5f740000 002aaa0d a_engine_t...*.. + 08b50 646d615f 69667479 70650004 00002b80 dma_iftype....+. + 08b60 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D + 08b70 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ + 08b80 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i + 08b90 66747970 655f7400 00002b45 06000012 ftype_t...+E.... + 08ba0 f8010300 002b9204 00020103 00002b9f .....+........+. + 08bb0 04000201 0300002b a8040006 0000092a .......+.......* + 08bc0 01030000 2bb10400 06000012 f8010300 ....+........... + 08bd0 002bbe04 00060000 12f80103 00002bcb .+............+. + 08be0 04000600 00144301 0300002b d8040002 ......C....+.... + 08bf0 01030000 2be50400 07646d61 5f6c6962 ....+....dma_lib + 08c00 5f617069 00340000 2cec0874 785f696e _api.4..,..tx_in + 08c10 69740000 002b9802 23000874 785f7374 it...+..#..tx_st + 08c20 61727400 00002ba1 02230408 72785f69 art...+..#..rx_i + 08c30 6e697400 00002b98 02230808 72785f63 nit...+..#..rx_c + 08c40 6f6e6669 67000000 2baa0223 0c087278 onfig...+..#..rx + 08c50 5f737461 72740000 002ba102 23100869 _start...+..#..i + 08c60 6e74725f 73746174 75730000 002bb702 ntr_status...+.. + 08c70 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ + 08c80 c4022318 08666c75 73685f78 6d697400 ..#..flush_xmit. + 08c90 00002ba1 02231c08 786d6974 5f646f6e ..+..#..xmit_don + 08ca0 65000000 2bd10223 20087265 61705f78 e...+..# .reap_x + 08cb0 6d697474 65640000 002bde02 23240872 mitted...+..#$.r + 08cc0 6561705f 72656376 0000002b de022328 eap_recv...+..#( + 08cd0 08726574 75726e5f 72656376 0000002b .return_recv...+ + 08ce0 e702232c 08726563 765f706b 74000000 ..#,.recv_pkt... + 08cf0 2bd10223 3000075f 5f706369 5f736f66 +..#0..__pci_sof + 08d00 7463000c 00002d0a 08737700 000028f6 tc....-..sw...(. + 08d10 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc + 08d20 5f740000 002cec03 00002d0a 04000201 _t...,....-..... + 08d30 0300002d 24040006 000012e4 01030000 ...-$........... + 08d40 2d2d0400 0d686966 5f706369 5f706970 --...hif_pci_pip + 08d50 655f7478 00040000 2d8d0e48 49465f50 e_tx....-..HIF_P + 08d60 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI + 08d70 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. + 08d80 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 08d90 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ + 08da0 70697065 5f74785f 74000000 2d3a0600 pipe_tx_t...-:.. + 08db0 002b3301 0300002d a404000d 6869665f .+3....-....hif_ + 08dc0 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... + 08dd0 2a0e4849 465f5043 495f5049 50455f52 *.HIF_PCI_PIPE_R + 08de0 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 08df0 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ + 08e00 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P + 08e10 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI + 08e20 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA + 08e30 58000400 09686966 5f706369 5f706970 X....hif_pci_pip + 08e40 655f7278 5f740000 002db106 00002b33 e_rx_t...-....+3 + 08e50 01030000 2e410400 07686966 5f706369 .....A...hif_pci + 08e60 5f617069 00240000 2f1f0870 63695f62 _api.$../..pci_b + 08e70 6f6f745f 696e6974 00000001 17022300 oot_init......#. + 08e80 08706369 5f696e69 74000000 28e60223 .pci_init...(..# + 08e90 04087063 695f7265 73657400 00000117 ..pci_reset..... + 08ea0 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. + 08eb0 00011702 230c0870 63695f72 6561705f ....#..pci_reap_ + 08ec0 786d6974 74656400 00002d26 02231008 xmitted...-&.#.. + 08ed0 7063695f 72656170 5f726563 76000000 pci_reap_recv... + 08ee0 2d260223 14087063 695f6765 745f7069 -&.#..pci_get_pi + 08ef0 70650000 002d3302 23180870 63695f67 pe...-3.#..pci_g + 08f00 65745f74 785f656e 67000000 2daa0223 et_tx_eng...-..# + 08f10 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng + 08f20 0000002e 47022320 0007676d 61635f61 ....G.# ..gmac_a + 08f30 70690004 00002f46 08676d61 635f626f pi..../F.gmac_bo + 08f40 6f745f69 6e697400 00000117 02230000 ot_init......#.. + 08f50 0f000003 2b060000 2f531005 00075f5f ....+.../S....__ + 08f60 65746868 6472000e 00002f89 08647374 ethhdr..../..dst + 08f70 0000002f 46022300 08737263 0000002f .../F.#..src.../ + 08f80 46022306 08657479 70650000 0012f802 F.#..etype...... + 08f90 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... + 08fa0 2fd71572 65730000 0012e401 00020223 /..res.........# + 08fb0 00157072 6f746f00 000012e4 01020602 ..proto......... + 08fc0 23000872 65735f6c 6f000000 12e40223 #..res_lo......# + 08fd0 01087265 735f6869 00000012 f8022302 ..res_hi......#. + 08fe0 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... + 08ff0 30130865 74680000 002f5302 23000861 0..eth.../S.#..a + 09000 74680000 002f8902 230e0861 6c69676e th.../..#..align + 09010 5f706164 00000012 f8022312 00095f5f _pad......#...__ + 09020 676d6163 5f686472 5f740000 002fd707 gmac_hdr_t.../.. + 09030 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. + 09040 305d0868 64720000 00301302 23000867 0].hdr...0..#..g + 09050 72616e00 000012f8 02231408 73770000 ran......#..sw.. + 09060 0028f602 23180007 5f415f6f 735f6c69 .(..#..._A_os_li + 09070 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 + 09080 96087665 7273696f 6e000000 011e0223 ..version......# + 09090 00087461 626c6500 0000011e 02230400 ..table......#.. + 090a0 03000030 5d040006 0000011e 01030000 ...0]........... + 090b0 309d0400 03000004 16040017 5f415f63 0..........._A_c + 090c0 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 090d0 5f746162 6c650001 b8000031 ed086861 _table.....1..ha + 090e0 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. + 090f0 000030a3 02230008 73746172 745f6273 ..0..#..start_bs + 09100 73000000 30aa0223 04086170 705f7374 s...0..#..app_st + 09110 61727400 00000117 02230808 6d656d00 art......#..mem. + 09120 00000456 02230c08 6d697363 00000005 ...V.#..misc.... + 09130 75022320 08707269 6e746600 0000014b u.# .printf....K + 09140 02234408 75617274 00000002 1402234c .#D.uart......#L + 09150 08676d61 63000000 2f1f0223 6c087573 .gmac.../..#l.us + 09160 62000000 0fa40223 7008636c 6f636b00 b......#p.clock. + 09170 00000aed 0323e001 0874696d 65720000 .....#...timer.. + 09180 00078d03 23840208 696e7472 0000000c ....#...intr.... + 09190 3d032398 0208616c 6c6f6372 616d0000 =.#...allocram.. + 091a0 00094503 23c40208 726f6d70 00000008 ..E.#...romp.... + 091b0 370323d0 02087764 745f7469 6d657200 7.#...wdt_timer. + 091c0 00000e1a 0323e002 08656570 0000000f .....#...eep.... + 091d0 480323fc 02087374 72696e67 00000006 H.#...string.... + 091e0 9903238c 03087461 736b6c65 74000000 ..#...tasklet... + 091f0 0a420323 a4030007 5f555342 5f464946 .B.#...._USB_FIF + 09200 4f5f434f 4e464947 00100000 32600867 O_CONFIG....2`.g + 09210 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. + 09220 00145002 23000872 6563765f 636f6d6d ..P.#..recv_comm + 09230 616e6400 00001466 02230408 6765745f and....f.#..get_ + 09240 6576656e 745f6275 66000000 14500223 event_buf....P.# + 09250 08087365 6e645f65 76656e74 5f646f6e ..send_event_don + 09260 65000000 14660223 0c000955 53425f46 e....f.#...USB_F + 09270 49464f5f 434f4e46 49470000 0031ed03 IFO_CONFIG...1.. + 09280 00003260 04000201 03000032 7c040007 ..2`.......2|... + 09290 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 + 092a0 d2085f69 6e697400 0000327e 02230008 .._init...2~.#.. + 092b0 5f656e61 626c655f 6576656e 745f6973 _enable_event_is + 092c0 72000000 01170223 04087052 65736572 r......#..pReser + 092d0 76656400 00000413 02230800 0f000016 ved......#...... + 092e0 8a020000 32df1001 00075f48 54435f46 ....2....._HTC_F + 092f0 52414d45 5f484452 00080000 33510845 RAME_HDR....3Q.E + 09300 6e64706f 696e7449 44000000 168a0223 ndpointID......# + 09310 0008466c 61677300 0000168a 02230108 ..Flags......#.. + 09320 5061796c 6f61644c 656e0000 001cb202 PayloadLen...... + 09330 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. + 09340 000032d2 02230408 486f7374 5365714e ..2..#..HostSeqN + 09350 756d0000 001cb202 23060012 02000033 um......#......3 + 09360 6a084d65 73736167 65494400 00001cb2 j.MessageID..... + 09370 02230000 12080000 33cd084d 65737361 .#......3..Messa + 09380 67654944 0000001c b2022300 08437265 geID......#..Cre + 09390 64697443 6f756e74 0000001c b2022302 ditCount......#. + 093a0 08437265 64697453 697a6500 00001cb2 .CreditSize..... + 093b0 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints + 093c0 00000016 8a022306 085f5061 64310000 ......#.._Pad1.. + 093d0 00168a02 23070012 0a000034 64084d65 ....#......4d.Me + 093e0 73736167 65494400 00001cb2 02230008 ssageID......#.. + 093f0 53657276 69636549 44000000 1cb20223 ServiceID......# + 09400 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag + 09410 73000000 1cb20223 0408446f 776e4c69 s......#..DownLi + 09420 6e6b5069 70654944 00000016 8a022306 nkPipeID......#. + 09430 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... + 09440 168a0223 07085365 72766963 654d6574 ...#..ServiceMet + 09450 614c656e 67746800 0000168a 02230808 aLength......#.. + 09460 5f506164 31000000 168a0223 0900120a _Pad1......#.... + 09470 000034ec 084d6573 73616765 49440000 ..4..MessageID.. + 09480 001cb202 23000853 65727669 63654944 ....#..ServiceID + 09490 0000001c b2022302 08537461 74757300 ......#..Status. + 094a0 0000168a 02230408 456e6470 6f696e74 .....#..Endpoint + 094b0 49440000 00168a02 2305084d 61784d73 ID......#..MaxMs + 094c0 6753697a 65000000 1cb20223 06085365 gSize......#..Se + 094d0 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 094e0 0000168a 02230808 5f506164 31000000 .....#.._Pad1... + 094f0 168a0223 09001202 00003505 084d6573 ...#......5..Mes + 09500 73616765 49440000 001cb202 23000012 sageID......#... + 09510 04000035 41084d65 73736167 65494400 ...5A.MessageID. + 09520 00001cb2 02230008 50697065 49440000 .....#..PipeID.. + 09530 00168a02 23020843 72656469 74436f75 ....#..CreditCou + 09540 6e740000 00168a02 23030012 04000035 nt......#......5 + 09550 78084d65 73736167 65494400 00001cb2 x.MessageID..... + 09560 02230008 50697065 49440000 00168a02 .#..PipeID...... + 09570 23020853 74617475 73000000 168a0223 #..Status......# + 09580 03001202 0000359f 08526563 6f726449 ......5..RecordI + 09590 44000000 168a0223 00084c65 6e677468 D......#..Length + 095a0 00000016 8a022301 00120200 0035c908 ......#......5.. + 095b0 456e6470 6f696e74 49440000 00168a02 EndpointID...... + 095c0 23000843 72656469 74730000 00168a02 #..Credits...... + 095d0 23010012 04000036 0a08456e 64706f69 #......6..Endpoi + 095e0 6e744944 00000016 8a022300 08437265 ntID......#..Cre + 095f0 64697473 00000016 8a022301 08546774 dits......#..Tgt + 09600 43726564 69745365 714e6f00 00001cb2 CreditSeqNo..... + 09610 02230200 0f000016 8a040000 36171003 .#..........6... + 09620 00120600 00365308 50726556 616c6964 .....6S.PreValid + 09630 00000016 8a022300 084c6f6f 6b416865 ......#..LookAhe + 09640 61640000 00360a02 23010850 6f737456 ad...6..#..PostV + 09650 616c6964 00000016 8a022305 0009706f alid......#...po + 09660 6f6c5f68 616e646c 655f7400 00000413 ol_handle_t..... + 09670 06000036 53010300 00366604 00020103 ...6S....6f..... + 09680 00003673 04001404 000036f1 0e504f4f ..6s......6..POO + 09690 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL + 096a0 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S + 096b0 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P + 096c0 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E + 096d0 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W + 096e0 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO + 096f0 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ + 09700 504f4f4c 5f494400 0000367c 02010300 POOL_ID...6|.... + 09710 00370204 00060000 264f0103 0000370b .7......&O....7. + 09720 04000600 00264f01 03000037 18040002 .....&O....7.... + 09730 01030000 37250400 07627566 5f706f6f ....7%...buf_poo + 09740 6c5f6170 69001c00 0037c708 5f696e69 l_api....7.._ini + 09750 74000000 366c0223 00085f73 68757464 t...6l.#.._shutd + 09760 6f776e00 00003675 02230408 5f637265 own...6u.#.._cre + 09770 6174655f 706f6f6c 00000037 04022308 ate_pool...7..#. + 09780 085f616c 6c6f635f 62756600 00003711 ._alloc_buf...7. + 09790 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a + 097a0 6c69676e 00000037 1e022310 085f6672 lign...7..#.._fr + 097b0 65655f62 75660000 00372702 23140870 ee_buf...7'.#..p + 097c0 52657365 72766564 00000004 13022318 Reserved......#. + 097d0 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. + 097e0 000038a6 08704e65 78740000 0038a602 ..8..pNext...8.. + 097f0 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs + 09800 67000000 395b0223 04085072 6f636573 g...9[.#..Proces + 09810 7353656e 64427566 66657243 6f6d706c sSendBufferCompl + 09820 65746500 00003964 02230808 50726f63 ete...9d.#..Proc + 09830 65737343 6f6e6e65 63740000 00397802 essConnect...9x. + 09840 230c0853 65727669 63654944 00000012 #..ServiceID.... + 09850 f8022310 08536572 76696365 466c6167 ..#..ServiceFlag + 09860 73000000 12f80223 12084d61 78537663 s......#..MaxSvc + 09870 4d736753 697a6500 000012f8 02231408 MsgSize......#.. + 09880 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL + 09890 696d6974 00000012 f8022316 08536572 imit......#..Ser + 098a0 76696365 43747800 00000413 02231800 viceCtx......#.. + 098b0 03000037 c7040014 04000039 4419454e ...7.......9D.EN + 098c0 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... + 098d0 ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E + 098e0 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO + 098f0 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 + 09900 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E + 09910 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO + 09920 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 + 09930 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E + 09940 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H + 09950 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. + 09960 0038ad02 01030000 39590400 02010300 .8......9Y...... + 09970 00396204 00030000 011e0400 06000012 .9b............. + 09980 e4010300 00397204 00030000 37c70400 .....9r.....7... + 09990 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... + 099a0 39f70843 72656469 7453697a 65000000 9..CreditSize... + 099b0 011e0223 00084372 65646974 4e756d62 ...#..CreditNumb + 099c0 65720000 00011e02 2304084f 5348616e er......#..OSHan + 099d0 646c6500 00001a4e 02230808 48494648 dle....N.#..HIFH + 099e0 616e646c 65000000 28b70223 0c08506f andle...(..#..Po + 099f0 6f6c4861 6e646c65 00000036 53022310 olHandle...6S.#. + 09a00 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE + 09a10 58540002 00003a33 08656e64 5f706f69 XT....:3.end_poi + 09a20 6e740000 0012e402 23000868 74635f66 nt......#..htc_f + 09a30 6c616773 00000012 e4022301 00096874 lags......#...ht + 09a40 635f6861 6e646c65 5f740000 00041309 c_handle_t...... + 09a50 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE + 09a60 54455f43 42000000 01170948 54435f43 TE_CB......HTC_C + 09a70 4f4e4649 47000000 39860300 003a6004 ONFIG...9....:`. + 09a80 00060000 3a330103 00003a77 04000201 ....:3....:w.... + 09a90 0300003a 84040009 4854435f 53455256 ...:....HTC_SERV + 09aa0 49434500 000037c7 0300003a 8d040002 ICE...7....:.... + 09ab0 01030000 3aa50400 02010300 003aae04 ....:........:.. + 09ac0 00020103 00003ab7 04000600 00011e01 ......:......... + 09ad0 0300003a c0040007 6874635f 61706973 ...:....htc_apis + 09ae0 00340000 3c3d085f 4854435f 496e6974 .4..<=._HTC_Init + 09af0 0000003a 7d022300 085f4854 435f5368 ...:}.#.._HTC_Sh + 09b00 7574646f 776e0000 003a8602 2304085f utdown...:..#.._ + 09b10 4854435f 52656769 73746572 53657276 HTC_RegisterServ + 09b20 69636500 00003aa7 02230808 5f485443 ice...:..#.._HTC + 09b30 5f526561 64790000 003a8602 230c085f _Ready...:..#.._ + 09b40 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 09b50 73000000 3ab00223 10085f48 54435f52 s...:..#.._HTC_R + 09b60 65747572 6e427566 66657273 4c697374 eturnBuffersList + 09b70 0000003a b9022314 085f4854 435f5365 ...:..#.._HTC_Se + 09b80 6e644d73 67000000 3ab00223 18085f48 ndMsg...:..#.._H + 09b90 54435f47 65745265 73657276 65644865 TC_GetReservedHe + 09ba0 6164726f 6f6d0000 003ac602 231c085f adroom...:..#.._ + 09bb0 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl + 09bc0 65720000 00286402 2320085f 4854435f er...(d.# ._HTC_ + 09bd0 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. + 09be0 0000285b 02232408 5f485443 5f436f6e ..([.#$._HTC_Con + 09bf0 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs + 09c00 67000000 395b0223 28085f48 54435f43 g...9[.#(._HTC_C + 09c10 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 09c20 53656e64 436f6d70 6c657465 00000039 SendComplete...9 + 09c30 6402232c 08705265 73657276 65640000 d.#,.pReserved.. + 09c40 00041302 23300007 686f7374 5f617070 ....#0..host_app + 09c50 5f617265 615f7300 0400003c 6d08776d _area_s......t + 09df0 75706c65 4e756d4c 0000001c b2022300 upleNumL......#. + 09e00 08747570 6c654e75 6d480000 001cb202 .tupleNumH...... + 09e10 23020861 76740000 003dd102 23040012 #..avt...=..#... + 09e20 0100003e 37086265 61636f6e 50656e64 ...>7.beaconPend + 09e30 696e6743 6f756e74 00000016 8a022300 ingCount......#. + 09e40 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI + 09e50 47001000 003ea008 48746348 616e646c G....>..HtcHandl + 09e60 65000000 3a330223 0008506f 6f6c4861 e...:3.#..PoolHa + 09e70 6e646c65 00000036 53022304 084d6178 ndle...6S.#..Max + 09e80 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... + 09e90 1e022308 084d6178 4576656e 74457674 ..#..MaxEventEvt + 09ea0 73000000 011e0223 0c000201 0300003e s......#.......> + 09eb0 a0040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND + 09ec0 4c455200 00003ea2 075f574d 495f4449 LER...>.._WMI_DI + 09ed0 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... + 09ee0 3f090870 436d6448 616e646c 65720000 ?..pCmdHandler.. + 09ef0 003ea902 23000843 6d644944 00000012 .>..#..CmdID.... + 09f00 f8022304 08466c61 67730000 0012f802 ..#..Flags...... + 09f10 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC + 09f20 485f5441 424c4500 1000003f 6a08704e H_TABLE....?j.pN + 09f30 65787400 00003f6a 02230008 70436f6e ext...?j.#..pCon + 09f40 74657874 00000004 13022304 084e756d text......#..Num + 09f50 6265724f 66456e74 72696573 00000001 berOfEntries.... + 09f60 1e022308 08705461 626c6500 00003f89 ..#..pTable...?. + 09f70 02230c00 0300003f 09040009 574d495f .#.....?....WMI_ + 09f80 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. + 09f90 003ebe03 00003f71 04000300 003f0904 .>....?q.....?.. + 09fa0 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX + 09fb0 54000000 39f70d57 4d495f45 56545f43 T...9..WMI_EVT_C + 09fc0 4c415353 00040000 40211957 4d495f45 LASS....@!.WMI_E + 09fd0 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... + 09fe0 ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 09ff0 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI + 0a000 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R + 0a010 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C + 0a020 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ + 0a030 4556545f 434c4153 53000000 3fac075f EVT_CLASS...?.._ + 0a040 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. + 0a050 0c000040 7f084874 63427566 43747800 ...@..HtcBufCtx. + 0a060 00003f97 02230008 4576656e 74436c61 ..?..#..EventCla + 0a070 73730000 00402102 23040846 6c616773 ss...@!.#..Flags + 0a080 00000012 f8022308 0009776d 695f6861 ......#...wmi_ha + 0a090 6e646c65 5f740000 00041309 574d495f ndle_t......WMI_ + 0a0a0 5356435f 434f4e46 49470000 003e3703 SVC_CONFIG...>7. + 0a0b0 00004091 04000600 00407f01 03000040 ..@......@.....@ + 0a0c0 ac040009 574d495f 44495350 41544348 ....WMI_DISPATCH + 0a0d0 5f544142 4c450000 003f0903 000040b9 _TABLE...?....@. + 0a0e0 04000201 03000040 d8040006 0000264f .......@......&O + 0a0f0 01030000 40e10400 02010300 0040ee04 ....@........@.. + 0a100 00060000 011e0103 000040f7 04000201 ..........@..... + 0a110 03000041 04040006 000012e4 01030000 ...A............ + 0a120 410d0400 075f776d 695f7376 635f6170 A...._wmi_svc_ap + 0a130 6973002c 00004255 085f574d 495f496e is.,..BU._WMI_In + 0a140 69740000 0040b202 2300085f 574d495f it...@..#.._WMI_ + 0a150 52656769 73746572 44697370 61746368 RegisterDispatch + 0a160 5461626c 65000000 40da0223 04085f57 Table...@..#.._W + 0a170 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... + 0a180 40e70223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE + 0a190 76656e74 00000040 f002230c 085f574d vent...@..#.._WM + 0a1a0 495f4765 7450656e 64696e67 4576656e I_GetPendingEven + 0a1b0 7473436f 756e7400 000040fd 02231008 tsCount...@..#.. + 0a1c0 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet + 0a1d0 6548616e 646c6572 00000039 64022314 eHandler...9d.#. + 0a1e0 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl + 0a1f0 45700000 0040fd02 2318085f 574d495f Ep...@..#.._WMI_ + 0a200 53687574 646f776e 00000041 0602231c Shutdown...A..#. + 0a210 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag + 0a220 6548616e 646c6572 00000039 5b022320 eHandler...9[.# + 0a230 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon + 0a240 6e656374 00000041 13022324 08705265 nect...A..#$.pRe + 0a250 73657276 65640000 00041302 23280007 served......#(.. + 0a260 7a73446d 61446573 63001400 0042d708 zsDmaDesc....B.. + 0a270 6374726c 00000001 82022300 08737461 ctrl......#..sta + 0a280 74757300 00000182 02230208 746f7461 tus......#..tota + 0a290 6c4c656e 00000001 82022304 08646174 lLen......#..dat + 0a2a0 6153697a 65000000 01820223 06086c61 aSize......#..la + 0a2b0 73744164 64720000 0042d702 23080864 stAddr...B..#..d + 0a2c0 61746141 64647200 000001a6 02230c08 ataAddr......#.. + 0a2d0 6e657874 41646472 00000042 d7022310 nextAddr...B..#. + 0a2e0 00030000 42550400 03000042 55040007 ....BU.....BU... + 0a2f0 7a73446d 61517565 75650008 00004317 zsDmaQueue....C. + 0a300 08686561 64000000 42de0223 00087465 .head...B..#..te + 0a310 726d696e 61746f72 00000042 de022304 rminator...B..#. + 0a320 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. + 0a330 0000437b 08686561 64000000 42de0223 ..C{.head...B..# + 0a340 00087465 726d696e 61746f72 00000042 ..terminator...B + 0a350 de022304 08786d69 7465645f 6275665f ..#..xmited_buf_ + 0a360 68656164 00000014 43022308 08786d69 head....C.#..xmi + 0a370 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... + 0a380 4302230c 00020103 0000437b 04000300 C.#.......C{.... + 0a390 0042e504 00020103 0000438b 04000300 .B........C..... + 0a3a0 00431704 00020103 0000439b 04000201 .C........C..... + 0a3b0 03000043 a4040002 01030000 43ad0400 ...C........C... + 0a3c0 06000014 43010300 0043b604 00020103 ....C....C...... + 0a3d0 000043c3 04000600 00144301 03000043 ..C.......C....C + 0a3e0 cc040002 01030000 43d90400 06000001 ........C....... + 0a3f0 1e010300 0043e204 00060000 42de0103 .....C......B... + 0a400 000043ef 04000201 03000043 fc040007 ..C........C.... + 0a410 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ + 0a420 00004572 085f696e 69740000 00437d02 ..Er._init...C}. + 0a430 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu + 0a440 65000000 438d0223 04085f69 6e69745f e...C..#.._init_ + 0a450 74785f71 75657565 00000043 9d022308 tx_queue...C..#. + 0a460 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu + 0a470 65000000 43a60223 0c085f78 6d69745f e...C..#.._xmit_ + 0a480 62756600 000043af 02231008 5f666c75 buf...C..#.._flu + 0a490 73685f78 6d697400 0000438d 02231408 sh_xmit...C..#.. + 0a4a0 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. + 0a4b0 0043bc02 2318085f 72657475 726e5f72 .C..#.._return_r + 0a4c0 6563765f 62756600 000043c5 02231c08 ecv_buf...C..#.. + 0a4d0 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf + 0a4e0 00000043 d2022320 085f7377 61705f64 ...C..# ._swap_d + 0a4f0 61746100 000043db 02232408 5f686173 ata...C..#$._has + 0a500 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. + 0a510 0043e802 2328085f 64657363 5f64756d .C..#(._desc_dum + 0a520 70000000 438d0223 2c085f67 65745f70 p...C..#,._get_p + 0a530 61636b65 74000000 43f50223 30085f72 acket...C..#0._r + 0a540 65636c61 696d5f70 61636b65 74000000 eclaim_packet... + 0a550 43fe0223 34085f70 75745f70 61636b65 C..#4._put_packe + 0a560 74000000 43fe0223 38087052 65736572 t...C..#8.pReser + 0a570 76656400 00000413 02233c00 095f415f ved......#<.._A_ + 0a580 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 0a590 6e5f7461 626c655f 74000000 30b10957 n_table_t...0..W + 0a5a0 4d495f53 56435f41 50495300 0000411a MI_SVC_APIS...A. + 0a5b0 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 0a5c0 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. + 0a5d0 0046a008 636d6e6f 73000000 45720223 .F..cmnos...Er.# + 0a5e0 00086462 67000000 03e00323 b8030868 ..dbg......#...h + 0a5f0 69660000 00295a03 23c00308 68746300 if...)Z.#...htc. + 0a600 00003acd 0323f803 08776d69 5f737663 ..:..#...wmi_svc + 0a610 5f617069 00000045 940323ac 04087573 _api...E..#...us + 0a620 62666966 6f5f6170 69000000 32850323 bfifo_api...2..# + 0a630 d8040862 75665f70 6f6f6c00 0000372e ...buf_pool...7. + 0a640 0323e404 08766275 66000000 146d0323 .#...vbuf....m.# + 0a650 80050876 64657363 00000013 4f032394 ...vdesc....O.#. + 0a660 0508616c 6c6f6372 616d0000 00094503 ..allocram....E. + 0a670 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. + 0a680 00440503 23b40508 646d615f 6c696200 .D..#...dma_lib. + 0a690 00002bee 0323f405 08686966 5f706369 ..+..#...hif_pci + 0a6a0 0000002e 4e0323a8 0600095f 415f6d61 ....N.#...._A_ma + 0a6b0 67706965 5f696e64 69726563 74696f6e gpie_indirection + 0a6c0 5f746162 6c655f74 00000045 a61a616c _table_t...E..al + 0a6d0 6c6f6372 616d5f63 75727265 6e745f61 locram_current_a + 0a6e0 64647200 0000092a 05030050 0954011a ddr....*...P.T.. + 0a6f0 616c6c6f 6372616d 5f72656d 61696e69 allocram_remaini + 0a700 6e675f62 79746573 00000009 2a050300 ng_bytes....*... + 0a710 50095801 0f000001 25230000 47171022 P.X.....%#..G.." + 0a720 00030000 470a0400 0f000001 251c0000 ....G.......%... + 0a730 472b101b 00030000 471e0400 0f000001 G+......G....... + 0a740 251d0000 473f101c 00030000 47320400 %...G?......G2.. + 0a750 03000009 45040002 011b011b 636d6e6f ....E.......cmno + 0a760 735f616c 6c6f6372 616d5f69 6e697400 s_allocram_init. + 0a770 00000413 01010392 01200290 00008e1c ......... ...... + 0a780 34008e1c 44000047 b31c011b 6172656e 4...D..G....aren + 0a790 615f7374 61727400 00000413 01521c01 a_start......R.. + 0a7a0 1b617265 6e615f73 7a000000 092a0153 .arena_sz....*.S + 0a7b0 1d617374 61727400 0000092a 001b014a .astart....*...J + 0a7c0 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 0a7d0 00041301 01039201 20029000 008e1c44 ........ ......D + 0a7e0 008e1c74 0000480d 1c014a77 68696368 ...t..H...Jwhich + 0a7f0 5f617265 6e610000 00041301 521c014a _arena......R..J + 0a800 6e627974 65730000 00092a01 531d7074 nbytes....*.S.pt + 0a810 72000000 0413001e 015c636d 6e6f735f r........\cmnos_ + 0a820 616c6c6f 6372616d 5f646562 75670001 allocram_debug.. + 0a830 01039201 20029000 008e1c74 008e1c95 .... ......t.... + 0a840 1f016363 6d6e6f73 5f616c6c 6f637261 ..ccmnos_allocra + 0a850 6d5f6d6f 64756c65 5f696e73 74616c6c m_module_install + 0a860 00010103 92012002 9000008e 1c98008e ...... ......... + 0a870 1cac1c01 6374626c 00000047 46015200 ....ctbl...GF.R. + 0a880 00000000 4b590002 000005ab 04012f72 ....KY......../r + 0a890 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0a8a0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0a8b0 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 0a8c0 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 0a8d0 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 0a8e0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0a8f0 732f636c 6f636b2f 7372632f 636d6e6f s/clock/src/cmno + 0a900 735f636c 6f636b2e 63002f72 6f6f742f s_clock.c./root/ + 0a910 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0a920 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0a930 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0a940 6f732f63 6c6f636b 0078742d 78636320 os/clock.xt-xcc + 0a950 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + 0a960 6c69676e 5f696e73 74727563 74696f6e lign_instruction + 0a970 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + 0a980 543a7370 61636500 01000000 33950201 T:space.....3... + 0a990 03000001 0c040004 696e7400 05040463 ........int....c + 0a9a0 68617200 07010500 00011c05 0000011c har............. + 0a9b0 03000001 29040006 00000115 01030000 ....)........... + 0a9c0 01350400 07707269 6e74665f 61706900 .5...printf_api. + 0a9d0 08000001 79085f70 72696e74 665f696e ....y._printf_in + 0a9e0 69740000 00010e02 2300085f 7072696e it......#.._prin + 0a9f0 74660000 00013b02 23040004 73686f72 tf....;.#...shor + 0aa00 7420756e 7369676e 65642069 6e740007 t unsigned int.. + 0aa10 02097569 6e743136 5f740000 00017904 ..uint16_t....y. + 0aa20 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 0aa30 74000704 0975696e 7433325f 74000000 t....uint32_t... + 0aa40 019d0775 6172745f 6669666f 00080000 ...uart_fifo.... + 0aa50 020b0873 74617274 5f696e64 65780000 ...start_index.. + 0aa60 00018f02 23000865 6e645f69 6e646578 ....#..end_index + 0aa70 00000001 8f022302 086f7665 7272756e ......#..overrun + 0aa80 5f657272 00000001 b2022304 00077561 _err......#...ua + 0aa90 72745f61 70690020 000002c4 085f7561 rt_api. ....._ua + 0aaa0 72745f69 6e697400 0000031b 02230008 rt_init......#.. + 0aab0 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + 0aac0 00034202 2304085f 75617274 5f636861 ..B.#.._uart_cha + 0aad0 725f6765 74000000 03560223 08085f75 r_get....V.#.._u + 0aae0 6172745f 7374725f 6f757400 0000035f art_str_out...._ + 0aaf0 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. + 0ab00 00010e02 2310085f 75617274 5f737461 ....#.._uart_sta + 0ab10 74757300 0000031b 02231408 5f756172 tus......#.._uar + 0ab20 745f636f 6e666967 00000003 68022318 t_config....h.#. + 0ab30 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... + 0ab40 03710223 1c000300 00020b04 00077561 .q.#..........ua + 0ab50 72745f62 6c6b0010 00000315 08646562 rt_blk.......deb + 0ab60 75675f6d 6f646500 0000018f 02230008 ug_mode......#.. + 0ab70 62617564 00000001 8f022302 085f7561 baud......#.._ua + 0ab80 72740000 0002c402 2304085f 74780000 rt......#.._tx.. + 0ab90 0001c002 23080006 000001b2 01030000 ....#........... + 0aba0 03150400 04756e73 69676e65 64206368 .....unsigned ch + 0abb0 61720007 01097569 6e74385f 74000000 ar....uint8_t... + 0abc0 03220201 03000003 40040003 00000333 ."......@......3 + 0abd0 04000600 00018f01 03000003 50040002 ............P... + 0abe0 01030000 035d0400 02010300 00036604 .....]........f. + 0abf0 00020103 0000036f 04000300 00011c04 .......o........ + 0ac00 00060000 01150103 0000037f 04000744 ...............D + 0ac10 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT + 0ac20 000c0000 03d70863 6d645f73 74720000 .......cmd_str.. + 0ac30 00037802 23000868 656c705f 73747200 ..x.#..help_str. + 0ac40 00000378 02230408 636d645f 66756e63 ...x.#..cmd_func + 0ac50 00000003 85022308 00076462 675f6170 ......#...dbg_ap + 0ac60 69000800 00040a08 5f646267 5f696e69 i......._dbg_ini + 0ac70 74000000 010e0223 00085f64 62675f74 t......#.._dbg_t + 0ac80 61736b00 0000010e 02230400 0a040004 ask......#...... + 0ac90 756e7369 676e6564 20696e74 00070406 unsigned int.... + 0aca0 0000040a 01030000 041d0400 0b0b0300 ................ + 0acb0 00042b04 00060000 040a0103 00000433 ..+............3 + 0acc0 04000600 00011501 03000004 40040007 ............@... + 0acd0 6d656d5f 61706900 14000004 af085f6d mem_api......._m + 0ace0 656d5f69 6e697400 0000010e 02230008 em_init......#.. + 0acf0 5f6d656d 73657400 00000423 02230408 _memset....#.#.. + 0ad00 5f6d656d 63707900 00000439 02230808 _memcpy....9.#.. + 0ad10 5f6d656d 6d6f7665 00000004 3902230c _memmove....9.#. + 0ad20 085f6d65 6d636d70 00000004 46022310 ._memcmp....F.#. + 0ad30 000c7265 67697374 65725f64 756d705f ..register_dump_ + 0ad40 73000001 03000004 af040002 01030000 s............... + 0ad50 04c90400 02010300 0004d204 00060000 ................ + 0ad60 01150103 000004db 04000d68 6f737469 ...........hosti + 0ad70 665f7300 04000005 370e4849 465f5553 f_s.....7.HIF_US + 0ad80 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H + 0ad90 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC + 0ada0 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI + 0adb0 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST + 0adc0 49460000 0004e806 00000537 01030000 IF.........7.... + 0add0 05450400 06000003 33010300 00055204 .E......3.....R. + 0ade0 00060000 018f0103 0000055f 0400076d ..........._...m + 0adf0 6973635f 61706900 24000006 4f085f73 isc_api.$...O._s + 0ae00 79737465 6d5f7265 73657400 0000010e ystem_reset..... + 0ae10 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. + 0ae20 00010e02 2304085f 61737366 61696c00 ....#.._assfail. + 0ae30 000004cb 02230808 5f6d6973 616c6967 .....#.._misalig + 0ae40 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler + 0ae50 00000004 cb02230c 085f7265 706f7274 ......#.._report + 0ae60 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host + 0ae70 00000004 d4022310 085f7461 72676574 ......#.._target + 0ae80 5f69645f 67657400 000004e1 02231408 _id_get......#.. + 0ae90 5f69735f 686f7374 5f707265 73656e74 _is_host_present + 0aea0 00000005 4b022318 085f6b62 68697400 ....K.#.._kbhit. + 0aeb0 00000558 02231c08 5f726f6d 5f766572 ...X.#.._rom_ver + 0aec0 73696f6e 5f676574 00000005 65022320 sion_get....e.# + 0aed0 00060000 03780103 0000064f 04000600 .....x.....O.... + 0aee0 00037801 03000006 5c040006 00000115 ..x.....\....... + 0aef0 01030000 06690400 06000001 15010300 .....i.......... + 0af00 00067604 00060000 01150103 00000683 ..v............. + 0af10 04000773 7472696e 675f6170 69001800 ...string_api... + 0af20 00070908 5f737472 696e675f 696e6974 ...._string_init + 0af30 00000001 0e022300 085f7374 72637079 ......#.._strcpy + 0af40 00000006 55022304 085f7374 726e6370 ....U.#.._strncp + 0af50 79000000 06620223 08085f73 74726c65 y....b.#.._strle + 0af60 6e000000 066f0223 0c085f73 7472636d n....o.#.._strcm + 0af70 70000000 067c0223 10085f73 74726e63 p....|.#.._strnc + 0af80 6d700000 00068902 2314000f 0000040d mp......#....... + 0af90 14000007 16100400 095f415f 54494d45 ........._A_TIME + 0afa0 525f5350 41434500 00000709 09415f74 R_SPACE......A_t + 0afb0 696d6572 5f740000 00071603 0000072a imer_t.........* + 0afc0 04000201 03000007 40040002 01030000 ........@....... + 0afd0 07490400 09415f48 414e444c 45000000 .I...A_HANDLE... + 0afe0 040d0201 09415f54 494d4552 5f46554e .....A_TIMER_FUN + 0aff0 43000000 07600300 00076204 00020103 C....`....b..... + 0b000 0000077b 04000774 696d6572 5f617069 ...{...timer_api + 0b010 00140000 07fa085f 74696d65 725f696e ......._timer_in + 0b020 69740000 00010e02 2300085f 74696d65 it......#.._time + 0b030 725f6172 6d000000 07420223 04085f74 r_arm....B.#.._t + 0b040 696d6572 5f646973 61726d00 0000074b imer_disarm....K + 0b050 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn + 0b060 00000007 7d02230c 085f7469 6d65725f ....}.#.._timer_ + 0b070 72756e00 0000010e 02231000 09424f4f run......#...BOO + 0b080 4c45414e 00000001 8f060000 07fa0103 LEAN............ + 0b090 00000807 04000600 0007fa01 03000008 ................ + 0b0a0 14040006 000007fa 01030000 08210400 .............!.. + 0b0b0 07726f6d 705f6170 69001000 00089308 .romp_api....... + 0b0c0 5f726f6d 705f696e 69740000 00010e02 _romp_init...... + 0b0d0 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa + 0b0e0 64000000 080d0223 04085f72 6f6d705f d......#.._romp_ + 0b0f0 696e7374 616c6c00 0000081a 02230808 install......#.. + 0b100 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... + 0b110 2702230c 0007726f 6d5f7061 7463685f '.#...rom_patch_ + 0b120 73740010 000008ef 08637263 31360000 st.......crc16.. + 0b130 00018f02 2300086c 656e0000 00018f02 ....#..len...... + 0b140 2302086c 645f6164 64720000 0001b202 #..ld_addr...... + 0b150 23040866 756e5f61 64647200 000001b2 #..fun_addr..... + 0b160 02230808 7066756e 00000003 4902230c .#..pfun....I.#. + 0b170 00076565 705f7265 6469725f 61646472 ..eep_redir_addr + 0b180 00040000 0921086f 66667365 74000000 .....!.offset... + 0b190 018f0223 00087369 7a650000 00018f02 ...#..size...... + 0b1a0 23020009 415f5549 4e543332 00000004 #...A_UINT32.... + 0b1b0 0d060000 040a0103 0000092f 04000761 .........../...a + 0b1c0 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... + 0b1d0 a008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 0b1e0 5f696e69 74000000 09350223 0008636d _init....5.#..cm + 0b1f0 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... + 0b200 35022304 08636d6e 6f735f61 6c6c6f63 5.#..cmnos_alloc + 0b210 72616d5f 64656275 67000000 010e0223 ram_debug......# + 0b220 08000201 03000009 a0040009 415f5441 ............A_TA + 0b230 534b4c45 545f4655 4e430000 0009a207 SKLET_FUNC...... + 0b240 5f746173 6b6c6574 00100000 0a010866 _tasklet.......f + 0b250 756e6300 000009a9 02230008 61726700 unc......#..arg. + 0b260 0000040a 02230408 73746174 65000000 .....#..state... + 0b270 01150223 08086e65 78740000 000a0102 ...#..next...... + 0b280 230c0003 000009bd 04000300 0009bd04 #............... + 0b290 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... + 0b2a0 09bd0300 000a0f04 00020103 00000a27 ...............' + 0b2b0 04000201 0300000a 30040007 7461736b ........0...task + 0b2c0 6c65745f 61706900 1400000a c5085f74 let_api......._t + 0b2d0 61736b6c 65745f69 6e697400 0000010e asklet_init..... + 0b2e0 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini + 0b2f0 745f7461 736b0000 000a2902 2304085f t_task....).#.._ + 0b300 7461736b 6c65745f 64697361 626c6500 tasklet_disable. + 0b310 00000a32 02230808 5f746173 6b6c6574 ...2.#.._tasklet + 0b320 5f736368 6564756c 65000000 0a320223 _schedule....2.# + 0b330 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. + 0b340 00010e02 23100002 01030000 0ac50400 ....#........... + 0b350 06000009 21010300 000ace04 00020103 ....!........... + 0b360 00000adb 04000763 6c6f636b 5f617069 .......clock_api + 0b370 00240000 0bbd085f 636c6f63 6b5f696e .$....._clock_in + 0b380 69740000 000ac702 2300085f 636c6f63 it......#.._cloc + 0b390 6b726567 735f696e 69740000 00010e02 kregs_init...... + 0b3a0 2304085f 75617274 5f667265 7175656e #.._uart_frequen + 0b3b0 63790000 000ad402 2308085f 64656c61 cy......#.._dela + 0b3c0 795f7573 0000000a dd02230c 085f776c y_us......#.._wl + 0b3d0 616e5f62 616e645f 73657400 00000add an_band_set..... + 0b3e0 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee + 0b3f0 645f6765 74000000 0ad40223 14085f6d d_get......#.._m + 0b400 696c6c69 7365636f 6e647300 00000ad4 illiseconds..... + 0b410 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan + 0b420 67650000 00010e02 231c085f 636c6f63 ge......#.._cloc + 0b430 6b5f7469 636b0000 00010e02 23200006 k_tick......# .. + 0b440 000001b2 01030000 0bbd0400 09415f6f .............A_o + 0b450 6c645f69 6e74725f 74000000 01b20600 ld_intr_t....... + 0b460 000bca01 0300000b dc040002 01030000 ................ + 0b470 0be90400 02010300 000bf204 00060000 ................ + 0b480 01b20103 00000bfb 04000941 5f697372 ...........A_isr + 0b490 5f740000 000c0102 01030000 0c150400 _t.............. + 0b4a0 06000004 0d010300 000c1e04 00020103 ................ + 0b4b0 00000c2b 04000769 6e74725f 61706900 ...+...intr_api. + 0b4c0 2c00000d 4d085f69 6e74725f 696e6974 ,...M._intr_init + 0b4d0 00000001 0e022300 085f696e 74725f69 ......#.._intr_i + 0b4e0 6e766f6b 655f6973 72000000 0bc30223 nvoke_isr......# + 0b4f0 04085f69 6e74725f 64697361 626c6500 .._intr_disable. + 0b500 00000be2 02230808 5f696e74 725f7265 .....#.._intr_re + 0b510 73746f72 65000000 0beb0223 0c085f69 store......#.._i + 0b520 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... + 0b530 0bf40223 10085f69 6e74725f 756e6d61 ...#.._intr_unma + 0b540 736b5f69 6e756d00 00000bf4 02231408 sk_inum......#.. + 0b550 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr + 0b560 0000000c 17022318 085f6765 745f696e ......#.._get_in + 0b570 7472656e 61626c65 0000000c 2402231c trenable....$.#. + 0b580 085f7365 745f696e 7472656e 61626c65 ._set_intrenable + 0b590 0000000c 2d022320 085f6765 745f696e ....-.# ._get_in + 0b5a0 74727065 6e64696e 67000000 0c240223 trpending....$.# + 0b5b0 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i + 0b5c0 6e74726c 766c0000 00010e02 23280011 ntrlvl......#(.. + 0b5d0 0400000d 73087469 6d656f75 74000000 ....s.timeout... + 0b5e0 01b20223 00086163 74696f6e 00000001 ...#..action.... + 0b5f0 b2022300 00120800 000d8e08 636d6400 ..#.........cmd. + 0b600 000001b2 02230013 00000d4d 02230400 .....#.....M.#.. + 0b610 09545f57 44545f43 4d440000 000d7302 .T_WDT_CMD....s. + 0b620 01030000 0d9d0400 14040000 0df30e45 ...............E + 0b630 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E + 0b640 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... + 0b650 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. + 0b660 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO + 0b670 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP + 0b680 45000000 0da60600 000df301 0300000e E............... + 0b690 04040007 7764745f 61706900 1c00000e ....wdt_api..... + 0b6a0 a8085f77 64745f69 6e697400 0000010e .._wdt_init..... + 0b6b0 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. + 0b6c0 0000010e 02230408 5f776474 5f646973 .....#.._wdt_dis + 0b6d0 61626c65 00000001 0e022308 085f7764 able......#.._wd + 0b6e0 745f7365 74000000 0d9f0223 0c085f77 t_set......#.._w + 0b6f0 64745f74 61736b00 0000010e 02231008 dt_task......#.. + 0b700 5f776474 5f726573 65740000 00010e02 _wdt_reset...... + 0b710 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo + 0b720 74000000 0e0a0223 18001404 00000f0f t......#........ + 0b730 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R + 0b740 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE + 0b750 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE + 0b760 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... + 0b770 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW + 0b780 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. + 0b790 0009545f 4545505f 52455400 00000ea8 ..T_EEP_RET..... + 0b7a0 03000001 8f040006 00000f0f 01030000 ................ + 0b7b0 0f250400 0600000f 0f010300 000f3204 .%............2. + 0b7c0 00076565 705f6170 69001000 000f9b08 ..eep_api....... + 0b7d0 5f656570 5f696e69 74000000 010e0223 _eep_init......# + 0b7e0 00085f65 65705f72 65616400 00000f2b .._eep_read....+ + 0b7f0 02230408 5f656570 5f777269 74650000 .#.._eep_write.. + 0b800 000f2b02 2308085f 6565705f 69735f65 ..+.#.._eep_is_e + 0b810 78697374 0000000f 3802230c 00077573 xist....8.#...us + 0b820 625f6170 69007000 00124808 5f757362 b_api.p...H._usb + 0b830 5f696e69 74000000 010e0223 00085f75 _init......#.._u + 0b840 73625f72 6f6d5f74 61736b00 0000010e sb_rom_task..... + 0b850 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task + 0b860 00000001 0e022308 085f7573 625f696e ......#.._usb_in + 0b870 69745f70 68790000 00010e02 230c085f it_phy......#.._ + 0b880 7573625f 6570305f 73657475 70000000 usb_ep0_setup... + 0b890 010e0223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t + 0b8a0 78000000 010e0223 14085f75 73625f65 x......#.._usb_e + 0b8b0 70305f72 78000000 010e0223 18085f75 p0_rx......#.._u + 0b8c0 73625f67 65745f69 6e746572 66616365 sb_get_interface + 0b8d0 00000008 1a02231c 085f7573 625f7365 ......#.._usb_se + 0b8e0 745f696e 74657266 61636500 0000081a t_interface..... + 0b8f0 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con + 0b900 66696775 72617469 6f6e0000 00081a02 figuration...... + 0b910 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf + 0b920 69677572 6174696f 6e000000 081a0223 iguration......# + 0b930 28085f75 73625f73 74616e64 6172645f (._usb_standard_ + 0b940 636d6400 0000081a 02232c08 5f757362 cmd......#,._usb + 0b950 5f76656e 646f725f 636d6400 0000010e _vendor_cmd..... + 0b960 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o + 0b970 66660000 00010e02 2334085f 7573625f ff......#4._usb_ + 0b980 72657365 745f6669 666f0000 00010e02 reset_fifo...... + 0b990 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. + 0b9a0 0000010e 02233c08 5f757362 5f6a756d .....#<._usb_jum + 0b9b0 705f626f 6f740000 00010e02 2340085f p_boot......#@._ + 0b9c0 7573625f 636c725f 66656174 75726500 usb_clr_feature. + 0b9d0 0000081a 02234408 5f757362 5f736574 .....#D._usb_set + 0b9e0 5f666561 74757265 00000008 1a022348 _feature......#H + 0b9f0 085f7573 625f7365 745f6164 64726573 ._usb_set_addres + 0ba00 73000000 081a0223 4c085f75 73625f67 s......#L._usb_g + 0ba10 65745f64 65736372 6970746f 72000000 et_descriptor... + 0ba20 081a0223 50085f75 73625f67 65745f73 ...#P._usb_get_s + 0ba30 74617475 73000000 081a0223 54085f75 tatus......#T._u + 0ba40 73625f73 65747570 5f646573 63000000 sb_setup_desc... + 0ba50 010e0223 58085f75 73625f72 65675f6f ...#X._usb_reg_o + 0ba60 75740000 00010e02 235c085f 7573625f ut......#\._usb_ + 0ba70 73746174 75735f69 6e000000 010e0223 status_in......# + 0ba80 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da + 0ba90 74610000 00010e02 2364085f 7573625f ta......#d._usb_ + 0baa0 6570305f 72785f64 61746100 0000010e ep0_rx_data..... + 0bab0 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini + 0bac0 74000000 010e0223 6c00075f 56444553 t......#l.._VDES + 0bad0 43002400 0012d408 6e657874 5f646573 C.$.....next_des + 0bae0 63000000 12d40223 00086275 665f6164 c......#..buf_ad + 0baf0 64720000 0012e802 23040862 75665f73 dr......#..buf_s + 0bb00 697a6500 000012ef 02230808 64617461 ize......#..data + 0bb10 5f6f6666 73657400 000012ef 02230a08 _offset......#.. + 0bb20 64617461 5f73697a 65000000 12ef0223 data_size......# + 0bb30 0c08636f 6e74726f 6c000000 12ef0223 ..control......# + 0bb40 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... + 0bb50 12fd0223 10000300 00124804 0009415f ...#......H...A_ + 0bb60 55494e54 38000000 03220300 0012db04 UINT8...."...... + 0bb70 0009415f 55494e54 31360000 0001790f ..A_UINT16....y. + 0bb80 000012db 14000013 0a101300 03000012 ................ + 0bb90 48040009 56444553 43000000 12480300 H...VDESC....H.. + 0bba0 00131104 00060000 131c0103 00001323 ...............# + 0bbb0 04000600 0012e801 03000013 30040002 ............0... + 0bbc0 01030000 133d0400 07766465 73635f61 .....=...vdesc_a + 0bbd0 70690014 000013b5 085f696e 69740000 pi......._init.. + 0bbe0 000add02 2300085f 616c6c6f 635f7664 ....#.._alloc_vd + 0bbf0 65736300 00001329 02230408 5f676574 esc....).#.._get + 0bc00 5f68775f 64657363 00000013 36022308 _hw_desc....6.#. + 0bc10 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... + 0bc20 3f02230c 08705265 73657276 65640000 ?.#..pReserved.. + 0bc30 00040a02 23100007 5f564255 46002000 ....#..._VBUF. . + 0bc40 00141508 64657363 5f6c6973 74000000 ....desc_list... + 0bc50 131c0223 00086e65 78745f62 75660000 ...#..next_buf.. + 0bc60 00141502 23040862 75665f6c 656e6774 ....#..buf_lengt + 0bc70 68000000 12ef0223 08087265 73657276 h......#..reserv + 0bc80 65640000 00141c02 230a0863 74780000 ed......#..ctx.. + 0bc90 0012fd02 230c0003 000013b5 04000f00 ....#........... + 0bca0 0012db02 00001429 10010003 000013b5 .......)........ + 0bcb0 04000956 42554600 000013b5 03000014 ...VBUF......... + 0bcc0 30040006 0000143a 01030000 14410400 0......:.....A.. + 0bcd0 06000014 3a010300 00144e04 00020103 ....:.....N..... + 0bce0 0000145b 04000776 6275665f 61706900 ...[...vbuf_api. + 0bcf0 14000014 d9085f69 6e697400 00000add ......_init..... + 0bd00 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. + 0bd10 00001447 02230408 5f616c6c 6f635f76 ...G.#.._alloc_v + 0bd20 6275665f 77697468 5f73697a 65000000 buf_with_size... + 0bd30 14540223 08085f66 7265655f 76627566 .T.#.._free_vbuf + 0bd40 00000014 5d02230c 08705265 73657276 ....].#..pReserv + 0bd50 65640000 00040a02 23100007 5f5f6164 ed......#...__ad + 0bd60 665f6465 76696365 00040000 14fb0864 f_device.......d + 0bd70 756d6d79 00000001 15022300 00030000 ummy......#..... + 0bd80 09210400 075f5f61 64665f64 6d615f6d .!...__adf_dma_m + 0bd90 6170000c 00001542 08627566 00000014 ap.....B.buf.... + 0bda0 3a022300 0864735f 61646472 00000014 :.#..ds_addr.... + 0bdb0 fb022304 0864735f 6c656e00 000012ef ..#..ds_len..... + 0bdc0 02230800 120c0000 157c085f 5f76615f .#.......|.__va_ + 0bdd0 73746b00 00000378 02230008 5f5f7661 stk....x.#..__va + 0bde0 5f726567 00000003 78022304 085f5f76 _reg....x.#..__v + 0bdf0 615f6e64 78000000 01150223 0800095f a_ndx......#..._ + 0be00 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 0be10 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d + 0be20 6d615f61 6464725f 74000000 157c095f ma_addr_t....|._ + 0be30 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 0be40 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d + 0be50 6d615f73 697a655f 74000000 15ac075f ma_size_t......_ + 0be60 5f646d61 5f736567 73000800 00160808 _dma_segs....... + 0be70 70616464 72000000 15950223 00086c65 paddr......#..le + 0be80 6e000000 15c50223 0400095f 5f615f75 n......#...__a_u + 0be90 696e7433 325f7400 00000921 09615f75 int32_t....!.a_u + 0bea0 696e7433 325f7400 00001608 0f000015 int32_t......... + 0beb0 dc080000 16371000 00076164 665f6f73 .....7....adf_os + 0bec0 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 0bed0 1670086e 73656773 00000016 1a022300 .p.nsegs......#. + 0bee0 08646d61 5f736567 73000000 162a0223 .dma_segs....*.# + 0bef0 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 0bf00 0012db09 615f7569 6e74385f 74000000 ....a_uint8_t... + 0bf10 16700300 00168104 00075f5f 73675f73 .p........__sg_s + 0bf20 65677300 08000016 c2087661 64647200 egs.......vaddr. + 0bf30 00001690 02230008 6c656e00 0000161a .....#..len..... + 0bf40 02230400 0f000016 97200000 16cf1003 .#....... ...... + 0bf50 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 0bf60 24000017 02086e73 65677300 0000161a $.....nsegs..... + 0bf70 02230008 73675f73 65677300 000016c2 .#..sg_segs..... + 0bf80 02230400 12100000 174b0876 656e646f .#.......K.vendo + 0bf90 72000000 161a0223 00086465 76696365 r......#..device + 0bfa0 00000016 1a022304 08737562 76656e64 ......#..subvend + 0bfb0 6f720000 00161a02 23080873 75626465 or......#..subde + 0bfc0 76696365 00000016 1a02230c 00046c6f vice......#...lo + 0bfd0 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 0bfe0 20696e74 00070809 415f5549 4e543634 int....A_UINT64 + 0bff0 00000017 4b095f5f 615f7569 6e743634 ....K.__a_uint64 + 0c000 5f740000 00176509 615f7569 6e743634 _t....e.a_uint64 + 0c010 5f740000 00177314 04000017 d10e4144 _t....s.......AD + 0c020 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 0c030 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 0c040 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 0c050 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou + 0c060 7263655f 74797065 5f740000 00179512 rce_type_t...... + 0c070 18000018 1b087374 61727400 00001785 ......start..... + 0c080 02230008 656e6400 00001785 02230808 .#..end......#.. + 0c090 74797065 00000017 d1022310 00096164 type......#...ad + 0c0a0 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 0c0b0 74000000 17020300 00181b04 00110400 t............... + 0c0c0 00185a08 70636900 00001834 02230008 ..Z.pci....4.#.. + 0c0d0 72617700 0000040a 02230000 11100000 raw......#...... + 0c0e0 18790870 63690000 00181b02 23000872 .y.pci......#..r + 0c0f0 61770000 00040a02 23000009 6164665f aw......#...adf_ + 0c100 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... + 0c110 0a096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 0c120 655f7400 000017ed 03000018 8f040009 e_t............. + 0c130 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 0c140 74615f74 00000018 5a030000 18ad0400 ta_t....Z....... + 0c150 03000014 d9040009 5f5f6164 665f6f73 ........__adf_os + 0c160 5f646576 6963655f 74000000 18ce0961 _device_t......a + 0c170 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 0c180 0018d506 00001879 01030000 19010400 .......y........ + 0c190 02010300 00190e04 00096164 665f6f73 ..........adf_os + 0c1a0 5f706d5f 74000000 040a0201 03000019 _pm_t........... + 0c1b0 28040014 04000019 680e4144 465f4f53 (.......h.ADF_OS + 0c1c0 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 0c1d0 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 0c1e0 47454e45 52494300 02000961 64665f6f GENERIC....adf_o + 0c1f0 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... + 0c200 31096164 665f6f73 5f627573 5f726567 1.adf_os_bus_reg + 0c210 5f646174 615f7400 0000183b 03000003 _data_t....;.... + 0c220 22040007 5f616466 5f647276 5f696e66 "..._adf_drv_inf + 0c230 6f002000 001a4508 6472765f 61747461 o. ...E.drv_atta + 0c240 63680000 00190702 23000864 72765f64 ch......#..drv_d + 0c250 65746163 68000000 19100223 04086472 etach......#..dr + 0c260 765f7375 7370656e 64000000 192a0223 v_suspend....*.# + 0c270 08086472 765f7265 73756d65 00000019 ..drv_resume.... + 0c280 1002230c 08627573 5f747970 65000000 ..#..bus_type... + 0c290 19680223 10086275 735f6461 74610000 .h.#..bus_data.. + 0c2a0 00197f02 2314086d 6f645f6e 616d6500 ....#..mod_name. + 0c2b0 0000199a 02231808 69666e61 6d650000 .....#..ifname.. + 0c2c0 00199a02 231c0009 6164665f 6f735f68 ....#...adf_os_h + 0c2d0 616e646c 655f7400 0000040a 03000016 andle_t......... + 0c2e0 70040002 01020109 5f5f6164 665f6f73 p.......__adf_os + 0c2f0 5f73697a 655f7400 0000040d 14040000 _size_t......... + 0c300 1a940e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 0c310 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. + 0c320 00001a7a 03000015 02040009 5f5f6164 ...z........__ad + 0c330 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 0c340 001aa202 010d6164 665f6f73 5f636163 ......adf_os_cac + 0c350 68655f73 796e6300 0400001b 2c0e4144 he_sync.....,.AD + 0c360 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 0c370 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 0c380 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 0c390 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 0c3a0 435f504f 53545752 49544500 03000961 C_POSTWRITE....a + 0c3b0 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 0c3c0 5f740000 001ac302 01096164 665f6f73 _t........adf_os + 0c3d0 5f73697a 655f7400 00001a65 0600001b _size_t....e.... + 0c3e0 47010961 64665f6f 735f646d 615f6d61 G..adf_os_dma_ma + 0c3f0 705f7400 00001aa9 0300001b 60040006 p_t.........`... + 0c400 0000040a 01030000 1aa90400 06000004 ................ + 0c410 0a010201 06000015 95010201 0473686f .............sho + 0c420 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 + 0c430 36000000 1b9a095f 5f615f69 6e743136 6......__a_int16 + 0c440 5f740000 001ba709 615f696e 7431365f _t......a_int16_ + 0c450 74000000 1bb40473 69676e65 64206368 t......signed ch + 0c460 61720005 0109415f 494e5438 0000001b ar....A_INT8.... + 0c470 d4095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... + 0c480 e309615f 696e7438 5f740000 001bef12 ..a_int8_t...... + 0c490 0c00001c 66087375 70706f72 74656400 ....f.supported. + 0c4a0 0000161a 02230008 61647665 7274697a .....#..advertiz + 0c4b0 65640000 00161a02 23040873 70656564 ed......#..speed + 0c4c0 0000001b c5022308 08647570 6c657800 ......#..duplex. + 0c4d0 00001bff 02230a08 6175746f 6e656700 .....#..autoneg. + 0c4e0 00001681 02230b00 0f000016 81060000 .....#.......... + 0c4f0 1c731005 00076164 665f6e65 745f6574 .s....adf_net_et + 0c500 68616464 72000600 001c9708 61646472 haddr.......addr + 0c510 0000001c 66022300 00095f5f 615f7569 ....f.#...__a_ui + 0c520 6e743136 5f740000 0012ef09 615f7569 nt16_t......a_ui + 0c530 6e743136 5f740000 001c9712 0e00001c nt16_t.......... + 0c540 fb086574 6865725f 64686f73 74000000 ..ether_dhost... + 0c550 1c660223 00086574 6865725f 73686f73 .f.#..ether_shos + 0c560 74000000 1c660223 06086574 6865725f t....f.#..ether_ + 0c570 74797065 0000001c a902230c 00121400 type......#..... + 0c580 001dbc15 69705f76 65727369 6f6e0000 ....ip_version.. + 0c590 00168101 00040223 00156970 5f686c00 .......#..ip_hl. + 0c5a0 00001681 01040402 23000869 705f746f ........#..ip_to + 0c5b0 73000000 16810223 01086970 5f6c656e s......#..ip_len + 0c5c0 0000001c a9022302 0869705f 69640000 ......#..ip_id.. + 0c5d0 001ca902 23040869 705f6672 61675f6f ....#..ip_frag_o + 0c5e0 66660000 001ca902 23060869 705f7474 ff......#..ip_tt + 0c5f0 6c000000 16810223 08086970 5f70726f l......#..ip_pro + 0c600 746f0000 00168102 23090869 705f6368 to......#..ip_ch + 0c610 65636b00 00001ca9 02230a08 69705f73 eck......#..ip_s + 0c620 61646472 00000016 1a02230c 0869705f addr......#..ip_ + 0c630 64616464 72000000 161a0223 10000761 daddr......#...a + 0c640 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 0c650 00001e0e 08747069 64000000 1ca90223 .....tpid......# + 0c660 00157072 696f0000 00168101 00030223 ..prio.........# + 0c670 02156366 69000000 16810103 01022302 ..cfi.........#. + 0c680 15766964 0000001c a902040c 02230200 .vid.........#.. + 0c690 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 0c6a0 1e3f1572 65730000 00168101 00040223 .?.res.........# + 0c6b0 00157661 6c000000 1ca90204 0c022300 ..val.........#. + 0c6c0 00120c00 001e7b08 72785f62 75667369 ......{.rx_bufsi + 0c6d0 7a650000 00161a02 23000872 785f6e64 ze......#..rx_nd + 0c6e0 65736300 0000161a 02230408 74785f6e esc......#..tx_n + 0c6f0 64657363 00000016 1a022308 00120800 desc......#..... + 0c700 001ea108 706f6c6c 65640000 001a9402 ....polled...... + 0c710 23000870 6f6c6c5f 77740000 00161a02 #..poll_wt...... + 0c720 2304000f 00001681 4000001e ae103f00 #.......@.....?. + 0c730 12460000 1ed60869 665f6e61 6d650000 .F.....if_name.. + 0c740 001ea102 23000864 65765f61 64647200 ....#..dev_addr. + 0c750 00001c66 02234000 14040000 1f0d0e41 ...f.#@........A + 0c760 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 0c770 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 0c780 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... + 0c790 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 0c7a0 74000000 1ed60761 64665f64 6d615f69 t......adf_dma_i + 0c7b0 6e666f00 0800001f 5a08646d 615f6d61 nfo.....Z.dma_ma + 0c7c0 736b0000 001f0d02 23000873 675f6e73 sk......#..sg_ns + 0c7d0 65677300 0000161a 02230400 14040000 egs......#...... + 0c7e0 1fb00e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 0c7f0 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 0c800 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 0c810 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 0c820 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 0c830 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 0c840 5f747970 655f7400 00001f5a 12080000 _type_t....Z.... + 0c850 1ff30874 785f636b 73756d00 00001fb0 ...tx_cksum..... + 0c860 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... + 0c870 b0022304 00096164 665f6e65 745f636b ..#...adf_net_ck + 0c880 73756d5f 696e666f 5f740000 001fca14 sum_info_t...... + 0c890 04000020 4c0e4144 465f4e45 545f5453 ... L.ADF_NET_TS + 0c8a0 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 0c8b0 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 0c8c0 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a + 0c8d0 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 0c8e0 74000000 200d1210 000020a0 08636b73 t... ..... ..cks + 0c8f0 756d5f63 61700000 001ff302 23000874 um_cap......#..t + 0c900 736f0000 00204c02 23080876 6c616e5f so... L.#..vlan_ + 0c910 73757070 6f727465 64000000 16810223 supported......# + 0c920 0c001220 00002139 0874785f 7061636b ... ..!9.tx_pack + 0c930 65747300 0000161a 02230008 72785f70 ets......#..rx_p + 0c940 61636b65 74730000 00161a02 23040874 ackets......#..t + 0c950 785f6279 74657300 0000161a 02230808 x_bytes......#.. + 0c960 72785f62 79746573 00000016 1a02230c rx_bytes......#. + 0c970 0874785f 64726f70 70656400 0000161a .tx_dropped..... + 0c980 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. + 0c990 00161a02 23140872 785f6572 726f7273 ....#..rx_errors + 0c9a0 00000016 1a022318 0874785f 6572726f ......#..tx_erro + 0c9b0 72730000 00161a02 231c0009 6164665f rs......#...adf_ + 0c9c0 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 0c9d0 1c731600 00213903 00000021 5e107f00 .s...!9....!^... + 0c9e0 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 0c9f0 64647200 03040000 2195086e 656c656d ddr.....!..nelem + 0ca00 00000016 1a022300 086d6361 73740000 ......#..mcast.. + 0ca10 00215002 23040009 6164665f 6e65745f .!P.#...adf_net_ + 0ca20 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 0ca30 00001c0d 09616466 5f6e6574 5f636d64 .....adf_net_cmd + 0ca40 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... + 0ca50 7b096164 665f6e65 745f636d 645f636b {.adf_net_cmd_ck + 0ca60 73756d5f 696e666f 5f740000 001ff309 sum_info_t...... + 0ca70 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 0ca80 5f696e66 6f5f7400 00001e3f 09616466 _info_t....?.adf + 0ca90 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 0caa0 6f5f7400 00001f24 09616466 5f6e6574 o_t....$.adf_net + 0cab0 5f636d64 5f766964 5f740000 001ca909 _cmd_vid_t...... + 0cac0 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 0cad0 6f61645f 6361705f 74000000 20640961 oad_cap_t... d.a + 0cae0 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 0caf0 5f740000 0020a009 6164665f 6e65745f _t... ..adf_net_ + 0cb00 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! + 0cb10 5e0d6164 665f6e65 745f636d 645f6d63 ^.adf_net_cmd_mc + 0cb20 6173745f 63617000 04000022 d70e4144 ast_cap...."..AD + 0cb30 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 0cb40 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 0cb50 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne + 0cb60 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 0cb70 74000000 228f1803 04000023 a9086c69 t..."......#..li + 0cb80 6e6b5f69 6e666f00 00002195 02230008 nk_info...!..#.. + 0cb90 706f6c6c 5f696e66 6f000000 21b20223 poll_info...!..# + 0cba0 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! + 0cbb0 cf022300 0872696e 675f696e 666f0000 ..#..ring_info.. + 0cbc0 0021ed02 23000864 6d615f69 6e666f00 .!..#..dma_info. + 0cbd0 0000220a 02230008 76696400 00002226 .."..#..vid..."& + 0cbe0 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. + 0cbf0 0000223d 02230008 73746174 73000000 .."=.#..stats... + 0cc00 225c0223 00086d63 6173745f 696e666f "\.#..mcast_info + 0cc10 00000022 75022300 086d6361 73745f63 ..."u.#..mcast_c + 0cc20 61700000 0022d702 23000014 04000024 ap..."..#......$ + 0cc30 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 0cc40 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 0cc50 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 0cc60 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 0cc70 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 0cc80 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 0cc90 6b73756d 5f747970 655f7400 000023a9 ksum_type_t...#. + 0cca0 12080000 24400872 6573756c 74000000 ....$@.result... + 0ccb0 24000223 00087661 6c000000 161a0223 $..#..val......# + 0ccc0 04001208 00002470 08747970 65000000 ......$p.type... + 0ccd0 204c0223 00086d73 73000000 1ca90223 L.#..mss......# + 0cce0 04086864 725f6f66 66000000 16810223 ..hdr_off......# + 0ccf0 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 0cd00 65616400 0c000024 af086865 61640000 ead....$..head.. + 0cd10 00143a02 23000874 61696c00 0000143a ..:.#..tail....: + 0cd20 02230408 716c656e 00000016 1a022308 .#..qlen......#. + 0cd30 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 0cd40 00143a03 00001690 04000300 00161a04 ..:............. + 0cd50 00020106 0000131c 01060000 161a0106 ................ + 0cd60 00001690 01060000 16900103 000012fd ................ + 0cd70 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 0cd80 6561645f 74000000 2470095f 5f616466 ead_t...$p.__adf + 0cd90 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 0cda0 24f00300 00250804 00060000 24af0106 $....%......$... + 0cdb0 000024af 01140400 0026280e 415f5354 ..$......&(.A_ST + 0cdc0 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 0cdd0 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 0cde0 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 0cdf0 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 0ce00 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 0ce10 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 0ce20 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 0ce30 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 0ce40 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 0ce50 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 0ce60 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 0ce70 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 0ce80 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 0ce90 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 0cea0 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta + 0ceb0 7475735f 74000000 25330600 00262801 tus_t...%3...&(. + 0cec0 06000001 15010201 09616466 5f6e6275 .........adf_nbu + 0ced0 665f7400 000024af 14040000 268d0e41 f_t...$.....&..A + 0cee0 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 0cef0 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 0cf00 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... + 0cf10 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 0cf20 00000026 56060000 26280102 01096164 ...&V...&(....ad + 0cf30 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 0cf40 5f740000 00163703 000026ab 04000201 _t....7...&..... + 0cf50 02010600 00264601 06000024 af010201 .....&F....$.... + 0cf60 02010600 00264601 06000024 af010600 .....&F....$.... + 0cf70 00264601 06000024 af010600 00264601 .&F....$.....&F. + 0cf80 02010201 06000016 1a010600 00169001 ................ + 0cf90 02010201 0600001b 47010600 001a9401 ........G....... + 0cfa0 0600001a 94010961 64665f6f 735f7367 .......adf_os_sg + 0cfb0 6c697374 5f740000 0016cf03 00002724 list_t........'$ + 0cfc0 04000201 02010201 06000016 90010961 ...............a + 0cfd0 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 0cfe0 00002508 03000027 4c040002 01030000 ..%....'L....... + 0cff0 24f00400 02010201 02010600 00264601 $............&F. + 0d000 06000024 af010600 00161a01 06000016 ...$............ + 0d010 1a010600 001a9401 0600001a 94010600 ................ + 0d020 001fb001 06000016 1a010961 64665f6e ...........adf_n + 0d030 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 0d040 00241e03 000027a8 04000201 02010961 .$....'........a + 0d050 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 0d060 24400300 0027cc04 00020102 01096164 $@...'........ad + 0d070 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 0d080 00040a09 6164665f 6e65745f 766c616e ....adf_net_vlan + 0d090 6864725f 74000000 1dbc0300 00280104 hdr_t........(.. + 0d0a0 00060000 26280106 00002628 01020102 ....&(....&(.... + 0d0b0 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 0d0c0 00285008 64756d6d 79000000 01150223 .(P.dummy......# + 0d0d0 00000201 03000028 50040002 01030000 .......(P....... + 0d0e0 28590400 075f4849 465f4341 4c4c4241 (Y..._HIF_CALLBA + 0d0f0 434b000c 000028ae 0873656e 645f6275 CK....(..send_bu + 0d100 665f646f 6e650000 00285202 23000872 f_done...(R.#..r + 0d110 6563765f 62756600 0000285b 02230408 ecv_buf...([.#.. + 0d120 636f6e74 65787400 0000040a 02230800 context......#.. + 0d130 09686966 5f68616e 646c655f 74000000 .hif_handle_t... + 0d140 040a0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... + 0d150 282f0300 0028c004 00060000 28ae0103 (/...(......(... + 0d160 000028d7 04000201 03000028 e4040009 ..(........(.... + 0d170 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( + 0d180 62030000 28ed0400 02010300 00290604 b...(........).. + 0d190 00060000 01150103 0000290f 04000201 ..........)..... + 0d1a0 03000029 1c040006 00000115 01030000 ...)............ + 0d1b0 29250400 02010300 00293204 00060000 )%.......)2..... + 0d1c0 01150103 0000293b 04000201 03000029 ......);.......) + 0d1d0 48040007 6869665f 61706900 3800002a H...hif_api.8..* + 0d1e0 a1085f69 6e697400 000028dd 02230008 .._init...(..#.. + 0d1f0 5f736875 74646f77 6e000000 28e60223 _shutdown...(..# + 0d200 04085f72 65676973 7465725f 63616c6c .._register_call + 0d210 6261636b 00000029 08022308 085f6765 back...)..#.._ge + 0d220 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 0d230 6f756e74 00000029 1502230c 085f7374 ount...)..#.._st + 0d240 61727400 000028e6 02231008 5f636f6e art...(..#.._con + 0d250 6669675f 70697065 00000029 1e022314 fig_pipe...)..#. + 0d260 085f7365 6e645f62 75666665 72000000 ._send_buffer... + 0d270 292b0223 18085f72 65747572 6e5f7265 )+.#.._return_re + 0d280 63765f62 75660000 00293402 231c085f cv_buf...)4.#.._ + 0d290 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 0d2a0 64000000 29410223 20085f67 65745f6d d...)A.# ._get_m + 0d2b0 61785f6d 73675f6c 656e0000 00294102 ax_msg_len...)A. + 0d2c0 2324085f 6765745f 72657365 72766564 #$._get_reserved + 0d2d0 5f686561 64726f6f 6d000000 29150223 _headroom...)..# + 0d2e0 28085f69 73725f68 616e646c 65720000 (._isr_handler.. + 0d2f0 0028e602 232c085f 6765745f 64656661 .(..#,._get_defa + 0d300 756c745f 70697065 00000029 4a022330 ult_pipe...)J.#0 + 0d310 08705265 73657276 65640000 00040a02 .pReserved...... + 0d320 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. + 0d330 00002b2a 0e444d41 5f454e47 494e455f ..+*.DMA_ENGINE_ + 0d340 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE + 0d350 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN + 0d360 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI + 0d370 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG + 0d380 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN + 0d390 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E + 0d3a0 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma + 0d3b0 5f656e67 696e655f 74000000 2aa10d64 _engine_t...*..d + 0d3c0 6d615f69 66747970 65000400 002b770e ma_iftype....+w. + 0d3d0 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM + 0d3e0 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I + 0d3f0 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if + 0d400 74797065 5f740000 002b3c06 000012ef type_t...+<..... + 0d410 01030000 2b890400 02010300 002b9604 ....+........+.. + 0d420 00020103 00002b9f 04000600 00092101 ......+.......!. + 0d430 0300002b a8040006 000012ef 01030000 ...+............ + 0d440 2bb50400 06000012 ef010300 002bc204 +............+.. + 0d450 00060000 143a0103 00002bcf 04000201 .....:....+..... + 0d460 0300002b dc040007 646d615f 6c69625f ...+....dma_lib_ + 0d470 61706900 3400002c e3087478 5f696e69 api.4..,..tx_ini + 0d480 74000000 2b8f0223 00087478 5f737461 t...+..#..tx_sta + 0d490 72740000 002b9802 23040872 785f696e rt...+..#..rx_in + 0d4a0 69740000 002b8f02 23080872 785f636f it...+..#..rx_co + 0d4b0 6e666967 0000002b a102230c 0872785f nfig...+..#..rx_ + 0d4c0 73746172 74000000 2b980223 1008696e start...+..#..in + 0d4d0 74725f73 74617475 73000000 2bae0223 tr_status...+..# + 0d4e0 14086861 72645f78 6d697400 00002bbb ..hard_xmit...+. + 0d4f0 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. + 0d500 002b9802 231c0878 6d69745f 646f6e65 .+..#..xmit_done + 0d510 0000002b c8022320 08726561 705f786d ...+..# .reap_xm + 0d520 69747465 64000000 2bd50223 24087265 itted...+..#$.re + 0d530 61705f72 65637600 00002bd5 02232808 ap_recv...+..#(. + 0d540 72657475 726e5f72 65637600 00002bde return_recv...+. + 0d550 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ + 0d560 c8022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft + 0d570 63000c00 002d0108 73770000 0028ed02 c....-..sw...(.. + 0d580 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ + 0d590 74000000 2ce30300 002d0104 00020103 t...,....-...... + 0d5a0 00002d1b 04000600 0012db01 0300002d ..-............- + 0d5b0 2404000d 6869665f 7063695f 70697065 $...hif_pci_pipe + 0d5c0 5f747800 0400002d 840e4849 465f5043 _tx....-..HIF_PC + 0d5d0 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF + 0d5e0 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... + 0d5f0 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ + 0d600 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p + 0d610 6970655f 74785f74 0000002d 31060000 ipe_tx_t...-1... + 0d620 2b2a0103 00002d9b 04000d68 69665f70 +*....-....hif_p + 0d630 63695f70 6970655f 72780004 00002e21 ci_pipe_rx.....! + 0d640 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 0d650 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 0d660 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P + 0d670 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC + 0d680 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF + 0d690 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX + 0d6a0 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe + 0d6b0 5f72785f 74000000 2da80600 002b2a01 _rx_t...-....+*. + 0d6c0 0300002e 38040007 6869665f 7063695f ....8...hif_pci_ + 0d6d0 61706900 2400002f 16087063 695f626f api.$../..pci_bo + 0d6e0 6f745f69 6e697400 0000010e 02230008 ot_init......#.. + 0d6f0 7063695f 696e6974 00000028 dd022304 pci_init...(..#. + 0d700 08706369 5f726573 65740000 00010e02 .pci_reset...... + 0d710 23080870 63695f65 6e61626c 65000000 #..pci_enable... + 0d720 010e0223 0c087063 695f7265 61705f78 ...#..pci_reap_x + 0d730 6d697474 65640000 002d1d02 23100870 mitted...-..#..p + 0d740 63695f72 6561705f 72656376 0000002d ci_reap_recv...- + 0d750 1d022314 08706369 5f676574 5f706970 ..#..pci_get_pip + 0d760 65000000 2d2a0223 18087063 695f6765 e...-*.#..pci_ge + 0d770 745f7478 5f656e67 0000002d a102231c t_tx_eng...-..#. + 0d780 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. + 0d790 00002e3e 02232000 07676d61 635f6170 ...>.# ..gmac_ap + 0d7a0 69000400 002f3d08 676d6163 5f626f6f i..../=.gmac_boo + 0d7b0 745f696e 69740000 00010e02 2300000f t_init......#... + 0d7c0 00000322 0600002f 4a100500 075f5f65 ...".../J....__e + 0d7d0 74686864 72000e00 002f8008 64737400 thhdr..../..dst. + 0d7e0 00002f3d 02230008 73726300 00002f3d ../=.#..src.../= + 0d7f0 02230608 65747970 65000000 12ef0223 .#..etype......# + 0d800 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ + 0d810 ce157265 73000000 12db0100 02022300 ..res.........#. + 0d820 1570726f 746f0000 0012db01 02060223 .proto.........# + 0d830 00087265 735f6c6f 00000012 db022301 ..res_lo......#. + 0d840 08726573 5f686900 000012ef 02230200 .res_hi......#.. + 0d850 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 + 0d860 0a086574 68000000 2f4a0223 00086174 ..eth.../J.#..at + 0d870 68000000 2f800223 0e08616c 69676e5f h.../..#..align_ + 0d880 70616400 000012ef 02231200 095f5f67 pad......#...__g + 0d890 6d61635f 6864725f 74000000 2fce075f mac_hdr_t.../.._ + 0d8a0 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 + 0d8b0 54086864 72000000 300a0223 00086772 T.hdr...0..#..gr + 0d8c0 616e0000 0012ef02 23140873 77000000 an......#..sw... + 0d8d0 28ed0223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin + 0d8e0 6b616765 5f636865 636b0008 0000308d kage_check....0. + 0d8f0 08766572 73696f6e 00000001 15022300 .version......#. + 0d900 08746162 6c650000 00011502 23040003 .table......#... + 0d910 00003054 04000600 00011501 03000030 ..0T...........0 + 0d920 94040003 0000040d 0400175f 415f636d ..........._A_cm + 0d930 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ + 0d940 7461626c 650001b8 000031e4 0868616c table.....1..hal + 0d950 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 0d960 00309a02 23000873 74617274 5f627373 .0..#..start_bss + 0d970 00000030 a1022304 08617070 5f737461 ...0..#..app_sta + 0d980 72740000 00010e02 2308086d 656d0000 rt......#..mem.. + 0d990 00044d02 230c086d 69736300 0000056c ..M.#..misc....l + 0d9a0 02232008 7072696e 74660000 00014202 .# .printf....B. + 0d9b0 23440875 61727400 0000020b 02234c08 #D.uart......#L. + 0d9c0 676d6163 0000002f 1602236c 08757362 gmac.../..#l.usb + 0d9d0 0000000f 9b022370 08636c6f 636b0000 ......#p.clock.. + 0d9e0 000ae403 23e00108 74696d65 72000000 ....#...timer... + 0d9f0 07840323 84020869 6e747200 00000c34 ...#...intr....4 + 0da00 03239802 08616c6c 6f637261 6d000000 .#...allocram... + 0da10 093c0323 c4020872 6f6d7000 0000082e .<.#...romp..... + 0da20 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. + 0da30 000e1103 23e00208 65657000 00000f3f ....#...eep....? + 0da40 0323fc02 08737472 696e6700 00000690 .#...string..... + 0da50 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... + 0da60 390323a4 0300075f 5553425f 4649464f 9.#...._USB_FIFO + 0da70 5f434f4e 46494700 10000032 57086765 _CONFIG....2W.ge + 0da80 745f636f 6d6d616e 645f6275 66000000 t_command_buf... + 0da90 14470223 00087265 63765f63 6f6d6d61 .G.#..recv_comma + 0daa0 6e640000 00145d02 23040867 65745f65 nd....].#..get_e + 0dab0 76656e74 5f627566 00000014 47022308 vent_buf....G.#. + 0dac0 0873656e 645f6576 656e745f 646f6e65 .send_event_done + 0dad0 00000014 5d02230c 00095553 425f4649 ....].#...USB_FI + 0dae0 464f5f43 4f4e4649 47000000 31e40300 FO_CONFIG...1... + 0daf0 00325704 00020103 00003273 04000775 .2W.......2s...u + 0db00 73626669 666f5f61 7069000c 000032c9 sbfifo_api....2. + 0db10 085f696e 69740000 00327502 2300085f ._init...2u.#.._ + 0db20 656e6162 6c655f65 76656e74 5f697372 enable_event_isr + 0db30 00000001 0e022304 08705265 73657276 ......#..pReserv + 0db40 65640000 00040a02 2308000f 00001681 ed......#....... + 0db50 02000032 d6100100 075f4854 435f4652 ...2....._HTC_FR + 0db60 414d455f 48445200 08000033 4808456e AME_HDR....3H.En + 0db70 64706f69 6e744944 00000016 81022300 dpointID......#. + 0db80 08466c61 67730000 00168102 23010850 .Flags......#..P + 0db90 61796c6f 61644c65 6e000000 1ca90223 ayloadLen......# + 0dba0 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. + 0dbb0 0032c902 23040848 6f737453 65714e75 .2..#..HostSeqNu + 0dbc0 6d000000 1ca90223 06001202 00003361 m......#......3a + 0dbd0 084d6573 73616765 49440000 001ca902 .MessageID...... + 0dbe0 23000012 08000033 c4084d65 73736167 #......3..Messag + 0dbf0 65494400 00001ca9 02230008 43726564 eID......#..Cred + 0dc00 6974436f 756e7400 00001ca9 02230208 itCount......#.. + 0dc10 43726564 69745369 7a650000 001ca902 CreditSize...... + 0dc20 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. + 0dc30 00001681 02230608 5f506164 31000000 .....#.._Pad1... + 0dc40 16810223 0700120a 0000345b 084d6573 ...#......4[.Mes + 0dc50 73616765 49440000 001ca902 23000853 sageID......#..S + 0dc60 65727669 63654944 0000001c a9022302 erviceID......#. + 0dc70 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags + 0dc80 0000001c a9022304 08446f77 6e4c696e ......#..DownLin + 0dc90 6b506970 65494400 00001681 02230608 kPipeID......#.. + 0dca0 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... + 0dcb0 81022307 08536572 76696365 4d657461 ..#..ServiceMeta + 0dcc0 4c656e67 74680000 00168102 2308085f Length......#.._ + 0dcd0 50616431 00000016 81022309 00120a00 Pad1......#..... + 0dce0 0034e308 4d657373 61676549 44000000 .4..MessageID... + 0dcf0 1ca90223 00085365 72766963 65494400 ...#..ServiceID. + 0dd00 00001ca9 02230208 53746174 75730000 .....#..Status.. + 0dd10 00168102 23040845 6e64706f 696e7449 ....#..EndpointI + 0dd20 44000000 16810223 05084d61 784d7367 D......#..MaxMsg + 0dd30 53697a65 0000001c a9022306 08536572 Size......#..Ser + 0dd40 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 0dd50 00168102 2308085f 50616431 00000016 ....#.._Pad1.... + 0dd60 81022309 00120200 0034fc08 4d657373 ..#......4..Mess + 0dd70 61676549 44000000 1ca90223 00001204 ageID......#.... + 0dd80 00003538 084d6573 73616765 49440000 ..58.MessageID.. + 0dd90 001ca902 23000850 69706549 44000000 ....#..PipeID... + 0dda0 16810223 02084372 65646974 436f756e ...#..CreditCoun + 0ddb0 74000000 16810223 03001204 0000356f t......#......5o + 0ddc0 084d6573 73616765 49440000 001ca902 .MessageID...... + 0ddd0 23000850 69706549 44000000 16810223 #..PipeID......# + 0dde0 02085374 61747573 00000016 81022303 ..Status......#. + 0ddf0 00120200 00359608 5265636f 72644944 .....5..RecordID + 0de00 00000016 81022300 084c656e 67746800 ......#..Length. + 0de10 00001681 02230100 12020000 35c00845 .....#......5..E + 0de20 6e64706f 696e7449 44000000 16810223 ndpointID......# + 0de30 00084372 65646974 73000000 16810223 ..Credits......# + 0de40 01001204 00003601 08456e64 706f696e ......6..Endpoin + 0de50 74494400 00001681 02230008 43726564 tID......#..Cred + 0de60 69747300 00001681 02230108 54677443 its......#..TgtC + 0de70 72656469 74536571 4e6f0000 001ca902 reditSeqNo...... + 0de80 2302000f 00001681 04000036 0e100300 #..........6.... + 0de90 12060000 364a0850 72655661 6c696400 ....6J.PreValid. + 0dea0 00001681 02230008 4c6f6f6b 41686561 .....#..LookAhea + 0deb0 64000000 36010223 0108506f 73745661 d...6..#..PostVa + 0dec0 6c696400 00001681 02230500 09706f6f lid......#...poo + 0ded0 6c5f6861 6e646c65 5f740000 00040a06 l_handle_t...... + 0dee0 0000364a 01030000 365d0400 02010300 ..6J....6]...... + 0def0 00366a04 00140400 0036e80e 504f4f4c .6j......6..POOL + 0df00 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. + 0df10 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 0df20 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO + 0df30 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV + 0df40 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL + 0df50 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL + 0df60 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P + 0df70 4f4f4c5f 49440000 00367302 01030000 OOL_ID...6s..... + 0df80 36f90400 06000026 46010300 00370204 6......&F....7.. + 0df90 00060000 26460103 0000370f 04000201 ....&F....7..... + 0dfa0 03000037 1c040007 6275665f 706f6f6c ...7....buf_pool + 0dfb0 5f617069 001c0000 37be085f 696e6974 _api....7.._init + 0dfc0 00000036 63022300 085f7368 7574646f ...6c.#.._shutdo + 0dfd0 776e0000 00366c02 2304085f 63726561 wn...6l.#.._crea + 0dfe0 74655f70 6f6f6c00 000036fb 02230808 te_pool...6..#.. + 0dff0 5f616c6c 6f635f62 75660000 00370802 _alloc_buf...7.. + 0e000 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al + 0e010 69676e00 00003715 02231008 5f667265 ign...7..#.._fre + 0e020 655f6275 66000000 371e0223 14087052 e_buf...7..#..pR + 0e030 65736572 76656400 0000040a 02231800 eserved......#.. + 0e040 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... + 0e050 00389d08 704e6578 74000000 389d0223 .8..pNext...8..# + 0e060 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg + 0e070 00000039 52022304 0850726f 63657373 ...9R.#..Process + 0e080 53656e64 42756666 6572436f 6d706c65 SendBufferComple + 0e090 74650000 00395b02 23080850 726f6365 te...9[.#..Proce + 0e0a0 7373436f 6e6e6563 74000000 396f0223 ssConnect...9o.# + 0e0b0 0c085365 72766963 65494400 000012ef ..ServiceID..... + 0e0c0 02231008 53657276 69636546 6c616773 .#..ServiceFlags + 0e0d0 00000012 ef022312 084d6178 5376634d ......#..MaxSvcM + 0e0e0 73675369 7a650000 0012ef02 23140854 sgSize......#..T + 0e0f0 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi + 0e100 6d697400 000012ef 02231608 53657276 mit......#..Serv + 0e110 69636543 74780000 00040a02 23180003 iceCtx......#... + 0e120 000037be 04001404 0000393b 19454e44 ..7.......9;.END + 0e130 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... + 0e140 ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN + 0e150 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI + 0e160 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. + 0e170 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN + 0e180 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI + 0e190 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. + 0e1a0 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN + 0e1b0 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT + 0e1c0 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... + 0e1d0 38a40201 03000039 50040002 01030000 8......9P....... + 0e1e0 39590400 03000001 15040006 000012db 9Y.............. + 0e1f0 01030000 39690400 03000037 be040007 ....9i.....7.... + 0e200 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 + 0e210 ee084372 65646974 53697a65 00000001 ..CreditSize.... + 0e220 15022300 08437265 6469744e 756d6265 ..#..CreditNumbe + 0e230 72000000 01150223 04084f53 48616e64 r......#..OSHand + 0e240 6c650000 001a4502 23080848 49464861 le....E.#..HIFHa + 0e250 6e646c65 00000028 ae02230c 08506f6f ndle...(..#..Poo + 0e260 6c48616e 646c6500 0000364a 02231000 lHandle...6J.#.. + 0e270 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX + 0e280 54000200 003a2a08 656e645f 706f696e T....:*.end_poin + 0e290 74000000 12db0223 00086874 635f666c t......#..htc_fl + 0e2a0 61677300 000012db 02230100 09687463 ags......#...htc + 0e2b0 5f68616e 646c655f 74000000 040a0948 _handle_t......H + 0e2c0 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET + 0e2d0 455f4342 00000001 0e094854 435f434f E_CB......HTC_CO + 0e2e0 4e464947 00000039 7d030000 3a570400 NFIG...9}...:W.. + 0e2f0 0600003a 2a010300 003a6e04 00020103 ...:*....:n..... + 0e300 00003a7b 04000948 54435f53 45525649 ..:{...HTC_SERVI + 0e310 43450000 0037be03 00003a84 04000201 CE...7....:..... + 0e320 0300003a 9c040002 01030000 3aa50400 ...:........:... + 0e330 02010300 003aae04 00060000 01150103 .....:.......... + 0e340 00003ab7 04000768 74635f61 70697300 ..:....htc_apis. + 0e350 3400003c 34085f48 54435f49 6e697400 4..<4._HTC_Init. + 0e360 00003a74 02230008 5f485443 5f536875 ..:t.#.._HTC_Shu + 0e370 74646f77 6e000000 3a7d0223 04085f48 tdown...:}.#.._H + 0e380 54435f52 65676973 74657253 65727669 TC_RegisterServi + 0e390 63650000 003a9e02 2308085f 4854435f ce...:..#.._HTC_ + 0e3a0 52656164 79000000 3a7d0223 0c085f48 Ready...:}.#.._H + 0e3b0 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers + 0e3c0 0000003a a7022310 085f4854 435f5265 ...:..#.._HTC_Re + 0e3d0 7475726e 42756666 6572734c 69737400 turnBuffersList. + 0e3e0 00003ab0 02231408 5f485443 5f53656e ..:..#.._HTC_Sen + 0e3f0 644d7367 0000003a a7022318 085f4854 dMsg...:..#.._HT + 0e400 435f4765 74526573 65727665 64486561 C_GetReservedHea + 0e410 64726f6f 6d000000 3abd0223 1c085f48 droom...:..#.._H + 0e420 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle + 0e430 72000000 285b0223 20085f48 54435f53 r...([.# ._HTC_S + 0e440 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. + 0e450 00285202 2324085f 4854435f 436f6e74 .(R.#$._HTC_Cont + 0e460 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg + 0e470 00000039 52022328 085f4854 435f436f ...9R.#(._HTC_Co + 0e480 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS + 0e490 656e6443 6f6d706c 65746500 0000395b endComplete...9[ + 0e4a0 02232c08 70526573 65727665 64000000 .#,.pReserved... + 0e4b0 040a0223 30000768 6f73745f 6170705f ...#0..host_app_ + 0e4c0 61726561 5f730004 00003c64 08776d69 area_s......tu + 0e660 706c654e 756d4c00 00001ca9 02230008 pleNumL......#.. + 0e670 7475706c 654e756d 48000000 1ca90223 tupleNumH......# + 0e680 02086176 74000000 3dc80223 04001201 ..avt...=..#.... + 0e690 00003e2e 08626561 636f6e50 656e6469 ..>..beaconPendi + 0e6a0 6e67436f 756e7400 00001681 02230000 ngCount......#.. + 0e6b0 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG + 0e6c0 00100000 3e970848 74634861 6e646c65 ....>..HtcHandle + 0e6d0 0000003a 2a022300 08506f6f 6c48616e ...:*.#..PoolHan + 0e6e0 646c6500 0000364a 02230408 4d617843 dle...6J.#..MaxC + 0e6f0 6d645265 706c7945 76747300 00000115 mdReplyEvts..... + 0e700 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts + 0e710 00000001 1502230c 00020103 00003e97 ......#.......>. + 0e720 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL + 0e730 45520000 003e9907 5f574d49 5f444953 ER...>.._WMI_DIS + 0e740 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? + 0e750 00087043 6d644861 6e646c65 72000000 ..pCmdHandler... + 0e760 3ea00223 0008436d 64494400 000012ef >..#..CmdID..... + 0e770 02230408 466c6167 73000000 12ef0223 .#..Flags......# + 0e780 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH + 0e790 5f544142 4c450010 00003f61 08704e65 _TABLE....?a.pNe + 0e7a0 78740000 003f6102 23000870 436f6e74 xt...?a.#..pCont + 0e7b0 65787400 0000040a 02230408 4e756d62 ext......#..Numb + 0e7c0 65724f66 456e7472 69657300 00000115 erOfEntries..... + 0e7d0 02230808 70546162 6c650000 003f8002 .#..pTable...?.. + 0e7e0 230c0003 00003f00 04000957 4d495f44 #.....?....WMI_D + 0e7f0 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... + 0e800 3eb50300 003f6804 00030000 3f000400 >....?h.....?... + 0e810 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT + 0e820 00000039 ee0d574d 495f4556 545f434c ...9..WMI_EVT_CL + 0e830 41535300 04000040 1819574d 495f4556 ASS....@..WMI_EV + 0e840 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... + 0e850 ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 0e860 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ + 0e870 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE + 0e880 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL + 0e890 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E + 0e8a0 56545f43 4c415353 0000003f a3075f57 VT_CLASS...?.._W + 0e8b0 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. + 0e8c0 00004076 08487463 42756643 74780000 ..@v.HtcBufCtx.. + 0e8d0 003f8e02 23000845 76656e74 436c6173 .?..#..EventClas + 0e8e0 73000000 40180223 0408466c 61677300 s...@..#..Flags. + 0e8f0 000012ef 02230800 09776d69 5f68616e .....#...wmi_han + 0e900 646c655f 74000000 040a0957 4d495f53 dle_t......WMI_S + 0e910 56435f43 4f4e4649 47000000 3e2e0300 VC_CONFIG...>... + 0e920 00408804 00060000 40760103 000040a3 .@......@v....@. + 0e930 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 0e940 5441424c 45000000 3f000300 0040b004 TABLE...?....@.. + 0e950 00020103 000040cf 04000600 00264601 ......@......&F. + 0e960 03000040 d8040002 01030000 40e50400 ...@........@... + 0e970 06000001 15010300 0040ee04 00020103 .........@...... + 0e980 000040fb 04000600 0012db01 03000041 ..@............A + 0e990 04040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api + 0e9a0 73002c00 00424c08 5f574d49 5f496e69 s.,..BL._WMI_Ini + 0e9b0 74000000 40a90223 00085f57 4d495f52 t...@..#.._WMI_R + 0e9c0 65676973 74657244 69737061 74636854 egisterDispatchT + 0e9d0 61626c65 00000040 d1022304 085f574d able...@..#.._WM + 0e9e0 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ + 0e9f0 de022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv + 0ea00 656e7400 000040e7 02230c08 5f574d49 ent...@..#.._WMI + 0ea10 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent + 0ea20 73436f75 6e740000 0040f402 2310085f sCount...@..#.._ + 0ea30 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete + 0ea40 48616e64 6c657200 0000395b 02231408 Handler...9[.#.. + 0ea50 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE + 0ea60 70000000 40f40223 18085f57 4d495f53 p...@..#.._WMI_S + 0ea70 68757464 6f776e00 000040fd 02231c08 hutdown...@..#.. + 0ea80 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage + 0ea90 48616e64 6c657200 00003952 02232008 Handler...9R.# . + 0eaa0 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn + 0eab0 65637400 0000410a 02232408 70526573 ect...A..#$.pRes + 0eac0 65727665 64000000 040a0223 2800077a erved......#(..z + 0ead0 73446d61 44657363 00140000 42ce0863 sDmaDesc....B..c + 0eae0 74726c00 00000179 02230008 73746174 trl....y.#..stat + 0eaf0 75730000 00017902 23020874 6f74616c us....y.#..total + 0eb00 4c656e00 00000179 02230408 64617461 Len....y.#..data + 0eb10 53697a65 00000001 79022306 086c6173 Size....y.#..las + 0eb20 74416464 72000000 42ce0223 08086461 tAddr...B..#..da + 0eb30 74614164 64720000 00019d02 230c086e taAddr......#..n + 0eb40 65787441 64647200 000042ce 02231000 extAddr...B..#.. + 0eb50 03000042 4c040003 0000424c 0400077a ...BL.....BL...z + 0eb60 73446d61 51756575 65000800 00430e08 sDmaQueue....C.. + 0eb70 68656164 00000042 d5022300 08746572 head...B..#..ter + 0eb80 6d696e61 746f7200 000042d5 02230400 minator...B..#.. + 0eb90 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 0eba0 00437208 68656164 00000042 d5022300 .Cr.head...B..#. + 0ebb0 08746572 6d696e61 746f7200 000042d5 .terminator...B. + 0ebc0 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h + 0ebd0 65616400 0000143a 02230808 786d6974 ead....:.#..xmit + 0ebe0 65645f62 75665f74 61696c00 0000143a ed_buf_tail....: + 0ebf0 02230c00 02010300 00437204 00030000 .#.......Cr..... + 0ec00 42dc0400 02010300 00438204 00030000 B........C...... + 0ec10 430e0400 02010300 00439204 00020103 C........C...... + 0ec20 0000439b 04000201 03000043 a4040006 ..C........C.... + 0ec30 0000143a 01030000 43ad0400 02010300 ...:....C....... + 0ec40 0043ba04 00060000 143a0103 000043c3 .C.......:....C. + 0ec50 04000201 03000043 d0040006 00000115 .......C........ + 0ec60 01030000 43d90400 06000042 d5010300 ....C......B.... + 0ec70 0043e604 00020103 000043f3 04000764 .C........C....d + 0ec80 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 0ec90 00456908 5f696e69 74000000 43740223 .Ei._init...Ct.# + 0eca0 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue + 0ecb0 00000043 84022304 085f696e 69745f74 ...C..#.._init_t + 0ecc0 785f7175 65756500 00004394 02230808 x_queue...C..#.. + 0ecd0 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 0ece0 00000043 9d02230c 085f786d 69745f62 ...C..#.._xmit_b + 0ecf0 75660000 0043a602 2310085f 666c7573 uf...C..#.._flus + 0ed00 685f786d 69740000 00438402 2314085f h_xmit...C..#.._ + 0ed10 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 0ed20 43b30223 18085f72 65747572 6e5f7265 C..#.._return_re + 0ed30 63765f62 75660000 0043bc02 231c085f cv_buf...C..#.._ + 0ed40 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 0ed50 000043c9 02232008 5f737761 705f6461 ..C..# ._swap_da + 0ed60 74610000 0043d202 2324085f 6861735f ta...C..#$._has_ + 0ed70 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 0ed80 43df0223 28085f64 6573635f 64756d70 C..#(._desc_dump + 0ed90 00000043 8402232c 085f6765 745f7061 ...C..#,._get_pa + 0eda0 636b6574 00000043 ec022330 085f7265 cket...C..#0._re + 0edb0 636c6169 6d5f7061 636b6574 00000043 claim_packet...C + 0edc0 f5022334 085f7075 745f7061 636b6574 ..#4._put_packet + 0edd0 00000043 f5022338 08705265 73657276 ...C..#8.pReserv + 0ede0 65640000 00040a02 233c0009 5f415f63 ed......#<.._A_c + 0edf0 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 0ee00 5f746162 6c655f74 00000030 a809574d _table_t...0..WM + 0ee10 495f5356 435f4150 49530000 00411117 I_SVC_APIS...A.. + 0ee20 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 0ee30 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. + 0ee40 46970863 6d6e6f73 00000045 69022300 F..cmnos...Ei.#. + 0ee50 08646267 00000003 d70323b8 03086869 .dbg......#...hi + 0ee60 66000000 29510323 c0030868 74630000 f...)Q.#...htc.. + 0ee70 003ac403 23f80308 776d695f 7376635f .:..#...wmi_svc_ + 0ee80 61706900 0000458b 0323ac04 08757362 api...E..#...usb + 0ee90 6669666f 5f617069 00000032 7c0323d8 fifo_api...2|.#. + 0eea0 04086275 665f706f 6f6c0000 00372503 ..buf_pool...7%. + 0eeb0 23e40408 76627566 00000014 64032380 #...vbuf....d.#. + 0eec0 05087664 65736300 00001346 03239405 ..vdesc....F.#.. + 0eed0 08616c6c 6f637261 6d000000 093c0323 .allocram....<.# + 0eee0 a8050864 6d615f65 6e67696e 65000000 ...dma_engine... + 0eef0 43fc0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. + 0ef00 002be503 23f40508 6869665f 70636900 .+..#...hif_pci. + 0ef10 00002e45 0323a806 00095f41 5f6d6167 ...E.#...._A_mag + 0ef20 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 0ef30 7461626c 655f7400 0000459d 1a637469 table_t...E..cti + 0ef40 636b7300 00000921 05030050 095c011a cks....!...P.\.. + 0ef50 63757272 5f62616e 64000000 01150503 curr_band....... + 0ef60 00500960 01140400 00474e19 5359535f .P.`.....GN.SYS_ + 0ef70 4346475f 52454643 4c4b5f55 4e4b4e4f CFG_REFCLK_UNKNO + 0ef80 574e00ff ffffff0e 5359535f 4346475f WN......SYS_CFG_ + 0ef90 52454643 4c4b5f31 305f4d48 5a00000e REFCLK_10_MHZ... + 0efa0 5359535f 4346475f 52454643 4c4b5f32 SYS_CFG_REFCLK_2 + 0efb0 305f4d48 5a00010e 5359535f 4346475f 0_MHZ...SYS_CFG_ + 0efc0 52454643 4c4b5f34 305f4d48 5a000200 REFCLK_40_MHZ... + 0efd0 09415f72 6566636c 6b5f7370 6565645f .A_refclk_speed_ + 0efe0 74000000 46e30763 6d6e6f73 5f636c6f t...F..cmnos_clo + 0eff0 636b5f73 00140000 47eb0872 6566636c ck_s....G..refcl + 0f000 6b5f7370 65656400 0000474e 02230008 k_speed...GN.#.. + 0f010 7469636b 735f7065 725f7365 63000000 ticks_per_sec... + 0f020 09210223 0408706c 6c5f6374 726c5f35 .!.#..pll_ctrl_5 + 0f030 67687a00 00000921 02230808 706c6c5f ghz....!.#..pll_ + 0f040 6374726c 5f323467 687a0000 00092102 ctrl_24ghz....!. + 0f050 230c0870 6c6c5f73 6574746c 696e675f #..pll_settling_ + 0f060 74696d65 00000009 21022310 00050000 time....!.#..... + 0f070 47640500 0047eb1b 000047f0 01000048 Gd...G....G....H + 0f080 011c0005 000047f5 05000047 eb1b0000 ......G....G.... + 0f090 48060100 0048171c 001a636d 6e6f735f H....H....cmnos_ + 0f0a0 636c6f63 6b696e67 5f746162 6c650000 clocking_table.. + 0f0b0 00480105 03004e87 50010300 00476404 .H....N.P....Gd. + 0f0c0 001a636c 6f636b5f 696e666f 00000048 ..clock_info...H + 0f0d0 38050300 50096401 1a636d6e 6f735f73 8...P.d..cmnos_s + 0f0e0 6b69705f 706c6c5f 696e6974 00000001 kip_pll_init.... + 0f0f0 15050300 50096801 1a706c6c 5f637472 ....P.h..pll_ctr + 0f100 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. + 0f110 00000921 05030050 096c011a 706c6c5f ...!...P.l..pll_ + 0f120 6374726c 5f736574 74696e67 5f356768 ctrl_setting_5gh + 0f130 7a000000 09210503 00500970 01050000 z....!...P.p.... + 0f140 47eb0300 0048bb04 00030000 0ae40400 G....H.......... + 0f150 02011d01 6d636d6e 6f735f64 656c6179 ....mcmnos_delay + 0f160 5f757300 01010392 01200290 00008e1c _us...... ...... + 0f170 ac008e1c cc000049 301e016d 75730000 .......I0..mus.. + 0f180 00011501 521f7265 665f636c 6b000000 ....R.ref_clk... + 0f190 09211f73 74617274 5f74696d 65000000 .!.start_time... + 0f1a0 09211f6e 756d5f74 69636b73 00000004 .!.num_ticks.... + 0f1b0 0d002001 81636d6e 6f735f6d 696c6c69 .. ..cmnos_milli + 0f1c0 7365636f 6e647300 00000921 01010392 seconds....!.... + 0f1d0 01200290 00008e1c cc008e1c d920019a . ........... .. + 0f1e0 636d6e6f 735f7265 66636c6b 5f737065 cmnos_refclk_spe + 0f1f0 65645f67 65740000 00092101 01039201 ed_get....!..... + 0f200 20029000 008e1cdc 008e1ce8 2001a163 ........... ..c + 0f210 6d6e6f73 5f756172 745f6672 65717565 mnos_uart_freque + 0f220 6e637900 00000921 01010392 01200290 ncy....!..... .. + 0f230 00008e1c e8008e1c ed2101b5 636d6e6f .........!..cmno + 0f240 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. + 0f250 01010392 01200290 00008e1c f0008e1c ..... .......... + 0f260 f52101bc 636d6e6f 735f636c 6f636b72 .!..cmnos_clockr + 0f270 6567735f 696e6974 00010103 92012002 egs_init...... . + 0f280 9000008e 1cf8008e 1cfd1d01 c9636d6e .............cmn + 0f290 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set + 0f2a0 00010103 92012002 9000008e 1d00008e ...... ......... + 0f2b0 1d050000 4a491e01 c9776869 63685f62 ....JI...which_b + 0f2c0 616e6400 00000115 01520021 01d0636d and......R.!..cm + 0f2d0 6e6f735f 706c6c5f 696e6974 00010103 nos_pll_init.... + 0f2e0 92012002 9000008e 1d08008e 1d0d1d01 .. ............. + 0f2f0 d7636d6e 6f735f63 6c6f636b 5f696e69 .cmnos_clock_ini + 0f300 74000101 03920120 02900000 8e1d1000 t...... ........ + 0f310 8e1d4300 004ac11e 01d77265 665f636c ..C..J....ref_cl + 0f320 6b000000 09210152 1f690000 00040d1f k....!.R.i...... + 0f330 7469636b 735f7065 725f7365 63000000 ticks_per_sec... + 0f340 0921001d 01f4636d 6e6f735f 7469636b .!....cmnos_tick + 0f350 00010103 92012002 9000008e 1d44008e ...... ......D.. + 0f360 1d6a0000 4b1c226c 6173745f 7469636b .j..K."last_tick + 0f370 00000009 21050300 5009741f 63757272 ....!...P.t.curr + 0f380 656e745f 7469636b 00000009 211f6465 ent_tick....!.de + 0f390 6c74615f 7469636b 00000009 21002301 lta_tick....!.#. + 0f3a0 0115636d 6e6f735f 636c6f63 6b5f6d6f ..cmnos_clock_mo + 0f3b0 64756c65 5f696e73 74616c6c 00010103 dule_install.... + 0f3c0 92012002 9000008e 1d6c008e 1d9e2401 .. ......l....$. + 0f3d0 01157462 6c000000 48c70152 00000000 ..tbl...H..R.... + 0f3e0 004a0400 02000007 5404012f 726f6f74 .J......T../root + 0f3f0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0f400 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0f410 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + 0f420 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + 0f430 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + 0f440 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 0f450 6570726f 6d2f7372 632f636d 6e6f735f eprom/src/cmnos_ + 0f460 65657072 6f6d2e63 002f726f 6f742f57 eeprom.c./root/W + 0f470 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0f480 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0f490 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0f4a0 732f6565 70726f6d 0078742d 78636320 s/eeprom.xt-xcc + 0f4b0 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + 0f4c0 6c69676e 5f696e73 74727563 74696f6e lign_instruction + 0f4d0 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + 0f4e0 543a7370 61636500 01000000 41b00201 T:space.....A... + 0f4f0 03000001 0f040004 696e7400 05040463 ........int....c + 0f500 68617200 07010500 00011f05 0000011f har............. + 0f510 03000001 2c040006 00000118 01030000 ....,........... + 0f520 01380400 07707269 6e74665f 61706900 .8...printf_api. + 0f530 08000001 7c085f70 72696e74 665f696e ....|._printf_in + 0f540 69740000 00011102 2300085f 7072696e it......#.._prin + 0f550 74660000 00013e02 23040004 73686f72 tf....>.#...shor + 0f560 7420756e 7369676e 65642069 6e740007 t unsigned int.. + 0f570 02097569 6e743136 5f740000 00017c04 ..uint16_t....|. + 0f580 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 0f590 74000704 0975696e 7433325f 74000000 t....uint32_t... + 0f5a0 01a00775 6172745f 6669666f 00080000 ...uart_fifo.... + 0f5b0 020e0873 74617274 5f696e64 65780000 ...start_index.. + 0f5c0 00019202 23000865 6e645f69 6e646578 ....#..end_index + 0f5d0 00000001 92022302 086f7665 7272756e ......#..overrun + 0f5e0 5f657272 00000001 b5022304 00077561 _err......#...ua + 0f5f0 72745f61 70690020 000002c7 085f7561 rt_api. ....._ua + 0f600 72745f69 6e697400 0000031e 02230008 rt_init......#.. + 0f610 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + 0f620 00034502 2304085f 75617274 5f636861 ..E.#.._uart_cha + 0f630 725f6765 74000000 03590223 08085f75 r_get....Y.#.._u + 0f640 6172745f 7374725f 6f757400 00000362 art_str_out....b + 0f650 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. + 0f660 00011102 2310085f 75617274 5f737461 ....#.._uart_sta + 0f670 74757300 0000031e 02231408 5f756172 tus......#.._uar + 0f680 745f636f 6e666967 00000003 6b022318 t_config....k.#. + 0f690 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... + 0f6a0 03740223 1c000300 00020e04 00077561 .t.#..........ua + 0f6b0 72745f62 6c6b0010 00000318 08646562 rt_blk.......deb + 0f6c0 75675f6d 6f646500 00000192 02230008 ug_mode......#.. + 0f6d0 62617564 00000001 92022302 085f7561 baud......#.._ua + 0f6e0 72740000 0002c702 2304085f 74780000 rt......#.._tx.. + 0f6f0 0001c302 23080006 000001b5 01030000 ....#........... + 0f700 03180400 04756e73 69676e65 64206368 .....unsigned ch + 0f710 61720007 01097569 6e74385f 74000000 ar....uint8_t... + 0f720 03250201 03000003 43040003 00000336 .%......C......6 + 0f730 04000600 00019201 03000003 53040002 ............S... + 0f740 01030000 03600400 02010300 00036904 .....`........i. + 0f750 00020103 00000372 04000300 00011f04 .......r........ + 0f760 00060000 01180103 00000382 04000744 ...............D + 0f770 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT + 0f780 000c0000 03da0863 6d645f73 74720000 .......cmd_str.. + 0f790 00037b02 23000868 656c705f 73747200 ..{.#..help_str. + 0f7a0 0000037b 02230408 636d645f 66756e63 ...{.#..cmd_func + 0f7b0 00000003 88022308 00076462 675f6170 ......#...dbg_ap + 0f7c0 69000800 00040d08 5f646267 5f696e69 i......._dbg_ini + 0f7d0 74000000 01110223 00085f64 62675f74 t......#.._dbg_t + 0f7e0 61736b00 00000111 02230400 0a040004 ask......#...... + 0f7f0 756e7369 676e6564 20696e74 00070406 unsigned int.... + 0f800 0000040d 01030000 04200400 0b0b0300 ......... ...... + 0f810 00042e04 00060000 040d0103 00000436 ...............6 + 0f820 04000600 00011801 03000004 43040007 ............C... + 0f830 6d656d5f 61706900 14000004 b2085f6d mem_api......._m + 0f840 656d5f69 6e697400 00000111 02230008 em_init......#.. + 0f850 5f6d656d 73657400 00000426 02230408 _memset....&.#.. + 0f860 5f6d656d 63707900 0000043c 02230808 _memcpy....<.#.. + 0f870 5f6d656d 6d6f7665 00000004 3c02230c _memmove....<.#. + 0f880 085f6d65 6d636d70 00000004 49022310 ._memcmp....I.#. + 0f890 000c7265 67697374 65725f64 756d705f ..register_dump_ + 0f8a0 73000001 03000004 b2040002 01030000 s............... + 0f8b0 04cc0400 02010300 0004d504 00060000 ................ + 0f8c0 01180103 000004de 04000d68 6f737469 ...........hosti + 0f8d0 665f7300 04000005 3a0e4849 465f5553 f_s.....:.HIF_US + 0f8e0 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H + 0f8f0 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC + 0f900 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI + 0f910 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST + 0f920 49460000 0004eb06 0000053a 01030000 IF.........:.... + 0f930 05480400 06000003 36010300 00055504 .H......6.....U. + 0f940 00060000 01920103 00000562 0400076d ...........b...m + 0f950 6973635f 61706900 24000006 52085f73 isc_api.$...R._s + 0f960 79737465 6d5f7265 73657400 00000111 ystem_reset..... + 0f970 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. + 0f980 00011102 2304085f 61737366 61696c00 ....#.._assfail. + 0f990 000004ce 02230808 5f6d6973 616c6967 .....#.._misalig + 0f9a0 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler + 0f9b0 00000004 ce02230c 085f7265 706f7274 ......#.._report + 0f9c0 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host + 0f9d0 00000004 d7022310 085f7461 72676574 ......#.._target + 0f9e0 5f69645f 67657400 000004e4 02231408 _id_get......#.. + 0f9f0 5f69735f 686f7374 5f707265 73656e74 _is_host_present + 0fa00 00000005 4e022318 085f6b62 68697400 ....N.#.._kbhit. + 0fa10 0000055b 02231c08 5f726f6d 5f766572 ...[.#.._rom_ver + 0fa20 73696f6e 5f676574 00000005 68022320 sion_get....h.# + 0fa30 00060000 037b0103 00000652 04000600 .....{.....R.... + 0fa40 00037b01 03000006 5f040006 00000118 ..{....._....... + 0fa50 01030000 066c0400 06000001 18010300 .....l.......... + 0fa60 00067904 00060000 01180103 00000686 ..y............. + 0fa70 04000773 7472696e 675f6170 69001800 ...string_api... + 0fa80 00070c08 5f737472 696e675f 696e6974 ...._string_init + 0fa90 00000001 11022300 085f7374 72637079 ......#.._strcpy + 0faa0 00000006 58022304 085f7374 726e6370 ....X.#.._strncp + 0fab0 79000000 06650223 08085f73 74726c65 y....e.#.._strle + 0fac0 6e000000 06720223 0c085f73 7472636d n....r.#.._strcm + 0fad0 70000000 067f0223 10085f73 74726e63 p......#.._strnc + 0fae0 6d700000 00068c02 2314000f 00000410 mp......#....... + 0faf0 14000007 19100400 095f415f 54494d45 ........._A_TIME + 0fb00 525f5350 41434500 0000070c 09415f74 R_SPACE......A_t + 0fb10 696d6572 5f740000 00071903 0000072d imer_t.........- + 0fb20 04000201 03000007 43040002 01030000 ........C....... + 0fb30 074c0400 09415f48 414e444c 45000000 .L...A_HANDLE... + 0fb40 04100201 09415f54 494d4552 5f46554e .....A_TIMER_FUN + 0fb50 43000000 07630300 00076504 00020103 C....c....e..... + 0fb60 0000077e 04000774 696d6572 5f617069 ...~...timer_api + 0fb70 00140000 07fd085f 74696d65 725f696e ......._timer_in + 0fb80 69740000 00011102 2300085f 74696d65 it......#.._time + 0fb90 725f6172 6d000000 07450223 04085f74 r_arm....E.#.._t + 0fba0 696d6572 5f646973 61726d00 0000074e imer_disarm....N + 0fbb0 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn + 0fbc0 00000007 8002230c 085f7469 6d65725f ......#.._timer_ + 0fbd0 72756e00 00000111 02231000 09424f4f run......#...BOO + 0fbe0 4c45414e 00000001 92060000 07fd0103 LEAN............ + 0fbf0 0000080a 04000600 0007fd01 03000008 ................ + 0fc00 17040006 000007fd 01030000 08240400 .............$.. + 0fc10 07726f6d 705f6170 69001000 00089608 .romp_api....... + 0fc20 5f726f6d 705f696e 69740000 00011102 _romp_init...... + 0fc30 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa + 0fc40 64000000 08100223 04085f72 6f6d705f d......#.._romp_ + 0fc50 696e7374 616c6c00 0000081d 02230808 install......#.. + 0fc60 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... + 0fc70 2a02230c 0007726f 6d5f7061 7463685f *.#...rom_patch_ + 0fc80 73740010 000008f2 08637263 31360000 st.......crc16.. + 0fc90 00019202 2300086c 656e0000 00019202 ....#..len...... + 0fca0 2302086c 645f6164 64720000 0001b502 #..ld_addr...... + 0fcb0 23040866 756e5f61 64647200 000001b5 #..fun_addr..... + 0fcc0 02230808 7066756e 00000003 4c02230c .#..pfun....L.#. + 0fcd0 00076565 705f7265 6469725f 61646472 ..eep_redir_addr + 0fce0 00040000 0924086f 66667365 74000000 .....$.offset... + 0fcf0 01920223 00087369 7a650000 00019202 ...#..size...... + 0fd00 23020009 415f5549 4e543332 00000004 #...A_UINT32.... + 0fd10 10060000 040d0103 00000932 04000761 ...........2...a + 0fd20 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... + 0fd30 a308636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 0fd40 5f696e69 74000000 09380223 0008636d _init....8.#..cm + 0fd50 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... + 0fd60 38022304 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc + 0fd70 72616d5f 64656275 67000000 01110223 ram_debug......# + 0fd80 08000201 03000009 a3040009 415f5441 ............A_TA + 0fd90 534b4c45 545f4655 4e430000 0009a507 SKLET_FUNC...... + 0fda0 5f746173 6b6c6574 00100000 0a040866 _tasklet.......f + 0fdb0 756e6300 000009ac 02230008 61726700 unc......#..arg. + 0fdc0 0000040d 02230408 73746174 65000000 .....#..state... + 0fdd0 01180223 08086e65 78740000 000a0402 ...#..next...... + 0fde0 230c0003 000009c0 04000300 0009c004 #............... + 0fdf0 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... + 0fe00 09c00300 000a1204 00020103 00000a2a ...............* + 0fe10 04000201 0300000a 33040007 7461736b ........3...task + 0fe20 6c65745f 61706900 1400000a c8085f74 let_api......._t + 0fe30 61736b6c 65745f69 6e697400 00000111 asklet_init..... + 0fe40 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini + 0fe50 745f7461 736b0000 000a2c02 2304085f t_task....,.#.._ + 0fe60 7461736b 6c65745f 64697361 626c6500 tasklet_disable. + 0fe70 00000a35 02230808 5f746173 6b6c6574 ...5.#.._tasklet + 0fe80 5f736368 6564756c 65000000 0a350223 _schedule....5.# + 0fe90 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. + 0fea0 00011102 23100002 01030000 0ac80400 ....#........... + 0feb0 06000009 24010300 000ad104 00020103 ....$........... + 0fec0 00000ade 04000763 6c6f636b 5f617069 .......clock_api + 0fed0 00240000 0bc0085f 636c6f63 6b5f696e .$....._clock_in + 0fee0 69740000 000aca02 2300085f 636c6f63 it......#.._cloc + 0fef0 6b726567 735f696e 69740000 00011102 kregs_init...... + 0ff00 2304085f 75617274 5f667265 7175656e #.._uart_frequen + 0ff10 63790000 000ad702 2308085f 64656c61 cy......#.._dela + 0ff20 795f7573 0000000a e002230c 085f776c y_us......#.._wl + 0ff30 616e5f62 616e645f 73657400 00000ae0 an_band_set..... + 0ff40 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee + 0ff50 645f6765 74000000 0ad70223 14085f6d d_get......#.._m + 0ff60 696c6c69 7365636f 6e647300 00000ad7 illiseconds..... + 0ff70 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan + 0ff80 67650000 00011102 231c085f 636c6f63 ge......#.._cloc + 0ff90 6b5f7469 636b0000 00011102 23200006 k_tick......# .. + 0ffa0 000001b5 01030000 0bc00400 09415f6f .............A_o + 0ffb0 6c645f69 6e74725f 74000000 01b50600 ld_intr_t....... + 0ffc0 000bcd01 0300000b df040002 01030000 ................ + 0ffd0 0bec0400 02010300 000bf504 00060000 ................ + 0ffe0 01b50103 00000bfe 04000941 5f697372 ...........A_isr + 0fff0 5f740000 000c0402 01030000 0c180400 _t.............. + 10000 06000004 10010300 000c2104 00020103 ..........!..... + 10010 00000c2e 04000769 6e74725f 61706900 .......intr_api. + 10020 2c00000d 50085f69 6e74725f 696e6974 ,...P._intr_init + 10030 00000001 11022300 085f696e 74725f69 ......#.._intr_i + 10040 6e766f6b 655f6973 72000000 0bc60223 nvoke_isr......# + 10050 04085f69 6e74725f 64697361 626c6500 .._intr_disable. + 10060 00000be5 02230808 5f696e74 725f7265 .....#.._intr_re + 10070 73746f72 65000000 0bee0223 0c085f69 store......#.._i + 10080 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... + 10090 0bf70223 10085f69 6e74725f 756e6d61 ...#.._intr_unma + 100a0 736b5f69 6e756d00 00000bf7 02231408 sk_inum......#.. + 100b0 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr + 100c0 0000000c 1a022318 085f6765 745f696e ......#.._get_in + 100d0 7472656e 61626c65 0000000c 2702231c trenable....'.#. + 100e0 085f7365 745f696e 7472656e 61626c65 ._set_intrenable + 100f0 0000000c 30022320 085f6765 745f696e ....0.# ._get_in + 10100 74727065 6e64696e 67000000 0c270223 trpending....'.# + 10110 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i + 10120 6e74726c 766c0000 00011102 23280011 ntrlvl......#(.. + 10130 0400000d 76087469 6d656f75 74000000 ....v.timeout... + 10140 01b50223 00086163 74696f6e 00000001 ...#..action.... + 10150 b5022300 00120800 000d9108 636d6400 ..#.........cmd. + 10160 000001b5 02230013 00000d50 02230400 .....#.....P.#.. + 10170 09545f57 44545f43 4d440000 000d7602 .T_WDT_CMD....v. + 10180 01030000 0da00400 14040000 0df60e45 ...............E + 10190 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E + 101a0 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... + 101b0 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. + 101c0 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO + 101d0 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP + 101e0 45000000 0da90600 000df601 0300000e E............... + 101f0 07040007 7764745f 61706900 1c00000e ....wdt_api..... + 10200 ab085f77 64745f69 6e697400 00000111 .._wdt_init..... + 10210 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. + 10220 00000111 02230408 5f776474 5f646973 .....#.._wdt_dis + 10230 61626c65 00000001 11022308 085f7764 able......#.._wd + 10240 745f7365 74000000 0da20223 0c085f77 t_set......#.._w + 10250 64745f74 61736b00 00000111 02231008 dt_task......#.. + 10260 5f776474 5f726573 65740000 00011102 _wdt_reset...... + 10270 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo + 10280 74000000 0e0d0223 18001404 00000f12 t......#........ + 10290 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R + 102a0 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE + 102b0 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE + 102c0 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... + 102d0 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW + 102e0 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. + 102f0 0009545f 4545505f 52455400 00000eab ..T_EEP_RET..... + 10300 03000001 92040006 00000f12 01030000 ................ + 10310 0f280400 0600000f 12010300 000f3504 .(............5. + 10320 00076565 705f6170 69001000 000f9e08 ..eep_api....... + 10330 5f656570 5f696e69 74000000 01110223 _eep_init......# + 10340 00085f65 65705f72 65616400 00000f2e .._eep_read..... + 10350 02230408 5f656570 5f777269 74650000 .#.._eep_write.. + 10360 000f2e02 2308085f 6565705f 69735f65 ....#.._eep_is_e + 10370 78697374 0000000f 3b02230c 00077573 xist....;.#...us + 10380 625f6170 69007000 00124b08 5f757362 b_api.p...K._usb + 10390 5f696e69 74000000 01110223 00085f75 _init......#.._u + 103a0 73625f72 6f6d5f74 61736b00 00000111 sb_rom_task..... + 103b0 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task + 103c0 00000001 11022308 085f7573 625f696e ......#.._usb_in + 103d0 69745f70 68790000 00011102 230c085f it_phy......#.._ + 103e0 7573625f 6570305f 73657475 70000000 usb_ep0_setup... + 103f0 01110223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t + 10400 78000000 01110223 14085f75 73625f65 x......#.._usb_e + 10410 70305f72 78000000 01110223 18085f75 p0_rx......#.._u + 10420 73625f67 65745f69 6e746572 66616365 sb_get_interface + 10430 00000008 1d02231c 085f7573 625f7365 ......#.._usb_se + 10440 745f696e 74657266 61636500 0000081d t_interface..... + 10450 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con + 10460 66696775 72617469 6f6e0000 00081d02 figuration...... + 10470 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf + 10480 69677572 6174696f 6e000000 081d0223 iguration......# + 10490 28085f75 73625f73 74616e64 6172645f (._usb_standard_ + 104a0 636d6400 0000081d 02232c08 5f757362 cmd......#,._usb + 104b0 5f76656e 646f725f 636d6400 00000111 _vendor_cmd..... + 104c0 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o + 104d0 66660000 00011102 2334085f 7573625f ff......#4._usb_ + 104e0 72657365 745f6669 666f0000 00011102 reset_fifo...... + 104f0 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. + 10500 00000111 02233c08 5f757362 5f6a756d .....#<._usb_jum + 10510 705f626f 6f740000 00011102 2340085f p_boot......#@._ + 10520 7573625f 636c725f 66656174 75726500 usb_clr_feature. + 10530 0000081d 02234408 5f757362 5f736574 .....#D._usb_set + 10540 5f666561 74757265 00000008 1d022348 _feature......#H + 10550 085f7573 625f7365 745f6164 64726573 ._usb_set_addres + 10560 73000000 081d0223 4c085f75 73625f67 s......#L._usb_g + 10570 65745f64 65736372 6970746f 72000000 et_descriptor... + 10580 081d0223 50085f75 73625f67 65745f73 ...#P._usb_get_s + 10590 74617475 73000000 081d0223 54085f75 tatus......#T._u + 105a0 73625f73 65747570 5f646573 63000000 sb_setup_desc... + 105b0 01110223 58085f75 73625f72 65675f6f ...#X._usb_reg_o + 105c0 75740000 00011102 235c085f 7573625f ut......#\._usb_ + 105d0 73746174 75735f69 6e000000 01110223 status_in......# + 105e0 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da + 105f0 74610000 00011102 2364085f 7573625f ta......#d._usb_ + 10600 6570305f 72785f64 61746100 00000111 ep0_rx_data..... + 10610 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini + 10620 74000000 01110223 6c00075f 56444553 t......#l.._VDES + 10630 43002400 0012d708 6e657874 5f646573 C.$.....next_des + 10640 63000000 12d70223 00086275 665f6164 c......#..buf_ad + 10650 64720000 0012eb02 23040862 75665f73 dr......#..buf_s + 10660 697a6500 000012f2 02230808 64617461 ize......#..data + 10670 5f6f6666 73657400 000012f2 02230a08 _offset......#.. + 10680 64617461 5f73697a 65000000 12f20223 data_size......# + 10690 0c08636f 6e74726f 6c000000 12f20223 ..control......# + 106a0 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... + 106b0 13000223 10000300 00124b04 0009415f ...#......K...A_ + 106c0 55494e54 38000000 03250300 0012de04 UINT8....%...... + 106d0 0009415f 55494e54 31360000 00017c0f ..A_UINT16....|. + 106e0 000012de 14000013 0d101300 03000012 ................ + 106f0 4b040009 56444553 43000000 124b0300 K...VDESC....K.. + 10700 00131404 00060000 131f0103 00001326 ...............& + 10710 04000600 0012eb01 03000013 33040002 ............3... + 10720 01030000 13400400 07766465 73635f61 .....@...vdesc_a + 10730 70690014 000013b8 085f696e 69740000 pi......._init.. + 10740 000ae002 2300085f 616c6c6f 635f7664 ....#.._alloc_vd + 10750 65736300 0000132c 02230408 5f676574 esc....,.#.._get + 10760 5f68775f 64657363 00000013 39022308 _hw_desc....9.#. + 10770 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... + 10780 4202230c 08705265 73657276 65640000 B.#..pReserved.. + 10790 00040d02 23100007 5f564255 46002000 ....#..._VBUF. . + 107a0 00141808 64657363 5f6c6973 74000000 ....desc_list... + 107b0 131f0223 00086e65 78745f62 75660000 ...#..next_buf.. + 107c0 00141802 23040862 75665f6c 656e6774 ....#..buf_lengt + 107d0 68000000 12f20223 08087265 73657276 h......#..reserv + 107e0 65640000 00141f02 230a0863 74780000 ed......#..ctx.. + 107f0 00130002 230c0003 000013b8 04000f00 ....#........... + 10800 0012de02 0000142c 10010003 000013b8 .......,........ + 10810 04000956 42554600 000013b8 03000014 ...VBUF......... + 10820 33040006 0000143d 01030000 14440400 3......=.....D.. + 10830 06000014 3d010300 00145104 00020103 ....=.....Q..... + 10840 0000145e 04000776 6275665f 61706900 ...^...vbuf_api. + 10850 14000014 dc085f69 6e697400 00000ae0 ......_init..... + 10860 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. + 10870 0000144a 02230408 5f616c6c 6f635f76 ...J.#.._alloc_v + 10880 6275665f 77697468 5f73697a 65000000 buf_with_size... + 10890 14570223 08085f66 7265655f 76627566 .W.#.._free_vbuf + 108a0 00000014 6002230c 08705265 73657276 ....`.#..pReserv + 108b0 65640000 00040d02 23100007 5f5f6164 ed......#...__ad + 108c0 665f6465 76696365 00040000 14fe0864 f_device.......d + 108d0 756d6d79 00000001 18022300 00030000 ummy......#..... + 108e0 09240400 075f5f61 64665f64 6d615f6d .$...__adf_dma_m + 108f0 6170000c 00001545 08627566 00000014 ap.....E.buf.... + 10900 3d022300 0864735f 61646472 00000014 =.#..ds_addr.... + 10910 fe022304 0864735f 6c656e00 000012f2 ..#..ds_len..... + 10920 02230800 120c0000 157f085f 5f76615f .#.........__va_ + 10930 73746b00 0000037b 02230008 5f5f7661 stk....{.#..__va + 10940 5f726567 00000003 7b022304 085f5f76 _reg....{.#..__v + 10950 615f6e64 78000000 01180223 0800095f a_ndx......#..._ + 10960 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 10970 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d + 10980 6d615f61 6464725f 74000000 157f095f ma_addr_t......_ + 10990 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 109a0 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d + 109b0 6d615f73 697a655f 74000000 15af075f ma_size_t......_ + 109c0 5f646d61 5f736567 73000800 00160b08 _dma_segs....... + 109d0 70616464 72000000 15980223 00086c65 paddr......#..le + 109e0 6e000000 15c80223 0400095f 5f615f75 n......#...__a_u + 109f0 696e7433 325f7400 00000924 09615f75 int32_t....$.a_u + 10a00 696e7433 325f7400 0000160b 0f000015 int32_t......... + 10a10 df080000 163a1000 00076164 665f6f73 .....:....adf_os + 10a20 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 10a30 1673086e 73656773 00000016 1d022300 .s.nsegs......#. + 10a40 08646d61 5f736567 73000000 162d0223 .dma_segs....-.# + 10a50 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 10a60 0012de09 615f7569 6e74385f 74000000 ....a_uint8_t... + 10a70 16730300 00168404 00075f5f 73675f73 .s........__sg_s + 10a80 65677300 08000016 c5087661 64647200 egs.......vaddr. + 10a90 00001693 02230008 6c656e00 0000161d .....#..len..... + 10aa0 02230400 0f000016 9a200000 16d21003 .#....... ...... + 10ab0 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 10ac0 24000017 05086e73 65677300 0000161d $.....nsegs..... + 10ad0 02230008 73675f73 65677300 000016c5 .#..sg_segs..... + 10ae0 02230400 12100000 174e0876 656e646f .#.......N.vendo + 10af0 72000000 161d0223 00086465 76696365 r......#..device + 10b00 00000016 1d022304 08737562 76656e64 ......#..subvend + 10b10 6f720000 00161d02 23080873 75626465 or......#..subde + 10b20 76696365 00000016 1d02230c 00046c6f vice......#...lo + 10b30 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 10b40 20696e74 00070809 415f5549 4e543634 int....A_UINT64 + 10b50 00000017 4e095f5f 615f7569 6e743634 ....N.__a_uint64 + 10b60 5f740000 00176809 615f7569 6e743634 _t....h.a_uint64 + 10b70 5f740000 00177614 04000017 d40e4144 _t....v.......AD + 10b80 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 10b90 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 10ba0 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 10bb0 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou + 10bc0 7263655f 74797065 5f740000 00179812 rce_type_t...... + 10bd0 18000018 1e087374 61727400 00001788 ......start..... + 10be0 02230008 656e6400 00001788 02230808 .#..end......#.. + 10bf0 74797065 00000017 d4022310 00096164 type......#...ad + 10c00 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 10c10 74000000 17050300 00181e04 00110400 t............... + 10c20 00185d08 70636900 00001837 02230008 ..].pci....7.#.. + 10c30 72617700 0000040d 02230000 11100000 raw......#...... + 10c40 187c0870 63690000 00181e02 23000872 .|.pci......#..r + 10c50 61770000 00040d02 23000009 6164665f aw......#...adf_ + 10c60 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... + 10c70 0d096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 10c80 655f7400 000017f0 03000018 92040009 e_t............. + 10c90 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 10ca0 74615f74 00000018 5d030000 18b00400 ta_t....]....... + 10cb0 03000014 dc040009 5f5f6164 665f6f73 ........__adf_os + 10cc0 5f646576 6963655f 74000000 18d10961 _device_t......a + 10cd0 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 10ce0 0018d806 0000187c 01030000 19040400 .......|........ + 10cf0 02010300 00191104 00096164 665f6f73 ..........adf_os + 10d00 5f706d5f 74000000 040d0201 03000019 _pm_t........... + 10d10 2b040014 04000019 6b0e4144 465f4f53 +.......k.ADF_OS + 10d20 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 10d30 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 10d40 47454e45 52494300 02000961 64665f6f GENERIC....adf_o + 10d50 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... + 10d60 34096164 665f6f73 5f627573 5f726567 4.adf_os_bus_reg + 10d70 5f646174 615f7400 0000183e 03000003 _data_t....>.... + 10d80 25040007 5f616466 5f647276 5f696e66 %..._adf_drv_inf + 10d90 6f002000 001a4808 6472765f 61747461 o. ...H.drv_atta + 10da0 63680000 00190a02 23000864 72765f64 ch......#..drv_d + 10db0 65746163 68000000 19130223 04086472 etach......#..dr + 10dc0 765f7375 7370656e 64000000 192d0223 v_suspend....-.# + 10dd0 08086472 765f7265 73756d65 00000019 ..drv_resume.... + 10de0 1302230c 08627573 5f747970 65000000 ..#..bus_type... + 10df0 196b0223 10086275 735f6461 74610000 .k.#..bus_data.. + 10e00 00198202 2314086d 6f645f6e 616d6500 ....#..mod_name. + 10e10 0000199d 02231808 69666e61 6d650000 .....#..ifname.. + 10e20 00199d02 231c0009 6164665f 6f735f68 ....#...adf_os_h + 10e30 616e646c 655f7400 0000040d 03000016 andle_t......... + 10e40 73040002 01020109 5f5f6164 665f6f73 s.......__adf_os + 10e50 5f73697a 655f7400 00000410 14040000 _size_t......... + 10e60 1a970e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 10e70 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. + 10e80 00001a7d 03000015 05040009 5f5f6164 ...}........__ad + 10e90 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 10ea0 001aa502 010d6164 665f6f73 5f636163 ......adf_os_cac + 10eb0 68655f73 796e6300 0400001b 2f0e4144 he_sync...../.AD + 10ec0 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 10ed0 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 10ee0 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 10ef0 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 10f00 435f504f 53545752 49544500 03000961 C_POSTWRITE....a + 10f10 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 10f20 5f740000 001ac602 01096164 665f6f73 _t........adf_os + 10f30 5f73697a 655f7400 00001a68 0600001b _size_t....h.... + 10f40 4a010961 64665f6f 735f646d 615f6d61 J..adf_os_dma_ma + 10f50 705f7400 00001aac 0300001b 63040006 p_t.........c... + 10f60 0000040d 01030000 1aac0400 06000004 ................ + 10f70 0d010201 06000015 98010201 0473686f .............sho + 10f80 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 + 10f90 36000000 1b9d095f 5f615f69 6e743136 6......__a_int16 + 10fa0 5f740000 001baa09 615f696e 7431365f _t......a_int16_ + 10fb0 74000000 1bb70473 69676e65 64206368 t......signed ch + 10fc0 61720005 0109415f 494e5438 0000001b ar....A_INT8.... + 10fd0 d7095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... + 10fe0 e609615f 696e7438 5f740000 001bf212 ..a_int8_t...... + 10ff0 0c00001c 69087375 70706f72 74656400 ....i.supported. + 11000 0000161d 02230008 61647665 7274697a .....#..advertiz + 11010 65640000 00161d02 23040873 70656564 ed......#..speed + 11020 0000001b c8022308 08647570 6c657800 ......#..duplex. + 11030 00001c02 02230a08 6175746f 6e656700 .....#..autoneg. + 11040 00001684 02230b00 0f000016 84060000 .....#.......... + 11050 1c761005 00076164 665f6e65 745f6574 .v....adf_net_et + 11060 68616464 72000600 001c9a08 61646472 haddr.......addr + 11070 0000001c 69022300 00095f5f 615f7569 ....i.#...__a_ui + 11080 6e743136 5f740000 0012f209 615f7569 nt16_t......a_ui + 11090 6e743136 5f740000 001c9a12 0e00001c nt16_t.......... + 110a0 fe086574 6865725f 64686f73 74000000 ..ether_dhost... + 110b0 1c690223 00086574 6865725f 73686f73 .i.#..ether_shos + 110c0 74000000 1c690223 06086574 6865725f t....i.#..ether_ + 110d0 74797065 0000001c ac02230c 00121400 type......#..... + 110e0 001dbf15 69705f76 65727369 6f6e0000 ....ip_version.. + 110f0 00168401 00040223 00156970 5f686c00 .......#..ip_hl. + 11100 00001684 01040402 23000869 705f746f ........#..ip_to + 11110 73000000 16840223 01086970 5f6c656e s......#..ip_len + 11120 0000001c ac022302 0869705f 69640000 ......#..ip_id.. + 11130 001cac02 23040869 705f6672 61675f6f ....#..ip_frag_o + 11140 66660000 001cac02 23060869 705f7474 ff......#..ip_tt + 11150 6c000000 16840223 08086970 5f70726f l......#..ip_pro + 11160 746f0000 00168402 23090869 705f6368 to......#..ip_ch + 11170 65636b00 00001cac 02230a08 69705f73 eck......#..ip_s + 11180 61646472 00000016 1d02230c 0869705f addr......#..ip_ + 11190 64616464 72000000 161d0223 10000761 daddr......#...a + 111a0 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 111b0 00001e11 08747069 64000000 1cac0223 .....tpid......# + 111c0 00157072 696f0000 00168401 00030223 ..prio.........# + 111d0 02156366 69000000 16840103 01022302 ..cfi.........#. + 111e0 15766964 0000001c ac02040c 02230200 .vid.........#.. + 111f0 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 11200 1e421572 65730000 00168401 00040223 .B.res.........# + 11210 00157661 6c000000 1cac0204 0c022300 ..val.........#. + 11220 00120c00 001e7e08 72785f62 75667369 ......~.rx_bufsi + 11230 7a650000 00161d02 23000872 785f6e64 ze......#..rx_nd + 11240 65736300 0000161d 02230408 74785f6e esc......#..tx_n + 11250 64657363 00000016 1d022308 00120800 desc......#..... + 11260 001ea408 706f6c6c 65640000 001a9702 ....polled...... + 11270 23000870 6f6c6c5f 77740000 00161d02 #..poll_wt...... + 11280 2304000f 00001684 4000001e b1103f00 #.......@.....?. + 11290 12460000 1ed90869 665f6e61 6d650000 .F.....if_name.. + 112a0 001ea402 23000864 65765f61 64647200 ....#..dev_addr. + 112b0 00001c69 02234000 14040000 1f100e41 ...i.#@........A + 112c0 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 112d0 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 112e0 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... + 112f0 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 11300 74000000 1ed90761 64665f64 6d615f69 t......adf_dma_i + 11310 6e666f00 0800001f 5d08646d 615f6d61 nfo.....].dma_ma + 11320 736b0000 001f1002 23000873 675f6e73 sk......#..sg_ns + 11330 65677300 0000161d 02230400 14040000 egs......#...... + 11340 1fb30e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 11350 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 11360 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 11370 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 11380 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 11390 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 113a0 5f747970 655f7400 00001f5d 12080000 _type_t....].... + 113b0 1ff60874 785f636b 73756d00 00001fb3 ...tx_cksum..... + 113c0 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... + 113d0 b3022304 00096164 665f6e65 745f636b ..#...adf_net_ck + 113e0 73756d5f 696e666f 5f740000 001fcd14 sum_info_t...... + 113f0 04000020 4f0e4144 465f4e45 545f5453 ... O.ADF_NET_TS + 11400 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 11410 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 11420 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a + 11430 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 11440 74000000 20101210 000020a3 08636b73 t... ..... ..cks + 11450 756d5f63 61700000 001ff602 23000874 um_cap......#..t + 11460 736f0000 00204f02 23080876 6c616e5f so... O.#..vlan_ + 11470 73757070 6f727465 64000000 16840223 supported......# + 11480 0c001220 0000213c 0874785f 7061636b ... ..!<.tx_pack + 11490 65747300 0000161d 02230008 72785f70 ets......#..rx_p + 114a0 61636b65 74730000 00161d02 23040874 ackets......#..t + 114b0 785f6279 74657300 0000161d 02230808 x_bytes......#.. + 114c0 72785f62 79746573 00000016 1d02230c rx_bytes......#. + 114d0 0874785f 64726f70 70656400 0000161d .tx_dropped..... + 114e0 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. + 114f0 00161d02 23140872 785f6572 726f7273 ....#..rx_errors + 11500 00000016 1d022318 0874785f 6572726f ......#..tx_erro + 11510 72730000 00161d02 231c0009 6164665f rs......#...adf_ + 11520 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 11530 1c761600 00213c03 00000021 61107f00 .v...!<....!a... + 11540 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 11550 64647200 03040000 2198086e 656c656d ddr.....!..nelem + 11560 00000016 1d022300 086d6361 73740000 ......#..mcast.. + 11570 00215302 23040009 6164665f 6e65745f .!S.#...adf_net_ + 11580 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 11590 00001c10 09616466 5f6e6574 5f636d64 .....adf_net_cmd + 115a0 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... + 115b0 7e096164 665f6e65 745f636d 645f636b ~.adf_net_cmd_ck + 115c0 73756d5f 696e666f 5f740000 001ff609 sum_info_t...... + 115d0 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 115e0 5f696e66 6f5f7400 00001e42 09616466 _info_t....B.adf + 115f0 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 11600 6f5f7400 00001f27 09616466 5f6e6574 o_t....'.adf_net + 11610 5f636d64 5f766964 5f740000 001cac09 _cmd_vid_t...... + 11620 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 11630 6f61645f 6361705f 74000000 20670961 oad_cap_t... g.a + 11640 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 11650 5f740000 0020a309 6164665f 6e65745f _t... ..adf_net_ + 11660 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! + 11670 610d6164 665f6e65 745f636d 645f6d63 a.adf_net_cmd_mc + 11680 6173745f 63617000 04000022 da0e4144 ast_cap...."..AD + 11690 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 116a0 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 116b0 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne + 116c0 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 116d0 74000000 22921803 04000023 ac086c69 t..."......#..li + 116e0 6e6b5f69 6e666f00 00002198 02230008 nk_info...!..#.. + 116f0 706f6c6c 5f696e66 6f000000 21b50223 poll_info...!..# + 11700 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! + 11710 d2022300 0872696e 675f696e 666f0000 ..#..ring_info.. + 11720 0021f002 23000864 6d615f69 6e666f00 .!..#..dma_info. + 11730 0000220d 02230008 76696400 00002229 .."..#..vid...") + 11740 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. + 11750 00002240 02230008 73746174 73000000 .."@.#..stats... + 11760 225f0223 00086d63 6173745f 696e666f "_.#..mcast_info + 11770 00000022 78022300 086d6361 73745f63 ..."x.#..mcast_c + 11780 61700000 0022da02 23000014 04000024 ap..."..#......$ + 11790 030e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 117a0 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 117b0 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 117c0 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 117d0 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 117e0 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 117f0 6b73756d 5f747970 655f7400 000023ac ksum_type_t...#. + 11800 12080000 24430872 6573756c 74000000 ....$C.result... + 11810 24030223 00087661 6c000000 161d0223 $..#..val......# + 11820 04001208 00002473 08747970 65000000 ......$s.type... + 11830 204f0223 00086d73 73000000 1cac0223 O.#..mss......# + 11840 04086864 725f6f66 66000000 16840223 ..hdr_off......# + 11850 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 11860 65616400 0c000024 b2086865 61640000 ead....$..head.. + 11870 00143d02 23000874 61696c00 0000143d ..=.#..tail....= + 11880 02230408 716c656e 00000016 1d022308 .#..qlen......#. + 11890 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 118a0 00143d03 00001693 04000300 00161d04 ..=............. + 118b0 00020106 0000131f 01060000 161d0106 ................ + 118c0 00001693 01060000 16930103 00001300 ................ + 118d0 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 118e0 6561645f 74000000 2473095f 5f616466 ead_t...$s.__adf + 118f0 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 11900 24f30300 00250b04 00060000 24b20106 $....%......$... + 11910 000024b2 01140400 00262b0e 415f5354 ..$......&+.A_ST + 11920 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 11930 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 11940 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 11950 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 11960 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 11970 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 11980 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 11990 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 119a0 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 119b0 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 119c0 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 119d0 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 119e0 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 119f0 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 11a00 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta + 11a10 7475735f 74000000 25360600 00262b01 tus_t...%6...&+. + 11a20 06000001 18010201 09616466 5f6e6275 .........adf_nbu + 11a30 665f7400 000024b2 14040000 26900e41 f_t...$.....&..A + 11a40 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 11a50 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 11a60 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... + 11a70 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 11a80 00000026 59060000 262b0102 01096164 ...&Y...&+....ad + 11a90 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 11aa0 5f740000 00163a03 000026ae 04000201 _t....:...&..... + 11ab0 02010600 00264901 06000024 b2010201 .....&I....$.... + 11ac0 02010600 00264901 06000024 b2010600 .....&I....$.... + 11ad0 00264901 06000024 b2010600 00264901 .&I....$.....&I. + 11ae0 02010201 06000016 1d010600 00169301 ................ + 11af0 02010201 0600001b 4a010600 001a9701 ........J....... + 11b00 0600001a 97010961 64665f6f 735f7367 .......adf_os_sg + 11b10 6c697374 5f740000 0016d203 00002727 list_t........'' + 11b20 04000201 02010201 06000016 93010961 ...............a + 11b30 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 11b40 0000250b 03000027 4f040002 01030000 ..%....'O....... + 11b50 24f30400 02010201 02010600 00264901 $............&I. + 11b60 06000024 b2010600 00161d01 06000016 ...$............ + 11b70 1d010600 001a9701 0600001a 97010600 ................ + 11b80 001fb301 06000016 1d010961 64665f6e ...........adf_n + 11b90 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 11ba0 00242103 000027ab 04000201 02010961 .$!...'........a + 11bb0 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 11bc0 24430300 0027cf04 00020102 01096164 $C...'........ad + 11bd0 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 11be0 00040d09 6164665f 6e65745f 766c616e ....adf_net_vlan + 11bf0 6864725f 74000000 1dbf0300 00280404 hdr_t........(.. + 11c00 00060000 262b0106 0000262b 01020102 ....&+....&+.... + 11c10 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 11c20 00285308 64756d6d 79000000 01180223 .(S.dummy......# + 11c30 00000201 03000028 53040002 01030000 .......(S....... + 11c40 285c0400 075f4849 465f4341 4c4c4241 (\..._HIF_CALLBA + 11c50 434b000c 000028b1 0873656e 645f6275 CK....(..send_bu + 11c60 665f646f 6e650000 00285502 23000872 f_done...(U.#..r + 11c70 6563765f 62756600 0000285e 02230408 ecv_buf...(^.#.. + 11c80 636f6e74 65787400 0000040d 02230800 context......#.. + 11c90 09686966 5f68616e 646c655f 74000000 .hif_handle_t... + 11ca0 040d0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... + 11cb0 28320300 0028c304 00060000 28b10103 (2...(......(... + 11cc0 000028da 04000201 03000028 e7040009 ..(........(.... + 11cd0 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( + 11ce0 65030000 28f00400 02010300 00290904 e...(........).. + 11cf0 00060000 01180103 00002912 04000201 ..........)..... + 11d00 03000029 1f040006 00000118 01030000 ...)............ + 11d10 29280400 02010300 00293504 00060000 )(.......)5..... + 11d20 01180103 0000293e 04000201 03000029 ......)>.......) + 11d30 4b040007 6869665f 61706900 3800002a K...hif_api.8..* + 11d40 a4085f69 6e697400 000028e0 02230008 .._init...(..#.. + 11d50 5f736875 74646f77 6e000000 28e90223 _shutdown...(..# + 11d60 04085f72 65676973 7465725f 63616c6c .._register_call + 11d70 6261636b 00000029 0b022308 085f6765 back...)..#.._ge + 11d80 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 11d90 6f756e74 00000029 1802230c 085f7374 ount...)..#.._st + 11da0 61727400 000028e9 02231008 5f636f6e art...(..#.._con + 11db0 6669675f 70697065 00000029 21022314 fig_pipe...)!.#. + 11dc0 085f7365 6e645f62 75666665 72000000 ._send_buffer... + 11dd0 292e0223 18085f72 65747572 6e5f7265 )..#.._return_re + 11de0 63765f62 75660000 00293702 231c085f cv_buf...)7.#.._ + 11df0 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 11e00 64000000 29440223 20085f67 65745f6d d...)D.# ._get_m + 11e10 61785f6d 73675f6c 656e0000 00294402 ax_msg_len...)D. + 11e20 2324085f 6765745f 72657365 72766564 #$._get_reserved + 11e30 5f686561 64726f6f 6d000000 29180223 _headroom...)..# + 11e40 28085f69 73725f68 616e646c 65720000 (._isr_handler.. + 11e50 0028e902 232c085f 6765745f 64656661 .(..#,._get_defa + 11e60 756c745f 70697065 00000029 4d022330 ult_pipe...)M.#0 + 11e70 08705265 73657276 65640000 00040d02 .pReserved...... + 11e80 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. + 11e90 00002b2d 0e444d41 5f454e47 494e455f ..+-.DMA_ENGINE_ + 11ea0 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE + 11eb0 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN + 11ec0 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI + 11ed0 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG + 11ee0 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN + 11ef0 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E + 11f00 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma + 11f10 5f656e67 696e655f 74000000 2aa40d64 _engine_t...*..d + 11f20 6d615f69 66747970 65000400 002b7a0e ma_iftype....+z. + 11f30 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM + 11f40 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I + 11f50 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if + 11f60 74797065 5f740000 002b3f06 000012f2 type_t...+?..... + 11f70 01030000 2b8c0400 02010300 002b9904 ....+........+.. + 11f80 00020103 00002ba2 04000600 00092401 ......+.......$. + 11f90 0300002b ab040006 000012f2 01030000 ...+............ + 11fa0 2bb80400 06000012 f2010300 002bc504 +............+.. + 11fb0 00060000 143d0103 00002bd2 04000201 .....=....+..... + 11fc0 0300002b df040007 646d615f 6c69625f ...+....dma_lib_ + 11fd0 61706900 3400002c e6087478 5f696e69 api.4..,..tx_ini + 11fe0 74000000 2b920223 00087478 5f737461 t...+..#..tx_sta + 11ff0 72740000 002b9b02 23040872 785f696e rt...+..#..rx_in + 12000 69740000 002b9202 23080872 785f636f it...+..#..rx_co + 12010 6e666967 0000002b a402230c 0872785f nfig...+..#..rx_ + 12020 73746172 74000000 2b9b0223 1008696e start...+..#..in + 12030 74725f73 74617475 73000000 2bb10223 tr_status...+..# + 12040 14086861 72645f78 6d697400 00002bbe ..hard_xmit...+. + 12050 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. + 12060 002b9b02 231c0878 6d69745f 646f6e65 .+..#..xmit_done + 12070 0000002b cb022320 08726561 705f786d ...+..# .reap_xm + 12080 69747465 64000000 2bd80223 24087265 itted...+..#$.re + 12090 61705f72 65637600 00002bd8 02232808 ap_recv...+..#(. + 120a0 72657475 726e5f72 65637600 00002be1 return_recv...+. + 120b0 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ + 120c0 cb022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft + 120d0 63000c00 002d0408 73770000 0028f002 c....-..sw...(.. + 120e0 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ + 120f0 74000000 2ce60300 002d0404 00020103 t...,....-...... + 12100 00002d1e 04000600 0012de01 0300002d ..-............- + 12110 2704000d 6869665f 7063695f 70697065 '...hif_pci_pipe + 12120 5f747800 0400002d 870e4849 465f5043 _tx....-..HIF_PC + 12130 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF + 12140 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... + 12150 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ + 12160 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p + 12170 6970655f 74785f74 0000002d 34060000 ipe_tx_t...-4... + 12180 2b2d0103 00002d9e 04000d68 69665f70 +-....-....hif_p + 12190 63695f70 6970655f 72780004 00002e24 ci_pipe_rx.....$ + 121a0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 121b0 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 121c0 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P + 121d0 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC + 121e0 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF + 121f0 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX + 12200 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe + 12210 5f72785f 74000000 2dab0600 002b2d01 _rx_t...-....+-. + 12220 0300002e 3b040007 6869665f 7063695f ....;...hif_pci_ + 12230 61706900 2400002f 19087063 695f626f api.$../..pci_bo + 12240 6f745f69 6e697400 00000111 02230008 ot_init......#.. + 12250 7063695f 696e6974 00000028 e0022304 pci_init...(..#. + 12260 08706369 5f726573 65740000 00011102 .pci_reset...... + 12270 23080870 63695f65 6e61626c 65000000 #..pci_enable... + 12280 01110223 0c087063 695f7265 61705f78 ...#..pci_reap_x + 12290 6d697474 65640000 002d2002 23100870 mitted...- .#..p + 122a0 63695f72 6561705f 72656376 0000002d ci_reap_recv...- + 122b0 20022314 08706369 5f676574 5f706970 .#..pci_get_pip + 122c0 65000000 2d2d0223 18087063 695f6765 e...--.#..pci_ge + 122d0 745f7478 5f656e67 0000002d a402231c t_tx_eng...-..#. + 122e0 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. + 122f0 00002e41 02232000 07676d61 635f6170 ...A.# ..gmac_ap + 12300 69000400 002f4008 676d6163 5f626f6f i..../@.gmac_boo + 12310 745f696e 69740000 00011102 2300000f t_init......#... + 12320 00000325 0600002f 4d100500 075f5f65 ...%.../M....__e + 12330 74686864 72000e00 002f8308 64737400 thhdr..../..dst. + 12340 00002f40 02230008 73726300 00002f40 ../@.#..src.../@ + 12350 02230608 65747970 65000000 12f20223 .#..etype......# + 12360 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ + 12370 d1157265 73000000 12de0100 02022300 ..res.........#. + 12380 1570726f 746f0000 0012de01 02060223 .proto.........# + 12390 00087265 735f6c6f 00000012 de022301 ..res_lo......#. + 123a0 08726573 5f686900 000012f2 02230200 .res_hi......#.. + 123b0 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 + 123c0 0d086574 68000000 2f4d0223 00086174 ..eth.../M.#..at + 123d0 68000000 2f830223 0e08616c 69676e5f h.../..#..align_ + 123e0 70616400 000012f2 02231200 095f5f67 pad......#...__g + 123f0 6d61635f 6864725f 74000000 2fd1075f mac_hdr_t.../.._ + 12400 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 + 12410 57086864 72000000 300d0223 00086772 W.hdr...0..#..gr + 12420 616e0000 0012f202 23140873 77000000 an......#..sw... + 12430 28f00223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin + 12440 6b616765 5f636865 636b0008 00003090 kage_check....0. + 12450 08766572 73696f6e 00000001 18022300 .version......#. + 12460 08746162 6c650000 00011802 23040003 .table......#... + 12470 00003057 04000600 00011801 03000030 ..0W...........0 + 12480 97040003 00000410 0400175f 415f636d ..........._A_cm + 12490 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ + 124a0 7461626c 650001b8 000031e7 0868616c table.....1..hal + 124b0 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 124c0 00309d02 23000873 74617274 5f627373 .0..#..start_bss + 124d0 00000030 a4022304 08617070 5f737461 ...0..#..app_sta + 124e0 72740000 00011102 2308086d 656d0000 rt......#..mem.. + 124f0 00045002 230c086d 69736300 0000056f ..P.#..misc....o + 12500 02232008 7072696e 74660000 00014502 .# .printf....E. + 12510 23440875 61727400 0000020e 02234c08 #D.uart......#L. + 12520 676d6163 0000002f 1902236c 08757362 gmac.../..#l.usb + 12530 0000000f 9e022370 08636c6f 636b0000 ......#p.clock.. + 12540 000ae703 23e00108 74696d65 72000000 ....#...timer... + 12550 07870323 84020869 6e747200 00000c37 ...#...intr....7 + 12560 03239802 08616c6c 6f637261 6d000000 .#...allocram... + 12570 093f0323 c4020872 6f6d7000 00000831 .?.#...romp....1 + 12580 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. + 12590 000e1403 23e00208 65657000 00000f42 ....#...eep....B + 125a0 0323fc02 08737472 696e6700 00000693 .#...string..... + 125b0 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... + 125c0 3c0323a4 0300075f 5553425f 4649464f <.#...._USB_FIFO + 125d0 5f434f4e 46494700 10000032 5a086765 _CONFIG....2Z.ge + 125e0 745f636f 6d6d616e 645f6275 66000000 t_command_buf... + 125f0 144a0223 00087265 63765f63 6f6d6d61 .J.#..recv_comma + 12600 6e640000 00146002 23040867 65745f65 nd....`.#..get_e + 12610 76656e74 5f627566 00000014 4a022308 vent_buf....J.#. + 12620 0873656e 645f6576 656e745f 646f6e65 .send_event_done + 12630 00000014 6002230c 00095553 425f4649 ....`.#...USB_FI + 12640 464f5f43 4f4e4649 47000000 31e70300 FO_CONFIG...1... + 12650 00325a04 00020103 00003276 04000775 .2Z.......2v...u + 12660 73626669 666f5f61 7069000c 000032cc sbfifo_api....2. + 12670 085f696e 69740000 00327802 2300085f ._init...2x.#.._ + 12680 656e6162 6c655f65 76656e74 5f697372 enable_event_isr + 12690 00000001 11022304 08705265 73657276 ......#..pReserv + 126a0 65640000 00040d02 2308000f 00001684 ed......#....... + 126b0 02000032 d9100100 075f4854 435f4652 ...2....._HTC_FR + 126c0 414d455f 48445200 08000033 4b08456e AME_HDR....3K.En + 126d0 64706f69 6e744944 00000016 84022300 dpointID......#. + 126e0 08466c61 67730000 00168402 23010850 .Flags......#..P + 126f0 61796c6f 61644c65 6e000000 1cac0223 ayloadLen......# + 12700 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. + 12710 0032cc02 23040848 6f737453 65714e75 .2..#..HostSeqNu + 12720 6d000000 1cac0223 06001202 00003364 m......#......3d + 12730 084d6573 73616765 49440000 001cac02 .MessageID...... + 12740 23000012 08000033 c7084d65 73736167 #......3..Messag + 12750 65494400 00001cac 02230008 43726564 eID......#..Cred + 12760 6974436f 756e7400 00001cac 02230208 itCount......#.. + 12770 43726564 69745369 7a650000 001cac02 CreditSize...... + 12780 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. + 12790 00001684 02230608 5f506164 31000000 .....#.._Pad1... + 127a0 16840223 0700120a 0000345e 084d6573 ...#......4^.Mes + 127b0 73616765 49440000 001cac02 23000853 sageID......#..S + 127c0 65727669 63654944 0000001c ac022302 erviceID......#. + 127d0 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags + 127e0 0000001c ac022304 08446f77 6e4c696e ......#..DownLin + 127f0 6b506970 65494400 00001684 02230608 kPipeID......#.. + 12800 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... + 12810 84022307 08536572 76696365 4d657461 ..#..ServiceMeta + 12820 4c656e67 74680000 00168402 2308085f Length......#.._ + 12830 50616431 00000016 84022309 00120a00 Pad1......#..... + 12840 0034e608 4d657373 61676549 44000000 .4..MessageID... + 12850 1cac0223 00085365 72766963 65494400 ...#..ServiceID. + 12860 00001cac 02230208 53746174 75730000 .....#..Status.. + 12870 00168402 23040845 6e64706f 696e7449 ....#..EndpointI + 12880 44000000 16840223 05084d61 784d7367 D......#..MaxMsg + 12890 53697a65 0000001c ac022306 08536572 Size......#..Ser + 128a0 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 128b0 00168402 2308085f 50616431 00000016 ....#.._Pad1.... + 128c0 84022309 00120200 0034ff08 4d657373 ..#......4..Mess + 128d0 61676549 44000000 1cac0223 00001204 ageID......#.... + 128e0 0000353b 084d6573 73616765 49440000 ..5;.MessageID.. + 128f0 001cac02 23000850 69706549 44000000 ....#..PipeID... + 12900 16840223 02084372 65646974 436f756e ...#..CreditCoun + 12910 74000000 16840223 03001204 00003572 t......#......5r + 12920 084d6573 73616765 49440000 001cac02 .MessageID...... + 12930 23000850 69706549 44000000 16840223 #..PipeID......# + 12940 02085374 61747573 00000016 84022303 ..Status......#. + 12950 00120200 00359908 5265636f 72644944 .....5..RecordID + 12960 00000016 84022300 084c656e 67746800 ......#..Length. + 12970 00001684 02230100 12020000 35c30845 .....#......5..E + 12980 6e64706f 696e7449 44000000 16840223 ndpointID......# + 12990 00084372 65646974 73000000 16840223 ..Credits......# + 129a0 01001204 00003604 08456e64 706f696e ......6..Endpoin + 129b0 74494400 00001684 02230008 43726564 tID......#..Cred + 129c0 69747300 00001684 02230108 54677443 its......#..TgtC + 129d0 72656469 74536571 4e6f0000 001cac02 reditSeqNo...... + 129e0 2302000f 00001684 04000036 11100300 #..........6.... + 129f0 12060000 364d0850 72655661 6c696400 ....6M.PreValid. + 12a00 00001684 02230008 4c6f6f6b 41686561 .....#..LookAhea + 12a10 64000000 36040223 0108506f 73745661 d...6..#..PostVa + 12a20 6c696400 00001684 02230500 09706f6f lid......#...poo + 12a30 6c5f6861 6e646c65 5f740000 00040d06 l_handle_t...... + 12a40 0000364d 01030000 36600400 02010300 ..6M....6`...... + 12a50 00366d04 00140400 0036eb0e 504f4f4c .6m......6..POOL + 12a60 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. + 12a70 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 12a80 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO + 12a90 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV + 12aa0 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL + 12ab0 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL + 12ac0 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P + 12ad0 4f4f4c5f 49440000 00367602 01030000 OOL_ID...6v..... + 12ae0 36fc0400 06000026 49010300 00370504 6......&I....7.. + 12af0 00060000 26490103 00003712 04000201 ....&I....7..... + 12b00 03000037 1f040007 6275665f 706f6f6c ...7....buf_pool + 12b10 5f617069 001c0000 37c1085f 696e6974 _api....7.._init + 12b20 00000036 66022300 085f7368 7574646f ...6f.#.._shutdo + 12b30 776e0000 00366f02 2304085f 63726561 wn...6o.#.._crea + 12b40 74655f70 6f6f6c00 000036fe 02230808 te_pool...6..#.. + 12b50 5f616c6c 6f635f62 75660000 00370b02 _alloc_buf...7.. + 12b60 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al + 12b70 69676e00 00003718 02231008 5f667265 ign...7..#.._fre + 12b80 655f6275 66000000 37210223 14087052 e_buf...7!.#..pR + 12b90 65736572 76656400 0000040d 02231800 eserved......#.. + 12ba0 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... + 12bb0 0038a008 704e6578 74000000 38a00223 .8..pNext...8..# + 12bc0 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg + 12bd0 00000039 55022304 0850726f 63657373 ...9U.#..Process + 12be0 53656e64 42756666 6572436f 6d706c65 SendBufferComple + 12bf0 74650000 00395e02 23080850 726f6365 te...9^.#..Proce + 12c00 7373436f 6e6e6563 74000000 39720223 ssConnect...9r.# + 12c10 0c085365 72766963 65494400 000012f2 ..ServiceID..... + 12c20 02231008 53657276 69636546 6c616773 .#..ServiceFlags + 12c30 00000012 f2022312 084d6178 5376634d ......#..MaxSvcM + 12c40 73675369 7a650000 0012f202 23140854 sgSize......#..T + 12c50 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi + 12c60 6d697400 000012f2 02231608 53657276 mit......#..Serv + 12c70 69636543 74780000 00040d02 23180003 iceCtx......#... + 12c80 000037c1 04001404 0000393e 19454e44 ..7.......9>.END + 12c90 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... + 12ca0 ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN + 12cb0 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI + 12cc0 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. + 12cd0 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN + 12ce0 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI + 12cf0 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. + 12d00 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN + 12d10 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT + 12d20 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... + 12d30 38a70201 03000039 53040002 01030000 8......9S....... + 12d40 395c0400 03000001 18040006 000012de 9\.............. + 12d50 01030000 396c0400 03000037 c1040007 ....9l.....7.... + 12d60 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 + 12d70 f1084372 65646974 53697a65 00000001 ..CreditSize.... + 12d80 18022300 08437265 6469744e 756d6265 ..#..CreditNumbe + 12d90 72000000 01180223 04084f53 48616e64 r......#..OSHand + 12da0 6c650000 001a4802 23080848 49464861 le....H.#..HIFHa + 12db0 6e646c65 00000028 b102230c 08506f6f ndle...(..#..Poo + 12dc0 6c48616e 646c6500 0000364d 02231000 lHandle...6M.#.. + 12dd0 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX + 12de0 54000200 003a2d08 656e645f 706f696e T....:-.end_poin + 12df0 74000000 12de0223 00086874 635f666c t......#..htc_fl + 12e00 61677300 000012de 02230100 09687463 ags......#...htc + 12e10 5f68616e 646c655f 74000000 040d0948 _handle_t......H + 12e20 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET + 12e30 455f4342 00000001 11094854 435f434f E_CB......HTC_CO + 12e40 4e464947 00000039 80030000 3a5a0400 NFIG...9....:Z.. + 12e50 0600003a 2d010300 003a7104 00020103 ...:-....:q..... + 12e60 00003a7e 04000948 54435f53 45525649 ..:~...HTC_SERVI + 12e70 43450000 0037c103 00003a87 04000201 CE...7....:..... + 12e80 0300003a 9f040002 01030000 3aa80400 ...:........:... + 12e90 02010300 003ab104 00060000 01180103 .....:.......... + 12ea0 00003aba 04000768 74635f61 70697300 ..:....htc_apis. + 12eb0 3400003c 37085f48 54435f49 6e697400 4..<7._HTC_Init. + 12ec0 00003a77 02230008 5f485443 5f536875 ..:w.#.._HTC_Shu + 12ed0 74646f77 6e000000 3a800223 04085f48 tdown...:..#.._H + 12ee0 54435f52 65676973 74657253 65727669 TC_RegisterServi + 12ef0 63650000 003aa102 2308085f 4854435f ce...:..#.._HTC_ + 12f00 52656164 79000000 3a800223 0c085f48 Ready...:..#.._H + 12f10 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers + 12f20 0000003a aa022310 085f4854 435f5265 ...:..#.._HTC_Re + 12f30 7475726e 42756666 6572734c 69737400 turnBuffersList. + 12f40 00003ab3 02231408 5f485443 5f53656e ..:..#.._HTC_Sen + 12f50 644d7367 0000003a aa022318 085f4854 dMsg...:..#.._HT + 12f60 435f4765 74526573 65727665 64486561 C_GetReservedHea + 12f70 64726f6f 6d000000 3ac00223 1c085f48 droom...:..#.._H + 12f80 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle + 12f90 72000000 285e0223 20085f48 54435f53 r...(^.# ._HTC_S + 12fa0 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. + 12fb0 00285502 2324085f 4854435f 436f6e74 .(U.#$._HTC_Cont + 12fc0 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg + 12fd0 00000039 55022328 085f4854 435f436f ...9U.#(._HTC_Co + 12fe0 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS + 12ff0 656e6443 6f6d706c 65746500 0000395e endComplete...9^ + 13000 02232c08 70526573 65727665 64000000 .#,.pReserved... + 13010 040d0223 30000768 6f73745f 6170705f ...#0..host_app_ + 13020 61726561 5f730004 00003c67 08776d69 area_s......tu + 131c0 706c654e 756d4c00 00001cac 02230008 pleNumL......#.. + 131d0 7475706c 654e756d 48000000 1cac0223 tupleNumH......# + 131e0 02086176 74000000 3dcb0223 04001201 ..avt...=..#.... + 131f0 00003e31 08626561 636f6e50 656e6469 ..>1.beaconPendi + 13200 6e67436f 756e7400 00001684 02230000 ngCount......#.. + 13210 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG + 13220 00100000 3e9a0848 74634861 6e646c65 ....>..HtcHandle + 13230 0000003a 2d022300 08506f6f 6c48616e ...:-.#..PoolHan + 13240 646c6500 0000364d 02230408 4d617843 dle...6M.#..MaxC + 13250 6d645265 706c7945 76747300 00000118 mdReplyEvts..... + 13260 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts + 13270 00000001 1802230c 00020103 00003e9a ......#.......>. + 13280 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL + 13290 45520000 003e9c07 5f574d49 5f444953 ER...>.._WMI_DIS + 132a0 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? + 132b0 03087043 6d644861 6e646c65 72000000 ..pCmdHandler... + 132c0 3ea30223 0008436d 64494400 000012f2 >..#..CmdID..... + 132d0 02230408 466c6167 73000000 12f20223 .#..Flags......# + 132e0 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH + 132f0 5f544142 4c450010 00003f64 08704e65 _TABLE....?d.pNe + 13300 78740000 003f6402 23000870 436f6e74 xt...?d.#..pCont + 13310 65787400 0000040d 02230408 4e756d62 ext......#..Numb + 13320 65724f66 456e7472 69657300 00000118 erOfEntries..... + 13330 02230808 70546162 6c650000 003f8302 .#..pTable...?.. + 13340 230c0003 00003f03 04000957 4d495f44 #.....?....WMI_D + 13350 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... + 13360 3eb80300 003f6b04 00030000 3f030400 >....?k.....?... + 13370 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT + 13380 00000039 f10d574d 495f4556 545f434c ...9..WMI_EVT_CL + 13390 41535300 04000040 1b19574d 495f4556 ASS....@..WMI_EV + 133a0 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... + 133b0 ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 133c0 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ + 133d0 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE + 133e0 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL + 133f0 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E + 13400 56545f43 4c415353 0000003f a6075f57 VT_CLASS...?.._W + 13410 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. + 13420 00004079 08487463 42756643 74780000 ..@y.HtcBufCtx.. + 13430 003f9102 23000845 76656e74 436c6173 .?..#..EventClas + 13440 73000000 401b0223 0408466c 61677300 s...@..#..Flags. + 13450 000012f2 02230800 09776d69 5f68616e .....#...wmi_han + 13460 646c655f 74000000 040d0957 4d495f53 dle_t......WMI_S + 13470 56435f43 4f4e4649 47000000 3e310300 VC_CONFIG...>1.. + 13480 00408b04 00060000 40790103 000040a6 .@......@y....@. + 13490 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 134a0 5441424c 45000000 3f030300 0040b304 TABLE...?....@.. + 134b0 00020103 000040d2 04000600 00264901 ......@......&I. + 134c0 03000040 db040002 01030000 40e80400 ...@........@... + 134d0 06000001 18010300 0040f104 00020103 .........@...... + 134e0 000040fe 04000600 0012de01 03000041 ..@............A + 134f0 07040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api + 13500 73002c00 00424f08 5f574d49 5f496e69 s.,..BO._WMI_Ini + 13510 74000000 40ac0223 00085f57 4d495f52 t...@..#.._WMI_R + 13520 65676973 74657244 69737061 74636854 egisterDispatchT + 13530 61626c65 00000040 d4022304 085f574d able...@..#.._WM + 13540 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ + 13550 e1022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv + 13560 656e7400 000040ea 02230c08 5f574d49 ent...@..#.._WMI + 13570 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent + 13580 73436f75 6e740000 0040f702 2310085f sCount...@..#.._ + 13590 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete + 135a0 48616e64 6c657200 0000395e 02231408 Handler...9^.#.. + 135b0 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE + 135c0 70000000 40f70223 18085f57 4d495f53 p...@..#.._WMI_S + 135d0 68757464 6f776e00 00004100 02231c08 hutdown...A..#.. + 135e0 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage + 135f0 48616e64 6c657200 00003955 02232008 Handler...9U.# . + 13600 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn + 13610 65637400 0000410d 02232408 70526573 ect...A..#$.pRes + 13620 65727665 64000000 040d0223 2800077a erved......#(..z + 13630 73446d61 44657363 00140000 42d10863 sDmaDesc....B..c + 13640 74726c00 0000017c 02230008 73746174 trl....|.#..stat + 13650 75730000 00017c02 23020874 6f74616c us....|.#..total + 13660 4c656e00 0000017c 02230408 64617461 Len....|.#..data + 13670 53697a65 00000001 7c022306 086c6173 Size....|.#..las + 13680 74416464 72000000 42d10223 08086461 tAddr...B..#..da + 13690 74614164 64720000 0001a002 230c086e taAddr......#..n + 136a0 65787441 64647200 000042d1 02231000 extAddr...B..#.. + 136b0 03000042 4f040003 0000424f 0400077a ...BO.....BO...z + 136c0 73446d61 51756575 65000800 00431108 sDmaQueue....C.. + 136d0 68656164 00000042 d8022300 08746572 head...B..#..ter + 136e0 6d696e61 746f7200 000042d8 02230400 minator...B..#.. + 136f0 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 13700 00437508 68656164 00000042 d8022300 .Cu.head...B..#. + 13710 08746572 6d696e61 746f7200 000042d8 .terminator...B. + 13720 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h + 13730 65616400 0000143d 02230808 786d6974 ead....=.#..xmit + 13740 65645f62 75665f74 61696c00 0000143d ed_buf_tail....= + 13750 02230c00 02010300 00437504 00030000 .#.......Cu..... + 13760 42df0400 02010300 00438504 00030000 B........C...... + 13770 43110400 02010300 00439504 00020103 C........C...... + 13780 0000439e 04000201 03000043 a7040006 ..C........C.... + 13790 0000143d 01030000 43b00400 02010300 ...=....C....... + 137a0 0043bd04 00060000 143d0103 000043c6 .C.......=....C. + 137b0 04000201 03000043 d3040006 00000118 .......C........ + 137c0 01030000 43dc0400 06000042 d8010300 ....C......B.... + 137d0 0043e904 00020103 000043f6 04000764 .C........C....d + 137e0 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 137f0 00456c08 5f696e69 74000000 43770223 .El._init...Cw.# + 13800 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue + 13810 00000043 87022304 085f696e 69745f74 ...C..#.._init_t + 13820 785f7175 65756500 00004397 02230808 x_queue...C..#.. + 13830 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 13840 00000043 a002230c 085f786d 69745f62 ...C..#.._xmit_b + 13850 75660000 0043a902 2310085f 666c7573 uf...C..#.._flus + 13860 685f786d 69740000 00438702 2314085f h_xmit...C..#.._ + 13870 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 13880 43b60223 18085f72 65747572 6e5f7265 C..#.._return_re + 13890 63765f62 75660000 0043bf02 231c085f cv_buf...C..#.._ + 138a0 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 138b0 000043cc 02232008 5f737761 705f6461 ..C..# ._swap_da + 138c0 74610000 0043d502 2324085f 6861735f ta...C..#$._has_ + 138d0 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 138e0 43e20223 28085f64 6573635f 64756d70 C..#(._desc_dump + 138f0 00000043 8702232c 085f6765 745f7061 ...C..#,._get_pa + 13900 636b6574 00000043 ef022330 085f7265 cket...C..#0._re + 13910 636c6169 6d5f7061 636b6574 00000043 claim_packet...C + 13920 f8022334 085f7075 745f7061 636b6574 ..#4._put_packet + 13930 00000043 f8022338 08705265 73657276 ...C..#8.pReserv + 13940 65640000 00040d02 233c0009 5f415f63 ed......#<.._A_c + 13950 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 13960 5f746162 6c655f74 00000030 ab09574d _table_t...0..WM + 13970 495f5356 435f4150 49530000 00411417 I_SVC_APIS...A.. + 13980 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 13990 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. + 139a0 469a0863 6d6e6f73 00000045 6c022300 F..cmnos...El.#. + 139b0 08646267 00000003 da0323b8 03086869 .dbg......#...hi + 139c0 66000000 29540323 c0030868 74630000 f...)T.#...htc.. + 139d0 003ac703 23f80308 776d695f 7376635f .:..#...wmi_svc_ + 139e0 61706900 0000458e 0323ac04 08757362 api...E..#...usb + 139f0 6669666f 5f617069 00000032 7f0323d8 fifo_api...2..#. + 13a00 04086275 665f706f 6f6c0000 00372803 ..buf_pool...7(. + 13a10 23e40408 76627566 00000014 67032380 #...vbuf....g.#. + 13a20 05087664 65736300 00001349 03239405 ..vdesc....I.#.. + 13a30 08616c6c 6f637261 6d000000 093f0323 .allocram....?.# + 13a40 a8050864 6d615f65 6e67696e 65000000 ...dma_engine... + 13a50 43ff0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. + 13a60 002be803 23f40508 6869665f 70636900 .+..#...hif_pci. + 13a70 00002e48 0323a806 00095f41 5f6d6167 ...H.#...._A_mag + 13a80 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 13a90 7461626c 655f7400 000045a0 06000007 table_t...E..... + 13aa0 fd010300 0001b504 00060000 07fd011a ................ + 13ab0 6565705f 73746174 65000000 07fd0503 eep_state....... + 13ac0 00500978 011a6565 705f6578 69737400 .P.x..eep_exist. + 13ad0 000007fd 05030050 097a010f 0000011f .......P.z...... + 13ae0 06000047 09100500 03000046 fc040004 ...G.......F.... + 13af0 6c6f6e67 20696e74 00050409 696e7433 long int....int3 + 13b00 325f7400 00004710 1b000001 181b0000 2_t...G......... + 13b10 01a01b00 0001a003 00004733 04000300 ..........G3.... + 13b20 000f4204 0002011c 012d636d 6e6f735f ..B......-cmnos_ + 13b30 65657072 6f6d5f77 72697465 5f68776f eeprom_write_hwo + 13b40 72640000 0007fd01 01039201 20029000 rd.......... ... + 13b50 008e1da0 008e1df7 0000479c 1d012d6f ..........G...-o + 13b60 66667365 74000000 01920152 1d012d64 ffset......R..-d + 13b70 61746100 00000192 0153001c 015a636d ata......S...Zcm + 13b80 6e6f735f 65657072 6f6d5f72 6561645f nos_eeprom_read_ + 13b90 68776f72 64000000 07fd0101 03920120 hword.......... + 13ba0 02900000 8e1df800 8e1e2300 0047fe1d ..........#..G.. + 13bb0 015a6f66 66736574 00000001 9201521d .Zoffset......R. + 13bc0 015a6d44 61746100 00000f21 01531e6d .ZmData....!.S.m + 13bd0 53747344 61746100 000001b5 001c017a StsData........z + 13be0 636d6e6f 735f6565 705f6973 5f657869 cmnos_eep_is_exi + 13bf0 73740000 000f1201 01039201 20029000 st.......... ... + 13c00 008e1e24 008e1e5b 00004839 1e6d4461 ...$...[..H9.mDa + 13c10 74610000 00019200 1c019963 6d6e6f73 ta.........cmnos + 13c20 5f656570 5f777269 74650000 000f1201 _eep_write...... + 13c30 01039201 20029000 008e1e5c 008e1ebc .... ......\.... + 13c40 000048dc 1d01996f 66667365 74000000 ..H....offset... + 13c50 01920152 1d01996c 656e0000 00019201 ...R...len...... + 13c60 531d0199 70427566 0000000f 2101541e S...pBuf....!.T. + 13c70 70446174 61000000 0f211e65 65705f73 pData....!.eep_s + 13c80 74617274 5f6f6673 74000000 01921e65 tart_ofst......e + 13c90 65705f65 6e645f6f 66737400 00000192 ep_end_ofst..... + 13ca0 1e726574 56616c00 00000f12 1e690000 .retVal......i.. + 13cb0 0001921e 6a000000 0192001c 01c7636d ....j.........cm + 13cc0 6e6f735f 6565705f 72656164 0000000f nos_eep_read.... + 13cd0 12010103 92012002 9000008e 1ebc008e ...... ......... + 13ce0 1f010000 49771d01 c76f6666 73657400 ....Iw...offset. + 13cf0 00000192 01521d01 c76c656e 00000001 .....R...len.... + 13d00 9201531d 01c77042 75660000 000f2101 ..S...pBuf....!. + 13d10 541e6d44 61746100 00000f21 1e656570 T.mData....!.eep + 13d20 5f737461 72745f6f 66737400 00000192 _start_ofst..... + 13d30 1e656570 5f656e64 5f6f6673 74000000 .eep_end_ofst... + 13d40 01921e72 65745661 6c000000 0f121e69 ...retVal......i + 13d50 00000001 92001f01 f9636d6e 6f735f65 .........cmnos_e + 13d60 65705f69 6e697400 01010392 01300290 ep_init......0.. + 13d70 00008e1f 04008e21 6c000049 c9206900 .......!l..I. i. + 13d80 0000471c 02915020 7265675f 76616c75 ..G...P reg_valu + 13d90 65000000 47290291 541e6d53 74734461 e...G)..T.mStsDa + 13da0 74610000 0001b500 210101a0 636d6e6f ta......!...cmno + 13db0 735f6565 705f6d6f 64756c65 5f696e73 s_eep_module_ins + 13dc0 74616c6c 00010103 92012002 9000008e tall...... ..... + 13dd0 216c008e 21852201 01a07462 6c000000 !l..!."...tbl... + 13de0 473f0152 00000000 004ae500 02000008 G?.R.....J...... + 13df0 df04012f 726f6f74 2f576f72 6b737061 .../root/Workspa + 13e00 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13e10 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13e20 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. + 13e30 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil + 13e40 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 13e50 2f636d6e 6f732f69 6e74722f 7372632f /cmnos/intr/src/ + 13e60 636d6e6f 735f696e 74722e63 002f726f cmnos_intr.c./ro + 13e70 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 13e80 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 13e90 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 13ea0 636d6e6f 732f696e 74720078 742d7863 cmnos/intr.xt-xc + 13eb0 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 13ec0 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 13ed0 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - + 13ee0 4f50543a 73706163 65000100 000051dc OPT:space.....Q. + 13ef0 02010300 00010904 0004696e 74000504 ..........int... + 13f00 04636861 72000701 05000001 19050000 .char........... + 13f10 01190300 00012604 00060000 01120103 ......&......... + 13f20 00000132 04000770 72696e74 665f6170 ...2...printf_ap + 13f30 69000800 00017608 5f707269 6e74665f i.....v._printf_ + 13f40 696e6974 00000001 0b022300 085f7072 init......#.._pr + 13f50 696e7466 00000001 38022304 00047368 intf....8.#...sh + 13f60 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 13f70 00070209 75696e74 31365f74 00000001 ....uint16_t.... + 13f80 76046c6f 6e672075 6e736967 6e656420 v.long unsigned + 13f90 696e7400 07040975 696e7433 325f7400 int....uint32_t. + 13fa0 0000019a 07756172 745f6669 666f0008 .....uart_fifo.. + 13fb0 00000208 08737461 72745f69 6e646578 .....start_index + 13fc0 00000001 8c022300 08656e64 5f696e64 ......#..end_ind + 13fd0 65780000 00018c02 2302086f 76657272 ex......#..overr + 13fe0 756e5f65 72720000 0001af02 23040007 un_err......#... + 13ff0 75617274 5f617069 00200000 02c1085f uart_api. ....._ + 14000 75617274 5f696e69 74000000 03180223 uart_init......# + 14010 00085f75 6172745f 63686172 5f707574 .._uart_char_put + 14020 00000003 3f022304 085f7561 72745f63 ....?.#.._uart_c + 14030 6861725f 67657400 00000353 02230808 har_get....S.#.. + 14040 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 14050 035c0223 0c085f75 6172745f 7461736b .\.#.._uart_task + 14060 00000001 0b022310 085f7561 72745f73 ......#.._uart_s + 14070 74617475 73000000 03180223 14085f75 tatus......#.._u + 14080 6172745f 636f6e66 69670000 00036502 art_config....e. + 14090 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. + 140a0 0000036e 02231c00 03000002 08040007 ...n.#.......... + 140b0 75617274 5f626c6b 00100000 03120864 uart_blk.......d + 140c0 65627567 5f6d6f64 65000000 018c0223 ebug_mode......# + 140d0 00086261 75640000 00018c02 2302085f ..baud......#.._ + 140e0 75617274 00000002 c1022304 085f7478 uart......#.._tx + 140f0 00000001 bd022308 00060000 01af0103 ......#......... + 14100 00000312 04000475 6e736967 6e656420 .......unsigned + 14110 63686172 00070109 75696e74 385f7400 char....uint8_t. + 14120 0000031f 02010300 00033d04 00030000 ..........=..... + 14130 03300400 06000001 8c010300 00034d04 .0............M. + 14140 00020103 0000035a 04000201 03000003 .......Z........ + 14150 63040002 01030000 036c0400 03000001 c........l...... + 14160 19040006 00000112 01030000 037c0400 .............|.. + 14170 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU + 14180 4354000c 000003d4 08636d64 5f737472 CT.......cmd_str + 14190 00000003 75022300 0868656c 705f7374 ....u.#..help_st + 141a0 72000000 03750223 0408636d 645f6675 r....u.#..cmd_fu + 141b0 6e630000 00038202 23080007 6462675f nc......#...dbg_ + 141c0 61706900 08000004 07085f64 62675f69 api......._dbg_i + 141d0 6e697400 0000010b 02230008 5f646267 nit......#.._dbg + 141e0 5f746173 6b000000 010b0223 04000a04 _task......#.... + 141f0 0004756e 7369676e 65642069 6e740007 ..unsigned int.. + 14200 04060000 04070103 0000041a 04000b0b ................ + 14210 03000004 28040006 00000407 01030000 ....(........... + 14220 04300400 06000001 12010300 00043d04 .0............=. + 14230 00076d65 6d5f6170 69001400 0004ac08 ..mem_api....... + 14240 5f6d656d 5f696e69 74000000 010b0223 _mem_init......# + 14250 00085f6d 656d7365 74000000 04200223 .._memset.... .# + 14260 04085f6d 656d6370 79000000 04360223 .._memcpy....6.# + 14270 08085f6d 656d6d6f 76650000 00043602 .._memmove....6. + 14280 230c085f 6d656d63 6d700000 00044302 #.._memcmp....C. + 14290 23100007 72656769 73746572 5f64756d #...register_dum + 142a0 705f7300 90000005 13087461 72676574 p_s.......target + 142b0 5f696400 000001af 02230008 6173736c _id......#..assl + 142c0 696e6500 000001af 02230408 70630000 ine......#..pc.. + 142d0 0001af02 23080862 61647661 64647200 ....#..badvaddr. + 142e0 000001af 02230c08 6578635f 6672616d .....#..exc_fram + 142f0 65000000 482f0223 10000300 0004ac04 e...H/.#........ + 14300 00020103 0000051a 04000201 03000005 ................ + 14310 23040006 00000112 01030000 052c0400 #............,.. + 14320 0c686f73 7469665f 73000400 0005880d .hostif_s....... + 14330 4849465f 55534200 000d4849 465f5043 HIF_USB...HIF_PC + 14340 49450001 0d484946 5f474d41 4300020d IE...HIF_GMAC... + 14350 4849465f 50434900 030d4849 465f4e55 HIF_PCI...HIF_NU + 14360 4d00040d 4849465f 4e4f4e45 00050009 M...HIF_NONE.... + 14370 415f484f 53544946 00000005 39060000 A_HOSTIF....9... + 14380 05880103 00000596 04000600 00033001 ..............0. + 14390 03000005 a3040006 0000018c 01030000 ................ + 143a0 05b00400 076d6973 635f6170 69002400 .....misc_api.$. + 143b0 0006a008 5f737973 74656d5f 72657365 ...._system_rese + 143c0 74000000 010b0223 00085f6d 61635f72 t......#.._mac_r + 143d0 65736574 00000001 0b022304 085f6173 eset......#.._as + 143e0 73666169 6c000000 051c0223 08085f6d sfail......#.._m + 143f0 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 14400 616e646c 65720000 00051c02 230c085f andler......#.._ + 14410 7265706f 72745f66 61696c75 72655f74 report_failure_t + 14420 6f5f686f 73740000 00052502 2310085f o_host....%.#.._ + 14430 74617267 65745f69 645f6765 74000000 target_id_get... + 14440 05320223 14085f69 735f686f 73745f70 .2.#.._is_host_p + 14450 72657365 6e740000 00059c02 2318085f resent......#.._ + 14460 6b626869 74000000 05a90223 1c085f72 kbhit......#.._r + 14470 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 14480 0005b602 23200006 00000375 01030000 ....# .....u.... + 14490 06a00400 06000003 75010300 0006ad04 ........u....... + 144a0 00060000 01120103 000006ba 04000600 ................ + 144b0 00011201 03000006 c7040006 00000112 ................ + 144c0 01030000 06d40400 07737472 696e675f .........string_ + 144d0 61706900 18000007 5a085f73 7472696e api.....Z._strin + 144e0 675f696e 69740000 00010b02 2300085f g_init......#.._ + 144f0 73747263 70790000 0006a602 2304085f strcpy......#.._ + 14500 7374726e 63707900 000006b3 02230808 strncpy......#.. + 14510 5f737472 6c656e00 000006c0 02230c08 _strlen......#.. + 14520 5f737472 636d7000 000006cd 02231008 _strcmp......#.. + 14530 5f737472 6e636d70 00000006 da022314 _strncmp......#. + 14540 000e0000 040a1400 0007670f 0400095f ..........g...._ + 14550 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 14560 075a0941 5f74696d 65725f74 00000007 .Z.A_timer_t.... + 14570 67030000 077b0400 02010300 00079104 g....{.......... + 14580 00020103 0000079a 04000941 5f48414e ...........A_HAN + 14590 444c4500 0000040a 02010941 5f54494d DLE........A_TIM + 145a0 45525f46 554e4300 000007b1 03000007 ER_FUNC......... + 145b0 b3040002 01030000 07cc0400 0774696d .............tim + 145c0 65725f61 70690014 0000084b 085f7469 er_api.....K._ti + 145d0 6d65725f 696e6974 00000001 0b022300 mer_init......#. + 145e0 085f7469 6d65725f 61726d00 00000793 ._timer_arm..... + 145f0 02230408 5f74696d 65725f64 69736172 .#.._timer_disar + 14600 6d000000 079c0223 08085f74 696d6572 m......#.._timer + 14610 5f736574 666e0000 0007ce02 230c085f _setfn......#.._ + 14620 74696d65 725f7275 6e000000 010b0223 timer_run......# + 14630 10000942 4f4f4c45 414e0000 00018c06 ...BOOLEAN...... + 14640 0000084b 01030000 08580400 06000008 ...K.....X...... + 14650 4b010300 00086504 00060000 084b0103 K.....e......K.. + 14660 00000872 04000772 6f6d705f 61706900 ...r...romp_api. + 14670 10000008 e4085f72 6f6d705f 696e6974 ......_romp_init + 14680 00000001 0b022300 085f726f 6d705f64 ......#.._romp_d + 14690 6f776e6c 6f616400 0000085e 02230408 ownload....^.#.. + 146a0 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 146b0 086b0223 08085f72 6f6d705f 6465636f .k.#.._romp_deco + 146c0 64650000 00087802 230c0007 726f6d5f de....x.#...rom_ + 146d0 70617463 685f7374 00100000 09400863 patch_st.....@.c + 146e0 72633136 00000001 8c022300 086c656e rc16......#..len + 146f0 00000001 8c022302 086c645f 61646472 ......#..ld_addr + 14700 00000001 af022304 0866756e 5f616464 ......#..fun_add + 14710 72000000 01af0223 08087066 756e0000 r......#..pfun.. + 14720 00034602 230c0007 6565705f 72656469 ..F.#...eep_redi + 14730 725f6164 64720004 00000972 086f6666 r_addr.....r.off + 14740 73657400 0000018c 02230008 73697a65 set......#..size + 14750 00000001 8c022302 0009415f 55494e54 ......#...A_UINT + 14760 33320000 00040a06 00000407 01030000 32.............. + 14770 09800400 07616c6c 6f637261 6d5f6170 .....allocram_ap + 14780 69000c00 0009f108 636d6e6f 735f616c i.......cmnos_al + 14790 6c6f6372 616d5f69 6e697400 00000986 locram_init..... + 147a0 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 147b0 616d0000 00098602 23040863 6d6e6f73 am......#..cmnos + 147c0 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 147d0 0000010b 02230800 02010300 0009f104 .....#.......... + 147e0 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 147f0 00000009 f3075f74 61736b6c 65740010 ......_tasklet.. + 14800 00000a52 0866756e 63000000 09fa0223 ...R.func......# + 14810 00086172 67000000 04070223 04087374 ..arg......#..st + 14820 61746500 00000112 02230808 6e657874 ate......#..next + 14830 0000000a 5202230c 00030000 0a0e0400 ....R.#......... + 14840 0300000a 0e040009 415f7461 736b6c65 ........A_taskle + 14850 745f7400 00000a0e 0300000a 60040002 t_t.........`... + 14860 01030000 0a780400 02010300 000a8104 .....x.......... + 14870 00077461 736b6c65 745f6170 69001400 ..tasklet_api... + 14880 000b1608 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 14890 74000000 010b0223 00085f74 61736b6c t......#.._taskl + 148a0 65745f69 6e69745f 7461736b 0000000a et_init_task.... + 148b0 7a022304 085f7461 736b6c65 745f6469 z.#.._tasklet_di + 148c0 7361626c 65000000 0a830223 08085f74 sable......#.._t + 148d0 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 148e0 00000a83 02230c08 5f746173 6b6c6574 .....#.._tasklet + 148f0 5f72756e 00000001 0b022310 00020103 _run......#..... + 14900 00000b16 04000600 00097201 0300000b ..........r..... + 14910 1f040002 01030000 0b2c0400 07636c6f .........,...clo + 14920 636b5f61 70690024 00000c0e 085f636c ck_api.$....._cl + 14930 6f636b5f 696e6974 0000000b 18022300 ock_init......#. + 14940 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init + 14950 00000001 0b022304 085f7561 72745f66 ......#.._uart_f + 14960 72657175 656e6379 0000000b 25022308 requency....%.#. + 14970 085f6465 6c61795f 75730000 000b2e02 ._delay_us...... + 14980 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se + 14990 74000000 0b2e0223 10085f72 6566636c t......#.._refcl + 149a0 6b5f7370 6565645f 67657400 00000b25 k_speed_get....% + 149b0 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond + 149c0 73000000 0b250223 18085f73 7973636c s....%.#.._syscl + 149d0 6b5f6368 616e6765 00000001 0b02231c k_change......#. + 149e0 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... + 149f0 0b022320 00060000 01af0103 00000c0e ..# ............ + 14a00 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. + 14a10 000001af 0600000c 1b010300 000c2d04 ..............-. + 14a20 00020103 00000c3a 04000201 0300000c .......:........ + 14a30 43040006 000001af 01030000 0c4c0400 C............L.. + 14a40 09415f69 73725f74 0000000c 52020103 .A_isr_t....R... + 14a50 00000c66 04000600 00040a01 0300000c ...f............ + 14a60 6f040002 01030000 0c7c0400 07696e74 o........|...int + 14a70 725f6170 69002c00 000d9e08 5f696e74 r_api.,....._int + 14a80 725f696e 69740000 00010b02 2300085f r_init......#.._ + 14a90 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. + 14aa0 00000c14 02230408 5f696e74 725f6469 .....#.._intr_di + 14ab0 7361626c 65000000 0c330223 08085f69 sable....3.#.._i + 14ac0 6e74725f 72657374 6f726500 00000c3c ntr_restore....< + 14ad0 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i + 14ae0 6e756d00 00000c45 02231008 5f696e74 num....E.#.._int + 14af0 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... + 14b00 0c450223 14085f69 6e74725f 61747461 .E.#.._intr_atta + 14b10 63685f69 73720000 000c6802 2318085f ch_isr....h.#.._ + 14b20 6765745f 696e7472 656e6162 6c650000 get_intrenable.. + 14b30 000c7502 231c085f 7365745f 696e7472 ..u.#.._set_intr + 14b40 656e6162 6c650000 000c7e02 2320085f enable....~.# ._ + 14b50 6765745f 696e7472 70656e64 696e6700 get_intrpending. + 14b60 00000c75 02232408 5f756e62 6c6f636b ...u.#$._unblock + 14b70 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... + 14b80 0b022328 00100400 000dc408 74696d65 ..#(........time + 14b90 6f757400 000001af 02230008 61637469 out......#..acti + 14ba0 6f6e0000 0001af02 23000011 0800000d on......#....... + 14bb0 df08636d 64000000 01af0223 00120000 ..cmd......#.... + 14bc0 0d9e0223 04000954 5f574454 5f434d44 ...#...T_WDT_CMD + 14bd0 0000000d c4020103 00000dee 04001304 ................ + 14be0 00000e44 0d454e55 4d5f5744 545f424f ...D.ENUM_WDT_BO + 14bf0 4f540001 0d454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B + 14c00 4f4f5400 020d454e 554d5f53 5553505f OOT...ENUM_SUSP_ + 14c10 424f4f54 00030d45 4e554d5f 554e4b4e BOOT...ENUM_UNKN + 14c20 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO + 14c30 4f545f54 59504500 00000df7 0600000e OT_TYPE......... + 14c40 44010300 000e5504 00077764 745f6170 D.....U...wdt_ap + 14c50 69001c00 000ef908 5f776474 5f696e69 i......._wdt_ini + 14c60 74000000 010b0223 00085f77 64745f65 t......#.._wdt_e + 14c70 6e61626c 65000000 010b0223 04085f77 nable......#.._w + 14c80 64745f64 69736162 6c650000 00010b02 dt_disable...... + 14c90 2308085f 7764745f 73657400 00000df0 #.._wdt_set..... + 14ca0 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... + 14cb0 010b0223 10085f77 64745f72 65736574 ...#.._wdt_reset + 14cc0 00000001 0b022314 085f7764 745f6c61 ......#.._wdt_la + 14cd0 73745f62 6f6f7400 00000e5b 02231800 st_boot....[.#.. + 14ce0 13040000 0f600d52 45545f53 55434345 .....`.RET_SUCCE + 14cf0 53530000 0d524554 5f4e4f54 5f494e49 SS...RET_NOT_INI + 14d00 5400010d 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS + 14d10 5400020d 5245545f 4545505f 434f5252 T...RET_EEP_CORR + 14d20 55505400 030d5245 545f4545 505f4f56 UPT...RET_EEP_OV + 14d30 4552464c 4f570004 0d524554 5f554e4b ERFLOW...RET_UNK + 14d40 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE + 14d50 54000000 0ef90300 00018c04 00060000 T............... + 14d60 0f600103 00000f76 04000600 000f6001 .`.....v......`. + 14d70 0300000f 83040007 6565705f 61706900 ........eep_api. + 14d80 1000000f ec085f65 65705f69 6e697400 ......_eep_init. + 14d90 0000010b 02230008 5f656570 5f726561 .....#.._eep_rea + 14da0 64000000 0f7c0223 04085f65 65705f77 d....|.#.._eep_w + 14db0 72697465 0000000f 7c022308 085f6565 rite....|.#.._ee + 14dc0 705f6973 5f657869 73740000 000f8902 p_is_exist...... + 14dd0 230c0007 7573625f 61706900 70000012 #...usb_api.p... + 14de0 99085f75 73625f69 6e697400 0000010b .._usb_init..... + 14df0 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas + 14e00 6b000000 010b0223 04085f75 73625f66 k......#.._usb_f + 14e10 775f7461 736b0000 00010b02 2308085f w_task......#.._ + 14e20 7573625f 696e6974 5f706879 00000001 usb_init_phy.... + 14e30 0b02230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se + 14e40 74757000 0000010b 02231008 5f757362 tup......#.._usb + 14e50 5f657030 5f747800 0000010b 02231408 _ep0_tx......#.. + 14e60 5f757362 5f657030 5f727800 0000010b _usb_ep0_rx..... + 14e70 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int + 14e80 65726661 63650000 00086b02 231c085f erface....k.#.._ + 14e90 7573625f 7365745f 696e7465 72666163 usb_set_interfac + 14ea0 65000000 086b0223 20085f75 73625f67 e....k.# ._usb_g + 14eb0 65745f63 6f6e6669 67757261 74696f6e et_configuration + 14ec0 00000008 6b022324 085f7573 625f7365 ....k.#$._usb_se + 14ed0 745f636f 6e666967 75726174 696f6e00 t_configuration. + 14ee0 0000086b 02232808 5f757362 5f737461 ...k.#(._usb_sta + 14ef0 6e646172 645f636d 64000000 086b0223 ndard_cmd....k.# + 14f00 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm + 14f10 64000000 010b0223 30085f75 73625f70 d......#0._usb_p + 14f20 6f776572 5f6f6666 00000001 0b022334 ower_off......#4 + 14f30 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo + 14f40 00000001 0b022338 085f7573 625f6765 ......#8._usb_ge + 14f50 6e5f7764 74000000 010b0223 3c085f75 n_wdt......#<._u + 14f60 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... + 14f70 0b022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe + 14f80 61747572 65000000 086b0223 44085f75 ature....k.#D._u + 14f90 73625f73 65745f66 65617475 72650000 sb_set_feature.. + 14fa0 00086b02 2348085f 7573625f 7365745f ..k.#H._usb_set_ + 14fb0 61646472 65737300 0000086b 02234c08 address....k.#L. + 14fc0 5f757362 5f676574 5f646573 63726970 _usb_get_descrip + 14fd0 746f7200 0000086b 02235008 5f757362 tor....k.#P._usb + 14fe0 5f676574 5f737461 74757300 0000086b _get_status....k + 14ff0 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d + 15000 65736300 0000010b 02235808 5f757362 esc......#X._usb + 15010 5f726567 5f6f7574 00000001 0b02235c _reg_out......#\ + 15020 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. + 15030 0000010b 02236008 5f757362 5f657030 .....#`._usb_ep0 + 15040 5f74785f 64617461 00000001 0b022364 _tx_data......#d + 15050 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat + 15060 61000000 010b0223 68085f75 73625f63 a......#h._usb_c + 15070 6c6b5f69 6e697400 0000010b 02236c00 lk_init......#l. + 15080 075f5644 45534300 24000013 25086e65 ._VDESC.$...%.ne + 15090 78745f64 65736300 00001325 02230008 xt_desc....%.#.. + 150a0 6275665f 61646472 00000013 39022304 buf_addr....9.#. + 150b0 08627566 5f73697a 65000000 13400223 .buf_size....@.# + 150c0 08086461 74615f6f 66667365 74000000 ..data_offset... + 150d0 13400223 0a086461 74615f73 697a6500 .@.#..data_size. + 150e0 00001340 02230c08 636f6e74 726f6c00 ...@.#..control. + 150f0 00001340 02230e08 68775f64 6573635f ...@.#..hw_desc_ + 15100 62756600 0000134e 02231000 03000012 buf....N.#...... + 15110 99040009 415f5549 4e543800 0000031f ....A_UINT8..... + 15120 03000013 2c040009 415f5549 4e543136 ....,...A_UINT16 + 15130 00000001 760e0000 132c1400 00135b0f ....v....,....[. + 15140 13000300 00129904 00095644 45534300 ..........VDESC. + 15150 00001299 03000013 62040006 0000136d ........b......m + 15160 01030000 13740400 06000013 39010300 .....t......9... + 15170 00138104 00020103 0000138e 04000776 ...............v + 15180 64657363 5f617069 00140000 1406085f desc_api......._ + 15190 696e6974 0000000b 2e022300 085f616c init......#.._al + 151a0 6c6f635f 76646573 63000000 137a0223 loc_vdesc....z.# + 151b0 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. + 151c0 00138702 2308085f 73776170 5f766465 ....#.._swap_vde + 151d0 73630000 00139002 230c0870 52657365 sc......#..pRese + 151e0 72766564 00000004 07022310 00075f56 rved......#..._V + 151f0 42554600 20000014 66086465 73635f6c BUF. ...f.desc_l + 15200 69737400 0000136d 02230008 6e657874 ist....m.#..next + 15210 5f627566 00000014 66022304 08627566 _buf....f.#..buf + 15220 5f6c656e 67746800 00001340 02230808 _length....@.#.. + 15230 72657365 72766564 00000014 6d02230a reserved....m.#. + 15240 08637478 00000013 4e02230c 00030000 .ctx....N.#..... + 15250 14060400 0e000013 2c020000 147a0f01 ........,....z.. + 15260 00030000 14060400 09564255 46000000 .........VBUF... + 15270 14060300 00148104 00060000 148b0103 ................ + 15280 00001492 04000600 00148b01 03000014 ................ + 15290 9f040002 01030000 14ac0400 07766275 .............vbu + 152a0 665f6170 69001400 00152a08 5f696e69 f_api.....*._ini + 152b0 74000000 0b2e0223 00085f61 6c6c6f63 t......#.._alloc + 152c0 5f766275 66000000 14980223 04085f61 _vbuf......#.._a + 152d0 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s + 152e0 697a6500 000014a5 02230808 5f667265 ize......#.._fre + 152f0 655f7662 75660000 0014ae02 230c0870 e_vbuf......#..p + 15300 52657365 72766564 00000004 07022310 Reserved......#. + 15310 00075f5f 6164665f 64657669 63650004 ..__adf_device.. + 15320 0000154c 0864756d 6d790000 00011202 ...L.dummy...... + 15330 23000003 00000972 0400075f 5f616466 #......r...__adf + 15340 5f646d61 5f6d6170 000c0000 15930862 _dma_map.......b + 15350 75660000 00148b02 23000864 735f6164 uf......#..ds_ad + 15360 64720000 00154c02 23040864 735f6c65 dr....L.#..ds_le + 15370 6e000000 13400223 0800110c 000015cd n....@.#........ + 15380 085f5f76 615f7374 6b000000 03750223 .__va_stk....u.# + 15390 00085f5f 76615f72 65670000 00037502 ..__va_reg....u. + 153a0 2304085f 5f76615f 6e647800 00000112 #..__va_ndx..... + 153b0 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm + 153c0 615f6164 64725f74 00000009 72096164 a_addr_t....r.ad + 153d0 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 153e0 000015cd 095f5f61 64665f6f 735f646d .....__adf_os_dm + 153f0 615f7369 7a655f74 00000009 72096164 a_size_t....r.ad + 15400 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 15410 000015fd 075f5f64 6d615f73 65677300 .....__dma_segs. + 15420 08000016 59087061 64647200 000015e6 ....Y.paddr..... + 15430 02230008 6c656e00 00001616 02230400 .#..len......#.. + 15440 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... + 15450 09720961 5f75696e 7433325f 74000000 .r.a_uint32_t... + 15460 16590e00 00162d08 00001688 0f000007 .Y....-......... + 15470 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 15480 666f000c 000016c1 086e7365 67730000 fo.......nsegs.. + 15490 00166b02 23000864 6d615f73 65677300 ..k.#..dma_segs. + 154a0 0000167b 02230400 095f5f61 5f75696e ...{.#...__a_uin + 154b0 74385f74 00000013 2c09615f 75696e74 t8_t....,.a_uint + 154c0 385f7400 000016c1 03000016 d2040007 8_t............. + 154d0 5f5f7367 5f736567 73000800 00171308 __sg_segs....... + 154e0 76616464 72000000 16e10223 00086c65 vaddr......#..le + 154f0 6e000000 166b0223 04000e00 0016e820 n....k.#....... + 15500 00001720 0f030007 6164665f 6f735f73 ... ....adf_os_s + 15510 676c6973 74002400 00175308 6e736567 glist.$...S.nseg + 15520 73000000 166b0223 00087367 5f736567 s....k.#..sg_seg + 15530 73000000 17130223 04001110 0000179c s......#........ + 15540 0876656e 646f7200 0000166b 02230008 .vendor....k.#.. + 15550 64657669 63650000 00166b02 23040873 device....k.#..s + 15560 75627665 6e646f72 00000016 6b022308 ubvendor....k.#. + 15570 08737562 64657669 63650000 00166b02 .subdevice....k. + 15580 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un + 15590 7369676e 65642069 6e740007 0809415f signed int....A_ + 155a0 55494e54 36340000 00179c09 5f5f615f UINT64......__a_ + 155b0 75696e74 36345f74 00000017 b609615f uint64_t......a_ + 155c0 75696e74 36345f74 00000017 c4130400 uint64_t........ + 155d0 0018220d 4144465f 4f535f52 45534f55 ..".ADF_OS_RESOU + 155e0 5243455f 54595045 5f4d454d 00000d41 RCE_TYPE_MEM...A + 155f0 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 15600 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os + 15610 5f726573 6f757263 655f7479 70655f74 _resource_type_t + 15620 00000017 e6111800 00186c08 73746172 ..........l.star + 15630 74000000 17d60223 0008656e 64000000 t......#..end... + 15640 17d60223 08087479 70650000 00182202 ...#..type....". + 15650 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d + 15660 65765f69 645f7400 00001753 03000018 ev_id_t....S.... + 15670 6c040010 04000018 ab087063 69000000 l.........pci... + 15680 18850223 00087261 77000000 04070223 ...#..raw......# + 15690 00001010 000018ca 08706369 00000018 .........pci.... + 156a0 6c022300 08726177 00000004 07022300 l.#..raw......#. + 156b0 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle + 156c0 5f740000 00040709 6164665f 6f735f72 _t......adf_os_r + 156d0 65736f75 7263655f 74000000 183e0300 esource_t....>.. + 156e0 0018e004 00096164 665f6f73 5f617474 ......adf_os_att + 156f0 6163685f 64617461 5f740000 0018ab03 ach_data_t...... + 15700 000018fe 04000300 00152a04 00095f5f ..........*...__ + 15710 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 15720 0000191f 09616466 5f6f735f 64657669 .....adf_os_devi + 15730 63655f74 00000019 26060000 18ca0103 ce_t....&....... + 15740 00001952 04000201 03000019 5f040009 ...R........_... + 15750 6164665f 6f735f70 6d5f7400 00000407 adf_os_pm_t..... + 15760 02010300 00197904 00130400 0019b90d ......y......... + 15770 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 15780 50434900 010d4144 465f4f53 5f425553 PCI...ADF_OS_BUS + 15790 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... + 157a0 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type + 157b0 5f740000 00198209 6164665f 6f735f62 _t......adf_os_b + 157c0 75735f72 65675f64 6174615f 74000000 us_reg_data_t... + 157d0 188c0300 00031f04 00075f61 64665f64 .........._adf_d + 157e0 72765f69 6e666f00 2000001a 96086472 rv_info. .....dr + 157f0 765f6174 74616368 00000019 58022300 v_attach....X.#. + 15800 08647276 5f646574 61636800 00001961 .drv_detach....a + 15810 02230408 6472765f 73757370 656e6400 .#..drv_suspend. + 15820 0000197b 02230808 6472765f 72657375 ...{.#..drv_resu + 15830 6d650000 00196102 230c0862 75735f74 me....a.#..bus_t + 15840 79706500 000019b9 02231008 6275735f ype......#..bus_ + 15850 64617461 00000019 d0022314 086d6f64 data......#..mod + 15860 5f6e616d 65000000 19eb0223 18086966 _name......#..if + 15870 6e616d65 00000019 eb02231c 00096164 name......#...ad + 15880 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... + 15890 04070300 0016c104 00020102 01095f5f ..............__ + 158a0 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 158b0 040a1304 00001ae5 0d415f46 414c5345 .........A_FALSE + 158c0 00000d41 5f545255 45000100 09615f62 ...A_TRUE....a_b + 158d0 6f6f6c5f 74000000 1acb0300 00155304 ool_t.........S. + 158e0 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m + 158f0 61705f74 0000001a f302010c 6164665f ap_t........adf_ + 15900 6f735f63 61636865 5f73796e 63000400 os_cache_sync... + 15910 001b7d0d 4144465f 53594e43 5f505245 ..}.ADF_SYNC_PRE + 15920 52454144 00000d41 44465f53 594e435f READ...ADF_SYNC_ + 15930 50524557 52495445 00020d41 44465f53 PREWRITE...ADF_S + 15940 594e435f 504f5354 52454144 00010d41 YNC_POSTREAD...A + 15950 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT + 15960 45000300 09616466 5f6f735f 63616368 E....adf_os_cach + 15970 655f7379 6e635f74 0000001b 14020109 e_sync_t........ + 15980 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 15990 1ab60600 001b9801 09616466 5f6f735f .........adf_os_ + 159a0 646d615f 6d61705f 74000000 1afa0300 dma_map_t....... + 159b0 001bb104 00060000 04070103 00001afa ................ + 159c0 04000600 00040701 02010600 0015e601 ................ + 159d0 02010473 686f7274 20696e74 00050209 ...short int.... + 159e0 415f494e 54313600 00001beb 095f5f61 A_INT16......__a + 159f0 5f696e74 31365f74 0000001b f809615f _int16_t......a_ + 15a00 696e7431 365f7400 00001c05 04736967 int16_t......sig + 15a10 6e656420 63686172 00050109 415f494e ned char....A_IN + 15a20 54380000 001c2509 5f5f615f 696e7438 T8....%.__a_int8 + 15a30 5f740000 001c3409 615f696e 74385f74 _t....4.a_int8_t + 15a40 0000001c 40110c00 001cb708 73757070 ....@.......supp + 15a50 6f727465 64000000 166b0223 00086164 orted....k.#..ad + 15a60 76657274 697a6564 00000016 6b022304 vertized....k.#. + 15a70 08737065 65640000 001c1602 23080864 .speed......#..d + 15a80 75706c65 78000000 1c500223 0a086175 uplex....P.#..au + 15a90 746f6e65 67000000 16d20223 0b000e00 toneg......#.... + 15aa0 0016d206 00001cc4 0f050007 6164665f ............adf_ + 15ab0 6e65745f 65746861 64647200 0600001c net_ethaddr..... + 15ac0 e8086164 64720000 001cb702 23000009 ..addr......#... + 15ad0 5f5f615f 75696e74 31365f74 00000013 __a_uint16_t.... + 15ae0 4009615f 75696e74 31365f74 0000001c @.a_uint16_t.... + 15af0 e8110e00 001d4c08 65746865 725f6468 ......L.ether_dh + 15b00 6f737400 00001cb7 02230008 65746865 ost......#..ethe + 15b10 725f7368 6f737400 00001cb7 02230608 r_shost......#.. + 15b20 65746865 725f7479 70650000 001cfa02 ether_type...... + 15b30 230c0011 1400001e 0d146970 5f766572 #.........ip_ver + 15b40 73696f6e 00000016 d2010004 02230014 sion.........#.. + 15b50 69705f68 6c000000 16d20104 04022300 ip_hl.........#. + 15b60 0869705f 746f7300 000016d2 02230108 .ip_tos......#.. + 15b70 69705f6c 656e0000 001cfa02 23020869 ip_len......#..i + 15b80 705f6964 0000001c fa022304 0869705f p_id......#..ip_ + 15b90 66726167 5f6f6666 0000001c fa022306 frag_off......#. + 15ba0 0869705f 74746c00 000016d2 02230808 .ip_ttl......#.. + 15bb0 69705f70 726f746f 00000016 d2022309 ip_proto......#. + 15bc0 0869705f 63686563 6b000000 1cfa0223 .ip_check......# + 15bd0 0a086970 5f736164 64720000 00166b02 ..ip_saddr....k. + 15be0 230c0869 705f6461 64647200 0000166b #..ip_daddr....k + 15bf0 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla + 15c00 6e686472 00040000 1e5f0874 70696400 nhdr....._.tpid. + 15c10 00001cfa 02230014 7072696f 00000016 .....#..prio.... + 15c20 d2010003 02230214 63666900 000016d2 .....#..cfi..... + 15c30 01030102 23021476 69640000 001cfa02 ....#..vid...... + 15c40 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v + 15c50 69640002 00001e90 14726573 00000016 id.......res.... + 15c60 d2010004 02230014 76616c00 00001cfa .....#..val..... + 15c70 02040c02 23000011 0c00001e cc087278 ....#.........rx + 15c80 5f627566 73697a65 00000016 6b022300 _bufsize....k.#. + 15c90 0872785f 6e646573 63000000 166b0223 .rx_ndesc....k.# + 15ca0 04087478 5f6e6465 73630000 00166b02 ..tx_ndesc....k. + 15cb0 23080011 0800001e f208706f 6c6c6564 #.........polled + 15cc0 0000001a e5022300 08706f6c 6c5f7774 ......#..poll_wt + 15cd0 00000016 6b022304 000e0000 16d24000 ....k.#.......@. + 15ce0 001eff0f 3f001146 00001f27 0869665f ....?..F...'.if_ + 15cf0 6e616d65 0000001e f2022300 08646576 name......#..dev + 15d00 5f616464 72000000 1cb70223 40001304 _addr......#@... + 15d10 00001f5e 0d414446 5f4f535f 444d415f ...^.ADF_OS_DMA_ + 15d20 4d41534b 5f333242 49540000 0d414446 MASK_32BIT...ADF + 15d30 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B + 15d40 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma + 15d50 5f6d6173 6b5f7400 00001f27 07616466 _mask_t....'.adf + 15d60 5f646d61 5f696e66 6f000800 001fab08 _dma_info....... + 15d70 646d615f 6d61736b 0000001f 5e022300 dma_mask....^.#. + 15d80 0873675f 6e736567 73000000 166b0223 .sg_nsegs....k.# + 15d90 04001304 00002001 0d414446 5f4e4554 ...... ..ADF_NET + 15da0 5f434b53 554d5f4e 4f4e4500 000d4144 _CKSUM_NONE...AD + 15db0 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 15dc0 5544505f 49507634 00010d41 44465f4e UDP_IPv4...ADF_N + 15dd0 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 15de0 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net + 15df0 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 15e00 1fab1108 00002044 0874785f 636b7375 ...... D.tx_cksu + 15e10 6d000000 20010223 00087278 5f636b73 m... ..#..rx_cks + 15e20 756d0000 00200102 23040009 6164665f um... ..#...adf_ + 15e30 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t + 15e40 00000020 1b130400 00209d0d 4144465f ... ..... ..ADF_ + 15e50 4e45545f 54534f5f 4e4f4e45 00000d41 NET_TSO_NONE...A + 15e60 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. + 15e70 010d4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL + 15e80 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso + 15e90 5f747970 655f7400 0000205e 11100000 _type_t... ^.... + 15ea0 20f10863 6b73756d 5f636170 00000020 ..cksum_cap... + 15eb0 44022300 0874736f 00000020 9d022308 D.#..tso... ..#. + 15ec0 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. + 15ed0 000016d2 02230c00 11200000 218a0874 .....#... ..!..t + 15ee0 785f7061 636b6574 73000000 166b0223 x_packets....k.# + 15ef0 00087278 5f706163 6b657473 00000016 ..rx_packets.... + 15f00 6b022304 0874785f 62797465 73000000 k.#..tx_bytes... + 15f10 166b0223 08087278 5f627974 65730000 .k.#..rx_bytes.. + 15f20 00166b02 230c0874 785f6472 6f707065 ..k.#..tx_droppe + 15f30 64000000 166b0223 10087278 5f64726f d....k.#..rx_dro + 15f40 70706564 00000016 6b022314 0872785f pped....k.#..rx_ + 15f50 6572726f 72730000 00166b02 23180874 errors....k.#..t + 15f60 785f6572 726f7273 00000016 6b02231c x_errors....k.#. + 15f70 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 15f80 725f7400 00001cc4 15000021 8a030000 r_t........!.... + 15f90 0021af0f 7f001661 64665f6e 65745f63 .!.....adf_net_c + 15fa0 6d645f6d 63616464 72000304 000021e6 md_mcaddr.....!. + 15fb0 086e656c 656d0000 00166b02 2300086d .nelem....k.#..m + 15fc0 63617374 00000021 a1022304 00096164 cast...!..#...ad + 15fd0 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i + 15fe0 6e666f5f 74000000 1c5e0961 64665f6e nfo_t....^.adf_n + 15ff0 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info + 16000 5f740000 001ecc09 6164665f 6e65745f _t......adf_net_ + 16010 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t + 16020 00000020 44096164 665f6e65 745f636d ... D.adf_net_cm + 16030 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... + 16040 1e900961 64665f6e 65745f63 6d645f64 ...adf_net_cmd_d + 16050 6d615f69 6e666f5f 74000000 1f750961 ma_info_t....u.a + 16060 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t + 16070 0000001c fa096164 665f6e65 745f636d ......adf_net_cm + 16080 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. + 16090 000020b5 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd + 160a0 5f737461 74735f74 00000020 f1096164 _stats_t... ..ad + 160b0 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 160c0 5f740000 0021af0c 6164665f 6e65745f _t...!..adf_net_ + 160d0 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... + 160e0 0023280d 4144465f 4e45545f 4d434153 .#(.ADF_NET_MCAS + 160f0 545f5355 5000000d 4144465f 4e45545f T_SUP...ADF_NET_ + 16100 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... + 16110 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 16120 745f6361 705f7400 000022e0 17030400 t_cap_t..."..... + 16130 0023fa08 6c696e6b 5f696e66 6f000000 .#..link_info... + 16140 21e60223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. + 16150 00002203 02230008 636b7375 6d5f696e .."..#..cksum_in + 16160 666f0000 00222002 23000872 696e675f fo..." .#..ring_ + 16170 696e666f 00000022 3e022300 08646d61 info...">.#..dma + 16180 5f696e66 6f000000 225b0223 00087669 _info..."[.#..vi + 16190 64000000 22770223 00086f66 666c6f61 d..."w.#..offloa + 161a0 645f6361 70000000 228e0223 00087374 d_cap..."..#..st + 161b0 61747300 000022ad 02230008 6d636173 ats..."..#..mcas + 161c0 745f696e 666f0000 0022c602 2300086d t_info..."..#..m + 161d0 63617374 5f636170 00000023 28022300 cast_cap...#(.#. + 161e0 00130400 0024510d 4144465f 4e425546 .....$Q.ADF_NBUF + 161f0 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. + 16200 0d414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 16210 554d5f48 5700010d 4144465f 4e425546 UM_HW...ADF_NBUF + 16220 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE + 16230 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu + 16240 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ + 16250 74000000 23fa1108 00002491 08726573 t...#.....$..res + 16260 756c7400 00002451 02230008 76616c00 ult...$Q.#..val. + 16270 0000166b 02230400 11080000 24c10874 ...k.#......$..t + 16280 79706500 0000209d 02230008 6d737300 ype... ..#..mss. + 16290 00001cfa 02230408 6864725f 6f666600 .....#..hdr_off. + 162a0 000016d2 02230600 075f5f61 64665f6e .....#...__adf_n + 162b0 6275665f 71686561 64000c00 00250008 buf_qhead....%.. + 162c0 68656164 00000014 8b022300 08746169 head......#..tai + 162d0 6c000000 148b0223 0408716c 656e0000 l......#..qlen.. + 162e0 00166b02 23080009 5f5f6164 665f6e62 ..k.#...__adf_nb + 162f0 75665f74 00000014 8b030000 16e10400 uf_t............ + 16300 03000016 6b040002 01060000 136d0106 ....k........m.. + 16310 0000166b 01060000 16e10106 000016e1 ...k............ + 16320 01030000 134e0400 095f5f61 64665f6e .....N...__adf_n + 16330 6275665f 71686561 645f7400 000024c1 buf_qhead_t...$. + 16340 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu + 16350 655f7400 00002541 03000025 59040006 e_t...%A...%Y... + 16360 00002500 01060000 25000113 04000026 ..%.....%......& + 16370 790d415f 53544154 55535f4f 4b00000d y.A_STATUS_OK... + 16380 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. + 16390 010d415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN + 163a0 5400020d 415f5354 41545553 5f454e4f T...A_STATUS_ENO + 163b0 4d454d00 030d415f 53544154 55535f45 MEM...A_STATUS_E + 163c0 494e5641 4c00040d 415f5354 41545553 INVAL...A_STATUS + 163d0 5f45494e 50524f47 52455353 00050d41 _EINPROGRESS...A + 163e0 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP + 163f0 00060d41 5f535441 5455535f 45425553 ...A_STATUS_EBUS + 16400 5900070d 415f5354 41545553 5f453242 Y...A_STATUS_E2B + 16410 49470008 0d415f53 54415455 535f4541 IG...A_STATUS_EA + 16420 4444524e 4f544156 41494c00 090d415f DDRNOTAVAIL...A_ + 16430 53544154 55535f45 4e58494f 000a0d41 STATUS_ENXIO...A + 16440 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. + 16450 0d415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... + 16460 09615f73 74617475 735f7400 00002584 .a_status_t...%. + 16470 06000026 79010600 00011201 02010961 ...&y..........a + 16480 64665f6e 6275665f 74000000 25001304 df_nbuf_t...%... + 16490 000026de 0d414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ + 164a0 544f5f44 45564943 4500000d 4144465f TO_DEVICE...ADF_ + 164b0 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI + 164c0 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma + 164d0 5f646972 5f740000 0026a706 00002679 _dir_t...&....&y + 164e0 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama + 164f0 705f696e 666f5f74 00000016 88030000 p_info_t........ + 16500 26fc0400 02010201 06000026 97010600 &..........&.... + 16510 00250001 02010201 06000026 97010600 .%.........&.... + 16520 00250001 06000026 97010600 00250001 .%.....&.....%.. + 16530 06000026 97010201 02010600 00166b01 ...&..........k. + 16540 06000016 e1010201 02010600 001b9801 ................ + 16550 0600001a e5010600 001ae501 09616466 .............adf + 16560 5f6f735f 73676c69 73745f74 00000017 _os_sglist_t.... + 16570 20030000 27750400 02010201 02010600 ...'u.......... + 16580 0016e101 09616466 5f6e6275 665f7175 .....adf_nbuf_qu + 16590 6575655f 74000000 25590300 00279d04 eue_t...%Y...'.. + 165a0 00020103 00002541 04000201 02010201 ......%A........ + 165b0 06000026 97010600 00250001 06000016 ...&.....%...... + 165c0 6b010600 00166b01 0600001a e5010600 k.....k......... + 165d0 001ae501 06000020 01010600 00166b01 ....... ......k. + 165e0 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 165f0 756d5f74 00000024 6f030000 27f90400 um_t...$o...'... + 16600 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts + 16610 6f5f7400 00002491 03000028 1d040002 o_t...$....(.... + 16620 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand + 16630 6c655f74 00000004 07096164 665f6e65 le_t......adf_ne + 16640 745f766c 616e6864 725f7400 00001e0d t_vlanhdr_t..... + 16650 03000028 52040006 00002679 01060000 ...(R.....&y.... + 16660 26790102 01020107 5f484946 5f434f4e &y......_HIF_CON + 16670 46494700 04000028 a1086475 6d6d7900 FIG....(..dummy. + 16680 00000112 02230000 02010300 0028a104 .....#.......(.. + 16690 00020103 000028aa 0400075f 4849465f ......(...._HIF_ + 166a0 43414c4c 4241434b 000c0000 28ff0873 CALLBACK....(..s + 166b0 656e645f 6275665f 646f6e65 00000028 end_buf_done...( + 166c0 a3022300 08726563 765f6275 66000000 ..#..recv_buf... + 166d0 28ac0223 0408636f 6e746578 74000000 (..#..context... + 166e0 04070223 08000968 69665f68 616e646c ...#...hif_handl + 166f0 655f7400 00000407 09484946 5f434f4e e_t......HIF_CON + 16700 46494700 00002880 03000029 11040006 FIG...(....).... + 16710 000028ff 01030000 29280400 02010300 ..(.....)(...... + 16720 00293504 00094849 465f4341 4c4c4241 .)5...HIF_CALLBA + 16730 434b0000 0028b303 0000293e 04000201 CK...(....)>.... + 16740 03000029 57040006 00000112 01030000 ...)W........... + 16750 29600400 02010300 00296d04 00060000 )`.......)m..... + 16760 01120103 00002976 04000201 03000029 ......)v.......) + 16770 83040006 00000112 01030000 298c0400 ............)... + 16780 02010300 00299904 00076869 665f6170 .....)....hif_ap + 16790 69003800 002af208 5f696e69 74000000 i.8..*.._init... + 167a0 292e0223 00085f73 68757464 6f776e00 )..#.._shutdown. + 167b0 00002937 02230408 5f726567 69737465 ..)7.#.._registe + 167c0 725f6361 6c6c6261 636b0000 00295902 r_callback...)Y. + 167d0 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr + 167e0 65646974 5f636f75 6e740000 00296602 edit_count...)f. + 167f0 230c085f 73746172 74000000 29370223 #.._start...)7.# + 16800 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. + 16810 00296f02 2314085f 73656e64 5f627566 .)o.#.._send_buf + 16820 66657200 0000297c 02231808 5f726574 fer...)|.#.._ret + 16830 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) + 16840 8502231c 085f6973 5f706970 655f7375 ..#.._is_pipe_su + 16850 70706f72 74656400 00002992 02232008 pported...)..# . + 16860 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len + 16870 00000029 92022324 085f6765 745f7265 ...)..#$._get_re + 16880 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 16890 00002966 02232808 5f697372 5f68616e ..)f.#(._isr_han + 168a0 646c6572 00000029 3702232c 085f6765 dler...)7.#,._ge + 168b0 745f6465 6661756c 745f7069 70650000 t_default_pipe.. + 168c0 00299b02 23300870 52657365 72766564 .)..#0.pReserved + 168d0 00000004 07022334 000c646d 615f656e ......#4..dma_en + 168e0 67696e65 00040000 2b7b0d44 4d415f45 gine....+{.DMA_E + 168f0 4e47494e 455f5258 3000000d 444d415f NGINE_RX0...DMA_ + 16900 454e4749 4e455f52 58310001 0d444d41 ENGINE_RX1...DMA + 16910 5f454e47 494e455f 52583200 020d444d _ENGINE_RX2...DM + 16920 415f454e 47494e45 5f525833 00030d44 A_ENGINE_RX3...D + 16930 4d415f45 4e47494e 455f5458 3000040d MA_ENGINE_TX0... + 16940 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. + 16950 0d444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. + 16960 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. + 16970 00002af2 0c646d61 5f696674 79706500 ..*..dma_iftype. + 16980 0400002b c80d444d 415f4946 5f474d41 ...+..DMA_IF_GMA + 16990 4300000d 444d415f 49465f50 43490001 C...DMA_IF_PCI.. + 169a0 0d444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... + 169b0 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ + 169c0 8d060000 13400103 00002bda 04000201 .....@....+..... + 169d0 0300002b e7040002 01030000 2bf00400 ...+........+... + 169e0 06000009 72010300 002bf904 00060000 ....r....+...... + 169f0 13400103 00002c06 04000600 00134001 .@....,.......@. + 16a00 0300002c 13040006 0000148b 01030000 ...,............ + 16a10 2c200400 02010300 002c2d04 0007646d , .......,-...dm + 16a20 615f6c69 625f6170 69003400 002d3408 a_lib_api.4..-4. + 16a30 74785f69 6e697400 00002be0 02230008 tx_init...+..#.. + 16a40 74785f73 74617274 0000002b e9022304 tx_start...+..#. + 16a50 0872785f 696e6974 0000002b e0022308 .rx_init...+..#. + 16a60 0872785f 636f6e66 69670000 002bf202 .rx_config...+.. + 16a70 230c0872 785f7374 61727400 00002be9 #..rx_start...+. + 16a80 02231008 696e7472 5f737461 74757300 .#..intr_status. + 16a90 00002bff 02231408 68617264 5f786d69 ..+..#..hard_xmi + 16aa0 74000000 2c0c0223 1808666c 7573685f t...,..#..flush_ + 16ab0 786d6974 0000002b e902231c 08786d69 xmit...+..#..xmi + 16ac0 745f646f 6e650000 002c1902 23200872 t_done...,..# .r + 16ad0 6561705f 786d6974 74656400 00002c26 eap_xmitted...,& + 16ae0 02232408 72656170 5f726563 76000000 .#$.reap_recv... + 16af0 2c260223 28087265 7475726e 5f726563 ,&.#(.return_rec + 16b00 76000000 2c2f0223 2c087265 63765f70 v...,/.#,.recv_p + 16b10 6b740000 002c1902 23300007 5f5f7063 kt...,..#0..__pc + 16b20 695f736f 66746300 0c00002d 52087377 i_softc....-R.sw + 16b30 00000029 3e022300 00095f5f 7063695f ...)>.#...__pci_ + 16b40 736f6674 635f7400 00002d34 0300002d softc_t...-4...- + 16b50 52040002 01030000 2d6c0400 06000013 R.......-l...... + 16b60 2c010300 002d7504 000c6869 665f7063 ,....-u...hif_pc + 16b70 695f7069 70655f74 78000400 002dd50d i_pipe_tx....-.. + 16b80 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 + 16b90 00000d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 16ba0 54583100 010d4849 465f5043 495f5049 TX1...HIF_PCI_PI + 16bb0 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif + 16bc0 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. + 16bd0 002d8206 00002b7b 01030000 2dec0400 .-....+{....-... + 16be0 0c686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 16bf0 00040000 2e720d48 49465f50 43495f50 .....r.HIF_PCI_P + 16c00 4950455f 52583000 000d4849 465f5043 IPE_RX0...HIF_PC + 16c10 495f5049 50455f52 58310001 0d484946 I_PIPE_RX1...HIF + 16c20 5f504349 5f504950 455f5258 3200020d _PCI_PIPE_RX2... + 16c30 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 + 16c40 00030d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 16c50 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc + 16c60 695f7069 70655f72 785f7400 00002df9 i_pipe_rx_t...-. + 16c70 0600002b 7b010300 002e8904 00076869 ...+{.........hi + 16c80 665f7063 695f6170 69002400 002f6708 f_pci_api.$../g. + 16c90 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... + 16ca0 010b0223 00087063 695f696e 69740000 ...#..pci_init.. + 16cb0 00292e02 23040870 63695f72 65736574 .)..#..pci_reset + 16cc0 00000001 0b022308 08706369 5f656e61 ......#..pci_ena + 16cd0 626c6500 0000010b 02230c08 7063695f ble......#..pci_ + 16ce0 72656170 5f786d69 74746564 0000002d reap_xmitted...- + 16cf0 6e022310 08706369 5f726561 705f7265 n.#..pci_reap_re + 16d00 63760000 002d6e02 23140870 63695f67 cv...-n.#..pci_g + 16d10 65745f70 69706500 00002d7b 02231808 et_pipe...-{.#.. + 16d20 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. + 16d30 002df202 231c0870 63695f67 65745f72 .-..#..pci_get_r + 16d40 785f656e 67000000 2e8f0223 20000767 x_eng......# ..g + 16d50 6d61635f 61706900 0400002f 8e08676d mac_api..../..gm + 16d60 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... + 16d70 0b022300 000e0000 031f0600 002f9b0f ..#........../.. + 16d80 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ + 16d90 d1086473 74000000 2f8e0223 00087372 ..dst.../..#..sr + 16da0 63000000 2f8e0223 06086574 79706500 c.../..#..etype. + 16db0 00001340 02230c00 075f5f61 74686864 ...@.#...__athhd + 16dc0 72000400 00301f14 72657300 0000132c r....0..res...., + 16dd0 01000202 23001470 726f746f 00000013 ....#..proto.... + 16de0 2c010206 02230008 7265735f 6c6f0000 ,....#..res_lo.. + 16df0 00132c02 23010872 65735f68 69000000 ..,.#..res_hi... + 16e00 13400223 0200075f 5f676d61 635f6864 .@.#...__gmac_hd + 16e10 72001400 00305b08 65746800 00002f9b r....0[.eth.../. + 16e20 02230008 61746800 00002fd1 02230e08 .#..ath.../..#.. + 16e30 616c6967 6e5f7061 64000000 13400223 align_pad....@.# + 16e40 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. + 16e50 0000301f 075f5f67 6d61635f 736f6674 ..0..__gmac_soft + 16e60 63002400 0030a508 68647200 0000305b c.$..0..hdr...0[ + 16e70 02230008 6772616e 00000013 40022314 .#..gran....@.#. + 16e80 08737700 0000293e 02231800 075f415f .sw...)>.#..._A_ + 16e90 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check + 16ea0 00080000 30de0876 65727369 6f6e0000 ....0..version.. + 16eb0 00011202 23000874 61626c65 00000001 ....#..table.... + 16ec0 12022304 00030000 30a50400 06000001 ..#.....0....... + 16ed0 12010300 0030e504 00030000 040a0400 .....0.......... + 16ee0 165f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire + 16ef0 6374696f 6e5f7461 626c6500 01b80000 ction_table..... + 16f00 32350868 616c5f6c 696e6b61 67655f63 25.hal_linkage_c + 16f10 6865636b 00000030 eb022300 08737461 heck...0..#..sta + 16f20 72745f62 73730000 0030f202 23040861 rt_bss...0..#..a + 16f30 70705f73 74617274 00000001 0b022308 pp_start......#. + 16f40 086d656d 00000004 4a02230c 086d6973 .mem....J.#..mis + 16f50 63000000 05bd0223 20087072 696e7466 c......# .printf + 16f60 00000001 3f022344 08756172 74000000 ....?.#D.uart... + 16f70 02080223 4c08676d 61630000 002f6702 ...#L.gmac.../g. + 16f80 236c0875 73620000 000fec02 23700863 #l.usb......#p.c + 16f90 6c6f636b 0000000b 350323e0 01087469 lock....5.#...ti + 16fa0 6d657200 000007d5 03238402 08696e74 mer......#...int + 16fb0 72000000 0c850323 98020861 6c6c6f63 r......#...alloc + 16fc0 72616d00 0000098d 0323c402 08726f6d ram......#...rom + 16fd0 70000000 087f0323 d0020877 64745f74 p......#...wdt_t + 16fe0 696d6572 0000000e 620323e0 02086565 imer....b.#...ee + 16ff0 70000000 0f900323 fc020873 7472696e p......#...strin + 17000 67000000 06e10323 8c030874 61736b6c g......#...taskl + 17010 65740000 000a8a03 23a40300 075f5553 et......#...._US + 17020 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... + 17030 0032a808 6765745f 636f6d6d 616e645f .2..get_command_ + 17040 62756600 00001498 02230008 72656376 buf......#..recv + 17050 5f636f6d 6d616e64 00000014 ae022304 _command......#. + 17060 08676574 5f657665 6e745f62 75660000 .get_event_buf.. + 17070 00149802 23080873 656e645f 6576656e ....#..send_even + 17080 745f646f 6e650000 0014ae02 230c0009 t_done......#... + 17090 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 170a0 00003235 03000032 a8040002 01030000 ..25...2........ + 170b0 32c40400 07757362 6669666f 5f617069 2....usbfifo_api + 170c0 000c0000 331a085f 696e6974 00000032 ....3.._init...2 + 170d0 c6022300 085f656e 61626c65 5f657665 ..#.._enable_eve + 170e0 6e745f69 73720000 00010b02 23040870 nt_isr......#..p + 170f0 52657365 72766564 00000004 07022308 Reserved......#. + 17100 000e0000 16d20200 0033270f 0100075f .........3'...._ + 17110 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... + 17120 00339908 456e6470 6f696e74 49440000 .3..EndpointID.. + 17130 0016d202 23000846 6c616773 00000016 ....#..Flags.... + 17140 d2022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. + 17150 00001cfa 02230208 436f6e74 726f6c42 .....#..ControlB + 17160 79746573 00000033 1a022304 08486f73 ytes...3..#..Hos + 17170 74536571 4e756d00 00001cfa 02230600 tSeqNum......#.. + 17180 11020000 33b2084d 65737361 67654944 ....3..MessageID + 17190 0000001c fa022300 00110800 00341508 ......#......4.. + 171a0 4d657373 61676549 44000000 1cfa0223 MessageID......# + 171b0 00084372 65646974 436f756e 74000000 ..CreditCount... + 171c0 1cfa0223 02084372 65646974 53697a65 ...#..CreditSize + 171d0 0000001c fa022304 084d6178 456e6470 ......#..MaxEndp + 171e0 6f696e74 73000000 16d20223 06085f50 oints......#.._P + 171f0 61643100 000016d2 02230700 110a0000 ad1......#...... + 17200 34ac084d 65737361 67654944 0000001c 4..MessageID.... + 17210 fa022300 08536572 76696365 49440000 ..#..ServiceID.. + 17220 001cfa02 23020843 6f6e6e65 6374696f ....#..Connectio + 17230 6e466c61 67730000 001cfa02 23040844 nFlags......#..D + 17240 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 17250 16d20223 06085570 4c696e6b 50697065 ...#..UpLinkPipe + 17260 49440000 0016d202 23070853 65727669 ID......#..Servi + 17270 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 17280 d2022308 085f5061 64310000 0016d202 ..#.._Pad1...... + 17290 23090011 0a000035 34084d65 73736167 #......54.Messag + 172a0 65494400 00001cfa 02230008 53657276 eID......#..Serv + 172b0 69636549 44000000 1cfa0223 02085374 iceID......#..St + 172c0 61747573 00000016 d2022304 08456e64 atus......#..End + 172d0 706f696e 74494400 000016d2 02230508 pointID......#.. + 172e0 4d61784d 73675369 7a650000 001cfa02 MaxMsgSize...... + 172f0 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe + 17300 6e677468 00000016 d2022308 085f5061 ngth......#.._Pa + 17310 64310000 0016d202 23090011 02000035 d1......#......5 + 17320 4d084d65 73736167 65494400 00001cfa M.MessageID..... + 17330 02230000 11040000 3589084d 65737361 .#......5..Messa + 17340 67654944 0000001c fa022300 08506970 geID......#..Pip + 17350 65494400 000016d2 02230208 43726564 eID......#..Cred + 17360 6974436f 756e7400 000016d2 02230300 itCount......#.. + 17370 11040000 35c0084d 65737361 67654944 ....5..MessageID + 17380 0000001c fa022300 08506970 65494400 ......#..PipeID. + 17390 000016d2 02230208 53746174 75730000 .....#..Status.. + 173a0 0016d202 23030011 02000035 e7085265 ....#......5..Re + 173b0 636f7264 49440000 0016d202 2300084c cordID......#..L + 173c0 656e6774 68000000 16d20223 01001102 ength......#.... + 173d0 00003611 08456e64 706f696e 74494400 ..6..EndpointID. + 173e0 000016d2 02230008 43726564 69747300 .....#..Credits. + 173f0 000016d2 02230100 11040000 36520845 .....#......6R.E + 17400 6e64706f 696e7449 44000000 16d20223 ndpointID......# + 17410 00084372 65646974 73000000 16d20223 ..Credits......# + 17420 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo + 17430 0000001c fa022302 000e0000 16d20400 ......#......... + 17440 00365f0f 03001106 0000369b 08507265 .6_.......6..Pre + 17450 56616c69 64000000 16d20223 00084c6f Valid......#..Lo + 17460 6f6b4168 65616400 00003652 02230108 okAhead...6R.#.. + 17470 506f7374 56616c69 64000000 16d20223 PostValid......# + 17480 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t + 17490 00000004 07060000 369b0103 000036ae ........6.....6. + 174a0 04000201 03000036 bb040013 04000037 .......6.......7 + 174b0 390d504f 4f4c5f49 445f4854 435f434f 9.POOL_ID_HTC_CO + 174c0 4e54524f 4c00000d 504f4f4c 5f49445f NTROL...POOL_ID_ + 174d0 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL + 174e0 5900010d 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ + 174f0 5356435f 4556454e 5400020d 504f4f4c SVC_EVENT...POOL + 17500 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. + 17510 030d504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... + 17520 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 + 17530 c4020103 0000374a 04000600 00269701 ......7J.....&.. + 17540 03000037 53040006 00002697 01030000 ...7S.....&..... + 17550 37600400 02010300 00376d04 00076275 7`.......7m...bu + 17560 665f706f 6f6c5f61 7069001c 0000380f f_pool_api....8. + 17570 085f696e 69740000 0036b402 2300085f ._init...6..#.._ + 17580 73687574 646f776e 00000036 bd022304 shutdown...6..#. + 17590 085f6372 65617465 5f706f6f 6c000000 ._create_pool... + 175a0 374c0223 08085f61 6c6c6f63 5f627566 7L.#.._alloc_buf + 175b0 00000037 5902230c 085f616c 6c6f635f ...7Y.#.._alloc_ + 175c0 6275665f 616c6967 6e000000 37660223 buf_align...7f.# + 175d0 10085f66 7265655f 62756600 0000376f .._free_buf...7o + 175e0 02231408 70526573 65727665 64000000 .#..pReserved... + 175f0 04070223 1800075f 4854435f 53455256 ...#..._HTC_SERV + 17600 49434500 1c000038 ee08704e 65787400 ICE....8..pNext. + 17610 000038ee 02230008 50726f63 65737352 ..8..#..ProcessR + 17620 6563764d 73670000 0039a302 23040850 ecvMsg...9..#..P + 17630 726f6365 73735365 6e644275 66666572 rocessSendBuffer + 17640 436f6d70 6c657465 00000039 ac022308 Complete...9..#. + 17650 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. + 17660 000039c0 02230c08 53657276 69636549 ..9..#..ServiceI + 17670 44000000 13400223 10085365 72766963 D....@.#..Servic + 17680 65466c61 67730000 00134002 2312084d eFlags....@.#..M + 17690 61785376 634d7367 53697a65 00000013 axSvcMsgSize.... + 176a0 40022314 08547261 696c6572 53706343 @.#..TrailerSpcC + 176b0 6865636b 4c696d69 74000000 13400223 heckLimit....@.# + 176c0 16085365 72766963 65437478 00000004 ..ServiceCtx.... + 176d0 07022318 00030000 380f0400 13040000 ..#.....8....... + 176e0 398c1845 4e44504f 494e545f 554e5553 9..ENDPOINT_UNUS + 176f0 454400ff ffffff0d 454e4450 4f494e54 ED......ENDPOINT + 17700 3000000d 454e4450 4f494e54 3100010d 0...ENDPOINT1... + 17710 454e4450 4f494e54 3200020d 454e4450 ENDPOINT2...ENDP + 17720 4f494e54 3300030d 454e4450 4f494e54 OINT3...ENDPOINT + 17730 3400040d 454e4450 4f494e54 3500050d 4...ENDPOINT5... + 17740 454e4450 4f494e54 3600060d 454e4450 ENDPOINT6...ENDP + 17750 4f494e54 3700070d 454e4450 4f494e54 OINT7...ENDPOINT + 17760 3800080d 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX + 17770 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT + 17780 5f494400 000038f5 02010300 0039a104 _ID...8......9.. + 17790 00020103 000039aa 04000300 00011204 ......9......... + 177a0 00060000 132c0103 000039ba 04000300 .....,....9..... + 177b0 00380f04 00075f48 54435f43 4f4e4649 .8...._HTC_CONFI + 177c0 47001400 003a3f08 43726564 69745369 G....:?.CreditSi + 177d0 7a650000 00011202 23000843 72656469 ze......#..Credi + 177e0 744e756d 62657200 00000112 02230408 tNumber......#.. + 177f0 4f534861 6e646c65 0000001a 96022308 OSHandle......#. + 17800 08484946 48616e64 6c650000 0028ff02 .HIFHandle...(.. + 17810 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 17820 369b0223 1000075f 4854435f 4255465f 6..#..._HTC_BUF_ + 17830 434f4e54 45585400 0200003a 7b08656e CONTEXT....:{.en + 17840 645f706f 696e7400 0000132c 02230008 d_point....,.#.. + 17850 6874635f 666c6167 73000000 132c0223 htc_flags....,.# + 17860 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. + 17870 00000407 09485443 5f534554 55505f43 .....HTC_SETUP_C + 17880 4f4d504c 4554455f 43420000 00010b09 OMPLETE_CB...... + 17890 4854435f 434f4e46 49470000 0039ce03 HTC_CONFIG...9.. + 178a0 00003aa8 04000600 003a7b01 0300003a ..:......:{....: + 178b0 bf040002 01030000 3acc0400 09485443 ........:....HTC + 178c0 5f534552 56494345 00000038 0f030000 _SERVICE...8.... + 178d0 3ad50400 02010300 003aed04 00020103 :........:...... + 178e0 00003af6 04000201 0300003a ff040006 ..:........:.... + 178f0 00000112 01030000 3b080400 07687463 ........;....htc + 17900 5f617069 73003400 003c8508 5f485443 _apis.4..<.._HTC + 17910 5f496e69 74000000 3ac50223 00085f48 _Init...:..#.._H + 17920 54435f53 68757464 6f776e00 00003ace TC_Shutdown...:. + 17930 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe + 17940 72536572 76696365 0000003a ef022308 rService...:..#. + 17950 085f4854 435f5265 61647900 00003ace ._HTC_Ready...:. + 17960 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 17970 75666665 72730000 003af802 2310085f uffers...:..#.._ + 17980 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 17990 734c6973 74000000 3b010223 14085f48 sList...;..#.._H + 179a0 54435f53 656e644d 73670000 003af802 TC_SendMsg...:.. + 179b0 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser + 179c0 76656448 65616472 6f6f6d00 00003b0e vedHeadroom...;. + 179d0 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv + 179e0 48616e64 6c657200 000028ac 02232008 Handler...(..# . + 179f0 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan + 17a00 646c6572 00000028 a3022324 085f4854 dler...(..#$._HT + 17a10 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 17a20 6573734d 73670000 0039a302 2328085f essMsg...9..#(._ + 17a30 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 17a40 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet + 17a50 65000000 39ac0223 2c087052 65736572 e...9..#,.pReser + 17a60 76656400 00000407 02233000 07686f73 ved......#0..hos + 17a70 745f6170 705f6172 65615f73 00040000 t_app_area_s.... + 17a80 3cb50877 6d695f70 726f746f 636f6c5f <..wmi_protocol_ + 17a90 76657200 0000166b 02230000 110e0000 ver....k.#...... + 17aa0 3cec0864 73744d61 63000000 1cb70223 <..dstMac......# + 17ab0 00087372 634d6163 0000001c b7022306 ..srcMac......#. + 17ac0 08747970 654f724c 656e0000 001cfa02 .typeOrLen...... + 17ad0 230c000e 000016d2 0300003c f90f0200 #..........<.... + 17ae0 11080000 3d490864 73617000 000016d2 ....=I.dsap..... + 17af0 02230008 73736170 00000016 d2022301 .#..ssap......#. + 17b00 08636e74 6c000000 16d20223 02086f72 .cntl......#..or + 17b10 67436f64 65000000 3cec0223 03086574 gCode...<..#..et + 17b20 68657254 79706500 00001cfa 02230600 herType......#.. + 17b30 11020000 3d6a0872 73736900 00001c50 ....=j.rssi....P + 17b40 02230008 696e666f 00000016 d2022301 .#..info......#. + 17b50 00110400 003d9108 636f6d6d 616e6449 .....=..commandI + 17b60 64000000 1cfa0223 00087365 714e6f00 d......#..seqNo. + 17b70 00001cfa 02230200 0e000016 d2010000 .....#.......... + 17b80 3d9e0f00 00110200 003dc508 6d736753 =........=..msgS + 17b90 697a6500 000016d2 02230008 6d736744 ize......#..msgD + 17ba0 61746100 00003d91 02230100 11080000 ata...=..#...... + 17bb0 3e0c0861 64647265 73734c00 00001cfa >..addressL..... + 17bc0 02230008 61646472 65737348 0000001c .#..addressH.... + 17bd0 fa022302 0876616c 75654c00 00001cfa ..#..valueL..... + 17be0 02230408 76616c75 65480000 001cfa02 .#..valueH...... + 17bf0 23060009 574d495f 41565400 00003dc5 #...WMI_AVT...=. + 17c00 0e00003e 0c080000 3e260f00 00110c00 ...>....>&...... + 17c10 003e5d08 7475706c 654e756d 4c000000 .>].tupleNumL... + 17c20 1cfa0223 00087475 706c654e 756d4800 ...#..tupleNumH. + 17c30 00001cfa 02230208 61767400 00003e19 .....#..avt...>. + 17c40 02230400 11010000 3e7f0862 6561636f .#......>..beaco + 17c50 6e50656e 64696e67 436f756e 74000000 nPendingCount... + 17c60 16d20223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ + 17c70 434f4e46 49470010 00003ee8 08487463 CONFIG....>..Htc + 17c80 48616e64 6c650000 003a7b02 23000850 Handle...:{.#..P + 17c90 6f6f6c48 616e646c 65000000 369b0223 oolHandle...6..# + 17ca0 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt + 17cb0 73000000 01120223 08084d61 78457665 s......#..MaxEve + 17cc0 6e744576 74730000 00011202 230c0002 ntEvts......#... + 17cd0 01030000 3ee80400 09574d49 5f434d44 ....>....WMI_CMD + 17ce0 5f48414e 444c4552 0000003e ea075f57 _HANDLER...>.._W + 17cf0 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 17d00 59000800 003f5108 70436d64 48616e64 Y....?Q.pCmdHand + 17d10 6c657200 00003ef1 02230008 436d6449 ler...>..#..CmdI + 17d20 44000000 13400223 0408466c 61677300 D....@.#..Flags. + 17d30 00001340 02230600 075f574d 495f4449 ...@.#..._WMI_DI + 17d40 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... + 17d50 3fb20870 4e657874 0000003f b2022300 ?..pNext...?..#. + 17d60 0870436f 6e746578 74000000 04070223 .pContext......# + 17d70 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie + 17d80 73000000 01120223 08087054 61626c65 s......#..pTable + 17d90 0000003f d102230c 00030000 3f510400 ...?..#.....?Q.. + 17da0 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN + 17db0 54525900 00003f06 0300003f b9040003 TRY...?....?.... + 17dc0 00003f51 04000948 54435f42 55465f43 ..?Q...HTC_BUF_C + 17dd0 4f4e5445 58540000 003a3f0c 574d495f ONTEXT...:?.WMI_ + 17de0 4556545f 434c4153 53000400 00406918 EVT_CLASS....@i. + 17df0 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO + 17e00 4e4500ff ffffff0d 574d495f 4556545f NE......WMI_EVT_ + 17e10 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. + 17e20 000d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 17e30 434d445f 5245504c 5900010d 574d495f CMD_REPLY...WMI_ + 17e40 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... + 17e50 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. + 17e60 003ff407 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON + 17e70 54455854 000c0000 40c70848 74634275 TEXT....@..HtcBu + 17e80 66437478 0000003f df022300 08457665 fCtx...?..#..Eve + 17e90 6e74436c 61737300 00004069 02230408 ntClass...@i.#.. + 17ea0 466c6167 73000000 13400223 08000977 Flags....@.#...w + 17eb0 6d695f68 616e646c 655f7400 00000407 mi_handle_t..... + 17ec0 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. + 17ed0 00003e7f 03000040 d9040006 000040c7 ..>....@......@. + 17ee0 01030000 40f40400 09574d49 5f444953 ....@....WMI_DIS + 17ef0 50415443 485f5441 424c4500 00003f51 PATCH_TABLE...?Q + 17f00 03000041 01040002 01030000 41200400 ...A........A .. + 17f10 06000026 97010300 00412904 00020103 ...&.....A)..... + 17f20 00004136 04000600 00011201 03000041 ..A6...........A + 17f30 3f040002 01030000 414c0400 06000013 ?.......AL...... + 17f40 2c010300 00415504 00075f77 6d695f73 ,....AU..._wmi_s + 17f50 76635f61 70697300 2c000042 9d085f57 vc_apis.,..B.._W + 17f60 4d495f49 6e697400 000040fa 02230008 MI_Init...@..#.. + 17f70 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis + 17f80 70617463 68546162 6c650000 00412202 patchTable...A". + 17f90 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve + 17fa0 6e740000 00412f02 2308085f 574d495f nt...A/.#.._WMI_ + 17fb0 53656e64 4576656e 74000000 41380223 SendEvent...A8.# + 17fc0 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin + 17fd0 67457665 6e747343 6f756e74 00000041 gEventsCount...A + 17fe0 45022310 085f574d 495f5365 6e64436f E.#.._WMI_SendCo + 17ff0 6d706c65 74654861 6e646c65 72000000 mpleteHandler... + 18000 39ac0223 14085f57 4d495f47 6574436f 9..#.._WMI_GetCo + 18010 6e74726f 6c457000 00004145 02231808 ntrolEp...AE.#.. + 18020 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... + 18030 414e0223 1c085f57 4d495f52 6563764d AN.#.._WMI_RecvM + 18040 65737361 67654861 6e646c65 72000000 essageHandler... + 18050 39a30223 20085f57 4d495f53 65727669 9..# ._WMI_Servi + 18060 6365436f 6e6e6563 74000000 415b0223 ceConnect...A[.# + 18070 24087052 65736572 76656400 00000407 $.pReserved..... + 18080 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. + 18090 0000431f 08637472 6c000000 01760223 ..C..ctrl....v.# + 180a0 00087374 61747573 00000001 76022302 ..status....v.#. + 180b0 08746f74 616c4c65 6e000000 01760223 .totalLen....v.# + 180c0 04086461 74615369 7a650000 00017602 ..dataSize....v. + 180d0 2306086c 61737441 64647200 0000431f #..lastAddr...C. + 180e0 02230808 64617461 41646472 00000001 .#..dataAddr.... + 180f0 9a02230c 086e6578 74416464 72000000 ..#..nextAddr... + 18100 431f0223 10000300 00429d04 00030000 C..#.....B...... + 18110 429d0400 077a7344 6d615175 65756500 B....zsDmaQueue. + 18120 08000043 5f086865 61640000 00432602 ...C_.head...C&. + 18130 23000874 65726d69 6e61746f 72000000 #..terminator... + 18140 43260223 0400077a 73547844 6d615175 C&.#...zsTxDmaQu + 18150 65756500 10000043 c3086865 61640000 eue....C..head.. + 18160 00432602 23000874 65726d69 6e61746f .C&.#..terminato + 18170 72000000 43260223 0408786d 69746564 r...C&.#..xmited + 18180 5f627566 5f686561 64000000 148b0223 _buf_head......# + 18190 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai + 181a0 6c000000 148b0223 0c000201 03000043 l......#.......C + 181b0 c3040003 0000432d 04000201 03000043 ......C-.......C + 181c0 d3040003 0000435f 04000201 03000043 ......C_.......C + 181d0 e3040002 01030000 43ec0400 02010300 ........C....... + 181e0 0043f504 00060000 148b0103 000043fe .C............C. + 181f0 04000201 03000044 0b040006 0000148b .......D........ + 18200 01030000 44140400 02010300 00442104 ....D........D!. + 18210 00060000 01120103 0000442a 04000600 ..........D*.... + 18220 00432601 03000044 37040002 01030000 .C&....D7....... + 18230 44440400 07646d61 5f656e67 696e655f DD...dma_engine_ + 18240 61706900 40000045 ba085f69 6e697400 api.@..E.._init. + 18250 000043c5 02230008 5f696e69 745f7278 ..C..#.._init_rx + 18260 5f717565 75650000 0043d502 2304085f _queue...C..#.._ + 18270 696e6974 5f74785f 71756575 65000000 init_tx_queue... + 18280 43e50223 08085f63 6f6e6669 675f7278 C..#.._config_rx + 18290 5f717565 75650000 0043ee02 230c085f _queue...C..#.._ + 182a0 786d6974 5f627566 00000043 f7022310 xmit_buf...C..#. + 182b0 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C + 182c0 d5022314 085f7265 61705f72 6563765f ..#.._reap_recv_ + 182d0 62756600 00004404 02231808 5f726574 buf...D..#.._ret + 182e0 75726e5f 72656376 5f627566 00000044 urn_recv_buf...D + 182f0 0d02231c 085f7265 61705f78 6d697465 ..#.._reap_xmite + 18300 645f6275 66000000 441a0223 20085f73 d_buf...D..# ._s + 18310 7761705f 64617461 00000044 23022324 wap_data...D#.#$ + 18320 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack + 18330 65747300 00004430 02232808 5f646573 ets...D0.#(._des + 18340 635f6475 6d700000 0043d502 232c085f c_dump...C..#,._ + 18350 6765745f 7061636b 65740000 00443d02 get_packet...D=. + 18360 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack + 18370 65740000 00444602 2334085f 7075745f et...DF.#4._put_ + 18380 7061636b 65740000 00444602 23380870 packet...DF.#8.p + 18390 52657365 72766564 00000004 0702233c Reserved......#< + 183a0 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 183b0 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 183c0 0030f909 574d495f 5356435f 41504953 .0..WMI_SVC_APIS + 183d0 00000041 62165f41 5f6d6167 7069655f ...Ab._A_magpie_ + 183e0 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 183f0 6500034c 000046e8 08636d6e 6f730000 e..L..F..cmnos.. + 18400 0045ba02 23000864 62670000 0003d403 .E..#..dbg...... + 18410 23b80308 68696600 000029a2 0323c003 #...hif...)..#.. + 18420 08687463 0000003b 150323f8 0308776d .htc...;..#...wm + 18430 695f7376 635f6170 69000000 45dc0323 i_svc_api...E..# + 18440 ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. + 18450 0032cd03 23d80408 6275665f 706f6f6c .2..#...buf_pool + 18460 00000037 760323e4 04087662 75660000 ...7v.#...vbuf.. + 18470 0014b503 23800508 76646573 63000000 ....#...vdesc... + 18480 13970323 94050861 6c6c6f63 72616d00 ...#...allocram. + 18490 0000098d 0323a805 08646d61 5f656e67 .....#...dma_eng + 184a0 696e6500 0000444d 0323b405 08646d61 ine...DM.#...dma + 184b0 5f6c6962 0000002c 360323f4 05086869 _lib...,6.#...hi + 184c0 665f7063 69000000 2e960323 a8060009 f_pci......#.... + 184d0 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 184e0 6374696f 6e5f7461 626c655f 74000000 ction_table_t... + 184f0 45ee1110 0000473e 08613000 000001af E.....G>.a0..... + 18500 02230008 61310000 0001af02 23040861 .#..a1......#..a + 18510 32000000 01af0223 08086133 00000001 2......#..a3.... + 18520 af02230c 000e0000 470b5000 00474b0f ..#.....G.P..GK. + 18530 04000758 54656e73 615f6578 63657074 ...XTensa_except + 18540 696f6e5f 6672616d 655f7300 80000048 ion_frame_s....H + 18550 2f087874 5f706300 000001af 02230008 /.xt_pc......#.. + 18560 78745f70 73000000 01af0223 04087874 xt_ps......#..xt + 18570 5f736172 00000001 af022308 0878745f _sar......#..xt_ + 18580 76707269 00000001 af02230c 0878745f vpri......#..xt_ + 18590 61320000 0001af02 23100878 745f6133 a2......#..xt_a3 + 185a0 00000001 af022314 0878745f 61340000 ......#..xt_a4.. + 185b0 0001af02 23180878 745f6135 00000001 ....#..xt_a5.... + 185c0 af02231c 0878745f 65786363 61757365 ..#..xt_exccause + 185d0 00000001 af022320 0878745f 6c636f75 ......# .xt_lcou + 185e0 6e740000 0001af02 23240878 745f6c62 nt......#$.xt_lb + 185f0 65670000 0001af02 23280878 745f6c65 eg......#(.xt_le + 18600 6e640000 0001af02 232c0877 62000000 nd......#,.wb... + 18610 473e0223 30000943 50555f65 78636570 G>.#0..CPU_excep + 18620 74696f6e 5f667261 6d655f74 00000047 tion_frame_t...G + 18630 4b110800 00486d08 69737200 00000c59 K....Hm.isr....Y + 18640 02230008 6973725f 61726700 00000407 .#..isr_arg..... + 18650 02230400 0e000048 4a980000 487a0f12 .#.....HJ...Hz.. + 18660 0019636d 6e6f735f 6973725f 696e666f ..cmnos_isr_info + 18670 00000048 6d050300 50099001 19636d6e ...Hm...P....cmn + 18680 6f735f65 6e61626c 65645f69 6e746572 os_enabled_inter + 18690 72757074 73000000 01af0503 00500980 rupts........P.. + 186a0 011a0000 01121a00 00011203 000048bf ..............H. + 186b0 04000300 000c8504 0002011b 011e636d ..............cm + 186c0 6e6f735f 696e7472 5f64756d 6d790000 nos_intr_dummy.. + 186d0 0001af01 01039201 20029000 008e2188 ........ .....!. + 186e0 008e218d 00004911 1c011e70 5061726d ..!...I....pParm + 186f0 00000004 07015200 1d012a63 6d6e6f73 ......R...*cmnos + 18700 5f696e74 725f696e 69740001 01039201 _intr_init...... + 18710 20029000 008e2190 008e21b6 00004941 .....!...!...IA + 18720 1e690000 0001af00 1d013c63 6d6e6f73 .i..........tupleNumL. + 1c6b0 00001ca3 02230008 7475706c 654e756d .....#..tupleNum + 1c6c0 48000000 1ca30223 02086176 74000000 H......#..avt... + 1c6d0 3dc20223 04001201 00003e28 08626561 =..#......>(.bea + 1c6e0 636f6e50 656e6469 6e67436f 756e7400 conPendingCount. + 1c6f0 0000167b 02230000 075f574d 495f5356 ...{.#..._WMI_SV + 1c700 435f434f 4e464947 00100000 3e910848 C_CONFIG....>..H + 1c710 74634861 6e646c65 0000003a 24022300 tcHandle...:$.#. + 1c720 08506f6f 6c48616e 646c6500 00003644 .PoolHandle...6D + 1c730 02230408 4d617843 6d645265 706c7945 .#..MaxCmdReplyE + 1c740 76747300 0000010f 02230808 4d617845 vts......#..MaxE + 1c750 76656e74 45767473 00000001 0f02230c ventEvts......#. + 1c760 00020103 00003e91 04000957 4d495f43 ......>....WMI_C + 1c770 4d445f48 414e444c 45520000 003e9307 MD_HANDLER...>.. + 1c780 5f574d49 5f444953 50415443 485f454e _WMI_DISPATCH_EN + 1c790 54525900 0800003e fa087043 6d644861 TRY....>..pCmdHa + 1c7a0 6e646c65 72000000 3e9a0223 0008436d ndler...>..#..Cm + 1c7b0 64494400 000012e9 02230408 466c6167 dID......#..Flag + 1c7c0 73000000 12e90223 0600075f 574d495f s......#..._WMI_ + 1c7d0 44495350 41544348 5f544142 4c450010 DISPATCH_TABLE.. + 1c7e0 00003f5b 08704e65 78740000 003f5b02 ..?[.pNext...?[. + 1c7f0 23000870 436f6e74 65787400 00000404 #..pContext..... + 1c800 02230408 4e756d62 65724f66 456e7472 .#..NumberOfEntr + 1c810 69657300 0000010f 02230808 70546162 ies......#..pTab + 1c820 6c650000 003f7a02 230c0003 00003efa le...?z.#.....>. + 1c830 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 1c840 454e5452 59000000 3eaf0300 003f6204 ENTRY...>....?b. + 1c850 00030000 3efa0400 09485443 5f425546 ....>....HTC_BUF + 1c860 5f434f4e 54455854 00000039 e80d574d _CONTEXT...9..WM + 1c870 495f4556 545f434c 41535300 04000040 I_EVT_CLASS....@ + 1c880 1219574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 1c890 4e4f4e45 00ffffff ff0e574d 495f4556 NONE......WMI_EV + 1c8a0 545f434c 4153535f 434d445f 4556454e T_CLASS_CMD_EVEN + 1c8b0 5400000e 574d495f 4556545f 434c4153 T...WMI_EVT_CLAS + 1c8c0 535f434d 445f5245 504c5900 010e574d S_CMD_REPLY...WM + 1c8d0 495f4556 545f434c 4153535f 4d415800 I_EVT_CLASS_MAX. + 1c8e0 02000957 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 1c8f0 0000003f 9d075f57 4d495f42 55465f43 ...?.._WMI_BUF_C + 1c900 4f4e5445 5854000c 00004070 08487463 ONTEXT....@p.Htc + 1c910 42756643 74780000 003f8802 23000845 BufCtx...?..#..E + 1c920 76656e74 436c6173 73000000 40120223 ventClass...@..# + 1c930 0408466c 61677300 000012e9 02230800 ..Flags......#.. + 1c940 09776d69 5f68616e 646c655f 74000000 .wmi_handle_t... + 1c950 04040957 4d495f53 56435f43 4f4e4649 ...WMI_SVC_CONFI + 1c960 47000000 3e280300 00408204 00060000 G...>(...@...... + 1c970 40700103 0000409d 04000957 4d495f44 @p....@....WMI_D + 1c980 49535041 5443485f 5441424c 45000000 ISPATCH_TABLE... + 1c990 3efa0300 0040aa04 00020103 000040c9 >....@........@. + 1c9a0 04000600 00264001 03000040 d2040002 .....&@....@.... + 1c9b0 01030000 40df0400 06000001 0f010300 ....@........... + 1c9c0 0040e804 00020103 000040f5 04000600 .@........@..... + 1c9d0 0012d501 03000040 fe040007 5f776d69 .......@...._wmi + 1c9e0 5f737663 5f617069 73002c00 00424608 _svc_apis.,..BF. + 1c9f0 5f574d49 5f496e69 74000000 40a30223 _WMI_Init...@..# + 1ca00 00085f57 4d495f52 65676973 74657244 .._WMI_RegisterD + 1ca10 69737061 74636854 61626c65 00000040 ispatchTable...@ + 1ca20 cb022304 085f574d 495f416c 6c6f6345 ..#.._WMI_AllocE + 1ca30 76656e74 00000040 d8022308 085f574d vent...@..#.._WM + 1ca40 495f5365 6e644576 656e7400 000040e1 I_SendEvent...@. + 1ca50 02230c08 5f574d49 5f476574 50656e64 .#.._WMI_GetPend + 1ca60 696e6745 76656e74 73436f75 6e740000 ingEventsCount.. + 1ca70 0040ee02 2310085f 574d495f 53656e64 .@..#.._WMI_Send + 1ca80 436f6d70 6c657465 48616e64 6c657200 CompleteHandler. + 1ca90 00003955 02231408 5f574d49 5f476574 ..9U.#.._WMI_Get + 1caa0 436f6e74 726f6c45 70000000 40ee0223 ControlEp...@..# + 1cab0 18085f57 4d495f53 68757464 6f776e00 .._WMI_Shutdown. + 1cac0 000040f7 02231c08 5f574d49 5f526563 ..@..#.._WMI_Rec + 1cad0 764d6573 73616765 48616e64 6c657200 vMessageHandler. + 1cae0 0000394c 02232008 5f574d49 5f536572 ..9L.# ._WMI_Ser + 1caf0 76696365 436f6e6e 65637400 00004104 viceConnect...A. + 1cb00 02232408 70526573 65727665 64000000 .#$.pReserved... + 1cb10 04040223 2800077a 73446d61 44657363 ...#(..zsDmaDesc + 1cb20 00140000 42c80863 74726c00 00000173 ....B..ctrl....s + 1cb30 02230008 73746174 75730000 00017302 .#..status....s. + 1cb40 23020874 6f74616c 4c656e00 00000173 #..totalLen....s + 1cb50 02230408 64617461 53697a65 00000001 .#..dataSize.... + 1cb60 73022306 086c6173 74416464 72000000 s.#..lastAddr... + 1cb70 42c80223 08086461 74614164 64720000 B..#..dataAddr.. + 1cb80 00019702 230c086e 65787441 64647200 ....#..nextAddr. + 1cb90 000042c8 02231000 03000042 46040003 ..B..#.....BF... + 1cba0 00004246 0400077a 73446d61 51756575 ..BF...zsDmaQueu + 1cbb0 65000800 00430808 68656164 00000042 e....C..head...B + 1cbc0 cf022300 08746572 6d696e61 746f7200 ..#..terminator. + 1cbd0 000042cf 02230400 077a7354 78446d61 ..B..#...zsTxDma + 1cbe0 51756575 65001000 00436c08 68656164 Queue....Cl.head + 1cbf0 00000042 cf022300 08746572 6d696e61 ...B..#..termina + 1cc00 746f7200 000042cf 02230408 786d6974 tor...B..#..xmit + 1cc10 65645f62 75665f68 65616400 00001434 ed_buf_head....4 + 1cc20 02230808 786d6974 65645f62 75665f74 .#..xmited_buf_t + 1cc30 61696c00 00001434 02230c00 02010300 ail....4.#...... + 1cc40 00436c04 00030000 42d60400 02010300 .Cl.....B....... + 1cc50 00437c04 00030000 43080400 02010300 .C|.....C....... + 1cc60 00438c04 00020103 00004395 04000201 .C........C..... + 1cc70 03000043 9e040006 00001434 01030000 ...C.......4.... + 1cc80 43a70400 02010300 0043b404 00060000 C........C...... + 1cc90 14340103 000043bd 04000201 03000043 .4....C........C + 1cca0 ca040006 0000010f 01030000 43d30400 ............C... + 1ccb0 06000042 cf010300 0043e004 00020103 ...B.....C...... + 1ccc0 000043ed 04000764 6d615f65 6e67696e ..C....dma_engin + 1ccd0 655f6170 69004000 00456308 5f696e69 e_api.@..Ec._ini + 1cce0 74000000 436e0223 00085f69 6e69745f t...Cn.#.._init_ + 1ccf0 72785f71 75657565 00000043 7e022304 rx_queue...C~.#. + 1cd00 085f696e 69745f74 785f7175 65756500 ._init_tx_queue. + 1cd10 0000438e 02230808 5f636f6e 6669675f ..C..#.._config_ + 1cd20 72785f71 75657565 00000043 9702230c rx_queue...C..#. + 1cd30 085f786d 69745f62 75660000 0043a002 ._xmit_buf...C.. + 1cd40 2310085f 666c7573 685f786d 69740000 #.._flush_xmit.. + 1cd50 00437e02 2314085f 72656170 5f726563 .C~.#.._reap_rec + 1cd60 765f6275 66000000 43ad0223 18085f72 v_buf...C..#.._r + 1cd70 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + 1cd80 0043b602 231c085f 72656170 5f786d69 .C..#.._reap_xmi + 1cd90 7465645f 62756600 000043c3 02232008 ted_buf...C..# . + 1cda0 5f737761 705f6461 74610000 0043cc02 _swap_data...C.. + 1cdb0 2324085f 6861735f 636f6d70 6c5f7061 #$._has_compl_pa + 1cdc0 636b6574 73000000 43d90223 28085f64 ckets...C..#(._d + 1cdd0 6573635f 64756d70 00000043 7e02232c esc_dump...C~.#, + 1cde0 085f6765 745f7061 636b6574 00000043 ._get_packet...C + 1cdf0 e6022330 085f7265 636c6169 6d5f7061 ..#0._reclaim_pa + 1ce00 636b6574 00000043 ef022334 085f7075 cket...C..#4._pu + 1ce10 745f7061 636b6574 00000043 ef022338 t_packet...C..#8 + 1ce20 08705265 73657276 65640000 00040402 .pReserved...... + 1ce30 233c0009 5f415f63 6d6e6f73 5f696e64 #<.._A_cmnos_ind + 1ce40 69726563 74696f6e 5f746162 6c655f74 irection_table_t + 1ce50 00000030 a209574d 495f5356 435f4150 ...0..WMI_SVC_AP + 1ce60 49530000 00410b17 5f415f6d 61677069 IS...A.._A_magpi + 1ce70 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta + 1ce80 626c6500 034c0000 46910863 6d6e6f73 ble..L..F..cmnos + 1ce90 00000045 63022300 08646267 00000003 ...Ec.#..dbg.... + 1cea0 d10323b8 03086869 66000000 294b0323 ..#...hif...)K.# + 1ceb0 c0030868 74630000 003abe03 23f80308 ...htc...:..#... + 1cec0 776d695f 7376635f 61706900 00004585 wmi_svc_api...E. + 1ced0 0323ac04 08757362 6669666f 5f617069 .#...usbfifo_api + 1cee0 00000032 760323d8 04086275 665f706f ...2v.#...buf_po + 1cef0 6f6c0000 00371f03 23e40408 76627566 ol...7..#...vbuf + 1cf00 00000014 5e032380 05087664 65736300 ....^.#...vdesc. + 1cf10 00001340 03239405 08616c6c 6f637261 ...@.#...allocra + 1cf20 6d000000 09360323 a8050864 6d615f65 m....6.#...dma_e + 1cf30 6e67696e 65000000 43f60323 b4050864 ngine...C..#...d + 1cf40 6d615f6c 69620000 002bdf03 23f40508 ma_lib...+..#... + 1cf50 6869665f 70636900 00002e3f 0323a806 hif_pci....?.#.. + 1cf60 00095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 1cf70 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 1cf80 00004597 03000004 47040002 01060000 ..E.....G....... + 1cf90 04040103 000046bd 04000b0b 03000046 ......F........F + 1cfa0 cb040006 00000404 01030000 46d30400 ............F... + 1cfb0 06000001 0f010300 0046e004 001a010a .........F...... + 1cfc0 636d6e6f 735f6d65 6d5f696e 69740001 cmnos_mem_init.. + 1cfd0 01039201 20029000 008e2318 008e231d .... .....#...#. + 1cfe0 1b011663 6d6e6f73 5f6d656d 5f6d6f64 ...cmnos_mem_mod + 1cff0 756c655f 696e7374 616c6c00 01010392 ule_install..... + 1d000 01200290 00008e23 20008e23 3e1c0116 . .....# ..#>... + 1d010 74626c00 000046b4 01520000 0000004b tbl...F..R.....K + 1d020 99000200 000b7c04 012f726f 6f742f57 ......|../root/W + 1d030 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1d040 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1d050 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + 1d060 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + 1d070 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + 1d080 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 1d090 632f7372 632f636d 6e6f735f 6d697363 c/src/cmnos_misc + 1d0a0 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa + 1d0b0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 1d0c0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 1d0d0 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 1d0e0 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 1d0f0 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 1d100 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 1d110 202d6733 202d4f50 543a7370 61636500 -g3 -OPT:space. + 1d120 01000000 6d830201 03000001 09040004 ....m........... + 1d130 696e7400 05040463 68617200 07010500 int....char..... + 1d140 00011905 00000119 03000001 26040006 ............&... + 1d150 00000112 01030000 01320400 07707269 .........2...pri + 1d160 6e74665f 61706900 08000001 76085f70 ntf_api.....v._p + 1d170 72696e74 665f696e 69740000 00010b02 rintf_init...... + 1d180 2300085f 7072696e 74660000 00013802 #.._printf....8. + 1d190 23040004 73686f72 7420756e 7369676e #...short unsign + 1d1a0 65642069 6e740007 02097569 6e743136 ed int....uint16 + 1d1b0 5f740000 00017604 6c6f6e67 20756e73 _t....v.long uns + 1d1c0 69676e65 6420696e 74000704 0975696e igned int....uin + 1d1d0 7433325f 74000000 019a0775 6172745f t32_t......uart_ + 1d1e0 6669666f 00080000 02080873 74617274 fifo.......start + 1d1f0 5f696e64 65780000 00018c02 23000865 _index......#..e + 1d200 6e645f69 6e646578 00000001 8c022302 nd_index......#. + 1d210 086f7665 7272756e 5f657272 00000001 .overrun_err.... + 1d220 af022304 00077561 72745f61 70690020 ..#...uart_api. + 1d230 000002c1 085f7561 72745f69 6e697400 ....._uart_init. + 1d240 00000318 02230008 5f756172 745f6368 .....#.._uart_ch + 1d250 61725f70 75740000 00033f02 2304085f ar_put....?.#.._ + 1d260 75617274 5f636861 725f6765 74000000 uart_char_get... + 1d270 03530223 08085f75 6172745f 7374725f .S.#.._uart_str_ + 1d280 6f757400 0000035c 02230c08 5f756172 out....\.#.._uar + 1d290 745f7461 736b0000 00010b02 2310085f t_task......#.._ + 1d2a0 75617274 5f737461 74757300 00000318 uart_status..... + 1d2b0 02231408 5f756172 745f636f 6e666967 .#.._uart_config + 1d2c0 00000003 65022318 085f7561 72745f68 ....e.#.._uart_h + 1d2d0 77696e69 74000000 036e0223 1c000300 winit....n.#.... + 1d2e0 00020804 00077561 72745f62 6c6b0010 ......uart_blk.. + 1d2f0 00000312 08646562 75675f6d 6f646500 .....debug_mode. + 1d300 0000018c 02230008 62617564 00000001 .....#..baud.... + 1d310 8c022302 085f7561 72740000 0002c102 ..#.._uart...... + 1d320 2304085f 74780000 0001bd02 23080006 #.._tx......#... + 1d330 000001af 01030000 03120400 04756e73 .............uns + 1d340 69676e65 64206368 61720007 01097569 igned char....ui + 1d350 6e74385f 74000000 031f0201 03000003 nt8_t........... + 1d360 3d040003 00000330 04000600 00018c01 =......0........ + 1d370 03000003 4d040002 01030000 035a0400 ....M........Z.. + 1d380 02010300 00036304 00020103 0000036c ......c........l + 1d390 04000300 00011904 00060000 01120103 ................ + 1d3a0 0000037c 04000744 425f434f 4d4d414e ...|...DB_COMMAN + 1d3b0 445f5354 52554354 000c0000 03d40863 D_STRUCT.......c + 1d3c0 6d645f73 74720000 00037502 23000868 md_str....u.#..h + 1d3d0 656c705f 73747200 00000375 02230408 elp_str....u.#.. + 1d3e0 636d645f 66756e63 00000003 82022308 cmd_func......#. + 1d3f0 00076462 675f6170 69000800 00040708 ..dbg_api....... + 1d400 5f646267 5f696e69 74000000 010b0223 _dbg_init......# + 1d410 00085f64 62675f74 61736b00 0000010b .._dbg_task..... + 1d420 02230400 0a040004 756e7369 676e6564 .#......unsigned + 1d430 20696e74 00070406 00000407 01030000 int............ + 1d440 041a0400 0b0b0300 00042804 00060000 ..........(..... + 1d450 04070103 00000430 04000600 00011201 .......0........ + 1d460 03000004 3d040007 6d656d5f 61706900 ....=...mem_api. + 1d470 14000004 ac085f6d 656d5f69 6e697400 ......_mem_init. + 1d480 0000010b 02230008 5f6d656d 73657400 .....#.._memset. + 1d490 00000420 02230408 5f6d656d 63707900 ... .#.._memcpy. + 1d4a0 00000436 02230808 5f6d656d 6d6f7665 ...6.#.._memmove + 1d4b0 00000004 3602230c 085f6d65 6d636d70 ....6.#.._memcmp + 1d4c0 00000004 43022310 00077265 67697374 ....C.#...regist + 1d4d0 65725f64 756d705f 73009000 00051308 er_dump_s....... + 1d4e0 74617267 65745f69 64000000 01af0223 target_id......# + 1d4f0 00086173 736c696e 65000000 01af0223 ..assline......# + 1d500 04087063 00000001 af022308 08626164 ..pc......#..bad + 1d510 76616464 72000000 01af0223 0c086578 vaddr......#..ex + 1d520 635f6672 616d6500 0000482f 02231000 c_frame...H/.#.. + 1d530 03000004 ac040002 01030000 051a0400 ................ + 1d540 02010300 00052304 00060000 01120103 ......#......... + 1d550 0000052c 04000c68 6f737469 665f7300 ...,...hostif_s. + 1d560 04000005 880d4849 465f5553 4200000d ......HIF_USB... + 1d570 4849465f 50434945 00010d48 49465f47 HIF_PCIE...HIF_G + 1d580 4d414300 020d4849 465f5043 4900030d MAC...HIF_PCI... + 1d590 4849465f 4e554d00 040d4849 465f4e4f HIF_NUM...HIF_NO + 1d5a0 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. + 1d5b0 00053906 00000588 01030000 05960400 ..9............. + 1d5c0 06000003 30010300 0005a304 00060000 ....0........... + 1d5d0 018c0103 000005b0 0400076d 6973635f ...........misc_ + 1d5e0 61706900 24000006 a0085f73 79737465 api.$....._syste + 1d5f0 6d5f7265 73657400 0000010b 02230008 m_reset......#.. + 1d600 5f6d6163 5f726573 65740000 00010b02 _mac_reset...... + 1d610 2304085f 61737366 61696c00 0000051c #.._assfail..... + 1d620 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ + 1d630 6c6f6164 5f68616e 646c6572 00000005 load_handler.... + 1d640 1c02230c 085f7265 706f7274 5f666169 ..#.._report_fai + 1d650 6c757265 5f746f5f 686f7374 00000005 lure_to_host.... + 1d660 25022310 085f7461 72676574 5f69645f %.#.._target_id_ + 1d670 67657400 00000532 02231408 5f69735f get....2.#.._is_ + 1d680 686f7374 5f707265 73656e74 00000005 host_present.... + 1d690 9c022318 085f6b62 68697400 000005a9 ..#.._kbhit..... + 1d6a0 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version + 1d6b0 5f676574 00000005 b6022320 00060000 _get......# .... + 1d6c0 03750103 000006a0 04000600 00037501 .u............u. + 1d6d0 03000006 ad040006 00000112 01030000 ................ + 1d6e0 06ba0400 06000001 12010300 0006c704 ................ + 1d6f0 00060000 01120103 000006d4 04000773 ...............s + 1d700 7472696e 675f6170 69001800 00075a08 tring_api.....Z. + 1d710 5f737472 696e675f 696e6974 00000001 _string_init.... + 1d720 0b022300 085f7374 72637079 00000006 ..#.._strcpy.... + 1d730 a6022304 085f7374 726e6370 79000000 ..#.._strncpy... + 1d740 06b30223 08085f73 74726c65 6e000000 ...#.._strlen... + 1d750 06c00223 0c085f73 7472636d 70000000 ...#.._strcmp... + 1d760 06cd0223 10085f73 74726e63 6d700000 ...#.._strncmp.. + 1d770 0006da02 2314000e 0000040a 14000007 ....#........... + 1d780 670f0400 095f415f 54494d45 525f5350 g...._A_TIMER_SP + 1d790 41434500 0000075a 09415f74 696d6572 ACE....Z.A_timer + 1d7a0 5f740000 00076703 0000077b 04000201 _t....g....{.... + 1d7b0 03000007 91040002 01030000 079a0400 ................ + 1d7c0 09415f48 414e444c 45000000 040a0201 .A_HANDLE....... + 1d7d0 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... + 1d7e0 07b10300 0007b304 00020103 000007cc ................ + 1d7f0 04000774 696d6572 5f617069 00140000 ...timer_api.... + 1d800 084b085f 74696d65 725f696e 69740000 .K._timer_init.. + 1d810 00010b02 2300085f 74696d65 725f6172 ....#.._timer_ar + 1d820 6d000000 07930223 04085f74 696d6572 m......#.._timer + 1d830 5f646973 61726d00 0000079c 02230808 _disarm......#.. + 1d840 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... + 1d850 ce02230c 085f7469 6d65725f 72756e00 ..#.._timer_run. + 1d860 0000010b 02231000 09424f4f 4c45414e .....#...BOOLEAN + 1d870 00000001 8c060000 084b0103 00000858 .........K.....X + 1d880 04000600 00084b01 03000008 65040006 ......K.....e... + 1d890 0000084b 01030000 08720400 07726f6d ...K.....r...rom + 1d8a0 705f6170 69001000 0008e408 5f726f6d p_api......._rom + 1d8b0 705f696e 69740000 00010b02 2300085f p_init......#.._ + 1d8c0 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... + 1d8d0 085e0223 04085f72 6f6d705f 696e7374 .^.#.._romp_inst + 1d8e0 616c6c00 0000086b 02230808 5f726f6d all....k.#.._rom + 1d8f0 705f6465 636f6465 00000008 7802230c p_decode....x.#. + 1d900 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. + 1d910 00000940 08637263 31360000 00018c02 ...@.crc16...... + 1d920 2300086c 656e0000 00018c02 2302086c #..len......#..l + 1d930 645f6164 64720000 0001af02 23040866 d_addr......#..f + 1d940 756e5f61 64647200 000001af 02230808 un_addr......#.. + 1d950 7066756e 00000003 4602230c 00076565 pfun....F.#...ee + 1d960 705f7265 6469725f 61646472 00040000 p_redir_addr.... + 1d970 0972086f 66667365 74000000 018c0223 .r.offset......# + 1d980 00087369 7a650000 00018c02 23020009 ..size......#... + 1d990 415f5549 4e543332 00000004 0a060000 A_UINT32........ + 1d9a0 04070103 00000980 04000761 6c6c6f63 ...........alloc + 1d9b0 72616d5f 61706900 0c000009 f108636d ram_api.......cm + 1d9c0 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini + 1d9d0 74000000 09860223 0008636d 6e6f735f t......#..cmnos_ + 1d9e0 616c6c6f 6372616d 00000009 86022304 allocram......#. + 1d9f0 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ + 1da00 64656275 67000000 010b0223 08000201 debug......#.... + 1da10 03000009 f1040009 415f5441 534b4c45 ........A_TASKLE + 1da20 545f4655 4e430000 0009f307 5f746173 T_FUNC......_tas + 1da30 6b6c6574 00100000 0a520866 756e6300 klet.....R.func. + 1da40 000009fa 02230008 61726700 00000407 .....#..arg..... + 1da50 02230408 73746174 65000000 01120223 .#..state......# + 1da60 08086e65 78740000 000a5202 230c0003 ..next....R.#... + 1da70 00000a0e 04000300 000a0e04 0009415f ..............A_ + 1da80 7461736b 6c65745f 74000000 0a0e0300 tasklet_t....... + 1da90 000a6004 00020103 00000a78 04000201 ..`........x.... + 1daa0 0300000a 81040007 7461736b 6c65745f ........tasklet_ + 1dab0 61706900 1400000b 16085f74 61736b6c api......._taskl + 1dac0 65745f69 6e697400 0000010b 02230008 et_init......#.. + 1dad0 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta + 1dae0 736b0000 000a7a02 2304085f 7461736b sk....z.#.._task + 1daf0 6c65745f 64697361 626c6500 00000a83 let_disable..... + 1db00 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch + 1db10 6564756c 65000000 0a830223 0c085f74 edule......#.._t + 1db20 61736b6c 65745f72 756e0000 00010b02 asklet_run...... + 1db30 23100002 01030000 0b160400 06000009 #............... + 1db40 72010300 000b1f04 00020103 00000b2c r.............., + 1db50 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. + 1db60 0c0e085f 636c6f63 6b5f696e 69740000 ..._clock_init.. + 1db70 000b1802 2300085f 636c6f63 6b726567 ....#.._clockreg + 1db80 735f696e 69740000 00010b02 2304085f s_init......#.._ + 1db90 75617274 5f667265 7175656e 63790000 uart_frequency.. + 1dba0 000b2502 2308085f 64656c61 795f7573 ..%.#.._delay_us + 1dbb0 0000000b 2e02230c 085f776c 616e5f62 ......#.._wlan_b + 1dbc0 616e645f 73657400 00000b2e 02231008 and_set......#.. + 1dbd0 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 1dbe0 74000000 0b250223 14085f6d 696c6c69 t....%.#.._milli + 1dbf0 7365636f 6e647300 00000b25 02231808 seconds....%.#.. + 1dc00 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 1dc10 00010b02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti + 1dc20 636b0000 00010b02 23200006 000001af ck......# ...... + 1dc30 01030000 0c0e0400 09415f6f 6c645f69 .........A_old_i + 1dc40 6e74725f 74000000 01af0600 000c1b01 ntr_t........... + 1dc50 0300000c 2d040002 01030000 0c3a0400 ....-........:.. + 1dc60 02010300 000c4304 00060000 01af0103 ......C......... + 1dc70 00000c4c 04000941 5f697372 5f740000 ...L...A_isr_t.. + 1dc80 000c5202 01030000 0c660400 06000004 ..R......f...... + 1dc90 0a010300 000c6f04 00020103 00000c7c ......o........| + 1dca0 04000769 6e74725f 61706900 2c00000d ...intr_api.,... + 1dcb0 9e085f69 6e74725f 696e6974 00000001 .._intr_init.... + 1dcc0 0b022300 085f696e 74725f69 6e766f6b ..#.._intr_invok + 1dcd0 655f6973 72000000 0c140223 04085f69 e_isr......#.._i + 1dce0 6e74725f 64697361 626c6500 00000c33 ntr_disable....3 + 1dcf0 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor + 1dd00 65000000 0c3c0223 0c085f69 6e74725f e....<.#.._intr_ + 1dd10 6d61736b 5f696e75 6d000000 0c450223 mask_inum....E.# + 1dd20 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 1dd30 6e756d00 00000c45 02231408 5f696e74 num....E.#.._int + 1dd40 725f6174 74616368 5f697372 0000000c r_attach_isr.... + 1dd50 68022318 085f6765 745f696e 7472656e h.#.._get_intren + 1dd60 61626c65 0000000c 7502231c 085f7365 able....u.#.._se + 1dd70 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 1dd80 7e022320 085f6765 745f696e 74727065 ~.# ._get_intrpe + 1dd90 6e64696e 67000000 0c750223 24085f75 nding....u.#$._u + 1dda0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 1ddb0 766c0000 00010b02 23280010 0400000d vl......#(...... + 1ddc0 c4087469 6d656f75 74000000 01af0223 ..timeout......# + 1ddd0 00086163 74696f6e 00000001 af022300 ..action......#. + 1dde0 00110800 000ddf08 636d6400 000001af ........cmd..... + 1ddf0 02230012 00000d9e 02230400 09545f57 .#.......#...T_W + 1de00 44545f43 4d440000 000dc402 01030000 DT_CMD.......... + 1de10 0dee0400 13040000 0e440d45 4e554d5f .........D.ENUM_ + 1de20 5744545f 424f4f54 00010d45 4e554d5f WDT_BOOT...ENUM_ + 1de30 434f4c44 5f424f4f 5400020d 454e554d COLD_BOOT...ENUM + 1de40 5f535553 505f424f 4f540003 0d454e55 _SUSP_BOOT...ENU + 1de50 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 1de60 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 1de70 0df70600 000e4401 0300000e 55040007 ......D.....U... + 1de80 7764745f 61706900 1c00000e f9085f77 wdt_api......._w + 1de90 64745f69 6e697400 0000010b 02230008 dt_init......#.. + 1dea0 5f776474 5f656e61 626c6500 0000010b _wdt_enable..... + 1deb0 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable + 1dec0 00000001 0b022308 085f7764 745f7365 ......#.._wdt_se + 1ded0 74000000 0df00223 0c085f77 64745f74 t......#.._wdt_t + 1dee0 61736b00 0000010b 02231008 5f776474 ask......#.._wdt + 1def0 5f726573 65740000 00010b02 2314085f _reset......#.._ + 1df00 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 1df10 0e5b0223 18001304 00000f60 0d524554 .[.#.......`.RET + 1df20 5f535543 43455353 00000d52 45545f4e _SUCCESS...RET_N + 1df30 4f545f49 4e495400 010d5245 545f4e4f OT_INIT...RET_NO + 1df40 545f4558 49535400 020d5245 545f4545 T_EXIST...RET_EE + 1df50 505f434f 52525550 5400030d 5245545f P_CORRUPT...RET_ + 1df60 4545505f 4f564552 464c4f57 00040d52 EEP_OVERFLOW...R + 1df70 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ + 1df80 4545505f 52455400 00000ef9 03000001 EEP_RET......... + 1df90 8c040006 00000f60 01030000 0f760400 .......`.....v.. + 1dfa0 0600000f 60010300 000f8304 00076565 ....`.........ee + 1dfb0 705f6170 69001000 000fec08 5f656570 p_api......._eep + 1dfc0 5f696e69 74000000 010b0223 00085f65 _init......#.._e + 1dfd0 65705f72 65616400 00000f7c 02230408 ep_read....|.#.. + 1dfe0 5f656570 5f777269 74650000 000f7c02 _eep_write....|. + 1dff0 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist + 1e000 0000000f 8902230c 00077573 625f6170 ......#...usb_ap + 1e010 69007000 00129908 5f757362 5f696e69 i.p....._usb_ini + 1e020 74000000 010b0223 00085f75 73625f72 t......#.._usb_r + 1e030 6f6d5f74 61736b00 0000010b 02230408 om_task......#.. + 1e040 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... + 1e050 0b022308 085f7573 625f696e 69745f70 ..#.._usb_init_p + 1e060 68790000 00010b02 230c085f 7573625f hy......#.._usb_ + 1e070 6570305f 73657475 70000000 010b0223 ep0_setup......# + 1e080 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 1e090 010b0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r + 1e0a0 78000000 010b0223 18085f75 73625f67 x......#.._usb_g + 1e0b0 65745f69 6e746572 66616365 00000008 et_interface.... + 1e0c0 6b02231c 085f7573 625f7365 745f696e k.#.._usb_set_in + 1e0d0 74657266 61636500 0000086b 02232008 terface....k.# . + 1e0e0 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 1e0f0 72617469 6f6e0000 00086b02 2324085f ration....k.#$._ + 1e100 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 1e110 6174696f 6e000000 086b0223 28085f75 ation....k.#(._u + 1e120 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 1e130 0000086b 02232c08 5f757362 5f76656e ...k.#,._usb_ven + 1e140 646f725f 636d6400 0000010b 02233008 dor_cmd......#0. + 1e150 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 1e160 00010b02 2334085f 7573625f 72657365 ....#4._usb_rese + 1e170 745f6669 666f0000 00010b02 2338085f t_fifo......#8._ + 1e180 7573625f 67656e5f 77647400 0000010b usb_gen_wdt..... + 1e190 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 1e1a0 6f740000 00010b02 2340085f 7573625f ot......#@._usb_ + 1e1b0 636c725f 66656174 75726500 0000086b clr_feature....k + 1e1c0 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea + 1e1d0 74757265 00000008 6b022348 085f7573 ture....k.#H._us + 1e1e0 625f7365 745f6164 64726573 73000000 b_set_address... + 1e1f0 086b0223 4c085f75 73625f67 65745f64 .k.#L._usb_get_d + 1e200 65736372 6970746f 72000000 086b0223 escriptor....k.# + 1e210 50085f75 73625f67 65745f73 74617475 P._usb_get_statu + 1e220 73000000 086b0223 54085f75 73625f73 s....k.#T._usb_s + 1e230 65747570 5f646573 63000000 010b0223 etup_desc......# + 1e240 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 1e250 00010b02 235c085f 7573625f 73746174 ....#\._usb_stat + 1e260 75735f69 6e000000 010b0223 60085f75 us_in......#`._u + 1e270 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 1e280 00010b02 2364085f 7573625f 6570305f ....#d._usb_ep0_ + 1e290 72785f64 61746100 0000010b 02236808 rx_data......#h. + 1e2a0 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 1e2b0 010b0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. + 1e2c0 00132508 6e657874 5f646573 63000000 ..%.next_desc... + 1e2d0 13250223 00086275 665f6164 64720000 .%.#..buf_addr.. + 1e2e0 00133902 23040862 75665f73 697a6500 ..9.#..buf_size. + 1e2f0 00001340 02230808 64617461 5f6f6666 ...@.#..data_off + 1e300 73657400 00001340 02230a08 64617461 set....@.#..data + 1e310 5f73697a 65000000 13400223 0c08636f _size....@.#..co + 1e320 6e74726f 6c000000 13400223 0e086877 ntrol....@.#..hw + 1e330 5f646573 635f6275 66000000 134e0223 _desc_buf....N.# + 1e340 10000300 00129904 0009415f 55494e54 ..........A_UINT + 1e350 38000000 031f0300 00132c04 0009415f 8.........,...A_ + 1e360 55494e54 31360000 0001760e 0000132c UINT16....v...., + 1e370 14000013 5b0f1300 03000012 99040009 ....[........... + 1e380 56444553 43000000 12990300 00136204 VDESC.........b. + 1e390 00060000 136d0103 00001374 04000600 .....m.....t.... + 1e3a0 00133901 03000013 81040002 01030000 ..9............. + 1e3b0 138e0400 07766465 73635f61 70690014 .....vdesc_api.. + 1e3c0 00001406 085f696e 69740000 000b2e02 ....._init...... + 1e3d0 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 1e3e0 0000137a 02230408 5f676574 5f68775f ...z.#.._get_hw_ + 1e3f0 64657363 00000013 87022308 085f7377 desc......#.._sw + 1e400 61705f76 64657363 00000013 9002230c ap_vdesc......#. + 1e410 08705265 73657276 65640000 00040702 .pReserved...... + 1e420 23100007 5f564255 46002000 00146608 #..._VBUF. ...f. + 1e430 64657363 5f6c6973 74000000 136d0223 desc_list....m.# + 1e440 00086e65 78745f62 75660000 00146602 ..next_buf....f. + 1e450 23040862 75665f6c 656e6774 68000000 #..buf_length... + 1e460 13400223 08087265 73657276 65640000 .@.#..reserved.. + 1e470 00146d02 230a0863 74780000 00134e02 ..m.#..ctx....N. + 1e480 230c0003 00001406 04000e00 00132c02 #.............,. + 1e490 0000147a 0f010003 00001406 04000956 ...z...........V + 1e4a0 42554600 00001406 03000014 81040006 BUF............. + 1e4b0 0000148b 01030000 14920400 06000014 ................ + 1e4c0 8b010300 00149f04 00020103 000014ac ................ + 1e4d0 04000776 6275665f 61706900 14000015 ...vbuf_api..... + 1e4e0 2a085f69 6e697400 00000b2e 02230008 *._init......#.. + 1e4f0 5f616c6c 6f635f76 62756600 00001498 _alloc_vbuf..... + 1e500 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 1e510 77697468 5f73697a 65000000 14a50223 with_size......# + 1e520 08085f66 7265655f 76627566 00000014 .._free_vbuf.... + 1e530 ae02230c 08705265 73657276 65640000 ..#..pReserved.. + 1e540 00040702 23100007 5f5f6164 665f6465 ....#...__adf_de + 1e550 76696365 00040000 154c0864 756d6d79 vice.....L.dummy + 1e560 00000001 12022300 00030000 09720400 ......#......r.. + 1e570 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 1e580 00001593 08627566 00000014 8b022300 .....buf......#. + 1e590 0864735f 61646472 00000015 4c022304 .ds_addr....L.#. + 1e5a0 0864735f 6c656e00 00001340 02230800 .ds_len....@.#.. + 1e5b0 110c0000 15cd085f 5f76615f 73746b00 .......__va_stk. + 1e5c0 00000375 02230008 5f5f7661 5f726567 ...u.#..__va_reg + 1e5d0 00000003 75022304 085f5f76 615f6e64 ....u.#..__va_nd + 1e5e0 78000000 01120223 0800095f 5f616466 x......#...__adf + 1e5f0 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 1e600 00097209 6164665f 6f735f64 6d615f61 ..r.adf_os_dma_a + 1e610 6464725f 74000000 15cd095f 5f616466 ddr_t......__adf + 1e620 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 1e630 00097209 6164665f 6f735f64 6d615f73 ..r.adf_os_dma_s + 1e640 697a655f 74000000 15fd075f 5f646d61 ize_t......__dma + 1e650 5f736567 73000800 00165908 70616464 _segs.....Y.padd + 1e660 72000000 15e60223 00086c65 6e000000 r......#..len... + 1e670 16160223 0400095f 5f615f75 696e7433 ...#...__a_uint3 + 1e680 325f7400 00000972 09615f75 696e7433 2_t....r.a_uint3 + 1e690 325f7400 00001659 0e000016 2d080000 2_t....Y....-... + 1e6a0 16880f00 00076164 665f6f73 5f646d61 ......adf_os_dma + 1e6b0 6d61705f 696e666f 000c0000 16c1086e map_info.......n + 1e6c0 73656773 00000016 6b022300 08646d61 segs....k.#..dma + 1e6d0 5f736567 73000000 167b0223 0400095f _segs....{.#..._ + 1e6e0 5f615f75 696e7438 5f740000 00132c09 _a_uint8_t....,. + 1e6f0 615f7569 6e74385f 74000000 16c10300 a_uint8_t....... + 1e700 0016d204 00075f5f 73675f73 65677300 ......__sg_segs. + 1e710 08000017 13087661 64647200 000016e1 ......vaddr..... + 1e720 02230008 6c656e00 0000166b 02230400 .#..len....k.#.. + 1e730 0e000016 e8200000 17200f03 00076164 ..... ... ....ad + 1e740 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... + 1e750 53086e73 65677300 0000166b 02230008 S.nsegs....k.#.. + 1e760 73675f73 65677300 00001713 02230400 sg_segs......#.. + 1e770 11100000 179c0876 656e646f 72000000 .......vendor... + 1e780 166b0223 00086465 76696365 00000016 .k.#..device.... + 1e790 6b022304 08737562 76656e64 6f720000 k.#..subvendor.. + 1e7a0 00166b02 23080873 75626465 76696365 ..k.#..subdevice + 1e7b0 00000016 6b02230c 00046c6f 6e67206c ....k.#...long l + 1e7c0 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 1e7d0 00070809 415f5549 4e543634 00000017 ....A_UINT64.... + 1e7e0 9c095f5f 615f7569 6e743634 5f740000 ..__a_uint64_t.. + 1e7f0 0017b609 615f7569 6e743634 5f740000 ....a_uint64_t.. + 1e800 0017c413 04000018 220d4144 465f4f53 ........".ADF_OS + 1e810 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 1e820 454d0000 0d414446 5f4f535f 5245534f EM...ADF_OS_RESO + 1e830 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... + 1e840 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 1e850 74797065 5f740000 0017e611 18000018 type_t.......... + 1e860 6c087374 61727400 000017d6 02230008 l.start......#.. + 1e870 656e6400 000017d6 02230808 74797065 end......#..type + 1e880 00000018 22022310 00096164 665f6f73 ....".#...adf_os + 1e890 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 1e8a0 17530300 00186c04 00100400 0018ab08 .S....l......... + 1e8b0 70636900 00001885 02230008 72617700 pci......#..raw. + 1e8c0 00000407 02230000 10100000 18ca0870 .....#.........p + 1e8d0 63690000 00186c02 23000872 61770000 ci....l.#..raw.. + 1e8e0 00040702 23000009 6164665f 6472765f ....#...adf_drv_ + 1e8f0 68616e64 6c655f74 00000004 07096164 handle_t......ad + 1e900 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 1e910 0000183e 03000018 e0040009 6164665f ...>........adf_ + 1e920 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 1e930 00000018 ab030000 18fe0400 03000015 ................ + 1e940 2a040009 5f5f6164 665f6f73 5f646576 *...__adf_os_dev + 1e950 6963655f 74000000 191f0961 64665f6f ice_t......adf_o + 1e960 735f6465 76696365 5f740000 00192606 s_device_t....&. + 1e970 000018ca 01030000 19520400 02010300 .........R...... + 1e980 00195f04 00096164 665f6f73 5f706d5f .._...adf_os_pm_ + 1e990 74000000 04070201 03000019 79040013 t...........y... + 1e9a0 04000019 b90d4144 465f4f53 5f425553 ......ADF_OS_BUS + 1e9b0 5f545950 455f5043 4900010d 4144465f _TYPE_PCI...ADF_ + 1e9c0 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 1e9d0 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu + 1e9e0 735f7479 70655f74 00000019 82096164 s_type_t......ad + 1e9f0 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 1ea00 615f7400 0000188c 03000003 1f040007 a_t............. + 1ea10 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 1ea20 001a9608 6472765f 61747461 63680000 ....drv_attach.. + 1ea30 00195802 23000864 72765f64 65746163 ..X.#..drv_detac + 1ea40 68000000 19610223 04086472 765f7375 h....a.#..drv_su + 1ea50 7370656e 64000000 197b0223 08086472 spend....{.#..dr + 1ea60 765f7265 73756d65 00000019 6102230c v_resume....a.#. + 1ea70 08627573 5f747970 65000000 19b90223 .bus_type......# + 1ea80 10086275 735f6461 74610000 0019d002 ..bus_data...... + 1ea90 2314086d 6f645f6e 616d6500 000019eb #..mod_name..... + 1eaa0 02231808 69666e61 6d650000 0019eb02 .#..ifname...... + 1eab0 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl + 1eac0 655f7400 00000407 03000016 c1040002 e_t............. + 1ead0 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 1eae0 655f7400 0000040a 13040000 1ae50d41 e_t............A + 1eaf0 5f46414c 53450000 0d415f54 52554500 _FALSE...A_TRUE. + 1eb00 01000961 5f626f6f 6c5f7400 00001acb ...a_bool_t..... + 1eb10 03000015 53040009 5f5f6164 665f6f73 ....S...__adf_os + 1eb20 5f646d61 5f6d6170 5f740000 001af302 _dma_map_t...... + 1eb30 010c6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 1eb40 796e6300 0400001b 7d0d4144 465f5359 ync.....}.ADF_SY + 1eb50 4e435f50 52455245 41440000 0d414446 NC_PREREAD...ADF + 1eb60 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 1eb70 0d414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 1eb80 41440001 0d414446 5f53594e 435f504f AD...ADF_SYNC_PO + 1eb90 53545752 49544500 03000961 64665f6f STWRITE....adf_o + 1eba0 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 1ebb0 001b1402 01096164 665f6f73 5f73697a ......adf_os_siz + 1ebc0 655f7400 00001ab6 0600001b 98010961 e_t............a + 1ebd0 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 1ebe0 00001afa 0300001b b1040006 00000407 ................ + 1ebf0 01030000 1afa0400 06000004 07010201 ................ + 1ec00 06000015 e6010201 0473686f 72742069 .........short i + 1ec10 6e740005 0209415f 494e5431 36000000 nt....A_INT16... + 1ec20 1beb095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 1ec30 001bf809 615f696e 7431365f 74000000 ....a_int16_t... + 1ec40 1c050473 69676e65 64206368 61720005 ...signed char.. + 1ec50 0109415f 494e5438 0000001c 25095f5f ..A_INT8....%.__ + 1ec60 615f696e 74385f74 0000001c 3409615f a_int8_t....4.a_ + 1ec70 696e7438 5f740000 001c4011 0c00001c int8_t....@..... + 1ec80 b7087375 70706f72 74656400 0000166b ..supported....k + 1ec90 02230008 61647665 7274697a 65640000 .#..advertized.. + 1eca0 00166b02 23040873 70656564 0000001c ..k.#..speed.... + 1ecb0 16022308 08647570 6c657800 00001c50 ..#..duplex....P + 1ecc0 02230a08 6175746f 6e656700 000016d2 .#..autoneg..... + 1ecd0 02230b00 0e000016 d2060000 1cc40f05 .#.............. + 1ece0 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 1ecf0 72000600 001ce808 61646472 0000001c r.......addr.... + 1ed00 b7022300 00095f5f 615f7569 6e743136 ..#...__a_uint16 + 1ed10 5f740000 00134009 615f7569 6e743136 _t....@.a_uint16 + 1ed20 5f740000 001ce811 0e00001d 4c086574 _t..........L.et + 1ed30 6865725f 64686f73 74000000 1cb70223 her_dhost......# + 1ed40 00086574 6865725f 73686f73 74000000 ..ether_shost... + 1ed50 1cb70223 06086574 6865725f 74797065 ...#..ether_type + 1ed60 0000001c fa02230c 00111400 001e0d14 ......#......... + 1ed70 69705f76 65727369 6f6e0000 0016d201 ip_version...... + 1ed80 00040223 00146970 5f686c00 000016d2 ...#..ip_hl..... + 1ed90 01040402 23000869 705f746f 73000000 ....#..ip_tos... + 1eda0 16d20223 01086970 5f6c656e 0000001c ...#..ip_len.... + 1edb0 fa022302 0869705f 69640000 001cfa02 ..#..ip_id...... + 1edc0 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. + 1edd0 001cfa02 23060869 705f7474 6c000000 ....#..ip_ttl... + 1ede0 16d20223 08086970 5f70726f 746f0000 ...#..ip_proto.. + 1edf0 0016d202 23090869 705f6368 65636b00 ....#..ip_check. + 1ee00 00001cfa 02230a08 69705f73 61646472 .....#..ip_saddr + 1ee10 00000016 6b02230c 0869705f 64616464 ....k.#..ip_dadd + 1ee20 72000000 166b0223 10000761 64665f6e r....k.#...adf_n + 1ee30 65745f76 6c616e68 64720004 00001e5f et_vlanhdr....._ + 1ee40 08747069 64000000 1cfa0223 00147072 .tpid......#..pr + 1ee50 696f0000 0016d201 00030223 02146366 io.........#..cf + 1ee60 69000000 16d20103 01022302 14766964 i.........#..vid + 1ee70 0000001c fa02040c 02230200 07616466 .........#...adf + 1ee80 5f6e6574 5f766964 00020000 1e901472 _net_vid.......r + 1ee90 65730000 0016d201 00040223 00147661 es.........#..va + 1eea0 6c000000 1cfa0204 0c022300 00110c00 l.........#..... + 1eeb0 001ecc08 72785f62 75667369 7a650000 ....rx_bufsize.. + 1eec0 00166b02 23000872 785f6e64 65736300 ..k.#..rx_ndesc. + 1eed0 0000166b 02230408 74785f6e 64657363 ...k.#..tx_ndesc + 1eee0 00000016 6b022308 00110800 001ef208 ....k.#......... + 1eef0 706f6c6c 65640000 001ae502 23000870 polled......#..p + 1ef00 6f6c6c5f 77740000 00166b02 2304000e oll_wt....k.#... + 1ef10 000016d2 4000001e ff0f3f00 11460000 ....@.....?..F.. + 1ef20 1f270869 665f6e61 6d650000 001ef202 .'.if_name...... + 1ef30 23000864 65765f61 64647200 00001cb7 #..dev_addr..... + 1ef40 02234000 13040000 1f5e0d41 44465f4f .#@......^.ADF_O + 1ef50 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 1ef60 00000d41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 1ef70 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ + 1ef80 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 1ef90 1f270761 64665f64 6d615f69 6e666f00 .'.adf_dma_info. + 1efa0 0800001f ab08646d 615f6d61 736b0000 ......dma_mask.. + 1efb0 001f5e02 23000873 675f6e73 65677300 ..^.#..sg_nsegs. + 1efc0 0000166b 02230400 13040000 20010d41 ...k.#...... ..A + 1efd0 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 1efe0 4500000d 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 1eff0 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 1f000 0d414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 1f010 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a + 1f020 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 1f030 655f7400 00001fab 11080000 20440874 e_t......... D.t + 1f040 785f636b 73756d00 00002001 02230008 x_cksum... ..#.. + 1f050 72785f63 6b73756d 00000020 01022304 rx_cksum... ..#. + 1f060 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 1f070 696e666f 5f740000 00201b13 04000020 info_t... ..... + 1f080 9d0d4144 465f4e45 545f5453 4f5f4e4f ..ADF_NET_TSO_NO + 1f090 4e450000 0d414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 1f0a0 5f495056 3400010d 4144465f 4e45545f _IPV4...ADF_NET_ + 1f0b0 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n + 1f0c0 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 1f0d0 205e1110 000020f1 08636b73 756d5f63 ^.... ..cksum_c + 1f0e0 61700000 00204402 23000874 736f0000 ap... D.#..tso.. + 1f0f0 00209d02 23080876 6c616e5f 73757070 . ..#..vlan_supp + 1f100 6f727465 64000000 16d20223 0c001120 orted......#... + 1f110 0000218a 0874785f 7061636b 65747300 ..!..tx_packets. + 1f120 0000166b 02230008 72785f70 61636b65 ...k.#..rx_packe + 1f130 74730000 00166b02 23040874 785f6279 ts....k.#..tx_by + 1f140 74657300 0000166b 02230808 72785f62 tes....k.#..rx_b + 1f150 79746573 00000016 6b02230c 0874785f ytes....k.#..tx_ + 1f160 64726f70 70656400 0000166b 02231008 dropped....k.#.. + 1f170 72785f64 726f7070 65640000 00166b02 rx_dropped....k. + 1f180 23140872 785f6572 726f7273 00000016 #..rx_errors.... + 1f190 6b022318 0874785f 6572726f 72730000 k.#..tx_errors.. + 1f1a0 00166b02 231c0009 6164665f 6e65745f ..k.#...adf_net_ + 1f1b0 65746861 6464725f 74000000 1cc41500 ethaddr_t....... + 1f1c0 00218a03 00000021 af0f7f00 16616466 .!.....!.....adf + 1f1d0 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 1f1e0 03040000 21e6086e 656c656d 00000016 ....!..nelem.... + 1f1f0 6b022300 086d6361 73740000 0021a102 k.#..mcast...!.. + 1f200 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 1f210 6c696e6b 5f696e66 6f5f7400 00001c5e link_info_t....^ + 1f220 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 1f230 6c5f696e 666f5f74 0000001e cc096164 l_info_t......ad + 1f240 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 1f250 696e666f 5f740000 00204409 6164665f info_t... D.adf_ + 1f260 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 1f270 6f5f7400 00001e90 09616466 5f6e6574 o_t......adf_net + 1f280 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 1f290 00001f75 09616466 5f6e6574 5f636d64 ...u.adf_net_cmd + 1f2a0 5f766964 5f740000 001cfa09 6164665f _vid_t......adf_ + 1f2b0 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 1f2c0 6361705f 74000000 20b50961 64665f6e cap_t... ..adf_n + 1f2d0 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 1f2e0 0020f109 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 1f2f0 6d636164 64725f74 00000021 af0c6164 mcaddr_t...!..ad + 1f300 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 1f310 63617000 04000023 280d4144 465f4e45 cap....#(.ADF_NE + 1f320 545f4d43 4153545f 53555000 000d4144 T_MCAST_SUP...AD + 1f330 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 1f340 55500001 00096164 665f6e65 745f636d UP....adf_net_cm + 1f350 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 1f360 22e01703 04000023 fa086c69 6e6b5f69 "......#..link_i + 1f370 6e666f00 000021e6 02230008 706f6c6c nfo...!..#..poll + 1f380 5f696e66 6f000000 22030223 0008636b _info..."..#..ck + 1f390 73756d5f 696e666f 00000022 20022300 sum_info..." .#. + 1f3a0 0872696e 675f696e 666f0000 00223e02 .ring_info...">. + 1f3b0 23000864 6d615f69 6e666f00 0000225b #..dma_info..."[ + 1f3c0 02230008 76696400 00002277 02230008 .#..vid..."w.#.. + 1f3d0 6f66666c 6f61645f 63617000 0000228e offload_cap...". + 1f3e0 02230008 73746174 73000000 22ad0223 .#..stats..."..# + 1f3f0 00086d63 6173745f 696e666f 00000022 ..mcast_info..." + 1f400 c6022300 086d6361 73745f63 61700000 ..#..mcast_cap.. + 1f410 00232802 23000013 04000024 510d4144 .#(.#......$Q.AD + 1f420 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 1f430 4e4f4e45 00000d41 44465f4e 4255465f NONE...ADF_NBUF_ + 1f440 52585f43 4b53554d 5f485700 010d4144 RX_CKSUM_HW...AD + 1f450 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 1f460 554e4e45 43455353 41525900 02000961 UNNECESSARY....a + 1f470 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 1f480 5f747970 655f7400 000023fa 11080000 _type_t...#..... + 1f490 24910872 6573756c 74000000 24510223 $..result...$Q.# + 1f4a0 00087661 6c000000 166b0223 04001108 ..val....k.#.... + 1f4b0 000024c1 08747970 65000000 209d0223 ..$..type... ..# + 1f4c0 00086d73 73000000 1cfa0223 04086864 ..mss......#..hd + 1f4d0 725f6f66 66000000 16d20223 0600075f r_off......#..._ + 1f4e0 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 1f4f0 0c000025 00086865 61640000 00148b02 ...%..head...... + 1f500 23000874 61696c00 0000148b 02230408 #..tail......#.. + 1f510 716c656e 00000016 6b022308 00095f5f qlen....k.#...__ + 1f520 6164665f 6e627566 5f740000 00148b03 adf_nbuf_t...... + 1f530 000016e1 04000300 00166b04 00020106 ..........k..... + 1f540 0000136d 01060000 166b0106 000016e1 ...m.....k...... + 1f550 01060000 16e10103 0000134e 0400095f ...........N..._ + 1f560 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 1f570 74000000 24c1095f 5f616466 5f6e6275 t...$..__adf_nbu + 1f580 665f7175 6575655f 74000000 25410300 f_queue_t...%A.. + 1f590 00255904 00060000 25000106 00002500 .%Y.....%.....%. + 1f5a0 01130400 0026790d 415f5354 41545553 .....&y.A_STATUS + 1f5b0 5f4f4b00 000d415f 53544154 55535f46 _OK...A_STATUS_F + 1f5c0 41494c45 4400010d 415f5354 41545553 AILED...A_STATUS + 1f5d0 5f454e4f 454e5400 020d415f 53544154 _ENOENT...A_STAT + 1f5e0 55535f45 4e4f4d45 4d00030d 415f5354 US_ENOMEM...A_ST + 1f5f0 41545553 5f45494e 56414c00 040d415f ATUS_EINVAL...A_ + 1f600 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 1f610 53530005 0d415f53 54415455 535f454e SS...A_STATUS_EN + 1f620 4f545355 50500006 0d415f53 54415455 OTSUPP...A_STATU + 1f630 535f4542 55535900 070d415f 53544154 S_EBUSY...A_STAT + 1f640 55535f45 32424947 00080d41 5f535441 US_E2BIG...A_STA + 1f650 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 1f660 4c00090d 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 1f670 494f000a 0d415f53 54415455 535f4546 IO...A_STATUS_EF + 1f680 41554c54 000b0d41 5f535441 5455535f AULT...A_STATUS_ + 1f690 45494f00 0c000961 5f737461 7475735f EIO....a_status_ + 1f6a0 74000000 25840600 00267901 06000001 t...%....&y..... + 1f6b0 12010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. + 1f6c0 00002500 13040000 26de0d41 44465f4f ..%.....&..ADF_O + 1f6d0 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 1f6e0 000d4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 1f6f0 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ + 1f700 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 1f710 a7060000 26790102 01096164 665f6f73 ....&y....adf_os + 1f720 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 1f730 00168803 000026fc 04000201 02010600 ......&......... + 1f740 00269701 06000025 00010201 02010600 .&.....%........ + 1f750 00269701 06000025 00010600 00269701 .&.....%.....&.. + 1f760 06000025 00010600 00269701 02010201 ...%.....&...... + 1f770 06000016 6b010600 0016e101 02010201 ....k........... + 1f780 0600001b 98010600 001ae501 0600001a ................ + 1f790 e5010961 64665f6f 735f7367 6c697374 ...adf_os_sglist + 1f7a0 5f740000 00172003 00002775 04000201 _t.... ...'u.... + 1f7b0 02010201 06000016 e1010961 64665f6e ...........adf_n + 1f7c0 6275665f 71756575 655f7400 00002559 buf_queue_t...%Y + 1f7d0 03000027 9d040002 01030000 25410400 ...'........%A.. + 1f7e0 02010201 02010600 00269701 06000025 .........&.....% + 1f7f0 00010600 00166b01 06000016 6b010600 ......k.....k... + 1f800 001ae501 0600001a e5010600 00200101 ............. .. + 1f810 06000016 6b010961 64665f6e 6275665f ....k..adf_nbuf_ + 1f820 72785f63 6b73756d 5f740000 00246f03 rx_cksum_t...$o. + 1f830 000027f9 04000201 02010961 64665f6e ..'........adf_n + 1f840 6275665f 74736f5f 74000000 24910300 buf_tso_t...$... + 1f850 00281d04 00020102 01096164 665f6e65 .(........adf_ne + 1f860 745f6861 6e646c65 5f740000 00040709 t_handle_t...... + 1f870 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 1f880 74000000 1e0d0300 00285204 00060000 t........(R..... + 1f890 26790106 00002679 01020102 01075f48 &y....&y......_H + 1f8a0 49465f43 4f4e4649 47000400 0028a108 IF_CONFIG....(.. + 1f8b0 64756d6d 79000000 01120223 00000201 dummy......#.... + 1f8c0 03000028 a1040002 01030000 28aa0400 ...(........(... + 1f8d0 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 1f8e0 000028ff 0873656e 645f6275 665f646f ..(..send_buf_do + 1f8f0 6e650000 0028a302 23000872 6563765f ne...(..#..recv_ + 1f900 62756600 000028ac 02230408 636f6e74 buf...(..#..cont + 1f910 65787400 00000407 02230800 09686966 ext......#...hif + 1f920 5f68616e 646c655f 74000000 04070948 _handle_t......H + 1f930 49465f43 4f4e4649 47000000 28800300 IF_CONFIG...(... + 1f940 00291104 00060000 28ff0103 00002928 .)......(.....)( + 1f950 04000201 03000029 35040009 4849465f .......)5...HIF_ + 1f960 43414c4c 4241434b 00000028 b3030000 CALLBACK...(.... + 1f970 293e0400 02010300 00295704 00060000 )>.......)W..... + 1f980 01120103 00002960 04000201 03000029 ......)`.......) + 1f990 6d040006 00000112 01030000 29760400 m...........)v.. + 1f9a0 02010300 00298304 00060000 01120103 .....).......... + 1f9b0 0000298c 04000201 03000029 99040007 ..)........).... + 1f9c0 6869665f 61706900 3800002a f2085f69 hif_api.8..*.._i + 1f9d0 6e697400 0000292e 02230008 5f736875 nit...)..#.._shu + 1f9e0 74646f77 6e000000 29370223 04085f72 tdown...)7.#.._r + 1f9f0 65676973 7465725f 63616c6c 6261636b egister_callback + 1fa00 00000029 59022308 085f6765 745f746f ...)Y.#.._get_to + 1fa10 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 1fa20 00000029 6602230c 085f7374 61727400 ...)f.#.._start. + 1fa30 00002937 02231008 5f636f6e 6669675f ..)7.#.._config_ + 1fa40 70697065 00000029 6f022314 085f7365 pipe...)o.#.._se + 1fa50 6e645f62 75666665 72000000 297c0223 nd_buffer...)|.# + 1fa60 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 1fa70 75660000 00298502 231c085f 69735f70 uf...)..#.._is_p + 1fa80 6970655f 73757070 6f727465 64000000 ipe_supported... + 1fa90 29920223 20085f67 65745f6d 61785f6d )..# ._get_max_m + 1faa0 73675f6c 656e0000 00299202 2324085f sg_len...)..#$._ + 1fab0 6765745f 72657365 72766564 5f686561 get_reserved_hea + 1fac0 64726f6f 6d000000 29660223 28085f69 droom...)f.#(._i + 1fad0 73725f68 616e646c 65720000 00293702 sr_handler...)7. + 1fae0 232c085f 6765745f 64656661 756c745f #,._get_default_ + 1faf0 70697065 00000029 9b022330 08705265 pipe...)..#0.pRe + 1fb00 73657276 65640000 00040702 2334000c served......#4.. + 1fb10 646d615f 656e6769 6e650004 00002b7b dma_engine....+{ + 1fb20 0d444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 1fb30 000d444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 1fb40 00010d44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 1fb50 3200020d 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 1fb60 58330003 0d444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 1fb70 54583000 040d444d 415f454e 47494e45 TX0...DMA_ENGINE + 1fb80 5f545831 00050d44 4d415f45 4e47494e _TX1...DMA_ENGIN + 1fb90 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng + 1fba0 696e655f 74000000 2af20c64 6d615f69 ine_t...*..dma_i + 1fbb0 66747970 65000400 002bc80d 444d415f ftype....+..DMA_ + 1fbc0 49465f47 4d414300 000d444d 415f4946 IF_GMAC...DMA_IF + 1fbd0 5f504349 00010d44 4d415f49 465f5043 _PCI...DMA_IF_PC + 1fbe0 49450002 0009646d 615f6966 74797065 IE....dma_iftype + 1fbf0 5f740000 002b8d06 00001340 01030000 _t...+.....@.... + 1fc00 2bda0400 02010300 002be704 00020103 +........+...... + 1fc10 00002bf0 04000600 00097201 0300002b ..+.......r....+ + 1fc20 f9040006 00001340 01030000 2c060400 .......@....,... + 1fc30 06000013 40010300 002c1304 00060000 ....@....,...... + 1fc40 148b0103 00002c20 04000201 0300002c ......, ......., + 1fc50 2d040007 646d615f 6c69625f 61706900 -...dma_lib_api. + 1fc60 3400002d 34087478 5f696e69 74000000 4..-4.tx_init... + 1fc70 2be00223 00087478 5f737461 72740000 +..#..tx_start.. + 1fc80 002be902 23040872 785f696e 69740000 .+..#..rx_init.. + 1fc90 002be002 23080872 785f636f 6e666967 .+..#..rx_config + 1fca0 0000002b f202230c 0872785f 73746172 ...+..#..rx_star + 1fcb0 74000000 2be90223 1008696e 74725f73 t...+..#..intr_s + 1fcc0 74617475 73000000 2bff0223 14086861 tatus...+..#..ha + 1fcd0 72645f78 6d697400 00002c0c 02231808 rd_xmit...,..#.. + 1fce0 666c7573 685f786d 69740000 002be902 flush_xmit...+.. + 1fcf0 231c0878 6d69745f 646f6e65 0000002c #..xmit_done..., + 1fd00 19022320 08726561 705f786d 69747465 ..# .reap_xmitte + 1fd10 64000000 2c260223 24087265 61705f72 d...,&.#$.reap_r + 1fd20 65637600 00002c26 02232808 72657475 ecv...,&.#(.retu + 1fd30 726e5f72 65637600 00002c2f 02232c08 rn_recv...,/.#,. + 1fd40 72656376 5f706b74 0000002c 19022330 recv_pkt...,..#0 + 1fd50 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 1fd60 002d5208 73770000 00293e02 23000009 .-R.sw...)>.#... + 1fd70 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 1fd80 2d340300 002d5204 00020103 00002d6c -4...-R.......-l + 1fd90 04000600 00132c01 0300002d 7504000c ......,....-u... + 1fda0 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 1fdb0 0400002d d50d4849 465f5043 495f5049 ...-..HIF_PCI_PI + 1fdc0 50455f54 58300000 0d484946 5f504349 PE_TX0...HIF_PCI + 1fdd0 5f504950 455f5458 3100010d 4849465f _PIPE_TX1...HIF_ + 1fde0 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 1fdf0 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 1fe00 74785f74 0000002d 82060000 2b7b0103 tx_t...-....+{.. + 1fe10 00002dec 04000c68 69665f70 63695f70 ..-....hif_pci_p + 1fe20 6970655f 72780004 00002e72 0d484946 ipe_rx.....r.HIF + 1fe30 5f504349 5f504950 455f5258 3000000d _PCI_PIPE_RX0... + 1fe40 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 1fe50 00010d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 1fe60 52583200 020d4849 465f5043 495f5049 RX2...HIF_PCI_PI + 1fe70 50455f52 58330003 0d484946 5f504349 PE_RX3...HIF_PCI + 1fe80 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... + 1fe90 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 1fea0 74000000 2df90600 002b7b01 0300002e t...-....+{..... + 1feb0 89040007 6869665f 7063695f 61706900 ....hif_pci_api. + 1fec0 2400002f 67087063 695f626f 6f745f69 $../g.pci_boot_i + 1fed0 6e697400 0000010b 02230008 7063695f nit......#..pci_ + 1fee0 696e6974 00000029 2e022304 08706369 init...)..#..pci + 1fef0 5f726573 65740000 00010b02 23080870 _reset......#..p + 1ff00 63695f65 6e61626c 65000000 010b0223 ci_enable......# + 1ff10 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 1ff20 65640000 002d6e02 23100870 63695f72 ed...-n.#..pci_r + 1ff30 6561705f 72656376 0000002d 6e022314 eap_recv...-n.#. + 1ff40 08706369 5f676574 5f706970 65000000 .pci_get_pipe... + 1ff50 2d7b0223 18087063 695f6765 745f7478 -{.#..pci_get_tx + 1ff60 5f656e67 0000002d f202231c 08706369 _eng...-..#..pci + 1ff70 5f676574 5f72785f 656e6700 00002e8f _get_rx_eng..... + 1ff80 02232000 07676d61 635f6170 69000400 .# ..gmac_api... + 1ff90 002f8e08 676d6163 5f626f6f 745f696e ./..gmac_boot_in + 1ffa0 69740000 00010b02 2300000e 0000031f it......#....... + 1ffb0 0600002f 9b0f0500 075f5f65 74686864 .../.....__ethhd + 1ffc0 72000e00 002fd108 64737400 00002f8e r..../..dst.../. + 1ffd0 02230008 73726300 00002f8e 02230608 .#..src.../..#.. + 1ffe0 65747970 65000000 13400223 0c00075f etype....@.#..._ + 1fff0 5f617468 68647200 04000030 1f147265 _athhdr....0..re + 20000 73000000 132c0100 02022300 1470726f s....,....#..pro + 20010 746f0000 00132c01 02060223 00087265 to....,....#..re + 20020 735f6c6f 00000013 2c022301 08726573 s_lo....,.#..res + 20030 5f686900 00001340 02230200 075f5f67 _hi....@.#...__g + 20040 6d61635f 68647200 14000030 5b086574 mac_hdr....0[.et + 20050 68000000 2f9b0223 00086174 68000000 h.../..#..ath... + 20060 2fd10223 0e08616c 69676e5f 70616400 /..#..align_pad. + 20070 00001340 02231200 095f5f67 6d61635f ...@.#...__gmac_ + 20080 6864725f 74000000 301f075f 5f676d61 hdr_t...0..__gma + 20090 635f736f 66746300 24000030 a5086864 c_softc.$..0..hd + 200a0 72000000 305b0223 00086772 616e0000 r...0[.#..gran.. + 200b0 00134002 23140873 77000000 293e0223 ..@.#..sw...)>.# + 200c0 1800075f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 200d0 5f636865 636b0008 000030de 08766572 _check....0..ver + 200e0 73696f6e 00000001 12022300 08746162 sion......#..tab + 200f0 6c650000 00011202 23040003 000030a5 le......#.....0. + 20100 04000600 00011201 03000030 e5040003 ...........0.... + 20110 0000040a 0400165f 415f636d 6e6f735f ......._A_cmnos_ + 20120 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 20130 650001b8 00003235 0868616c 5f6c696e e.....25.hal_lin + 20140 6b616765 5f636865 636b0000 0030eb02 kage_check...0.. + 20150 23000873 74617274 5f627373 00000030 #..start_bss...0 + 20160 f2022304 08617070 5f737461 72740000 ..#..app_start.. + 20170 00010b02 2308086d 656d0000 00044a02 ....#..mem....J. + 20180 230c086d 69736300 000005bd 02232008 #..misc......# . + 20190 7072696e 74660000 00013f02 23440875 printf....?.#D.u + 201a0 61727400 00000208 02234c08 676d6163 art......#L.gmac + 201b0 0000002f 6702236c 08757362 0000000f .../g.#l.usb.... + 201c0 ec022370 08636c6f 636b0000 000b3503 ..#p.clock....5. + 201d0 23e00108 74696d65 72000000 07d50323 #...timer......# + 201e0 84020869 6e747200 00000c85 03239802 ...intr......#.. + 201f0 08616c6c 6f637261 6d000000 098d0323 .allocram......# + 20200 c4020872 6f6d7000 0000087f 0323d002 ...romp......#.. + 20210 08776474 5f74696d 65720000 000e6203 .wdt_timer....b. + 20220 23e00208 65657000 00000f90 0323fc02 #...eep......#.. + 20230 08737472 696e6700 000006e1 03238c03 .string......#.. + 20240 08746173 6b6c6574 0000000a 8a0323a4 .tasklet......#. + 20250 0300075f 5553425f 4649464f 5f434f4e ..._USB_FIFO_CON + 20260 46494700 10000032 a8086765 745f636f FIG....2..get_co + 20270 6d6d616e 645f6275 66000000 14980223 mmand_buf......# + 20280 00087265 63765f63 6f6d6d61 6e640000 ..recv_command.. + 20290 0014ae02 23040867 65745f65 76656e74 ....#..get_event + 202a0 5f627566 00000014 98022308 0873656e _buf......#..sen + 202b0 645f6576 656e745f 646f6e65 00000014 d_event_done.... + 202c0 ae02230c 00095553 425f4649 464f5f43 ..#...USB_FIFO_C + 202d0 4f4e4649 47000000 32350300 0032a804 ONFIG...25...2.. + 202e0 00020103 000032c4 04000775 73626669 ......2....usbfi + 202f0 666f5f61 7069000c 0000331a 085f696e fo_api....3.._in + 20300 69740000 0032c602 2300085f 656e6162 it...2..#.._enab + 20310 6c655f65 76656e74 5f697372 00000001 le_event_isr.... + 20320 0b022304 08705265 73657276 65640000 ..#..pReserved.. + 20330 00040702 2308000e 000016d2 02000033 ....#..........3 + 20340 270f0100 075f4854 435f4652 414d455f '...._HTC_FRAME_ + 20350 48445200 08000033 9908456e 64706f69 HDR....3..Endpoi + 20360 6e744944 00000016 d2022300 08466c61 ntID......#..Fla + 20370 67730000 0016d202 23010850 61796c6f gs......#..Paylo + 20380 61644c65 6e000000 1cfa0223 0208436f adLen......#..Co + 20390 6e74726f 6c427974 65730000 00331a02 ntrolBytes...3.. + 203a0 23040848 6f737453 65714e75 6d000000 #..HostSeqNum... + 203b0 1cfa0223 06001102 000033b2 084d6573 ...#......3..Mes + 203c0 73616765 49440000 001cfa02 23000011 sageID......#... + 203d0 08000034 15084d65 73736167 65494400 ...4..MessageID. + 203e0 00001cfa 02230008 43726564 6974436f .....#..CreditCo + 203f0 756e7400 00001cfa 02230208 43726564 unt......#..Cred + 20400 69745369 7a650000 001cfa02 2304084d itSize......#..M + 20410 6178456e 64706f69 6e747300 000016d2 axEndpoints..... + 20420 02230608 5f506164 31000000 16d20223 .#.._Pad1......# + 20430 0700110a 000034ac 084d6573 73616765 ......4..Message + 20440 49440000 001cfa02 23000853 65727669 ID......#..Servi + 20450 63654944 0000001c fa022302 08436f6e ceID......#..Con + 20460 6e656374 696f6e46 6c616773 0000001c nectionFlags.... + 20470 fa022304 08446f77 6e4c696e 6b506970 ..#..DownLinkPip + 20480 65494400 000016d2 02230608 55704c69 eID......#..UpLi + 20490 6e6b5069 70654944 00000016 d2022307 nkPipeID......#. + 204a0 08536572 76696365 4d657461 4c656e67 .ServiceMetaLeng + 204b0 74680000 0016d202 2308085f 50616431 th......#.._Pad1 + 204c0 00000016 d2022309 00110a00 00353408 ......#......54. + 204d0 4d657373 61676549 44000000 1cfa0223 MessageID......# + 204e0 00085365 72766963 65494400 00001cfa ..ServiceID..... + 204f0 02230208 53746174 75730000 0016d202 .#..Status...... + 20500 23040845 6e64706f 696e7449 44000000 #..EndpointID... + 20510 16d20223 05084d61 784d7367 53697a65 ...#..MaxMsgSize + 20520 0000001c fa022306 08536572 76696365 ......#..Service + 20530 4d657461 4c656e67 74680000 0016d202 MetaLength...... + 20540 2308085f 50616431 00000016 d2022309 #.._Pad1......#. + 20550 00110200 00354d08 4d657373 61676549 .....5M.MessageI + 20560 44000000 1cfa0223 00001104 00003589 D......#......5. + 20570 084d6573 73616765 49440000 001cfa02 .MessageID...... + 20580 23000850 69706549 44000000 16d20223 #..PipeID......# + 20590 02084372 65646974 436f756e 74000000 ..CreditCount... + 205a0 16d20223 03001104 000035c0 084d6573 ...#......5..Mes + 205b0 73616765 49440000 001cfa02 23000850 sageID......#..P + 205c0 69706549 44000000 16d20223 02085374 ipeID......#..St + 205d0 61747573 00000016 d2022303 00110200 atus......#..... + 205e0 0035e708 5265636f 72644944 00000016 .5..RecordID.... + 205f0 d2022300 084c656e 67746800 000016d2 ..#..Length..... + 20600 02230100 11020000 36110845 6e64706f .#......6..Endpo + 20610 696e7449 44000000 16d20223 00084372 intID......#..Cr + 20620 65646974 73000000 16d20223 01001104 edits......#.... + 20630 00003652 08456e64 706f696e 74494400 ..6R.EndpointID. + 20640 000016d2 02230008 43726564 69747300 .....#..Credits. + 20650 000016d2 02230108 54677443 72656469 .....#..TgtCredi + 20660 74536571 4e6f0000 001cfa02 2302000e tSeqNo......#... + 20670 000016d2 04000036 5f0f0300 11060000 .......6_....... + 20680 369b0850 72655661 6c696400 000016d2 6..PreValid..... + 20690 02230008 4c6f6f6b 41686561 64000000 .#..LookAhead... + 206a0 36520223 0108506f 73745661 6c696400 6R.#..PostValid. + 206b0 000016d2 02230500 09706f6f 6c5f6861 .....#...pool_ha + 206c0 6e646c65 5f740000 00040706 0000369b ndle_t........6. + 206d0 01030000 36ae0400 02010300 0036bb04 ....6........6.. + 206e0 00130400 0037390d 504f4f4c 5f49445f .....79.POOL_ID_ + 206f0 4854435f 434f4e54 524f4c00 000d504f HTC_CONTROL...PO + 20700 4f4c5f49 445f574d 495f5356 435f434d OL_ID_WMI_SVC_CM + 20710 445f5245 504c5900 010d504f 4f4c5f49 D_REPLY...POOL_I + 20720 445f574d 495f5356 435f4556 454e5400 D_WMI_SVC_EVENT. + 20730 020d504f 4f4c5f49 445f574c 414e5f52 ..POOL_ID_WLAN_R + 20740 585f4255 4600030d 504f4f4c 5f49445f X_BUF...POOL_ID_ + 20750 4d415800 0a000942 55465f50 4f4f4c5f MAX....BUF_POOL_ + 20760 49440000 0036c402 01030000 374a0400 ID...6......7J.. + 20770 06000026 97010300 00375304 00060000 ...&.....7S..... + 20780 26970103 00003760 04000201 03000037 &.....7`.......7 + 20790 6d040007 6275665f 706f6f6c 5f617069 m...buf_pool_api + 207a0 001c0000 380f085f 696e6974 00000036 ....8.._init...6 + 207b0 b4022300 085f7368 7574646f 776e0000 ..#.._shutdown.. + 207c0 0036bd02 2304085f 63726561 74655f70 .6..#.._create_p + 207d0 6f6f6c00 0000374c 02230808 5f616c6c ool...7L.#.._all + 207e0 6f635f62 75660000 00375902 230c085f oc_buf...7Y.#.._ + 207f0 616c6c6f 635f6275 665f616c 69676e00 alloc_buf_align. + 20800 00003766 02231008 5f667265 655f6275 ..7f.#.._free_bu + 20810 66000000 376f0223 14087052 65736572 f...7o.#..pReser + 20820 76656400 00000407 02231800 075f4854 ved......#..._HT + 20830 435f5345 52564943 45001c00 0038ee08 C_SERVICE....8.. + 20840 704e6578 74000000 38ee0223 00085072 pNext...8..#..Pr + 20850 6f636573 73526563 764d7367 00000039 ocessRecvMsg...9 + 20860 a3022304 0850726f 63657373 53656e64 ..#..ProcessSend + 20870 42756666 6572436f 6d706c65 74650000 BufferComplete.. + 20880 0039ac02 23080850 726f6365 7373436f .9..#..ProcessCo + 20890 6e6e6563 74000000 39c00223 0c085365 nnect...9..#..Se + 208a0 72766963 65494400 00001340 02231008 rviceID....@.#.. + 208b0 53657276 69636546 6c616773 00000013 ServiceFlags.... + 208c0 40022312 084d6178 5376634d 73675369 @.#..MaxSvcMsgSi + 208d0 7a650000 00134002 23140854 7261696c ze....@.#..Trail + 208e0 65725370 63436865 636b4c69 6d697400 erSpcCheckLimit. + 208f0 00001340 02231608 53657276 69636543 ...@.#..ServiceC + 20900 74780000 00040702 23180003 0000380f tx......#.....8. + 20910 04001304 0000398c 18454e44 504f494e ......9..ENDPOIN + 20920 545f554e 55534544 00ffffff ff0d454e T_UNUSED......EN + 20930 44504f49 4e543000 000d454e 44504f49 DPOINT0...ENDPOI + 20940 4e543100 010d454e 44504f49 4e543200 NT1...ENDPOINT2. + 20950 020d454e 44504f49 4e543300 030d454e ..ENDPOINT3...EN + 20960 44504f49 4e543400 040d454e 44504f49 DPOINT4...ENDPOI + 20970 4e543500 050d454e 44504f49 4e543600 NT5...ENDPOINT6. + 20980 060d454e 44504f49 4e543700 070d454e ..ENDPOINT7...EN + 20990 44504f49 4e543800 080d454e 44504f49 DPOINT8...ENDPOI + 209a0 4e545f4d 41580016 00094854 435f454e NT_MAX....HTC_EN + 209b0 44504f49 4e545f49 44000000 38f50201 DPOINT_ID...8... + 209c0 03000039 a1040002 01030000 39aa0400 ...9........9... + 209d0 03000001 12040006 0000132c 01030000 ...........,.... + 209e0 39ba0400 03000038 0f040007 5f485443 9......8...._HTC + 209f0 5f434f4e 46494700 1400003a 3f084372 _CONFIG....:?.Cr + 20a00 65646974 53697a65 00000001 12022300 editSize......#. + 20a10 08437265 6469744e 756d6265 72000000 .CreditNumber... + 20a20 01120223 04084f53 48616e64 6c650000 ...#..OSHandle.. + 20a30 001a9602 23080848 49464861 6e646c65 ....#..HIFHandle + 20a40 00000028 ff02230c 08506f6f 6c48616e ...(..#..PoolHan + 20a50 646c6500 0000369b 02231000 075f4854 dle...6..#..._HT + 20a60 435f4255 465f434f 4e544558 54000200 C_BUF_CONTEXT... + 20a70 003a7b08 656e645f 706f696e 74000000 .:{.end_point... + 20a80 132c0223 00086874 635f666c 61677300 .,.#..htc_flags. + 20a90 0000132c 02230100 09687463 5f68616e ...,.#...htc_han + 20aa0 646c655f 74000000 04070948 54435f53 dle_t......HTC_S + 20ab0 45545550 5f434f4d 504c4554 455f4342 ETUP_COMPLETE_CB + 20ac0 00000001 0b094854 435f434f 4e464947 ......HTC_CONFIG + 20ad0 00000039 ce030000 3aa80400 0600003a ...9....:......: + 20ae0 7b010300 003abf04 00020103 00003acc {....:........:. + 20af0 04000948 54435f53 45525649 43450000 ...HTC_SERVICE.. + 20b00 00380f03 00003ad5 04000201 0300003a .8....:........: + 20b10 ed040002 01030000 3af60400 02010300 ........:....... + 20b20 003aff04 00060000 01120103 00003b08 .:............;. + 20b30 04000768 74635f61 70697300 3400003c ...htc_apis.4..< + 20b40 85085f48 54435f49 6e697400 00003ac5 .._HTC_Init...:. + 20b50 02230008 5f485443 5f536875 74646f77 .#.._HTC_Shutdow + 20b60 6e000000 3ace0223 04085f48 54435f52 n...:..#.._HTC_R + 20b70 65676973 74657253 65727669 63650000 egisterService.. + 20b80 003aef02 2308085f 4854435f 52656164 .:..#.._HTC_Read + 20b90 79000000 3ace0223 0c085f48 54435f52 y...:..#.._HTC_R + 20ba0 65747572 6e427566 66657273 0000003a eturnBuffers...: + 20bb0 f8022310 085f4854 435f5265 7475726e ..#.._HTC_Return + 20bc0 42756666 6572734c 69737400 00003b01 BuffersList...;. + 20bd0 02231408 5f485443 5f53656e 644d7367 .#.._HTC_SendMsg + 20be0 0000003a f8022318 085f4854 435f4765 ...:..#.._HTC_Ge + 20bf0 74526573 65727665 64486561 64726f6f tReservedHeadroo + 20c00 6d000000 3b0e0223 1c085f48 54435f4d m...;..#.._HTC_M + 20c10 73675265 63764861 6e646c65 72000000 sgRecvHandler... + 20c20 28ac0223 20085f48 54435f53 656e6444 (..# ._HTC_SendD + 20c30 6f6e6548 616e646c 65720000 0028a302 oneHandler...(.. + 20c40 2324085f 4854435f 436f6e74 726f6c53 #$._HTC_ControlS + 20c50 76635072 6f636573 734d7367 00000039 vcProcessMsg...9 + 20c60 a3022328 085f4854 435f436f 6e74726f ..#(._HTC_Contro + 20c70 6c537663 50726f63 65737353 656e6443 lSvcProcessSendC + 20c80 6f6d706c 65746500 000039ac 02232c08 omplete...9..#,. + 20c90 70526573 65727665 64000000 04070223 pReserved......# + 20ca0 30000768 6f73745f 6170705f 61726561 0..host_app_area + 20cb0 5f730004 00003cb5 08776d69 5f70726f _s....<..wmi_pro + 20cc0 746f636f 6c5f7665 72000000 166b0223 tocol_ver....k.# + 20cd0 0000110e 00003cec 08647374 4d616300 ......<..dstMac. + 20ce0 00001cb7 02230008 7372634d 61630000 .....#..srcMac.. + 20cf0 001cb702 23060874 7970654f 724c656e ....#..typeOrLen + 20d00 0000001c fa02230c 000e0000 16d20300 ......#......... + 20d10 003cf90f 02001108 00003d49 08647361 .<........=I.dsa + 20d20 70000000 16d20223 00087373 61700000 p......#..ssap.. + 20d30 0016d202 23010863 6e746c00 000016d2 ....#..cntl..... + 20d40 02230208 6f726743 6f646500 00003cec .#..orgCode...<. + 20d50 02230308 65746865 72547970 65000000 .#..etherType... + 20d60 1cfa0223 06001102 00003d6a 08727373 ...#......=j.rss + 20d70 69000000 1c500223 0008696e 666f0000 i....P.#..info.. + 20d80 0016d202 23010011 0400003d 9108636f ....#......=..co + 20d90 6d6d616e 64496400 00001cfa 02230008 mmandId......#.. + 20da0 7365714e 6f000000 1cfa0223 02000e00 seqNo......#.... + 20db0 0016d201 00003d9e 0f000011 0200003d ......=........= + 20dc0 c5086d73 6753697a 65000000 16d20223 ..msgSize......# + 20dd0 00086d73 67446174 61000000 3d910223 ..msgData...=..# + 20de0 01001108 00003e0c 08616464 72657373 ......>..address + 20df0 4c000000 1cfa0223 00086164 64726573 L......#..addres + 20e00 73480000 001cfa02 23020876 616c7565 sH......#..value + 20e10 4c000000 1cfa0223 04087661 6c756548 L......#..valueH + 20e20 0000001c fa022306 0009574d 495f4156 ......#...WMI_AV + 20e30 54000000 3dc50e00 003e0c08 00003e26 T...=....>....>& + 20e40 0f000011 0c00003e 5d087475 706c654e .......>].tupleN + 20e50 756d4c00 00001cfa 02230008 7475706c umL......#..tupl + 20e60 654e756d 48000000 1cfa0223 02086176 eNumH......#..av + 20e70 74000000 3e190223 04001101 00003e7f t...>..#......>. + 20e80 08626561 636f6e50 656e6469 6e67436f .beaconPendingCo + 20e90 756e7400 000016d2 02230000 075f574d unt......#..._WM + 20ea0 495f5356 435f434f 4e464947 00100000 I_SVC_CONFIG.... + 20eb0 3ee80848 74634861 6e646c65 0000003a >..HtcHandle...: + 20ec0 7b022300 08506f6f 6c48616e 646c6500 {.#..PoolHandle. + 20ed0 0000369b 02230408 4d617843 6d645265 ..6..#..MaxCmdRe + 20ee0 706c7945 76747300 00000112 02230808 plyEvts......#.. + 20ef0 4d617845 76656e74 45767473 00000001 MaxEventEvts.... + 20f00 1202230c 00020103 00003ee8 04000957 ..#.......>....W + 20f10 4d495f43 4d445f48 414e444c 45520000 MI_CMD_HANDLER.. + 20f20 003eea07 5f574d49 5f444953 50415443 .>.._WMI_DISPATC + 20f30 485f454e 54525900 0800003f 51087043 H_ENTRY....?Q.pC + 20f40 6d644861 6e646c65 72000000 3ef10223 mdHandler...>..# + 20f50 0008436d 64494400 00001340 02230408 ..CmdID....@.#.. + 20f60 466c6167 73000000 13400223 0600075f Flags....@.#..._ + 20f70 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB + 20f80 4c450010 00003fb2 08704e65 78740000 LE....?..pNext.. + 20f90 003fb202 23000870 436f6e74 65787400 .?..#..pContext. + 20fa0 00000407 02230408 4e756d62 65724f66 .....#..NumberOf + 20fb0 456e7472 69657300 00000112 02230808 Entries......#.. + 20fc0 70546162 6c650000 003fd102 230c0003 pTable...?..#... + 20fd0 00003f51 04000957 4d495f44 49535041 ..?Q...WMI_DISPA + 20fe0 5443485f 454e5452 59000000 3f060300 TCH_ENTRY...?... + 20ff0 003fb904 00030000 3f510400 09485443 .?......?Q...HTC + 21000 5f425546 5f434f4e 54455854 0000003a _BUF_CONTEXT...: + 21010 3f0c574d 495f4556 545f434c 41535300 ?.WMI_EVT_CLASS. + 21020 04000040 6918574d 495f4556 545f434c ...@i.WMI_EVT_CL + 21030 4153535f 4e4f4e45 00ffffff ff0d574d ASS_NONE......WM + 21040 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 21050 4556454e 5400000d 574d495f 4556545f EVENT...WMI_EVT_ + 21060 434c4153 535f434d 445f5245 504c5900 CLASS_CMD_REPLY. + 21070 010d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 21080 4d415800 02000957 4d495f45 56545f43 MAX....WMI_EVT_C + 21090 4c415353 0000003f f4075f57 4d495f42 LASS...?.._WMI_B + 210a0 55465f43 4f4e5445 5854000c 000040c7 UF_CONTEXT....@. + 210b0 08487463 42756643 74780000 003fdf02 .HtcBufCtx...?.. + 210c0 23000845 76656e74 436c6173 73000000 #..EventClass... + 210d0 40690223 0408466c 61677300 00001340 @i.#..Flags....@ + 210e0 02230800 09776d69 5f68616e 646c655f .#...wmi_handle_ + 210f0 74000000 04070957 4d495f53 56435f43 t......WMI_SVC_C + 21100 4f4e4649 47000000 3e7f0300 0040d904 ONFIG...>....@.. + 21110 00060000 40c70103 000040f4 04000957 ....@.....@....W + 21120 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 21130 45000000 3f510300 00410104 00020103 E...?Q...A...... + 21140 00004120 04000600 00269701 03000041 ..A .....&.....A + 21150 29040002 01030000 41360400 06000001 ).......A6...... + 21160 12010300 00413f04 00020103 0000414c .....A?.......AL + 21170 04000600 00132c01 03000041 55040007 ......,....AU... + 21180 5f776d69 5f737663 5f617069 73002c00 _wmi_svc_apis.,. + 21190 00429d08 5f574d49 5f496e69 74000000 .B.._WMI_Init... + 211a0 40fa0223 00085f57 4d495f52 65676973 @..#.._WMI_Regis + 211b0 74657244 69737061 74636854 61626c65 terDispatchTable + 211c0 00000041 22022304 085f574d 495f416c ...A".#.._WMI_Al + 211d0 6c6f6345 76656e74 00000041 2f022308 locEvent...A/.#. + 211e0 085f574d 495f5365 6e644576 656e7400 ._WMI_SendEvent. + 211f0 00004138 02230c08 5f574d49 5f476574 ..A8.#.._WMI_Get + 21200 50656e64 696e6745 76656e74 73436f75 PendingEventsCou + 21210 6e740000 00414502 2310085f 574d495f nt...AE.#.._WMI_ + 21220 53656e64 436f6d70 6c657465 48616e64 SendCompleteHand + 21230 6c657200 000039ac 02231408 5f574d49 ler...9..#.._WMI + 21240 5f476574 436f6e74 726f6c45 70000000 _GetControlEp... + 21250 41450223 18085f57 4d495f53 68757464 AE.#.._WMI_Shutd + 21260 6f776e00 0000414e 02231c08 5f574d49 own...AN.#.._WMI + 21270 5f526563 764d6573 73616765 48616e64 _RecvMessageHand + 21280 6c657200 000039a3 02232008 5f574d49 ler...9..# ._WMI + 21290 5f536572 76696365 436f6e6e 65637400 _ServiceConnect. + 212a0 0000415b 02232408 70526573 65727665 ..A[.#$.pReserve + 212b0 64000000 04070223 2800077a 73446d61 d......#(..zsDma + 212c0 44657363 00140000 431f0863 74726c00 Desc....C..ctrl. + 212d0 00000176 02230008 73746174 75730000 ...v.#..status.. + 212e0 00017602 23020874 6f74616c 4c656e00 ..v.#..totalLen. + 212f0 00000176 02230408 64617461 53697a65 ...v.#..dataSize + 21300 00000001 76022306 086c6173 74416464 ....v.#..lastAdd + 21310 72000000 431f0223 08086461 74614164 r...C..#..dataAd + 21320 64720000 00019a02 230c086e 65787441 dr......#..nextA + 21330 64647200 0000431f 02231000 03000042 ddr...C..#.....B + 21340 9d040003 0000429d 0400077a 73446d61 ......B....zsDma + 21350 51756575 65000800 00435f08 68656164 Queue....C_.head + 21360 00000043 26022300 08746572 6d696e61 ...C&.#..termina + 21370 746f7200 00004326 02230400 077a7354 tor...C&.#...zsT + 21380 78446d61 51756575 65001000 0043c308 xDmaQueue....C.. + 21390 68656164 00000043 26022300 08746572 head...C&.#..ter + 213a0 6d696e61 746f7200 00004326 02230408 minator...C&.#.. + 213b0 786d6974 65645f62 75665f68 65616400 xmited_buf_head. + 213c0 0000148b 02230808 786d6974 65645f62 .....#..xmited_b + 213d0 75665f74 61696c00 0000148b 02230c00 uf_tail......#.. + 213e0 02010300 0043c304 00030000 432d0400 .....C......C-.. + 213f0 02010300 0043d304 00030000 435f0400 .....C......C_.. + 21400 02010300 0043e304 00020103 000043ec .....C........C. + 21410 04000201 03000043 f5040006 0000148b .......C........ + 21420 01030000 43fe0400 02010300 00440b04 ....C........D.. + 21430 00060000 148b0103 00004414 04000201 ..........D..... + 21440 03000044 21040006 00000112 01030000 ...D!........... + 21450 442a0400 06000043 26010300 00443704 D*.....C&....D7. + 21460 00020103 00004444 04000764 6d615f65 ......DD...dma_e + 21470 6e67696e 655f6170 69004000 0045ba08 ngine_api.@..E.. + 21480 5f696e69 74000000 43c50223 00085f69 _init...C..#.._i + 21490 6e69745f 72785f71 75657565 00000043 nit_rx_queue...C + 214a0 d5022304 085f696e 69745f74 785f7175 ..#.._init_tx_qu + 214b0 65756500 000043e5 02230808 5f636f6e eue...C..#.._con + 214c0 6669675f 72785f71 75657565 00000043 fig_rx_queue...C + 214d0 ee02230c 085f786d 69745f62 75660000 ..#.._xmit_buf.. + 214e0 0043f702 2310085f 666c7573 685f786d .C..#.._flush_xm + 214f0 69740000 0043d502 2314085f 72656170 it...C..#.._reap + 21500 5f726563 765f6275 66000000 44040223 _recv_buf...D..# + 21510 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 21520 75660000 00440d02 231c085f 72656170 uf...D..#.._reap + 21530 5f786d69 7465645f 62756600 0000441a _xmited_buf...D. + 21540 02232008 5f737761 705f6461 74610000 .# ._swap_data.. + 21550 00442302 2324085f 6861735f 636f6d70 .D#.#$._has_comp + 21560 6c5f7061 636b6574 73000000 44300223 l_packets...D0.# + 21570 28085f64 6573635f 64756d70 00000043 (._desc_dump...C + 21580 d502232c 085f6765 745f7061 636b6574 ..#,._get_packet + 21590 00000044 3d022330 085f7265 636c6169 ...D=.#0._reclai + 215a0 6d5f7061 636b6574 00000044 46022334 m_packet...DF.#4 + 215b0 085f7075 745f7061 636b6574 00000044 ._put_packet...D + 215c0 46022338 08705265 73657276 65640000 F.#8.pReserved.. + 215d0 00040702 233c0009 5f415f63 6d6e6f73 ....#<.._A_cmnos + 215e0 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 215f0 6c655f74 00000030 f909574d 495f5356 le_t...0..WMI_SV + 21600 435f4150 49530000 00416216 5f415f6d C_APIS...Ab._A_m + 21610 61677069 655f696e 64697265 6374696f agpie_indirectio + 21620 6e5f7461 626c6500 034c0000 46e80863 n_table..L..F..c + 21630 6d6e6f73 00000045 ba022300 08646267 mnos...E..#..dbg + 21640 00000003 d40323b8 03086869 66000000 ......#...hif... + 21650 29a20323 c0030868 74630000 003b1503 )..#...htc...;.. + 21660 23f80308 776d695f 7376635f 61706900 #...wmi_svc_api. + 21670 000045dc 0323ac04 08757362 6669666f ..E..#...usbfifo + 21680 5f617069 00000032 cd0323d8 04086275 _api...2..#...bu + 21690 665f706f 6f6c0000 00377603 23e40408 f_pool...7v.#... + 216a0 76627566 00000014 b5032380 05087664 vbuf......#...vd + 216b0 65736300 00001397 03239405 08616c6c esc......#...all + 216c0 6f637261 6d000000 098d0323 a8050864 ocram......#...d + 216d0 6d615f65 6e67696e 65000000 444d0323 ma_engine...DM.# + 216e0 b4050864 6d615f6c 69620000 002c3603 ...dma_lib...,6. + 216f0 23f40508 6869665f 70636900 00002e96 #...hif_pci..... + 21700 0323a806 00095f41 5f6d6167 7069655f .#...._A_magpie_ + 21710 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 21720 655f7400 000045ee 11100000 473e0861 e_t...E.....G>.a + 21730 30000000 01af0223 00086131 00000001 0......#..a1.... + 21740 af022304 08613200 000001af 02230808 ..#..a2......#.. + 21750 61330000 0001af02 230c000e 0000470b a3......#.....G. + 21760 50000047 4b0f0400 07585465 6e73615f P..GK....XTensa_ + 21770 65786365 7074696f 6e5f6672 616d655f exception_frame_ + 21780 73008000 00482f08 78745f70 63000000 s....H/.xt_pc... + 21790 01af0223 00087874 5f707300 000001af ...#..xt_ps..... + 217a0 02230408 78745f73 61720000 0001af02 .#..xt_sar...... + 217b0 23080878 745f7670 72690000 0001af02 #..xt_vpri...... + 217c0 230c0878 745f6132 00000001 af022310 #..xt_a2......#. + 217d0 0878745f 61330000 0001af02 23140878 .xt_a3......#..x + 217e0 745f6134 00000001 af022318 0878745f t_a4......#..xt_ + 217f0 61350000 0001af02 231c0878 745f6578 a5......#..xt_ex + 21800 63636175 73650000 0001af02 23200878 ccause......# .x + 21810 745f6c63 6f756e74 00000001 af022324 t_lcount......#$ + 21820 0878745f 6c626567 00000001 af022328 .xt_lbeg......#( + 21830 0878745f 6c656e64 00000001 af02232c .xt_lend......#, + 21840 08776200 0000473e 02233000 09435055 .wb...G>.#0..CPU + 21850 5f657863 65707469 6f6e5f66 72616d65 _exception_frame + 21860 5f740000 00474b03 000001af 04001900 _t...GK......... + 21870 0001121a 6173736c 6f6f7000 00004851 ....assloop...HQ + 21880 05030050 06f8011a 61737370 72696e74 ...P....assprint + 21890 00000001 12050300 5006fc01 0e000001 ........P....... + 218a0 19370000 488c0f36 00030000 487f0400 .7..H..6....H... + 218b0 1a637572 72656e74 5f64756d 70000000 .current_dump... + 218c0 05130503 00500a28 010e0000 01193400 .....P.(......4. + 218d0 0048b90f 33000300 0048ac04 000e0000 .H..3....H...... + 218e0 01191600 0048cd0f 15000300 0048c004 .....H.......H.. + 218f0 000e0000 01190c00 0048e10f 0b000300 .........H...... + 21900 0048d404 000e0000 01190200 0048f50f .H...........H.. + 21910 01000300 0048e804 000e0000 01190800 .....H.......... + 21920 0049090f 07000300 0048fc04 00190000 .I.......H...... + 21930 019a1900 00019a03 00004915 04001b00 ..........I..... + 21940 00018c01 0000492d 1c000300 0005bd04 ......I-........ + 21950 0002011d 0115636d 6e6f735f 73797374 ......cmnos_syst + 21960 656d5f72 65736574 00010103 92012002 em_reset...... . + 21970 9000008e 2340008e 23511d01 2c636d6e ....#@..#Q..,cmn + 21980 6f735f6d 61635f72 65736574 00010103 os_mac_reset.... + 21990 92012002 9000008e 2354008e 23591e01 .. .....#T..#Y.. + 219a0 3a636d6e 6f735f6d 6973616c 69676e65 :cmnos_misaligne + 219b0 645f6c6f 61645f68 616e646c 65720001 d_load_handler.. + 219c0 01039201 20029000 008e235c 008e23ad .... .....#\..#. + 219d0 000049c6 1f013a64 756d7000 00000513 ..I...:dump..... + 219e0 0152001e 015a636d 6e6f735f 61737366 .R...Zcmnos_assf + 219f0 61696c00 01010392 01200290 00008e23 ail...... .....# + 21a00 b0008e24 1c00004a 111f015a 64756d70 ...$...J...Zdump + 21a10 00000005 13015220 74617267 65745f69 ......R target_i + 21a20 64000000 09722069 00000004 0a001e01 d....r i........ + 21a30 86636d6e 6f735f72 65706f72 745f6661 .cmnos_report_fa + 21a40 696c7572 655f746f 5f686f73 74000101 ilure_to_host... + 21a50 03920120 02900000 8e241c00 8e242100 ... .....$...$!. + 21a60 004a621f 01866475 6d700000 00051301 .Jb...dump...... + 21a70 521f0186 6c656e00 00000112 01530021 R...len......S.! + 21a80 018f636d 6e6f735f 74617267 65745f69 ..cmnos_target_i + 21a90 645f6765 74000000 01120101 03920120 d_get.......... + 21aa0 02900000 8e242400 8e242922 0198636d .....$$..$)"..cm + 21ab0 6e6f735f 6765745f 6b626869 74000000 nos_get_kbhit... + 21ac0 03300101 03920130 02900000 8e242c00 .0.....0.....$,. + 21ad0 8e245900 004ae91f 01986465 6c617900 .$Y..J....delay. + 21ae0 00000330 0152206c 6173745f 63636f75 ...0.R last_ccou + 21af0 6e740000 0001af23 6b626869 74000000 nt.....#kbhit... + 21b00 03300291 50002201 b0636d6e 6f735f69 .0..P."..cmnos_i + 21b10 735f686f 73745f70 72657365 6e740000 s_host_present.. + 21b20 00058801 01039201 20029000 008e245c ........ .....$\ + 21b30 008e247b 00004b31 206d4869 66000000 ..${..K1 mHif... + 21b40 0588206d 44617461 00000001 af002101 .. mData......!. + 21b50 e0636d6e 6f735f72 6f6d5f76 65727369 .cmnos_rom_versi + 21b60 6f6e5f67 65740000 00018c01 01039201 on_get.......... + 21b70 20029000 008e247c 008e2487 2401eb63 .....$|..$.$..c + 21b80 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module + 21b90 5f696e73 74616c6c 00010103 92012002 _install...... . + 21ba0 9000008e 2488008e 24b51f01 eb74626c ....$...$....tbl + 21bb0 00000049 2d015200 00000000 4a630002 ...I-.R.....Jc.. + 21bc0 00000d2d 04012f72 6f6f742f 576f726b ...-../root/Work + 21bd0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 21be0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 21bf0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 21c00 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 21c10 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 21c20 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 21c30 2f737263 2f636d6e 6f735f70 72696e74 /src/cmnos_print + 21c40 662e6300 2f726f6f 742f576f 726b7370 f.c./root/Worksp + 21c50 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 21c60 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 21c70 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri + 21c80 6e746600 78742d78 63632066 6f722037 ntf.xt-xcc for 7 + 21c90 2e312e30 202d4f50 543a616c 69676e5f .1.0 -OPT:align_ + 21ca0 696e7374 72756374 696f6e73 3d333220 instructions=32 + 21cb0 2d4f3220 2d673320 2d4f5054 3a737061 -O2 -g3 -OPT:spa + 21cc0 63650001 0000007c 2e020103 0000010f ce.....|........ + 21cd0 04000469 6e740005 04046368 61720007 ...int....char.. + 21ce0 01050000 011f0500 00011f03 0000012c ..............., + 21cf0 04000600 00011801 03000001 38040007 ............8... + 21d00 7072696e 74665f61 70690008 0000017c printf_api.....| + 21d10 085f7072 696e7466 5f696e69 74000000 ._printf_init... + 21d20 01110223 00085f70 72696e74 66000000 ...#.._printf... + 21d30 013e0223 04000473 686f7274 20756e73 .>.#...short uns + 21d40 69676e65 6420696e 74000702 0975696e igned int....uin + 21d50 7431365f 74000000 017c046c 6f6e6720 t16_t....|.long + 21d60 756e7369 676e6564 20696e74 00070409 unsigned int.... + 21d70 75696e74 33325f74 00000001 a0077561 uint32_t......ua + 21d80 72745f66 69666f00 08000002 0e087374 rt_fifo.......st + 21d90 6172745f 696e6465 78000000 01920223 art_index......# + 21da0 0008656e 645f696e 64657800 00000192 ..end_index..... + 21db0 02230208 6f766572 72756e5f 65727200 .#..overrun_err. + 21dc0 000001b5 02230400 07756172 745f6170 .....#...uart_ap + 21dd0 69002000 0002c708 5f756172 745f696e i. ....._uart_in + 21de0 69740000 00031e02 2300085f 75617274 it......#.._uart + 21df0 5f636861 725f7075 74000000 03450223 _char_put....E.# + 21e00 04085f75 6172745f 63686172 5f676574 .._uart_char_get + 21e10 00000003 59022308 085f7561 72745f73 ....Y.#.._uart_s + 21e20 74725f6f 75740000 00036202 230c085f tr_out....b.#.._ + 21e30 75617274 5f746173 6b000000 01110223 uart_task......# + 21e40 10085f75 6172745f 73746174 75730000 .._uart_status.. + 21e50 00031e02 2314085f 75617274 5f636f6e ....#.._uart_con + 21e60 66696700 0000036b 02231808 5f756172 fig....k.#.._uar + 21e70 745f6877 696e6974 00000003 7402231c t_hwinit....t.#. + 21e80 00030000 020e0400 07756172 745f626c .........uart_bl + 21e90 6b001000 00031808 64656275 675f6d6f k.......debug_mo + 21ea0 64650000 00019202 23000862 61756400 de......#..baud. + 21eb0 00000192 02230208 5f756172 74000000 .....#.._uart... + 21ec0 02c70223 04085f74 78000000 01c30223 ...#.._tx......# + 21ed0 08000600 0001b501 03000003 18040004 ................ + 21ee0 756e7369 676e6564 20636861 72000701 unsigned char... + 21ef0 0975696e 74385f74 00000003 25020103 .uint8_t....%... + 21f00 00000343 04000300 00033604 00060000 ...C......6..... + 21f10 01920103 00000353 04000201 03000003 .......S........ + 21f20 60040002 01030000 03690400 02010300 `........i...... + 21f30 00037204 00030000 011f0400 06000001 ..r............. + 21f40 18010300 00038204 00074442 5f434f4d ..........DB_COM + 21f50 4d414e44 5f535452 55435400 0c000003 MAND_STRUCT..... + 21f60 da08636d 645f7374 72000000 037b0223 ..cmd_str....{.# + 21f70 00086865 6c705f73 74720000 00037b02 ..help_str....{. + 21f80 23040863 6d645f66 756e6300 00000388 #..cmd_func..... + 21f90 02230800 07646267 5f617069 00080000 .#...dbg_api.... + 21fa0 040d085f 6462675f 696e6974 00000001 ..._dbg_init.... + 21fb0 11022300 085f6462 675f7461 736b0000 ..#.._dbg_task.. + 21fc0 00011102 2304000a 04000475 6e736967 ....#......unsig + 21fd0 6e656420 696e7400 07040600 00040d01 ned int......... + 21fe0 03000004 2004000b 0b030000 042e0400 .... ........... + 21ff0 06000004 0d010300 00043604 00060000 ..........6..... + 22000 01180103 00000443 0400076d 656d5f61 .......C...mem_a + 22010 70690014 000004b2 085f6d65 6d5f696e pi......._mem_in + 22020 69740000 00011102 2300085f 6d656d73 it......#.._mems + 22030 65740000 00042602 2304085f 6d656d63 et....&.#.._memc + 22040 70790000 00043c02 2308085f 6d656d6d py....<.#.._memm + 22050 6f766500 0000043c 02230c08 5f6d656d ove....<.#.._mem + 22060 636d7000 00000449 02231000 0c726567 cmp....I.#...reg + 22070 69737465 725f6475 6d705f73 00000103 ister_dump_s.... + 22080 000004b2 04000201 03000004 cc040002 ................ + 22090 01030000 04d50400 06000001 18010300 ................ + 220a0 0004de04 000d686f 73746966 5f730004 ......hostif_s.. + 220b0 0000053a 0e484946 5f555342 00000e48 ...:.HIF_USB...H + 220c0 49465f50 43494500 010e4849 465f474d IF_PCIE...HIF_GM + 220d0 41430002 0e484946 5f504349 00030e48 AC...HIF_PCI...H + 220e0 49465f4e 554d0004 0e484946 5f4e4f4e IF_NUM...HIF_NON + 220f0 45000500 09415f48 4f535449 46000000 E....A_HOSTIF... + 22100 04eb0600 00053a01 03000005 48040006 ......:.....H... + 22110 00000336 01030000 05550400 06000001 ...6.....U...... + 22120 92010300 00056204 00076d69 73635f61 ......b...misc_a + 22130 70690024 00000652 085f7379 7374656d pi.$...R._system + 22140 5f726573 65740000 00011102 2300085f _reset......#.._ + 22150 6d61635f 72657365 74000000 01110223 mac_reset......# + 22160 04085f61 73736661 696c0000 0004ce02 .._assfail...... + 22170 2308085f 6d697361 6c69676e 65645f6c #.._misaligned_l + 22180 6f61645f 68616e64 6c657200 000004ce oad_handler..... + 22190 02230c08 5f726570 6f72745f 6661696c .#.._report_fail + 221a0 7572655f 746f5f68 6f737400 000004d7 ure_to_host..... + 221b0 02231008 5f746172 6765745f 69645f67 .#.._target_id_g + 221c0 65740000 0004e402 2314085f 69735f68 et......#.._is_h + 221d0 6f73745f 70726573 656e7400 0000054e ost_present....N + 221e0 02231808 5f6b6268 69740000 00055b02 .#.._kbhit....[. + 221f0 231c085f 726f6d5f 76657273 696f6e5f #.._rom_version_ + 22200 67657400 00000568 02232000 06000003 get....h.# ..... + 22210 7b010300 00065204 00060000 037b0103 {.....R......{.. + 22220 0000065f 04000600 00011801 03000006 ..._............ + 22230 6c040006 00000118 01030000 06790400 l............y.. + 22240 06000001 18010300 00068604 00077374 ..............st + 22250 72696e67 5f617069 00180000 070c085f ring_api......._ + 22260 73747269 6e675f69 6e697400 00000111 string_init..... + 22270 02230008 5f737472 63707900 00000658 .#.._strcpy....X + 22280 02230408 5f737472 6e637079 00000006 .#.._strncpy.... + 22290 65022308 085f7374 726c656e 00000006 e.#.._strlen.... + 222a0 7202230c 085f7374 72636d70 00000006 r.#.._strcmp.... + 222b0 7f022310 085f7374 726e636d 70000000 ..#.._strncmp... + 222c0 068c0223 14000f00 00041014 00000719 ...#............ + 222d0 10040009 5f415f54 494d4552 5f535041 ...._A_TIMER_SPA + 222e0 43450000 00070c09 415f7469 6d65725f CE......A_timer_ + 222f0 74000000 07190300 00072d04 00020103 t.........-..... + 22300 00000743 04000201 03000007 4c040009 ...C........L... + 22310 415f4841 4e444c45 00000004 10020109 A_HANDLE........ + 22320 415f5449 4d45525f 46554e43 00000007 A_TIMER_FUNC.... + 22330 63030000 07650400 02010300 00077e04 c....e........~. + 22340 00077469 6d65725f 61706900 14000007 ..timer_api..... + 22350 fd085f74 696d6572 5f696e69 74000000 .._timer_init... + 22360 01110223 00085f74 696d6572 5f61726d ...#.._timer_arm + 22370 00000007 45022304 085f7469 6d65725f ....E.#.._timer_ + 22380 64697361 726d0000 00074e02 2308085f disarm....N.#.._ + 22390 74696d65 725f7365 74666e00 00000780 timer_setfn..... + 223a0 02230c08 5f74696d 65725f72 756e0000 .#.._timer_run.. + 223b0 00011102 23100009 424f4f4c 45414e00 ....#...BOOLEAN. + 223c0 00000192 06000007 fd010300 00080a04 ................ + 223d0 00060000 07fd0103 00000817 04000600 ................ + 223e0 0007fd01 03000008 24040007 726f6d70 ........$...romp + 223f0 5f617069 00100000 0896085f 726f6d70 _api......._romp + 22400 5f696e69 74000000 01110223 00085f72 _init......#.._r + 22410 6f6d705f 646f776e 6c6f6164 00000008 omp_download.... + 22420 10022304 085f726f 6d705f69 6e737461 ..#.._romp_insta + 22430 6c6c0000 00081d02 2308085f 726f6d70 ll......#.._romp + 22440 5f646563 6f646500 0000082a 02230c00 _decode....*.#.. + 22450 07726f6d 5f706174 63685f73 74001000 .rom_patch_st... + 22460 0008f208 63726331 36000000 01920223 ....crc16......# + 22470 00086c65 6e000000 01920223 02086c64 ..len......#..ld + 22480 5f616464 72000000 01b50223 04086675 _addr......#..fu + 22490 6e5f6164 64720000 0001b502 23080870 n_addr......#..p + 224a0 66756e00 0000034c 02230c00 07656570 fun....L.#...eep + 224b0 5f726564 69725f61 64647200 04000009 _redir_addr..... + 224c0 24086f66 66736574 00000001 92022300 $.offset......#. + 224d0 0873697a 65000000 01920223 02000941 .size......#...A + 224e0 5f55494e 54333200 00000410 06000004 _UINT32......... + 224f0 0d010300 00093204 0007616c 6c6f6372 ......2...allocr + 22500 616d5f61 7069000c 000009a3 08636d6e am_api.......cmn + 22510 6f735f61 6c6c6f63 72616d5f 696e6974 os_allocram_init + 22520 00000009 38022300 08636d6e 6f735f61 ....8.#..cmnos_a + 22530 6c6c6f63 72616d00 00000938 02230408 llocram....8.#.. + 22540 636d6e6f 735f616c 6c6f6372 616d5f64 cmnos_allocram_d + 22550 65627567 00000001 11022308 00020103 ebug......#..... + 22560 000009a3 04000941 5f544153 4b4c4554 .......A_TASKLET + 22570 5f46554e 43000000 09a5075f 7461736b _FUNC......_task + 22580 6c657400 1000000a 04086675 6e630000 let.......func.. + 22590 0009ac02 23000861 72670000 00040d02 ....#..arg...... + 225a0 23040873 74617465 00000001 18022308 #..state......#. + 225b0 086e6578 74000000 0a040223 0c000300 .next......#.... + 225c0 0009c004 00030000 09c00400 09415f74 .............A_t + 225d0 61736b6c 65745f74 00000009 c0030000 asklet_t........ + 225e0 0a120400 02010300 000a2a04 00020103 ..........*..... + 225f0 00000a33 04000774 61736b6c 65745f61 ...3...tasklet_a + 22600 70690014 00000ac8 085f7461 736b6c65 pi......._taskle + 22610 745f696e 69740000 00011102 2300085f t_init......#.._ + 22620 7461736b 6c65745f 696e6974 5f746173 tasklet_init_tas + 22630 6b000000 0a2c0223 04085f74 61736b6c k....,.#.._taskl + 22640 65745f64 69736162 6c650000 000a3502 et_disable....5. + 22650 2308085f 7461736b 6c65745f 73636865 #.._tasklet_sche + 22660 64756c65 0000000a 3502230c 085f7461 dule....5.#.._ta + 22670 736b6c65 745f7275 6e000000 01110223 sklet_run......# + 22680 10000201 0300000a c8040006 00000924 ...............$ + 22690 01030000 0ad10400 02010300 000ade04 ................ + 226a0 0007636c 6f636b5f 61706900 2400000b ..clock_api.$... + 226b0 c0085f63 6c6f636b 5f696e69 74000000 .._clock_init... + 226c0 0aca0223 00085f63 6c6f636b 72656773 ...#.._clockregs + 226d0 5f696e69 74000000 01110223 04085f75 _init......#.._u + 226e0 6172745f 66726571 75656e63 79000000 art_frequency... + 226f0 0ad70223 08085f64 656c6179 5f757300 ...#.._delay_us. + 22700 00000ae0 02230c08 5f776c61 6e5f6261 .....#.._wlan_ba + 22710 6e645f73 65740000 000ae002 2310085f nd_set......#.._ + 22720 72656663 6c6b5f73 70656564 5f676574 refclk_speed_get + 22730 0000000a d7022314 085f6d69 6c6c6973 ......#.._millis + 22740 65636f6e 64730000 000ad702 2318085f econds......#.._ + 22750 73797363 6c6b5f63 68616e67 65000000 sysclk_change... + 22760 01110223 1c085f63 6c6f636b 5f746963 ...#.._clock_tic + 22770 6b000000 01110223 20000600 0001b501 k......# ....... + 22780 0300000b c0040009 415f6f6c 645f696e ........A_old_in + 22790 74725f74 00000001 b5060000 0bcd0103 tr_t............ + 227a0 00000bdf 04000201 0300000b ec040002 ................ + 227b0 01030000 0bf50400 06000001 b5010300 ................ + 227c0 000bfe04 0009415f 6973725f 74000000 ......A_isr_t... + 227d0 0c040201 0300000c 18040006 00000410 ................ + 227e0 01030000 0c210400 02010300 000c2e04 .....!.......... + 227f0 0007696e 74725f61 7069002c 00000d50 ..intr_api.,...P + 22800 085f696e 74725f69 6e697400 00000111 ._intr_init..... + 22810 02230008 5f696e74 725f696e 766f6b65 .#.._intr_invoke + 22820 5f697372 0000000b c6022304 085f696e _isr......#.._in + 22830 74725f64 69736162 6c650000 000be502 tr_disable...... + 22840 2308085f 696e7472 5f726573 746f7265 #.._intr_restore + 22850 0000000b ee02230c 085f696e 74725f6d ......#.._intr_m + 22860 61736b5f 696e756d 0000000b f7022310 ask_inum......#. + 22870 085f696e 74725f75 6e6d6173 6b5f696e ._intr_unmask_in + 22880 756d0000 000bf702 2314085f 696e7472 um......#.._intr + 22890 5f617474 6163685f 69737200 00000c1a _attach_isr..... + 228a0 02231808 5f676574 5f696e74 72656e61 .#.._get_intrena + 228b0 626c6500 00000c27 02231c08 5f736574 ble....'.#.._set + 228c0 5f696e74 72656e61 626c6500 00000c30 _intrenable....0 + 228d0 02232008 5f676574 5f696e74 7270656e .# ._get_intrpen + 228e0 64696e67 0000000c 27022324 085f756e ding....'.#$._un + 228f0 626c6f63 6b5f616c 6c5f696e 74726c76 block_all_intrlv + 22900 6c000000 01110223 28001104 00000d76 l......#(......v + 22910 0874696d 656f7574 00000001 b5022300 .timeout......#. + 22920 08616374 696f6e00 000001b5 02230000 .action......#.. + 22930 12080000 0d910863 6d640000 0001b502 .......cmd...... + 22940 23001300 000d5002 23040009 545f5744 #.....P.#...T_WD + 22950 545f434d 44000000 0d760201 0300000d T_CMD....v...... + 22960 a0040014 0400000d f60e454e 554d5f57 ..........ENUM_W + 22970 44545f42 4f4f5400 010e454e 554d5f43 DT_BOOT...ENUM_C + 22980 4f4c445f 424f4f54 00020e45 4e554d5f OLD_BOOT...ENUM_ + 22990 53555350 5f424f4f 5400030e 454e554d SUSP_BOOT...ENUM + 229a0 5f554e4b 4e4f574e 5f424f4f 54000400 _UNKNOWN_BOOT... + 229b0 09545f42 4f4f545f 54595045 0000000d .T_BOOT_TYPE.... + 229c0 a9060000 0df60103 00000e07 04000777 ...............w + 229d0 64745f61 7069001c 00000eab 085f7764 dt_api......._wd + 229e0 745f696e 69740000 00011102 2300085f t_init......#.._ + 229f0 7764745f 656e6162 6c650000 00011102 wdt_enable...... + 22a00 2304085f 7764745f 64697361 626c6500 #.._wdt_disable. + 22a10 00000111 02230808 5f776474 5f736574 .....#.._wdt_set + 22a20 0000000d a202230c 085f7764 745f7461 ......#.._wdt_ta + 22a30 736b0000 00011102 2310085f 7764745f sk......#.._wdt_ + 22a40 72657365 74000000 01110223 14085f77 reset......#.._w + 22a50 64745f6c 6173745f 626f6f74 0000000e dt_last_boot.... + 22a60 0d022318 00140400 000f120e 5245545f ..#.........RET_ + 22a70 53554343 45535300 000e5245 545f4e4f SUCCESS...RET_NO + 22a80 545f494e 49540001 0e524554 5f4e4f54 T_INIT...RET_NOT + 22a90 5f455849 53540002 0e524554 5f454550 _EXIST...RET_EEP + 22aa0 5f434f52 52555054 00030e52 45545f45 _CORRUPT...RET_E + 22ab0 45505f4f 56455246 4c4f5700 040e5245 EP_OVERFLOW...RE + 22ac0 545f554e 4b4e4f57 4e000500 09545f45 T_UNKNOWN....T_E + 22ad0 45505f52 45540000 000eab03 00000192 EP_RET.......... + 22ae0 04000600 000f1201 0300000f 28040006 ............(... + 22af0 00000f12 01030000 0f350400 07656570 .........5...eep + 22b00 5f617069 00100000 0f9e085f 6565705f _api......._eep_ + 22b10 696e6974 00000001 11022300 085f6565 init......#.._ee + 22b20 705f7265 61640000 000f2e02 2304085f p_read......#.._ + 22b30 6565705f 77726974 65000000 0f2e0223 eep_write......# + 22b40 08085f65 65705f69 735f6578 69737400 .._eep_is_exist. + 22b50 00000f3b 02230c00 07757362 5f617069 ...;.#...usb_api + 22b60 00700000 124b085f 7573625f 696e6974 .p...K._usb_init + 22b70 00000001 11022300 085f7573 625f726f ......#.._usb_ro + 22b80 6d5f7461 736b0000 00011102 2304085f m_task......#.._ + 22b90 7573625f 66775f74 61736b00 00000111 usb_fw_task..... + 22ba0 02230808 5f757362 5f696e69 745f7068 .#.._usb_init_ph + 22bb0 79000000 01110223 0c085f75 73625f65 y......#.._usb_e + 22bc0 70305f73 65747570 00000001 11022310 p0_setup......#. + 22bd0 085f7573 625f6570 305f7478 00000001 ._usb_ep0_tx.... + 22be0 11022314 085f7573 625f6570 305f7278 ..#.._usb_ep0_rx + 22bf0 00000001 11022318 085f7573 625f6765 ......#.._usb_ge + 22c00 745f696e 74657266 61636500 0000081d t_interface..... + 22c10 02231c08 5f757362 5f736574 5f696e74 .#.._usb_set_int + 22c20 65726661 63650000 00081d02 2320085f erface......# ._ + 22c30 7573625f 6765745f 636f6e66 69677572 usb_get_configur + 22c40 6174696f 6e000000 081d0223 24085f75 ation......#$._u + 22c50 73625f73 65745f63 6f6e6669 67757261 sb_set_configura + 22c60 74696f6e 00000008 1d022328 085f7573 tion......#(._us + 22c70 625f7374 616e6461 72645f63 6d640000 b_standard_cmd.. + 22c80 00081d02 232c085f 7573625f 76656e64 ....#,._usb_vend + 22c90 6f725f63 6d640000 00011102 2330085f or_cmd......#0._ + 22ca0 7573625f 706f7765 725f6f66 66000000 usb_power_off... + 22cb0 01110223 34085f75 73625f72 65736574 ...#4._usb_reset + 22cc0 5f666966 6f000000 01110223 38085f75 _fifo......#8._u + 22cd0 73625f67 656e5f77 64740000 00011102 sb_gen_wdt...... + 22ce0 233c085f 7573625f 6a756d70 5f626f6f #<._usb_jump_boo + 22cf0 74000000 01110223 40085f75 73625f63 t......#@._usb_c + 22d00 6c725f66 65617475 72650000 00081d02 lr_feature...... + 22d10 2344085f 7573625f 7365745f 66656174 #D._usb_set_feat + 22d20 75726500 0000081d 02234808 5f757362 ure......#H._usb + 22d30 5f736574 5f616464 72657373 00000008 _set_address.... + 22d40 1d02234c 085f7573 625f6765 745f6465 ..#L._usb_get_de + 22d50 73637269 70746f72 00000008 1d022350 scriptor......#P + 22d60 085f7573 625f6765 745f7374 61747573 ._usb_get_status + 22d70 00000008 1d022354 085f7573 625f7365 ......#T._usb_se + 22d80 7475705f 64657363 00000001 11022358 tup_desc......#X + 22d90 085f7573 625f7265 675f6f75 74000000 ._usb_reg_out... + 22da0 01110223 5c085f75 73625f73 74617475 ...#\._usb_statu + 22db0 735f696e 00000001 11022360 085f7573 s_in......#`._us + 22dc0 625f6570 305f7478 5f646174 61000000 b_ep0_tx_data... + 22dd0 01110223 64085f75 73625f65 70305f72 ...#d._usb_ep0_r + 22de0 785f6461 74610000 00011102 2368085f x_data......#h._ + 22df0 7573625f 636c6b5f 696e6974 00000001 usb_clk_init.... + 22e00 1102236c 00075f56 44455343 00240000 ..#l.._VDESC.$.. + 22e10 12d7086e 6578745f 64657363 00000012 ...next_desc.... + 22e20 d7022300 08627566 5f616464 72000000 ..#..buf_addr... + 22e30 12eb0223 04086275 665f7369 7a650000 ...#..buf_size.. + 22e40 0012f202 23080864 6174615f 6f666673 ....#..data_offs + 22e50 65740000 0012f202 230a0864 6174615f et......#..data_ + 22e60 73697a65 00000012 f202230c 08636f6e size......#..con + 22e70 74726f6c 00000012 f202230e 0868775f trol......#..hw_ + 22e80 64657363 5f627566 00000013 00022310 desc_buf......#. + 22e90 00030000 124b0400 09415f55 494e5438 .....K...A_UINT8 + 22ea0 00000003 25030000 12de0400 09415f55 ....%........A_U + 22eb0 494e5431 36000000 017c0f00 0012de14 INT16....|...... + 22ec0 0000130d 10130003 0000124b 04000956 ...........K...V + 22ed0 44455343 00000012 4b030000 13140400 DESC....K....... + 22ee0 06000013 1f010300 00132604 00060000 ..........&..... + 22ef0 12eb0103 00001333 04000201 03000013 .......3........ + 22f00 40040007 76646573 635f6170 69001400 @...vdesc_api... + 22f10 0013b808 5f696e69 74000000 0ae00223 ...._init......# + 22f20 00085f61 6c6c6f63 5f766465 73630000 .._alloc_vdesc.. + 22f30 00132c02 2304085f 6765745f 68775f64 ..,.#.._get_hw_d + 22f40 65736300 00001339 02230808 5f737761 esc....9.#.._swa + 22f50 705f7664 65736300 00001342 02230c08 p_vdesc....B.#.. + 22f60 70526573 65727665 64000000 040d0223 pReserved......# + 22f70 1000075f 56425546 00200000 14180864 ..._VBUF. .....d + 22f80 6573635f 6c697374 00000013 1f022300 esc_list......#. + 22f90 086e6578 745f6275 66000000 14180223 .next_buf......# + 22fa0 04086275 665f6c65 6e677468 00000012 ..buf_length.... + 22fb0 f2022308 08726573 65727665 64000000 ..#..reserved... + 22fc0 141f0223 0a086374 78000000 13000223 ...#..ctx......# + 22fd0 0c000300 0013b804 000f0000 12de0200 ................ + 22fe0 00142c10 01000300 0013b804 00095642 ..,...........VB + 22ff0 55460000 0013b803 00001433 04000600 UF.........3.... + 23000 00143d01 03000014 44040006 0000143d ..=.....D......= + 23010 01030000 14510400 02010300 00145e04 .....Q........^. + 23020 00077662 75665f61 70690014 000014dc ..vbuf_api...... + 23030 085f696e 69740000 000ae002 2300085f ._init......#.._ + 23040 616c6c6f 635f7662 75660000 00144a02 alloc_vbuf....J. + 23050 2304085f 616c6c6f 635f7662 75665f77 #.._alloc_vbuf_w + 23060 6974685f 73697a65 00000014 57022308 ith_size....W.#. + 23070 085f6672 65655f76 62756600 00001460 ._free_vbuf....` + 23080 02230c08 70526573 65727665 64000000 .#..pReserved... + 23090 040d0223 1000075f 5f616466 5f646576 ...#...__adf_dev + 230a0 69636500 04000014 fe086475 6d6d7900 ice.......dummy. + 230b0 00000118 02230000 03000009 24040007 .....#......$... + 230c0 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... + 230d0 00154508 62756600 0000143d 02230008 ..E.buf....=.#.. + 230e0 64735f61 64647200 000014fe 02230408 ds_addr......#.. + 230f0 64735f6c 656e0000 0012f202 23080012 ds_len......#... + 23100 0c000015 7f085f5f 76615f73 746b0000 ......__va_stk.. + 23110 00037b02 2300085f 5f76615f 72656700 ..{.#..__va_reg. + 23120 0000037b 02230408 5f5f7661 5f6e6478 ...{.#..__va_ndx + 23130 00000001 18022308 00095f5f 6164665f ......#...__adf_ + 23140 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 23150 09240961 64665f6f 735f646d 615f6164 .$.adf_os_dma_ad + 23160 64725f74 00000015 7f095f5f 6164665f dr_t......__adf_ + 23170 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 23180 09240961 64665f6f 735f646d 615f7369 .$.adf_os_dma_si + 23190 7a655f74 00000015 af075f5f 646d615f ze_t......__dma_ + 231a0 73656773 00080000 160b0870 61646472 segs.......paddr + 231b0 00000015 98022300 086c656e 00000015 ......#..len.... + 231c0 c8022304 00095f5f 615f7569 6e743332 ..#...__a_uint32 + 231d0 5f740000 00092409 615f7569 6e743332 _t....$.a_uint32 + 231e0 5f740000 00160b0f 000015df 08000016 _t.............. + 231f0 3a100000 07616466 5f6f735f 646d616d :....adf_os_dmam + 23200 61705f69 6e666f00 0c000016 73086e73 ap_info.....s.ns + 23210 65677300 0000161d 02230008 646d615f egs......#..dma_ + 23220 73656773 00000016 2d022304 00095f5f segs....-.#...__ + 23230 615f7569 6e74385f 74000000 12de0961 a_uint8_t......a + 23240 5f75696e 74385f74 00000016 73030000 _uint8_t....s... + 23250 16840400 075f5f73 675f7365 67730008 .....__sg_segs.. + 23260 000016c5 08766164 64720000 00169302 .....vaddr...... + 23270 2300086c 656e0000 00161d02 2304000f #..len......#... + 23280 0000169a 20000016 d2100300 07616466 .... ........adf + 23290 5f6f735f 73676c69 73740024 00001705 _os_sglist.$.... + 232a0 086e7365 67730000 00161d02 23000873 .nsegs......#..s + 232b0 675f7365 67730000 0016c502 23040012 g_segs......#... + 232c0 10000017 4e087665 6e646f72 00000016 ....N.vendor.... + 232d0 1d022300 08646576 69636500 0000161d ..#..device..... + 232e0 02230408 73756276 656e646f 72000000 .#..subvendor... + 232f0 161d0223 08087375 62646576 69636500 ...#..subdevice. + 23300 0000161d 02230c00 046c6f6e 67206c6f .....#...long lo + 23310 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 23320 07080941 5f55494e 54363400 0000174e ...A_UINT64....N + 23330 095f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... + 23340 17680961 5f75696e 7436345f 74000000 .h.a_uint64_t... + 23350 17761404 000017d4 0e414446 5f4f535f .v.......ADF_OS_ + 23360 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME + 23370 4d00000e 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU + 23380 5243455f 54595045 5f494f00 01000961 RCE_TYPE_IO....a + 23390 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 233a0 7970655f 74000000 17981218 0000181e ype_t........... + 233b0 08737461 72740000 00178802 23000865 .start......#..e + 233c0 6e640000 00178802 23080874 79706500 nd......#..type. + 233d0 000017d4 02231000 09616466 5f6f735f .....#...adf_os_ + 233e0 7063695f 6465765f 69645f74 00000017 pci_dev_id_t.... + 233f0 05030000 181e0400 11040000 185d0870 .............].p + 23400 63690000 00183702 23000872 61770000 ci....7.#..raw.. + 23410 00040d02 23000011 10000018 7c087063 ....#.......|.pc + 23420 69000000 181e0223 00087261 77000000 i......#..raw... + 23430 040d0223 00000961 64665f64 72765f68 ...#...adf_drv_h + 23440 616e646c 655f7400 0000040d 09616466 andle_t......adf + 23450 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. + 23460 0017f003 00001892 04000961 64665f6f ...........adf_o + 23470 735f6174 74616368 5f646174 615f7400 s_attach_data_t. + 23480 0000185d 03000018 b0040003 000014dc ...]............ + 23490 0400095f 5f616466 5f6f735f 64657669 ...__adf_os_devi + 234a0 63655f74 00000018 d1096164 665f6f73 ce_t......adf_os + 234b0 5f646576 6963655f 74000000 18d80600 _device_t....... + 234c0 00187c01 03000019 04040002 01030000 ..|............. + 234d0 19110400 09616466 5f6f735f 706d5f74 .....adf_os_pm_t + 234e0 00000004 0d020103 0000192b 04001404 ...........+.... + 234f0 0000196b 0e414446 5f4f535f 4255535f ...k.ADF_OS_BUS_ + 23500 54595045 5f504349 00010e41 44465f4f TYPE_PCI...ADF_O + 23510 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER + 23520 49430002 00096164 665f6f73 5f627573 IC....adf_os_bus + 23530 5f747970 655f7400 00001934 09616466 _type_t....4.adf + 23540 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data + 23550 5f740000 00183e03 00000325 0400075f _t....>....%..._ + 23560 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. + 23570 1a480864 72765f61 74746163 68000000 .H.drv_attach... + 23580 190a0223 00086472 765f6465 74616368 ...#..drv_detach + 23590 00000019 13022304 08647276 5f737573 ......#..drv_sus + 235a0 70656e64 00000019 2d022308 08647276 pend....-.#..drv + 235b0 5f726573 756d6500 00001913 02230c08 _resume......#.. + 235c0 6275735f 74797065 00000019 6b022310 bus_type....k.#. + 235d0 08627573 5f646174 61000000 19820223 .bus_data......# + 235e0 14086d6f 645f6e61 6d650000 00199d02 ..mod_name...... + 235f0 23180869 666e616d 65000000 199d0223 #..ifname......# + 23600 1c000961 64665f6f 735f6861 6e646c65 ...adf_os_handle + 23610 5f740000 00040d03 00001673 04000201 _t.........s.... + 23620 0201095f 5f616466 5f6f735f 73697a65 ...__adf_os_size + 23630 5f740000 00041014 0400001a 970e415f _t............A_ + 23640 46414c53 4500000e 415f5452 55450001 FALSE...A_TRUE.. + 23650 0009615f 626f6f6c 5f740000 001a7d03 ..a_bool_t....}. + 23660 00001505 0400095f 5f616466 5f6f735f .......__adf_os_ + 23670 646d615f 6d61705f 74000000 1aa50201 dma_map_t....... + 23680 0d616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 23690 6e630004 00001b2f 0e414446 5f53594e nc...../.ADF_SYN + 236a0 435f5052 45524541 4400000e 4144465f C_PREREAD...ADF_ + 236b0 53594e43 5f505245 57524954 4500020e SYNC_PREWRITE... + 236c0 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA + 236d0 4400010e 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS + 236e0 54575249 54450003 00096164 665f6f73 TWRITE....adf_os + 236f0 5f636163 68655f73 796e635f 74000000 _cache_sync_t... + 23700 1ac60201 09616466 5f6f735f 73697a65 .....adf_os_size + 23710 5f740000 001a6806 00001b4a 01096164 _t....h....J..ad + 23720 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 23730 001aac03 00001b63 04000600 00040d01 .......c........ + 23740 0300001a ac040006 0000040d 01020106 ................ + 23750 00001598 01020104 73686f72 7420696e ........short in + 23760 74000502 09415f49 4e543136 0000001b t....A_INT16.... + 23770 9d095f5f 615f696e 7431365f 74000000 ..__a_int16_t... + 23780 1baa0961 5f696e74 31365f74 0000001b ...a_int16_t.... + 23790 b7047369 676e6564 20636861 72000501 ..signed char... + 237a0 09415f49 4e543800 00001bd7 095f5f61 .A_INT8......__a + 237b0 5f696e74 385f7400 00001be6 09615f69 _int8_t......a_i + 237c0 6e74385f 74000000 1bf2120c 00001c69 nt8_t..........i + 237d0 08737570 706f7274 65640000 00161d02 .supported...... + 237e0 23000861 64766572 74697a65 64000000 #..advertized... + 237f0 161d0223 04087370 65656400 00001bc8 ...#..speed..... + 23800 02230808 6475706c 65780000 001c0202 .#..duplex...... + 23810 230a0861 75746f6e 65670000 00168402 #..autoneg...... + 23820 230b000f 00001684 0600001c 76100500 #...........v... + 23830 07616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr + 23840 00060000 1c9a0861 64647200 00001c69 .......addr....i + 23850 02230000 095f5f61 5f75696e 7431365f .#...__a_uint16_ + 23860 74000000 12f20961 5f75696e 7431365f t......a_uint16_ + 23870 74000000 1c9a120e 00001cfe 08657468 t............eth + 23880 65725f64 686f7374 0000001c 69022300 er_dhost....i.#. + 23890 08657468 65725f73 686f7374 0000001c .ether_shost.... + 238a0 69022306 08657468 65725f74 79706500 i.#..ether_type. + 238b0 00001cac 02230c00 12140000 1dbf1569 .....#.........i + 238c0 705f7665 7273696f 6e000000 16840100 p_version....... + 238d0 04022300 1569705f 686c0000 00168401 ..#..ip_hl...... + 238e0 04040223 00086970 5f746f73 00000016 ...#..ip_tos.... + 238f0 84022301 0869705f 6c656e00 00001cac ..#..ip_len..... + 23900 02230208 69705f69 64000000 1cac0223 .#..ip_id......# + 23910 04086970 5f667261 675f6f66 66000000 ..ip_frag_off... + 23920 1cac0223 06086970 5f74746c 00000016 ...#..ip_ttl.... + 23930 84022308 0869705f 70726f74 6f000000 ..#..ip_proto... + 23940 16840223 09086970 5f636865 636b0000 ...#..ip_check.. + 23950 001cac02 230a0869 705f7361 64647200 ....#..ip_saddr. + 23960 0000161d 02230c08 69705f64 61646472 .....#..ip_daddr + 23970 00000016 1d022310 00076164 665f6e65 ......#...adf_ne + 23980 745f766c 616e6864 72000400 001e1108 t_vlanhdr....... + 23990 74706964 0000001c ac022300 15707269 tpid......#..pri + 239a0 6f000000 16840100 03022302 15636669 o.........#..cfi + 239b0 00000016 84010301 02230215 76696400 .........#..vid. + 239c0 00001cac 02040c02 23020007 6164665f ........#...adf_ + 239d0 6e65745f 76696400 0200001e 42157265 net_vid.....B.re + 239e0 73000000 16840100 04022300 1576616c s.........#..val + 239f0 0000001c ac02040c 02230000 120c0000 .........#...... + 23a00 1e7e0872 785f6275 6673697a 65000000 .~.rx_bufsize... + 23a10 161d0223 00087278 5f6e6465 73630000 ...#..rx_ndesc.. + 23a20 00161d02 23040874 785f6e64 65736300 ....#..tx_ndesc. + 23a30 0000161d 02230800 12080000 1ea40870 .....#.........p + 23a40 6f6c6c65 64000000 1a970223 0008706f olled......#..po + 23a50 6c6c5f77 74000000 161d0223 04000f00 ll_wt......#.... + 23a60 00168440 00001eb1 103f0012 4600001e ...@.....?..F... + 23a70 d9086966 5f6e616d 65000000 1ea40223 ..if_name......# + 23a80 00086465 765f6164 64720000 001c6902 ..dev_addr....i. + 23a90 23400014 0400001f 100e4144 465f4f53 #@........ADF_OS + 23aa0 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. + 23ab0 000e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 23ac0 4b5f3634 42495400 01000961 64665f6f K_64BIT....adf_o + 23ad0 735f646d 615f6d61 736b5f74 0000001e s_dma_mask_t.... + 23ae0 d9076164 665f646d 615f696e 666f0008 ..adf_dma_info.. + 23af0 00001f5d 08646d61 5f6d6173 6b000000 ...].dma_mask... + 23b00 1f100223 00087367 5f6e7365 67730000 ...#..sg_nsegs.. + 23b10 00161d02 23040014 0400001f b30e4144 ....#.........AD + 23b20 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE + 23b30 00000e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 23b40 5f544350 5f554450 5f495076 3400010e _TCP_UDP_IPv4... + 23b50 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 23b60 505f5544 505f4950 76360002 00096164 P_UDP_IPv6....ad + 23b70 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type + 23b80 5f740000 001f5d12 0800001f f6087478 _t....].......tx + 23b90 5f636b73 756d0000 001fb302 23000872 _cksum......#..r + 23ba0 785f636b 73756d00 00001fb3 02230400 x_cksum......#.. + 23bb0 09616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i + 23bc0 6e666f5f 74000000 1fcd1404 0000204f nfo_t......... O + 23bd0 0e414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON + 23be0 4500000e 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ + 23bf0 49505634 00010e41 44465f4e 45545f54 IPV4...ADF_NET_T + 23c00 534f5f41 4c4c0002 00096164 665f6e65 SO_ALL....adf_ne + 23c10 745f7473 6f5f7479 70655f74 00000020 t_tso_type_t... + 23c20 10121000 0020a308 636b7375 6d5f6361 ..... ..cksum_ca + 23c30 70000000 1ff60223 00087473 6f000000 p......#..tso... + 23c40 204f0223 0808766c 616e5f73 7570706f O.#..vlan_suppo + 23c50 72746564 00000016 8402230c 00122000 rted......#... . + 23c60 00213c08 74785f70 61636b65 74730000 .!<.tx_packets.. + 23c70 00161d02 23000872 785f7061 636b6574 ....#..rx_packet + 23c80 73000000 161d0223 04087478 5f627974 s......#..tx_byt + 23c90 65730000 00161d02 23080872 785f6279 es......#..rx_by + 23ca0 74657300 0000161d 02230c08 74785f64 tes......#..tx_d + 23cb0 726f7070 65640000 00161d02 23100872 ropped......#..r + 23cc0 785f6472 6f707065 64000000 161d0223 x_dropped......# + 23cd0 14087278 5f657272 6f727300 0000161d ..rx_errors..... + 23ce0 02231808 74785f65 72726f72 73000000 .#..tx_errors... + 23cf0 161d0223 1c000961 64665f6e 65745f65 ...#...adf_net_e + 23d00 74686164 64725f74 0000001c 76160000 thaddr_t....v... + 23d10 213c0300 00002161 107f0017 6164665f !<....!a....adf_ + 23d20 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. + 23d30 04000021 98086e65 6c656d00 0000161d ...!..nelem..... + 23d40 02230008 6d636173 74000000 21530223 .#..mcast...!S.# + 23d50 04000961 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l + 23d60 696e6b5f 696e666f 5f740000 001c1009 ink_info_t...... + 23d70 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll + 23d80 5f696e66 6f5f7400 00001e7e 09616466 _info_t....~.adf + 23d90 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i + 23da0 6e666f5f 74000000 1ff60961 64665f6e nfo_t......adf_n + 23db0 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info + 23dc0 5f740000 001e4209 6164665f 6e65745f _t....B.adf_net_ + 23dd0 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. + 23de0 001f2709 6164665f 6e65745f 636d645f ..'.adf_net_cmd_ + 23df0 7669645f 74000000 1cac0961 64665f6e vid_t......adf_n + 23e00 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c + 23e10 61705f74 00000020 67096164 665f6e65 ap_t... g.adf_ne + 23e20 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... + 23e30 20a30961 64665f6e 65745f63 6d645f6d ..adf_net_cmd_m + 23e40 63616464 725f7400 00002161 0d616466 caddr_t...!a.adf + 23e50 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 23e60 61700004 000022da 0e414446 5f4e4554 ap...."..ADF_NET + 23e70 5f4d4341 53545f53 55500000 0e414446 _MCAST_SUP...ADF + 23e80 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU + 23e90 50000100 09616466 5f6e6574 5f636d64 P....adf_net_cmd + 23ea0 5f6d6361 73745f63 61705f74 00000022 _mcast_cap_t..." + 23eb0 92180304 000023ac 086c696e 6b5f696e ......#..link_in + 23ec0 666f0000 00219802 23000870 6f6c6c5f fo...!..#..poll_ + 23ed0 696e666f 00000021 b5022300 08636b73 info...!..#..cks + 23ee0 756d5f69 6e666f00 000021d2 02230008 um_info...!..#.. + 23ef0 72696e67 5f696e66 6f000000 21f00223 ring_info...!..# + 23f00 0008646d 615f696e 666f0000 00220d02 ..dma_info...".. + 23f10 23000876 69640000 00222902 2300086f #..vid...").#..o + 23f20 66666c6f 61645f63 61700000 00224002 ffload_cap..."@. + 23f30 23000873 74617473 00000022 5f022300 #..stats..."_.#. + 23f40 086d6361 73745f69 6e666f00 00002278 .mcast_info..."x + 23f50 02230008 6d636173 745f6361 70000000 .#..mcast_cap... + 23f60 22da0223 00001404 00002403 0e414446 "..#......$..ADF + 23f70 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N + 23f80 4f4e4500 000e4144 465f4e42 55465f52 ONE...ADF_NBUF_R + 23f90 585f434b 53554d5f 48570001 0e414446 X_CKSUM_HW...ADF + 23fa0 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U + 23fb0 4e4e4543 45535341 52590002 00096164 NNECESSARY....ad + 23fc0 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 23fd0 74797065 5f740000 0023ac12 08000024 type_t...#.....$ + 23fe0 43087265 73756c74 00000024 03022300 C.result...$..#. + 23ff0 0876616c 00000016 1d022304 00120800 .val......#..... + 24000 00247308 74797065 00000020 4f022300 .$s.type... O.#. + 24010 086d7373 0000001c ac022304 08686472 .mss......#..hdr + 24020 5f6f6666 00000016 84022306 00075f5f _off......#...__ + 24030 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. + 24040 000024b2 08686561 64000000 143d0223 ..$..head....=.# + 24050 00087461 696c0000 00143d02 23040871 ..tail....=.#..q + 24060 6c656e00 0000161d 02230800 095f5f61 len......#...__a + 24070 64665f6e 6275665f 74000000 143d0300 df_nbuf_t....=.. + 24080 00169304 00030000 161d0400 02010600 ................ + 24090 00131f01 06000016 1d010600 00169301 ................ + 240a0 06000016 93010300 00130004 00095f5f ..............__ + 240b0 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t + 240c0 00000024 73095f5f 6164665f 6e627566 ...$s.__adf_nbuf + 240d0 5f717565 75655f74 00000024 f3030000 _queue_t...$.... + 240e0 250b0400 06000024 b2010600 0024b201 %......$.....$.. + 240f0 14040000 262b0e41 5f535441 5455535f ....&+.A_STATUS_ + 24100 4f4b0000 0e415f53 54415455 535f4641 OK...A_STATUS_FA + 24110 494c4544 00010e41 5f535441 5455535f ILED...A_STATUS_ + 24120 454e4f45 4e540002 0e415f53 54415455 ENOENT...A_STATU + 24130 535f454e 4f4d454d 00030e41 5f535441 S_ENOMEM...A_STA + 24140 5455535f 45494e56 414c0004 0e415f53 TUS_EINVAL...A_S + 24150 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES + 24160 5300050e 415f5354 41545553 5f454e4f S...A_STATUS_ENO + 24170 54535550 5000060e 415f5354 41545553 TSUPP...A_STATUS + 24180 5f454255 53590007 0e415f53 54415455 _EBUSY...A_STATU + 24190 535f4532 42494700 080e415f 53544154 S_E2BIG...A_STAT + 241a0 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL + 241b0 00090e41 5f535441 5455535f 454e5849 ...A_STATUS_ENXI + 241c0 4f000a0e 415f5354 41545553 5f454641 O...A_STATUS_EFA + 241d0 554c5400 0b0e415f 53544154 55535f45 ULT...A_STATUS_E + 241e0 494f000c 0009615f 73746174 75735f74 IO....a_status_t + 241f0 00000025 36060000 262b0106 00000118 ...%6...&+...... + 24200 01020109 6164665f 6e627566 5f740000 ....adf_nbuf_t.. + 24210 0024b214 04000026 900e4144 465f4f53 .$.....&..ADF_OS + 24220 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. + 24230 0e414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM + 24240 5f444556 49434500 01000961 64665f6f _DEVICE....adf_o + 24250 735f646d 615f6469 725f7400 00002659 s_dma_dir_t...&Y + 24260 06000026 2b010201 09616466 5f6f735f ...&+....adf_os_ + 24270 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... + 24280 163a0300 0026ae04 00020102 01060000 .:...&.......... + 24290 26490106 000024b2 01020102 01060000 &I....$......... + 242a0 26490106 000024b2 01060000 26490106 &I....$.....&I.. + 242b0 000024b2 01060000 26490102 01020106 ..$.....&I...... + 242c0 0000161d 01060000 16930102 01020106 ................ + 242d0 00001b4a 01060000 1a970106 00001a97 ...J............ + 242e0 01096164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ + 242f0 74000000 16d20300 00272704 00020102 t........''..... + 24300 01020106 00001693 01096164 665f6e62 ..........adf_nb + 24310 75665f71 75657565 5f740000 00250b03 uf_queue_t...%.. + 24320 0000274f 04000201 03000024 f3040002 ..'O.......$.... + 24330 01020102 01060000 26490106 000024b2 ........&I....$. + 24340 01060000 161d0106 0000161d 01060000 ................ + 24350 1a970106 00001a97 01060000 1fb30106 ................ + 24360 0000161d 01096164 665f6e62 75665f72 ......adf_nbuf_r + 24370 785f636b 73756d5f 74000000 24210300 x_cksum_t...$!.. + 24380 0027ab04 00020102 01096164 665f6e62 .'........adf_nb + 24390 75665f74 736f5f74 00000024 43030000 uf_tso_t...$C... + 243a0 27cf0400 02010201 09616466 5f6e6574 '........adf_net + 243b0 5f68616e 646c655f 74000000 040d0961 _handle_t......a + 243c0 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t + 243d0 0000001d bf030000 28040400 06000026 ........(......& + 243e0 2b010600 00262b01 02010201 075f4849 +....&+......_HI + 243f0 465f434f 4e464947 00040000 28530864 F_CONFIG....(S.d + 24400 756d6d79 00000001 18022300 00020103 ummy......#..... + 24410 00002853 04000201 03000028 5c040007 ..(S.......(\... + 24420 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... + 24430 0028b108 73656e64 5f627566 5f646f6e .(..send_buf_don + 24440 65000000 28550223 00087265 63765f62 e...(U.#..recv_b + 24450 75660000 00285e02 23040863 6f6e7465 uf...(^.#..conte + 24460 78740000 00040d02 23080009 6869665f xt......#...hif_ + 24470 68616e64 6c655f74 00000004 0d094849 handle_t......HI + 24480 465f434f 4e464947 00000028 32030000 F_CONFIG...(2... + 24490 28c30400 06000028 b1010300 0028da04 (......(.....(.. + 244a0 00020103 000028e7 04000948 49465f43 ......(....HIF_C + 244b0 414c4c42 41434b00 00002865 03000028 ALLBACK...(e...( + 244c0 f0040002 01030000 29090400 06000001 ........)....... + 244d0 18010300 00291204 00020103 0000291f .....)........). + 244e0 04000600 00011801 03000029 28040002 ...........)(... + 244f0 01030000 29350400 06000001 18010300 ....)5.......... + 24500 00293e04 00020103 0000294b 04000768 .)>.......)K...h + 24510 69665f61 70690038 00002aa4 085f696e if_api.8..*.._in + 24520 69740000 0028e002 2300085f 73687574 it...(..#.._shut + 24530 646f776e 00000028 e9022304 085f7265 down...(..#.._re + 24540 67697374 65725f63 616c6c62 61636b00 gister_callback. + 24550 0000290b 02230808 5f676574 5f746f74 ..)..#.._get_tot + 24560 616c5f63 72656469 745f636f 756e7400 al_credit_count. + 24570 00002918 02230c08 5f737461 72740000 ..)..#.._start.. + 24580 0028e902 2310085f 636f6e66 69675f70 .(..#.._config_p + 24590 69706500 00002921 02231408 5f73656e ipe...)!.#.._sen + 245a0 645f6275 66666572 00000029 2e022318 d_buffer...)..#. + 245b0 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 245c0 66000000 29370223 1c085f69 735f7069 f...)7.#.._is_pi + 245d0 70655f73 7570706f 72746564 00000029 pe_supported...) + 245e0 44022320 085f6765 745f6d61 785f6d73 D.# ._get_max_ms + 245f0 675f6c65 6e000000 29440223 24085f67 g_len...)D.#$._g + 24600 65745f72 65736572 7665645f 68656164 et_reserved_head + 24610 726f6f6d 00000029 18022328 085f6973 room...)..#(._is + 24620 725f6861 6e646c65 72000000 28e90223 r_handler...(..# + 24630 2c085f67 65745f64 65666175 6c745f70 ,._get_default_p + 24640 69706500 0000294d 02233008 70526573 ipe...)M.#0.pRes + 24650 65727665 64000000 040d0223 34000d64 erved......#4..d + 24660 6d615f65 6e67696e 65000400 002b2d0e ma_engine....+-. + 24670 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. + 24680 0e444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. + 24690 010e444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 + 246a0 00020e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 246b0 3300030e 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T + 246c0 58300004 0e444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 246d0 54583100 050e444d 415f454e 47494e45 TX1...DMA_ENGINE + 246e0 5f4d4158 00060009 646d615f 656e6769 _MAX....dma_engi + 246f0 6e655f74 0000002a a40d646d 615f6966 ne_t...*..dma_if + 24700 74797065 00040000 2b7a0e44 4d415f49 type....+z.DMA_I + 24710 465f474d 41430000 0e444d41 5f49465f F_GMAC...DMA_IF_ + 24720 50434900 010e444d 415f4946 5f504349 PCI...DMA_IF_PCI + 24730 45000200 09646d61 5f696674 7970655f E....dma_iftype_ + 24740 74000000 2b3f0600 0012f201 0300002b t...+?.........+ + 24750 8c040002 01030000 2b990400 02010300 ........+....... + 24760 002ba204 00060000 09240103 00002bab .+.......$....+. + 24770 04000600 0012f201 0300002b b8040006 ...........+.... + 24780 000012f2 01030000 2bc50400 06000014 ........+....... + 24790 3d010300 002bd204 00020103 00002bdf =....+........+. + 247a0 04000764 6d615f6c 69625f61 70690034 ...dma_lib_api.4 + 247b0 00002ce6 0874785f 696e6974 0000002b ..,..tx_init...+ + 247c0 92022300 0874785f 73746172 74000000 ..#..tx_start... + 247d0 2b9b0223 04087278 5f696e69 74000000 +..#..rx_init... + 247e0 2b920223 08087278 5f636f6e 66696700 +..#..rx_config. + 247f0 00002ba4 02230c08 72785f73 74617274 ..+..#..rx_start + 24800 0000002b 9b022310 08696e74 725f7374 ...+..#..intr_st + 24810 61747573 0000002b b1022314 08686172 atus...+..#..har + 24820 645f786d 69740000 002bbe02 23180866 d_xmit...+..#..f + 24830 6c757368 5f786d69 74000000 2b9b0223 lush_xmit...+..# + 24840 1c08786d 69745f64 6f6e6500 00002bcb ..xmit_done...+. + 24850 02232008 72656170 5f786d69 74746564 .# .reap_xmitted + 24860 0000002b d8022324 08726561 705f7265 ...+..#$.reap_re + 24870 63760000 002bd802 23280872 65747572 cv...+..#(.retur + 24880 6e5f7265 63760000 002be102 232c0872 n_recv...+..#,.r + 24890 6563765f 706b7400 00002bcb 02233000 ecv_pkt...+..#0. + 248a0 075f5f70 63695f73 6f667463 000c0000 .__pci_softc.... + 248b0 2d040873 77000000 28f00223 0000095f -..sw...(..#..._ + 248c0 5f706369 5f736f66 74635f74 0000002c _pci_softc_t..., + 248d0 e6030000 2d040400 02010300 002d1e04 ....-........-.. + 248e0 00060000 12de0103 00002d27 04000d68 ..........-'...h + 248f0 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. + 24900 00002d87 0e484946 5f504349 5f504950 ..-..HIF_PCI_PIP + 24910 455f5458 3000000e 4849465f 5043495f E_TX0...HIF_PCI_ + 24920 50495045 5f545831 00010e48 49465f50 PIPE_TX1...HIF_P + 24930 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. + 24940 00096869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 24950 785f7400 00002d34 0600002b 2d010300 x_t...-4...+-... + 24960 002d9e04 000d6869 665f7063 695f7069 .-....hif_pci_pi + 24970 70655f72 78000400 002e240e 4849465f pe_rx.....$.HIF_ + 24980 5043495f 50495045 5f525830 00000e48 PCI_PIPE_RX0...H + 24990 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. + 249a0 010e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 249b0 58320002 0e484946 5f504349 5f504950 X2...HIF_PCI_PIP + 249c0 455f5258 3300030e 4849465f 5043495f E_RX3...HIF_PCI_ + 249d0 50495045 5f52585f 4d415800 04000968 PIPE_RX_MAX....h + 249e0 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t + 249f0 0000002d ab060000 2b2d0103 00002e3b ...-....+-.....; + 24a00 04000768 69665f70 63695f61 70690024 ...hif_pci_api.$ + 24a10 00002f19 08706369 5f626f6f 745f696e ../..pci_boot_in + 24a20 69740000 00011102 23000870 63695f69 it......#..pci_i + 24a30 6e697400 000028e0 02230408 7063695f nit...(..#..pci_ + 24a40 72657365 74000000 01110223 08087063 reset......#..pc + 24a50 695f656e 61626c65 00000001 1102230c i_enable......#. + 24a60 08706369 5f726561 705f786d 69747465 .pci_reap_xmitte + 24a70 64000000 2d200223 10087063 695f7265 d...- .#..pci_re + 24a80 61705f72 65637600 00002d20 02231408 ap_recv...- .#.. + 24a90 7063695f 6765745f 70697065 0000002d pci_get_pipe...- + 24aa0 2d022318 08706369 5f676574 5f74785f -.#..pci_get_tx_ + 24ab0 656e6700 00002da4 02231c08 7063695f eng...-..#..pci_ + 24ac0 6765745f 72785f65 6e670000 002e4102 get_rx_eng....A. + 24ad0 23200007 676d6163 5f617069 00040000 # ..gmac_api.... + 24ae0 2f400867 6d61635f 626f6f74 5f696e69 /@.gmac_boot_ini + 24af0 74000000 01110223 00000f00 00032506 t......#......%. + 24b00 00002f4d 10050007 5f5f6574 68686472 ../M....__ethhdr + 24b10 000e0000 2f830864 73740000 002f4002 ..../..dst.../@. + 24b20 23000873 72630000 002f4002 23060865 #..src.../@.#..e + 24b30 74797065 00000012 f202230c 00075f5f type......#...__ + 24b40 61746868 64720004 00002fd1 15726573 athhdr..../..res + 24b50 00000012 de010002 02230015 70726f74 .........#..prot + 24b60 6f000000 12de0102 06022300 08726573 o.........#..res + 24b70 5f6c6f00 000012de 02230108 7265735f _lo......#..res_ + 24b80 68690000 0012f202 23020007 5f5f676d hi......#...__gm + 24b90 61635f68 64720014 0000300d 08657468 ac_hdr....0..eth + 24ba0 0000002f 4d022300 08617468 0000002f .../M.#..ath.../ + 24bb0 8302230e 08616c69 676e5f70 61640000 ..#..align_pad.. + 24bc0 0012f202 23120009 5f5f676d 61635f68 ....#...__gmac_h + 24bd0 64725f74 0000002f d1075f5f 676d6163 dr_t.../..__gmac + 24be0 5f736f66 74630024 00003057 08686472 _softc.$..0W.hdr + 24bf0 00000030 0d022300 08677261 6e000000 ...0..#..gran... + 24c00 12f20223 14087377 00000028 f0022318 ...#..sw...(..#. + 24c10 00075f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ + 24c20 63686563 6b000800 00309008 76657273 check....0..vers + 24c30 696f6e00 00000118 02230008 7461626c ion......#..tabl + 24c40 65000000 01180223 04000300 00305704 e......#.....0W. + 24c50 00060000 01180103 00003097 04000300 ..........0..... + 24c60 00041004 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 24c70 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 24c80 0001b800 0031e708 68616c5f 6c696e6b .....1..hal_link + 24c90 6167655f 63686563 6b000000 309d0223 age_check...0..# + 24ca0 00087374 6172745f 62737300 000030a4 ..start_bss...0. + 24cb0 02230408 6170705f 73746172 74000000 .#..app_start... + 24cc0 01110223 08086d65 6d000000 04500223 ...#..mem....P.# + 24cd0 0c086d69 73630000 00056f02 23200870 ..misc....o.# .p + 24ce0 72696e74 66000000 01450223 44087561 rintf....E.#D.ua + 24cf0 72740000 00020e02 234c0867 6d616300 rt......#L.gmac. + 24d00 00002f19 02236c08 75736200 00000f9e ../..#l.usb..... + 24d10 02237008 636c6f63 6b000000 0ae70323 .#p.clock......# + 24d20 e0010874 696d6572 00000007 87032384 ...timer......#. + 24d30 0208696e 74720000 000c3703 23980208 ..intr....7.#... + 24d40 616c6c6f 6372616d 00000009 3f0323c4 allocram....?.#. + 24d50 0208726f 6d700000 00083103 23d00208 ..romp....1.#... + 24d60 7764745f 74696d65 72000000 0e140323 wdt_timer......# + 24d70 e0020865 65700000 000f4203 23fc0208 ...eep....B.#... + 24d80 73747269 6e670000 00069303 238c0308 string......#... + 24d90 7461736b 6c657400 00000a3c 0323a403 tasklet....<.#.. + 24da0 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 24db0 49470010 0000325a 08676574 5f636f6d IG....2Z.get_com + 24dc0 6d616e64 5f627566 00000014 4a022300 mand_buf....J.#. + 24dd0 08726563 765f636f 6d6d616e 64000000 .recv_command... + 24de0 14600223 04086765 745f6576 656e745f .`.#..get_event_ + 24df0 62756600 0000144a 02230808 73656e64 buf....J.#..send + 24e00 5f657665 6e745f64 6f6e6500 00001460 _event_done....` + 24e10 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO + 24e20 4e464947 00000031 e7030000 325a0400 NFIG...1....2Z.. + 24e30 02010300 00327604 00077573 62666966 .....2v...usbfif + 24e40 6f5f6170 69000c00 0032cc08 5f696e69 o_api....2.._ini + 24e50 74000000 32780223 00085f65 6e61626c t...2x.#.._enabl + 24e60 655f6576 656e745f 69737200 00000111 e_event_isr..... + 24e70 02230408 70526573 65727665 64000000 .#..pReserved... + 24e80 040d0223 08000f00 00168402 000032d9 ...#..........2. + 24e90 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 24ea0 44520008 0000334b 08456e64 706f696e DR....3K.Endpoin + 24eb0 74494400 00001684 02230008 466c6167 tID......#..Flag + 24ec0 73000000 16840223 01085061 796c6f61 s......#..Payloa + 24ed0 644c656e 0000001c ac022302 08436f6e dLen......#..Con + 24ee0 74726f6c 42797465 73000000 32cc0223 trolBytes...2..# + 24ef0 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... + 24f00 ac022306 00120200 00336408 4d657373 ..#......3d.Mess + 24f10 61676549 44000000 1cac0223 00001208 ageID......#.... + 24f20 000033c7 084d6573 73616765 49440000 ..3..MessageID.. + 24f30 001cac02 23000843 72656469 74436f75 ....#..CreditCou + 24f40 6e740000 001cac02 23020843 72656469 nt......#..Credi + 24f50 7453697a 65000000 1cac0223 04084d61 tSize......#..Ma + 24f60 78456e64 706f696e 74730000 00168402 xEndpoints...... + 24f70 2306085f 50616431 00000016 84022307 #.._Pad1......#. + 24f80 00120a00 00345e08 4d657373 61676549 .....4^.MessageI + 24f90 44000000 1cac0223 00085365 72766963 D......#..Servic + 24fa0 65494400 00001cac 02230208 436f6e6e eID......#..Conn + 24fb0 65637469 6f6e466c 61677300 00001cac ectionFlags..... + 24fc0 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 24fd0 49440000 00168402 23060855 704c696e ID......#..UpLin + 24fe0 6b506970 65494400 00001684 02230708 kPipeID......#.. + 24ff0 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 25000 68000000 16840223 08085f50 61643100 h......#.._Pad1. + 25010 00001684 02230900 120a0000 34e6084d .....#......4..M + 25020 65737361 67654944 0000001c ac022300 essageID......#. + 25030 08536572 76696365 49440000 001cac02 .ServiceID...... + 25040 23020853 74617475 73000000 16840223 #..Status......# + 25050 0408456e 64706f69 6e744944 00000016 ..EndpointID.... + 25060 84022305 084d6178 4d736753 697a6500 ..#..MaxMsgSize. + 25070 00001cac 02230608 53657276 6963654d .....#..ServiceM + 25080 6574614c 656e6774 68000000 16840223 etaLength......# + 25090 08085f50 61643100 00001684 02230900 .._Pad1......#.. + 250a0 12020000 34ff084d 65737361 67654944 ....4..MessageID + 250b0 0000001c ac022300 00120400 00353b08 ......#......5;. + 250c0 4d657373 61676549 44000000 1cac0223 MessageID......# + 250d0 00085069 70654944 00000016 84022302 ..PipeID......#. + 250e0 08437265 64697443 6f756e74 00000016 .CreditCount.... + 250f0 84022303 00120400 00357208 4d657373 ..#......5r.Mess + 25100 61676549 44000000 1cac0223 00085069 ageID......#..Pi + 25110 70654944 00000016 84022302 08537461 peID......#..Sta + 25120 74757300 00001684 02230300 12020000 tus......#...... + 25130 35990852 65636f72 64494400 00001684 5..RecordID..... + 25140 02230008 4c656e67 74680000 00168402 .#..Length...... + 25150 23010012 02000035 c308456e 64706f69 #......5..Endpoi + 25160 6e744944 00000016 84022300 08437265 ntID......#..Cre + 25170 64697473 00000016 84022301 00120400 dits......#..... + 25180 00360408 456e6470 6f696e74 49440000 .6..EndpointID.. + 25190 00168402 23000843 72656469 74730000 ....#..Credits.. + 251a0 00168402 23010854 67744372 65646974 ....#..TgtCredit + 251b0 5365714e 6f000000 1cac0223 02000f00 SeqNo......#.... + 251c0 00168404 00003611 10030012 06000036 ......6........6 + 251d0 4d085072 6556616c 69640000 00168402 M.PreValid...... + 251e0 2300084c 6f6f6b41 68656164 00000036 #..LookAhead...6 + 251f0 04022301 08506f73 7456616c 69640000 ..#..PostValid.. + 25200 00168402 23050009 706f6f6c 5f68616e ....#...pool_han + 25210 646c655f 74000000 040d0600 00364d01 dle_t........6M. + 25220 03000036 60040002 01030000 366d0400 ...6`.......6m.. + 25230 14040000 36eb0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H + 25240 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO + 25250 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 25260 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID + 25270 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 25280 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 25290 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 252a0 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I + 252b0 44000000 36760201 03000036 fc040006 D...6v.....6.... + 252c0 00002649 01030000 37050400 06000026 ..&I....7......& + 252d0 49010300 00371204 00020103 0000371f I....7........7. + 252e0 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 252f0 1c000037 c1085f69 6e697400 00003666 ...7.._init...6f + 25300 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 25310 366f0223 04085f63 72656174 655f706f 6o.#.._create_po + 25320 6f6c0000 0036fe02 2308085f 616c6c6f ol...6..#.._allo + 25330 635f6275 66000000 370b0223 0c085f61 c_buf...7..#.._a + 25340 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 25350 00371802 2310085f 66726565 5f627566 .7..#.._free_buf + 25360 00000037 21022314 08705265 73657276 ...7!.#..pReserv + 25370 65640000 00040d02 23180007 5f485443 ed......#..._HTC + 25380 5f534552 56494345 001c0000 38a00870 _SERVICE....8..p + 25390 4e657874 00000038 a0022300 0850726f Next...8..#..Pro + 253a0 63657373 52656376 4d736700 00003955 cessRecvMsg...9U + 253b0 02230408 50726f63 65737353 656e6442 .#..ProcessSendB + 253c0 75666665 72436f6d 706c6574 65000000 ufferComplete... + 253d0 395e0223 08085072 6f636573 73436f6e 9^.#..ProcessCon + 253e0 6e656374 00000039 7202230c 08536572 nect...9r.#..Ser + 253f0 76696365 49440000 0012f202 23100853 viceID......#..S + 25400 65727669 6365466c 61677300 000012f2 erviceFlags..... + 25410 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz + 25420 65000000 12f20223 14085472 61696c65 e......#..Traile + 25430 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. + 25440 0012f202 23160853 65727669 63654374 ....#..ServiceCt + 25450 78000000 040d0223 18000300 0037c104 x......#.....7.. + 25460 00140400 00393e19 454e4450 4f494e54 .....9>.ENDPOINT + 25470 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END + 25480 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN + 25490 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. + 254a0 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END + 254b0 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN + 254c0 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. + 254d0 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END + 254e0 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN + 254f0 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END + 25500 504f494e 545f4944 00000038 a7020103 POINT_ID...8.... + 25510 00003953 04000201 03000039 5c040003 ..9S.......9\... + 25520 00000118 04000600 0012de01 03000039 ...............9 + 25530 6c040003 000037c1 0400075f 4854435f l.....7...._HTC_ + 25540 434f4e46 49470014 000039f1 08437265 CONFIG....9..Cre + 25550 64697453 697a6500 00000118 02230008 ditSize......#.. + 25560 43726564 69744e75 6d626572 00000001 CreditNumber.... + 25570 18022304 084f5348 616e646c 65000000 ..#..OSHandle... + 25580 1a480223 08084849 4648616e 646c6500 .H.#..HIFHandle. + 25590 000028b1 02230c08 506f6f6c 48616e64 ..(..#..PoolHand + 255a0 6c650000 00364d02 23100007 5f485443 le...6M.#..._HTC + 255b0 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 255c0 3a2d0865 6e645f70 6f696e74 00000012 :-.end_point.... + 255d0 de022300 08687463 5f666c61 67730000 ..#..htc_flags.. + 255e0 0012de02 23010009 6874635f 68616e64 ....#...htc_hand + 255f0 6c655f74 00000004 0d094854 435f5345 le_t......HTC_SE + 25600 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 25610 00000111 09485443 5f434f4e 46494700 .....HTC_CONFIG. + 25620 00003980 0300003a 5a040006 00003a2d ..9....:Z.....:- + 25630 01030000 3a710400 02010300 003a7e04 ....:q.......:~. + 25640 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... + 25650 37c10300 003a8704 00020103 00003a9f 7....:........:. + 25660 04000201 0300003a a8040002 01030000 .......:........ + 25670 3ab10400 06000001 18010300 003aba04 :............:.. + 25680 00076874 635f6170 69730034 00003c37 ..htc_apis.4..<7 + 25690 085f4854 435f496e 69740000 003a7702 ._HTC_Init...:w. + 256a0 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown + 256b0 0000003a 80022304 085f4854 435f5265 ...:..#.._HTC_Re + 256c0 67697374 65725365 72766963 65000000 gisterService... + 256d0 3aa10223 08085f48 54435f52 65616479 :..#.._HTC_Ready + 256e0 0000003a 8002230c 085f4854 435f5265 ...:..#.._HTC_Re + 256f0 7475726e 42756666 65727300 00003aaa turnBuffers...:. + 25700 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 25710 75666665 72734c69 73740000 003ab302 uffersList...:.. + 25720 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. + 25730 00003aaa 02231808 5f485443 5f476574 ..:..#.._HTC_Get + 25740 52657365 72766564 48656164 726f6f6d ReservedHeadroom + 25750 0000003a c002231c 085f4854 435f4d73 ...:..#.._HTC_Ms + 25760 67526563 7648616e 646c6572 00000028 gRecvHandler...( + 25770 5e022320 085f4854 435f5365 6e64446f ^.# ._HTC_SendDo + 25780 6e654861 6e646c65 72000000 28550223 neHandler...(U.# + 25790 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv + 257a0 6350726f 63657373 4d736700 00003955 cProcessMsg...9U + 257b0 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control + 257c0 53766350 726f6365 73735365 6e64436f SvcProcessSendCo + 257d0 6d706c65 74650000 00395e02 232c0870 mplete...9^.#,.p + 257e0 52657365 72766564 00000004 0d022330 Reserved......#0 + 257f0 0007686f 73745f61 70705f61 7265615f ..host_app_area_ + 25800 73000400 003c6708 776d695f 70726f74 s......tupleNu + 259a0 6d4c0000 001cac02 23000874 75706c65 mL......#..tuple + 259b0 4e756d48 0000001c ac022302 08617674 NumH......#..avt + 259c0 0000003d cb022304 00120100 003e3108 ...=..#......>1. + 259d0 62656163 6f6e5065 6e64696e 67436f75 beaconPendingCou + 259e0 6e740000 00168402 23000007 5f574d49 nt......#..._WMI + 259f0 5f535643 5f434f4e 46494700 1000003e _SVC_CONFIG....> + 25a00 9a084874 6348616e 646c6500 00003a2d ..HtcHandle...:- + 25a10 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 25a20 00364d02 2304084d 6178436d 64526570 .6M.#..MaxCmdRep + 25a30 6c794576 74730000 00011802 2308084d lyEvts......#..M + 25a40 61784576 656e7445 76747300 00000118 axEventEvts..... + 25a50 02230c00 02010300 003e9a04 0009574d .#.......>....WM + 25a60 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 25a70 3e9c075f 574d495f 44495350 41544348 >.._WMI_DISPATCH + 25a80 5f454e54 52590008 00003f03 0870436d _ENTRY....?..pCm + 25a90 6448616e 646c6572 0000003e a3022300 dHandler...>..#. + 25aa0 08436d64 49440000 0012f202 23040846 .CmdID......#..F + 25ab0 6c616773 00000012 f2022306 00075f57 lags......#..._W + 25ac0 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 25ad0 45001000 003f6408 704e6578 74000000 E....?d.pNext... + 25ae0 3f640223 00087043 6f6e7465 78740000 ?d.#..pContext.. + 25af0 00040d02 2304084e 756d6265 724f6645 ....#..NumberOfE + 25b00 6e747269 65730000 00011802 23080870 ntries......#..p + 25b10 5461626c 65000000 3f830223 0c000300 Table...?..#.... + 25b20 003f0304 0009574d 495f4449 53504154 .?....WMI_DISPAT + 25b30 43485f45 4e545259 0000003e b8030000 CH_ENTRY...>.... + 25b40 3f6b0400 0300003f 03040009 4854435f ?k.....?....HTC_ + 25b50 4255465f 434f4e54 45585400 000039f1 BUF_CONTEXT...9. + 25b60 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 25b70 0000401b 19574d49 5f455654 5f434c41 ..@..WMI_EVT_CLA + 25b80 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI + 25b90 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 25ba0 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C + 25bb0 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 25bc0 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 25bd0 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL + 25be0 41535300 00003fa6 075f574d 495f4255 ASS...?.._WMI_BU + 25bf0 465f434f 4e544558 54000c00 00407908 F_CONTEXT....@y. + 25c00 48746342 75664374 78000000 3f910223 HtcBufCtx...?..# + 25c10 00084576 656e7443 6c617373 00000040 ..EventClass...@ + 25c20 1b022304 08466c61 67730000 0012f202 ..#..Flags...... + 25c30 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t + 25c40 00000004 0d09574d 495f5356 435f434f ......WMI_SVC_CO + 25c50 4e464947 0000003e 31030000 408b0400 NFIG...>1...@... + 25c60 06000040 79010300 0040a604 0009574d ...@y....@....WM + 25c70 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 25c80 0000003f 03030000 40b30400 02010300 ...?....@....... + 25c90 0040d204 00060000 26490103 000040db .@......&I....@. + 25ca0 04000201 03000040 e8040006 00000118 .......@........ + 25cb0 01030000 40f10400 02010300 0040fe04 ....@........@.. + 25cc0 00060000 12de0103 00004107 0400075f ..........A...._ + 25cd0 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 25ce0 424f085f 574d495f 496e6974 00000040 BO._WMI_Init...@ + 25cf0 ac022300 085f574d 495f5265 67697374 ..#.._WMI_Regist + 25d00 65724469 73706174 63685461 626c6500 erDispatchTable. + 25d10 000040d4 02230408 5f574d49 5f416c6c ..@..#.._WMI_All + 25d20 6f634576 656e7400 000040e1 02230808 ocEvent...@..#.. + 25d30 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 25d40 0040ea02 230c085f 574d495f 47657450 .@..#.._WMI_GetP + 25d50 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 25d60 74000000 40f70223 10085f57 4d495f53 t...@..#.._WMI_S + 25d70 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 25d80 65720000 00395e02 2314085f 574d495f er...9^.#.._WMI_ + 25d90 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ + 25da0 f7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo + 25db0 776e0000 00410002 231c085f 574d495f wn...A..#.._WMI_ + 25dc0 52656376 4d657373 61676548 616e646c RecvMessageHandl + 25dd0 65720000 00395502 2320085f 574d495f er...9U.# ._WMI_ + 25de0 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. + 25df0 00410d02 23240870 52657365 72766564 .A..#$.pReserved + 25e00 00000004 0d022328 00077a73 446d6144 ......#(..zsDmaD + 25e10 65736300 14000042 d1086374 726c0000 esc....B..ctrl.. + 25e20 00017c02 23000873 74617475 73000000 ..|.#..status... + 25e30 017c0223 0208746f 74616c4c 656e0000 .|.#..totalLen.. + 25e40 00017c02 23040864 61746153 697a6500 ..|.#..dataSize. + 25e50 0000017c 02230608 6c617374 41646472 ...|.#..lastAddr + 25e60 00000042 d1022308 08646174 61416464 ...B..#..dataAdd + 25e70 72000000 01a00223 0c086e65 78744164 r......#..nextAd + 25e80 64720000 0042d102 23100003 0000424f dr...B..#.....BO + 25e90 04000300 00424f04 00077a73 446d6151 .....BO...zsDmaQ + 25ea0 75657565 00080000 43110868 65616400 ueue....C..head. + 25eb0 000042d8 02230008 7465726d 696e6174 ..B..#..terminat + 25ec0 6f720000 0042d802 23040007 7a735478 or...B..#...zsTx + 25ed0 446d6151 75657565 00100000 43750868 DmaQueue....Cu.h + 25ee0 65616400 000042d8 02230008 7465726d ead...B..#..term + 25ef0 696e6174 6f720000 0042d802 23040878 inator...B..#..x + 25f00 6d697465 645f6275 665f6865 61640000 mited_buf_head.. + 25f10 00143d02 23080878 6d697465 645f6275 ..=.#..xmited_bu + 25f20 665f7461 696c0000 00143d02 230c0002 f_tail....=.#... + 25f30 01030000 43750400 03000042 df040002 ....Cu.....B.... + 25f40 01030000 43850400 03000043 11040002 ....C......C.... + 25f50 01030000 43950400 02010300 00439e04 ....C........C.. + 25f60 00020103 000043a7 04000600 00143d01 ......C.......=. + 25f70 03000043 b0040002 01030000 43bd0400 ...C........C... + 25f80 06000014 3d010300 0043c604 00020103 ....=....C...... + 25f90 000043d3 04000600 00011801 03000043 ..C............C + 25fa0 dc040006 000042d8 01030000 43e90400 ......B.....C... + 25fb0 02010300 0043f604 0007646d 615f656e .....C....dma_en + 25fc0 67696e65 5f617069 00400000 456c085f gine_api.@..El._ + 25fd0 696e6974 00000043 77022300 085f696e init...Cw.#.._in + 25fe0 69745f72 785f7175 65756500 00004387 it_rx_queue...C. + 25ff0 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que + 26000 75650000 00439702 2308085f 636f6e66 ue...C..#.._conf + 26010 69675f72 785f7175 65756500 000043a0 ig_rx_queue...C. + 26020 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... + 26030 43a90223 10085f66 6c757368 5f786d69 C..#.._flush_xmi + 26040 74000000 43870223 14085f72 6561705f t...C..#.._reap_ + 26050 72656376 5f627566 00000043 b6022318 recv_buf...C..#. + 26060 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 26070 66000000 43bf0223 1c085f72 6561705f f...C..#.._reap_ + 26080 786d6974 65645f62 75660000 0043cc02 xmited_buf...C.. + 26090 2320085f 73776170 5f646174 61000000 # ._swap_data... + 260a0 43d50223 24085f68 61735f63 6f6d706c C..#$._has_compl + 260b0 5f706163 6b657473 00000043 e2022328 _packets...C..#( + 260c0 085f6465 73635f64 756d7000 00004387 ._desc_dump...C. + 260d0 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. + 260e0 000043ef 02233008 5f726563 6c61696d ..C..#0._reclaim + 260f0 5f706163 6b657400 000043f8 02233408 _packet...C..#4. + 26100 5f707574 5f706163 6b657400 000043f8 _put_packet...C. + 26110 02233808 70526573 65727665 64000000 .#8.pReserved... + 26120 040d0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ + 26130 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 26140 655f7400 000030ab 09574d49 5f535643 e_t...0..WMI_SVC + 26150 5f415049 53000000 4114175f 415f6d61 _APIS...A.._A_ma + 26160 67706965 5f696e64 69726563 74696f6e gpie_indirection + 26170 5f746162 6c650003 4c000046 9a08636d _table..L..F..cm + 26180 6e6f7300 0000456c 02230008 64626700 nos...El.#..dbg. + 26190 000003da 0323b803 08686966 00000029 .....#...hif...) + 261a0 540323c0 03086874 63000000 3ac70323 T.#...htc...:..# + 261b0 f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. + 261c0 00458e03 23ac0408 75736266 69666f5f .E..#...usbfifo_ + 261d0 61706900 0000327f 0323d804 08627566 api...2..#...buf + 261e0 5f706f6f 6c000000 37280323 e4040876 _pool...7(.#...v + 261f0 62756600 00001467 03238005 08766465 buf....g.#...vde + 26200 73630000 00134903 23940508 616c6c6f sc....I.#...allo + 26210 6372616d 00000009 3f0323a8 0508646d cram....?.#...dm + 26220 615f656e 67696e65 00000043 ff0323b4 a_engine...C..#. + 26230 0508646d 615f6c69 62000000 2be80323 ..dma_lib...+..# + 26240 f4050868 69665f70 63690000 002e4803 ...hif_pci....H. + 26250 23a80600 095f415f 6d616770 69655f69 #...._A_magpie_i + 26260 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 26270 5f740000 0045a002 01030000 46bd0400 _t...E......F... + 26280 1a5f7075 74630000 0046bf05 03005007 ._putc...F....P. + 26290 0001046c 6f6e6720 696e7400 05040600 ...long int..... + 262a0 00011801 0f000001 1f500000 46f7104f .........P..F..O + 262b0 00095f5f 676e7563 5f76615f 6c697374 ..__gnuc_va_list + 262c0 00000015 45060000 0118010f 0000011f ....E........... + 262d0 20000047 1e101f00 03000046 d804000f ..G.......F.... + 262e0 0000011f 0b000047 32100a00 03000047 .......G2......G + 262f0 2504000f 0000011f 11000047 46101000 %..........GF... + 26300 03000047 39040003 0000037b 04000f00 ...G9......{.... + 26310 00011f07 00004761 10060003 00004754 ......Ga......GT + 26320 04000300 0046f704 00030000 01450400 .....F.......E.. + 26330 02011b01 3b636d6e 6f735f77 72697465 ....;cmnos_write + 26340 5f636861 72000101 03920120 02900000 _char...... .... + 26350 8e24b800 8e24e200 0047ad1c 013b6300 .$...$...G...;c. + 26360 0000011f 0152001d 01485f63 76740000 .....R...H_cvt.. + 26370 00011801 049201f0 00029000 008e24e4 ..............$. + 26380 008e2538 0000482a 1c014876 616c0000 ..%8..H*..Hval.. + 26390 0001a001 521c0148 62756600 0000037b ....R..Hbuf....{ + 263a0 01531c01 48726164 69780000 0046d801 .S..Hradix...F.. + 263b0 541c0148 64696769 74730000 00037b01 T..Hdigits....{. + 263c0 551e6370 00000003 7b1f7465 6d700000 U.cp....{.temp.. + 263d0 0046ea03 91907f1e 6c656e67 74680000 .F......length.. + 263e0 00011800 1d016063 6d6e6f73 5f767072 ......`cmnos_vpr + 263f0 696e7466 00000001 18010492 01f00002 intf............ + 26400 9000008e 2538008e 297d0000 49b31c01 ....%8..)}..I... + 26410 60707574 63000000 46bf0152 1c016066 `putc...F..R..`f + 26420 6d740000 00013101 531c0160 61700000 mt....1.S..`ap.. + 26430 0046f701 541e6370 00000003 7b1f6275 .F..T.cp....{.bu + 26440 66000000 47110391 907f1e76 616c0000 f...G......val.. + 26450 0046d81e 72657300 00000118 1e6c656e .F..res......len + 26460 67746800 00000118 1e630000 00011f1e gth......c...... + 26470 69736c6f 6e676c6f 6e670000 0001181e islonglong...... + 26480 69736c6f 6e670000 0001181e 7061645f islong......pad_ + 26490 6f6e5f72 69676874 00000001 181e7269 on_right......ri + 264a0 6768745f 70726563 00000001 181e6c65 ght_prec......le + 264b0 66745f70 72656300 00000118 1e7a6572 ft_prec......zer + 264c0 6f5f6669 6c6c0000 0001181e 7369676e o_fill......sign + 264d0 00000001 1f1e6f72 69675f6e 64780000 ......orig_ndx.. + 264e0 0001181e 5f5f6172 72617900 0000037b ....__array....{ + 264f0 1e6f7269 675f6e64 78000000 01181e5f .orig_ndx......_ + 26500 5f617272 61790000 00037b1e 6f726967 _array....{.orig + 26510 5f6e6478 00000001 181e5f5f 61727261 _ndx......__arra + 26520 79000000 037b1e6f 7269675f 6e647800 y....{.orig_ndx. + 26530 00000118 1e5f5f61 72726179 00000003 .....__array.... + 26540 7b1e6f72 69675f6e 64780000 0001181e {.orig_ndx...... + 26550 5f5f6172 72617900 0000037b 1e690000 __array....{.i.. + 26560 0001181e 70616400 00000118 00200101 ....pad...... .. + 26570 24636d6e 6f735f70 72696e74 66000000 $cmnos_printf... + 26580 01180101 049201d0 00029000 008e2980 ..............). + 26590 008e29b3 000049fe 21010124 666d7400 ..)...I.!..$fmt. + 265a0 00000131 01521e61 70000000 46f71e72 ...1.R.ap...F..r + 265b0 65740000 00011800 22010138 636d6e6f et......"..8cmno + 265c0 735f7072 696e7466 5f696e69 74000101 s_printf_init... + 265d0 03920120 02900000 8e29b400 8e29b923 ... .....)...).# + 265e0 01013c63 6d6e6f73 5f707269 6e74665f .....pri + 26770 6e74665f 61706900 08000001 82085f70 ntf_api......._p + 26780 72696e74 665f696e 69740000 00011702 rintf_init...... + 26790 2300085f 7072696e 74660000 00014402 #.._printf....D. + 267a0 23040004 73686f72 7420756e 7369676e #...short unsign + 267b0 65642069 6e740007 02097569 6e743136 ed int....uint16 + 267c0 5f740000 00018204 6c6f6e67 20756e73 _t......long uns + 267d0 69676e65 6420696e 74000704 0975696e igned int....uin + 267e0 7433325f 74000000 01a60775 6172745f t32_t......uart_ + 267f0 6669666f 00080000 02140873 74617274 fifo.......start + 26800 5f696e64 65780000 00019802 23000865 _index......#..e + 26810 6e645f69 6e646578 00000001 98022302 nd_index......#. + 26820 086f7665 7272756e 5f657272 00000001 .overrun_err.... + 26830 bb022304 00077561 72745f61 70690020 ..#...uart_api. + 26840 000002cd 085f7561 72745f69 6e697400 ....._uart_init. + 26850 00000324 02230008 5f756172 745f6368 ...$.#.._uart_ch + 26860 61725f70 75740000 00034b02 2304085f ar_put....K.#.._ + 26870 75617274 5f636861 725f6765 74000000 uart_char_get... + 26880 035f0223 08085f75 6172745f 7374725f ._.#.._uart_str_ + 26890 6f757400 00000368 02230c08 5f756172 out....h.#.._uar + 268a0 745f7461 736b0000 00011702 2310085f t_task......#.._ + 268b0 75617274 5f737461 74757300 00000324 uart_status....$ + 268c0 02231408 5f756172 745f636f 6e666967 .#.._uart_config + 268d0 00000003 71022318 085f7561 72745f68 ....q.#.._uart_h + 268e0 77696e69 74000000 037a0223 1c000300 winit....z.#.... + 268f0 00021404 00077561 72745f62 6c6b0010 ......uart_blk.. + 26900 0000031e 08646562 75675f6d 6f646500 .....debug_mode. + 26910 00000198 02230008 62617564 00000001 .....#..baud.... + 26920 98022302 085f7561 72740000 0002cd02 ..#.._uart...... + 26930 2304085f 74780000 0001c902 23080006 #.._tx......#... + 26940 000001bb 01030000 031e0400 04756e73 .............uns + 26950 69676e65 64206368 61720007 01097569 igned char....ui + 26960 6e74385f 74000000 032b0201 03000003 nt8_t....+...... + 26970 49040003 0000033c 04000600 00019801 I......<........ + 26980 03000003 59040002 01030000 03660400 ....Y........f.. + 26990 02010300 00036f04 00020103 00000378 ......o........x + 269a0 04000300 00012504 00060000 011e0103 ......%......... + 269b0 00000388 04000744 425f434f 4d4d414e .......DB_COMMAN + 269c0 445f5354 52554354 000c0000 03e00863 D_STRUCT.......c + 269d0 6d645f73 74720000 00038102 23000868 md_str......#..h + 269e0 656c705f 73747200 00000381 02230408 elp_str......#.. + 269f0 636d645f 66756e63 00000003 8e022308 cmd_func......#. + 26a00 00076462 675f6170 69000800 00041308 ..dbg_api....... + 26a10 5f646267 5f696e69 74000000 01170223 _dbg_init......# + 26a20 00085f64 62675f74 61736b00 00000117 .._dbg_task..... + 26a30 02230400 0a040004 756e7369 676e6564 .#......unsigned + 26a40 20696e74 00070406 00000413 01030000 int............ + 26a50 04260400 0b0b0300 00043404 00060000 .&........4..... + 26a60 04130103 0000043c 04000600 00011e01 .......<........ + 26a70 03000004 49040007 6d656d5f 61706900 ....I...mem_api. + 26a80 14000004 b8085f6d 656d5f69 6e697400 ......_mem_init. + 26a90 00000117 02230008 5f6d656d 73657400 .....#.._memset. + 26aa0 0000042c 02230408 5f6d656d 63707900 ...,.#.._memcpy. + 26ab0 00000442 02230808 5f6d656d 6d6f7665 ...B.#.._memmove + 26ac0 00000004 4202230c 085f6d65 6d636d70 ....B.#.._memcmp + 26ad0 00000004 4f022310 000c7265 67697374 ....O.#...regist + 26ae0 65725f64 756d705f 73000001 03000004 er_dump_s....... + 26af0 b8040002 01030000 04d20400 02010300 ................ + 26b00 0004db04 00060000 011e0103 000004e4 ................ + 26b10 04000d68 6f737469 665f7300 04000005 ...hostif_s..... + 26b20 400e4849 465f5553 4200000e 4849465f @.HIF_USB...HIF_ + 26b30 50434945 00010e48 49465f47 4d414300 PCIE...HIF_GMAC. + 26b40 020e4849 465f5043 4900030e 4849465f ..HIF_PCI...HIF_ + 26b50 4e554d00 040e4849 465f4e4f 4e450005 NUM...HIF_NONE.. + 26b60 0009415f 484f5354 49460000 0004f106 ..A_HOSTIF...... + 26b70 00000540 01030000 054e0400 06000003 ...@.....N...... + 26b80 3c010300 00055b04 00060000 01980103 <.....[......... + 26b90 00000568 0400076d 6973635f 61706900 ...h...misc_api. + 26ba0 24000006 58085f73 79737465 6d5f7265 $...X._system_re + 26bb0 73657400 00000117 02230008 5f6d6163 set......#.._mac + 26bc0 5f726573 65740000 00011702 2304085f _reset......#.._ + 26bd0 61737366 61696c00 000004d4 02230808 assfail......#.. + 26be0 5f6d6973 616c6967 6e65645f 6c6f6164 _misaligned_load + 26bf0 5f68616e 646c6572 00000004 d402230c _handler......#. + 26c00 085f7265 706f7274 5f666169 6c757265 ._report_failure + 26c10 5f746f5f 686f7374 00000004 dd022310 _to_host......#. + 26c20 085f7461 72676574 5f69645f 67657400 ._target_id_get. + 26c30 000004ea 02231408 5f69735f 686f7374 .....#.._is_host + 26c40 5f707265 73656e74 00000005 54022318 _present....T.#. + 26c50 085f6b62 68697400 00000561 02231c08 ._kbhit....a.#.. + 26c60 5f726f6d 5f766572 73696f6e 5f676574 _rom_version_get + 26c70 00000005 6e022320 00060000 03810103 ....n.# ........ + 26c80 00000658 04000600 00038101 03000006 ...X............ + 26c90 65040006 0000011e 01030000 06720400 e............r.. + 26ca0 06000001 1e010300 00067f04 00060000 ................ + 26cb0 011e0103 0000068c 04000773 7472696e ...........strin + 26cc0 675f6170 69001800 00071208 5f737472 g_api......._str + 26cd0 696e675f 696e6974 00000001 17022300 ing_init......#. + 26ce0 085f7374 72637079 00000006 5e022304 ._strcpy....^.#. + 26cf0 085f7374 726e6370 79000000 066b0223 ._strncpy....k.# + 26d00 08085f73 74726c65 6e000000 06780223 .._strlen....x.# + 26d10 0c085f73 7472636d 70000000 06850223 .._strcmp......# + 26d20 10085f73 74726e63 6d700000 00069202 .._strncmp...... + 26d30 2314000f 00000416 14000007 1f100400 #............... + 26d40 095f415f 54494d45 525f5350 41434500 ._A_TIMER_SPACE. + 26d50 00000712 09415f74 696d6572 5f740000 .....A_timer_t.. + 26d60 00071f03 00000733 04000201 03000007 .......3........ + 26d70 49040002 01030000 07520400 09415f48 I........R...A_H + 26d80 414e444c 45000000 04160201 09415f54 ANDLE........A_T + 26d90 494d4552 5f46554e 43000000 07690300 IMER_FUNC....i.. + 26da0 00076b04 00020103 00000784 04000774 ..k............t + 26db0 696d6572 5f617069 00140000 0803085f imer_api......._ + 26dc0 74696d65 725f696e 69740000 00011702 timer_init...... + 26dd0 2300085f 74696d65 725f6172 6d000000 #.._timer_arm... + 26de0 074b0223 04085f74 696d6572 5f646973 .K.#.._timer_dis + 26df0 61726d00 00000754 02230808 5f74696d arm....T.#.._tim + 26e00 65725f73 6574666e 00000007 8602230c er_setfn......#. + 26e10 085f7469 6d65725f 72756e00 00000117 ._timer_run..... + 26e20 02231000 09424f4f 4c45414e 00000001 .#...BOOLEAN.... + 26e30 98060000 08030103 00000810 04000600 ................ + 26e40 00080301 03000008 1d040006 00000803 ................ + 26e50 01030000 082a0400 07726f6d 705f6170 .....*...romp_ap + 26e60 69001000 00089c08 5f726f6d 705f696e i......._romp_in + 26e70 69740000 00011702 2300085f 726f6d70 it......#.._romp + 26e80 5f646f77 6e6c6f61 64000000 08160223 _download......# + 26e90 04085f72 6f6d705f 696e7374 616c6c00 .._romp_install. + 26ea0 00000823 02230808 5f726f6d 705f6465 ...#.#.._romp_de + 26eb0 636f6465 00000008 3002230c 0007726f code....0.#...ro + 26ec0 6d5f7061 7463685f 73740010 000008f8 m_patch_st...... + 26ed0 08637263 31360000 00019802 2300086c .crc16......#..l + 26ee0 656e0000 00019802 2302086c 645f6164 en......#..ld_ad + 26ef0 64720000 0001bb02 23040866 756e5f61 dr......#..fun_a + 26f00 64647200 000001bb 02230808 7066756e ddr......#..pfun + 26f10 00000003 5202230c 00076565 705f7265 ....R.#...eep_re + 26f20 6469725f 61646472 00040000 092a086f dir_addr.....*.o + 26f30 66667365 74000000 01980223 00087369 ffset......#..si + 26f40 7a650000 00019802 23020009 415f5549 ze......#...A_UI + 26f50 4e543332 00000004 16060000 04130103 NT32............ + 26f60 00000938 04000761 6c6c6f63 72616d5f ...8...allocram_ + 26f70 61706900 0c000009 a908636d 6e6f735f api.......cmnos_ + 26f80 616c6c6f 6372616d 5f696e69 74000000 allocram_init... + 26f90 093e0223 0008636d 6e6f735f 616c6c6f .>.#..cmnos_allo + 26fa0 6372616d 00000009 3e022304 08636d6e cram....>.#..cmn + 26fb0 6f735f61 6c6c6f63 72616d5f 64656275 os_allocram_debu + 26fc0 67000000 01170223 08000201 03000009 g......#........ + 26fd0 a9040009 415f5441 534b4c45 545f4655 ....A_TASKLET_FU + 26fe0 4e430000 0009ab07 5f746173 6b6c6574 NC......_tasklet + 26ff0 00100000 0a0a0866 756e6300 000009b2 .......func..... + 27000 02230008 61726700 00000413 02230408 .#..arg......#.. + 27010 73746174 65000000 011e0223 08086e65 state......#..ne + 27020 78740000 000a0a02 230c0003 000009c6 xt......#....... + 27030 04000300 0009c604 0009415f 7461736b ..........A_task + 27040 6c65745f 74000000 09c60300 000a1804 let_t........... + 27050 00020103 00000a30 04000201 0300000a .......0........ + 27060 39040007 7461736b 6c65745f 61706900 9...tasklet_api. + 27070 1400000a ce085f74 61736b6c 65745f69 ......_tasklet_i + 27080 6e697400 00000117 02230008 5f746173 nit......#.._tas + 27090 6b6c6574 5f696e69 745f7461 736b0000 klet_init_task.. + 270a0 000a3202 2304085f 7461736b 6c65745f ..2.#.._tasklet_ + 270b0 64697361 626c6500 00000a3b 02230808 disable....;.#.. + 270c0 5f746173 6b6c6574 5f736368 6564756c _tasklet_schedul + 270d0 65000000 0a3b0223 0c085f74 61736b6c e....;.#.._taskl + 270e0 65745f72 756e0000 00011702 23100002 et_run......#... + 270f0 01030000 0ace0400 06000009 2a010300 ............*... + 27100 000ad704 00020103 00000ae4 04000763 ...............c + 27110 6c6f636b 5f617069 00240000 0bc6085f lock_api.$....._ + 27120 636c6f63 6b5f696e 69740000 000ad002 clock_init...... + 27130 2300085f 636c6f63 6b726567 735f696e #.._clockregs_in + 27140 69740000 00011702 2304085f 75617274 it......#.._uart + 27150 5f667265 7175656e 63790000 000add02 _frequency...... + 27160 2308085f 64656c61 795f7573 0000000a #.._delay_us.... + 27170 e602230c 085f776c 616e5f62 616e645f ..#.._wlan_band_ + 27180 73657400 00000ae6 02231008 5f726566 set......#.._ref + 27190 636c6b5f 73706565 645f6765 74000000 clk_speed_get... + 271a0 0add0223 14085f6d 696c6c69 7365636f ...#.._milliseco + 271b0 6e647300 00000add 02231808 5f737973 nds......#.._sys + 271c0 636c6b5f 6368616e 67650000 00011702 clk_change...... + 271d0 231c085f 636c6f63 6b5f7469 636b0000 #.._clock_tick.. + 271e0 00011702 23200006 000001bb 01030000 ....# .......... + 271f0 0bc60400 09415f6f 6c645f69 6e74725f .....A_old_intr_ + 27200 74000000 01bb0600 000bd301 0300000b t............... + 27210 e5040002 01030000 0bf20400 02010300 ................ + 27220 000bfb04 00060000 01bb0103 00000c04 ................ + 27230 04000941 5f697372 5f740000 000c0a02 ...A_isr_t...... + 27240 01030000 0c1e0400 06000004 16010300 ................ + 27250 000c2704 00020103 00000c34 04000769 ..'........4...i + 27260 6e74725f 61706900 2c00000d 56085f69 ntr_api.,...V._i + 27270 6e74725f 696e6974 00000001 17022300 ntr_init......#. + 27280 085f696e 74725f69 6e766f6b 655f6973 ._intr_invoke_is + 27290 72000000 0bcc0223 04085f69 6e74725f r......#.._intr_ + 272a0 64697361 626c6500 00000beb 02230808 disable......#.. + 272b0 5f696e74 725f7265 73746f72 65000000 _intr_restore... + 272c0 0bf40223 0c085f69 6e74725f 6d61736b ...#.._intr_mask + 272d0 5f696e75 6d000000 0bfd0223 10085f69 _inum......#.._i + 272e0 6e74725f 756e6d61 736b5f69 6e756d00 ntr_unmask_inum. + 272f0 00000bfd 02231408 5f696e74 725f6174 .....#.._intr_at + 27300 74616368 5f697372 0000000c 20022318 tach_isr.... .#. + 27310 085f6765 745f696e 7472656e 61626c65 ._get_intrenable + 27320 0000000c 2d02231c 085f7365 745f696e ....-.#.._set_in + 27330 7472656e 61626c65 0000000c 36022320 trenable....6.# + 27340 085f6765 745f696e 74727065 6e64696e ._get_intrpendin + 27350 67000000 0c2d0223 24085f75 6e626c6f g....-.#$._unblo + 27360 636b5f61 6c6c5f69 6e74726c 766c0000 ck_all_intrlvl.. + 27370 00011702 23280011 0400000d 7c087469 ....#(......|.ti + 27380 6d656f75 74000000 01bb0223 00086163 meout......#..ac + 27390 74696f6e 00000001 bb022300 00120800 tion......#..... + 273a0 000d9708 636d6400 000001bb 02230013 ....cmd......#.. + 273b0 00000d56 02230400 09545f57 44545f43 ...V.#...T_WDT_C + 273c0 4d440000 000d7c02 01030000 0da60400 MD....|......... + 273d0 14040000 0dfc0e45 4e554d5f 5744545f .......ENUM_WDT_ + 273e0 424f4f54 00010e45 4e554d5f 434f4c44 BOOT...ENUM_COLD + 273f0 5f424f4f 5400020e 454e554d 5f535553 _BOOT...ENUM_SUS + 27400 505f424f 4f540003 0e454e55 4d5f554e P_BOOT...ENUM_UN + 27410 4b4e4f57 4e5f424f 4f540004 0009545f KNOWN_BOOT....T_ + 27420 424f4f54 5f545950 45000000 0daf0600 BOOT_TYPE....... + 27430 000dfc01 0300000e 0d040007 7764745f ............wdt_ + 27440 61706900 1c00000e b1085f77 64745f69 api......._wdt_i + 27450 6e697400 00000117 02230008 5f776474 nit......#.._wdt + 27460 5f656e61 626c6500 00000117 02230408 _enable......#.. + 27470 5f776474 5f646973 61626c65 00000001 _wdt_disable.... + 27480 17022308 085f7764 745f7365 74000000 ..#.._wdt_set... + 27490 0da80223 0c085f77 64745f74 61736b00 ...#.._wdt_task. + 274a0 00000117 02231008 5f776474 5f726573 .....#.._wdt_res + 274b0 65740000 00011702 2314085f 7764745f et......#.._wdt_ + 274c0 6c617374 5f626f6f 74000000 0e130223 last_boot......# + 274d0 18001404 00000f18 0e524554 5f535543 .........RET_SUC + 274e0 43455353 00000e52 45545f4e 4f545f49 CESS...RET_NOT_I + 274f0 4e495400 010e5245 545f4e4f 545f4558 NIT...RET_NOT_EX + 27500 49535400 020e5245 545f4545 505f434f IST...RET_EEP_CO + 27510 52525550 5400030e 5245545f 4545505f RRUPT...RET_EEP_ + 27520 4f564552 464c4f57 00040e52 45545f55 OVERFLOW...RET_U + 27530 4e4b4e4f 574e0005 0009545f 4545505f NKNOWN....T_EEP_ + 27540 52455400 00000eb1 03000001 98040006 RET............. + 27550 00000f18 01030000 0f2e0400 0600000f ................ + 27560 18010300 000f3b04 00076565 705f6170 ......;...eep_ap + 27570 69001000 000fa408 5f656570 5f696e69 i......._eep_ini + 27580 74000000 01170223 00085f65 65705f72 t......#.._eep_r + 27590 65616400 00000f34 02230408 5f656570 ead....4.#.._eep + 275a0 5f777269 74650000 000f3402 2308085f _write....4.#.._ + 275b0 6565705f 69735f65 78697374 0000000f eep_is_exist.... + 275c0 4102230c 00077573 625f6170 69007000 A.#...usb_api.p. + 275d0 00125108 5f757362 5f696e69 74000000 ..Q._usb_init... + 275e0 01170223 00085f75 73625f72 6f6d5f74 ...#.._usb_rom_t + 275f0 61736b00 00000117 02230408 5f757362 ask......#.._usb + 27600 5f66775f 7461736b 00000001 17022308 _fw_task......#. + 27610 085f7573 625f696e 69745f70 68790000 ._usb_init_phy.. + 27620 00011702 230c085f 7573625f 6570305f ....#.._usb_ep0_ + 27630 73657475 70000000 01170223 10085f75 setup......#.._u + 27640 73625f65 70305f74 78000000 01170223 sb_ep0_tx......# + 27650 14085f75 73625f65 70305f72 78000000 .._usb_ep0_rx... + 27660 01170223 18085f75 73625f67 65745f69 ...#.._usb_get_i + 27670 6e746572 66616365 00000008 2302231c nterface....#.#. + 27680 085f7573 625f7365 745f696e 74657266 ._usb_set_interf + 27690 61636500 00000823 02232008 5f757362 ace....#.# ._usb + 276a0 5f676574 5f636f6e 66696775 72617469 _get_configurati + 276b0 6f6e0000 00082302 2324085f 7573625f on....#.#$._usb_ + 276c0 7365745f 636f6e66 69677572 6174696f set_configuratio + 276d0 6e000000 08230223 28085f75 73625f73 n....#.#(._usb_s + 276e0 74616e64 6172645f 636d6400 00000823 tandard_cmd....# + 276f0 02232c08 5f757362 5f76656e 646f725f .#,._usb_vendor_ + 27700 636d6400 00000117 02233008 5f757362 cmd......#0._usb + 27710 5f706f77 65725f6f 66660000 00011702 _power_off...... + 27720 2334085f 7573625f 72657365 745f6669 #4._usb_reset_fi + 27730 666f0000 00011702 2338085f 7573625f fo......#8._usb_ + 27740 67656e5f 77647400 00000117 02233c08 gen_wdt......#<. + 27750 5f757362 5f6a756d 705f626f 6f740000 _usb_jump_boot.. + 27760 00011702 2340085f 7573625f 636c725f ....#@._usb_clr_ + 27770 66656174 75726500 00000823 02234408 feature....#.#D. + 27780 5f757362 5f736574 5f666561 74757265 _usb_set_feature + 27790 00000008 23022348 085f7573 625f7365 ....#.#H._usb_se + 277a0 745f6164 64726573 73000000 08230223 t_address....#.# + 277b0 4c085f75 73625f67 65745f64 65736372 L._usb_get_descr + 277c0 6970746f 72000000 08230223 50085f75 iptor....#.#P._u + 277d0 73625f67 65745f73 74617475 73000000 sb_get_status... + 277e0 08230223 54085f75 73625f73 65747570 .#.#T._usb_setup + 277f0 5f646573 63000000 01170223 58085f75 _desc......#X._u + 27800 73625f72 65675f6f 75740000 00011702 sb_reg_out...... + 27810 235c085f 7573625f 73746174 75735f69 #\._usb_status_i + 27820 6e000000 01170223 60085f75 73625f65 n......#`._usb_e + 27830 70305f74 785f6461 74610000 00011702 p0_tx_data...... + 27840 2364085f 7573625f 6570305f 72785f64 #d._usb_ep0_rx_d + 27850 61746100 00000117 02236808 5f757362 ata......#h._usb + 27860 5f636c6b 5f696e69 74000000 01170223 _clk_init......# + 27870 6c00075f 56444553 43002400 0012dd08 l.._VDESC.$..... + 27880 6e657874 5f646573 63000000 12dd0223 next_desc......# + 27890 00086275 665f6164 64720000 0012f102 ..buf_addr...... + 278a0 23040862 75665f73 697a6500 000012f8 #..buf_size..... + 278b0 02230808 64617461 5f6f6666 73657400 .#..data_offset. + 278c0 000012f8 02230a08 64617461 5f73697a .....#..data_siz + 278d0 65000000 12f80223 0c08636f 6e74726f e......#..contro + 278e0 6c000000 12f80223 0e086877 5f646573 l......#..hw_des + 278f0 635f6275 66000000 13060223 10000300 c_buf......#.... + 27900 00125104 0009415f 55494e54 38000000 ..Q...A_UINT8... + 27910 032b0300 0012e404 0009415f 55494e54 .+........A_UINT + 27920 31360000 0001820f 000012e4 14000013 16.............. + 27930 13101300 03000012 51040009 56444553 ........Q...VDES + 27940 43000000 12510300 00131a04 00060000 C....Q.......... + 27950 13250103 0000132c 04000600 0012f101 .%.....,........ + 27960 03000013 39040002 01030000 13460400 ....9........F.. + 27970 07766465 73635f61 70690014 000013be .vdesc_api...... + 27980 085f696e 69740000 000ae602 2300085f ._init......#.._ + 27990 616c6c6f 635f7664 65736300 00001332 alloc_vdesc....2 + 279a0 02230408 5f676574 5f68775f 64657363 .#.._get_hw_desc + 279b0 00000013 3f022308 085f7377 61705f76 ....?.#.._swap_v + 279c0 64657363 00000013 4802230c 08705265 desc....H.#..pRe + 279d0 73657276 65640000 00041302 23100007 served......#... + 279e0 5f564255 46002000 00141e08 64657363 _VBUF. .....desc + 279f0 5f6c6973 74000000 13250223 00086e65 _list....%.#..ne + 27a00 78745f62 75660000 00141e02 23040862 xt_buf......#..b + 27a10 75665f6c 656e6774 68000000 12f80223 uf_length......# + 27a20 08087265 73657276 65640000 00142502 ..reserved....%. + 27a30 230a0863 74780000 00130602 230c0003 #..ctx......#... + 27a40 000013be 04000f00 0012e402 00001432 ...............2 + 27a50 10010003 000013be 04000956 42554600 ...........VBUF. + 27a60 000013be 03000014 39040006 00001443 ........9......C + 27a70 01030000 144a0400 06000014 43010300 .....J......C... + 27a80 00145704 00020103 00001464 04000776 ..W........d...v + 27a90 6275665f 61706900 14000014 e2085f69 buf_api......._i + 27aa0 6e697400 00000ae6 02230008 5f616c6c nit......#.._all + 27ab0 6f635f76 62756600 00001450 02230408 oc_vbuf....P.#.. + 27ac0 5f616c6c 6f635f76 6275665f 77697468 _alloc_vbuf_with + 27ad0 5f73697a 65000000 145d0223 08085f66 _size....].#.._f + 27ae0 7265655f 76627566 00000014 6602230c ree_vbuf....f.#. + 27af0 08705265 73657276 65640000 00041302 .pReserved...... + 27b00 23100007 5f5f6164 665f6465 76696365 #...__adf_device + 27b10 00040000 15040864 756d6d79 00000001 .......dummy.... + 27b20 1e022300 00030000 092a0400 075f5f61 ..#......*...__a + 27b30 64665f64 6d615f6d 6170000c 0000154b df_dma_map.....K + 27b40 08627566 00000014 43022300 0864735f .buf....C.#..ds_ + 27b50 61646472 00000015 04022304 0864735f addr......#..ds_ + 27b60 6c656e00 000012f8 02230800 120c0000 len......#...... + 27b70 1585085f 5f76615f 73746b00 00000381 ...__va_stk..... + 27b80 02230008 5f5f7661 5f726567 00000003 .#..__va_reg.... + 27b90 81022304 085f5f76 615f6e64 78000000 ..#..__va_ndx... + 27ba0 011e0223 0800095f 5f616466 5f6f735f ...#...__adf_os_ + 27bb0 646d615f 61646472 5f740000 00092a09 dma_addr_t....*. + 27bc0 6164665f 6f735f64 6d615f61 6464725f adf_os_dma_addr_ + 27bd0 74000000 1585095f 5f616466 5f6f735f t......__adf_os_ + 27be0 646d615f 73697a65 5f740000 00092a09 dma_size_t....*. + 27bf0 6164665f 6f735f64 6d615f73 697a655f adf_os_dma_size_ + 27c00 74000000 15b5075f 5f646d61 5f736567 t......__dma_seg + 27c10 73000800 00161108 70616464 72000000 s.......paddr... + 27c20 159e0223 00086c65 6e000000 15ce0223 ...#..len......# + 27c30 0400095f 5f615f75 696e7433 325f7400 ...__a_uint32_t. + 27c40 0000092a 09615f75 696e7433 325f7400 ...*.a_uint32_t. + 27c50 00001611 0f000015 e5080000 16401000 .............@.. + 27c60 00076164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 27c70 696e666f 000c0000 1679086e 73656773 info.....y.nsegs + 27c80 00000016 23022300 08646d61 5f736567 ....#.#..dma_seg + 27c90 73000000 16330223 0400095f 5f615f75 s....3.#...__a_u + 27ca0 696e7438 5f740000 0012e409 615f7569 int8_t......a_ui + 27cb0 6e74385f 74000000 16790300 00168a04 nt8_t....y...... + 27cc0 00075f5f 73675f73 65677300 08000016 ..__sg_segs..... + 27cd0 cb087661 64647200 00001699 02230008 ..vaddr......#.. + 27ce0 6c656e00 00001623 02230400 0f000016 len....#.#...... + 27cf0 a0200000 16d81003 00076164 665f6f73 . ........adf_os + 27d00 5f73676c 69737400 24000017 0b086e73 _sglist.$.....ns + 27d10 65677300 00001623 02230008 73675f73 egs....#.#..sg_s + 27d20 65677300 000016cb 02230400 12100000 egs......#...... + 27d30 17540876 656e646f 72000000 16230223 .T.vendor....#.# + 27d40 00086465 76696365 00000016 23022304 ..device....#.#. + 27d50 08737562 76656e64 6f720000 00162302 .subvendor....#. + 27d60 23080873 75626465 76696365 00000016 #..subdevice.... + 27d70 2302230c 00046c6f 6e67206c 6f6e6720 #.#...long long + 27d80 756e7369 676e6564 20696e74 00070809 unsigned int.... + 27d90 415f5549 4e543634 00000017 54095f5f A_UINT64....T.__ + 27da0 615f7569 6e743634 5f740000 00176e09 a_uint64_t....n. + 27db0 615f7569 6e743634 5f740000 00177c14 a_uint64_t....|. + 27dc0 04000017 da0e4144 465f4f53 5f524553 ......ADF_OS_RES + 27dd0 4f555243 455f5459 50455f4d 454d0000 OURCE_TYPE_MEM.. + 27de0 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 27df0 5f545950 455f494f 00010009 6164665f _TYPE_IO....adf_ + 27e00 6f735f72 65736f75 7263655f 74797065 os_resource_type + 27e10 5f740000 00179e12 18000018 24087374 _t..........$.st + 27e20 61727400 0000178e 02230008 656e6400 art......#..end. + 27e30 0000178e 02230808 74797065 00000017 .....#..type.... + 27e40 da022310 00096164 665f6f73 5f706369 ..#...adf_os_pci + 27e50 5f646576 5f69645f 74000000 170b0300 _dev_id_t....... + 27e60 00182404 00110400 00186308 70636900 ..$.......c.pci. + 27e70 0000183d 02230008 72617700 00000413 ...=.#..raw..... + 27e80 02230000 11100000 18820870 63690000 .#.........pci.. + 27e90 00182402 23000872 61770000 00041302 ..$.#..raw...... + 27ea0 23000009 6164665f 6472765f 68616e64 #...adf_drv_hand + 27eb0 6c655f74 00000004 13096164 665f6f73 le_t......adf_os + 27ec0 5f726573 6f757263 655f7400 000017f6 _resource_t..... + 27ed0 03000018 98040009 6164665f 6f735f61 ........adf_os_a + 27ee0 74746163 685f6461 74615f74 00000018 ttach_data_t.... + 27ef0 63030000 18b60400 03000014 e2040009 c............... + 27f00 5f5f6164 665f6f73 5f646576 6963655f __adf_os_device_ + 27f10 74000000 18d70961 64665f6f 735f6465 t......adf_os_de + 27f20 76696365 5f740000 0018de06 00001882 vice_t.......... + 27f30 01030000 190a0400 02010300 00191704 ................ + 27f40 00096164 665f6f73 5f706d5f 74000000 ..adf_os_pm_t... + 27f50 04130201 03000019 31040014 04000019 ........1....... + 27f60 710e4144 465f4f53 5f425553 5f545950 q.ADF_OS_BUS_TYP + 27f70 455f5043 4900010e 4144465f 4f535f42 E_PCI...ADF_OS_B + 27f80 55535f54 5950455f 47454e45 52494300 US_TYPE_GENERIC. + 27f90 02000961 64665f6f 735f6275 735f7479 ...adf_os_bus_ty + 27fa0 70655f74 00000019 3a096164 665f6f73 pe_t....:.adf_os + 27fb0 5f627573 5f726567 5f646174 615f7400 _bus_reg_data_t. + 27fc0 00001844 03000003 2b040007 5f616466 ...D....+..._adf + 27fd0 5f647276 5f696e66 6f002000 001a4e08 _drv_info. ...N. + 27fe0 6472765f 61747461 63680000 00191002 drv_attach...... + 27ff0 23000864 72765f64 65746163 68000000 #..drv_detach... + 28000 19190223 04086472 765f7375 7370656e ...#..drv_suspen + 28010 64000000 19330223 08086472 765f7265 d....3.#..drv_re + 28020 73756d65 00000019 1902230c 08627573 sume......#..bus + 28030 5f747970 65000000 19710223 10086275 _type....q.#..bu + 28040 735f6461 74610000 00198802 2314086d s_data......#..m + 28050 6f645f6e 616d6500 000019a3 02231808 od_name......#.. + 28060 69666e61 6d650000 0019a302 231c0009 ifname......#... + 28070 6164665f 6f735f68 616e646c 655f7400 adf_os_handle_t. + 28080 00000413 03000016 79040002 01020109 ........y....... + 28090 5f5f6164 665f6f73 5f73697a 655f7400 __adf_os_size_t. + 280a0 00000416 14040000 1a9d0e41 5f46414c ...........A_FAL + 280b0 53450000 0e415f54 52554500 01000961 SE...A_TRUE....a + 280c0 5f626f6f 6c5f7400 00001a83 03000015 _bool_t......... + 280d0 0b040009 5f5f6164 665f6f73 5f646d61 ....__adf_os_dma + 280e0 5f6d6170 5f740000 001aab02 010d6164 _map_t........ad + 280f0 665f6f73 5f636163 68655f73 796e6300 f_os_cache_sync. + 28100 0400001b 350e4144 465f5359 4e435f50 ....5.ADF_SYNC_P + 28110 52455245 41440000 0e414446 5f53594e REREAD...ADF_SYN + 28120 435f5052 45575249 54450002 0e414446 C_PREWRITE...ADF + 28130 5f53594e 435f504f 53545245 41440001 _SYNC_POSTREAD.. + 28140 0e414446 5f53594e 435f504f 53545752 .ADF_SYNC_POSTWR + 28150 49544500 03000961 64665f6f 735f6361 ITE....adf_os_ca + 28160 6368655f 73796e63 5f740000 001acc02 che_sync_t...... + 28170 01096164 665f6f73 5f73697a 655f7400 ..adf_os_size_t. + 28180 00001a6e 0600001b 50010961 64665f6f ...n....P..adf_o + 28190 735f646d 615f6d61 705f7400 00001ab2 s_dma_map_t..... + 281a0 0300001b 69040006 00000413 01030000 ....i........... + 281b0 1ab20400 06000004 13010201 06000015 ................ + 281c0 9e010201 0473686f 72742069 6e740005 .....short int.. + 281d0 0209415f 494e5431 36000000 1ba3095f ..A_INT16......_ + 281e0 5f615f69 6e743136 5f740000 001bb009 _a_int16_t...... + 281f0 615f696e 7431365f 74000000 1bbd0473 a_int16_t......s + 28200 69676e65 64206368 61720005 0109415f igned char....A_ + 28210 494e5438 0000001b dd095f5f 615f696e INT8......__a_in + 28220 74385f74 0000001b ec09615f 696e7438 t8_t......a_int8 + 28230 5f740000 001bf812 0c00001c 6f087375 _t..........o.su + 28240 70706f72 74656400 00001623 02230008 pported....#.#.. + 28250 61647665 7274697a 65640000 00162302 advertized....#. + 28260 23040873 70656564 0000001b ce022308 #..speed......#. + 28270 08647570 6c657800 00001c08 02230a08 .duplex......#.. + 28280 6175746f 6e656700 0000168a 02230b00 autoneg......#.. + 28290 0f000016 8a060000 1c7c1005 00076164 .........|....ad + 282a0 665f6e65 745f6574 68616464 72000600 f_net_ethaddr... + 282b0 001ca008 61646472 0000001c 6f022300 ....addr....o.#. + 282c0 00095f5f 615f7569 6e743136 5f740000 ..__a_uint16_t.. + 282d0 0012f809 615f7569 6e743136 5f740000 ....a_uint16_t.. + 282e0 001ca012 0e00001d 04086574 6865725f ..........ether_ + 282f0 64686f73 74000000 1c6f0223 00086574 dhost....o.#..et + 28300 6865725f 73686f73 74000000 1c6f0223 her_shost....o.# + 28310 06086574 6865725f 74797065 0000001c ..ether_type.... + 28320 b202230c 00121400 001dc515 69705f76 ..#.........ip_v + 28330 65727369 6f6e0000 00168a01 00040223 ersion.........# + 28340 00156970 5f686c00 0000168a 01040402 ..ip_hl......... + 28350 23000869 705f746f 73000000 168a0223 #..ip_tos......# + 28360 01086970 5f6c656e 0000001c b2022302 ..ip_len......#. + 28370 0869705f 69640000 001cb202 23040869 .ip_id......#..i + 28380 705f6672 61675f6f 66660000 001cb202 p_frag_off...... + 28390 23060869 705f7474 6c000000 168a0223 #..ip_ttl......# + 283a0 08086970 5f70726f 746f0000 00168a02 ..ip_proto...... + 283b0 23090869 705f6368 65636b00 00001cb2 #..ip_check..... + 283c0 02230a08 69705f73 61646472 00000016 .#..ip_saddr.... + 283d0 2302230c 0869705f 64616464 72000000 #.#..ip_daddr... + 283e0 16230223 10000761 64665f6e 65745f76 .#.#...adf_net_v + 283f0 6c616e68 64720004 00001e17 08747069 lanhdr.......tpi + 28400 64000000 1cb20223 00157072 696f0000 d......#..prio.. + 28410 00168a01 00030223 02156366 69000000 .......#..cfi... + 28420 168a0103 01022302 15766964 0000001c ......#..vid.... + 28430 b202040c 02230200 07616466 5f6e6574 .....#...adf_net + 28440 5f766964 00020000 1e481572 65730000 _vid.....H.res.. + 28450 00168a01 00040223 00157661 6c000000 .......#..val... + 28460 1cb20204 0c022300 00120c00 001e8408 ......#......... + 28470 72785f62 75667369 7a650000 00162302 rx_bufsize....#. + 28480 23000872 785f6e64 65736300 00001623 #..rx_ndesc....# + 28490 02230408 74785f6e 64657363 00000016 .#..tx_ndesc.... + 284a0 23022308 00120800 001eaa08 706f6c6c #.#.........poll + 284b0 65640000 001a9d02 23000870 6f6c6c5f ed......#..poll_ + 284c0 77740000 00162302 2304000f 0000168a wt....#.#....... + 284d0 4000001e b7103f00 12460000 1edf0869 @.....?..F.....i + 284e0 665f6e61 6d650000 001eaa02 23000864 f_name......#..d + 284f0 65765f61 64647200 00001c6f 02234000 ev_addr....o.#@. + 28500 14040000 1f160e41 44465f4f 535f444d .......ADF_OS_DM + 28510 415f4d41 534b5f33 32424954 00000e41 A_MASK_32BIT...A + 28520 44465f4f 535f444d 415f4d41 534b5f36 DF_OS_DMA_MASK_6 + 28530 34424954 00010009 6164665f 6f735f64 4BIT....adf_os_d + 28540 6d615f6d 61736b5f 74000000 1edf0761 ma_mask_t......a + 28550 64665f64 6d615f69 6e666f00 0800001f df_dma_info..... + 28560 6308646d 615f6d61 736b0000 001f1602 c.dma_mask...... + 28570 23000873 675f6e73 65677300 00001623 #..sg_nsegs....# + 28580 02230400 14040000 1fb90e41 44465f4e .#.........ADF_N + 28590 45545f43 4b53554d 5f4e4f4e 4500000e ET_CKSUM_NONE... + 285a0 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 285b0 505f5544 505f4950 76340001 0e414446 P_UDP_IPv4...ADF + 285c0 5f4e4554 5f434b53 554d5f54 43505f55 _NET_CKSUM_TCP_U + 285d0 44505f49 50763600 02000961 64665f6e DP_IPv6....adf_n + 285e0 65745f63 6b73756d 5f747970 655f7400 et_cksum_type_t. + 285f0 00001f63 12080000 1ffc0874 785f636b ...c.......tx_ck + 28600 73756d00 00001fb9 02230008 72785f63 sum......#..rx_c + 28610 6b73756d 0000001f b9022304 00096164 ksum......#...ad + 28620 665f6e65 745f636b 73756d5f 696e666f f_net_cksum_info + 28630 5f740000 001fd314 04000020 550e4144 _t......... U.AD + 28640 465f4e45 545f5453 4f5f4e4f 4e450000 F_NET_TSO_NONE.. + 28650 0e414446 5f4e4554 5f54534f 5f495056 .ADF_NET_TSO_IPV + 28660 3400010e 4144465f 4e45545f 54534f5f 4...ADF_NET_TSO_ + 28670 414c4c00 02000961 64665f6e 65745f74 ALL....adf_net_t + 28680 736f5f74 7970655f 74000000 20161210 so_type_t... ... + 28690 000020a9 08636b73 756d5f63 61700000 .. ..cksum_cap.. + 286a0 001ffc02 23000874 736f0000 00205502 ....#..tso... U. + 286b0 23080876 6c616e5f 73757070 6f727465 #..vlan_supporte + 286c0 64000000 168a0223 0c001220 00002142 d......#... ..!B + 286d0 0874785f 7061636b 65747300 00001623 .tx_packets....# + 286e0 02230008 72785f70 61636b65 74730000 .#..rx_packets.. + 286f0 00162302 23040874 785f6279 74657300 ..#.#..tx_bytes. + 28700 00001623 02230808 72785f62 79746573 ...#.#..rx_bytes + 28710 00000016 2302230c 0874785f 64726f70 ....#.#..tx_drop + 28720 70656400 00001623 02231008 72785f64 ped....#.#..rx_d + 28730 726f7070 65640000 00162302 23140872 ropped....#.#..r + 28740 785f6572 726f7273 00000016 23022318 x_errors....#.#. + 28750 0874785f 6572726f 72730000 00162302 .tx_errors....#. + 28760 231c0009 6164665f 6e65745f 65746861 #...adf_net_etha + 28770 6464725f 74000000 1c7c1600 00214203 ddr_t....|...!B. + 28780 00000021 67107f00 17616466 5f6e6574 ...!g....adf_net + 28790 5f636d64 5f6d6361 64647200 03040000 _cmd_mcaddr..... + 287a0 219e086e 656c656d 00000016 23022300 !..nelem....#.#. + 287b0 086d6361 73740000 00215902 23040009 .mcast...!Y.#... + 287c0 6164665f 6e65745f 636d645f 6c696e6b adf_net_cmd_link + 287d0 5f696e66 6f5f7400 00001c16 09616466 _info_t......adf + 287e0 5f6e6574 5f636d64 5f706f6c 6c5f696e _net_cmd_poll_in + 287f0 666f5f74 0000001e 84096164 665f6e65 fo_t......adf_ne + 28800 745f636d 645f636b 73756d5f 696e666f t_cmd_cksum_info + 28810 5f740000 001ffc09 6164665f 6e65745f _t......adf_net_ + 28820 636d645f 72696e67 5f696e66 6f5f7400 cmd_ring_info_t. + 28830 00001e48 09616466 5f6e6574 5f636d64 ...H.adf_net_cmd + 28840 5f646d61 5f696e66 6f5f7400 00001f2d _dma_info_t....- + 28850 09616466 5f6e6574 5f636d64 5f766964 .adf_net_cmd_vid + 28860 5f740000 001cb209 6164665f 6e65745f _t......adf_net_ + 28870 636d645f 6f66666c 6f61645f 6361705f cmd_offload_cap_ + 28880 74000000 206d0961 64665f6e 65745f63 t... m.adf_net_c + 28890 6d645f73 74617473 5f740000 0020a909 md_stats_t... .. + 288a0 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad + 288b0 64725f74 00000021 670d6164 665f6e65 dr_t...!g.adf_ne + 288c0 745f636d 645f6d63 6173745f 63617000 t_cmd_mcast_cap. + 288d0 04000022 e00e4144 465f4e45 545f4d43 ..."..ADF_NET_MC + 288e0 4153545f 53555000 000e4144 465f4e45 AST_SUP...ADF_NE + 288f0 545f4d43 4153545f 4e4f5453 55500001 T_MCAST_NOTSUP.. + 28900 00096164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 28910 6173745f 6361705f 74000000 22981803 ast_cap_t..."... + 28920 04000023 b2086c69 6e6b5f69 6e666f00 ...#..link_info. + 28930 0000219e 02230008 706f6c6c 5f696e66 ..!..#..poll_inf + 28940 6f000000 21bb0223 0008636b 73756d5f o...!..#..cksum_ + 28950 696e666f 00000021 d8022300 0872696e info...!..#..rin + 28960 675f696e 666f0000 0021f602 23000864 g_info...!..#..d + 28970 6d615f69 6e666f00 00002213 02230008 ma_info..."..#.. + 28980 76696400 0000222f 02230008 6f66666c vid..."/.#..offl + 28990 6f61645f 63617000 00002246 02230008 oad_cap..."F.#.. + 289a0 73746174 73000000 22650223 00086d63 stats..."e.#..mc + 289b0 6173745f 696e666f 00000022 7e022300 ast_info..."~.#. + 289c0 086d6361 73745f63 61700000 0022e002 .mcast_cap...".. + 289d0 23000014 04000024 090e4144 465f4e42 #......$..ADF_NB + 289e0 55465f52 585f434b 53554d5f 4e4f4e45 UF_RX_CKSUM_NONE + 289f0 00000e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 28a00 4b53554d 5f485700 010e4144 465f4e42 KSUM_HW...ADF_NB + 28a10 55465f52 585f434b 53554d5f 554e4e45 UF_RX_CKSUM_UNNE + 28a20 43455353 41525900 02000961 64665f6e CESSARY....adf_n + 28a30 6275665f 72785f63 6b73756d 5f747970 buf_rx_cksum_typ + 28a40 655f7400 000023b2 12080000 24490872 e_t...#.....$I.r + 28a50 6573756c 74000000 24090223 00087661 esult...$..#..va + 28a60 6c000000 16230223 04001208 00002479 l....#.#......$y + 28a70 08747970 65000000 20550223 00086d73 .type... U.#..ms + 28a80 73000000 1cb20223 04086864 725f6f66 s......#..hdr_of + 28a90 66000000 168a0223 0600075f 5f616466 f......#...__adf + 28aa0 5f6e6275 665f7168 65616400 0c000024 _nbuf_qhead....$ + 28ab0 b8086865 61640000 00144302 23000874 ..head....C.#..t + 28ac0 61696c00 00001443 02230408 716c656e ail....C.#..qlen + 28ad0 00000016 23022308 00095f5f 6164665f ....#.#...__adf_ + 28ae0 6e627566 5f740000 00144303 00001699 nbuf_t....C..... + 28af0 04000300 00162304 00020106 00001325 ......#........% + 28b00 01060000 16230106 00001699 01060000 .....#.......... + 28b10 16990103 00001306 0400095f 5f616466 ...........__adf + 28b20 5f6e6275 665f7168 6561645f 74000000 _nbuf_qhead_t... + 28b30 2479095f 5f616466 5f6e6275 665f7175 $y.__adf_nbuf_qu + 28b40 6575655f 74000000 24f90300 00251104 eue_t...$....%.. + 28b50 00060000 24b80106 000024b8 01140400 ....$.....$..... + 28b60 0026310e 415f5354 41545553 5f4f4b00 .&1.A_STATUS_OK. + 28b70 000e415f 53544154 55535f46 41494c45 ..A_STATUS_FAILE + 28b80 4400010e 415f5354 41545553 5f454e4f D...A_STATUS_ENO + 28b90 454e5400 020e415f 53544154 55535f45 ENT...A_STATUS_E + 28ba0 4e4f4d45 4d00030e 415f5354 41545553 NOMEM...A_STATUS + 28bb0 5f45494e 56414c00 040e415f 53544154 _EINVAL...A_STAT + 28bc0 55535f45 494e5052 4f475245 53530005 US_EINPROGRESS.. + 28bd0 0e415f53 54415455 535f454e 4f545355 .A_STATUS_ENOTSU + 28be0 50500006 0e415f53 54415455 535f4542 PP...A_STATUS_EB + 28bf0 55535900 070e415f 53544154 55535f45 USY...A_STATUS_E + 28c00 32424947 00080e41 5f535441 5455535f 2BIG...A_STATUS_ + 28c10 45414444 524e4f54 41564149 4c00090e EADDRNOTAVAIL... + 28c20 415f5354 41545553 5f454e58 494f000a A_STATUS_ENXIO.. + 28c30 0e415f53 54415455 535f4546 41554c54 .A_STATUS_EFAULT + 28c40 000b0e41 5f535441 5455535f 45494f00 ...A_STATUS_EIO. + 28c50 0c000961 5f737461 7475735f 74000000 ...a_status_t... + 28c60 253c0600 00263101 06000001 1e010201 %<...&1......... + 28c70 09616466 5f6e6275 665f7400 000024b8 .adf_nbuf_t...$. + 28c80 14040000 26960e41 44465f4f 535f444d ....&..ADF_OS_DM + 28c90 415f544f 5f444556 49434500 000e4144 A_TO_DEVICE...AD + 28ca0 465f4f53 5f444d41 5f46524f 4d5f4445 F_OS_DMA_FROM_DE + 28cb0 56494345 00010009 6164665f 6f735f64 VICE....adf_os_d + 28cc0 6d615f64 69725f74 00000026 5f060000 ma_dir_t...&_... + 28cd0 26310102 01096164 665f6f73 5f646d61 &1....adf_os_dma + 28ce0 6d61705f 696e666f 5f740000 00164003 map_info_t....@. + 28cf0 000026b4 04000201 02010600 00264f01 ..&..........&O. + 28d00 06000024 b8010201 02010600 00264f01 ...$.........&O. + 28d10 06000024 b8010600 00264f01 06000024 ...$.....&O....$ + 28d20 b8010600 00264f01 02010201 06000016 .....&O......... + 28d30 23010600 00169901 02010201 0600001b #............... + 28d40 50010600 001a9d01 0600001a 9d010961 P..............a + 28d50 64665f6f 735f7367 6c697374 5f740000 df_os_sglist_t.. + 28d60 0016d803 0000272d 04000201 02010201 ......'-........ + 28d70 06000016 99010961 64665f6e 6275665f .......adf_nbuf_ + 28d80 71756575 655f7400 00002511 03000027 queue_t...%....' + 28d90 55040002 01030000 24f90400 02010201 U.......$....... + 28da0 02010600 00264f01 06000024 b8010600 .....&O....$.... + 28db0 00162301 06000016 23010600 001a9d01 ..#.....#....... + 28dc0 0600001a 9d010600 001fb901 06000016 ................ + 28dd0 23010961 64665f6e 6275665f 72785f63 #..adf_nbuf_rx_c + 28de0 6b73756d 5f740000 00242703 000027b1 ksum_t...$'...'. + 28df0 04000201 02010961 64665f6e 6275665f .......adf_nbuf_ + 28e00 74736f5f 74000000 24490300 0027d504 tso_t...$I...'.. + 28e10 00020102 01096164 665f6e65 745f6861 ......adf_net_ha + 28e20 6e646c65 5f740000 00041309 6164665f ndle_t......adf_ + 28e30 6e65745f 766c616e 6864725f 74000000 net_vlanhdr_t... + 28e40 1dc50300 00280a04 00060000 26310106 .....(......&1.. + 28e50 00002631 01020102 01075f48 49465f43 ..&1......_HIF_C + 28e60 4f4e4649 47000400 00285908 64756d6d ONFIG....(Y.dumm + 28e70 79000000 011e0223 00000201 03000028 y......#.......( + 28e80 59040002 01030000 28620400 075f4849 Y.......(b..._HI + 28e90 465f4341 4c4c4241 434b000c 000028b7 F_CALLBACK....(. + 28ea0 0873656e 645f6275 665f646f 6e650000 .send_buf_done.. + 28eb0 00285b02 23000872 6563765f 62756600 .([.#..recv_buf. + 28ec0 00002864 02230408 636f6e74 65787400 ..(d.#..context. + 28ed0 00000413 02230800 09686966 5f68616e .....#...hif_han + 28ee0 646c655f 74000000 04130948 49465f43 dle_t......HIF_C + 28ef0 4f4e4649 47000000 28380300 0028c904 ONFIG...(8...(.. + 28f00 00060000 28b70103 000028e0 04000201 ....(.....(..... + 28f10 03000028 ed040009 4849465f 43414c4c ...(....HIF_CALL + 28f20 4241434b 00000028 6b030000 28f60400 BACK...(k...(... + 28f30 02010300 00290f04 00060000 011e0103 .....).......... + 28f40 00002918 04000201 03000029 25040006 ..)........)%... + 28f50 0000011e 01030000 292e0400 02010300 ........)....... + 28f60 00293b04 00060000 011e0103 00002944 .);...........)D + 28f70 04000201 03000029 51040007 6869665f .......)Q...hif_ + 28f80 61706900 3800002a aa085f69 6e697400 api.8..*.._init. + 28f90 000028e6 02230008 5f736875 74646f77 ..(..#.._shutdow + 28fa0 6e000000 28ef0223 04085f72 65676973 n...(..#.._regis + 28fb0 7465725f 63616c6c 6261636b 00000029 ter_callback...) + 28fc0 11022308 085f6765 745f746f 74616c5f ..#.._get_total_ + 28fd0 63726564 69745f63 6f756e74 00000029 credit_count...) + 28fe0 1e02230c 085f7374 61727400 000028ef ..#.._start...(. + 28ff0 02231008 5f636f6e 6669675f 70697065 .#.._config_pipe + 29000 00000029 27022314 085f7365 6e645f62 ...)'.#.._send_b + 29010 75666665 72000000 29340223 18085f72 uffer...)4.#.._r + 29020 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + 29030 00293d02 231c085f 69735f70 6970655f .)=.#.._is_pipe_ + 29040 73757070 6f727465 64000000 294a0223 supported...)J.# + 29050 20085f67 65745f6d 61785f6d 73675f6c ._get_max_msg_l + 29060 656e0000 00294a02 2324085f 6765745f en...)J.#$._get_ + 29070 72657365 72766564 5f686561 64726f6f reserved_headroo + 29080 6d000000 291e0223 28085f69 73725f68 m...)..#(._isr_h + 29090 616e646c 65720000 0028ef02 232c085f andler...(..#,._ + 290a0 6765745f 64656661 756c745f 70697065 get_default_pipe + 290b0 00000029 53022330 08705265 73657276 ...)S.#0.pReserv + 290c0 65640000 00041302 2334000d 646d615f ed......#4..dma_ + 290d0 656e6769 6e650004 00002b33 0e444d41 engine....+3.DMA + 290e0 5f454e47 494e455f 52583000 000e444d _ENGINE_RX0...DM + 290f0 415f454e 47494e45 5f525831 00010e44 A_ENGINE_RX1...D + 29100 4d415f45 4e47494e 455f5258 3200020e MA_ENGINE_RX2... + 29110 444d415f 454e4749 4e455f52 58330003 DMA_ENGINE_RX3.. + 29120 0e444d41 5f454e47 494e455f 54583000 .DMA_ENGINE_TX0. + 29130 040e444d 415f454e 47494e45 5f545831 ..DMA_ENGINE_TX1 + 29140 00050e44 4d415f45 4e47494e 455f4d41 ...DMA_ENGINE_MA + 29150 58000600 09646d61 5f656e67 696e655f X....dma_engine_ + 29160 74000000 2aaa0d64 6d615f69 66747970 t...*..dma_iftyp + 29170 65000400 002b800e 444d415f 49465f47 e....+..DMA_IF_G + 29180 4d414300 000e444d 415f4946 5f504349 MAC...DMA_IF_PCI + 29190 00010e44 4d415f49 465f5043 49450002 ...DMA_IF_PCIE.. + 291a0 0009646d 615f6966 74797065 5f740000 ..dma_iftype_t.. + 291b0 002b4506 000012f8 01030000 2b920400 .+E.........+... + 291c0 02010300 002b9f04 00020103 00002ba8 .....+........+. + 291d0 04000600 00092a01 0300002b b1040006 ......*....+.... + 291e0 000012f8 01030000 2bbe0400 06000012 ........+....... + 291f0 f8010300 002bcb04 00060000 14430103 .....+.......C.. + 29200 00002bd8 04000201 0300002b e5040007 ..+........+.... + 29210 646d615f 6c69625f 61706900 3400002c dma_lib_api.4.., + 29220 ec087478 5f696e69 74000000 2b980223 ..tx_init...+..# + 29230 00087478 5f737461 72740000 002ba102 ..tx_start...+.. + 29240 23040872 785f696e 69740000 002b9802 #..rx_init...+.. + 29250 23080872 785f636f 6e666967 0000002b #..rx_config...+ + 29260 aa02230c 0872785f 73746172 74000000 ..#..rx_start... + 29270 2ba10223 1008696e 74725f73 74617475 +..#..intr_statu + 29280 73000000 2bb70223 14086861 72645f78 s...+..#..hard_x + 29290 6d697400 00002bc4 02231808 666c7573 mit...+..#..flus + 292a0 685f786d 69740000 002ba102 231c0878 h_xmit...+..#..x + 292b0 6d69745f 646f6e65 0000002b d1022320 mit_done...+..# + 292c0 08726561 705f786d 69747465 64000000 .reap_xmitted... + 292d0 2bde0223 24087265 61705f72 65637600 +..#$.reap_recv. + 292e0 00002bde 02232808 72657475 726e5f72 ..+..#(.return_r + 292f0 65637600 00002be7 02232c08 72656376 ecv...+..#,.recv + 29300 5f706b74 0000002b d1022330 00075f5f _pkt...+..#0..__ + 29310 7063695f 736f6674 63000c00 002d0a08 pci_softc....-.. + 29320 73770000 0028f602 23000009 5f5f7063 sw...(..#...__pc + 29330 695f736f 6674635f 74000000 2cec0300 i_softc_t...,... + 29340 002d0a04 00020103 00002d24 04000600 .-........-$.... + 29350 0012e401 0300002d 2d04000d 6869665f .......--...hif_ + 29360 7063695f 70697065 5f747800 0400002d pci_pipe_tx....- + 29370 8d0e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 29380 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 29390 455f5458 3100010e 4849465f 5043495f E_TX1...HIF_PCI_ + 293a0 50495045 5f54585f 4d415800 02000968 PIPE_TX_MAX....h + 293b0 69665f70 63695f70 6970655f 74785f74 if_pci_pipe_tx_t + 293c0 0000002d 3a060000 2b330103 00002da4 ...-:...+3....-. + 293d0 04000d68 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 293e0 72780004 00002e2a 0e484946 5f504349 rx.....*.HIF_PCI + 293f0 5f504950 455f5258 3000000e 4849465f _PIPE_RX0...HIF_ + 29400 5043495f 50495045 5f525831 00010e48 PCI_PIPE_RX1...H + 29410 49465f50 43495f50 4950455f 52583200 IF_PCI_PIPE_RX2. + 29420 020e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 29430 58330003 0e484946 5f504349 5f504950 X3...HIF_PCI_PIP + 29440 455f5258 5f4d4158 00040009 6869665f E_RX_MAX....hif_ + 29450 7063695f 70697065 5f72785f 74000000 pci_pipe_rx_t... + 29460 2db10600 002b3301 0300002e 41040007 -....+3.....A... + 29470 6869665f 7063695f 61706900 2400002f hif_pci_api.$../ + 29480 1f087063 695f626f 6f745f69 6e697400 ..pci_boot_init. + 29490 00000117 02230008 7063695f 696e6974 .....#..pci_init + 294a0 00000028 e6022304 08706369 5f726573 ...(..#..pci_res + 294b0 65740000 00011702 23080870 63695f65 et......#..pci_e + 294c0 6e61626c 65000000 01170223 0c087063 nable......#..pc + 294d0 695f7265 61705f78 6d697474 65640000 i_reap_xmitted.. + 294e0 002d2602 23100870 63695f72 6561705f .-&.#..pci_reap_ + 294f0 72656376 0000002d 26022314 08706369 recv...-&.#..pci + 29500 5f676574 5f706970 65000000 2d330223 _get_pipe...-3.# + 29510 18087063 695f6765 745f7478 5f656e67 ..pci_get_tx_eng + 29520 0000002d aa02231c 08706369 5f676574 ...-..#..pci_get + 29530 5f72785f 656e6700 00002e47 02232000 _rx_eng....G.# . + 29540 07676d61 635f6170 69000400 002f4608 .gmac_api..../F. + 29550 676d6163 5f626f6f 745f696e 69740000 gmac_boot_init.. + 29560 00011702 2300000f 0000032b 0600002f ....#......+.../ + 29570 53100500 075f5f65 74686864 72000e00 S....__ethhdr... + 29580 002f8908 64737400 00002f46 02230008 ./..dst.../F.#.. + 29590 73726300 00002f46 02230608 65747970 src.../F.#..etyp + 295a0 65000000 12f80223 0c00075f 5f617468 e......#...__ath + 295b0 68647200 0400002f d7157265 73000000 hdr..../..res... + 295c0 12e40100 02022300 1570726f 746f0000 ......#..proto.. + 295d0 0012e401 02060223 00087265 735f6c6f .......#..res_lo + 295e0 00000012 e4022301 08726573 5f686900 ......#..res_hi. + 295f0 000012f8 02230200 075f5f67 6d61635f .....#...__gmac_ + 29600 68647200 14000030 13086574 68000000 hdr....0..eth... + 29610 2f530223 00086174 68000000 2f890223 /S.#..ath.../..# + 29620 0e08616c 69676e5f 70616400 000012f8 ..align_pad..... + 29630 02231200 095f5f67 6d61635f 6864725f .#...__gmac_hdr_ + 29640 74000000 2fd7075f 5f676d61 635f736f t.../..__gmac_so + 29650 66746300 24000030 5d086864 72000000 ftc.$..0].hdr... + 29660 30130223 00086772 616e0000 0012f802 0..#..gran...... + 29670 23140873 77000000 28f60223 1800075f #..sw...(..#..._ + 29680 415f6f73 5f6c696e 6b616765 5f636865 A_os_linkage_che + 29690 636b0008 00003096 08766572 73696f6e ck....0..version + 296a0 00000001 1e022300 08746162 6c650000 ......#..table.. + 296b0 00011e02 23040003 0000305d 04000600 ....#.....0].... + 296c0 00011e01 03000030 9d040003 00000416 .......0........ + 296d0 0400175f 415f636d 6e6f735f 696e6469 ..._A_cmnos_indi + 296e0 72656374 696f6e5f 7461626c 650001b8 rection_table... + 296f0 000031ed 0868616c 5f6c696e 6b616765 ..1..hal_linkage + 29700 5f636865 636b0000 0030a302 23000873 _check...0..#..s + 29710 74617274 5f627373 00000030 aa022304 tart_bss...0..#. + 29720 08617070 5f737461 72740000 00011702 .app_start...... + 29730 2308086d 656d0000 00045602 230c086d #..mem....V.#..m + 29740 69736300 00000575 02232008 7072696e isc....u.# .prin + 29750 74660000 00014b02 23440875 61727400 tf....K.#D.uart. + 29760 00000214 02234c08 676d6163 0000002f .....#L.gmac.../ + 29770 1f02236c 08757362 0000000f a4022370 ..#l.usb......#p + 29780 08636c6f 636b0000 000aed03 23e00108 .clock......#... + 29790 74696d65 72000000 078d0323 84020869 timer......#...i + 297a0 6e747200 00000c3d 03239802 08616c6c ntr....=.#...all + 297b0 6f637261 6d000000 09450323 c4020872 ocram....E.#...r + 297c0 6f6d7000 00000837 0323d002 08776474 omp....7.#...wdt + 297d0 5f74696d 65720000 000e1a03 23e00208 _timer......#... + 297e0 65657000 00000f48 0323fc02 08737472 eep....H.#...str + 297f0 696e6700 00000699 03238c03 08746173 ing......#...tas + 29800 6b6c6574 0000000a 420323a4 0300075f klet....B.#...._ + 29810 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 29820 10000032 60086765 745f636f 6d6d616e ...2`.get_comman + 29830 645f6275 66000000 14500223 00087265 d_buf....P.#..re + 29840 63765f63 6f6d6d61 6e640000 00146602 cv_command....f. + 29850 23040867 65745f65 76656e74 5f627566 #..get_event_buf + 29860 00000014 50022308 0873656e 645f6576 ....P.#..send_ev + 29870 656e745f 646f6e65 00000014 6602230c ent_done....f.#. + 29880 00095553 425f4649 464f5f43 4f4e4649 ..USB_FIFO_CONFI + 29890 47000000 31ed0300 00326004 00020103 G...1....2`..... + 298a0 0000327c 04000775 73626669 666f5f61 ..2|...usbfifo_a + 298b0 7069000c 000032d2 085f696e 69740000 pi....2.._init.. + 298c0 00327e02 2300085f 656e6162 6c655f65 .2~.#.._enable_e + 298d0 76656e74 5f697372 00000001 17022304 vent_isr......#. + 298e0 08705265 73657276 65640000 00041302 .pReserved...... + 298f0 2308000f 0000168a 02000032 df100100 #..........2.... + 29900 075f4854 435f4652 414d455f 48445200 ._HTC_FRAME_HDR. + 29910 08000033 5108456e 64706f69 6e744944 ...3Q.EndpointID + 29920 00000016 8a022300 08466c61 67730000 ......#..Flags.. + 29930 00168a02 23010850 61796c6f 61644c65 ....#..PayloadLe + 29940 6e000000 1cb20223 0208436f 6e74726f n......#..Contro + 29950 6c427974 65730000 0032d202 23040848 lBytes...2..#..H + 29960 6f737453 65714e75 6d000000 1cb20223 ostSeqNum......# + 29970 06001202 0000336a 084d6573 73616765 ......3j.Message + 29980 49440000 001cb202 23000012 08000033 ID......#......3 + 29990 cd084d65 73736167 65494400 00001cb2 ..MessageID..... + 299a0 02230008 43726564 6974436f 756e7400 .#..CreditCount. + 299b0 00001cb2 02230208 43726564 69745369 .....#..CreditSi + 299c0 7a650000 001cb202 2304084d 6178456e ze......#..MaxEn + 299d0 64706f69 6e747300 0000168a 02230608 dpoints......#.. + 299e0 5f506164 31000000 168a0223 0700120a _Pad1......#.... + 299f0 00003464 084d6573 73616765 49440000 ..4d.MessageID.. + 29a00 001cb202 23000853 65727669 63654944 ....#..ServiceID + 29a10 0000001c b2022302 08436f6e 6e656374 ......#..Connect + 29a20 696f6e46 6c616773 0000001c b2022304 ionFlags......#. + 29a30 08446f77 6e4c696e 6b506970 65494400 .DownLinkPipeID. + 29a40 0000168a 02230608 55704c69 6e6b5069 .....#..UpLinkPi + 29a50 70654944 00000016 8a022307 08536572 peID......#..Ser + 29a60 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 29a70 00168a02 2308085f 50616431 00000016 ....#.._Pad1.... + 29a80 8a022309 00120a00 0034ec08 4d657373 ..#......4..Mess + 29a90 61676549 44000000 1cb20223 00085365 ageID......#..Se + 29aa0 72766963 65494400 00001cb2 02230208 rviceID......#.. + 29ab0 53746174 75730000 00168a02 23040845 Status......#..E + 29ac0 6e64706f 696e7449 44000000 168a0223 ndpointID......# + 29ad0 05084d61 784d7367 53697a65 0000001c ..MaxMsgSize.... + 29ae0 b2022306 08536572 76696365 4d657461 ..#..ServiceMeta + 29af0 4c656e67 74680000 00168a02 2308085f Length......#.._ + 29b00 50616431 00000016 8a022309 00120200 Pad1......#..... + 29b10 00350508 4d657373 61676549 44000000 .5..MessageID... + 29b20 1cb20223 00001204 00003541 084d6573 ...#......5A.Mes + 29b30 73616765 49440000 001cb202 23000850 sageID......#..P + 29b40 69706549 44000000 168a0223 02084372 ipeID......#..Cr + 29b50 65646974 436f756e 74000000 168a0223 editCount......# + 29b60 03001204 00003578 084d6573 73616765 ......5x.Message + 29b70 49440000 001cb202 23000850 69706549 ID......#..PipeI + 29b80 44000000 168a0223 02085374 61747573 D......#..Status + 29b90 00000016 8a022303 00120200 00359f08 ......#......5.. + 29ba0 5265636f 72644944 00000016 8a022300 RecordID......#. + 29bb0 084c656e 67746800 0000168a 02230100 .Length......#.. + 29bc0 12020000 35c90845 6e64706f 696e7449 ....5..EndpointI + 29bd0 44000000 168a0223 00084372 65646974 D......#..Credit + 29be0 73000000 168a0223 01001204 0000360a s......#......6. + 29bf0 08456e64 706f696e 74494400 0000168a .EndpointID..... + 29c00 02230008 43726564 69747300 0000168a .#..Credits..... + 29c10 02230108 54677443 72656469 74536571 .#..TgtCreditSeq + 29c20 4e6f0000 001cb202 2302000f 0000168a No......#....... + 29c30 04000036 17100300 12060000 36530850 ...6........6S.P + 29c40 72655661 6c696400 0000168a 02230008 reValid......#.. + 29c50 4c6f6f6b 41686561 64000000 360a0223 LookAhead...6..# + 29c60 0108506f 73745661 6c696400 0000168a ..PostValid..... + 29c70 02230500 09706f6f 6c5f6861 6e646c65 .#...pool_handle + 29c80 5f740000 00041306 00003653 01030000 _t........6S.... + 29c90 36660400 02010300 00367304 00140400 6f.......6s..... + 29ca0 0036f10e 504f4f4c 5f49445f 4854435f .6..POOL_ID_HTC_ + 29cb0 434f4e54 524f4c00 000e504f 4f4c5f49 CONTROL...POOL_I + 29cc0 445f574d 495f5356 435f434d 445f5245 D_WMI_SVC_CMD_RE + 29cd0 504c5900 010e504f 4f4c5f49 445f574d PLY...POOL_ID_WM + 29ce0 495f5356 435f4556 454e5400 020e504f I_SVC_EVENT...PO + 29cf0 4f4c5f49 445f574c 414e5f52 585f4255 OL_ID_WLAN_RX_BU + 29d00 4600030e 504f4f4c 5f49445f 4d415800 F...POOL_ID_MAX. + 29d10 0a000942 55465f50 4f4f4c5f 49440000 ...BUF_POOL_ID.. + 29d20 00367c02 01030000 37020400 06000026 .6|.....7......& + 29d30 4f010300 00370b04 00060000 264f0103 O....7......&O.. + 29d40 00003718 04000201 03000037 25040007 ..7........7%... + 29d50 6275665f 706f6f6c 5f617069 001c0000 buf_pool_api.... + 29d60 37c7085f 696e6974 00000036 6c022300 7.._init...6l.#. + 29d70 085f7368 7574646f 776e0000 00367502 ._shutdown...6u. + 29d80 2304085f 63726561 74655f70 6f6f6c00 #.._create_pool. + 29d90 00003704 02230808 5f616c6c 6f635f62 ..7..#.._alloc_b + 29da0 75660000 00371102 230c085f 616c6c6f uf...7..#.._allo + 29db0 635f6275 665f616c 69676e00 0000371e c_buf_align...7. + 29dc0 02231008 5f667265 655f6275 66000000 .#.._free_buf... + 29dd0 37270223 14087052 65736572 76656400 7'.#..pReserved. + 29de0 00000413 02231800 075f4854 435f5345 .....#..._HTC_SE + 29df0 52564943 45001c00 0038a608 704e6578 RVICE....8..pNex + 29e00 74000000 38a60223 00085072 6f636573 t...8..#..Proces + 29e10 73526563 764d7367 00000039 5b022304 sRecvMsg...9[.#. + 29e20 0850726f 63657373 53656e64 42756666 .ProcessSendBuff + 29e30 6572436f 6d706c65 74650000 00396402 erComplete...9d. + 29e40 23080850 726f6365 7373436f 6e6e6563 #..ProcessConnec + 29e50 74000000 39780223 0c085365 72766963 t...9x.#..Servic + 29e60 65494400 000012f8 02231008 53657276 eID......#..Serv + 29e70 69636546 6c616773 00000012 f8022312 iceFlags......#. + 29e80 084d6178 5376634d 73675369 7a650000 .MaxSvcMsgSize.. + 29e90 0012f802 23140854 7261696c 65725370 ....#..TrailerSp + 29ea0 63436865 636b4c69 6d697400 000012f8 cCheckLimit..... + 29eb0 02231608 53657276 69636543 74780000 .#..ServiceCtx.. + 29ec0 00041302 23180003 000037c7 04001404 ....#.....7..... + 29ed0 00003944 19454e44 504f494e 545f554e ..9D.ENDPOINT_UN + 29ee0 55534544 00ffffff ff0e454e 44504f49 USED......ENDPOI + 29ef0 4e543000 000e454e 44504f49 4e543100 NT0...ENDPOINT1. + 29f00 010e454e 44504f49 4e543200 020e454e ..ENDPOINT2...EN + 29f10 44504f49 4e543300 030e454e 44504f49 DPOINT3...ENDPOI + 29f20 4e543400 040e454e 44504f49 4e543500 NT4...ENDPOINT5. + 29f30 050e454e 44504f49 4e543600 060e454e ..ENDPOINT6...EN + 29f40 44504f49 4e543700 070e454e 44504f49 DPOINT7...ENDPOI + 29f50 4e543800 080e454e 44504f49 4e545f4d NT8...ENDPOINT_M + 29f60 41580016 00094854 435f454e 44504f49 AX....HTC_ENDPOI + 29f70 4e545f49 44000000 38ad0201 03000039 NT_ID...8......9 + 29f80 59040002 01030000 39620400 03000001 Y.......9b...... + 29f90 1e040006 000012e4 01030000 39720400 ............9r.. + 29fa0 03000037 c7040007 5f485443 5f434f4e ...7...._HTC_CON + 29fb0 46494700 14000039 f7084372 65646974 FIG....9..Credit + 29fc0 53697a65 00000001 1e022300 08437265 Size......#..Cre + 29fd0 6469744e 756d6265 72000000 011e0223 ditNumber......# + 29fe0 04084f53 48616e64 6c650000 001a4e02 ..OSHandle....N. + 29ff0 23080848 49464861 6e646c65 00000028 #..HIFHandle...( + 2a000 b702230c 08506f6f 6c48616e 646c6500 ..#..PoolHandle. + 2a010 00003653 02231000 075f4854 435f4255 ..6S.#..._HTC_BU + 2a020 465f434f 4e544558 54000200 003a3308 F_CONTEXT....:3. + 2a030 656e645f 706f696e 74000000 12e40223 end_point......# + 2a040 00086874 635f666c 61677300 000012e4 ..htc_flags..... + 2a050 02230100 09687463 5f68616e 646c655f .#...htc_handle_ + 2a060 74000000 04130948 54435f53 45545550 t......HTC_SETUP + 2a070 5f434f4d 504c4554 455f4342 00000001 _COMPLETE_CB.... + 2a080 17094854 435f434f 4e464947 00000039 ..HTC_CONFIG...9 + 2a090 86030000 3a600400 0600003a 33010300 ....:`.....:3... + 2a0a0 003a7704 00020103 00003a84 04000948 .:w.......:....H + 2a0b0 54435f53 45525649 43450000 0037c703 TC_SERVICE...7.. + 2a0c0 00003a8d 04000201 0300003a a5040002 ..:........:.... + 2a0d0 01030000 3aae0400 02010300 003ab704 ....:........:.. + 2a0e0 00060000 011e0103 00003ac0 04000768 ..........:....h + 2a0f0 74635f61 70697300 3400003c 3d085f48 tc_apis.4..<=._H + 2a100 54435f49 6e697400 00003a7d 02230008 TC_Init...:}.#.. + 2a110 5f485443 5f536875 74646f77 6e000000 _HTC_Shutdown... + 2a120 3a860223 04085f48 54435f52 65676973 :..#.._HTC_Regis + 2a130 74657253 65727669 63650000 003aa702 terService...:.. + 2a140 2308085f 4854435f 52656164 79000000 #.._HTC_Ready... + 2a150 3a860223 0c085f48 54435f52 65747572 :..#.._HTC_Retur + 2a160 6e427566 66657273 0000003a b0022310 nBuffers...:..#. + 2a170 085f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 2a180 6572734c 69737400 00003ab9 02231408 ersList...:..#.. + 2a190 5f485443 5f53656e 644d7367 0000003a _HTC_SendMsg...: + 2a1a0 b0022318 085f4854 435f4765 74526573 ..#.._HTC_GetRes + 2a1b0 65727665 64486561 64726f6f 6d000000 ervedHeadroom... + 2a1c0 3ac60223 1c085f48 54435f4d 73675265 :..#.._HTC_MsgRe + 2a1d0 63764861 6e646c65 72000000 28640223 cvHandler...(d.# + 2a1e0 20085f48 54435f53 656e6444 6f6e6548 ._HTC_SendDoneH + 2a1f0 616e646c 65720000 00285b02 2324085f andler...([.#$._ + 2a200 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 2a210 6f636573 734d7367 00000039 5b022328 ocessMsg...9[.#( + 2a220 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 2a230 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl + 2a240 65746500 00003964 02232c08 70526573 ete...9d.#,.pRes + 2a250 65727665 64000000 04130223 30000768 erved......#0..h + 2a260 6f73745f 6170705f 61726561 5f730004 ost_app_area_s.. + 2a270 00003c6d 08776d69 5f70726f 746f636f ...# + 2b130 04000473 686f7274 20756e73 69676e65 ...short unsigne + 2b140 6420696e 74000702 0975696e 7431365f d int....uint16_ + 2b150 74000000 017c046c 6f6e6720 756e7369 t....|.long unsi + 2b160 676e6564 20696e74 00070409 75696e74 gned int....uint + 2b170 33325f74 00000001 a0077561 72745f66 32_t......uart_f + 2b180 69666f00 08000002 0e087374 6172745f ifo.......start_ + 2b190 696e6465 78000000 01920223 0008656e index......#..en + 2b1a0 645f696e 64657800 00000192 02230208 d_index......#.. + 2b1b0 6f766572 72756e5f 65727200 000001b5 overrun_err..... + 2b1c0 02230400 07756172 745f6170 69002000 .#...uart_api. . + 2b1d0 0002c708 5f756172 745f696e 69740000 ...._uart_init.. + 2b1e0 00031e02 2300085f 75617274 5f636861 ....#.._uart_cha + 2b1f0 725f7075 74000000 03450223 04085f75 r_put....E.#.._u + 2b200 6172745f 63686172 5f676574 00000003 art_char_get.... + 2b210 59022308 085f7561 72745f73 74725f6f Y.#.._uart_str_o + 2b220 75740000 00036202 230c085f 75617274 ut....b.#.._uart + 2b230 5f746173 6b000000 01110223 10085f75 _task......#.._u + 2b240 6172745f 73746174 75730000 00031e02 art_status...... + 2b250 2314085f 75617274 5f636f6e 66696700 #.._uart_config. + 2b260 0000036b 02231808 5f756172 745f6877 ...k.#.._uart_hw + 2b270 696e6974 00000003 7402231c 00030000 init....t.#..... + 2b280 020e0400 07756172 745f626c 6b001000 .....uart_blk... + 2b290 00031808 64656275 675f6d6f 64650000 ....debug_mode.. + 2b2a0 00019202 23000862 61756400 00000192 ....#..baud..... + 2b2b0 02230208 5f756172 74000000 02c70223 .#.._uart......# + 2b2c0 04085f74 78000000 01c30223 08000600 .._tx......#.... + 2b2d0 0001b501 03000003 18040004 756e7369 ............unsi + 2b2e0 676e6564 20636861 72000701 0975696e gned char....uin + 2b2f0 74385f74 00000003 25020103 00000343 t8_t....%......C + 2b300 04000300 00033604 00060000 01920103 ......6......... + 2b310 00000353 04000201 03000003 60040002 ...S........`... + 2b320 01030000 03690400 02010300 00037204 .....i........r. + 2b330 00030000 011f0400 06000001 18010300 ................ + 2b340 00038204 00074442 5f434f4d 4d414e44 ......DB_COMMAND + 2b350 5f535452 55435400 0c000003 da08636d _STRUCT.......cm + 2b360 645f7374 72000000 037b0223 00086865 d_str....{.#..he + 2b370 6c705f73 74720000 00037b02 23040863 lp_str....{.#..c + 2b380 6d645f66 756e6300 00000388 02230800 md_func......#.. + 2b390 07646267 5f617069 00080000 040d085f .dbg_api......._ + 2b3a0 6462675f 696e6974 00000001 11022300 dbg_init......#. + 2b3b0 085f6462 675f7461 736b0000 00011102 ._dbg_task...... + 2b3c0 2304000a 04000475 6e736967 6e656420 #......unsigned + 2b3d0 696e7400 07040600 00040d01 03000004 int............. + 2b3e0 2004000b 0b030000 042e0400 06000004 ............... + 2b3f0 0d010300 00043604 00060000 01180103 ......6......... + 2b400 00000443 0400076d 656d5f61 70690014 ...C...mem_api.. + 2b410 000004b2 085f6d65 6d5f696e 69740000 ....._mem_init.. + 2b420 00011102 2300085f 6d656d73 65740000 ....#.._memset.. + 2b430 00042602 2304085f 6d656d63 70790000 ..&.#.._memcpy.. + 2b440 00043c02 2308085f 6d656d6d 6f766500 ..<.#.._memmove. + 2b450 0000043c 02230c08 5f6d656d 636d7000 ...<.#.._memcmp. + 2b460 00000449 02231000 0c726567 69737465 ...I.#...registe + 2b470 725f6475 6d705f73 00000103 000004b2 r_dump_s........ + 2b480 04000201 03000004 cc040002 01030000 ................ + 2b490 04d50400 06000001 18010300 0004de04 ................ + 2b4a0 000d686f 73746966 5f730004 0000053a ..hostif_s.....: + 2b4b0 0e484946 5f555342 00000e48 49465f50 .HIF_USB...HIF_P + 2b4c0 43494500 010e4849 465f474d 41430002 CIE...HIF_GMAC.. + 2b4d0 0e484946 5f504349 00030e48 49465f4e .HIF_PCI...HIF_N + 2b4e0 554d0004 0e484946 5f4e4f4e 45000500 UM...HIF_NONE... + 2b4f0 09415f48 4f535449 46000000 04eb0600 .A_HOSTIF....... + 2b500 00053a01 03000005 48040006 00000336 ..:.....H......6 + 2b510 01030000 05550400 06000001 92010300 .....U.......... + 2b520 00056204 00076d69 73635f61 70690024 ..b...misc_api.$ + 2b530 00000652 085f7379 7374656d 5f726573 ...R._system_res + 2b540 65740000 00011102 2300085f 6d61635f et......#.._mac_ + 2b550 72657365 74000000 01110223 04085f61 reset......#.._a + 2b560 73736661 696c0000 0004ce02 2308085f ssfail......#.._ + 2b570 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 2b580 68616e64 6c657200 000004ce 02230c08 handler......#.. + 2b590 5f726570 6f72745f 6661696c 7572655f _report_failure_ + 2b5a0 746f5f68 6f737400 000004d7 02231008 to_host......#.. + 2b5b0 5f746172 6765745f 69645f67 65740000 _target_id_get.. + 2b5c0 0004e402 2314085f 69735f68 6f73745f ....#.._is_host_ + 2b5d0 70726573 656e7400 0000054e 02231808 present....N.#.. + 2b5e0 5f6b6268 69740000 00055b02 231c085f _kbhit....[.#.._ + 2b5f0 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. + 2b600 00000568 02232000 06000003 7b010300 ...h.# .....{... + 2b610 00065204 00060000 037b0103 0000065f ..R......{....._ + 2b620 04000600 00011801 03000006 6c040006 ............l... + 2b630 00000118 01030000 06790400 06000001 .........y...... + 2b640 18010300 00068604 00077374 72696e67 ..........string + 2b650 5f617069 00180000 070c085f 73747269 _api......._stri + 2b660 6e675f69 6e697400 00000111 02230008 ng_init......#.. + 2b670 5f737472 63707900 00000658 02230408 _strcpy....X.#.. + 2b680 5f737472 6e637079 00000006 65022308 _strncpy....e.#. + 2b690 085f7374 726c656e 00000006 7202230c ._strlen....r.#. + 2b6a0 085f7374 72636d70 00000006 7f022310 ._strcmp......#. + 2b6b0 085f7374 726e636d 70000000 068c0223 ._strncmp......# + 2b6c0 14000f00 00041014 00000719 10040009 ................ + 2b6d0 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. + 2b6e0 00070c09 415f7469 6d65725f 74000000 ....A_timer_t... + 2b6f0 07190300 00072d04 00020103 00000743 ......-........C + 2b700 04000201 03000007 4c040009 415f4841 ........L...A_HA + 2b710 4e444c45 00000004 10020109 415f5449 NDLE........A_TI + 2b720 4d45525f 46554e43 00000007 63030000 MER_FUNC....c... + 2b730 07650400 02010300 00077e04 00077469 .e........~...ti + 2b740 6d65725f 61706900 14000007 fd085f74 mer_api......._t + 2b750 696d6572 5f696e69 74000000 01110223 imer_init......# + 2b760 00085f74 696d6572 5f61726d 00000007 .._timer_arm.... + 2b770 45022304 085f7469 6d65725f 64697361 E.#.._timer_disa + 2b780 726d0000 00074e02 2308085f 74696d65 rm....N.#.._time + 2b790 725f7365 74666e00 00000780 02230c08 r_setfn......#.. + 2b7a0 5f74696d 65725f72 756e0000 00011102 _timer_run...... + 2b7b0 23100009 424f4f4c 45414e00 00000192 #...BOOLEAN..... + 2b7c0 06000007 fd010300 00080a04 00060000 ................ + 2b7d0 07fd0103 00000817 04000600 0007fd01 ................ + 2b7e0 03000008 24040007 726f6d70 5f617069 ....$...romp_api + 2b7f0 00100000 0896085f 726f6d70 5f696e69 ......._romp_ini + 2b800 74000000 01110223 00085f72 6f6d705f t......#.._romp_ + 2b810 646f776e 6c6f6164 00000008 10022304 download......#. + 2b820 085f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. + 2b830 00081d02 2308085f 726f6d70 5f646563 ....#.._romp_dec + 2b840 6f646500 0000082a 02230c00 07726f6d ode....*.#...rom + 2b850 5f706174 63685f73 74001000 0008f208 _patch_st....... + 2b860 63726331 36000000 01920223 00086c65 crc16......#..le + 2b870 6e000000 01920223 02086c64 5f616464 n......#..ld_add + 2b880 72000000 01b50223 04086675 6e5f6164 r......#..fun_ad + 2b890 64720000 0001b502 23080870 66756e00 dr......#..pfun. + 2b8a0 0000034c 02230c00 07656570 5f726564 ...L.#...eep_red + 2b8b0 69725f61 64647200 04000009 24086f66 ir_addr.....$.of + 2b8c0 66736574 00000001 92022300 0873697a fset......#..siz + 2b8d0 65000000 01920223 02000941 5f55494e e......#...A_UIN + 2b8e0 54333200 00000410 06000004 0d010300 T32............. + 2b8f0 00093204 0007616c 6c6f6372 616d5f61 ..2...allocram_a + 2b900 7069000c 000009a3 08636d6e 6f735f61 pi.......cmnos_a + 2b910 6c6c6f63 72616d5f 696e6974 00000009 llocram_init.... + 2b920 38022300 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc + 2b930 72616d00 00000938 02230408 636d6e6f ram....8.#..cmno + 2b940 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 2b950 00000001 11022308 00020103 000009a3 ......#......... + 2b960 04000941 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 2b970 43000000 09a5075f 7461736b 6c657400 C......_tasklet. + 2b980 1000000a 04086675 6e630000 0009ac02 ......func...... + 2b990 23000861 72670000 00040d02 23040873 #..arg......#..s + 2b9a0 74617465 00000001 18022308 086e6578 tate......#..nex + 2b9b0 74000000 0a040223 0c000300 0009c004 t......#........ + 2b9c0 00030000 09c00400 09415f74 61736b6c .........A_taskl + 2b9d0 65745f74 00000009 c0030000 0a120400 et_t............ + 2b9e0 02010300 000a2a04 00020103 00000a33 ......*........3 + 2b9f0 04000774 61736b6c 65745f61 70690014 ...tasklet_api.. + 2ba00 00000ac8 085f7461 736b6c65 745f696e ....._tasklet_in + 2ba10 69740000 00011102 2300085f 7461736b it......#.._task + 2ba20 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 2ba30 0a2c0223 04085f74 61736b6c 65745f64 .,.#.._tasklet_d + 2ba40 69736162 6c650000 000a3502 2308085f isable....5.#.._ + 2ba50 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 2ba60 0000000a 3502230c 085f7461 736b6c65 ....5.#.._taskle + 2ba70 745f7275 6e000000 01110223 10000201 t_run......#.... + 2ba80 0300000a c8040006 00000924 01030000 ...........$.... + 2ba90 0ad10400 02010300 000ade04 0007636c ..............cl + 2baa0 6f636b5f 61706900 2400000b c0085f63 ock_api.$....._c + 2bab0 6c6f636b 5f696e69 74000000 0aca0223 lock_init......# + 2bac0 00085f63 6c6f636b 72656773 5f696e69 .._clockregs_ini + 2bad0 74000000 01110223 04085f75 6172745f t......#.._uart_ + 2bae0 66726571 75656e63 79000000 0ad70223 frequency......# + 2baf0 08085f64 656c6179 5f757300 00000ae0 .._delay_us..... + 2bb00 02230c08 5f776c61 6e5f6261 6e645f73 .#.._wlan_band_s + 2bb10 65740000 000ae002 2310085f 72656663 et......#.._refc + 2bb20 6c6b5f73 70656564 5f676574 0000000a lk_speed_get.... + 2bb30 d7022314 085f6d69 6c6c6973 65636f6e ..#.._millisecon + 2bb40 64730000 000ad702 2318085f 73797363 ds......#.._sysc + 2bb50 6c6b5f63 68616e67 65000000 01110223 lk_change......# + 2bb60 1c085f63 6c6f636b 5f746963 6b000000 .._clock_tick... + 2bb70 01110223 20000600 0001b501 0300000b ...# ........... + 2bb80 c0040009 415f6f6c 645f696e 74725f74 ....A_old_intr_t + 2bb90 00000001 b5060000 0bcd0103 00000bdf ................ + 2bba0 04000201 0300000b ec040002 01030000 ................ + 2bbb0 0bf50400 06000001 b5010300 000bfe04 ................ + 2bbc0 0009415f 6973725f 74000000 0c040201 ..A_isr_t....... + 2bbd0 0300000c 18040006 00000410 01030000 ................ + 2bbe0 0c210400 02010300 000c2e04 0007696e .!............in + 2bbf0 74725f61 7069002c 00000d50 085f696e tr_api.,...P._in + 2bc00 74725f69 6e697400 00000111 02230008 tr_init......#.. + 2bc10 5f696e74 725f696e 766f6b65 5f697372 _intr_invoke_isr + 2bc20 0000000b c6022304 085f696e 74725f64 ......#.._intr_d + 2bc30 69736162 6c650000 000be502 2308085f isable......#.._ + 2bc40 696e7472 5f726573 746f7265 0000000b intr_restore.... + 2bc50 ee02230c 085f696e 74725f6d 61736b5f ..#.._intr_mask_ + 2bc60 696e756d 0000000b f7022310 085f696e inum......#.._in + 2bc70 74725f75 6e6d6173 6b5f696e 756d0000 tr_unmask_inum.. + 2bc80 000bf702 2314085f 696e7472 5f617474 ....#.._intr_att + 2bc90 6163685f 69737200 00000c1a 02231808 ach_isr......#.. + 2bca0 5f676574 5f696e74 72656e61 626c6500 _get_intrenable. + 2bcb0 00000c27 02231c08 5f736574 5f696e74 ...'.#.._set_int + 2bcc0 72656e61 626c6500 00000c30 02232008 renable....0.# . + 2bcd0 5f676574 5f696e74 7270656e 64696e67 _get_intrpending + 2bce0 0000000c 27022324 085f756e 626c6f63 ....'.#$._unbloc + 2bcf0 6b5f616c 6c5f696e 74726c76 6c000000 k_all_intrlvl... + 2bd00 01110223 28001104 00000d76 0874696d ...#(......v.tim + 2bd10 656f7574 00000001 b5022300 08616374 eout......#..act + 2bd20 696f6e00 000001b5 02230000 12080000 ion......#...... + 2bd30 0d910863 6d640000 0001b502 23001300 ...cmd......#... + 2bd40 000d5002 23040009 545f5744 545f434d ..P.#...T_WDT_CM + 2bd50 44000000 0d760201 0300000d a0040014 D....v.......... + 2bd60 0400000d f60e454e 554d5f57 44545f42 ......ENUM_WDT_B + 2bd70 4f4f5400 010e454e 554d5f43 4f4c445f OOT...ENUM_COLD_ + 2bd80 424f4f54 00020e45 4e554d5f 53555350 BOOT...ENUM_SUSP + 2bd90 5f424f4f 5400030e 454e554d 5f554e4b _BOOT...ENUM_UNK + 2bda0 4e4f574e 5f424f4f 54000400 09545f42 NOWN_BOOT....T_B + 2bdb0 4f4f545f 54595045 0000000d a9060000 OOT_TYPE........ + 2bdc0 0df60103 00000e07 04000777 64745f61 ...........wdt_a + 2bdd0 7069001c 00000eab 085f7764 745f696e pi......._wdt_in + 2bde0 69740000 00011102 2300085f 7764745f it......#.._wdt_ + 2bdf0 656e6162 6c650000 00011102 2304085f enable......#.._ + 2be00 7764745f 64697361 626c6500 00000111 wdt_disable..... + 2be10 02230808 5f776474 5f736574 0000000d .#.._wdt_set.... + 2be20 a202230c 085f7764 745f7461 736b0000 ..#.._wdt_task.. + 2be30 00011102 2310085f 7764745f 72657365 ....#.._wdt_rese + 2be40 74000000 01110223 14085f77 64745f6c t......#.._wdt_l + 2be50 6173745f 626f6f74 0000000e 0d022318 ast_boot......#. + 2be60 00140400 000f120e 5245545f 53554343 ........RET_SUCC + 2be70 45535300 000e5245 545f4e4f 545f494e ESS...RET_NOT_IN + 2be80 49540001 0e524554 5f4e4f54 5f455849 IT...RET_NOT_EXI + 2be90 53540002 0e524554 5f454550 5f434f52 ST...RET_EEP_COR + 2bea0 52555054 00030e52 45545f45 45505f4f RUPT...RET_EEP_O + 2beb0 56455246 4c4f5700 040e5245 545f554e VERFLOW...RET_UN + 2bec0 4b4e4f57 4e000500 09545f45 45505f52 KNOWN....T_EEP_R + 2bed0 45540000 000eab03 00000192 04000600 ET.............. + 2bee0 000f1201 0300000f 28040006 00000f12 ........(....... + 2bef0 01030000 0f350400 07656570 5f617069 .....5...eep_api + 2bf00 00100000 0f9e085f 6565705f 696e6974 ......._eep_init + 2bf10 00000001 11022300 085f6565 705f7265 ......#.._eep_re + 2bf20 61640000 000f2e02 2304085f 6565705f ad......#.._eep_ + 2bf30 77726974 65000000 0f2e0223 08085f65 write......#.._e + 2bf40 65705f69 735f6578 69737400 00000f3b ep_is_exist....; + 2bf50 02230c00 07757362 5f617069 00700000 .#...usb_api.p.. + 2bf60 124b085f 7573625f 696e6974 00000001 .K._usb_init.... + 2bf70 11022300 085f7573 625f726f 6d5f7461 ..#.._usb_rom_ta + 2bf80 736b0000 00011102 2304085f 7573625f sk......#.._usb_ + 2bf90 66775f74 61736b00 00000111 02230808 fw_task......#.. + 2bfa0 5f757362 5f696e69 745f7068 79000000 _usb_init_phy... + 2bfb0 01110223 0c085f75 73625f65 70305f73 ...#.._usb_ep0_s + 2bfc0 65747570 00000001 11022310 085f7573 etup......#.._us + 2bfd0 625f6570 305f7478 00000001 11022314 b_ep0_tx......#. + 2bfe0 085f7573 625f6570 305f7278 00000001 ._usb_ep0_rx.... + 2bff0 11022318 085f7573 625f6765 745f696e ..#.._usb_get_in + 2c000 74657266 61636500 0000081d 02231c08 terface......#.. + 2c010 5f757362 5f736574 5f696e74 65726661 _usb_set_interfa + 2c020 63650000 00081d02 2320085f 7573625f ce......# ._usb_ + 2c030 6765745f 636f6e66 69677572 6174696f get_configuratio + 2c040 6e000000 081d0223 24085f75 73625f73 n......#$._usb_s + 2c050 65745f63 6f6e6669 67757261 74696f6e et_configuration + 2c060 00000008 1d022328 085f7573 625f7374 ......#(._usb_st + 2c070 616e6461 72645f63 6d640000 00081d02 andard_cmd...... + 2c080 232c085f 7573625f 76656e64 6f725f63 #,._usb_vendor_c + 2c090 6d640000 00011102 2330085f 7573625f md......#0._usb_ + 2c0a0 706f7765 725f6f66 66000000 01110223 power_off......# + 2c0b0 34085f75 73625f72 65736574 5f666966 4._usb_reset_fif + 2c0c0 6f000000 01110223 38085f75 73625f67 o......#8._usb_g + 2c0d0 656e5f77 64740000 00011102 233c085f en_wdt......#<._ + 2c0e0 7573625f 6a756d70 5f626f6f 74000000 usb_jump_boot... + 2c0f0 01110223 40085f75 73625f63 6c725f66 ...#@._usb_clr_f + 2c100 65617475 72650000 00081d02 2344085f eature......#D._ + 2c110 7573625f 7365745f 66656174 75726500 usb_set_feature. + 2c120 0000081d 02234808 5f757362 5f736574 .....#H._usb_set + 2c130 5f616464 72657373 00000008 1d02234c _address......#L + 2c140 085f7573 625f6765 745f6465 73637269 ._usb_get_descri + 2c150 70746f72 00000008 1d022350 085f7573 ptor......#P._us + 2c160 625f6765 745f7374 61747573 00000008 b_get_status.... + 2c170 1d022354 085f7573 625f7365 7475705f ..#T._usb_setup_ + 2c180 64657363 00000001 11022358 085f7573 desc......#X._us + 2c190 625f7265 675f6f75 74000000 01110223 b_reg_out......# + 2c1a0 5c085f75 73625f73 74617475 735f696e \._usb_status_in + 2c1b0 00000001 11022360 085f7573 625f6570 ......#`._usb_ep + 2c1c0 305f7478 5f646174 61000000 01110223 0_tx_data......# + 2c1d0 64085f75 73625f65 70305f72 785f6461 d._usb_ep0_rx_da + 2c1e0 74610000 00011102 2368085f 7573625f ta......#h._usb_ + 2c1f0 636c6b5f 696e6974 00000001 1102236c clk_init......#l + 2c200 00075f56 44455343 00240000 12d7086e .._VDESC.$.....n + 2c210 6578745f 64657363 00000012 d7022300 ext_desc......#. + 2c220 08627566 5f616464 72000000 12eb0223 .buf_addr......# + 2c230 04086275 665f7369 7a650000 0012f202 ..buf_size...... + 2c240 23080864 6174615f 6f666673 65740000 #..data_offset.. + 2c250 0012f202 230a0864 6174615f 73697a65 ....#..data_size + 2c260 00000012 f202230c 08636f6e 74726f6c ......#..control + 2c270 00000012 f202230e 0868775f 64657363 ......#..hw_desc + 2c280 5f627566 00000013 00022310 00030000 _buf......#..... + 2c290 124b0400 09415f55 494e5438 00000003 .K...A_UINT8.... + 2c2a0 25030000 12de0400 09415f55 494e5431 %........A_UINT1 + 2c2b0 36000000 017c0f00 0012de14 0000130d 6....|.......... + 2c2c0 10130003 0000124b 04000956 44455343 .......K...VDESC + 2c2d0 00000012 4b030000 13140400 06000013 ....K........... + 2c2e0 1f010300 00132604 00060000 12eb0103 ......&......... + 2c2f0 00001333 04000201 03000013 40040007 ...3........@... + 2c300 76646573 635f6170 69001400 0013b808 vdesc_api....... + 2c310 5f696e69 74000000 0ae00223 00085f61 _init......#.._a + 2c320 6c6c6f63 5f766465 73630000 00132c02 lloc_vdesc....,. + 2c330 2304085f 6765745f 68775f64 65736300 #.._get_hw_desc. + 2c340 00001339 02230808 5f737761 705f7664 ...9.#.._swap_vd + 2c350 65736300 00001342 02230c08 70526573 esc....B.#..pRes + 2c360 65727665 64000000 040d0223 1000075f erved......#..._ + 2c370 56425546 00200000 14180864 6573635f VBUF. .....desc_ + 2c380 6c697374 00000013 1f022300 086e6578 list......#..nex + 2c390 745f6275 66000000 14180223 04086275 t_buf......#..bu + 2c3a0 665f6c65 6e677468 00000012 f2022308 f_length......#. + 2c3b0 08726573 65727665 64000000 141f0223 .reserved......# + 2c3c0 0a086374 78000000 13000223 0c000300 ..ctx......#.... + 2c3d0 0013b804 000f0000 12de0200 00142c10 ..............,. + 2c3e0 01000300 0013b804 00095642 55460000 ..........VBUF.. + 2c3f0 0013b803 00001433 04000600 00143d01 .......3......=. + 2c400 03000014 44040006 0000143d 01030000 ....D......=.... + 2c410 14510400 02010300 00145e04 00077662 .Q........^...vb + 2c420 75665f61 70690014 000014dc 085f696e uf_api......._in + 2c430 69740000 000ae002 2300085f 616c6c6f it......#.._allo + 2c440 635f7662 75660000 00144a02 2304085f c_vbuf....J.#.._ + 2c450 616c6c6f 635f7662 75665f77 6974685f alloc_vbuf_with_ + 2c460 73697a65 00000014 57022308 085f6672 size....W.#.._fr + 2c470 65655f76 62756600 00001460 02230c08 ee_vbuf....`.#.. + 2c480 70526573 65727665 64000000 040d0223 pReserved......# + 2c490 1000075f 5f616466 5f646576 69636500 ...__adf_device. + 2c4a0 04000014 fe086475 6d6d7900 00000118 ......dummy..... + 2c4b0 02230000 03000009 24040007 5f5f6164 .#......$...__ad + 2c4c0 665f646d 615f6d61 70000c00 00154508 f_dma_map.....E. + 2c4d0 62756600 0000143d 02230008 64735f61 buf....=.#..ds_a + 2c4e0 64647200 000014fe 02230408 64735f6c ddr......#..ds_l + 2c4f0 656e0000 0012f202 23080012 0c000015 en......#....... + 2c500 7f085f5f 76615f73 746b0000 00037b02 ..__va_stk....{. + 2c510 2300085f 5f76615f 72656700 0000037b #..__va_reg....{ + 2c520 02230408 5f5f7661 5f6e6478 00000001 .#..__va_ndx.... + 2c530 18022308 00095f5f 6164665f 6f735f64 ..#...__adf_os_d + 2c540 6d615f61 6464725f 74000000 09240961 ma_addr_t....$.a + 2c550 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t + 2c560 00000015 7f095f5f 6164665f 6f735f64 ......__adf_os_d + 2c570 6d615f73 697a655f 74000000 09240961 ma_size_t....$.a + 2c580 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t + 2c590 00000015 af075f5f 646d615f 73656773 ......__dma_segs + 2c5a0 00080000 160b0870 61646472 00000015 .......paddr.... + 2c5b0 98022300 086c656e 00000015 c8022304 ..#..len......#. + 2c5c0 00095f5f 615f7569 6e743332 5f740000 ..__a_uint32_t.. + 2c5d0 00092409 615f7569 6e743332 5f740000 ..$.a_uint32_t.. + 2c5e0 00160b0f 000015df 08000016 3a100000 ............:... + 2c5f0 07616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 2c600 6e666f00 0c000016 73086e73 65677300 nfo.....s.nsegs. + 2c610 0000161d 02230008 646d615f 73656773 .....#..dma_segs + 2c620 00000016 2d022304 00095f5f 615f7569 ....-.#...__a_ui + 2c630 6e74385f 74000000 12de0961 5f75696e nt8_t......a_uin + 2c640 74385f74 00000016 73030000 16840400 t8_t....s....... + 2c650 075f5f73 675f7365 67730008 000016c5 .__sg_segs...... + 2c660 08766164 64720000 00169302 2300086c .vaddr......#..l + 2c670 656e0000 00161d02 2304000f 0000169a en......#....... + 2c680 20000016 d2100300 07616466 5f6f735f ........adf_os_ + 2c690 73676c69 73740024 00001705 086e7365 sglist.$.....nse + 2c6a0 67730000 00161d02 23000873 675f7365 gs......#..sg_se + 2c6b0 67730000 0016c502 23040012 10000017 gs......#....... + 2c6c0 4e087665 6e646f72 00000016 1d022300 N.vendor......#. + 2c6d0 08646576 69636500 0000161d 02230408 .device......#.. + 2c6e0 73756276 656e646f 72000000 161d0223 subvendor......# + 2c6f0 08087375 62646576 69636500 0000161d ..subdevice..... + 2c700 02230c00 046c6f6e 67206c6f 6e672075 .#...long long u + 2c710 6e736967 6e656420 696e7400 07080941 nsigned int....A + 2c720 5f55494e 54363400 0000174e 095f5f61 _UINT64....N.__a + 2c730 5f75696e 7436345f 74000000 17680961 _uint64_t....h.a + 2c740 5f75696e 7436345f 74000000 17761404 _uint64_t....v.. + 2c750 000017d4 0e414446 5f4f535f 5245534f .....ADF_OS_RESO + 2c760 55524345 5f545950 455f4d45 4d00000e URCE_TYPE_MEM... + 2c770 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ + 2c780 54595045 5f494f00 01000961 64665f6f TYPE_IO....adf_o + 2c790 735f7265 736f7572 63655f74 7970655f s_resource_type_ + 2c7a0 74000000 17981218 0000181e 08737461 t............sta + 2c7b0 72740000 00178802 23000865 6e640000 rt......#..end.. + 2c7c0 00178802 23080874 79706500 000017d4 ....#..type..... + 2c7d0 02231000 09616466 5f6f735f 7063695f .#...adf_os_pci_ + 2c7e0 6465765f 69645f74 00000017 05030000 dev_id_t........ + 2c7f0 181e0400 11040000 185d0870 63690000 .........].pci.. + 2c800 00183702 23000872 61770000 00040d02 ..7.#..raw...... + 2c810 23000011 10000018 7c087063 69000000 #.......|.pci... + 2c820 181e0223 00087261 77000000 040d0223 ...#..raw......# + 2c830 00000961 64665f64 72765f68 616e646c ...adf_drv_handl + 2c840 655f7400 0000040d 09616466 5f6f735f e_t......adf_os_ + 2c850 7265736f 75726365 5f740000 0017f003 resource_t...... + 2c860 00001892 04000961 64665f6f 735f6174 .......adf_os_at + 2c870 74616368 5f646174 615f7400 0000185d tach_data_t....] + 2c880 03000018 b0040003 000014dc 0400095f ..............._ + 2c890 5f616466 5f6f735f 64657669 63655f74 _adf_os_device_t + 2c8a0 00000018 d1096164 665f6f73 5f646576 ......adf_os_dev + 2c8b0 6963655f 74000000 18d80600 00187c01 ice_t.........|. + 2c8c0 03000019 04040002 01030000 19110400 ................ + 2c8d0 09616466 5f6f735f 706d5f74 00000004 .adf_os_pm_t.... + 2c8e0 0d020103 0000192b 04001404 0000196b .......+.......k + 2c8f0 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 2c900 5f504349 00010e41 44465f4f 535f4255 _PCI...ADF_OS_BU + 2c910 535f5459 50455f47 454e4552 49430002 S_TYPE_GENERIC.. + 2c920 00096164 665f6f73 5f627573 5f747970 ..adf_os_bus_typ + 2c930 655f7400 00001934 09616466 5f6f735f e_t....4.adf_os_ + 2c940 6275735f 7265675f 64617461 5f740000 bus_reg_data_t.. + 2c950 00183e03 00000325 0400075f 6164665f ..>....%..._adf_ + 2c960 6472765f 696e666f 00200000 1a480864 drv_info. ...H.d + 2c970 72765f61 74746163 68000000 190a0223 rv_attach......# + 2c980 00086472 765f6465 74616368 00000019 ..drv_detach.... + 2c990 13022304 08647276 5f737573 70656e64 ..#..drv_suspend + 2c9a0 00000019 2d022308 08647276 5f726573 ....-.#..drv_res + 2c9b0 756d6500 00001913 02230c08 6275735f ume......#..bus_ + 2c9c0 74797065 00000019 6b022310 08627573 type....k.#..bus + 2c9d0 5f646174 61000000 19820223 14086d6f _data......#..mo + 2c9e0 645f6e61 6d650000 00199d02 23180869 d_name......#..i + 2c9f0 666e616d 65000000 199d0223 1c000961 fname......#...a + 2ca00 64665f6f 735f6861 6e646c65 5f740000 df_os_handle_t.. + 2ca10 00040d03 00001673 04000201 0201095f .......s......._ + 2ca20 5f616466 5f6f735f 73697a65 5f740000 _adf_os_size_t.. + 2ca30 00041014 0400001a 970e415f 46414c53 ..........A_FALS + 2ca40 4500000e 415f5452 55450001 0009615f E...A_TRUE....a_ + 2ca50 626f6f6c 5f740000 001a7d03 00001505 bool_t....}..... + 2ca60 0400095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 2ca70 6d61705f 74000000 1aa50201 0d616466 map_t........adf + 2ca80 5f6f735f 63616368 655f7379 6e630004 _os_cache_sync.. + 2ca90 00001b2f 0e414446 5f53594e 435f5052 .../.ADF_SYNC_PR + 2caa0 45524541 4400000e 4144465f 53594e43 EREAD...ADF_SYNC + 2cab0 5f505245 57524954 4500020e 4144465f _PREWRITE...ADF_ + 2cac0 53594e43 5f504f53 54524541 4400010e SYNC_POSTREAD... + 2cad0 4144465f 53594e43 5f504f53 54575249 ADF_SYNC_POSTWRI + 2cae0 54450003 00096164 665f6f73 5f636163 TE....adf_os_cac + 2caf0 68655f73 796e635f 74000000 1ac60201 he_sync_t....... + 2cb00 09616466 5f6f735f 73697a65 5f740000 .adf_os_size_t.. + 2cb10 001a6806 00001b4a 01096164 665f6f73 ..h....J..adf_os + 2cb20 5f646d61 5f6d6170 5f740000 001aac03 _dma_map_t...... + 2cb30 00001b63 04000600 00040d01 0300001a ...c............ + 2cb40 ac040006 0000040d 01020106 00001598 ................ + 2cb50 01020104 73686f72 7420696e 74000502 ....short int... + 2cb60 09415f49 4e543136 0000001b 9d095f5f .A_INT16......__ + 2cb70 615f696e 7431365f 74000000 1baa0961 a_int16_t......a + 2cb80 5f696e74 31365f74 0000001b b7047369 _int16_t......si + 2cb90 676e6564 20636861 72000501 09415f49 gned char....A_I + 2cba0 4e543800 00001bd7 095f5f61 5f696e74 NT8......__a_int + 2cbb0 385f7400 00001be6 09615f69 6e74385f 8_t......a_int8_ + 2cbc0 74000000 1bf2120c 00001c69 08737570 t..........i.sup + 2cbd0 706f7274 65640000 00161d02 23000861 ported......#..a + 2cbe0 64766572 74697a65 64000000 161d0223 dvertized......# + 2cbf0 04087370 65656400 00001bc8 02230808 ..speed......#.. + 2cc00 6475706c 65780000 001c0202 230a0861 duplex......#..a + 2cc10 75746f6e 65670000 00168402 230b000f utoneg......#... + 2cc20 00001684 0600001c 76100500 07616466 ........v....adf + 2cc30 5f6e6574 5f657468 61646472 00060000 _net_ethaddr.... + 2cc40 1c9a0861 64647200 00001c69 02230000 ...addr....i.#.. + 2cc50 095f5f61 5f75696e 7431365f 74000000 .__a_uint16_t... + 2cc60 12f20961 5f75696e 7431365f 74000000 ...a_uint16_t... + 2cc70 1c9a120e 00001cfe 08657468 65725f64 .........ether_d + 2cc80 686f7374 0000001c 69022300 08657468 host....i.#..eth + 2cc90 65725f73 686f7374 0000001c 69022306 er_shost....i.#. + 2cca0 08657468 65725f74 79706500 00001cac .ether_type..... + 2ccb0 02230c00 12140000 1dbf1569 705f7665 .#.........ip_ve + 2ccc0 7273696f 6e000000 16840100 04022300 rsion.........#. + 2ccd0 1569705f 686c0000 00168401 04040223 .ip_hl.........# + 2cce0 00086970 5f746f73 00000016 84022301 ..ip_tos......#. + 2ccf0 0869705f 6c656e00 00001cac 02230208 .ip_len......#.. + 2cd00 69705f69 64000000 1cac0223 04086970 ip_id......#..ip + 2cd10 5f667261 675f6f66 66000000 1cac0223 _frag_off......# + 2cd20 06086970 5f74746c 00000016 84022308 ..ip_ttl......#. + 2cd30 0869705f 70726f74 6f000000 16840223 .ip_proto......# + 2cd40 09086970 5f636865 636b0000 001cac02 ..ip_check...... + 2cd50 230a0869 705f7361 64647200 0000161d #..ip_saddr..... + 2cd60 02230c08 69705f64 61646472 00000016 .#..ip_daddr.... + 2cd70 1d022310 00076164 665f6e65 745f766c ..#...adf_net_vl + 2cd80 616e6864 72000400 001e1108 74706964 anhdr.......tpid + 2cd90 0000001c ac022300 15707269 6f000000 ......#..prio... + 2cda0 16840100 03022302 15636669 00000016 ......#..cfi.... + 2cdb0 84010301 02230215 76696400 00001cac .....#..vid..... + 2cdc0 02040c02 23020007 6164665f 6e65745f ....#...adf_net_ + 2cdd0 76696400 0200001e 42157265 73000000 vid.....B.res... + 2cde0 16840100 04022300 1576616c 0000001c ......#..val.... + 2cdf0 ac02040c 02230000 120c0000 1e7e0872 .....#.......~.r + 2ce00 785f6275 6673697a 65000000 161d0223 x_bufsize......# + 2ce10 00087278 5f6e6465 73630000 00161d02 ..rx_ndesc...... + 2ce20 23040874 785f6e64 65736300 0000161d #..tx_ndesc..... + 2ce30 02230800 12080000 1ea40870 6f6c6c65 .#.........polle + 2ce40 64000000 1a970223 0008706f 6c6c5f77 d......#..poll_w + 2ce50 74000000 161d0223 04000f00 00168440 t......#.......@ + 2ce60 00001eb1 103f0012 4600001e d9086966 .....?..F.....if + 2ce70 5f6e616d 65000000 1ea40223 00086465 _name......#..de + 2ce80 765f6164 64720000 001c6902 23400014 v_addr....i.#@.. + 2ce90 0400001f 100e4144 465f4f53 5f444d41 ......ADF_OS_DMA + 2cea0 5f4d4153 4b5f3332 42495400 000e4144 _MASK_32BIT...AD + 2ceb0 465f4f53 5f444d41 5f4d4153 4b5f3634 F_OS_DMA_MASK_64 + 2cec0 42495400 01000961 64665f6f 735f646d BIT....adf_os_dm + 2ced0 615f6d61 736b5f74 0000001e d9076164 a_mask_t......ad + 2cee0 665f646d 615f696e 666f0008 00001f5d f_dma_info.....] + 2cef0 08646d61 5f6d6173 6b000000 1f100223 .dma_mask......# + 2cf00 00087367 5f6e7365 67730000 00161d02 ..sg_nsegs...... + 2cf10 23040014 0400001f b30e4144 465f4e45 #.........ADF_NE + 2cf20 545f434b 53554d5f 4e4f4e45 00000e41 T_CKSUM_NONE...A + 2cf30 44465f4e 45545f43 4b53554d 5f544350 DF_NET_CKSUM_TCP + 2cf40 5f554450 5f495076 3400010e 4144465f _UDP_IPv4...ADF_ + 2cf50 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 2cf60 505f4950 76360002 00096164 665f6e65 P_IPv6....adf_ne + 2cf70 745f636b 73756d5f 74797065 5f740000 t_cksum_type_t.. + 2cf80 001f5d12 0800001f f6087478 5f636b73 ..].......tx_cks + 2cf90 756d0000 001fb302 23000872 785f636b um......#..rx_ck + 2cfa0 73756d00 00001fb3 02230400 09616466 sum......#...adf + 2cfb0 5f6e6574 5f636b73 756d5f69 6e666f5f _net_cksum_info_ + 2cfc0 74000000 1fcd1404 0000204f 0e414446 t......... O.ADF + 2cfd0 5f4e4554 5f54534f 5f4e4f4e 4500000e _NET_TSO_NONE... + 2cfe0 4144465f 4e45545f 54534f5f 49505634 ADF_NET_TSO_IPV4 + 2cff0 00010e41 44465f4e 45545f54 534f5f41 ...ADF_NET_TSO_A + 2d000 4c4c0002 00096164 665f6e65 745f7473 LL....adf_net_ts + 2d010 6f5f7479 70655f74 00000020 10121000 o_type_t... .... + 2d020 0020a308 636b7375 6d5f6361 70000000 . ..cksum_cap... + 2d030 1ff60223 00087473 6f000000 204f0223 ...#..tso... O.# + 2d040 0808766c 616e5f73 7570706f 72746564 ..vlan_supported + 2d050 00000016 8402230c 00122000 00213c08 ......#... ..!<. + 2d060 74785f70 61636b65 74730000 00161d02 tx_packets...... + 2d070 23000872 785f7061 636b6574 73000000 #..rx_packets... + 2d080 161d0223 04087478 5f627974 65730000 ...#..tx_bytes.. + 2d090 00161d02 23080872 785f6279 74657300 ....#..rx_bytes. + 2d0a0 0000161d 02230c08 74785f64 726f7070 .....#..tx_dropp + 2d0b0 65640000 00161d02 23100872 785f6472 ed......#..rx_dr + 2d0c0 6f707065 64000000 161d0223 14087278 opped......#..rx + 2d0d0 5f657272 6f727300 0000161d 02231808 _errors......#.. + 2d0e0 74785f65 72726f72 73000000 161d0223 tx_errors......# + 2d0f0 1c000961 64665f6e 65745f65 74686164 ...adf_net_ethad + 2d100 64725f74 0000001c 76160000 213c0300 dr_t....v...!<.. + 2d110 00002161 107f0017 6164665f 6e65745f ..!a....adf_net_ + 2d120 636d645f 6d636164 64720003 04000021 cmd_mcaddr.....! + 2d130 98086e65 6c656d00 0000161d 02230008 ..nelem......#.. + 2d140 6d636173 74000000 21530223 04000961 mcast...!S.#...a + 2d150 64665f6e 65745f63 6d645f6c 696e6b5f df_net_cmd_link_ + 2d160 696e666f 5f740000 001c1009 6164665f info_t......adf_ + 2d170 6e65745f 636d645f 706f6c6c 5f696e66 net_cmd_poll_inf + 2d180 6f5f7400 00001e7e 09616466 5f6e6574 o_t....~.adf_net + 2d190 5f636d64 5f636b73 756d5f69 6e666f5f _cmd_cksum_info_ + 2d1a0 74000000 1ff60961 64665f6e 65745f63 t......adf_net_c + 2d1b0 6d645f72 696e675f 696e666f 5f740000 md_ring_info_t.. + 2d1c0 001e4209 6164665f 6e65745f 636d645f ..B.adf_net_cmd_ + 2d1d0 646d615f 696e666f 5f740000 001f2709 dma_info_t....'. + 2d1e0 6164665f 6e65745f 636d645f 7669645f adf_net_cmd_vid_ + 2d1f0 74000000 1cac0961 64665f6e 65745f63 t......adf_net_c + 2d200 6d645f6f 66666c6f 61645f63 61705f74 md_offload_cap_t + 2d210 00000020 67096164 665f6e65 745f636d ... g.adf_net_cm + 2d220 645f7374 6174735f 74000000 20a30961 d_stats_t... ..a + 2d230 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd + 2d240 725f7400 00002161 0d616466 5f6e6574 r_t...!a.adf_net + 2d250 5f636d64 5f6d6361 73745f63 61700004 _cmd_mcast_cap.. + 2d260 000022da 0e414446 5f4e4554 5f4d4341 .."..ADF_NET_MCA + 2d270 53545f53 55500000 0e414446 5f4e4554 ST_SUP...ADF_NET + 2d280 5f4d4341 53545f4e 4f545355 50000100 _MCAST_NOTSUP... + 2d290 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 2d2a0 73745f63 61705f74 00000022 92180304 st_cap_t...".... + 2d2b0 000023ac 086c696e 6b5f696e 666f0000 ..#..link_info.. + 2d2c0 00219802 23000870 6f6c6c5f 696e666f .!..#..poll_info + 2d2d0 00000021 b5022300 08636b73 756d5f69 ...!..#..cksum_i + 2d2e0 6e666f00 000021d2 02230008 72696e67 nfo...!..#..ring + 2d2f0 5f696e66 6f000000 21f00223 0008646d _info...!..#..dm + 2d300 615f696e 666f0000 00220d02 23000876 a_info..."..#..v + 2d310 69640000 00222902 2300086f 66666c6f id...").#..offlo + 2d320 61645f63 61700000 00224002 23000873 ad_cap..."@.#..s + 2d330 74617473 00000022 5f022300 086d6361 tats..."_.#..mca + 2d340 73745f69 6e666f00 00002278 02230008 st_info..."x.#.. + 2d350 6d636173 745f6361 70000000 22da0223 mcast_cap..."..# + 2d360 00001404 00002403 0e414446 5f4e4255 ......$..ADF_NBU + 2d370 465f5258 5f434b53 554d5f4e 4f4e4500 F_RX_CKSUM_NONE. + 2d380 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 2d390 53554d5f 48570001 0e414446 5f4e4255 SUM_HW...ADF_NBU + 2d3a0 465f5258 5f434b53 554d5f55 4e4e4543 F_RX_CKSUM_UNNEC + 2d3b0 45535341 52590002 00096164 665f6e62 ESSARY....adf_nb + 2d3c0 75665f72 785f636b 73756d5f 74797065 uf_rx_cksum_type + 2d3d0 5f740000 0023ac12 08000024 43087265 _t...#.....$C.re + 2d3e0 73756c74 00000024 03022300 0876616c sult...$..#..val + 2d3f0 00000016 1d022304 00120800 00247308 ......#......$s. + 2d400 74797065 00000020 4f022300 086d7373 type... O.#..mss + 2d410 0000001c ac022304 08686472 5f6f6666 ......#..hdr_off + 2d420 00000016 84022306 00075f5f 6164665f ......#...__adf_ + 2d430 6e627566 5f716865 6164000c 000024b2 nbuf_qhead....$. + 2d440 08686561 64000000 143d0223 00087461 .head....=.#..ta + 2d450 696c0000 00143d02 23040871 6c656e00 il....=.#..qlen. + 2d460 0000161d 02230800 095f5f61 64665f6e .....#...__adf_n + 2d470 6275665f 74000000 143d0300 00169304 buf_t....=...... + 2d480 00030000 161d0400 02010600 00131f01 ................ + 2d490 06000016 1d010600 00169301 06000016 ................ + 2d4a0 93010300 00130004 00095f5f 6164665f ..........__adf_ + 2d4b0 6e627566 5f716865 61645f74 00000024 nbuf_qhead_t...$ + 2d4c0 73095f5f 6164665f 6e627566 5f717565 s.__adf_nbuf_que + 2d4d0 75655f74 00000024 f3030000 250b0400 ue_t...$....%... + 2d4e0 06000024 b2010600 0024b201 14040000 ...$.....$...... + 2d4f0 262b0e41 5f535441 5455535f 4f4b0000 &+.A_STATUS_OK.. + 2d500 0e415f53 54415455 535f4641 494c4544 .A_STATUS_FAILED + 2d510 00010e41 5f535441 5455535f 454e4f45 ...A_STATUS_ENOE + 2d520 4e540002 0e415f53 54415455 535f454e NT...A_STATUS_EN + 2d530 4f4d454d 00030e41 5f535441 5455535f OMEM...A_STATUS_ + 2d540 45494e56 414c0004 0e415f53 54415455 EINVAL...A_STATU + 2d550 535f4549 4e50524f 47524553 5300050e S_EINPROGRESS... + 2d560 415f5354 41545553 5f454e4f 54535550 A_STATUS_ENOTSUP + 2d570 5000060e 415f5354 41545553 5f454255 P...A_STATUS_EBU + 2d580 53590007 0e415f53 54415455 535f4532 SY...A_STATUS_E2 + 2d590 42494700 080e415f 53544154 55535f45 BIG...A_STATUS_E + 2d5a0 41444452 4e4f5441 5641494c 00090e41 ADDRNOTAVAIL...A + 2d5b0 5f535441 5455535f 454e5849 4f000a0e _STATUS_ENXIO... + 2d5c0 415f5354 41545553 5f454641 554c5400 A_STATUS_EFAULT. + 2d5d0 0b0e415f 53544154 55535f45 494f000c ..A_STATUS_EIO.. + 2d5e0 0009615f 73746174 75735f74 00000025 ..a_status_t...% + 2d5f0 36060000 262b0106 00000118 01020109 6...&+.......... + 2d600 6164665f 6e627566 5f740000 0024b214 adf_nbuf_t...$.. + 2d610 04000026 900e4144 465f4f53 5f444d41 ...&..ADF_OS_DMA + 2d620 5f544f5f 44455649 43450000 0e414446 _TO_DEVICE...ADF + 2d630 5f4f535f 444d415f 46524f4d 5f444556 _OS_DMA_FROM_DEV + 2d640 49434500 01000961 64665f6f 735f646d ICE....adf_os_dm + 2d650 615f6469 725f7400 00002659 06000026 a_dir_t...&Y...& + 2d660 2b010201 09616466 5f6f735f 646d616d +....adf_os_dmam + 2d670 61705f69 6e666f5f 74000000 163a0300 ap_info_t....:.. + 2d680 0026ae04 00020102 01060000 26490106 .&..........&I.. + 2d690 000024b2 01020102 01060000 26490106 ..$.........&I.. + 2d6a0 000024b2 01060000 26490106 000024b2 ..$.....&I....$. + 2d6b0 01060000 26490102 01020106 0000161d ....&I.......... + 2d6c0 01060000 16930102 01020106 00001b4a ...............J + 2d6d0 01060000 1a970106 00001a97 01096164 ..............ad + 2d6e0 665f6f73 5f73676c 6973745f 74000000 f_os_sglist_t... + 2d6f0 16d20300 00272704 00020102 01020106 .....''......... + 2d700 00001693 01096164 665f6e62 75665f71 ......adf_nbuf_q + 2d710 75657565 5f740000 00250b03 0000274f ueue_t...%....'O + 2d720 04000201 03000024 f3040002 01020102 .......$........ + 2d730 01060000 26490106 000024b2 01060000 ....&I....$..... + 2d740 161d0106 0000161d 01060000 1a970106 ................ + 2d750 00001a97 01060000 1fb30106 0000161d ................ + 2d760 01096164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck + 2d770 73756d5f 74000000 24210300 0027ab04 sum_t...$!...'.. + 2d780 00020102 01096164 665f6e62 75665f74 ......adf_nbuf_t + 2d790 736f5f74 00000024 43030000 27cf0400 so_t...$C...'... + 2d7a0 02010201 09616466 5f6e6574 5f68616e .....adf_net_han + 2d7b0 646c655f 74000000 040d0961 64665f6e dle_t......adf_n + 2d7c0 65745f76 6c616e68 64725f74 0000001d et_vlanhdr_t.... + 2d7d0 bf030000 28040400 06000026 2b010600 ....(......&+... + 2d7e0 00262b01 02010201 075f4849 465f434f .&+......_HIF_CO + 2d7f0 4e464947 00040000 28530864 756d6d79 NFIG....(S.dummy + 2d800 00000001 18022300 00020103 00002853 ......#.......(S + 2d810 04000201 03000028 5c040007 5f484946 .......(\..._HIF + 2d820 5f43414c 4c424143 4b000c00 0028b108 _CALLBACK....(.. + 2d830 73656e64 5f627566 5f646f6e 65000000 send_buf_done... + 2d840 28550223 00087265 63765f62 75660000 (U.#..recv_buf.. + 2d850 00285e02 23040863 6f6e7465 78740000 .(^.#..context.. + 2d860 00040d02 23080009 6869665f 68616e64 ....#...hif_hand + 2d870 6c655f74 00000004 0d094849 465f434f le_t......HIF_CO + 2d880 4e464947 00000028 32030000 28c30400 NFIG...(2...(... + 2d890 06000028 b1010300 0028da04 00020103 ...(.....(...... + 2d8a0 000028e7 04000948 49465f43 414c4c42 ..(....HIF_CALLB + 2d8b0 41434b00 00002865 03000028 f0040002 ACK...(e...(.... + 2d8c0 01030000 29090400 06000001 18010300 ....)........... + 2d8d0 00291204 00020103 0000291f 04000600 .)........)..... + 2d8e0 00011801 03000029 28040002 01030000 .......)(....... + 2d8f0 29350400 06000001 18010300 00293e04 )5...........)>. + 2d900 00020103 0000294b 04000768 69665f61 ......)K...hif_a + 2d910 70690038 00002aa4 085f696e 69740000 pi.8..*.._init.. + 2d920 0028e002 2300085f 73687574 646f776e .(..#.._shutdown + 2d930 00000028 e9022304 085f7265 67697374 ...(..#.._regist + 2d940 65725f63 616c6c62 61636b00 0000290b er_callback...). + 2d950 02230808 5f676574 5f746f74 616c5f63 .#.._get_total_c + 2d960 72656469 745f636f 756e7400 00002918 redit_count...). + 2d970 02230c08 5f737461 72740000 0028e902 .#.._start...(.. + 2d980 2310085f 636f6e66 69675f70 69706500 #.._config_pipe. + 2d990 00002921 02231408 5f73656e 645f6275 ..)!.#.._send_bu + 2d9a0 66666572 00000029 2e022318 085f7265 ffer...)..#.._re + 2d9b0 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 2d9c0 29370223 1c085f69 735f7069 70655f73 )7.#.._is_pipe_s + 2d9d0 7570706f 72746564 00000029 44022320 upported...)D.# + 2d9e0 085f6765 745f6d61 785f6d73 675f6c65 ._get_max_msg_le + 2d9f0 6e000000 29440223 24085f67 65745f72 n...)D.#$._get_r + 2da00 65736572 7665645f 68656164 726f6f6d eserved_headroom + 2da10 00000029 18022328 085f6973 725f6861 ...)..#(._isr_ha + 2da20 6e646c65 72000000 28e90223 2c085f67 ndler...(..#,._g + 2da30 65745f64 65666175 6c745f70 69706500 et_default_pipe. + 2da40 0000294d 02233008 70526573 65727665 ..)M.#0.pReserve + 2da50 64000000 040d0223 34000d64 6d615f65 d......#4..dma_e + 2da60 6e67696e 65000400 002b2d0e 444d415f ngine....+-.DMA_ + 2da70 454e4749 4e455f52 58300000 0e444d41 ENGINE_RX0...DMA + 2da80 5f454e47 494e455f 52583100 010e444d _ENGINE_RX1...DM + 2da90 415f454e 47494e45 5f525832 00020e44 A_ENGINE_RX2...D + 2daa0 4d415f45 4e47494e 455f5258 3300030e MA_ENGINE_RX3... + 2dab0 444d415f 454e4749 4e455f54 58300004 DMA_ENGINE_TX0.. + 2dac0 0e444d41 5f454e47 494e455f 54583100 .DMA_ENGINE_TX1. + 2dad0 050e444d 415f454e 47494e45 5f4d4158 ..DMA_ENGINE_MAX + 2dae0 00060009 646d615f 656e6769 6e655f74 ....dma_engine_t + 2daf0 0000002a a40d646d 615f6966 74797065 ...*..dma_iftype + 2db00 00040000 2b7a0e44 4d415f49 465f474d ....+z.DMA_IF_GM + 2db10 41430000 0e444d41 5f49465f 50434900 AC...DMA_IF_PCI. + 2db20 010e444d 415f4946 5f504349 45000200 ..DMA_IF_PCIE... + 2db30 09646d61 5f696674 7970655f 74000000 .dma_iftype_t... + 2db40 2b3f0600 0012f201 0300002b 8c040002 +?.........+.... + 2db50 01030000 2b990400 02010300 002ba204 ....+........+.. + 2db60 00060000 09240103 00002bab 04000600 .....$....+..... + 2db70 0012f201 0300002b b8040006 000012f2 .......+........ + 2db80 01030000 2bc50400 06000014 3d010300 ....+.......=... + 2db90 002bd204 00020103 00002bdf 04000764 .+........+....d + 2dba0 6d615f6c 69625f61 70690034 00002ce6 ma_lib_api.4..,. + 2dbb0 0874785f 696e6974 0000002b 92022300 .tx_init...+..#. + 2dbc0 0874785f 73746172 74000000 2b9b0223 .tx_start...+..# + 2dbd0 04087278 5f696e69 74000000 2b920223 ..rx_init...+..# + 2dbe0 08087278 5f636f6e 66696700 00002ba4 ..rx_config...+. + 2dbf0 02230c08 72785f73 74617274 0000002b .#..rx_start...+ + 2dc00 9b022310 08696e74 725f7374 61747573 ..#..intr_status + 2dc10 0000002b b1022314 08686172 645f786d ...+..#..hard_xm + 2dc20 69740000 002bbe02 23180866 6c757368 it...+..#..flush + 2dc30 5f786d69 74000000 2b9b0223 1c08786d _xmit...+..#..xm + 2dc40 69745f64 6f6e6500 00002bcb 02232008 it_done...+..# . + 2dc50 72656170 5f786d69 74746564 0000002b reap_xmitted...+ + 2dc60 d8022324 08726561 705f7265 63760000 ..#$.reap_recv.. + 2dc70 002bd802 23280872 65747572 6e5f7265 .+..#(.return_re + 2dc80 63760000 002be102 232c0872 6563765f cv...+..#,.recv_ + 2dc90 706b7400 00002bcb 02233000 075f5f70 pkt...+..#0..__p + 2dca0 63695f73 6f667463 000c0000 2d040873 ci_softc....-..s + 2dcb0 77000000 28f00223 0000095f 5f706369 w...(..#...__pci + 2dcc0 5f736f66 74635f74 0000002c e6030000 _softc_t...,.... + 2dcd0 2d040400 02010300 002d1e04 00060000 -........-...... + 2dce0 12de0103 00002d27 04000d68 69665f70 ......-'...hif_p + 2dcf0 63695f70 6970655f 74780004 00002d87 ci_pipe_tx....-. + 2dd00 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 2dd10 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 2dd20 5f545831 00010e48 49465f50 43495f50 _TX1...HIF_PCI_P + 2dd30 4950455f 54585f4d 41580002 00096869 IPE_TX_MAX....hi + 2dd40 665f7063 695f7069 70655f74 785f7400 f_pci_pipe_tx_t. + 2dd50 00002d34 0600002b 2d010300 002d9e04 ..-4...+-....-.. + 2dd60 000d6869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r + 2dd70 78000400 002e240e 4849465f 5043495f x.....$.HIF_PCI_ + 2dd80 50495045 5f525830 00000e48 49465f50 PIPE_RX0...HIF_P + 2dd90 43495f50 4950455f 52583100 010e4849 CI_PIPE_RX1...HI + 2dda0 465f5043 495f5049 50455f52 58320002 F_PCI_PIPE_RX2.. + 2ddb0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 2ddc0 3300030e 4849465f 5043495f 50495045 3...HIF_PCI_PIPE + 2ddd0 5f52585f 4d415800 04000968 69665f70 _RX_MAX....hif_p + 2dde0 63695f70 6970655f 72785f74 0000002d ci_pipe_rx_t...- + 2ddf0 ab060000 2b2d0103 00002e3b 04000768 ....+-.....;...h + 2de00 69665f70 63695f61 70690024 00002f19 if_pci_api.$../. + 2de10 08706369 5f626f6f 745f696e 69740000 .pci_boot_init.. + 2de20 00011102 23000870 63695f69 6e697400 ....#..pci_init. + 2de30 000028e0 02230408 7063695f 72657365 ..(..#..pci_rese + 2de40 74000000 01110223 08087063 695f656e t......#..pci_en + 2de50 61626c65 00000001 1102230c 08706369 able......#..pci + 2de60 5f726561 705f786d 69747465 64000000 _reap_xmitted... + 2de70 2d200223 10087063 695f7265 61705f72 - .#..pci_reap_r + 2de80 65637600 00002d20 02231408 7063695f ecv...- .#..pci_ + 2de90 6765745f 70697065 0000002d 2d022318 get_pipe...--.#. + 2dea0 08706369 5f676574 5f74785f 656e6700 .pci_get_tx_eng. + 2deb0 00002da4 02231c08 7063695f 6765745f ..-..#..pci_get_ + 2dec0 72785f65 6e670000 002e4102 23200007 rx_eng....A.# .. + 2ded0 676d6163 5f617069 00040000 2f400867 gmac_api..../@.g + 2dee0 6d61635f 626f6f74 5f696e69 74000000 mac_boot_init... + 2def0 01110223 00000f00 00032506 00002f4d ...#......%.../M + 2df00 10050007 5f5f6574 68686472 000e0000 ....__ethhdr.... + 2df10 2f830864 73740000 002f4002 23000873 /..dst.../@.#..s + 2df20 72630000 002f4002 23060865 74797065 rc.../@.#..etype + 2df30 00000012 f202230c 00075f5f 61746868 ......#...__athh + 2df40 64720004 00002fd1 15726573 00000012 dr..../..res.... + 2df50 de010002 02230015 70726f74 6f000000 .....#..proto... + 2df60 12de0102 06022300 08726573 5f6c6f00 ......#..res_lo. + 2df70 000012de 02230108 7265735f 68690000 .....#..res_hi.. + 2df80 0012f202 23020007 5f5f676d 61635f68 ....#...__gmac_h + 2df90 64720014 0000300d 08657468 0000002f dr....0..eth.../ + 2dfa0 4d022300 08617468 0000002f 8302230e M.#..ath.../..#. + 2dfb0 08616c69 676e5f70 61640000 0012f202 .align_pad...... + 2dfc0 23120009 5f5f676d 61635f68 64725f74 #...__gmac_hdr_t + 2dfd0 0000002f d1075f5f 676d6163 5f736f66 .../..__gmac_sof + 2dfe0 74630024 00003057 08686472 00000030 tc.$..0W.hdr...0 + 2dff0 0d022300 08677261 6e000000 12f20223 ..#..gran......# + 2e000 14087377 00000028 f0022318 00075f41 ..sw...(..#..._A + 2e010 5f6f735f 6c696e6b 6167655f 63686563 _os_linkage_chec + 2e020 6b000800 00309008 76657273 696f6e00 k....0..version. + 2e030 00000118 02230008 7461626c 65000000 .....#..table... + 2e040 01180223 04000300 00305704 00060000 ...#.....0W..... + 2e050 01180103 00003097 04000300 00041004 ......0......... + 2e060 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 2e070 65637469 6f6e5f74 61626c65 0001b800 ection_table.... + 2e080 0031e708 68616c5f 6c696e6b 6167655f .1..hal_linkage_ + 2e090 63686563 6b000000 309d0223 00087374 check...0..#..st + 2e0a0 6172745f 62737300 000030a4 02230408 art_bss...0..#.. + 2e0b0 6170705f 73746172 74000000 01110223 app_start......# + 2e0c0 08086d65 6d000000 04500223 0c086d69 ..mem....P.#..mi + 2e0d0 73630000 00056f02 23200870 72696e74 sc....o.# .print + 2e0e0 66000000 01450223 44087561 72740000 f....E.#D.uart.. + 2e0f0 00020e02 234c0867 6d616300 00002f19 ....#L.gmac.../. + 2e100 02236c08 75736200 00000f9e 02237008 .#l.usb......#p. + 2e110 636c6f63 6b000000 0ae70323 e0010874 clock......#...t + 2e120 696d6572 00000007 87032384 0208696e imer......#...in + 2e130 74720000 000c3703 23980208 616c6c6f tr....7.#...allo + 2e140 6372616d 00000009 3f0323c4 0208726f cram....?.#...ro + 2e150 6d700000 00083103 23d00208 7764745f mp....1.#...wdt_ + 2e160 74696d65 72000000 0e140323 e0020865 timer......#...e + 2e170 65700000 000f4203 23fc0208 73747269 ep....B.#...stri + 2e180 6e670000 00069303 238c0308 7461736b ng......#...task + 2e190 6c657400 00000a3c 0323a403 00075f55 let....<.#...._U + 2e1a0 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. + 2e1b0 0000325a 08676574 5f636f6d 6d616e64 ..2Z.get_command + 2e1c0 5f627566 00000014 4a022300 08726563 _buf....J.#..rec + 2e1d0 765f636f 6d6d616e 64000000 14600223 v_command....`.# + 2e1e0 04086765 745f6576 656e745f 62756600 ..get_event_buf. + 2e1f0 0000144a 02230808 73656e64 5f657665 ...J.#..send_eve + 2e200 6e745f64 6f6e6500 00001460 02230c00 nt_done....`.#.. + 2e210 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG + 2e220 00000031 e7030000 325a0400 02010300 ...1....2Z...... + 2e230 00327604 00077573 62666966 6f5f6170 .2v...usbfifo_ap + 2e240 69000c00 0032cc08 5f696e69 74000000 i....2.._init... + 2e250 32780223 00085f65 6e61626c 655f6576 2x.#.._enable_ev + 2e260 656e745f 69737200 00000111 02230408 ent_isr......#.. + 2e270 70526573 65727665 64000000 040d0223 pReserved......# + 2e280 08000f00 00168402 000032d9 10010007 ..........2..... + 2e290 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. + 2e2a0 0000334b 08456e64 706f696e 74494400 ..3K.EndpointID. + 2e2b0 00001684 02230008 466c6167 73000000 .....#..Flags... + 2e2c0 16840223 01085061 796c6f61 644c656e ...#..PayloadLen + 2e2d0 0000001c ac022302 08436f6e 74726f6c ......#..Control + 2e2e0 42797465 73000000 32cc0223 0408486f Bytes...2..#..Ho + 2e2f0 73745365 714e756d 0000001c ac022306 stSeqNum......#. + 2e300 00120200 00336408 4d657373 61676549 .....3d.MessageI + 2e310 44000000 1cac0223 00001208 000033c7 D......#......3. + 2e320 084d6573 73616765 49440000 001cac02 .MessageID...... + 2e330 23000843 72656469 74436f75 6e740000 #..CreditCount.. + 2e340 001cac02 23020843 72656469 7453697a ....#..CreditSiz + 2e350 65000000 1cac0223 04084d61 78456e64 e......#..MaxEnd + 2e360 706f696e 74730000 00168402 2306085f points......#.._ + 2e370 50616431 00000016 84022307 00120a00 Pad1......#..... + 2e380 00345e08 4d657373 61676549 44000000 .4^.MessageID... + 2e390 1cac0223 00085365 72766963 65494400 ...#..ServiceID. + 2e3a0 00001cac 02230208 436f6e6e 65637469 .....#..Connecti + 2e3b0 6f6e466c 61677300 00001cac 02230408 onFlags......#.. + 2e3c0 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. + 2e3d0 00168402 23060855 704c696e 6b506970 ....#..UpLinkPip + 2e3e0 65494400 00001684 02230708 53657276 eID......#..Serv + 2e3f0 6963654d 6574614c 656e6774 68000000 iceMetaLength... + 2e400 16840223 08085f50 61643100 00001684 ...#.._Pad1..... + 2e410 02230900 120a0000 34e6084d 65737361 .#......4..Messa + 2e420 67654944 0000001c ac022300 08536572 geID......#..Ser + 2e430 76696365 49440000 001cac02 23020853 viceID......#..S + 2e440 74617475 73000000 16840223 0408456e tatus......#..En + 2e450 64706f69 6e744944 00000016 84022305 dpointID......#. + 2e460 084d6178 4d736753 697a6500 00001cac .MaxMsgSize..... + 2e470 02230608 53657276 6963654d 6574614c .#..ServiceMetaL + 2e480 656e6774 68000000 16840223 08085f50 ength......#.._P + 2e490 61643100 00001684 02230900 12020000 ad1......#...... + 2e4a0 34ff084d 65737361 67654944 0000001c 4..MessageID.... + 2e4b0 ac022300 00120400 00353b08 4d657373 ..#......5;.Mess + 2e4c0 61676549 44000000 1cac0223 00085069 ageID......#..Pi + 2e4d0 70654944 00000016 84022302 08437265 peID......#..Cre + 2e4e0 64697443 6f756e74 00000016 84022303 ditCount......#. + 2e4f0 00120400 00357208 4d657373 61676549 .....5r.MessageI + 2e500 44000000 1cac0223 00085069 70654944 D......#..PipeID + 2e510 00000016 84022302 08537461 74757300 ......#..Status. + 2e520 00001684 02230300 12020000 35990852 .....#......5..R + 2e530 65636f72 64494400 00001684 02230008 ecordID......#.. + 2e540 4c656e67 74680000 00168402 23010012 Length......#... + 2e550 02000035 c308456e 64706f69 6e744944 ...5..EndpointID + 2e560 00000016 84022300 08437265 64697473 ......#..Credits + 2e570 00000016 84022301 00120400 00360408 ......#......6.. + 2e580 456e6470 6f696e74 49440000 00168402 EndpointID...... + 2e590 23000843 72656469 74730000 00168402 #..Credits...... + 2e5a0 23010854 67744372 65646974 5365714e #..TgtCreditSeqN + 2e5b0 6f000000 1cac0223 02000f00 00168404 o......#........ + 2e5c0 00003611 10030012 06000036 4d085072 ..6........6M.Pr + 2e5d0 6556616c 69640000 00168402 2300084c eValid......#..L + 2e5e0 6f6f6b41 68656164 00000036 04022301 ookAhead...6..#. + 2e5f0 08506f73 7456616c 69640000 00168402 .PostValid...... + 2e600 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ + 2e610 74000000 040d0600 00364d01 03000036 t........6M....6 + 2e620 60040002 01030000 366d0400 14040000 `.......6m...... + 2e630 36eb0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C + 2e640 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID + 2e650 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP + 2e660 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI + 2e670 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO + 2e680 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF + 2e690 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. + 2e6a0 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... + 2e6b0 36760201 03000036 fc040006 00002649 6v.....6......&I + 2e6c0 01030000 37050400 06000026 49010300 ....7......&I... + 2e6d0 00371204 00020103 0000371f 04000762 .7........7....b + 2e6e0 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 + 2e6f0 c1085f69 6e697400 00003666 02230008 .._init...6f.#.. + 2e700 5f736875 74646f77 6e000000 366f0223 _shutdown...6o.# + 2e710 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. + 2e720 0036fe02 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu + 2e730 66000000 370b0223 0c085f61 6c6c6f63 f...7..#.._alloc + 2e740 5f627566 5f616c69 676e0000 00371802 _buf_align...7.. + 2e750 2310085f 66726565 5f627566 00000037 #.._free_buf...7 + 2e760 21022314 08705265 73657276 65640000 !.#..pReserved.. + 2e770 00040d02 23180007 5f485443 5f534552 ....#..._HTC_SER + 2e780 56494345 001c0000 38a00870 4e657874 VICE....8..pNext + 2e790 00000038 a0022300 0850726f 63657373 ...8..#..Process + 2e7a0 52656376 4d736700 00003955 02230408 RecvMsg...9U.#.. + 2e7b0 50726f63 65737353 656e6442 75666665 ProcessSendBuffe + 2e7c0 72436f6d 706c6574 65000000 395e0223 rComplete...9^.# + 2e7d0 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect + 2e7e0 00000039 7202230c 08536572 76696365 ...9r.#..Service + 2e7f0 49440000 0012f202 23100853 65727669 ID......#..Servi + 2e800 6365466c 61677300 000012f2 02231208 ceFlags......#.. + 2e810 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... + 2e820 12f20223 14085472 61696c65 72537063 ...#..TrailerSpc + 2e830 43686563 6b4c696d 69740000 0012f202 CheckLimit...... + 2e840 23160853 65727669 63654374 78000000 #..ServiceCtx... + 2e850 040d0223 18000300 0037c104 00140400 ...#.....7...... + 2e860 00393e19 454e4450 4f494e54 5f554e55 .9>.ENDPOINT_UNU + 2e870 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN + 2e880 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. + 2e890 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END + 2e8a0 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN + 2e8b0 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. + 2e8c0 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END + 2e8d0 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN + 2e8e0 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA + 2e8f0 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN + 2e900 545f4944 00000038 a7020103 00003953 T_ID...8......9S + 2e910 04000201 03000039 5c040003 00000118 .......9\....... + 2e920 04000600 0012de01 03000039 6c040003 ...........9l... + 2e930 000037c1 0400075f 4854435f 434f4e46 ..7...._HTC_CONF + 2e940 49470014 000039f1 08437265 64697453 IG....9..CreditS + 2e950 697a6500 00000118 02230008 43726564 ize......#..Cred + 2e960 69744e75 6d626572 00000001 18022304 itNumber......#. + 2e970 084f5348 616e646c 65000000 1a480223 .OSHandle....H.# + 2e980 08084849 4648616e 646c6500 000028b1 ..HIFHandle...(. + 2e990 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 2e9a0 00364d02 23100007 5f485443 5f425546 .6M.#..._HTC_BUF + 2e9b0 5f434f4e 54455854 00020000 3a2d0865 _CONTEXT....:-.e + 2e9c0 6e645f70 6f696e74 00000012 de022300 nd_point......#. + 2e9d0 08687463 5f666c61 67730000 0012de02 .htc_flags...... + 2e9e0 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t + 2e9f0 00000004 0d094854 435f5345 5455505f ......HTC_SETUP_ + 2ea00 434f4d50 4c455445 5f434200 00000111 COMPLETE_CB..... + 2ea10 09485443 5f434f4e 46494700 00003980 .HTC_CONFIG...9. + 2ea20 0300003a 5a040006 00003a2d 01030000 ...:Z.....:-.... + 2ea30 3a710400 02010300 003a7e04 00094854 :q.......:~...HT + 2ea40 435f5345 52564943 45000000 37c10300 C_SERVICE...7... + 2ea50 003a8704 00020103 00003a9f 04000201 .:........:..... + 2ea60 0300003a a8040002 01030000 3ab10400 ...:........:... + 2ea70 06000001 18010300 003aba04 00076874 .........:....ht + 2ea80 635f6170 69730034 00003c37 085f4854 c_apis.4..<7._HT + 2ea90 435f496e 69740000 003a7702 2300085f C_Init...:w.#.._ + 2eaa0 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: + 2eab0 80022304 085f4854 435f5265 67697374 ..#.._HTC_Regist + 2eac0 65725365 72766963 65000000 3aa10223 erService...:..# + 2ead0 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: + 2eae0 8002230c 085f4854 435f5265 7475726e ..#.._HTC_Return + 2eaf0 42756666 65727300 00003aaa 02231008 Buffers...:..#.. + 2eb00 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 2eb10 72734c69 73740000 003ab302 2314085f rsList...:..#.._ + 2eb20 4854435f 53656e64 4d736700 00003aaa HTC_SendMsg...:. + 2eb30 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese + 2eb40 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: + 2eb50 c002231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec + 2eb60 7648616e 646c6572 00000028 5e022320 vHandler...(^.# + 2eb70 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa + 2eb80 6e646c65 72000000 28550223 24085f48 ndler...(U.#$._H + 2eb90 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro + 2eba0 63657373 4d736700 00003955 02232808 cessMsg...9U.#(. + 2ebb0 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP + 2ebc0 726f6365 73735365 6e64436f 6d706c65 rocessSendComple + 2ebd0 74650000 00395e02 232c0870 52657365 te...9^.#,.pRese + 2ebe0 72766564 00000004 0d022330 0007686f rved......#0..ho + 2ebf0 73745f61 70705f61 7265615f 73000400 st_app_area_s... + 2ec00 003c6708 776d695f 70726f74 6f636f6c ...tupleNumL.. + 2eda0 001cac02 23000874 75706c65 4e756d48 ....#..tupleNumH + 2edb0 0000001c ac022302 08617674 0000003d ......#..avt...= + 2edc0 cb022304 00120100 003e3108 62656163 ..#......>1.beac + 2edd0 6f6e5065 6e64696e 67436f75 6e740000 onPendingCount.. + 2ede0 00168402 23000007 5f574d49 5f535643 ....#..._WMI_SVC + 2edf0 5f434f4e 46494700 1000003e 9a084874 _CONFIG....>..Ht + 2ee00 6348616e 646c6500 00003a2d 02230008 cHandle...:-.#.. + 2ee10 506f6f6c 48616e64 6c650000 00364d02 PoolHandle...6M. + 2ee20 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv + 2ee30 74730000 00011802 2308084d 61784576 ts......#..MaxEv + 2ee40 656e7445 76747300 00000118 02230c00 entEvts......#.. + 2ee50 02010300 003e9a04 0009574d 495f434d .....>....WMI_CM + 2ee60 445f4841 4e444c45 52000000 3e9c075f D_HANDLER...>.._ + 2ee70 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT + 2ee80 52590008 00003f03 0870436d 6448616e RY....?..pCmdHan + 2ee90 646c6572 0000003e a3022300 08436d64 dler...>..#..Cmd + 2eea0 49440000 0012f202 23040846 6c616773 ID......#..Flags + 2eeb0 00000012 f2022306 00075f57 4d495f44 ......#..._WMI_D + 2eec0 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... + 2eed0 003f6408 704e6578 74000000 3f640223 .?d.pNext...?d.# + 2eee0 00087043 6f6e7465 78740000 00040d02 ..pContext...... + 2eef0 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri + 2ef00 65730000 00011802 23080870 5461626c es......#..pTabl + 2ef10 65000000 3f830223 0c000300 003f0304 e...?..#.....?.. + 2ef20 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E + 2ef30 4e545259 0000003e b8030000 3f6b0400 NTRY...>....?k.. + 2ef40 0300003f 03040009 4854435f 4255465f ...?....HTC_BUF_ + 2ef50 434f4e54 45585400 000039f1 0d574d49 CONTEXT...9..WMI + 2ef60 5f455654 5f434c41 53530004 0000401b _EVT_CLASS....@. + 2ef70 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N + 2ef80 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT + 2ef90 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT + 2efa0 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 2efb0 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI + 2efc0 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. + 2efd0 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. + 2efe0 00003fa6 075f574d 495f4255 465f434f ..?.._WMI_BUF_CO + 2eff0 4e544558 54000c00 00407908 48746342 NTEXT....@y.HtcB + 2f000 75664374 78000000 3f910223 00084576 ufCtx...?..#..Ev + 2f010 656e7443 6c617373 00000040 1b022304 entClass...@..#. + 2f020 08466c61 67730000 0012f202 23080009 .Flags......#... + 2f030 776d695f 68616e64 6c655f74 00000004 wmi_handle_t.... + 2f040 0d09574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG + 2f050 0000003e 31030000 408b0400 06000040 ...>1...@......@ + 2f060 79010300 0040a604 0009574d 495f4449 y....@....WMI_DI + 2f070 53504154 43485f54 41424c45 0000003f SPATCH_TABLE...? + 2f080 03030000 40b30400 02010300 0040d204 ....@........@.. + 2f090 00060000 26490103 000040db 04000201 ....&I....@..... + 2f0a0 03000040 e8040006 00000118 01030000 ...@............ + 2f0b0 40f10400 02010300 0040fe04 00060000 @........@...... + 2f0c0 12de0103 00004107 0400075f 776d695f ......A...._wmi_ + 2f0d0 7376635f 61706973 002c0000 424f085f svc_apis.,..BO._ + 2f0e0 574d495f 496e6974 00000040 ac022300 WMI_Init...@..#. + 2f0f0 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi + 2f100 73706174 63685461 626c6500 000040d4 spatchTable...@. + 2f110 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv + 2f120 656e7400 000040e1 02230808 5f574d49 ent...@..#.._WMI + 2f130 5f53656e 64457665 6e740000 0040ea02 _SendEvent...@.. + 2f140 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi + 2f150 6e674576 656e7473 436f756e 74000000 ngEventsCount... + 2f160 40f70223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC + 2f170 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. + 2f180 00395e02 2314085f 574d495f 47657443 .9^.#.._WMI_GetC + 2f190 6f6e7472 6f6c4570 00000040 f7022318 ontrolEp...@..#. + 2f1a0 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. + 2f1b0 00410002 231c085f 574d495f 52656376 .A..#.._WMI_Recv + 2f1c0 4d657373 61676548 616e646c 65720000 MessageHandler.. + 2f1d0 00395502 2320085f 574d495f 53657276 .9U.# ._WMI_Serv + 2f1e0 69636543 6f6e6e65 63740000 00410d02 iceConnect...A.. + 2f1f0 23240870 52657365 72766564 00000004 #$.pReserved.... + 2f200 0d022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. + 2f210 14000042 d1086374 726c0000 00017c02 ...B..ctrl....|. + 2f220 23000873 74617475 73000000 017c0223 #..status....|.# + 2f230 0208746f 74616c4c 656e0000 00017c02 ..totalLen....|. + 2f240 23040864 61746153 697a6500 0000017c #..dataSize....| + 2f250 02230608 6c617374 41646472 00000042 .#..lastAddr...B + 2f260 d1022308 08646174 61416464 72000000 ..#..dataAddr... + 2f270 01a00223 0c086e65 78744164 64720000 ...#..nextAddr.. + 2f280 0042d102 23100003 0000424f 04000300 .B..#.....BO.... + 2f290 00424f04 00077a73 446d6151 75657565 .BO...zsDmaQueue + 2f2a0 00080000 43110868 65616400 000042d8 ....C..head...B. + 2f2b0 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 2f2c0 0042d802 23040007 7a735478 446d6151 .B..#...zsTxDmaQ + 2f2d0 75657565 00100000 43750868 65616400 ueue....Cu.head. + 2f2e0 000042d8 02230008 7465726d 696e6174 ..B..#..terminat + 2f2f0 6f720000 0042d802 23040878 6d697465 or...B..#..xmite + 2f300 645f6275 665f6865 61640000 00143d02 d_buf_head....=. + 2f310 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta + 2f320 696c0000 00143d02 230c0002 01030000 il....=.#....... + 2f330 43750400 03000042 df040002 01030000 Cu.....B........ + 2f340 43850400 03000043 11040002 01030000 C......C........ + 2f350 43950400 02010300 00439e04 00020103 C........C...... + 2f360 000043a7 04000600 00143d01 03000043 ..C.......=....C + 2f370 b0040002 01030000 43bd0400 06000014 ........C....... + 2f380 3d010300 0043c604 00020103 000043d3 =....C........C. + 2f390 04000600 00011801 03000043 dc040006 ...........C.... + 2f3a0 000042d8 01030000 43e90400 02010300 ..B.....C....... + 2f3b0 0043f604 0007646d 615f656e 67696e65 .C....dma_engine + 2f3c0 5f617069 00400000 456c085f 696e6974 _api.@..El._init + 2f3d0 00000043 77022300 085f696e 69745f72 ...Cw.#.._init_r + 2f3e0 785f7175 65756500 00004387 02230408 x_queue...C..#.. + 2f3f0 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. + 2f400 00439702 2308085f 636f6e66 69675f72 .C..#.._config_r + 2f410 785f7175 65756500 000043a0 02230c08 x_queue...C..#.. + 2f420 5f786d69 745f6275 66000000 43a90223 _xmit_buf...C..# + 2f430 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... + 2f440 43870223 14085f72 6561705f 72656376 C..#.._reap_recv + 2f450 5f627566 00000043 b6022318 085f7265 _buf...C..#.._re + 2f460 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 2f470 43bf0223 1c085f72 6561705f 786d6974 C..#.._reap_xmit + 2f480 65645f62 75660000 0043cc02 2320085f ed_buf...C..# ._ + 2f490 73776170 5f646174 61000000 43d50223 swap_data...C..# + 2f4a0 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac + 2f4b0 6b657473 00000043 e2022328 085f6465 kets...C..#(._de + 2f4c0 73635f64 756d7000 00004387 02232c08 sc_dump...C..#,. + 2f4d0 5f676574 5f706163 6b657400 000043ef _get_packet...C. + 2f4e0 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac + 2f4f0 6b657400 000043f8 02233408 5f707574 ket...C..#4._put + 2f500 5f706163 6b657400 000043f8 02233808 _packet...C..#8. + 2f510 70526573 65727665 64000000 040d0223 pReserved......# + 2f520 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi + 2f530 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 2f540 000030ab 09574d49 5f535643 5f415049 ..0..WMI_SVC_API + 2f550 53000000 4114175f 415f6d61 67706965 S...A.._A_magpie + 2f560 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 2f570 6c650003 4c000046 9a08636d 6e6f7300 le..L..F..cmnos. + 2f580 0000456c 02230008 64626700 000003da ..El.#..dbg..... + 2f590 0323b803 08686966 00000029 540323c0 .#...hif...)T.#. + 2f5a0 03086874 63000000 3ac70323 f8030877 ..htc...:..#...w + 2f5b0 6d695f73 76635f61 70690000 00458e03 mi_svc_api...E.. + 2f5c0 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. + 2f5d0 0000327f 0323d804 08627566 5f706f6f ..2..#...buf_poo + 2f5e0 6c000000 37280323 e4040876 62756600 l...7(.#...vbuf. + 2f5f0 00001467 03238005 08766465 73630000 ...g.#...vdesc.. + 2f600 00134903 23940508 616c6c6f 6372616d ..I.#...allocram + 2f610 00000009 3f0323a8 0508646d 615f656e ....?.#...dma_en + 2f620 67696e65 00000043 ff0323b4 0508646d gine...C..#...dm + 2f630 615f6c69 62000000 2be80323 f4050868 a_lib...+..#...h + 2f640 69665f70 63690000 002e4803 23a80600 if_pci....H.#... + 2f650 095f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 2f660 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 2f670 0045a003 00000693 04000201 1a010d63 .E.............c + 2f680 6d6e6f73 5f737472 696e675f 696e6974 mnos_string_init + 2f690 00010103 92012002 9000008e 2b24008e ...... .....+$.. + 2f6a0 2b291b01 12636d6e 6f735f73 7472696e +)...cmnos_strin + 2f6b0 675f6d6f 64756c65 5f696e73 74616c6c g_module_install + 2f6c0 00010103 92012002 9000008e 2b2c008e ...... .....+,.. + 2f6d0 2b4f1c01 1274626c 00000046 bd015200 +O...tbl...F..R. + 2f6e0 00000000 48ad0002 000011a4 04012f72 ....H........./r + 2f6f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2f700 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2f710 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 2f720 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 2f730 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 2f740 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 2f750 732f7461 736b6c65 742f7372 632f636d s/tasklet/src/cm + 2f760 6e6f735f 7461736b 6c65742e 63002f72 nos_tasklet.c./r + 2f770 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2f780 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2f790 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 2f7a0 2f636d6e 6f732f74 61736b6c 65740078 /cmnos/tasklet.x + 2f7b0 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 + 2f7c0 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr + 2f7d0 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - + 2f7e0 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... + 2f7f0 0000a8a1 02010300 00011204 0004696e ..............in + 2f800 74000504 04636861 72000701 05000001 t....char....... + 2f810 22050000 01220300 00012f04 00060000 "...."..../..... + 2f820 011b0103 0000013b 04000770 72696e74 .......;...print + 2f830 665f6170 69000800 00017f08 5f707269 f_api......._pri + 2f840 6e74665f 696e6974 00000001 14022300 ntf_init......#. + 2f850 085f7072 696e7466 00000001 41022304 ._printf....A.#. + 2f860 00047368 6f727420 756e7369 676e6564 ..short unsigned + 2f870 20696e74 00070209 75696e74 31365f74 int....uint16_t + 2f880 00000001 7f046c6f 6e672075 6e736967 ......long unsig + 2f890 6e656420 696e7400 07040975 696e7433 ned int....uint3 + 2f8a0 325f7400 000001a3 07756172 745f6669 2_t......uart_fi + 2f8b0 666f0008 00000211 08737461 72745f69 fo.......start_i + 2f8c0 6e646578 00000001 95022300 08656e64 ndex......#..end + 2f8d0 5f696e64 65780000 00019502 2302086f _index......#..o + 2f8e0 76657272 756e5f65 72720000 0001b802 verrun_err...... + 2f8f0 23040007 75617274 5f617069 00200000 #...uart_api. .. + 2f900 02ca085f 75617274 5f696e69 74000000 ..._uart_init... + 2f910 03210223 00085f75 6172745f 63686172 .!.#.._uart_char + 2f920 5f707574 00000003 48022304 085f7561 _put....H.#.._ua + 2f930 72745f63 6861725f 67657400 0000035c rt_char_get....\ + 2f940 02230808 5f756172 745f7374 725f6f75 .#.._uart_str_ou + 2f950 74000000 03650223 0c085f75 6172745f t....e.#.._uart_ + 2f960 7461736b 00000001 14022310 085f7561 task......#.._ua + 2f970 72745f73 74617475 73000000 03210223 rt_status....!.# + 2f980 14085f75 6172745f 636f6e66 69670000 .._uart_config.. + 2f990 00036e02 2318085f 75617274 5f687769 ..n.#.._uart_hwi + 2f9a0 6e697400 00000377 02231c00 03000002 nit....w.#...... + 2f9b0 11040007 75617274 5f626c6b 00100000 ....uart_blk.... + 2f9c0 031b0864 65627567 5f6d6f64 65000000 ...debug_mode... + 2f9d0 01950223 00086261 75640000 00019502 ...#..baud...... + 2f9e0 2302085f 75617274 00000002 ca022304 #.._uart......#. + 2f9f0 085f7478 00000001 c6022308 00060000 ._tx......#..... + 2fa00 01b80103 0000031b 04000475 6e736967 ...........unsig + 2fa10 6e656420 63686172 00070109 75696e74 ned char....uint + 2fa20 385f7400 00000328 02010300 00034604 8_t....(......F. + 2fa30 00030000 03390400 06000001 95010300 .....9.......... + 2fa40 00035604 00020103 00000363 04000201 ..V........c.... + 2fa50 03000003 6c040002 01030000 03750400 ....l........u.. + 2fa60 03000001 22040006 0000011b 01030000 ...."........... + 2fa70 03850400 0744425f 434f4d4d 414e445f .....DB_COMMAND_ + 2fa80 53545255 4354000c 000003dd 08636d64 STRUCT.......cmd + 2fa90 5f737472 00000003 7e022300 0868656c _str....~.#..hel + 2faa0 705f7374 72000000 037e0223 0408636d p_str....~.#..cm + 2fab0 645f6675 6e630000 00038b02 23080007 d_func......#... + 2fac0 6462675f 61706900 08000004 10085f64 dbg_api......._d + 2fad0 62675f69 6e697400 00000114 02230008 bg_init......#.. + 2fae0 5f646267 5f746173 6b000000 01140223 _dbg_task......# + 2faf0 04000a04 0004756e 7369676e 65642069 ......unsigned i + 2fb00 6e740007 04060000 04100103 00000423 nt.............# + 2fb10 04000b0b 03000004 31040006 00000410 ........1....... + 2fb20 01030000 04390400 06000001 1b010300 .....9.......... + 2fb30 00044604 00076d65 6d5f6170 69001400 ..F...mem_api... + 2fb40 0004b508 5f6d656d 5f696e69 74000000 ...._mem_init... + 2fb50 01140223 00085f6d 656d7365 74000000 ...#.._memset... + 2fb60 04290223 04085f6d 656d6370 79000000 .).#.._memcpy... + 2fb70 043f0223 08085f6d 656d6d6f 76650000 .?.#.._memmove.. + 2fb80 00043f02 230c085f 6d656d63 6d700000 ..?.#.._memcmp.. + 2fb90 00044c02 2310000c 72656769 73746572 ..L.#...register + 2fba0 5f64756d 705f7300 00010300 0004b504 _dump_s......... + 2fbb0 00020103 000004cf 04000201 03000004 ................ + 2fbc0 d8040006 0000011b 01030000 04e10400 ................ + 2fbd0 0d686f73 7469665f 73000400 00053d0e .hostif_s.....=. + 2fbe0 4849465f 55534200 000e4849 465f5043 HIF_USB...HIF_PC + 2fbf0 49450001 0e484946 5f474d41 4300020e IE...HIF_GMAC... + 2fc00 4849465f 50434900 030e4849 465f4e55 HIF_PCI...HIF_NU + 2fc10 4d00040e 4849465f 4e4f4e45 00050009 M...HIF_NONE.... + 2fc20 415f484f 53544946 00000004 ee060000 A_HOSTIF........ + 2fc30 053d0103 0000054b 04000600 00033901 .=.....K......9. + 2fc40 03000005 58040006 00000195 01030000 ....X........... + 2fc50 05650400 076d6973 635f6170 69002400 .e...misc_api.$. + 2fc60 00065508 5f737973 74656d5f 72657365 ..U._system_rese + 2fc70 74000000 01140223 00085f6d 61635f72 t......#.._mac_r + 2fc80 65736574 00000001 14022304 085f6173 eset......#.._as + 2fc90 73666169 6c000000 04d10223 08085f6d sfail......#.._m + 2fca0 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 2fcb0 616e646c 65720000 0004d102 230c085f andler......#.._ + 2fcc0 7265706f 72745f66 61696c75 72655f74 report_failure_t + 2fcd0 6f5f686f 73740000 0004da02 2310085f o_host......#.._ + 2fce0 74617267 65745f69 645f6765 74000000 target_id_get... + 2fcf0 04e70223 14085f69 735f686f 73745f70 ...#.._is_host_p + 2fd00 72657365 6e740000 00055102 2318085f resent....Q.#.._ + 2fd10 6b626869 74000000 055e0223 1c085f72 kbhit....^.#.._r + 2fd20 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 2fd30 00056b02 23200006 0000037e 01030000 ..k.# .....~.... + 2fd40 06550400 06000003 7e010300 00066204 .U......~.....b. + 2fd50 00060000 011b0103 0000066f 04000600 ...........o.... + 2fd60 00011b01 03000006 7c040006 0000011b ........|....... + 2fd70 01030000 06890400 07737472 696e675f .........string_ + 2fd80 61706900 18000007 0f085f73 7472696e api......._strin + 2fd90 675f696e 69740000 00011402 2300085f g_init......#.._ + 2fda0 73747263 70790000 00065b02 2304085f strcpy....[.#.._ + 2fdb0 7374726e 63707900 00000668 02230808 strncpy....h.#.. + 2fdc0 5f737472 6c656e00 00000675 02230c08 _strlen....u.#.. + 2fdd0 5f737472 636d7000 00000682 02231008 _strcmp......#.. + 2fde0 5f737472 6e636d70 00000006 8f022314 _strncmp......#. + 2fdf0 000f0000 04131400 00071c10 0400095f ..............._ + 2fe00 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 2fe10 070f0941 5f74696d 65725f74 00000007 ...A_timer_t.... + 2fe20 1c030000 07300400 02010300 00074604 .....0........F. + 2fe30 00020103 0000074f 04000941 5f48414e .......O...A_HAN + 2fe40 444c4500 00000413 02010941 5f54494d DLE........A_TIM + 2fe50 45525f46 554e4300 00000766 03000007 ER_FUNC....f.... + 2fe60 68040002 01030000 07810400 0774696d h............tim + 2fe70 65725f61 70690014 00000800 085f7469 er_api......._ti + 2fe80 6d65725f 696e6974 00000001 14022300 mer_init......#. + 2fe90 085f7469 6d65725f 61726d00 00000748 ._timer_arm....H + 2fea0 02230408 5f74696d 65725f64 69736172 .#.._timer_disar + 2feb0 6d000000 07510223 08085f74 696d6572 m....Q.#.._timer + 2fec0 5f736574 666e0000 00078302 230c085f _setfn......#.._ + 2fed0 74696d65 725f7275 6e000000 01140223 timer_run......# + 2fee0 10000942 4f4f4c45 414e0000 00019506 ...BOOLEAN...... + 2fef0 00000800 01030000 080d0400 06000008 ................ + 2ff00 00010300 00081a04 00060000 08000103 ................ + 2ff10 00000827 04000772 6f6d705f 61706900 ...'...romp_api. + 2ff20 10000008 99085f72 6f6d705f 696e6974 ......_romp_init + 2ff30 00000001 14022300 085f726f 6d705f64 ......#.._romp_d + 2ff40 6f776e6c 6f616400 00000813 02230408 ownload......#.. + 2ff50 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 2ff60 08200223 08085f72 6f6d705f 6465636f . .#.._romp_deco + 2ff70 64650000 00082d02 230c0007 726f6d5f de....-.#...rom_ + 2ff80 70617463 685f7374 00100000 08f50863 patch_st.......c + 2ff90 72633136 00000001 95022300 086c656e rc16......#..len + 2ffa0 00000001 95022302 086c645f 61646472 ......#..ld_addr + 2ffb0 00000001 b8022304 0866756e 5f616464 ......#..fun_add + 2ffc0 72000000 01b80223 08087066 756e0000 r......#..pfun.. + 2ffd0 00034f02 230c0007 6565705f 72656469 ..O.#...eep_redi + 2ffe0 725f6164 64720004 00000927 086f6666 r_addr.....'.off + 2fff0 73657400 00000195 02230008 73697a65 set......#..size + 30000 00000001 95022302 0009415f 55494e54 ......#...A_UINT + 30010 33320000 00041306 00000410 01030000 32.............. + 30020 09350400 07616c6c 6f637261 6d5f6170 .5...allocram_ap + 30030 69000c00 0009a608 636d6e6f 735f616c i.......cmnos_al + 30040 6c6f6372 616d5f69 6e697400 0000093b locram_init....; + 30050 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 30060 616d0000 00093b02 23040863 6d6e6f73 am....;.#..cmnos + 30070 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 30080 00000114 02230800 02010300 0009a604 .....#.......... + 30090 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 300a0 00000009 a8075f74 61736b6c 65740010 ......_tasklet.. + 300b0 00000a07 0866756e 63000000 09af0223 .....func......# + 300c0 00086172 67000000 04100223 04087374 ..arg......#..st + 300d0 61746500 0000011b 02230808 6e657874 ate......#..next + 300e0 0000000a 0702230c 00030000 09c30400 ......#......... + 300f0 03000009 c3040009 415f7461 736b6c65 ........A_taskle + 30100 745f7400 000009c3 0300000a 15040002 t_t............. + 30110 01030000 0a2d0400 02010300 000a3604 .....-........6. + 30120 00077461 736b6c65 745f6170 69001400 ..tasklet_api... + 30130 000acb08 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 30140 74000000 01140223 00085f74 61736b6c t......#.._taskl + 30150 65745f69 6e69745f 7461736b 0000000a et_init_task.... + 30160 2f022304 085f7461 736b6c65 745f6469 /.#.._tasklet_di + 30170 7361626c 65000000 0a380223 08085f74 sable....8.#.._t + 30180 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 30190 00000a38 02230c08 5f746173 6b6c6574 ...8.#.._tasklet + 301a0 5f72756e 00000001 14022310 00020103 _run......#..... + 301b0 00000acb 04000600 00092701 0300000a ..........'..... + 301c0 d4040002 01030000 0ae10400 07636c6f .............clo + 301d0 636b5f61 70690024 00000bc3 085f636c ck_api.$....._cl + 301e0 6f636b5f 696e6974 0000000a cd022300 ock_init......#. + 301f0 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init + 30200 00000001 14022304 085f7561 72745f66 ......#.._uart_f + 30210 72657175 656e6379 0000000a da022308 requency......#. + 30220 085f6465 6c61795f 75730000 000ae302 ._delay_us...... + 30230 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se + 30240 74000000 0ae30223 10085f72 6566636c t......#.._refcl + 30250 6b5f7370 6565645f 67657400 00000ada k_speed_get..... + 30260 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond + 30270 73000000 0ada0223 18085f73 7973636c s......#.._syscl + 30280 6b5f6368 616e6765 00000001 1402231c k_change......#. + 30290 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... + 302a0 14022320 00060000 01b80103 00000bc3 ..# ............ + 302b0 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. + 302c0 000001b8 0600000b d0010300 000be204 ................ + 302d0 00020103 00000bef 04000201 0300000b ................ + 302e0 f8040006 000001b8 01030000 0c010400 ................ + 302f0 09415f69 73725f74 0000000c 07020103 .A_isr_t........ + 30300 00000c1b 04000600 00041301 0300000c ................ + 30310 24040002 01030000 0c310400 07696e74 $........1...int + 30320 725f6170 69002c00 000d5308 5f696e74 r_api.,...S._int + 30330 725f696e 69740000 00011402 2300085f r_init......#.._ + 30340 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. + 30350 00000bc9 02230408 5f696e74 725f6469 .....#.._intr_di + 30360 7361626c 65000000 0be80223 08085f69 sable......#.._i + 30370 6e74725f 72657374 6f726500 00000bf1 ntr_restore..... + 30380 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i + 30390 6e756d00 00000bfa 02231008 5f696e74 num......#.._int + 303a0 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... + 303b0 0bfa0223 14085f69 6e74725f 61747461 ...#.._intr_atta + 303c0 63685f69 73720000 000c1d02 2318085f ch_isr......#.._ + 303d0 6765745f 696e7472 656e6162 6c650000 get_intrenable.. + 303e0 000c2a02 231c085f 7365745f 696e7472 ..*.#.._set_intr + 303f0 656e6162 6c650000 000c3302 2320085f enable....3.# ._ + 30400 6765745f 696e7472 70656e64 696e6700 get_intrpending. + 30410 00000c2a 02232408 5f756e62 6c6f636b ...*.#$._unblock + 30420 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... + 30430 14022328 00110400 000d7908 74696d65 ..#(......y.time + 30440 6f757400 000001b8 02230008 61637469 out......#..acti + 30450 6f6e0000 0001b802 23000012 0800000d on......#....... + 30460 9408636d 64000000 01b80223 00130000 ..cmd......#.... + 30470 0d530223 04000954 5f574454 5f434d44 .S.#...T_WDT_CMD + 30480 0000000d 79020103 00000da3 04001404 ....y........... + 30490 00000df9 0e454e55 4d5f5744 545f424f .....ENUM_WDT_BO + 304a0 4f540001 0e454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B + 304b0 4f4f5400 020e454e 554d5f53 5553505f OOT...ENUM_SUSP_ + 304c0 424f4f54 00030e45 4e554d5f 554e4b4e BOOT...ENUM_UNKN + 304d0 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO + 304e0 4f545f54 59504500 00000dac 0600000d OT_TYPE......... + 304f0 f9010300 000e0a04 00077764 745f6170 ..........wdt_ap + 30500 69001c00 000eae08 5f776474 5f696e69 i......._wdt_ini + 30510 74000000 01140223 00085f77 64745f65 t......#.._wdt_e + 30520 6e61626c 65000000 01140223 04085f77 nable......#.._w + 30530 64745f64 69736162 6c650000 00011402 dt_disable...... + 30540 2308085f 7764745f 73657400 00000da5 #.._wdt_set..... + 30550 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... + 30560 01140223 10085f77 64745f72 65736574 ...#.._wdt_reset + 30570 00000001 14022314 085f7764 745f6c61 ......#.._wdt_la + 30580 73745f62 6f6f7400 00000e10 02231800 st_boot......#.. + 30590 14040000 0f150e52 45545f53 55434345 .......RET_SUCCE + 305a0 53530000 0e524554 5f4e4f54 5f494e49 SS...RET_NOT_INI + 305b0 5400010e 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS + 305c0 5400020e 5245545f 4545505f 434f5252 T...RET_EEP_CORR + 305d0 55505400 030e5245 545f4545 505f4f56 UPT...RET_EEP_OV + 305e0 4552464c 4f570004 0e524554 5f554e4b ERFLOW...RET_UNK + 305f0 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE + 30600 54000000 0eae0300 00019504 00060000 T............... + 30610 0f150103 00000f2b 04000600 000f1501 .......+........ + 30620 0300000f 38040007 6565705f 61706900 ....8...eep_api. + 30630 1000000f a1085f65 65705f69 6e697400 ......_eep_init. + 30640 00000114 02230008 5f656570 5f726561 .....#.._eep_rea + 30650 64000000 0f310223 04085f65 65705f77 d....1.#.._eep_w + 30660 72697465 0000000f 31022308 085f6565 rite....1.#.._ee + 30670 705f6973 5f657869 73740000 000f3e02 p_is_exist....>. + 30680 230c0007 7573625f 61706900 70000012 #...usb_api.p... + 30690 4e085f75 73625f69 6e697400 00000114 N._usb_init..... + 306a0 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas + 306b0 6b000000 01140223 04085f75 73625f66 k......#.._usb_f + 306c0 775f7461 736b0000 00011402 2308085f w_task......#.._ + 306d0 7573625f 696e6974 5f706879 00000001 usb_init_phy.... + 306e0 1402230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se + 306f0 74757000 00000114 02231008 5f757362 tup......#.._usb + 30700 5f657030 5f747800 00000114 02231408 _ep0_tx......#.. + 30710 5f757362 5f657030 5f727800 00000114 _usb_ep0_rx..... + 30720 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int + 30730 65726661 63650000 00082002 231c085f erface.... .#.._ + 30740 7573625f 7365745f 696e7465 72666163 usb_set_interfac + 30750 65000000 08200223 20085f75 73625f67 e.... .# ._usb_g + 30760 65745f63 6f6e6669 67757261 74696f6e et_configuration + 30770 00000008 20022324 085f7573 625f7365 .... .#$._usb_se + 30780 745f636f 6e666967 75726174 696f6e00 t_configuration. + 30790 00000820 02232808 5f757362 5f737461 ... .#(._usb_sta + 307a0 6e646172 645f636d 64000000 08200223 ndard_cmd.... .# + 307b0 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm + 307c0 64000000 01140223 30085f75 73625f70 d......#0._usb_p + 307d0 6f776572 5f6f6666 00000001 14022334 ower_off......#4 + 307e0 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo + 307f0 00000001 14022338 085f7573 625f6765 ......#8._usb_ge + 30800 6e5f7764 74000000 01140223 3c085f75 n_wdt......#<._u + 30810 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... + 30820 14022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe + 30830 61747572 65000000 08200223 44085f75 ature.... .#D._u + 30840 73625f73 65745f66 65617475 72650000 sb_set_feature.. + 30850 00082002 2348085f 7573625f 7365745f .. .#H._usb_set_ + 30860 61646472 65737300 00000820 02234c08 address.... .#L. + 30870 5f757362 5f676574 5f646573 63726970 _usb_get_descrip + 30880 746f7200 00000820 02235008 5f757362 tor.... .#P._usb + 30890 5f676574 5f737461 74757300 00000820 _get_status.... + 308a0 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d + 308b0 65736300 00000114 02235808 5f757362 esc......#X._usb + 308c0 5f726567 5f6f7574 00000001 1402235c _reg_out......#\ + 308d0 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. + 308e0 00000114 02236008 5f757362 5f657030 .....#`._usb_ep0 + 308f0 5f74785f 64617461 00000001 14022364 _tx_data......#d + 30900 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat + 30910 61000000 01140223 68085f75 73625f63 a......#h._usb_c + 30920 6c6b5f69 6e697400 00000114 02236c00 lk_init......#l. + 30930 075f5644 45534300 24000012 da086e65 ._VDESC.$.....ne + 30940 78745f64 65736300 000012da 02230008 xt_desc......#.. + 30950 6275665f 61646472 00000012 ee022304 buf_addr......#. + 30960 08627566 5f73697a 65000000 12f50223 .buf_size......# + 30970 08086461 74615f6f 66667365 74000000 ..data_offset... + 30980 12f50223 0a086461 74615f73 697a6500 ...#..data_size. + 30990 000012f5 02230c08 636f6e74 726f6c00 .....#..control. + 309a0 000012f5 02230e08 68775f64 6573635f .....#..hw_desc_ + 309b0 62756600 00001303 02231000 03000012 buf......#...... + 309c0 4e040009 415f5549 4e543800 00000328 N...A_UINT8....( + 309d0 03000012 e1040009 415f5549 4e543136 ........A_UINT16 + 309e0 00000001 7f0f0000 12e11400 00131010 ................ + 309f0 13000300 00124e04 00095644 45534300 ......N...VDESC. + 30a00 0000124e 03000013 17040006 00001322 ...N..........." + 30a10 01030000 13290400 06000012 ee010300 .....).......... + 30a20 00133604 00020103 00001343 04000776 ..6........C...v + 30a30 64657363 5f617069 00140000 13bb085f desc_api......._ + 30a40 696e6974 0000000a e3022300 085f616c init......#.._al + 30a50 6c6f635f 76646573 63000000 132f0223 loc_vdesc..../.# + 30a60 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. + 30a70 00133c02 2308085f 73776170 5f766465 ..<.#.._swap_vde + 30a80 73630000 00134502 230c0870 52657365 sc....E.#..pRese + 30a90 72766564 00000004 10022310 00075f56 rved......#..._V + 30aa0 42554600 20000014 1b086465 73635f6c BUF. .....desc_l + 30ab0 69737400 00001322 02230008 6e657874 ist....".#..next + 30ac0 5f627566 00000014 1b022304 08627566 _buf......#..buf + 30ad0 5f6c656e 67746800 000012f5 02230808 _length......#.. + 30ae0 72657365 72766564 00000014 2202230a reserved....".#. + 30af0 08637478 00000013 0302230c 00030000 .ctx......#..... + 30b00 13bb0400 0f000012 e1020000 142f1001 ............./.. + 30b10 00030000 13bb0400 09564255 46000000 .........VBUF... + 30b20 13bb0300 00143604 00060000 14400103 ......6......@.. + 30b30 00001447 04000600 00144001 03000014 ...G......@..... + 30b40 54040002 01030000 14610400 07766275 T........a...vbu + 30b50 665f6170 69001400 0014df08 5f696e69 f_api......._ini + 30b60 74000000 0ae30223 00085f61 6c6c6f63 t......#.._alloc + 30b70 5f766275 66000000 144d0223 04085f61 _vbuf....M.#.._a + 30b80 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s + 30b90 697a6500 0000145a 02230808 5f667265 ize....Z.#.._fre + 30ba0 655f7662 75660000 00146302 230c0870 e_vbuf....c.#..p + 30bb0 52657365 72766564 00000004 10022310 Reserved......#. + 30bc0 00075f5f 6164665f 64657669 63650004 ..__adf_device.. + 30bd0 00001501 0864756d 6d790000 00011b02 .....dummy...... + 30be0 23000003 00000927 0400075f 5f616466 #......'...__adf + 30bf0 5f646d61 5f6d6170 000c0000 15480862 _dma_map.....H.b + 30c00 75660000 00144002 23000864 735f6164 uf....@.#..ds_ad + 30c10 64720000 00150102 23040864 735f6c65 dr......#..ds_le + 30c20 6e000000 12f50223 0800120c 00001582 n......#........ + 30c30 085f5f76 615f7374 6b000000 037e0223 .__va_stk....~.# + 30c40 00085f5f 76615f72 65670000 00037e02 ..__va_reg....~. + 30c50 2304085f 5f76615f 6e647800 0000011b #..__va_ndx..... + 30c60 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm + 30c70 615f6164 64725f74 00000009 27096164 a_addr_t....'.ad + 30c80 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 30c90 00001582 095f5f61 64665f6f 735f646d .....__adf_os_dm + 30ca0 615f7369 7a655f74 00000009 27096164 a_size_t....'.ad + 30cb0 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 30cc0 000015b2 075f5f64 6d615f73 65677300 .....__dma_segs. + 30cd0 08000016 0e087061 64647200 0000159b ......paddr..... + 30ce0 02230008 6c656e00 000015cb 02230400 .#..len......#.. + 30cf0 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... + 30d00 09270961 5f75696e 7433325f 74000000 .'.a_uint32_t... + 30d10 160e0f00 0015e208 0000163d 10000007 ...........=.... + 30d20 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 30d30 666f000c 00001676 086e7365 67730000 fo.....v.nsegs.. + 30d40 00162002 23000864 6d615f73 65677300 .. .#..dma_segs. + 30d50 00001630 02230400 095f5f61 5f75696e ...0.#...__a_uin + 30d60 74385f74 00000012 e109615f 75696e74 t8_t......a_uint + 30d70 385f7400 00001676 03000016 87040007 8_t....v........ + 30d80 5f5f7367 5f736567 73000800 0016c808 __sg_segs....... + 30d90 76616464 72000000 16960223 00086c65 vaddr......#..le + 30da0 6e000000 16200223 04000f00 00169d20 n.... .#....... + 30db0 000016d5 10030007 6164665f 6f735f73 ........adf_os_s + 30dc0 676c6973 74002400 00170808 6e736567 glist.$.....nseg + 30dd0 73000000 16200223 00087367 5f736567 s.... .#..sg_seg + 30de0 73000000 16c80223 04001210 00001751 s......#.......Q + 30df0 0876656e 646f7200 00001620 02230008 .vendor.... .#.. + 30e00 64657669 63650000 00162002 23040873 device.... .#..s + 30e10 75627665 6e646f72 00000016 20022308 ubvendor.... .#. + 30e20 08737562 64657669 63650000 00162002 .subdevice.... . + 30e30 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un + 30e40 7369676e 65642069 6e740007 0809415f signed int....A_ + 30e50 55494e54 36340000 00175109 5f5f615f UINT64....Q.__a_ + 30e60 75696e74 36345f74 00000017 6b09615f uint64_t....k.a_ + 30e70 75696e74 36345f74 00000017 79140400 uint64_t....y... + 30e80 0017d70e 4144465f 4f535f52 45534f55 ....ADF_OS_RESOU + 30e90 5243455f 54595045 5f4d454d 00000e41 RCE_TYPE_MEM...A + 30ea0 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 30eb0 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os + 30ec0 5f726573 6f757263 655f7479 70655f74 _resource_type_t + 30ed0 00000017 9b121800 00182108 73746172 ..........!.star + 30ee0 74000000 178b0223 0008656e 64000000 t......#..end... + 30ef0 178b0223 08087479 70650000 0017d702 ...#..type...... + 30f00 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d + 30f10 65765f69 645f7400 00001708 03000018 ev_id_t......... + 30f20 21040011 04000018 60087063 69000000 !.......`.pci... + 30f30 183a0223 00087261 77000000 04100223 .:.#..raw......# + 30f40 00001110 0000187f 08706369 00000018 .........pci.... + 30f50 21022300 08726177 00000004 10022300 !.#..raw......#. + 30f60 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle + 30f70 5f740000 00041009 6164665f 6f735f72 _t......adf_os_r + 30f80 65736f75 7263655f 74000000 17f30300 esource_t....... + 30f90 00189504 00096164 665f6f73 5f617474 ......adf_os_att + 30fa0 6163685f 64617461 5f740000 00186003 ach_data_t....`. + 30fb0 000018b3 04000300 0014df04 00095f5f ..............__ + 30fc0 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 30fd0 000018d4 09616466 5f6f735f 64657669 .....adf_os_devi + 30fe0 63655f74 00000018 db060000 187f0103 ce_t............ + 30ff0 00001907 04000201 03000019 14040009 ................ + 31000 6164665f 6f735f70 6d5f7400 00000410 adf_os_pm_t..... + 31010 02010300 00192e04 00140400 00196e0e ..............n. + 31020 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 31030 50434900 010e4144 465f4f53 5f425553 PCI...ADF_OS_BUS + 31040 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... + 31050 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type + 31060 5f740000 00193709 6164665f 6f735f62 _t....7.adf_os_b + 31070 75735f72 65675f64 6174615f 74000000 us_reg_data_t... + 31080 18410300 00032804 00075f61 64665f64 .A....(..._adf_d + 31090 72765f69 6e666f00 2000001a 4b086472 rv_info. ...K.dr + 310a0 765f6174 74616368 00000019 0d022300 v_attach......#. + 310b0 08647276 5f646574 61636800 00001916 .drv_detach..... + 310c0 02230408 6472765f 73757370 656e6400 .#..drv_suspend. + 310d0 00001930 02230808 6472765f 72657375 ...0.#..drv_resu + 310e0 6d650000 00191602 230c0862 75735f74 me......#..bus_t + 310f0 79706500 0000196e 02231008 6275735f ype....n.#..bus_ + 31100 64617461 00000019 85022314 086d6f64 data......#..mod + 31110 5f6e616d 65000000 19a00223 18086966 _name......#..if + 31120 6e616d65 00000019 a002231c 00096164 name......#...ad + 31130 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... + 31140 04100300 00167604 00020102 01095f5f ......v.......__ + 31150 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 31160 04131404 00001a9a 0e415f46 414c5345 .........A_FALSE + 31170 00000e41 5f545255 45000100 09615f62 ...A_TRUE....a_b + 31180 6f6f6c5f 74000000 1a800300 00150804 ool_t........... + 31190 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m + 311a0 61705f74 0000001a a802010d 6164665f ap_t........adf_ + 311b0 6f735f63 61636865 5f73796e 63000400 os_cache_sync... + 311c0 001b320e 4144465f 53594e43 5f505245 ..2.ADF_SYNC_PRE + 311d0 52454144 00000e41 44465f53 594e435f READ...ADF_SYNC_ + 311e0 50524557 52495445 00020e41 44465f53 PREWRITE...ADF_S + 311f0 594e435f 504f5354 52454144 00010e41 YNC_POSTREAD...A + 31200 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT + 31210 45000300 09616466 5f6f735f 63616368 E....adf_os_cach + 31220 655f7379 6e635f74 0000001a c9020109 e_sync_t........ + 31230 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 31240 1a6b0600 001b4d01 09616466 5f6f735f .k....M..adf_os_ + 31250 646d615f 6d61705f 74000000 1aaf0300 dma_map_t....... + 31260 001b6604 00060000 04100103 00001aaf ..f............. + 31270 04000600 00041001 02010600 00159b01 ................ + 31280 02010473 686f7274 20696e74 00050209 ...short int.... + 31290 415f494e 54313600 00001ba0 095f5f61 A_INT16......__a + 312a0 5f696e74 31365f74 0000001b ad09615f _int16_t......a_ + 312b0 696e7431 365f7400 00001bba 04736967 int16_t......sig + 312c0 6e656420 63686172 00050109 415f494e ned char....A_IN + 312d0 54380000 001bda09 5f5f615f 696e7438 T8......__a_int8 + 312e0 5f740000 001be909 615f696e 74385f74 _t......a_int8_t + 312f0 0000001b f5120c00 001c6c08 73757070 ..........l.supp + 31300 6f727465 64000000 16200223 00086164 orted.... .#..ad + 31310 76657274 697a6564 00000016 20022304 vertized.... .#. + 31320 08737065 65640000 001bcb02 23080864 .speed......#..d + 31330 75706c65 78000000 1c050223 0a086175 uplex......#..au + 31340 746f6e65 67000000 16870223 0b000f00 toneg......#.... + 31350 00168706 00001c79 10050007 6164665f .......y....adf_ + 31360 6e65745f 65746861 64647200 0600001c net_ethaddr..... + 31370 9d086164 64720000 001c6c02 23000009 ..addr....l.#... + 31380 5f5f615f 75696e74 31365f74 00000012 __a_uint16_t.... + 31390 f509615f 75696e74 31365f74 0000001c ..a_uint16_t.... + 313a0 9d120e00 001d0108 65746865 725f6468 ........ether_dh + 313b0 6f737400 00001c6c 02230008 65746865 ost....l.#..ethe + 313c0 725f7368 6f737400 00001c6c 02230608 r_shost....l.#.. + 313d0 65746865 725f7479 70650000 001caf02 ether_type...... + 313e0 230c0012 1400001d c2156970 5f766572 #.........ip_ver + 313f0 73696f6e 00000016 87010004 02230015 sion.........#.. + 31400 69705f68 6c000000 16870104 04022300 ip_hl.........#. + 31410 0869705f 746f7300 00001687 02230108 .ip_tos......#.. + 31420 69705f6c 656e0000 001caf02 23020869 ip_len......#..i + 31430 705f6964 0000001c af022304 0869705f p_id......#..ip_ + 31440 66726167 5f6f6666 0000001c af022306 frag_off......#. + 31450 0869705f 74746c00 00001687 02230808 .ip_ttl......#.. + 31460 69705f70 726f746f 00000016 87022309 ip_proto......#. + 31470 0869705f 63686563 6b000000 1caf0223 .ip_check......# + 31480 0a086970 5f736164 64720000 00162002 ..ip_saddr.... . + 31490 230c0869 705f6461 64647200 00001620 #..ip_daddr.... + 314a0 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla + 314b0 6e686472 00040000 1e140874 70696400 nhdr.......tpid. + 314c0 00001caf 02230015 7072696f 00000016 .....#..prio.... + 314d0 87010003 02230215 63666900 00001687 .....#..cfi..... + 314e0 01030102 23021576 69640000 001caf02 ....#..vid...... + 314f0 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v + 31500 69640002 00001e45 15726573 00000016 id.....E.res.... + 31510 87010004 02230015 76616c00 00001caf .....#..val..... + 31520 02040c02 23000012 0c00001e 81087278 ....#.........rx + 31530 5f627566 73697a65 00000016 20022300 _bufsize.... .#. + 31540 0872785f 6e646573 63000000 16200223 .rx_ndesc.... .# + 31550 04087478 5f6e6465 73630000 00162002 ..tx_ndesc.... . + 31560 23080012 0800001e a708706f 6c6c6564 #.........polled + 31570 0000001a 9a022300 08706f6c 6c5f7774 ......#..poll_wt + 31580 00000016 20022304 000f0000 16874000 .... .#.......@. + 31590 001eb410 3f001246 00001edc 0869665f ....?..F.....if_ + 315a0 6e616d65 0000001e a7022300 08646576 name......#..dev + 315b0 5f616464 72000000 1c6c0223 40001404 _addr....l.#@... + 315c0 00001f13 0e414446 5f4f535f 444d415f .....ADF_OS_DMA_ + 315d0 4d41534b 5f333242 49540000 0e414446 MASK_32BIT...ADF + 315e0 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B + 315f0 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma + 31600 5f6d6173 6b5f7400 00001edc 07616466 _mask_t......adf + 31610 5f646d61 5f696e66 6f000800 001f6008 _dma_info.....`. + 31620 646d615f 6d61736b 0000001f 13022300 dma_mask......#. + 31630 0873675f 6e736567 73000000 16200223 .sg_nsegs.... .# + 31640 04001404 00001fb6 0e414446 5f4e4554 .........ADF_NET + 31650 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD + 31660 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 31670 5544505f 49507634 00010e41 44465f4e UDP_IPv4...ADF_N + 31680 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 31690 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net + 316a0 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 316b0 1f601208 00001ff9 0874785f 636b7375 .`.......tx_cksu + 316c0 6d000000 1fb60223 00087278 5f636b73 m......#..rx_cks + 316d0 756d0000 001fb602 23040009 6164665f um......#...adf_ + 316e0 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t + 316f0 0000001f d0140400 0020520e 4144465f ......... R.ADF_ + 31700 4e45545f 54534f5f 4e4f4e45 00000e41 NET_TSO_NONE...A + 31710 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. + 31720 010e4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL + 31730 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso + 31740 5f747970 655f7400 00002013 12100000 _type_t... ..... + 31750 20a60863 6b73756d 5f636170 0000001f ..cksum_cap.... + 31760 f9022300 0874736f 00000020 52022308 ..#..tso... R.#. + 31770 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. + 31780 00001687 02230c00 12200000 213f0874 .....#... ..!?.t + 31790 785f7061 636b6574 73000000 16200223 x_packets.... .# + 317a0 00087278 5f706163 6b657473 00000016 ..rx_packets.... + 317b0 20022304 0874785f 62797465 73000000 .#..tx_bytes... + 317c0 16200223 08087278 5f627974 65730000 . .#..rx_bytes.. + 317d0 00162002 230c0874 785f6472 6f707065 .. .#..tx_droppe + 317e0 64000000 16200223 10087278 5f64726f d.... .#..rx_dro + 317f0 70706564 00000016 20022314 0872785f pped.... .#..rx_ + 31800 6572726f 72730000 00162002 23180874 errors.... .#..t + 31810 785f6572 726f7273 00000016 2002231c x_errors.... .#. + 31820 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 31830 725f7400 00001c79 16000021 3f030000 r_t....y...!?... + 31840 00216410 7f001761 64665f6e 65745f63 .!d....adf_net_c + 31850 6d645f6d 63616464 72000304 0000219b md_mcaddr.....!. + 31860 086e656c 656d0000 00162002 2300086d .nelem.... .#..m + 31870 63617374 00000021 56022304 00096164 cast...!V.#...ad + 31880 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i + 31890 6e666f5f 74000000 1c130961 64665f6e nfo_t......adf_n + 318a0 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info + 318b0 5f740000 001e8109 6164665f 6e65745f _t......adf_net_ + 318c0 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t + 318d0 0000001f f9096164 665f6e65 745f636d ......adf_net_cm + 318e0 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... + 318f0 1e450961 64665f6e 65745f63 6d645f64 .E.adf_net_cmd_d + 31900 6d615f69 6e666f5f 74000000 1f2a0961 ma_info_t....*.a + 31910 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t + 31920 0000001c af096164 665f6e65 745f636d ......adf_net_cm + 31930 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. + 31940 0000206a 09616466 5f6e6574 5f636d64 .. j.adf_net_cmd + 31950 5f737461 74735f74 00000020 a6096164 _stats_t... ..ad + 31960 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 31970 5f740000 0021640d 6164665f 6e65745f _t...!d.adf_net_ + 31980 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... + 31990 0022dd0e 4144465f 4e45545f 4d434153 ."..ADF_NET_MCAS + 319a0 545f5355 5000000e 4144465f 4e45545f T_SUP...ADF_NET_ + 319b0 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... + 319c0 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 319d0 745f6361 705f7400 00002295 18030400 t_cap_t..."..... + 319e0 0023af08 6c696e6b 5f696e66 6f000000 .#..link_info... + 319f0 219b0223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. + 31a00 000021b8 02230008 636b7375 6d5f696e ..!..#..cksum_in + 31a10 666f0000 0021d502 23000872 696e675f fo...!..#..ring_ + 31a20 696e666f 00000021 f3022300 08646d61 info...!..#..dma + 31a30 5f696e66 6f000000 22100223 00087669 _info..."..#..vi + 31a40 64000000 222c0223 00086f66 666c6f61 d...",.#..offloa + 31a50 645f6361 70000000 22430223 00087374 d_cap..."C.#..st + 31a60 61747300 00002262 02230008 6d636173 ats..."b.#..mcas + 31a70 745f696e 666f0000 00227b02 2300086d t_info..."{.#..m + 31a80 63617374 5f636170 00000022 dd022300 cast_cap..."..#. + 31a90 00140400 0024060e 4144465f 4e425546 .....$..ADF_NBUF + 31aa0 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. + 31ab0 0e414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 31ac0 554d5f48 5700010e 4144465f 4e425546 UM_HW...ADF_NBUF + 31ad0 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE + 31ae0 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu + 31af0 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ + 31b00 74000000 23af1208 00002446 08726573 t...#.....$F.res + 31b10 756c7400 00002406 02230008 76616c00 ult...$..#..val. + 31b20 00001620 02230400 12080000 24760874 ... .#......$v.t + 31b30 79706500 00002052 02230008 6d737300 ype... R.#..mss. + 31b40 00001caf 02230408 6864725f 6f666600 .....#..hdr_off. + 31b50 00001687 02230600 075f5f61 64665f6e .....#...__adf_n + 31b60 6275665f 71686561 64000c00 0024b508 buf_qhead....$.. + 31b70 68656164 00000014 40022300 08746169 head....@.#..tai + 31b80 6c000000 14400223 0408716c 656e0000 l....@.#..qlen.. + 31b90 00162002 23080009 5f5f6164 665f6e62 .. .#...__adf_nb + 31ba0 75665f74 00000014 40030000 16960400 uf_t....@....... + 31bb0 03000016 20040002 01060000 13220106 .... ........".. + 31bc0 00001620 01060000 16960106 00001696 ... ............ + 31bd0 01030000 13030400 095f5f61 64665f6e .........__adf_n + 31be0 6275665f 71686561 645f7400 00002476 buf_qhead_t...$v + 31bf0 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu + 31c00 655f7400 000024f6 03000025 0e040006 e_t...$....%.... + 31c10 000024b5 01060000 24b50114 04000026 ..$.....$......& + 31c20 2e0e415f 53544154 55535f4f 4b00000e ..A_STATUS_OK... + 31c30 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. + 31c40 010e415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN + 31c50 5400020e 415f5354 41545553 5f454e4f T...A_STATUS_ENO + 31c60 4d454d00 030e415f 53544154 55535f45 MEM...A_STATUS_E + 31c70 494e5641 4c00040e 415f5354 41545553 INVAL...A_STATUS + 31c80 5f45494e 50524f47 52455353 00050e41 _EINPROGRESS...A + 31c90 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP + 31ca0 00060e41 5f535441 5455535f 45425553 ...A_STATUS_EBUS + 31cb0 5900070e 415f5354 41545553 5f453242 Y...A_STATUS_E2B + 31cc0 49470008 0e415f53 54415455 535f4541 IG...A_STATUS_EA + 31cd0 4444524e 4f544156 41494c00 090e415f DDRNOTAVAIL...A_ + 31ce0 53544154 55535f45 4e58494f 000a0e41 STATUS_ENXIO...A + 31cf0 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. + 31d00 0e415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... + 31d10 09615f73 74617475 735f7400 00002539 .a_status_t...%9 + 31d20 06000026 2e010600 00011b01 02010961 ...&...........a + 31d30 64665f6e 6275665f 74000000 24b51404 df_nbuf_t...$... + 31d40 00002693 0e414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ + 31d50 544f5f44 45564943 4500000e 4144465f TO_DEVICE...ADF_ + 31d60 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI + 31d70 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma + 31d80 5f646972 5f740000 00265c06 0000262e _dir_t...&\...&. + 31d90 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama + 31da0 705f696e 666f5f74 00000016 3d030000 p_info_t....=... + 31db0 26b10400 02010201 06000026 4c010600 &..........&L... + 31dc0 0024b501 02010201 06000026 4c010600 .$.........&L... + 31dd0 0024b501 06000026 4c010600 0024b501 .$.....&L....$.. + 31de0 06000026 4c010201 02010600 00162001 ...&L......... . + 31df0 06000016 96010201 02010600 001b4d01 ..............M. + 31e00 0600001a 9a010600 001a9a01 09616466 .............adf + 31e10 5f6f735f 73676c69 73745f74 00000016 _os_sglist_t.... + 31e20 d5030000 272a0400 02010201 02010600 ....'*.......... + 31e30 00169601 09616466 5f6e6275 665f7175 .....adf_nbuf_qu + 31e40 6575655f 74000000 250e0300 00275204 eue_t...%....'R. + 31e50 00020103 000024f6 04000201 02010201 ......$......... + 31e60 06000026 4c010600 0024b501 06000016 ...&L....$...... + 31e70 20010600 00162001 0600001a 9a010600 ..... ......... + 31e80 001a9a01 0600001f b6010600 00162001 .............. . + 31e90 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 31ea0 756d5f74 00000024 24030000 27ae0400 um_t...$$...'... + 31eb0 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts + 31ec0 6f5f7400 00002446 03000027 d2040002 o_t...$F...'.... + 31ed0 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand + 31ee0 6c655f74 00000004 10096164 665f6e65 le_t......adf_ne + 31ef0 745f766c 616e6864 725f7400 00001dc2 t_vlanhdr_t..... + 31f00 03000028 07040006 0000262e 01060000 ...(......&..... + 31f10 262e0102 01020107 5f484946 5f434f4e &......._HIF_CON + 31f20 46494700 04000028 56086475 6d6d7900 FIG....(V.dummy. + 31f30 0000011b 02230000 02010300 00285604 .....#.......(V. + 31f40 00020103 0000285f 0400075f 4849465f ......(_..._HIF_ + 31f50 43414c4c 4241434b 000c0000 28b40873 CALLBACK....(..s + 31f60 656e645f 6275665f 646f6e65 00000028 end_buf_done...( + 31f70 58022300 08726563 765f6275 66000000 X.#..recv_buf... + 31f80 28610223 0408636f 6e746578 74000000 (a.#..context... + 31f90 04100223 08000968 69665f68 616e646c ...#...hif_handl + 31fa0 655f7400 00000410 09484946 5f434f4e e_t......HIF_CON + 31fb0 46494700 00002835 03000028 c6040006 FIG...(5...(.... + 31fc0 000028b4 01030000 28dd0400 02010300 ..(.....(....... + 31fd0 0028ea04 00094849 465f4341 4c4c4241 .(....HIF_CALLBA + 31fe0 434b0000 00286803 000028f3 04000201 CK...(h...(..... + 31ff0 03000029 0c040006 0000011b 01030000 ...)............ + 32000 29150400 02010300 00292204 00060000 )........)"..... + 32010 011b0103 0000292b 04000201 03000029 ......)+.......) + 32020 38040006 0000011b 01030000 29410400 8...........)A.. + 32030 02010300 00294e04 00076869 665f6170 .....)N...hif_ap + 32040 69003800 002aa708 5f696e69 74000000 i.8..*.._init... + 32050 28e30223 00085f73 68757464 6f776e00 (..#.._shutdown. + 32060 000028ec 02230408 5f726567 69737465 ..(..#.._registe + 32070 725f6361 6c6c6261 636b0000 00290e02 r_callback...).. + 32080 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr + 32090 65646974 5f636f75 6e740000 00291b02 edit_count...).. + 320a0 230c085f 73746172 74000000 28ec0223 #.._start...(..# + 320b0 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. + 320c0 00292402 2314085f 73656e64 5f627566 .)$.#.._send_buf + 320d0 66657200 00002931 02231808 5f726574 fer...)1.#.._ret + 320e0 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) + 320f0 3a02231c 085f6973 5f706970 655f7375 :.#.._is_pipe_su + 32100 70706f72 74656400 00002947 02232008 pported...)G.# . + 32110 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len + 32120 00000029 47022324 085f6765 745f7265 ...)G.#$._get_re + 32130 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 32140 0000291b 02232808 5f697372 5f68616e ..)..#(._isr_han + 32150 646c6572 00000028 ec02232c 085f6765 dler...(..#,._ge + 32160 745f6465 6661756c 745f7069 70650000 t_default_pipe.. + 32170 00295002 23300870 52657365 72766564 .)P.#0.pReserved + 32180 00000004 10022334 000d646d 615f656e ......#4..dma_en + 32190 67696e65 00040000 2b300e44 4d415f45 gine....+0.DMA_E + 321a0 4e47494e 455f5258 3000000e 444d415f NGINE_RX0...DMA_ + 321b0 454e4749 4e455f52 58310001 0e444d41 ENGINE_RX1...DMA + 321c0 5f454e47 494e455f 52583200 020e444d _ENGINE_RX2...DM + 321d0 415f454e 47494e45 5f525833 00030e44 A_ENGINE_RX3...D + 321e0 4d415f45 4e47494e 455f5458 3000040e MA_ENGINE_TX0... + 321f0 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. + 32200 0e444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. + 32210 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. + 32220 00002aa7 0d646d61 5f696674 79706500 ..*..dma_iftype. + 32230 0400002b 7d0e444d 415f4946 5f474d41 ...+}.DMA_IF_GMA + 32240 4300000e 444d415f 49465f50 43490001 C...DMA_IF_PCI.. + 32250 0e444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... + 32260 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ + 32270 42060000 12f50103 00002b8f 04000201 B.........+..... + 32280 0300002b 9c040002 01030000 2ba50400 ...+........+... + 32290 06000009 27010300 002bae04 00060000 ....'....+...... + 322a0 12f50103 00002bbb 04000600 0012f501 ......+......... + 322b0 0300002b c8040006 00001440 01030000 ...+.......@.... + 322c0 2bd50400 02010300 002be204 0007646d +........+....dm + 322d0 615f6c69 625f6170 69003400 002ce908 a_lib_api.4..,.. + 322e0 74785f69 6e697400 00002b95 02230008 tx_init...+..#.. + 322f0 74785f73 74617274 0000002b 9e022304 tx_start...+..#. + 32300 0872785f 696e6974 0000002b 95022308 .rx_init...+..#. + 32310 0872785f 636f6e66 69670000 002ba702 .rx_config...+.. + 32320 230c0872 785f7374 61727400 00002b9e #..rx_start...+. + 32330 02231008 696e7472 5f737461 74757300 .#..intr_status. + 32340 00002bb4 02231408 68617264 5f786d69 ..+..#..hard_xmi + 32350 74000000 2bc10223 1808666c 7573685f t...+..#..flush_ + 32360 786d6974 0000002b 9e02231c 08786d69 xmit...+..#..xmi + 32370 745f646f 6e650000 002bce02 23200872 t_done...+..# .r + 32380 6561705f 786d6974 74656400 00002bdb eap_xmitted...+. + 32390 02232408 72656170 5f726563 76000000 .#$.reap_recv... + 323a0 2bdb0223 28087265 7475726e 5f726563 +..#(.return_rec + 323b0 76000000 2be40223 2c087265 63765f70 v...+..#,.recv_p + 323c0 6b740000 002bce02 23300007 5f5f7063 kt...+..#0..__pc + 323d0 695f736f 66746300 0c00002d 07087377 i_softc....-..sw + 323e0 00000028 f3022300 00095f5f 7063695f ...(..#...__pci_ + 323f0 736f6674 635f7400 00002ce9 0300002d softc_t...,....- + 32400 07040002 01030000 2d210400 06000012 ........-!...... + 32410 e1010300 002d2a04 000d6869 665f7063 .....-*...hif_pc + 32420 695f7069 70655f74 78000400 002d8a0e i_pipe_tx....-.. + 32430 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 + 32440 00000e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 32450 54583100 010e4849 465f5043 495f5049 TX1...HIF_PCI_PI + 32460 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif + 32470 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. + 32480 002d3706 00002b30 01030000 2da10400 .-7...+0....-... + 32490 0d686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 324a0 00040000 2e270e48 49465f50 43495f50 .....'.HIF_PCI_P + 324b0 4950455f 52583000 000e4849 465f5043 IPE_RX0...HIF_PC + 324c0 495f5049 50455f52 58310001 0e484946 I_PIPE_RX1...HIF + 324d0 5f504349 5f504950 455f5258 3200020e _PCI_PIPE_RX2... + 324e0 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 + 324f0 00030e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 32500 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc + 32510 695f7069 70655f72 785f7400 00002dae i_pipe_rx_t...-. + 32520 0600002b 30010300 002e3e04 00076869 ...+0.....>...hi + 32530 665f7063 695f6170 69002400 002f1c08 f_pci_api.$../.. + 32540 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... + 32550 01140223 00087063 695f696e 69740000 ...#..pci_init.. + 32560 0028e302 23040870 63695f72 65736574 .(..#..pci_reset + 32570 00000001 14022308 08706369 5f656e61 ......#..pci_ena + 32580 626c6500 00000114 02230c08 7063695f ble......#..pci_ + 32590 72656170 5f786d69 74746564 0000002d reap_xmitted...- + 325a0 23022310 08706369 5f726561 705f7265 #.#..pci_reap_re + 325b0 63760000 002d2302 23140870 63695f67 cv...-#.#..pci_g + 325c0 65745f70 69706500 00002d30 02231808 et_pipe...-0.#.. + 325d0 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. + 325e0 002da702 231c0870 63695f67 65745f72 .-..#..pci_get_r + 325f0 785f656e 67000000 2e440223 20000767 x_eng....D.# ..g + 32600 6d61635f 61706900 0400002f 4308676d mac_api..../C.gm + 32610 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... + 32620 14022300 000f0000 03280600 002f5010 ..#......(.../P. + 32630 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ + 32640 86086473 74000000 2f430223 00087372 ..dst.../C.#..sr + 32650 63000000 2f430223 06086574 79706500 c.../C.#..etype. + 32660 000012f5 02230c00 075f5f61 74686864 .....#...__athhd + 32670 72000400 002fd415 72657300 000012e1 r..../..res..... + 32680 01000202 23001570 726f746f 00000012 ....#..proto.... + 32690 e1010206 02230008 7265735f 6c6f0000 .....#..res_lo.. + 326a0 0012e102 23010872 65735f68 69000000 ....#..res_hi... + 326b0 12f50223 0200075f 5f676d61 635f6864 ...#...__gmac_hd + 326c0 72001400 00301008 65746800 00002f50 r....0..eth.../P + 326d0 02230008 61746800 00002f86 02230e08 .#..ath.../..#.. + 326e0 616c6967 6e5f7061 64000000 12f50223 align_pad......# + 326f0 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. + 32700 00002fd4 075f5f67 6d61635f 736f6674 ../..__gmac_soft + 32710 63002400 00305a08 68647200 00003010 c.$..0Z.hdr...0. + 32720 02230008 6772616e 00000012 f5022314 .#..gran......#. + 32730 08737700 000028f3 02231800 075f415f .sw...(..#..._A_ + 32740 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check + 32750 00080000 30930876 65727369 6f6e0000 ....0..version.. + 32760 00011b02 23000874 61626c65 00000001 ....#..table.... + 32770 1b022304 00030000 305a0400 06000001 ..#.....0Z...... + 32780 1b010300 00309a04 00030000 04130400 .....0.......... + 32790 175f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire + 327a0 6374696f 6e5f7461 626c6500 01b80000 ction_table..... + 327b0 31ea0868 616c5f6c 696e6b61 67655f63 1..hal_linkage_c + 327c0 6865636b 00000030 a0022300 08737461 heck...0..#..sta + 327d0 72745f62 73730000 0030a702 23040861 rt_bss...0..#..a + 327e0 70705f73 74617274 00000001 14022308 pp_start......#. + 327f0 086d656d 00000004 5302230c 086d6973 .mem....S.#..mis + 32800 63000000 05720223 20087072 696e7466 c....r.# .printf + 32810 00000001 48022344 08756172 74000000 ....H.#D.uart... + 32820 02110223 4c08676d 61630000 002f1c02 ...#L.gmac.../.. + 32830 236c0875 73620000 000fa102 23700863 #l.usb......#p.c + 32840 6c6f636b 0000000a ea0323e0 01087469 lock......#...ti + 32850 6d657200 0000078a 03238402 08696e74 mer......#...int + 32860 72000000 0c3a0323 98020861 6c6c6f63 r....:.#...alloc + 32870 72616d00 00000942 0323c402 08726f6d ram....B.#...rom + 32880 70000000 08340323 d0020877 64745f74 p....4.#...wdt_t + 32890 696d6572 0000000e 170323e0 02086565 imer......#...ee + 328a0 70000000 0f450323 fc020873 7472696e p....E.#...strin + 328b0 67000000 06960323 8c030874 61736b6c g......#...taskl + 328c0 65740000 000a3f03 23a40300 075f5553 et....?.#...._US + 328d0 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... + 328e0 00325d08 6765745f 636f6d6d 616e645f .2].get_command_ + 328f0 62756600 0000144d 02230008 72656376 buf....M.#..recv + 32900 5f636f6d 6d616e64 00000014 63022304 _command....c.#. + 32910 08676574 5f657665 6e745f62 75660000 .get_event_buf.. + 32920 00144d02 23080873 656e645f 6576656e ..M.#..send_even + 32930 745f646f 6e650000 00146302 230c0009 t_done....c.#... + 32940 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 32950 000031ea 03000032 5d040002 01030000 ..1....2]....... + 32960 32790400 07757362 6669666f 5f617069 2y...usbfifo_api + 32970 000c0000 32cf085f 696e6974 00000032 ....2.._init...2 + 32980 7b022300 085f656e 61626c65 5f657665 {.#.._enable_eve + 32990 6e745f69 73720000 00011402 23040870 nt_isr......#..p + 329a0 52657365 72766564 00000004 10022308 Reserved......#. + 329b0 000f0000 16870200 0032dc10 0100075f .........2....._ + 329c0 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... + 329d0 00334e08 456e6470 6f696e74 49440000 .3N.EndpointID.. + 329e0 00168702 23000846 6c616773 00000016 ....#..Flags.... + 329f0 87022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. + 32a00 00001caf 02230208 436f6e74 726f6c42 .....#..ControlB + 32a10 79746573 00000032 cf022304 08486f73 ytes...2..#..Hos + 32a20 74536571 4e756d00 00001caf 02230600 tSeqNum......#.. + 32a30 12020000 3367084d 65737361 67654944 ....3g.MessageID + 32a40 0000001c af022300 00120800 0033ca08 ......#......3.. + 32a50 4d657373 61676549 44000000 1caf0223 MessageID......# + 32a60 00084372 65646974 436f756e 74000000 ..CreditCount... + 32a70 1caf0223 02084372 65646974 53697a65 ...#..CreditSize + 32a80 0000001c af022304 084d6178 456e6470 ......#..MaxEndp + 32a90 6f696e74 73000000 16870223 06085f50 oints......#.._P + 32aa0 61643100 00001687 02230700 120a0000 ad1......#...... + 32ab0 3461084d 65737361 67654944 0000001c 4a.MessageID.... + 32ac0 af022300 08536572 76696365 49440000 ..#..ServiceID.. + 32ad0 001caf02 23020843 6f6e6e65 6374696f ....#..Connectio + 32ae0 6e466c61 67730000 001caf02 23040844 nFlags......#..D + 32af0 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 32b00 16870223 06085570 4c696e6b 50697065 ...#..UpLinkPipe + 32b10 49440000 00168702 23070853 65727669 ID......#..Servi + 32b20 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 32b30 87022308 085f5061 64310000 00168702 ..#.._Pad1...... + 32b40 23090012 0a000034 e9084d65 73736167 #......4..Messag + 32b50 65494400 00001caf 02230008 53657276 eID......#..Serv + 32b60 69636549 44000000 1caf0223 02085374 iceID......#..St + 32b70 61747573 00000016 87022304 08456e64 atus......#..End + 32b80 706f696e 74494400 00001687 02230508 pointID......#.. + 32b90 4d61784d 73675369 7a650000 001caf02 MaxMsgSize...... + 32ba0 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe + 32bb0 6e677468 00000016 87022308 085f5061 ngth......#.._Pa + 32bc0 64310000 00168702 23090012 02000035 d1......#......5 + 32bd0 02084d65 73736167 65494400 00001caf ..MessageID..... + 32be0 02230000 12040000 353e084d 65737361 .#......5>.Messa + 32bf0 67654944 0000001c af022300 08506970 geID......#..Pip + 32c00 65494400 00001687 02230208 43726564 eID......#..Cred + 32c10 6974436f 756e7400 00001687 02230300 itCount......#.. + 32c20 12040000 3575084d 65737361 67654944 ....5u.MessageID + 32c30 0000001c af022300 08506970 65494400 ......#..PipeID. + 32c40 00001687 02230208 53746174 75730000 .....#..Status.. + 32c50 00168702 23030012 02000035 9c085265 ....#......5..Re + 32c60 636f7264 49440000 00168702 2300084c cordID......#..L + 32c70 656e6774 68000000 16870223 01001202 ength......#.... + 32c80 000035c6 08456e64 706f696e 74494400 ..5..EndpointID. + 32c90 00001687 02230008 43726564 69747300 .....#..Credits. + 32ca0 00001687 02230100 12040000 36070845 .....#......6..E + 32cb0 6e64706f 696e7449 44000000 16870223 ndpointID......# + 32cc0 00084372 65646974 73000000 16870223 ..Credits......# + 32cd0 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo + 32ce0 0000001c af022302 000f0000 16870400 ......#......... + 32cf0 00361410 03001206 00003650 08507265 .6........6P.Pre + 32d00 56616c69 64000000 16870223 00084c6f Valid......#..Lo + 32d10 6f6b4168 65616400 00003607 02230108 okAhead...6..#.. + 32d20 506f7374 56616c69 64000000 16870223 PostValid......# + 32d30 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t + 32d40 00000004 10060000 36500103 00003663 ........6P....6c + 32d50 04000201 03000036 70040014 04000036 .......6p......6 + 32d60 ee0e504f 4f4c5f49 445f4854 435f434f ..POOL_ID_HTC_CO + 32d70 4e54524f 4c00000e 504f4f4c 5f49445f NTROL...POOL_ID_ + 32d80 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL + 32d90 5900010e 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ + 32da0 5356435f 4556454e 5400020e 504f4f4c SVC_EVENT...POOL + 32db0 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. + 32dc0 030e504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... + 32dd0 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 + 32de0 79020103 000036ff 04000600 00264c01 y.....6......&L. + 32df0 03000037 08040006 0000264c 01030000 ...7......&L.... + 32e00 37150400 02010300 00372204 00076275 7........7"...bu + 32e10 665f706f 6f6c5f61 7069001c 000037c4 f_pool_api....7. + 32e20 085f696e 69740000 00366902 2300085f ._init...6i.#.._ + 32e30 73687574 646f776e 00000036 72022304 shutdown...6r.#. + 32e40 085f6372 65617465 5f706f6f 6c000000 ._create_pool... + 32e50 37010223 08085f61 6c6c6f63 5f627566 7..#.._alloc_buf + 32e60 00000037 0e02230c 085f616c 6c6f635f ...7..#.._alloc_ + 32e70 6275665f 616c6967 6e000000 371b0223 buf_align...7..# + 32e80 10085f66 7265655f 62756600 00003724 .._free_buf...7$ + 32e90 02231408 70526573 65727665 64000000 .#..pReserved... + 32ea0 04100223 1800075f 4854435f 53455256 ...#..._HTC_SERV + 32eb0 49434500 1c000038 a308704e 65787400 ICE....8..pNext. + 32ec0 000038a3 02230008 50726f63 65737352 ..8..#..ProcessR + 32ed0 6563764d 73670000 00395802 23040850 ecvMsg...9X.#..P + 32ee0 726f6365 73735365 6e644275 66666572 rocessSendBuffer + 32ef0 436f6d70 6c657465 00000039 61022308 Complete...9a.#. + 32f00 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. + 32f10 00003975 02230c08 53657276 69636549 ..9u.#..ServiceI + 32f20 44000000 12f50223 10085365 72766963 D......#..Servic + 32f30 65466c61 67730000 0012f502 2312084d eFlags......#..M + 32f40 61785376 634d7367 53697a65 00000012 axSvcMsgSize.... + 32f50 f5022314 08547261 696c6572 53706343 ..#..TrailerSpcC + 32f60 6865636b 4c696d69 74000000 12f50223 heckLimit......# + 32f70 16085365 72766963 65437478 00000004 ..ServiceCtx.... + 32f80 10022318 00030000 37c40400 14040000 ..#.....7....... + 32f90 39411945 4e44504f 494e545f 554e5553 9A.ENDPOINT_UNUS + 32fa0 454400ff ffffff0e 454e4450 4f494e54 ED......ENDPOINT + 32fb0 3000000e 454e4450 4f494e54 3100010e 0...ENDPOINT1... + 32fc0 454e4450 4f494e54 3200020e 454e4450 ENDPOINT2...ENDP + 32fd0 4f494e54 3300030e 454e4450 4f494e54 OINT3...ENDPOINT + 32fe0 3400040e 454e4450 4f494e54 3500050e 4...ENDPOINT5... + 32ff0 454e4450 4f494e54 3600060e 454e4450 ENDPOINT6...ENDP + 33000 4f494e54 3700070e 454e4450 4f494e54 OINT7...ENDPOINT + 33010 3800080e 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX + 33020 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT + 33030 5f494400 000038aa 02010300 00395604 _ID...8......9V. + 33040 00020103 0000395f 04000300 00011b04 ......9_........ + 33050 00060000 12e10103 0000396f 04000300 ..........9o.... + 33060 0037c404 00075f48 54435f43 4f4e4649 .7...._HTC_CONFI + 33070 47001400 0039f408 43726564 69745369 G....9..CreditSi + 33080 7a650000 00011b02 23000843 72656469 ze......#..Credi + 33090 744e756d 62657200 0000011b 02230408 tNumber......#.. + 330a0 4f534861 6e646c65 0000001a 4b022308 OSHandle....K.#. + 330b0 08484946 48616e64 6c650000 0028b402 .HIFHandle...(.. + 330c0 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 330d0 36500223 1000075f 4854435f 4255465f 6P.#..._HTC_BUF_ + 330e0 434f4e54 45585400 0200003a 3008656e CONTEXT....:0.en + 330f0 645f706f 696e7400 000012e1 02230008 d_point......#.. + 33100 6874635f 666c6167 73000000 12e10223 htc_flags......# + 33110 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. + 33120 00000410 09485443 5f534554 55505f43 .....HTC_SETUP_C + 33130 4f4d504c 4554455f 43420000 00011409 OMPLETE_CB...... + 33140 4854435f 434f4e46 49470000 00398303 HTC_CONFIG...9.. + 33150 00003a5d 04000600 003a3001 0300003a ..:].....:0....: + 33160 74040002 01030000 3a810400 09485443 t.......:....HTC + 33170 5f534552 56494345 00000037 c4030000 _SERVICE...7.... + 33180 3a8a0400 02010300 003aa204 00020103 :........:...... + 33190 00003aab 04000201 0300003a b4040006 ..:........:.... + 331a0 0000011b 01030000 3abd0400 07687463 ........:....htc + 331b0 5f617069 73003400 003c3a08 5f485443 _apis.4..<:._HTC + 331c0 5f496e69 74000000 3a7a0223 00085f48 _Init...:z.#.._H + 331d0 54435f53 68757464 6f776e00 00003a83 TC_Shutdown...:. + 331e0 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe + 331f0 72536572 76696365 0000003a a4022308 rService...:..#. + 33200 085f4854 435f5265 61647900 00003a83 ._HTC_Ready...:. + 33210 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 33220 75666665 72730000 003aad02 2310085f uffers...:..#.._ + 33230 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 33240 734c6973 74000000 3ab60223 14085f48 sList...:..#.._H + 33250 54435f53 656e644d 73670000 003aad02 TC_SendMsg...:.. + 33260 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser + 33270 76656448 65616472 6f6f6d00 00003ac3 vedHeadroom...:. + 33280 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv + 33290 48616e64 6c657200 00002861 02232008 Handler...(a.# . + 332a0 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan + 332b0 646c6572 00000028 58022324 085f4854 dler...(X.#$._HT + 332c0 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 332d0 6573734d 73670000 00395802 2328085f essMsg...9X.#(._ + 332e0 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 332f0 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet + 33300 65000000 39610223 2c087052 65736572 e...9a.#,.pReser + 33310 76656400 00000410 02233000 07686f73 ved......#0..hos + 33320 745f6170 705f6172 65615f73 00040000 t_app_area_s.... + 33330 3c6a0877 6d695f70 726f746f 636f6c5f ..tupleNumL... + 334d0 1caf0223 00087475 706c654e 756d4800 ...#..tupleNumH. + 334e0 00001caf 02230208 61767400 00003dce .....#..avt...=. + 334f0 02230400 12010000 3e340862 6561636f .#......>4.beaco + 33500 6e50656e 64696e67 436f756e 74000000 nPendingCount... + 33510 16870223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ + 33520 434f4e46 49470010 00003e9d 08487463 CONFIG....>..Htc + 33530 48616e64 6c650000 003a3002 23000850 Handle...:0.#..P + 33540 6f6f6c48 616e646c 65000000 36500223 oolHandle...6P.# + 33550 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt + 33560 73000000 011b0223 08084d61 78457665 s......#..MaxEve + 33570 6e744576 74730000 00011b02 230c0002 ntEvts......#... + 33580 01030000 3e9d0400 09574d49 5f434d44 ....>....WMI_CMD + 33590 5f48414e 444c4552 0000003e 9f075f57 _HANDLER...>.._W + 335a0 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 335b0 59000800 003f0608 70436d64 48616e64 Y....?..pCmdHand + 335c0 6c657200 00003ea6 02230008 436d6449 ler...>..#..CmdI + 335d0 44000000 12f50223 0408466c 61677300 D......#..Flags. + 335e0 000012f5 02230600 075f574d 495f4449 .....#..._WMI_DI + 335f0 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... + 33600 3f670870 4e657874 0000003f 67022300 ?g.pNext...?g.#. + 33610 0870436f 6e746578 74000000 04100223 .pContext......# + 33620 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie + 33630 73000000 011b0223 08087054 61626c65 s......#..pTable + 33640 0000003f 8602230c 00030000 3f060400 ...?..#.....?... + 33650 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN + 33660 54525900 00003ebb 0300003f 6e040003 TRY...>....?n... + 33670 00003f06 04000948 54435f42 55465f43 ..?....HTC_BUF_C + 33680 4f4e5445 58540000 0039f40d 574d495f ONTEXT...9..WMI_ + 33690 4556545f 434c4153 53000400 00401e19 EVT_CLASS....@.. + 336a0 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO + 336b0 4e4500ff ffffff0e 574d495f 4556545f NE......WMI_EVT_ + 336c0 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. + 336d0 000e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 336e0 434d445f 5245504c 5900010e 574d495f CMD_REPLY...WMI_ + 336f0 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... + 33700 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. + 33710 003fa907 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON + 33720 54455854 000c0000 407c0848 74634275 TEXT....@|.HtcBu + 33730 66437478 0000003f 94022300 08457665 fCtx...?..#..Eve + 33740 6e74436c 61737300 0000401e 02230408 ntClass...@..#.. + 33750 466c6167 73000000 12f50223 08000977 Flags......#...w + 33760 6d695f68 616e646c 655f7400 00000410 mi_handle_t..... + 33770 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. + 33780 00003e34 03000040 8e040006 0000407c ..>4...@......@| + 33790 01030000 40a90400 09574d49 5f444953 ....@....WMI_DIS + 337a0 50415443 485f5441 424c4500 00003f06 PATCH_TABLE...?. + 337b0 03000040 b6040002 01030000 40d50400 ...@........@... + 337c0 06000026 4c010300 0040de04 00020103 ...&L....@...... + 337d0 000040eb 04000600 00011b01 03000040 ..@............@ + 337e0 f4040002 01030000 41010400 06000012 ........A....... + 337f0 e1010300 00410a04 00075f77 6d695f73 .....A...._wmi_s + 33800 76635f61 70697300 2c000042 52085f57 vc_apis.,..BR._W + 33810 4d495f49 6e697400 000040af 02230008 MI_Init...@..#.. + 33820 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis + 33830 70617463 68546162 6c650000 0040d702 patchTable...@.. + 33840 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve + 33850 6e740000 0040e402 2308085f 574d495f nt...@..#.._WMI_ + 33860 53656e64 4576656e 74000000 40ed0223 SendEvent...@..# + 33870 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin + 33880 67457665 6e747343 6f756e74 00000040 gEventsCount...@ + 33890 fa022310 085f574d 495f5365 6e64436f ..#.._WMI_SendCo + 338a0 6d706c65 74654861 6e646c65 72000000 mpleteHandler... + 338b0 39610223 14085f57 4d495f47 6574436f 9a.#.._WMI_GetCo + 338c0 6e74726f 6c457000 000040fa 02231808 ntrolEp...@..#.. + 338d0 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... + 338e0 41030223 1c085f57 4d495f52 6563764d A..#.._WMI_RecvM + 338f0 65737361 67654861 6e646c65 72000000 essageHandler... + 33900 39580223 20085f57 4d495f53 65727669 9X.# ._WMI_Servi + 33910 6365436f 6e6e6563 74000000 41100223 ceConnect...A..# + 33920 24087052 65736572 76656400 00000410 $.pReserved..... + 33930 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. + 33940 000042d4 08637472 6c000000 017f0223 ..B..ctrl......# + 33950 00087374 61747573 00000001 7f022302 ..status......#. + 33960 08746f74 616c4c65 6e000000 017f0223 .totalLen......# + 33970 04086461 74615369 7a650000 00017f02 ..dataSize...... + 33980 2306086c 61737441 64647200 000042d4 #..lastAddr...B. + 33990 02230808 64617461 41646472 00000001 .#..dataAddr.... + 339a0 a302230c 086e6578 74416464 72000000 ..#..nextAddr... + 339b0 42d40223 10000300 00425204 00030000 B..#.....BR..... + 339c0 42520400 077a7344 6d615175 65756500 BR...zsDmaQueue. + 339d0 08000043 14086865 61640000 0042db02 ...C..head...B.. + 339e0 23000874 65726d69 6e61746f 72000000 #..terminator... + 339f0 42db0223 0400077a 73547844 6d615175 B..#...zsTxDmaQu + 33a00 65756500 10000043 78086865 61640000 eue....Cx.head.. + 33a10 0042db02 23000874 65726d69 6e61746f .B..#..terminato + 33a20 72000000 42db0223 0408786d 69746564 r...B..#..xmited + 33a30 5f627566 5f686561 64000000 14400223 _buf_head....@.# + 33a40 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai + 33a50 6c000000 14400223 0c000201 03000043 l....@.#.......C + 33a60 78040003 000042e2 04000201 03000043 x.....B........C + 33a70 88040003 00004314 04000201 03000043 ......C........C + 33a80 98040002 01030000 43a10400 02010300 ........C....... + 33a90 0043aa04 00060000 14400103 000043b3 .C.......@....C. + 33aa0 04000201 03000043 c0040006 00001440 .......C.......@ + 33ab0 01030000 43c90400 02010300 0043d604 ....C........C.. + 33ac0 00060000 011b0103 000043df 04000600 ..........C..... + 33ad0 0042db01 03000043 ec040002 01030000 .B.....C........ + 33ae0 43f90400 07646d61 5f656e67 696e655f C....dma_engine_ + 33af0 61706900 40000045 6f085f69 6e697400 api.@..Eo._init. + 33b00 0000437a 02230008 5f696e69 745f7278 ..Cz.#.._init_rx + 33b10 5f717565 75650000 00438a02 2304085f _queue...C..#.._ + 33b20 696e6974 5f74785f 71756575 65000000 init_tx_queue... + 33b30 439a0223 08085f63 6f6e6669 675f7278 C..#.._config_rx + 33b40 5f717565 75650000 0043a302 230c085f _queue...C..#.._ + 33b50 786d6974 5f627566 00000043 ac022310 xmit_buf...C..#. + 33b60 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C + 33b70 8a022314 085f7265 61705f72 6563765f ..#.._reap_recv_ + 33b80 62756600 000043b9 02231808 5f726574 buf...C..#.._ret + 33b90 75726e5f 72656376 5f627566 00000043 urn_recv_buf...C + 33ba0 c202231c 085f7265 61705f78 6d697465 ..#.._reap_xmite + 33bb0 645f6275 66000000 43cf0223 20085f73 d_buf...C..# ._s + 33bc0 7761705f 64617461 00000043 d8022324 wap_data...C..#$ + 33bd0 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack + 33be0 65747300 000043e5 02232808 5f646573 ets...C..#(._des + 33bf0 635f6475 6d700000 00438a02 232c085f c_dump...C..#,._ + 33c00 6765745f 7061636b 65740000 0043f202 get_packet...C.. + 33c10 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack + 33c20 65740000 0043fb02 2334085f 7075745f et...C..#4._put_ + 33c30 7061636b 65740000 0043fb02 23380870 packet...C..#8.p + 33c40 52657365 72766564 00000004 1002233c Reserved......#< + 33c50 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 33c60 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 33c70 0030ae09 574d495f 5356435f 41504953 .0..WMI_SVC_APIS + 33c80 00000041 17175f41 5f6d6167 7069655f ...A.._A_magpie_ + 33c90 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 33ca0 6500034c 0000469d 08636d6e 6f730000 e..L..F..cmnos.. + 33cb0 00456f02 23000864 62670000 0003dd03 .Eo.#..dbg...... + 33cc0 23b80308 68696600 00002957 0323c003 #...hif...)W.#.. + 33cd0 08687463 0000003a ca0323f8 0308776d .htc...:..#...wm + 33ce0 695f7376 635f6170 69000000 45910323 i_svc_api...E..# + 33cf0 ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. + 33d00 00328203 23d80408 6275665f 706f6f6c .2..#...buf_pool + 33d10 00000037 2b0323e4 04087662 75660000 ...7+.#...vbuf.. + 33d20 00146a03 23800508 76646573 63000000 ..j.#...vdesc... + 33d30 134c0323 94050861 6c6c6f63 72616d00 .L.#...allocram. + 33d40 00000942 0323a805 08646d61 5f656e67 ...B.#...dma_eng + 33d50 696e6500 00004402 0323b405 08646d61 ine...D..#...dma + 33d60 5f6c6962 0000002b eb0323f4 05086869 _lib...+..#...hi + 33d70 665f7063 69000000 2e4b0323 a8060009 f_pci....K.#.... + 33d80 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 33d90 6374696f 6e5f7461 626c655f 74000000 ction_table_t... + 33da0 45a3075f 7461736b 6c65745f 636f6e74 E.._tasklet_cont + 33db0 65787400 04000046 ef087363 68656475 ext....F..schedu + 33dc0 6c655f74 61736b73 0000000a 26022300 le_tasks....&.#. + 33dd0 00097461 736b6c65 745f636f 6e746578 ..tasklet_contex + 33de0 74000000 46c01a67 5f746173 6b6c6574 t...F..g_tasklet + 33df0 5f637478 00000046 ef050300 500a3003 _ctx...F....P.0. + 33e00 00000a3f 04000201 1b011163 6d6e6f73 ...?.......cmnos + 33e10 5f746173 6b6c6574 5f696e69 74000101 _tasklet_init... + 33e20 03920120 02900000 8e2b5000 8e2b5c1c ... .....+P..+\. + 33e30 0118636d 6e6f735f 7461736b 6c65745f ..cmnos_tasklet_ + 33e40 696e6974 5f746173 6b000101 03920120 init_task...... + 33e50 02900000 8e2b5c00 8e2b6b00 0047a81d .....+\..+k..G.. + 33e60 0118666e 00000009 af01521d 01186172 ..fn......R...ar + 33e70 67000000 04100153 1d011874 61736b6c g......S...taskl + 33e80 65740000 000a2601 54001c01 21636d6e et....&.T...!cmn + 33e90 6f735f74 61736b6c 65745f73 63686564 os_tasklet_sched + 33ea0 756c6500 01010392 01200290 00008e2b ule...... .....+ + 33eb0 6c008e2b 85000047 e91d0121 7461736b l..+...G...!task + 33ec0 6c657400 00000a26 0152001c 0131636d let....&.R...1cm + 33ed0 6e6f735f 7461736b 6c65745f 64697361 nos_tasklet_disa + 33ee0 626c6500 01010392 01200290 00008e2b ble...... .....+ + 33ef0 88008e2b b9000048 3c1d0131 7461736b ...+...H<..1task + 33f00 6c657400 00000a26 01521e70 72657600 let....&.R.prev. + 33f10 00000a26 1e746d70 0000000a 26001c01 ...&.tmp....&... + 33f20 4d636d6e 6f735f74 61736b6c 65745f72 Mcmnos_tasklet_r + 33f30 756e0001 01039201 20029000 008e2bbc un...... .....+. + 33f40 008e2be4 00004870 1e746d70 0000000a ..+...Hp.tmp.... + 33f50 26001f01 61636d6e 6f735f74 61736b6c &...acmnos_taskl + 33f60 65745f6d 6f64756c 655f696e 7374616c et_module_instal + 33f70 6c000101 03920120 02900000 8e2be400 l...... .....+.. + 33f80 8e2c021d 01617462 6c000000 471d0152 .,...atbl...G..R + 33f90 00000000 00496f00 02000013 0804012f .....Io......../ + 33fa0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 33fb0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 33fc0 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 33fd0 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 33fe0 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 33ff0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 34000 6f732f74 696d6572 2f737263 2f636d6e os/timer/src/cmn + 34010 6f735f74 696d6572 2e63002f 726f6f74 os_timer.c./root + 34020 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 34030 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 34040 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 34050 6e6f732f 74696d65 72007874 2d786363 nos/timer.xt-xcc + 34060 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: + 34070 616c6967 6e5f696e 73747275 6374696f align_instructio + 34080 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O + 34090 50543a73 70616365 00010000 00b6f502 PT:space........ + 340a0 01030000 010c0400 04696e74 00050404 .........int.... + 340b0 63686172 00070105 0000011c 05000001 char............ + 340c0 1c030000 01290400 06000001 15010300 .....).......... + 340d0 00013504 00077072 696e7466 5f617069 ..5...printf_api + 340e0 00080000 0179085f 7072696e 74665f69 .....y._printf_i + 340f0 6e697400 0000010e 02230008 5f707269 nit......#.._pri + 34100 6e746600 0000013b 02230400 0473686f ntf....;.#...sho + 34110 72742075 6e736967 6e656420 696e7400 rt unsigned int. + 34120 07020975 696e7431 365f7400 00000179 ...uint16_t....y + 34130 046c6f6e 6720756e 7369676e 65642069 .long unsigned i + 34140 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. + 34150 00019d07 75617274 5f666966 6f000800 ....uart_fifo... + 34160 00020b08 73746172 745f696e 64657800 ....start_index. + 34170 0000018f 02230008 656e645f 696e6465 .....#..end_inde + 34180 78000000 018f0223 02086f76 65727275 x......#..overru + 34190 6e5f6572 72000000 01b20223 04000775 n_err......#...u + 341a0 6172745f 61706900 20000002 c4085f75 art_api. ....._u + 341b0 6172745f 696e6974 00000003 1b022300 art_init......#. + 341c0 085f7561 72745f63 6861725f 70757400 ._uart_char_put. + 341d0 00000342 02230408 5f756172 745f6368 ...B.#.._uart_ch + 341e0 61725f67 65740000 00035602 2308085f ar_get....V.#.._ + 341f0 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 34200 5f02230c 085f7561 72745f74 61736b00 _.#.._uart_task. + 34210 0000010e 02231008 5f756172 745f7374 .....#.._uart_st + 34220 61747573 00000003 1b022314 085f7561 atus......#.._ua + 34230 72745f63 6f6e6669 67000000 03680223 rt_config....h.# + 34240 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 34250 00037102 231c0003 0000020b 04000775 ..q.#..........u + 34260 6172745f 626c6b00 10000003 15086465 art_blk.......de + 34270 6275675f 6d6f6465 00000001 8f022300 bug_mode......#. + 34280 08626175 64000000 018f0223 02085f75 .baud......#.._u + 34290 61727400 000002c4 02230408 5f747800 art......#.._tx. + 342a0 000001c0 02230800 06000001 b2010300 .....#.......... + 342b0 00031504 0004756e 7369676e 65642063 ......unsigned c + 342c0 68617200 07010975 696e7438 5f740000 har....uint8_t.. + 342d0 00032202 01030000 03400400 03000003 .."......@...... + 342e0 33040006 0000018f 01030000 03500400 3............P.. + 342f0 02010300 00035d04 00020103 00000366 ......]........f + 34300 04000201 03000003 6f040003 0000011c ........o....... + 34310 04000600 00011501 03000003 7f040007 ................ + 34320 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC + 34330 54000c00 0003d708 636d645f 73747200 T.......cmd_str. + 34340 00000378 02230008 68656c70 5f737472 ...x.#..help_str + 34350 00000003 78022304 08636d64 5f66756e ....x.#..cmd_fun + 34360 63000000 03850223 08000764 62675f61 c......#...dbg_a + 34370 70690008 0000040a 085f6462 675f696e pi......._dbg_in + 34380 69740000 00010e02 2300085f 6462675f it......#.._dbg_ + 34390 7461736b 00000001 0e022304 000a0400 task......#..... + 343a0 04756e73 69676e65 6420696e 74000704 .unsigned int... + 343b0 06000004 0a010300 00041d04 000b0b03 ................ + 343c0 0000042b 04000600 00040a01 03000004 ...+............ + 343d0 33040006 00000115 01030000 04400400 3............@.. + 343e0 076d656d 5f617069 00140000 04af085f .mem_api......._ + 343f0 6d656d5f 696e6974 00000001 0e022300 mem_init......#. + 34400 085f6d65 6d736574 00000004 23022304 ._memset....#.#. + 34410 085f6d65 6d637079 00000004 39022308 ._memcpy....9.#. + 34420 085f6d65 6d6d6f76 65000000 04390223 ._memmove....9.# + 34430 0c085f6d 656d636d 70000000 04460223 .._memcmp....F.# + 34440 10000c72 65676973 7465725f 64756d70 ...register_dump + 34450 5f730000 01030000 04af0400 02010300 _s.............. + 34460 0004c904 00020103 000004d2 04000600 ................ + 34470 00011501 03000004 db04000d 686f7374 ............host + 34480 69665f73 00040000 05370e48 49465f55 if_s.....7.HIF_U + 34490 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... + 344a0 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P + 344b0 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H + 344c0 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS + 344d0 54494600 000004e8 06000005 37010300 TIF.........7... + 344e0 00054504 00060000 03330103 00000552 ..E......3.....R + 344f0 04000600 00018f01 03000005 5f040007 ............_... + 34500 6d697363 5f617069 00240000 064f085f misc_api.$...O._ + 34510 73797374 656d5f72 65736574 00000001 system_reset.... + 34520 0e022300 085f6d61 635f7265 73657400 ..#.._mac_reset. + 34530 0000010e 02230408 5f617373 6661696c .....#.._assfail + 34540 00000004 cb022308 085f6d69 73616c69 ......#.._misali + 34550 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle + 34560 72000000 04cb0223 0c085f72 65706f72 r......#.._repor + 34570 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos + 34580 74000000 04d40223 10085f74 61726765 t......#.._targe + 34590 745f6964 5f676574 00000004 e1022314 t_id_get......#. + 345a0 085f6973 5f686f73 745f7072 6573656e ._is_host_presen + 345b0 74000000 054b0223 18085f6b 62686974 t....K.#.._kbhit + 345c0 00000005 5802231c 085f726f 6d5f7665 ....X.#.._rom_ve + 345d0 7273696f 6e5f6765 74000000 05650223 rsion_get....e.# + 345e0 20000600 00037801 03000006 4f040006 .....x.....O... + 345f0 00000378 01030000 065c0400 06000001 ...x.....\...... + 34600 15010300 00066904 00060000 01150103 ......i......... + 34610 00000676 04000600 00011501 03000006 ...v............ + 34620 83040007 73747269 6e675f61 70690018 ....string_api.. + 34630 00000709 085f7374 72696e67 5f696e69 ....._string_ini + 34640 74000000 010e0223 00085f73 74726370 t......#.._strcp + 34650 79000000 06550223 04085f73 74726e63 y....U.#.._strnc + 34660 70790000 00066202 2308085f 7374726c py....b.#.._strl + 34670 656e0000 00066f02 230c085f 73747263 en....o.#.._strc + 34680 6d700000 00067c02 2310085f 7374726e mp....|.#.._strn + 34690 636d7000 00000689 02231400 0f000004 cmp......#...... + 346a0 0d140000 07161004 00095f41 5f54494d .........._A_TIM + 346b0 45525f53 50414345 00000007 0909415f ER_SPACE......A_ + 346c0 74696d65 725f7400 00000716 03000007 timer_t......... + 346d0 2a040002 01030000 07400400 02010300 *........@...... + 346e0 00074904 0009415f 48414e44 4c450000 ..I...A_HANDLE.. + 346f0 00040d02 0109415f 54494d45 525f4655 ......A_TIMER_FU + 34700 4e430000 00076003 00000762 04000201 NC....`....b.... + 34710 03000007 7b040007 74696d65 725f6170 ....{...timer_ap + 34720 69001400 0007fa08 5f74696d 65725f69 i......._timer_i + 34730 6e697400 0000010e 02230008 5f74696d nit......#.._tim + 34740 65725f61 726d0000 00074202 2304085f er_arm....B.#.._ + 34750 74696d65 725f6469 7361726d 00000007 timer_disarm.... + 34760 4b022308 085f7469 6d65725f 73657466 K.#.._timer_setf + 34770 6e000000 077d0223 0c085f74 696d6572 n....}.#.._timer + 34780 5f72756e 00000001 0e022310 0009424f _run......#...BO + 34790 4f4c4541 4e000000 018f0600 0007fa01 OLEAN........... + 347a0 03000008 07040006 000007fa 01030000 ................ + 347b0 08140400 06000007 fa010300 00082104 ..............!. + 347c0 0007726f 6d705f61 70690010 00000893 ..romp_api...... + 347d0 085f726f 6d705f69 6e697400 0000010e ._romp_init..... + 347e0 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo + 347f0 61640000 00080d02 2304085f 726f6d70 ad......#.._romp + 34800 5f696e73 74616c6c 00000008 1a022308 _install......#. + 34810 085f726f 6d705f64 65636f64 65000000 ._romp_decode... + 34820 08270223 0c000772 6f6d5f70 61746368 .'.#...rom_patch + 34830 5f737400 10000008 ef086372 63313600 _st.......crc16. + 34840 0000018f 02230008 6c656e00 0000018f .....#..len..... + 34850 02230208 6c645f61 64647200 000001b2 .#..ld_addr..... + 34860 02230408 66756e5f 61646472 00000001 .#..fun_addr.... + 34870 b2022308 08706675 6e000000 03490223 ..#..pfun....I.# + 34880 0c000765 65705f72 65646972 5f616464 ...eep_redir_add + 34890 72000400 00092108 6f666673 65740000 r.....!.offset.. + 348a0 00018f02 23000873 697a6500 0000018f ....#..size..... + 348b0 02230200 09415f55 494e5433 32000000 .#...A_UINT32... + 348c0 040d0600 00040a01 03000009 2f040007 ............/... + 348d0 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... + 348e0 09a00863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra + 348f0 6d5f696e 69740000 00093502 23000863 m_init....5.#..c + 34900 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... + 34910 09350223 0408636d 6e6f735f 616c6c6f .5.#..cmnos_allo + 34920 6372616d 5f646562 75670000 00010e02 cram_debug...... + 34930 23080002 01030000 09a00400 09415f54 #............A_T + 34940 41534b4c 45545f46 554e4300 000009a2 ASKLET_FUNC..... + 34950 075f7461 736b6c65 74001000 000a0108 ._tasklet....... + 34960 66756e63 00000009 a9022300 08617267 func......#..arg + 34970 00000004 0a022304 08737461 74650000 ......#..state.. + 34980 00011502 2308086e 65787400 00000a01 ....#..next..... + 34990 02230c00 03000009 bd040003 000009bd .#.............. + 349a0 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. + 349b0 0009bd03 00000a0f 04000201 0300000a ................ + 349c0 27040002 01030000 0a300400 07746173 '........0...tas + 349d0 6b6c6574 5f617069 00140000 0ac5085f klet_api......._ + 349e0 7461736b 6c65745f 696e6974 00000001 tasklet_init.... + 349f0 0e022300 085f7461 736b6c65 745f696e ..#.._tasklet_in + 34a00 69745f74 61736b00 00000a29 02230408 it_task....).#.. + 34a10 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable + 34a20 0000000a 32022308 085f7461 736b6c65 ....2.#.._taskle + 34a30 745f7363 68656475 6c650000 000a3202 t_schedule....2. + 34a40 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. + 34a50 0000010e 02231000 02010300 000ac504 .....#.......... + 34a60 00060000 09210103 00000ace 04000201 .....!.......... + 34a70 0300000a db040007 636c6f63 6b5f6170 ........clock_ap + 34a80 69002400 000bbd08 5f636c6f 636b5f69 i.$....._clock_i + 34a90 6e697400 00000ac7 02230008 5f636c6f nit......#.._clo + 34aa0 636b7265 67735f69 6e697400 0000010e ckregs_init..... + 34ab0 02230408 5f756172 745f6672 65717565 .#.._uart_freque + 34ac0 6e637900 00000ad4 02230808 5f64656c ncy......#.._del + 34ad0 61795f75 73000000 0add0223 0c085f77 ay_us......#.._w + 34ae0 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... + 34af0 dd022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe + 34b00 65645f67 65740000 000ad402 2314085f ed_get......#.._ + 34b10 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... + 34b20 d4022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha + 34b30 6e676500 0000010e 02231c08 5f636c6f nge......#.._clo + 34b40 636b5f74 69636b00 0000010e 02232000 ck_tick......# . + 34b50 06000001 b2010300 000bbd04 0009415f ..............A_ + 34b60 6f6c645f 696e7472 5f740000 0001b206 old_intr_t...... + 34b70 00000bca 01030000 0bdc0400 02010300 ................ + 34b80 000be904 00020103 00000bf2 04000600 ................ + 34b90 0001b201 0300000b fb040009 415f6973 ............A_is + 34ba0 725f7400 00000c01 02010300 000c1504 r_t............. + 34bb0 00060000 040d0103 00000c1e 04000201 ................ + 34bc0 0300000c 2b040007 696e7472 5f617069 ....+...intr_api + 34bd0 002c0000 0d4d085f 696e7472 5f696e69 .,...M._intr_ini + 34be0 74000000 010e0223 00085f69 6e74725f t......#.._intr_ + 34bf0 696e766f 6b655f69 73720000 000bc302 invoke_isr...... + 34c00 2304085f 696e7472 5f646973 61626c65 #.._intr_disable + 34c10 0000000b e2022308 085f696e 74725f72 ......#.._intr_r + 34c20 6573746f 72650000 000beb02 230c085f estore......#.._ + 34c30 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. + 34c40 000bf402 2310085f 696e7472 5f756e6d ....#.._intr_unm + 34c50 61736b5f 696e756d 0000000b f4022314 ask_inum......#. + 34c60 085f696e 74725f61 74746163 685f6973 ._intr_attach_is + 34c70 72000000 0c170223 18085f67 65745f69 r......#.._get_i + 34c80 6e747265 6e61626c 65000000 0c240223 ntrenable....$.# + 34c90 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl + 34ca0 65000000 0c2d0223 20085f67 65745f69 e....-.# ._get_i + 34cb0 6e747270 656e6469 6e670000 000c2402 ntrpending....$. + 34cc0 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ + 34cd0 696e7472 6c766c00 0000010e 02232800 intrlvl......#(. + 34ce0 11040000 0d730874 696d656f 75740000 .....s.timeout.. + 34cf0 0001b202 23000861 6374696f 6e000000 ....#..action... + 34d00 01b20223 00001208 00000d8e 08636d64 ...#.........cmd + 34d10 00000001 b2022300 1300000d 4d022304 ......#.....M.#. + 34d20 0009545f 5744545f 434d4400 00000d73 ..T_WDT_CMD....s + 34d30 02010300 000d9d04 00140400 000df30e ................ + 34d40 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... + 34d50 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. + 34d60 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. + 34d70 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B + 34d80 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY + 34d90 50450000 000da606 00000df3 01030000 PE.............. + 34da0 0e040400 07776474 5f617069 001c0000 .....wdt_api.... + 34db0 0ea8085f 7764745f 696e6974 00000001 ..._wdt_init.... + 34dc0 0e022300 085f7764 745f656e 61626c65 ..#.._wdt_enable + 34dd0 00000001 0e022304 085f7764 745f6469 ......#.._wdt_di + 34de0 7361626c 65000000 010e0223 08085f77 sable......#.._w + 34df0 64745f73 65740000 000d9f02 230c085f dt_set......#.._ + 34e00 7764745f 7461736b 00000001 0e022310 wdt_task......#. + 34e10 085f7764 745f7265 73657400 0000010e ._wdt_reset..... + 34e20 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo + 34e30 6f740000 000e0a02 23180014 0400000f ot......#....... + 34e40 0f0e5245 545f5355 43434553 5300000e ..RET_SUCCESS... + 34e50 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R + 34e60 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R + 34e70 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. + 34e80 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO + 34e90 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. + 34ea0 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... + 34eb0 a8030000 018f0400 0600000f 0f010300 ................ + 34ec0 000f2504 00060000 0f0f0103 00000f32 ..%............2 + 34ed0 04000765 65705f61 70690010 00000f9b ...eep_api...... + 34ee0 085f6565 705f696e 69740000 00010e02 ._eep_init...... + 34ef0 2300085f 6565705f 72656164 0000000f #.._eep_read.... + 34f00 2b022304 085f6565 705f7772 69746500 +.#.._eep_write. + 34f10 00000f2b 02230808 5f656570 5f69735f ...+.#.._eep_is_ + 34f20 65786973 74000000 0f380223 0c000775 exist....8.#...u + 34f30 73625f61 70690070 00001248 085f7573 sb_api.p...H._us + 34f40 625f696e 69740000 00010e02 2300085f b_init......#.._ + 34f50 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... + 34f60 0e022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas + 34f70 6b000000 010e0223 08085f75 73625f69 k......#.._usb_i + 34f80 6e69745f 70687900 0000010e 02230c08 nit_phy......#.. + 34f90 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. + 34fa0 00010e02 2310085f 7573625f 6570305f ....#.._usb_ep0_ + 34fb0 74780000 00010e02 2314085f 7573625f tx......#.._usb_ + 34fc0 6570305f 72780000 00010e02 2318085f ep0_rx......#.._ + 34fd0 7573625f 6765745f 696e7465 72666163 usb_get_interfac + 34fe0 65000000 081a0223 1c085f75 73625f73 e......#.._usb_s + 34ff0 65745f69 6e746572 66616365 00000008 et_interface.... + 35000 1a022320 085f7573 625f6765 745f636f ..# ._usb_get_co + 35010 6e666967 75726174 696f6e00 0000081a nfiguration..... + 35020 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con + 35030 66696775 72617469 6f6e0000 00081a02 figuration...... + 35040 2328085f 7573625f 7374616e 64617264 #(._usb_standard + 35050 5f636d64 00000008 1a02232c 085f7573 _cmd......#,._us + 35060 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... + 35070 0e022330 085f7573 625f706f 7765725f ..#0._usb_power_ + 35080 6f666600 0000010e 02233408 5f757362 off......#4._usb + 35090 5f726573 65745f66 69666f00 0000010e _reset_fifo..... + 350a0 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt + 350b0 00000001 0e02233c 085f7573 625f6a75 ......#<._usb_ju + 350c0 6d705f62 6f6f7400 0000010e 02234008 mp_boot......#@. + 350d0 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature + 350e0 00000008 1a022344 085f7573 625f7365 ......#D._usb_se + 350f0 745f6665 61747572 65000000 081a0223 t_feature......# + 35100 48085f75 73625f73 65745f61 64647265 H._usb_set_addre + 35110 73730000 00081a02 234c085f 7573625f ss......#L._usb_ + 35120 6765745f 64657363 72697074 6f720000 get_descriptor.. + 35130 00081a02 2350085f 7573625f 6765745f ....#P._usb_get_ + 35140 73746174 75730000 00081a02 2354085f status......#T._ + 35150 7573625f 73657475 705f6465 73630000 usb_setup_desc.. + 35160 00010e02 2358085f 7573625f 7265675f ....#X._usb_reg_ + 35170 6f757400 0000010e 02235c08 5f757362 out......#\._usb + 35180 5f737461 7475735f 696e0000 00010e02 _status_in...... + 35190 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d + 351a0 61746100 0000010e 02236408 5f757362 ata......#d._usb + 351b0 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... + 351c0 0e022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in + 351d0 69740000 00010e02 236c0007 5f564445 it......#l.._VDE + 351e0 53430024 000012d4 086e6578 745f6465 SC.$.....next_de + 351f0 73630000 0012d402 23000862 75665f61 sc......#..buf_a + 35200 64647200 000012e8 02230408 6275665f ddr......#..buf_ + 35210 73697a65 00000012 ef022308 08646174 size......#..dat + 35220 615f6f66 66736574 00000012 ef02230a a_offset......#. + 35230 08646174 615f7369 7a650000 0012ef02 .data_size...... + 35240 230c0863 6f6e7472 6f6c0000 0012ef02 #..control...... + 35250 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. + 35260 0012fd02 23100003 00001248 04000941 ....#......H...A + 35270 5f55494e 54380000 00032203 000012db _UINT8...."..... + 35280 04000941 5f55494e 54313600 00000179 ...A_UINT16....y + 35290 0f000012 db140000 130a1013 00030000 ................ + 352a0 12480400 09564445 53430000 00124803 .H...VDESC....H. + 352b0 00001311 04000600 00131c01 03000013 ................ + 352c0 23040006 000012e8 01030000 13300400 #............0.. + 352d0 02010300 00133d04 00077664 6573635f ......=...vdesc_ + 352e0 61706900 14000013 b5085f69 6e697400 api......._init. + 352f0 00000add 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 35300 64657363 00000013 29022304 085f6765 desc....).#.._ge + 35310 745f6877 5f646573 63000000 13360223 t_hw_desc....6.# + 35320 08085f73 7761705f 76646573 63000000 .._swap_vdesc... + 35330 133f0223 0c087052 65736572 76656400 .?.#..pReserved. + 35340 0000040a 02231000 075f5642 55460020 .....#..._VBUF. + 35350 00001415 08646573 635f6c69 73740000 .....desc_list.. + 35360 00131c02 2300086e 6578745f 62756600 ....#..next_buf. + 35370 00001415 02230408 6275665f 6c656e67 .....#..buf_leng + 35380 74680000 0012ef02 23080872 65736572 th......#..reser + 35390 76656400 0000141c 02230a08 63747800 ved......#..ctx. + 353a0 000012fd 02230c00 03000013 b504000f .....#.......... + 353b0 000012db 02000014 29100100 03000013 ........)....... + 353c0 b5040009 56425546 00000013 b5030000 ....VBUF........ + 353d0 14300400 06000014 3a010300 00144104 .0......:.....A. + 353e0 00060000 143a0103 0000144e 04000201 .....:.....N.... + 353f0 03000014 5b040007 76627566 5f617069 ....[...vbuf_api + 35400 00140000 14d9085f 696e6974 0000000a ......._init.... + 35410 dd022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf + 35420 00000014 47022304 085f616c 6c6f635f ....G.#.._alloc_ + 35430 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. + 35440 00145402 2308085f 66726565 5f766275 ..T.#.._free_vbu + 35450 66000000 145d0223 0c087052 65736572 f....].#..pReser + 35460 76656400 0000040a 02231000 075f5f61 ved......#...__a + 35470 64665f64 65766963 65000400 0014fb08 df_device....... + 35480 64756d6d 79000000 01150223 00000300 dummy......#.... + 35490 00092104 00075f5f 6164665f 646d615f ..!...__adf_dma_ + 354a0 6d617000 0c000015 42086275 66000000 map.....B.buf... + 354b0 143a0223 00086473 5f616464 72000000 .:.#..ds_addr... + 354c0 14fb0223 04086473 5f6c656e 00000012 ...#..ds_len.... + 354d0 ef022308 00120c00 00157c08 5f5f7661 ..#.......|.__va + 354e0 5f73746b 00000003 78022300 085f5f76 _stk....x.#..__v + 354f0 615f7265 67000000 03780223 04085f5f a_reg....x.#..__ + 35500 76615f6e 64780000 00011502 23080009 va_ndx......#... + 35510 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add + 35520 725f7400 00000921 09616466 5f6f735f r_t....!.adf_os_ + 35530 646d615f 61646472 5f740000 00157c09 dma_addr_t....|. + 35540 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz + 35550 655f7400 00000921 09616466 5f6f735f e_t....!.adf_os_ + 35560 646d615f 73697a65 5f740000 0015ac07 dma_size_t...... + 35570 5f5f646d 615f7365 67730008 00001608 __dma_segs...... + 35580 08706164 64720000 00159502 2300086c .paddr......#..l + 35590 656e0000 0015c502 23040009 5f5f615f en......#...__a_ + 355a0 75696e74 33325f74 00000009 2109615f uint32_t....!.a_ + 355b0 75696e74 33325f74 00000016 080f0000 uint32_t........ + 355c0 15dc0800 00163710 00000761 64665f6f ......7....adf_o + 355d0 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... + 355e0 00167008 6e736567 73000000 161a0223 ..p.nsegs......# + 355f0 0008646d 615f7365 67730000 00162a02 ..dma_segs....*. + 35600 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. + 35610 000012db 09615f75 696e7438 5f740000 .....a_uint8_t.. + 35620 00167003 00001681 0400075f 5f73675f ..p........__sg_ + 35630 73656773 00080000 16c20876 61646472 segs.......vaddr + 35640 00000016 90022300 086c656e 00000016 ......#..len.... + 35650 1a022304 000f0000 16972000 0016cf10 ..#....... ..... + 35660 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist + 35670 00240000 1702086e 73656773 00000016 .$.....nsegs.... + 35680 1a022300 0873675f 73656773 00000016 ..#..sg_segs.... + 35690 c2022304 00121000 00174b08 76656e64 ..#.......K.vend + 356a0 6f720000 00161a02 23000864 65766963 or......#..devic + 356b0 65000000 161a0223 04087375 6276656e e......#..subven + 356c0 646f7200 0000161a 02230808 73756264 dor......#..subd + 356d0 65766963 65000000 161a0223 0c00046c evice......#...l + 356e0 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne + 356f0 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 + 35700 34000000 174b095f 5f615f75 696e7436 4....K.__a_uint6 + 35710 345f7400 00001765 09615f75 696e7436 4_t....e.a_uint6 + 35720 345f7400 00001773 14040000 17d10e41 4_t....s.......A + 35730 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 35740 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS + 35750 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I + 35760 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso + 35770 75726365 5f747970 655f7400 00001795 urce_type_t..... + 35780 12180000 181b0873 74617274 00000017 .......start.... + 35790 85022300 08656e64 00000017 85022308 ..#..end......#. + 357a0 08747970 65000000 17d10223 10000961 .type......#...a + 357b0 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id + 357c0 5f740000 00170203 0000181b 04001104 _t.............. + 357d0 0000185a 08706369 00000018 34022300 ...Z.pci....4.#. + 357e0 08726177 00000004 0a022300 00111000 .raw......#..... + 357f0 00187908 70636900 0000181b 02230008 ..y.pci......#.. + 35800 72617700 0000040a 02230000 09616466 raw......#...adf + 35810 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... + 35820 040a0961 64665f6f 735f7265 736f7572 ...adf_os_resour + 35830 63655f74 00000017 ed030000 188f0400 ce_t............ + 35840 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d + 35850 6174615f 74000000 185a0300 0018ad04 ata_t....Z...... + 35860 00030000 14d90400 095f5f61 64665f6f .........__adf_o + 35870 735f6465 76696365 5f740000 0018ce09 s_device_t...... + 35880 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 35890 000018d5 06000018 79010300 00190104 ........y....... + 358a0 00020103 0000190e 04000961 64665f6f ...........adf_o + 358b0 735f706d 5f740000 00040a02 01030000 s_pm_t.......... + 358c0 19280400 14040000 19680e41 44465f4f .(.......h.ADF_O + 358d0 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. + 358e0 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 358f0 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ + 35900 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... + 35910 19310961 64665f6f 735f6275 735f7265 .1.adf_os_bus_re + 35920 675f6461 74615f74 00000018 3b030000 g_data_t....;... + 35930 03220400 075f6164 665f6472 765f696e ."..._adf_drv_in + 35940 666f0020 00001a45 08647276 5f617474 fo. ...E.drv_att + 35950 61636800 00001907 02230008 6472765f ach......#..drv_ + 35960 64657461 63680000 00191002 23040864 detach......#..d + 35970 72765f73 75737065 6e640000 00192a02 rv_suspend....*. + 35980 23080864 72765f72 6573756d 65000000 #..drv_resume... + 35990 19100223 0c086275 735f7479 70650000 ...#..bus_type.. + 359a0 00196802 23100862 75735f64 61746100 ..h.#..bus_data. + 359b0 0000197f 02231408 6d6f645f 6e616d65 .....#..mod_name + 359c0 00000019 9a022318 0869666e 616d6500 ......#..ifname. + 359d0 0000199a 02231c00 09616466 5f6f735f .....#...adf_os_ + 359e0 68616e64 6c655f74 00000004 0a030000 handle_t........ + 359f0 16700400 02010201 095f5f61 64665f6f .p.......__adf_o + 35a00 735f7369 7a655f74 00000004 0d140400 s_size_t........ + 35a10 001a940e 415f4641 4c534500 000e415f ....A_FALSE...A_ + 35a20 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t + 35a30 0000001a 7a030000 15020400 095f5f61 ....z........__a + 35a40 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 35a50 00001aa2 02010d61 64665f6f 735f6361 .......adf_os_ca + 35a60 6368655f 73796e63 00040000 1b2c0e41 che_sync.....,.A + 35a70 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. + 35a80 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR + 35a90 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P + 35aa0 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY + 35ab0 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... + 35ac0 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn + 35ad0 635f7400 00001ac3 02010961 64665f6f c_t........adf_o + 35ae0 735f7369 7a655f74 0000001a 65060000 s_size_t....e... + 35af0 1b470109 6164665f 6f735f64 6d615f6d .G..adf_os_dma_m + 35b00 61705f74 0000001a a9030000 1b600400 ap_t.........`.. + 35b10 06000004 0a010300 001aa904 00060000 ................ + 35b20 040a0102 01060000 15950102 01047368 ..............sh + 35b30 6f727420 696e7400 05020941 5f494e54 ort int....A_INT + 35b40 31360000 001b9a09 5f5f615f 696e7431 16......__a_int1 + 35b50 365f7400 00001ba7 09615f69 6e743136 6_t......a_int16 + 35b60 5f740000 001bb404 7369676e 65642063 _t......signed c + 35b70 68617200 05010941 5f494e54 38000000 har....A_INT8... + 35b80 1bd4095f 5f615f69 6e74385f 74000000 ...__a_int8_t... + 35b90 1be30961 5f696e74 385f7400 00001bef ...a_int8_t..... + 35ba0 120c0000 1c660873 7570706f 72746564 .....f.supported + 35bb0 00000016 1a022300 08616476 65727469 ......#..adverti + 35bc0 7a656400 0000161a 02230408 73706565 zed......#..spee + 35bd0 64000000 1bc50223 08086475 706c6578 d......#..duplex + 35be0 0000001b ff02230a 08617574 6f6e6567 ......#..autoneg + 35bf0 00000016 8102230b 000f0000 16810600 ......#......... + 35c00 001c7310 05000761 64665f6e 65745f65 ..s....adf_net_e + 35c10 74686164 64720006 00001c97 08616464 thaddr.......add + 35c20 72000000 1c660223 0000095f 5f615f75 r....f.#...__a_u + 35c30 696e7431 365f7400 000012ef 09615f75 int16_t......a_u + 35c40 696e7431 365f7400 00001c97 120e0000 int16_t......... + 35c50 1cfb0865 74686572 5f64686f 73740000 ...ether_dhost.. + 35c60 001c6602 23000865 74686572 5f73686f ..f.#..ether_sho + 35c70 73740000 001c6602 23060865 74686572 st....f.#..ether + 35c80 5f747970 65000000 1ca90223 0c001214 _type......#.... + 35c90 00001dbc 1569705f 76657273 696f6e00 .....ip_version. + 35ca0 00001681 01000402 23001569 705f686c ........#..ip_hl + 35cb0 00000016 81010404 02230008 69705f74 .........#..ip_t + 35cc0 6f730000 00168102 23010869 705f6c65 os......#..ip_le + 35cd0 6e000000 1ca90223 02086970 5f696400 n......#..ip_id. + 35ce0 00001ca9 02230408 69705f66 7261675f .....#..ip_frag_ + 35cf0 6f666600 00001ca9 02230608 69705f74 off......#..ip_t + 35d00 746c0000 00168102 23080869 705f7072 tl......#..ip_pr + 35d10 6f746f00 00001681 02230908 69705f63 oto......#..ip_c + 35d20 6865636b 0000001c a902230a 0869705f heck......#..ip_ + 35d30 73616464 72000000 161a0223 0c086970 saddr......#..ip + 35d40 5f646164 64720000 00161a02 23100007 _daddr......#... + 35d50 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. + 35d60 0400001e 0e087470 69640000 001ca902 ......tpid...... + 35d70 23001570 72696f00 00001681 01000302 #..prio......... + 35d80 23021563 66690000 00168101 03010223 #..cfi.........# + 35d90 02157669 64000000 1ca90204 0c022302 ..vid.........#. + 35da0 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... + 35db0 001e3f15 72657300 00001681 01000402 ..?.res......... + 35dc0 23001576 616c0000 001ca902 040c0223 #..val.........# + 35dd0 0000120c 00001e7b 0872785f 62756673 .......{.rx_bufs + 35de0 697a6500 0000161a 02230008 72785f6e ize......#..rx_n + 35df0 64657363 00000016 1a022304 0874785f desc......#..tx_ + 35e00 6e646573 63000000 161a0223 08001208 ndesc......#.... + 35e10 00001ea1 08706f6c 6c656400 00001a94 .....polled..... + 35e20 02230008 706f6c6c 5f777400 0000161a .#..poll_wt..... + 35e30 02230400 0f000016 81400000 1eae103f .#.......@.....? + 35e40 00124600 001ed608 69665f6e 616d6500 ..F.....if_name. + 35e50 00001ea1 02230008 6465765f 61646472 .....#..dev_addr + 35e60 0000001c 66022340 00140400 001f0d0e ....f.#@........ + 35e70 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 35e80 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D + 35e90 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... + 35ea0 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask + 35eb0 5f740000 001ed607 6164665f 646d615f _t......adf_dma_ + 35ec0 696e666f 00080000 1f5a0864 6d615f6d info.....Z.dma_m + 35ed0 61736b00 00001f0d 02230008 73675f6e ask......#..sg_n + 35ee0 73656773 00000016 1a022304 00140400 segs......#..... + 35ef0 001fb00e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU + 35f00 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET + 35f10 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 35f20 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK + 35f30 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 + 35f40 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu + 35f50 6d5f7479 70655f74 0000001f 5a120800 m_type_t....Z... + 35f60 001ff308 74785f63 6b73756d 0000001f ....tx_cksum.... + 35f70 b0022300 0872785f 636b7375 6d000000 ..#..rx_cksum... + 35f80 1fb00223 04000961 64665f6e 65745f63 ...#...adf_net_c + 35f90 6b73756d 5f696e66 6f5f7400 00001fca ksum_info_t..... + 35fa0 14040000 204c0e41 44465f4e 45545f54 .... L.ADF_NET_T + 35fb0 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE + 35fc0 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF + 35fd0 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... + 35fe0 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type + 35ff0 5f740000 00200d12 10000020 a008636b _t... ..... ..ck + 36000 73756d5f 63617000 00001ff3 02230008 sum_cap......#.. + 36010 74736f00 0000204c 02230808 766c616e tso... L.#..vlan + 36020 5f737570 706f7274 65640000 00168102 _supported...... + 36030 230c0012 20000021 39087478 5f706163 #... ..!9.tx_pac + 36040 6b657473 00000016 1a022300 0872785f kets......#..rx_ + 36050 7061636b 65747300 0000161a 02230408 packets......#.. + 36060 74785f62 79746573 00000016 1a022308 tx_bytes......#. + 36070 0872785f 62797465 73000000 161a0223 .rx_bytes......# + 36080 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... + 36090 1a022310 0872785f 64726f70 70656400 ..#..rx_dropped. + 360a0 0000161a 02231408 72785f65 72726f72 .....#..rx_error + 360b0 73000000 161a0223 18087478 5f657272 s......#..tx_err + 360c0 6f727300 0000161a 02231c00 09616466 ors......#...adf + 360d0 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. + 360e0 001c7316 00002139 03000000 215e107f ..s...!9....!^.. + 360f0 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 36100 61646472 00030400 00219508 6e656c65 addr.....!..nele + 36110 6d000000 161a0223 00086d63 61737400 m......#..mcast. + 36120 00002150 02230400 09616466 5f6e6574 ..!P.#...adf_net + 36130 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t + 36140 0000001c 0d096164 665f6e65 745f636d ......adf_net_cm + 36150 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... + 36160 1e7b0961 64665f6e 65745f63 6d645f63 .{.adf_net_cmd_c + 36170 6b73756d 5f696e66 6f5f7400 00001ff3 ksum_info_t..... + 36180 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin + 36190 675f696e 666f5f74 0000001e 3f096164 g_info_t....?.ad + 361a0 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in + 361b0 666f5f74 0000001f 24096164 665f6e65 fo_t....$.adf_ne + 361c0 745f636d 645f7669 645f7400 00001ca9 t_cmd_vid_t..... + 361d0 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off + 361e0 6c6f6164 5f636170 5f740000 00206409 load_cap_t... d. + 361f0 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat + 36200 735f7400 000020a0 09616466 5f6e6574 s_t... ..adf_net + 36210 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... + 36220 215e0d61 64665f6e 65745f63 6d645f6d !^.adf_net_cmd_m + 36230 63617374 5f636170 00040000 22d70e41 cast_cap...."..A + 36240 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP + 36250 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST + 36260 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n + 36270 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 36280 5f740000 00228f18 03040000 23a9086c _t..."......#..l + 36290 696e6b5f 696e666f 00000021 95022300 ink_info...!..#. + 362a0 08706f6c 6c5f696e 666f0000 0021b202 .poll_info...!.. + 362b0 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... + 362c0 21cf0223 00087269 6e675f69 6e666f00 !..#..ring_info. + 362d0 000021ed 02230008 646d615f 696e666f ..!..#..dma_info + 362e0 00000022 0a022300 08766964 00000022 ..."..#..vid..." + 362f0 26022300 086f6666 6c6f6164 5f636170 &.#..offload_cap + 36300 00000022 3d022300 08737461 74730000 ..."=.#..stats.. + 36310 00225c02 2300086d 63617374 5f696e66 ."\.#..mcast_inf + 36320 6f000000 22750223 00086d63 6173745f o..."u.#..mcast_ + 36330 63617000 000022d7 02230000 14040000 cap..."..#...... + 36340 24000e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C + 36350 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 36360 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW + 36370 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 36380 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY + 36390 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 363a0 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# + 363b0 a9120800 00244008 72657375 6c740000 .....$@.result.. + 363c0 00240002 23000876 616c0000 00161a02 .$..#..val...... + 363d0 23040012 08000024 70087479 70650000 #......$p.type.. + 363e0 00204c02 2300086d 73730000 001ca902 . L.#..mss...... + 363f0 23040868 64725f6f 66660000 00168102 #..hdr_off...... + 36400 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q + 36410 68656164 000c0000 24af0868 65616400 head....$..head. + 36420 0000143a 02230008 7461696c 00000014 ...:.#..tail.... + 36430 3a022304 08716c65 6e000000 161a0223 :.#..qlen......# + 36440 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. + 36450 0000143a 03000016 90040003 0000161a ...:............ + 36460 04000201 06000013 1c010600 00161a01 ................ + 36470 06000016 90010600 00169001 03000012 ................ + 36480 fd040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q + 36490 68656164 5f740000 00247009 5f5f6164 head_t...$p.__ad + 364a0 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 364b0 0024f003 00002508 04000600 0024af01 .$....%......$.. + 364c0 06000024 af011404 00002628 0e415f53 ...$......&(.A_S + 364d0 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA + 364e0 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S + 364f0 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A + 36500 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. + 36510 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL + 36520 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP + 36530 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT + 36540 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ + 36550 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A + 36560 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... + 36570 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO + 36580 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU + 36590 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT + 365a0 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST + 365b0 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st + 365c0 61747573 5f740000 00253306 00002628 atus_t...%3...&( + 365d0 01060000 01150102 01096164 665f6e62 ..........adf_nb + 365e0 75665f74 00000024 af140400 00268d0e uf_t...$.....&.. + 365f0 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE + 36600 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM + 36610 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... + 36620 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ + 36630 74000000 26560600 00262801 02010961 t...&V...&(....a + 36640 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf + 36650 6f5f7400 00001637 03000026 ab040002 o_t....7...&.... + 36660 01020106 00002646 01060000 24af0102 ......&F....$... + 36670 01020106 00002646 01060000 24af0106 ......&F....$... + 36680 00002646 01060000 24af0106 00002646 ..&F....$.....&F + 36690 01020102 01060000 161a0106 00001690 ................ + 366a0 01020102 01060000 1b470106 00001a94 .........G...... + 366b0 01060000 1a940109 6164665f 6f735f73 ........adf_os_s + 366c0 676c6973 745f7400 000016cf 03000027 glist_t........' + 366d0 24040002 01020102 01060000 16900109 $............... + 366e0 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 366f0 00000025 08030000 274c0400 02010300 ...%....'L...... + 36700 0024f004 00020102 01020106 00002646 .$............&F + 36710 01060000 24af0106 0000161a 01060000 ....$........... + 36720 161a0106 00001a94 01060000 1a940106 ................ + 36730 00001fb0 01060000 161a0109 6164665f ............adf_ + 36740 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. + 36750 0000241e 03000027 a8040002 01020109 ..$....'........ + 36760 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. + 36770 00244003 000027cc 04000201 02010961 .$@...'........a + 36780 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. + 36790 0000040a 09616466 5f6e6574 5f766c61 .....adf_net_vla + 367a0 6e686472 5f740000 001dbc03 00002801 nhdr_t........(. + 367b0 04000600 00262801 06000026 28010201 .....&(....&(... + 367c0 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. + 367d0 00002850 0864756d 6d790000 00011502 ..(P.dummy...... + 367e0 23000002 01030000 28500400 02010300 #.......(P...... + 367f0 00285904 00075f48 49465f43 414c4c42 .(Y..._HIF_CALLB + 36800 41434b00 0c000028 ae087365 6e645f62 ACK....(..send_b + 36810 75665f64 6f6e6500 00002852 02230008 uf_done...(R.#.. + 36820 72656376 5f627566 00000028 5b022304 recv_buf...([.#. + 36830 08636f6e 74657874 00000004 0a022308 .context......#. + 36840 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. + 36850 00040a09 4849465f 434f4e46 49470000 ....HIF_CONFIG.. + 36860 00282f03 000028c0 04000600 0028ae01 .(/...(......(.. + 36870 03000028 d7040002 01030000 28e40400 ...(........(... + 36880 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... + 36890 28620300 0028ed04 00020103 00002906 (b...(........). + 368a0 04000600 00011501 03000029 0f040002 ...........).... + 368b0 01030000 291c0400 06000001 15010300 ....)........... + 368c0 00292504 00020103 00002932 04000600 .)%.......)2.... + 368d0 00011501 03000029 3b040002 01030000 .......);....... + 368e0 29480400 07686966 5f617069 00380000 )H...hif_api.8.. + 368f0 2aa1085f 696e6974 00000028 dd022300 *.._init...(..#. + 36900 085f7368 7574646f 776e0000 0028e602 ._shutdown...(.. + 36910 2304085f 72656769 73746572 5f63616c #.._register_cal + 36920 6c626163 6b000000 29080223 08085f67 lback...)..#.._g + 36930 65745f74 6f74616c 5f637265 6469745f et_total_credit_ + 36940 636f756e 74000000 29150223 0c085f73 count...)..#.._s + 36950 74617274 00000028 e6022310 085f636f tart...(..#.._co + 36960 6e666967 5f706970 65000000 291e0223 nfig_pipe...)..# + 36970 14085f73 656e645f 62756666 65720000 .._send_buffer.. + 36980 00292b02 2318085f 72657475 726e5f72 .)+.#.._return_r + 36990 6563765f 62756600 00002934 02231c08 ecv_buf...)4.#.. + 369a0 5f69735f 70697065 5f737570 706f7274 _is_pipe_support + 369b0 65640000 00294102 2320085f 6765745f ed...)A.# ._get_ + 369c0 6d61785f 6d73675f 6c656e00 00002941 max_msg_len...)A + 369d0 02232408 5f676574 5f726573 65727665 .#$._get_reserve + 369e0 645f6865 6164726f 6f6d0000 00291502 d_headroom...).. + 369f0 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. + 36a00 000028e6 02232c08 5f676574 5f646566 ..(..#,._get_def + 36a10 61756c74 5f706970 65000000 294a0223 ault_pipe...)J.# + 36a20 30087052 65736572 76656400 0000040a 0.pReserved..... + 36a30 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. + 36a40 0400002b 2a0e444d 415f454e 47494e45 ...+*.DMA_ENGINE + 36a50 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN + 36a60 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI + 36a70 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG + 36a80 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN + 36a90 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E + 36aa0 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ + 36ab0 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm + 36ac0 615f656e 67696e65 5f740000 002aa10d a_engine_t...*.. + 36ad0 646d615f 69667479 70650004 00002b77 dma_iftype....+w + 36ae0 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D + 36af0 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ + 36b00 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i + 36b10 66747970 655f7400 00002b3c 06000012 ftype_t...+<.... + 36b20 ef010300 002b8904 00020103 00002b96 .....+........+. + 36b30 04000201 0300002b 9f040006 00000921 .......+.......! + 36b40 01030000 2ba80400 06000012 ef010300 ....+........... + 36b50 002bb504 00060000 12ef0103 00002bc2 .+............+. + 36b60 04000600 00143a01 0300002b cf040002 ......:....+.... + 36b70 01030000 2bdc0400 07646d61 5f6c6962 ....+....dma_lib + 36b80 5f617069 00340000 2ce30874 785f696e _api.4..,..tx_in + 36b90 69740000 002b8f02 23000874 785f7374 it...+..#..tx_st + 36ba0 61727400 00002b98 02230408 72785f69 art...+..#..rx_i + 36bb0 6e697400 00002b8f 02230808 72785f63 nit...+..#..rx_c + 36bc0 6f6e6669 67000000 2ba10223 0c087278 onfig...+..#..rx + 36bd0 5f737461 72740000 002b9802 23100869 _start...+..#..i + 36be0 6e74725f 73746174 75730000 002bae02 ntr_status...+.. + 36bf0 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ + 36c00 bb022318 08666c75 73685f78 6d697400 ..#..flush_xmit. + 36c10 00002b98 02231c08 786d6974 5f646f6e ..+..#..xmit_don + 36c20 65000000 2bc80223 20087265 61705f78 e...+..# .reap_x + 36c30 6d697474 65640000 002bd502 23240872 mitted...+..#$.r + 36c40 6561705f 72656376 0000002b d5022328 eap_recv...+..#( + 36c50 08726574 75726e5f 72656376 0000002b .return_recv...+ + 36c60 de02232c 08726563 765f706b 74000000 ..#,.recv_pkt... + 36c70 2bc80223 3000075f 5f706369 5f736f66 +..#0..__pci_sof + 36c80 7463000c 00002d01 08737700 000028ed tc....-..sw...(. + 36c90 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc + 36ca0 5f740000 002ce303 00002d01 04000201 _t...,....-..... + 36cb0 0300002d 1b040006 000012db 01030000 ...-............ + 36cc0 2d240400 0d686966 5f706369 5f706970 -$...hif_pci_pip + 36cd0 655f7478 00040000 2d840e48 49465f50 e_tx....-..HIF_P + 36ce0 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI + 36cf0 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. + 36d00 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 36d10 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ + 36d20 70697065 5f74785f 74000000 2d310600 pipe_tx_t...-1.. + 36d30 002b2a01 0300002d 9b04000d 6869665f .+*....-....hif_ + 36d40 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... + 36d50 210e4849 465f5043 495f5049 50455f52 !.HIF_PCI_PIPE_R + 36d60 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 36d70 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ + 36d80 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P + 36d90 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI + 36da0 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA + 36db0 58000400 09686966 5f706369 5f706970 X....hif_pci_pip + 36dc0 655f7278 5f740000 002da806 00002b2a e_rx_t...-....+* + 36dd0 01030000 2e380400 07686966 5f706369 .....8...hif_pci + 36de0 5f617069 00240000 2f160870 63695f62 _api.$../..pci_b + 36df0 6f6f745f 696e6974 00000001 0e022300 oot_init......#. + 36e00 08706369 5f696e69 74000000 28dd0223 .pci_init...(..# + 36e10 04087063 695f7265 73657400 0000010e ..pci_reset..... + 36e20 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. + 36e30 00010e02 230c0870 63695f72 6561705f ....#..pci_reap_ + 36e40 786d6974 74656400 00002d1d 02231008 xmitted...-..#.. + 36e50 7063695f 72656170 5f726563 76000000 pci_reap_recv... + 36e60 2d1d0223 14087063 695f6765 745f7069 -..#..pci_get_pi + 36e70 70650000 002d2a02 23180870 63695f67 pe...-*.#..pci_g + 36e80 65745f74 785f656e 67000000 2da10223 et_tx_eng...-..# + 36e90 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng + 36ea0 0000002e 3e022320 0007676d 61635f61 ....>.# ..gmac_a + 36eb0 70690004 00002f3d 08676d61 635f626f pi..../=.gmac_bo + 36ec0 6f745f69 6e697400 0000010e 02230000 ot_init......#.. + 36ed0 0f000003 22060000 2f4a1005 00075f5f ....".../J....__ + 36ee0 65746868 6472000e 00002f80 08647374 ethhdr..../..dst + 36ef0 0000002f 3d022300 08737263 0000002f .../=.#..src.../ + 36f00 3d022306 08657479 70650000 0012ef02 =.#..etype...... + 36f10 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... + 36f20 2fce1572 65730000 0012db01 00020223 /..res.........# + 36f30 00157072 6f746f00 000012db 01020602 ..proto......... + 36f40 23000872 65735f6c 6f000000 12db0223 #..res_lo......# + 36f50 01087265 735f6869 00000012 ef022302 ..res_hi......#. + 36f60 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... + 36f70 300a0865 74680000 002f4a02 23000861 0..eth.../J.#..a + 36f80 74680000 002f8002 230e0861 6c69676e th.../..#..align + 36f90 5f706164 00000012 ef022312 00095f5f _pad......#...__ + 36fa0 676d6163 5f686472 5f740000 002fce07 gmac_hdr_t.../.. + 36fb0 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. + 36fc0 30540868 64720000 00300a02 23000867 0T.hdr...0..#..g + 36fd0 72616e00 000012ef 02231408 73770000 ran......#..sw.. + 36fe0 0028ed02 23180007 5f415f6f 735f6c69 .(..#..._A_os_li + 36ff0 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 + 37000 8d087665 7273696f 6e000000 01150223 ..version......# + 37010 00087461 626c6500 00000115 02230400 ..table......#.. + 37020 03000030 54040006 00000115 01030000 ...0T........... + 37030 30940400 03000004 0d040017 5f415f63 0..........._A_c + 37040 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 37050 5f746162 6c650001 b8000031 e4086861 _table.....1..ha + 37060 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. + 37070 0000309a 02230008 73746172 745f6273 ..0..#..start_bs + 37080 73000000 30a10223 04086170 705f7374 s...0..#..app_st + 37090 61727400 0000010e 02230808 6d656d00 art......#..mem. + 370a0 0000044d 02230c08 6d697363 00000005 ...M.#..misc.... + 370b0 6c022320 08707269 6e746600 00000142 l.# .printf....B + 370c0 02234408 75617274 00000002 0b02234c .#D.uart......#L + 370d0 08676d61 63000000 2f160223 6c087573 .gmac.../..#l.us + 370e0 62000000 0f9b0223 7008636c 6f636b00 b......#p.clock. + 370f0 00000ae4 0323e001 0874696d 65720000 .....#...timer.. + 37100 00078403 23840208 696e7472 0000000c ....#...intr.... + 37110 34032398 0208616c 6c6f6372 616d0000 4.#...allocram.. + 37120 00093c03 23c40208 726f6d70 00000008 ..<.#...romp.... + 37130 2e0323d0 02087764 745f7469 6d657200 ..#...wdt_timer. + 37140 00000e11 0323e002 08656570 0000000f .....#...eep.... + 37150 3f0323fc 02087374 72696e67 00000006 ?.#...string.... + 37160 9003238c 03087461 736b6c65 74000000 ..#...tasklet... + 37170 0a390323 a4030007 5f555342 5f464946 .9.#...._USB_FIF + 37180 4f5f434f 4e464947 00100000 32570867 O_CONFIG....2W.g + 37190 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. + 371a0 00144702 23000872 6563765f 636f6d6d ..G.#..recv_comm + 371b0 616e6400 0000145d 02230408 6765745f and....].#..get_ + 371c0 6576656e 745f6275 66000000 14470223 event_buf....G.# + 371d0 08087365 6e645f65 76656e74 5f646f6e ..send_event_don + 371e0 65000000 145d0223 0c000955 53425f46 e....].#...USB_F + 371f0 49464f5f 434f4e46 49470000 0031e403 IFO_CONFIG...1.. + 37200 00003257 04000201 03000032 73040007 ..2W.......2s... + 37210 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 + 37220 c9085f69 6e697400 00003275 02230008 .._init...2u.#.. + 37230 5f656e61 626c655f 6576656e 745f6973 _enable_event_is + 37240 72000000 010e0223 04087052 65736572 r......#..pReser + 37250 76656400 0000040a 02230800 0f000016 ved......#...... + 37260 81020000 32d61001 00075f48 54435f46 ....2....._HTC_F + 37270 52414d45 5f484452 00080000 33480845 RAME_HDR....3H.E + 37280 6e64706f 696e7449 44000000 16810223 ndpointID......# + 37290 0008466c 61677300 00001681 02230108 ..Flags......#.. + 372a0 5061796c 6f61644c 656e0000 001ca902 PayloadLen...... + 372b0 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. + 372c0 000032c9 02230408 486f7374 5365714e ..2..#..HostSeqN + 372d0 756d0000 001ca902 23060012 02000033 um......#......3 + 372e0 61084d65 73736167 65494400 00001ca9 a.MessageID..... + 372f0 02230000 12080000 33c4084d 65737361 .#......3..Messa + 37300 67654944 0000001c a9022300 08437265 geID......#..Cre + 37310 64697443 6f756e74 0000001c a9022302 ditCount......#. + 37320 08437265 64697453 697a6500 00001ca9 .CreditSize..... + 37330 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints + 37340 00000016 81022306 085f5061 64310000 ......#.._Pad1.. + 37350 00168102 23070012 0a000034 5b084d65 ....#......4[.Me + 37360 73736167 65494400 00001ca9 02230008 ssageID......#.. + 37370 53657276 69636549 44000000 1ca90223 ServiceID......# + 37380 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag + 37390 73000000 1ca90223 0408446f 776e4c69 s......#..DownLi + 373a0 6e6b5069 70654944 00000016 81022306 nkPipeID......#. + 373b0 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... + 373c0 16810223 07085365 72766963 654d6574 ...#..ServiceMet + 373d0 614c656e 67746800 00001681 02230808 aLength......#.. + 373e0 5f506164 31000000 16810223 0900120a _Pad1......#.... + 373f0 000034e3 084d6573 73616765 49440000 ..4..MessageID.. + 37400 001ca902 23000853 65727669 63654944 ....#..ServiceID + 37410 0000001c a9022302 08537461 74757300 ......#..Status. + 37420 00001681 02230408 456e6470 6f696e74 .....#..Endpoint + 37430 49440000 00168102 2305084d 61784d73 ID......#..MaxMs + 37440 6753697a 65000000 1ca90223 06085365 gSize......#..Se + 37450 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 37460 00001681 02230808 5f506164 31000000 .....#.._Pad1... + 37470 16810223 09001202 000034fc 084d6573 ...#......4..Mes + 37480 73616765 49440000 001ca902 23000012 sageID......#... + 37490 04000035 38084d65 73736167 65494400 ...58.MessageID. + 374a0 00001ca9 02230008 50697065 49440000 .....#..PipeID.. + 374b0 00168102 23020843 72656469 74436f75 ....#..CreditCou + 374c0 6e740000 00168102 23030012 04000035 nt......#......5 + 374d0 6f084d65 73736167 65494400 00001ca9 o.MessageID..... + 374e0 02230008 50697065 49440000 00168102 .#..PipeID...... + 374f0 23020853 74617475 73000000 16810223 #..Status......# + 37500 03001202 00003596 08526563 6f726449 ......5..RecordI + 37510 44000000 16810223 00084c65 6e677468 D......#..Length + 37520 00000016 81022301 00120200 0035c008 ......#......5.. + 37530 456e6470 6f696e74 49440000 00168102 EndpointID...... + 37540 23000843 72656469 74730000 00168102 #..Credits...... + 37550 23010012 04000036 0108456e 64706f69 #......6..Endpoi + 37560 6e744944 00000016 81022300 08437265 ntID......#..Cre + 37570 64697473 00000016 81022301 08546774 dits......#..Tgt + 37580 43726564 69745365 714e6f00 00001ca9 CreditSeqNo..... + 37590 02230200 0f000016 81040000 360e1003 .#..........6... + 375a0 00120600 00364a08 50726556 616c6964 .....6J.PreValid + 375b0 00000016 81022300 084c6f6f 6b416865 ......#..LookAhe + 375c0 61640000 00360102 23010850 6f737456 ad...6..#..PostV + 375d0 616c6964 00000016 81022305 0009706f alid......#...po + 375e0 6f6c5f68 616e646c 655f7400 0000040a ol_handle_t..... + 375f0 06000036 4a010300 00365d04 00020103 ...6J....6]..... + 37600 0000366a 04001404 000036e8 0e504f4f ..6j......6..POO + 37610 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL + 37620 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S + 37630 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P + 37640 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E + 37650 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W + 37660 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO + 37670 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ + 37680 504f4f4c 5f494400 00003673 02010300 POOL_ID...6s.... + 37690 0036f904 00060000 26460103 00003702 .6......&F....7. + 376a0 04000600 00264601 03000037 0f040002 .....&F....7.... + 376b0 01030000 371c0400 07627566 5f706f6f ....7....buf_poo + 376c0 6c5f6170 69001c00 0037be08 5f696e69 l_api....7.._ini + 376d0 74000000 36630223 00085f73 68757464 t...6c.#.._shutd + 376e0 6f776e00 0000366c 02230408 5f637265 own...6l.#.._cre + 376f0 6174655f 706f6f6c 00000036 fb022308 ate_pool...6..#. + 37700 085f616c 6c6f635f 62756600 00003708 ._alloc_buf...7. + 37710 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a + 37720 6c69676e 00000037 15022310 085f6672 lign...7..#.._fr + 37730 65655f62 75660000 00371e02 23140870 ee_buf...7..#..p + 37740 52657365 72766564 00000004 0a022318 Reserved......#. + 37750 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. + 37760 0000389d 08704e65 78740000 00389d02 ..8..pNext...8.. + 37770 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs + 37780 67000000 39520223 04085072 6f636573 g...9R.#..Proces + 37790 7353656e 64427566 66657243 6f6d706c sSendBufferCompl + 377a0 65746500 0000395b 02230808 50726f63 ete...9[.#..Proc + 377b0 65737343 6f6e6e65 63740000 00396f02 essConnect...9o. + 377c0 230c0853 65727669 63654944 00000012 #..ServiceID.... + 377d0 ef022310 08536572 76696365 466c6167 ..#..ServiceFlag + 377e0 73000000 12ef0223 12084d61 78537663 s......#..MaxSvc + 377f0 4d736753 697a6500 000012ef 02231408 MsgSize......#.. + 37800 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL + 37810 696d6974 00000012 ef022316 08536572 imit......#..Ser + 37820 76696365 43747800 0000040a 02231800 viceCtx......#.. + 37830 03000037 be040014 04000039 3b19454e ...7.......9;.EN + 37840 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... + 37850 ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E + 37860 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO + 37870 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 + 37880 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E + 37890 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO + 378a0 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 + 378b0 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E + 378c0 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H + 378d0 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. + 378e0 0038a402 01030000 39500400 02010300 .8......9P...... + 378f0 00395904 00030000 01150400 06000012 .9Y............. + 37900 db010300 00396904 00030000 37be0400 .....9i.....7... + 37910 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... + 37920 39ee0843 72656469 7453697a 65000000 9..CreditSize... + 37930 01150223 00084372 65646974 4e756d62 ...#..CreditNumb + 37940 65720000 00011502 2304084f 5348616e er......#..OSHan + 37950 646c6500 00001a45 02230808 48494648 dle....E.#..HIFH + 37960 616e646c 65000000 28ae0223 0c08506f andle...(..#..Po + 37970 6f6c4861 6e646c65 00000036 4a022310 olHandle...6J.#. + 37980 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE + 37990 58540002 00003a2a 08656e64 5f706f69 XT....:*.end_poi + 379a0 6e740000 0012db02 23000868 74635f66 nt......#..htc_f + 379b0 6c616773 00000012 db022301 00096874 lags......#...ht + 379c0 635f6861 6e646c65 5f740000 00040a09 c_handle_t...... + 379d0 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE + 379e0 54455f43 42000000 010e0948 54435f43 TE_CB......HTC_C + 379f0 4f4e4649 47000000 397d0300 003a5704 ONFIG...9}...:W. + 37a00 00060000 3a2a0103 00003a6e 04000201 ....:*....:n.... + 37a10 0300003a 7b040009 4854435f 53455256 ...:{...HTC_SERV + 37a20 49434500 000037be 0300003a 84040002 ICE...7....:.... + 37a30 01030000 3a9c0400 02010300 003aa504 ....:........:.. + 37a40 00020103 00003aae 04000600 00011501 ......:......... + 37a50 0300003a b7040007 6874635f 61706973 ...:....htc_apis + 37a60 00340000 3c34085f 4854435f 496e6974 .4..<4._HTC_Init + 37a70 0000003a 74022300 085f4854 435f5368 ...:t.#.._HTC_Sh + 37a80 7574646f 776e0000 003a7d02 2304085f utdown...:}.#.._ + 37a90 4854435f 52656769 73746572 53657276 HTC_RegisterServ + 37aa0 69636500 00003a9e 02230808 5f485443 ice...:..#.._HTC + 37ab0 5f526561 64790000 003a7d02 230c085f _Ready...:}.#.._ + 37ac0 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 37ad0 73000000 3aa70223 10085f48 54435f52 s...:..#.._HTC_R + 37ae0 65747572 6e427566 66657273 4c697374 eturnBuffersList + 37af0 0000003a b0022314 085f4854 435f5365 ...:..#.._HTC_Se + 37b00 6e644d73 67000000 3aa70223 18085f48 ndMsg...:..#.._H + 37b10 54435f47 65745265 73657276 65644865 TC_GetReservedHe + 37b20 6164726f 6f6d0000 003abd02 231c085f adroom...:..#.._ + 37b30 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl + 37b40 65720000 00285b02 2320085f 4854435f er...([.# ._HTC_ + 37b50 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. + 37b60 00002852 02232408 5f485443 5f436f6e ..(R.#$._HTC_Con + 37b70 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs + 37b80 67000000 39520223 28085f48 54435f43 g...9R.#(._HTC_C + 37b90 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 37ba0 53656e64 436f6d70 6c657465 00000039 SendComplete...9 + 37bb0 5b02232c 08705265 73657276 65640000 [.#,.pReserved.. + 37bc0 00040a02 23300007 686f7374 5f617070 ....#0..host_app + 37bd0 5f617265 615f7300 0400003c 6408776d _area_s......t + 37d70 75706c65 4e756d4c 0000001c a9022300 upleNumL......#. + 37d80 08747570 6c654e75 6d480000 001ca902 .tupleNumH...... + 37d90 23020861 76740000 003dc802 23040012 #..avt...=..#... + 37da0 0100003e 2e086265 61636f6e 50656e64 ...>..beaconPend + 37db0 696e6743 6f756e74 00000016 81022300 ingCount......#. + 37dc0 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI + 37dd0 47001000 003e9708 48746348 616e646c G....>..HtcHandl + 37de0 65000000 3a2a0223 0008506f 6f6c4861 e...:*.#..PoolHa + 37df0 6e646c65 00000036 4a022304 084d6178 ndle...6J.#..Max + 37e00 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... + 37e10 15022308 084d6178 4576656e 74457674 ..#..MaxEventEvt + 37e20 73000000 01150223 0c000201 0300003e s......#.......> + 37e30 97040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND + 37e40 4c455200 00003e99 075f574d 495f4449 LER...>.._WMI_DI + 37e50 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... + 37e60 3f000870 436d6448 616e646c 65720000 ?..pCmdHandler.. + 37e70 003ea002 23000843 6d644944 00000012 .>..#..CmdID.... + 37e80 ef022304 08466c61 67730000 0012ef02 ..#..Flags...... + 37e90 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC + 37ea0 485f5441 424c4500 1000003f 6108704e H_TABLE....?a.pN + 37eb0 65787400 00003f61 02230008 70436f6e ext...?a.#..pCon + 37ec0 74657874 00000004 0a022304 084e756d text......#..Num + 37ed0 6265724f 66456e74 72696573 00000001 berOfEntries.... + 37ee0 15022308 08705461 626c6500 00003f80 ..#..pTable...?. + 37ef0 02230c00 0300003f 00040009 574d495f .#.....?....WMI_ + 37f00 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. + 37f10 003eb503 00003f68 04000300 003f0004 .>....?h.....?.. + 37f20 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX + 37f30 54000000 39ee0d57 4d495f45 56545f43 T...9..WMI_EVT_C + 37f40 4c415353 00040000 40181957 4d495f45 LASS....@..WMI_E + 37f50 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... + 37f60 ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 37f70 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI + 37f80 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R + 37f90 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C + 37fa0 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ + 37fb0 4556545f 434c4153 53000000 3fa3075f EVT_CLASS...?.._ + 37fc0 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. + 37fd0 0c000040 76084874 63427566 43747800 ...@v.HtcBufCtx. + 37fe0 00003f8e 02230008 4576656e 74436c61 ..?..#..EventCla + 37ff0 73730000 00401802 23040846 6c616773 ss...@..#..Flags + 38000 00000012 ef022308 0009776d 695f6861 ......#...wmi_ha + 38010 6e646c65 5f740000 00040a09 574d495f ndle_t......WMI_ + 38020 5356435f 434f4e46 49470000 003e2e03 SVC_CONFIG...>.. + 38030 00004088 04000600 00407601 03000040 ..@......@v....@ + 38040 a3040009 574d495f 44495350 41544348 ....WMI_DISPATCH + 38050 5f544142 4c450000 003f0003 000040b0 _TABLE...?....@. + 38060 04000201 03000040 cf040006 00002646 .......@......&F + 38070 01030000 40d80400 02010300 0040e504 ....@........@.. + 38080 00060000 01150103 000040ee 04000201 ..........@..... + 38090 03000040 fb040006 000012db 01030000 ...@............ + 380a0 41040400 075f776d 695f7376 635f6170 A...._wmi_svc_ap + 380b0 6973002c 0000424c 085f574d 495f496e is.,..BL._WMI_In + 380c0 69740000 0040a902 2300085f 574d495f it...@..#.._WMI_ + 380d0 52656769 73746572 44697370 61746368 RegisterDispatch + 380e0 5461626c 65000000 40d10223 04085f57 Table...@..#.._W + 380f0 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... + 38100 40de0223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE + 38110 76656e74 00000040 e702230c 085f574d vent...@..#.._WM + 38120 495f4765 7450656e 64696e67 4576656e I_GetPendingEven + 38130 7473436f 756e7400 000040f4 02231008 tsCount...@..#.. + 38140 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet + 38150 6548616e 646c6572 00000039 5b022314 eHandler...9[.#. + 38160 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl + 38170 45700000 0040f402 2318085f 574d495f Ep...@..#.._WMI_ + 38180 53687574 646f776e 00000040 fd02231c Shutdown...@..#. + 38190 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag + 381a0 6548616e 646c6572 00000039 52022320 eHandler...9R.# + 381b0 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon + 381c0 6e656374 00000041 0a022324 08705265 nect...A..#$.pRe + 381d0 73657276 65640000 00040a02 23280007 served......#(.. + 381e0 7a73446d 61446573 63001400 0042ce08 zsDmaDesc....B.. + 381f0 6374726c 00000001 79022300 08737461 ctrl....y.#..sta + 38200 74757300 00000179 02230208 746f7461 tus....y.#..tota + 38210 6c4c656e 00000001 79022304 08646174 lLen....y.#..dat + 38220 6153697a 65000000 01790223 06086c61 aSize....y.#..la + 38230 73744164 64720000 0042ce02 23080864 stAddr...B..#..d + 38240 61746141 64647200 0000019d 02230c08 ataAddr......#.. + 38250 6e657874 41646472 00000042 ce022310 nextAddr...B..#. + 38260 00030000 424c0400 03000042 4c040007 ....BL.....BL... + 38270 7a73446d 61517565 75650008 0000430e zsDmaQueue....C. + 38280 08686561 64000000 42d50223 00087465 .head...B..#..te + 38290 726d696e 61746f72 00000042 d5022304 rminator...B..#. + 382a0 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. + 382b0 00004372 08686561 64000000 42d50223 ..Cr.head...B..# + 382c0 00087465 726d696e 61746f72 00000042 ..terminator...B + 382d0 d5022304 08786d69 7465645f 6275665f ..#..xmited_buf_ + 382e0 68656164 00000014 3a022308 08786d69 head....:.#..xmi + 382f0 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... + 38300 3a02230c 00020103 00004372 04000300 :.#.......Cr.... + 38310 0042dc04 00020103 00004382 04000300 .B........C..... + 38320 00430e04 00020103 00004392 04000201 .C........C..... + 38330 03000043 9b040002 01030000 43a40400 ...C........C... + 38340 06000014 3a010300 0043ad04 00020103 ....:....C...... + 38350 000043ba 04000600 00143a01 03000043 ..C.......:....C + 38360 c3040002 01030000 43d00400 06000001 ........C....... + 38370 15010300 0043d904 00060000 42d50103 .....C......B... + 38380 000043e6 04000201 03000043 f3040007 ..C........C.... + 38390 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ + 383a0 00004569 085f696e 69740000 00437402 ..Ei._init...Ct. + 383b0 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu + 383c0 65000000 43840223 04085f69 6e69745f e...C..#.._init_ + 383d0 74785f71 75657565 00000043 94022308 tx_queue...C..#. + 383e0 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu + 383f0 65000000 439d0223 0c085f78 6d69745f e...C..#.._xmit_ + 38400 62756600 000043a6 02231008 5f666c75 buf...C..#.._flu + 38410 73685f78 6d697400 00004384 02231408 sh_xmit...C..#.. + 38420 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. + 38430 0043b302 2318085f 72657475 726e5f72 .C..#.._return_r + 38440 6563765f 62756600 000043bc 02231c08 ecv_buf...C..#.. + 38450 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf + 38460 00000043 c9022320 085f7377 61705f64 ...C..# ._swap_d + 38470 61746100 000043d2 02232408 5f686173 ata...C..#$._has + 38480 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. + 38490 0043df02 2328085f 64657363 5f64756d .C..#(._desc_dum + 384a0 70000000 43840223 2c085f67 65745f70 p...C..#,._get_p + 384b0 61636b65 74000000 43ec0223 30085f72 acket...C..#0._r + 384c0 65636c61 696d5f70 61636b65 74000000 eclaim_packet... + 384d0 43f50223 34085f70 75745f70 61636b65 C..#4._put_packe + 384e0 74000000 43f50223 38087052 65736572 t...C..#8.pReser + 384f0 76656400 0000040a 02233c00 095f415f ved......#<.._A_ + 38500 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 38510 6e5f7461 626c655f 74000000 30a80957 n_table_t...0..W + 38520 4d495f53 56435f41 50495300 00004111 MI_SVC_APIS...A. + 38530 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 38540 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. + 38550 00469708 636d6e6f 73000000 45690223 .F..cmnos...Ei.# + 38560 00086462 67000000 03d70323 b8030868 ..dbg......#...h + 38570 69660000 00295103 23c00308 68746300 if...)Q.#...htc. + 38580 00003ac4 0323f803 08776d69 5f737663 ..:..#...wmi_svc + 38590 5f617069 00000045 8b0323ac 04087573 _api...E..#...us + 385a0 62666966 6f5f6170 69000000 327c0323 bfifo_api...2|.# + 385b0 d8040862 75665f70 6f6f6c00 00003725 ...buf_pool...7% + 385c0 0323e404 08766275 66000000 14640323 .#...vbuf....d.# + 385d0 80050876 64657363 00000013 46032394 ...vdesc....F.#. + 385e0 0508616c 6c6f6372 616d0000 00093c03 ..allocram....<. + 385f0 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. + 38600 0043fc03 23b40508 646d615f 6c696200 .C..#...dma_lib. + 38610 00002be5 0323f405 08686966 5f706369 ..+..#...hif_pci + 38620 0000002e 450323a8 0600095f 415f6d61 ....E.#...._A_ma + 38630 67706965 5f696e64 69726563 74696f6e gpie_indirection + 38640 5f746162 6c655f74 00000045 9d07636d _table_t...E..cm + 38650 6e6f735f 74696d65 725f7300 14000047 nos_timer_s....G + 38660 35087469 6d65725f 6e657874 00000047 5.timer_next...G + 38670 35022300 0874696d 65725f65 78706972 5.#..timer_expir + 38680 65000000 09210223 04087469 6d65725f e....!.#..timer_ + 38690 70657269 6f640000 00092102 23080874 period....!.#..t + 386a0 696d6572 5f66756e 6374696f 6e000000 imer_function... + 386b0 07740223 0c087469 6d65725f 61726700 .t.#..timer_arg. + 386c0 0000040a 02231000 03000046 ba040003 .....#.....F.... + 386d0 000046ba 04000963 6d6e6f73 5f74696d ..F....cmnos_tim + 386e0 65725f74 00000046 ba030000 47430400 er_t...F....GC.. + 386f0 1a74696d 65725f6c 69737400 00004756 .timer_list...GV + 38700 05030050 0a340103 00000784 04000201 ...P.4.......... + 38710 1b012163 6d6e6f73 5f74696d 65725f73 ..!cmnos_timer_s + 38720 6574666e 00010103 92012002 9000008e etfn...... ..... + 38730 2c04008e 2c130000 47e61c01 21415f74 ,...,...G...!A_t + 38740 696d6572 00000007 3901521c 01217066 imer....9.R..!pf + 38750 756e6374 696f6e00 00000774 01531c01 unction....t.S.. + 38760 21706172 67000000 040a0154 1d707469 !parg......T.pti + 38770 6d657200 00004756 001b012e 636d6e6f mer...GV....cmno + 38780 735f7469 6d65725f 61726d00 01010392 s_timer_arm..... + 38790 01200290 00008e2c 14008e2c 56000048 . .....,...,V..H + 387a0 791c012e 415f7469 6d657200 00000739 y...A_timer....9 + 387b0 01521c01 2e6d696c 6c697365 636f6e64 .R...millisecond + 387c0 73000000 040d0153 1d707469 6d657200 s......S.ptimer. + 387d0 00004756 1d707265 76000000 47561d74 ..GV.prev...GV.t + 387e0 696d6572 5f746963 6b730000 0009211d imer_ticks....!. + 387f0 74696d65 725f6578 70697265 00000009 timer_expire.... + 38800 211d6375 72720000 00475600 1b015363 !.curr...GV...Sc + 38810 6d6e6f73 5f74696d 65725f64 69736172 mnos_timer_disar + 38820 6d000101 03920120 02900000 8e2c5800 m...... .....,X. + 38830 8e2c8800 0048d61c 0153415f 74696d65 .,...H...SA_time + 38840 72000000 07390152 1d707469 6d657200 r....9.R.ptimer. + 38850 00004756 1d707265 76000000 47561d63 ..GV.prev...GV.c + 38860 75727200 00004756 001e0172 636d6e6f urr...GV...rcmno + 38870 735f7469 6d65725f 696e6974 00010103 s_timer_init.... + 38880 92012002 9000008e 2c88008e 2c941b01 .. .....,...,... + 38890 79636d6e 6f735f74 696d6572 5f68616e ycmnos_timer_han + 388a0 646c6572 00010103 92012002 9000008e dler...... ..... + 388b0 2c94008e 2cc10000 49341d70 74696d65 ,...,...I4.ptime + 388c0 72000000 4756001f 0189636d 6e6f735f r...GV....cmnos_ + 388d0 74696d65 725f6d6f 64756c65 5f696e73 timer_module_ins + 388e0 74616c6c 00010103 92012002 9000008e tall...... ..... + 388f0 2cc4008e 2ce21c01 8974626c 00000047 ,...,....tbl...G + 38900 74015200 00000000 493f0002 0000146e t.R.....I?.....n + 38910 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 38920 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 38930 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 38940 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 38950 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 38960 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 38970 636d6e6f 732f7764 742f7372 632f636d cmnos/wdt/src/cm + 38980 6e6f735f 7764742e 63002f72 6f6f742f nos_wdt.c./root/ + 38990 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 389a0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 389b0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 389c0 6f732f77 64740078 742d7863 6320666f os/wdt.xt-xcc fo + 389d0 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali + 389e0 676e5f69 6e737472 75637469 6f6e733d gn_instructions= + 389f0 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: + 38a00 73706163 65000100 0000c521 02010300 space......!.... + 38a10 00010604 0004696e 74000504 04636861 ......int....cha + 38a20 72000701 05000001 16050000 01160300 r............... + 38a30 00012304 00060000 010f0103 0000012f ..#............/ + 38a40 04000770 72696e74 665f6170 69000800 ...printf_api... + 38a50 00017308 5f707269 6e74665f 696e6974 ..s._printf_init + 38a60 00000001 08022300 085f7072 696e7466 ......#.._printf + 38a70 00000001 35022304 00047368 6f727420 ....5.#...short + 38a80 756e7369 676e6564 20696e74 00070209 unsigned int.... + 38a90 75696e74 31365f74 00000001 73046c6f uint16_t....s.lo + 38aa0 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 38ab0 07040975 696e7433 325f7400 00000197 ...uint32_t..... + 38ac0 07756172 745f6669 666f0008 00000205 .uart_fifo...... + 38ad0 08737461 72745f69 6e646578 00000001 .start_index.... + 38ae0 89022300 08656e64 5f696e64 65780000 ..#..end_index.. + 38af0 00018902 2302086f 76657272 756e5f65 ....#..overrun_e + 38b00 72720000 0001ac02 23040007 75617274 rr......#...uart + 38b10 5f617069 00200000 02be085f 75617274 _api. ....._uart + 38b20 5f696e69 74000000 03150223 00085f75 _init......#.._u + 38b30 6172745f 63686172 5f707574 00000003 art_char_put.... + 38b40 3c022304 085f7561 72745f63 6861725f <.#.._uart_char_ + 38b50 67657400 00000350 02230808 5f756172 get....P.#.._uar + 38b60 745f7374 725f6f75 74000000 03590223 t_str_out....Y.# + 38b70 0c085f75 6172745f 7461736b 00000001 .._uart_task.... + 38b80 08022310 085f7561 72745f73 74617475 ..#.._uart_statu + 38b90 73000000 03150223 14085f75 6172745f s......#.._uart_ + 38ba0 636f6e66 69670000 00036202 2318085f config....b.#.._ + 38bb0 75617274 5f687769 6e697400 0000036b uart_hwinit....k + 38bc0 02231c00 03000002 05040007 75617274 .#..........uart + 38bd0 5f626c6b 00100000 030f0864 65627567 _blk.......debug + 38be0 5f6d6f64 65000000 01890223 00086261 _mode......#..ba + 38bf0 75640000 00018902 2302085f 75617274 ud......#.._uart + 38c00 00000002 be022304 085f7478 00000001 ......#.._tx.... + 38c10 ba022308 00060000 01ac0103 0000030f ..#............. + 38c20 04000475 6e736967 6e656420 63686172 ...unsigned char + 38c30 00070109 75696e74 385f7400 0000031c ....uint8_t..... + 38c40 02010300 00033a04 00030000 032d0400 ......:......-.. + 38c50 06000001 89010300 00034a04 00020103 ..........J..... + 38c60 00000357 04000201 03000003 60040002 ...W........`... + 38c70 01030000 03690400 03000001 16040006 .....i.......... + 38c80 0000010f 01030000 03790400 0744425f .........y...DB_ + 38c90 434f4d4d 414e445f 53545255 4354000c COMMAND_STRUCT.. + 38ca0 000003d1 08636d64 5f737472 00000003 .....cmd_str.... + 38cb0 72022300 0868656c 705f7374 72000000 r.#..help_str... + 38cc0 03720223 0408636d 645f6675 6e630000 .r.#..cmd_func.. + 38cd0 00037f02 23080007 6462675f 61706900 ....#...dbg_api. + 38ce0 08000004 04085f64 62675f69 6e697400 ......_dbg_init. + 38cf0 00000108 02230008 5f646267 5f746173 .....#.._dbg_tas + 38d00 6b000000 01080223 04000a04 0004756e k......#......un + 38d10 7369676e 65642069 6e740007 04060000 signed int...... + 38d20 04040103 00000417 04000b0b 03000004 ................ + 38d30 25040006 00000404 01030000 042d0400 %............-.. + 38d40 06000001 0f010300 00043a04 00076d65 ..........:...me + 38d50 6d5f6170 69001400 0004a908 5f6d656d m_api......._mem + 38d60 5f696e69 74000000 01080223 00085f6d _init......#.._m + 38d70 656d7365 74000000 041d0223 04085f6d emset......#.._m + 38d80 656d6370 79000000 04330223 08085f6d emcpy....3.#.._m + 38d90 656d6d6f 76650000 00043302 230c085f emmove....3.#.._ + 38da0 6d656d63 6d700000 00044002 2310000c memcmp....@.#... + 38db0 72656769 73746572 5f64756d 705f7300 register_dump_s. + 38dc0 00010300 0004a904 00020103 000004c3 ................ + 38dd0 04000201 03000004 cc040006 0000010f ................ + 38de0 01030000 04d50400 0d686f73 7469665f .........hostif_ + 38df0 73000400 0005310e 4849465f 55534200 s.....1.HIF_USB. + 38e00 000e4849 465f5043 49450001 0e484946 ..HIF_PCIE...HIF + 38e10 5f474d41 4300020e 4849465f 50434900 _GMAC...HIF_PCI. + 38e20 030e4849 465f4e55 4d00040e 4849465f ..HIF_NUM...HIF_ + 38e30 4e4f4e45 00050009 415f484f 53544946 NONE....A_HOSTIF + 38e40 00000004 e2060000 05310103 0000053f .........1.....? + 38e50 04000600 00032d01 03000005 4c040006 ......-.....L... + 38e60 00000189 01030000 05590400 076d6973 .........Y...mis + 38e70 635f6170 69002400 00064908 5f737973 c_api.$...I._sys + 38e80 74656d5f 72657365 74000000 01080223 tem_reset......# + 38e90 00085f6d 61635f72 65736574 00000001 .._mac_reset.... + 38ea0 08022304 085f6173 73666169 6c000000 ..#.._assfail... + 38eb0 04c50223 08085f6d 6973616c 69676e65 ...#.._misaligne + 38ec0 645f6c6f 61645f68 616e646c 65720000 d_load_handler.. + 38ed0 0004c502 230c085f 7265706f 72745f66 ....#.._report_f + 38ee0 61696c75 72655f74 6f5f686f 73740000 ailure_to_host.. + 38ef0 0004ce02 2310085f 74617267 65745f69 ....#.._target_i + 38f00 645f6765 74000000 04db0223 14085f69 d_get......#.._i + 38f10 735f686f 73745f70 72657365 6e740000 s_host_present.. + 38f20 00054502 2318085f 6b626869 74000000 ..E.#.._kbhit... + 38f30 05520223 1c085f72 6f6d5f76 65727369 .R.#.._rom_versi + 38f40 6f6e5f67 65740000 00055f02 23200006 on_get...._.# .. + 38f50 00000372 01030000 06490400 06000003 ...r.....I...... + 38f60 72010300 00065604 00060000 010f0103 r.....V......... + 38f70 00000663 04000600 00010f01 03000006 ...c............ + 38f80 70040006 0000010f 01030000 067d0400 p............}.. + 38f90 07737472 696e675f 61706900 18000007 .string_api..... + 38fa0 03085f73 7472696e 675f696e 69740000 .._string_init.. + 38fb0 00010802 2300085f 73747263 70790000 ....#.._strcpy.. + 38fc0 00064f02 2304085f 7374726e 63707900 ..O.#.._strncpy. + 38fd0 0000065c 02230808 5f737472 6c656e00 ...\.#.._strlen. + 38fe0 00000669 02230c08 5f737472 636d7000 ...i.#.._strcmp. + 38ff0 00000676 02231008 5f737472 6e636d70 ...v.#.._strncmp + 39000 00000006 83022314 000f0000 04071400 ......#......... + 39010 00071010 0400095f 415f5449 4d45525f ......._A_TIMER_ + 39020 53504143 45000000 07030941 5f74696d SPACE......A_tim + 39030 65725f74 00000007 10030000 07240400 er_t.........$.. + 39040 02010300 00073a04 00020103 00000743 ......:........C + 39050 04000941 5f48414e 444c4500 00000407 ...A_HANDLE..... + 39060 02010941 5f54494d 45525f46 554e4300 ...A_TIMER_FUNC. + 39070 0000075a 03000007 5c040002 01030000 ...Z....\....... + 39080 07750400 0774696d 65725f61 70690014 .u...timer_api.. + 39090 000007f4 085f7469 6d65725f 696e6974 ....._timer_init + 390a0 00000001 08022300 085f7469 6d65725f ......#.._timer_ + 390b0 61726d00 0000073c 02230408 5f74696d arm....<.#.._tim + 390c0 65725f64 69736172 6d000000 07450223 er_disarm....E.# + 390d0 08085f74 696d6572 5f736574 666e0000 .._timer_setfn.. + 390e0 00077702 230c085f 74696d65 725f7275 ..w.#.._timer_ru + 390f0 6e000000 01080223 10000942 4f4f4c45 n......#...BOOLE + 39100 414e0000 00018906 000007f4 01030000 AN.............. + 39110 08010400 06000007 f4010300 00080e04 ................ + 39120 00060000 07f40103 0000081b 04000772 ...............r + 39130 6f6d705f 61706900 10000008 8d085f72 omp_api......._r + 39140 6f6d705f 696e6974 00000001 08022300 omp_init......#. + 39150 085f726f 6d705f64 6f776e6c 6f616400 ._romp_download. + 39160 00000807 02230408 5f726f6d 705f696e .....#.._romp_in + 39170 7374616c 6c000000 08140223 08085f72 stall......#.._r + 39180 6f6d705f 6465636f 64650000 00082102 omp_decode....!. + 39190 230c0007 726f6d5f 70617463 685f7374 #...rom_patch_st + 391a0 00100000 08e90863 72633136 00000001 .......crc16.... + 391b0 89022300 086c656e 00000001 89022302 ..#..len......#. + 391c0 086c645f 61646472 00000001 ac022304 .ld_addr......#. + 391d0 0866756e 5f616464 72000000 01ac0223 .fun_addr......# + 391e0 08087066 756e0000 00034302 230c0007 ..pfun....C.#... + 391f0 6565705f 72656469 725f6164 64720004 eep_redir_addr.. + 39200 0000091b 086f6666 73657400 00000189 .....offset..... + 39210 02230008 73697a65 00000001 89022302 .#..size......#. + 39220 0009415f 55494e54 33320000 00040706 ..A_UINT32...... + 39230 00000404 01030000 09290400 07616c6c .........)...all + 39240 6f637261 6d5f6170 69000c00 00099a08 ocram_api....... + 39250 636d6e6f 735f616c 6c6f6372 616d5f69 cmnos_allocram_i + 39260 6e697400 0000092f 02230008 636d6e6f nit..../.#..cmno + 39270 735f616c 6c6f6372 616d0000 00092f02 s_allocram..../. + 39280 23040863 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra + 39290 6d5f6465 62756700 00000108 02230800 m_debug......#.. + 392a0 02010300 00099a04 0009415f 5441534b ..........A_TASK + 392b0 4c45545f 46554e43 00000009 9c075f74 LET_FUNC......_t + 392c0 61736b6c 65740010 000009fb 0866756e asklet.......fun + 392d0 63000000 09a30223 00086172 67000000 c......#..arg... + 392e0 04040223 04087374 61746500 0000010f ...#..state..... + 392f0 02230808 6e657874 00000009 fb02230c .#..next......#. + 39300 00030000 09b70400 03000009 b7040009 ................ + 39310 415f7461 736b6c65 745f7400 000009b7 A_tasklet_t..... + 39320 0300000a 09040002 01030000 0a210400 .............!.. + 39330 02010300 000a2a04 00077461 736b6c65 ......*...taskle + 39340 745f6170 69001400 000abf08 5f746173 t_api......._tas + 39350 6b6c6574 5f696e69 74000000 01080223 klet_init......# + 39360 00085f74 61736b6c 65745f69 6e69745f .._tasklet_init_ + 39370 7461736b 0000000a 23022304 085f7461 task....#.#.._ta + 39380 736b6c65 745f6469 7361626c 65000000 sklet_disable... + 39390 0a2c0223 08085f74 61736b6c 65745f73 .,.#.._tasklet_s + 393a0 63686564 756c6500 00000a2c 02230c08 chedule....,.#.. + 393b0 5f746173 6b6c6574 5f72756e 00000001 _tasklet_run.... + 393c0 08022310 00020103 00000abf 04000600 ..#............. + 393d0 00091b01 0300000a c8040002 01030000 ................ + 393e0 0ad50400 07636c6f 636b5f61 70690024 .....clock_api.$ + 393f0 00000bb7 085f636c 6f636b5f 696e6974 ....._clock_init + 39400 0000000a c1022300 085f636c 6f636b72 ......#.._clockr + 39410 6567735f 696e6974 00000001 08022304 egs_init......#. + 39420 085f7561 72745f66 72657175 656e6379 ._uart_frequency + 39430 0000000a ce022308 085f6465 6c61795f ......#.._delay_ + 39440 75730000 000ad702 230c085f 776c616e us......#.._wlan + 39450 5f62616e 645f7365 74000000 0ad70223 _band_set......# + 39460 10085f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 39470 67657400 00000ace 02231408 5f6d696c get......#.._mil + 39480 6c697365 636f6e64 73000000 0ace0223 liseconds......# + 39490 18085f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 394a0 00000001 0802231c 085f636c 6f636b5f ......#.._clock_ + 394b0 7469636b 00000001 08022320 00060000 tick......# .... + 394c0 01ac0103 00000bb7 04000941 5f6f6c64 ...........A_old + 394d0 5f696e74 725f7400 000001ac 0600000b _intr_t......... + 394e0 c4010300 000bd604 00020103 00000be3 ................ + 394f0 04000201 0300000b ec040006 000001ac ................ + 39500 01030000 0bf50400 09415f69 73725f74 .........A_isr_t + 39510 0000000b fb020103 00000c0f 04000600 ................ + 39520 00040701 0300000c 18040002 01030000 ................ + 39530 0c250400 07696e74 725f6170 69002c00 .%...intr_api.,. + 39540 000d4708 5f696e74 725f696e 69740000 ..G._intr_init.. + 39550 00010802 2300085f 696e7472 5f696e76 ....#.._intr_inv + 39560 6f6b655f 69737200 00000bbd 02230408 oke_isr......#.. + 39570 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 39580 0bdc0223 08085f69 6e74725f 72657374 ...#.._intr_rest + 39590 6f726500 00000be5 02230c08 5f696e74 ore......#.._int + 395a0 725f6d61 736b5f69 6e756d00 00000bee r_mask_inum..... + 395b0 02231008 5f696e74 725f756e 6d61736b .#.._intr_unmask + 395c0 5f696e75 6d000000 0bee0223 14085f69 _inum......#.._i + 395d0 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 395e0 000c1102 2318085f 6765745f 696e7472 ....#.._get_intr + 395f0 656e6162 6c650000 000c1e02 231c085f enable......#.._ + 39600 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 39610 000c2702 2320085f 6765745f 696e7472 ..'.# ._get_intr + 39620 70656e64 696e6700 00000c1e 02232408 pending......#$. + 39630 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 39640 726c766c 00000001 08022328 00110400 rlvl......#(.... + 39650 000d6d08 74696d65 6f757400 000001ac ..m.timeout..... + 39660 02230008 61637469 6f6e0000 0001ac02 .#..action...... + 39670 23000012 0800000d 8808636d 64000000 #.........cmd... + 39680 01ac0223 00130000 0d470223 04000954 ...#.....G.#...T + 39690 5f574454 5f434d44 0000000d 6d020103 _WDT_CMD....m... + 396a0 00000d97 04001404 00000ded 0e454e55 .............ENU + 396b0 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU + 396c0 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN + 396d0 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E + 396e0 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 396f0 00040009 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 39700 00000da0 0600000d ed010300 000dfe04 ................ + 39710 00077764 745f6170 69001c00 000ea208 ..wdt_api....... + 39720 5f776474 5f696e69 74000000 01080223 _wdt_init......# + 39730 00085f77 64745f65 6e61626c 65000000 .._wdt_enable... + 39740 01080223 04085f77 64745f64 69736162 ...#.._wdt_disab + 39750 6c650000 00010802 2308085f 7764745f le......#.._wdt_ + 39760 73657400 00000d99 02230c08 5f776474 set......#.._wdt + 39770 5f746173 6b000000 01080223 10085f77 _task......#.._w + 39780 64745f72 65736574 00000001 08022314 dt_reset......#. + 39790 085f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 397a0 00000e04 02231800 14040000 0f090e52 .....#.........R + 397b0 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET + 397c0 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ + 397d0 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ + 397e0 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE + 397f0 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 39800 0e524554 5f554e4b 4e4f574e 00050009 .RET_UNKNOWN.... + 39810 545f4545 505f5245 54000000 0ea20300 T_EEP_RET....... + 39820 00018904 00060000 0f090103 00000f1f ................ + 39830 04000600 000f0901 0300000f 2c040007 ............,... + 39840 6565705f 61706900 1000000f 95085f65 eep_api......._e + 39850 65705f69 6e697400 00000108 02230008 ep_init......#.. + 39860 5f656570 5f726561 64000000 0f250223 _eep_read....%.# + 39870 04085f65 65705f77 72697465 0000000f .._eep_write.... + 39880 25022308 085f6565 705f6973 5f657869 %.#.._eep_is_exi + 39890 73740000 000f3202 230c0007 7573625f st....2.#...usb_ + 398a0 61706900 70000012 42085f75 73625f69 api.p...B._usb_i + 398b0 6e697400 00000108 02230008 5f757362 nit......#.._usb + 398c0 5f726f6d 5f746173 6b000000 01080223 _rom_task......# + 398d0 04085f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 398e0 00010802 2308085f 7573625f 696e6974 ....#.._usb_init + 398f0 5f706879 00000001 0802230c 085f7573 _phy......#.._us + 39900 625f6570 305f7365 74757000 00000108 b_ep0_setup..... + 39910 02231008 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 39920 00000108 02231408 5f757362 5f657030 .....#.._usb_ep0 + 39930 5f727800 00000108 02231808 5f757362 _rx......#.._usb + 39940 5f676574 5f696e74 65726661 63650000 _get_interface.. + 39950 00081402 231c085f 7573625f 7365745f ....#.._usb_set_ + 39960 696e7465 72666163 65000000 08140223 interface......# + 39970 20085f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 39980 67757261 74696f6e 00000008 14022324 guration......#$ + 39990 085f7573 625f7365 745f636f 6e666967 ._usb_set_config + 399a0 75726174 696f6e00 00000814 02232808 uration......#(. + 399b0 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 399c0 64000000 08140223 2c085f75 73625f76 d......#,._usb_v + 399d0 656e646f 725f636d 64000000 01080223 endor_cmd......# + 399e0 30085f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 399f0 00000001 08022334 085f7573 625f7265 ......#4._usb_re + 39a00 7365745f 6669666f 00000001 08022338 set_fifo......#8 + 39a10 085f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 39a20 01080223 3c085f75 73625f6a 756d705f ...#<._usb_jump_ + 39a30 626f6f74 00000001 08022340 085f7573 boot......#@._us + 39a40 625f636c 725f6665 61747572 65000000 b_clr_feature... + 39a50 08140223 44085f75 73625f73 65745f66 ...#D._usb_set_f + 39a60 65617475 72650000 00081402 2348085f eature......#H._ + 39a70 7573625f 7365745f 61646472 65737300 usb_set_address. + 39a80 00000814 02234c08 5f757362 5f676574 .....#L._usb_get + 39a90 5f646573 63726970 746f7200 00000814 _descriptor..... + 39aa0 02235008 5f757362 5f676574 5f737461 .#P._usb_get_sta + 39ab0 74757300 00000814 02235408 5f757362 tus......#T._usb + 39ac0 5f736574 75705f64 65736300 00000108 _setup_desc..... + 39ad0 02235808 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 39ae0 00000001 0802235c 085f7573 625f7374 ......#\._usb_st + 39af0 61747573 5f696e00 00000108 02236008 atus_in......#`. + 39b00 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 39b10 00000001 08022364 085f7573 625f6570 ......#d._usb_ep + 39b20 305f7278 5f646174 61000000 01080223 0_rx_data......# + 39b30 68085f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 39b40 00000108 02236c00 075f5644 45534300 .....#l.._VDESC. + 39b50 24000012 ce086e65 78745f64 65736300 $.....next_desc. + 39b60 000012ce 02230008 6275665f 61646472 .....#..buf_addr + 39b70 00000012 e2022304 08627566 5f73697a ......#..buf_siz + 39b80 65000000 12e90223 08086461 74615f6f e......#..data_o + 39b90 66667365 74000000 12e90223 0a086461 ffset......#..da + 39ba0 74615f73 697a6500 000012e9 02230c08 ta_size......#.. + 39bb0 636f6e74 726f6c00 000012e9 02230e08 control......#.. + 39bc0 68775f64 6573635f 62756600 000012f7 hw_desc_buf..... + 39bd0 02231000 03000012 42040009 415f5549 .#......B...A_UI + 39be0 4e543800 0000031c 03000012 d5040009 NT8............. + 39bf0 415f5549 4e543136 00000001 730f0000 A_UINT16....s... + 39c00 12d51400 00130410 13000300 00124204 ..............B. + 39c10 00095644 45534300 00001242 03000013 ..VDESC....B.... + 39c20 0b040006 00001316 01030000 131d0400 ................ + 39c30 06000012 e2010300 00132a04 00020103 ..........*..... + 39c40 00001337 04000776 64657363 5f617069 ...7...vdesc_api + 39c50 00140000 13af085f 696e6974 0000000a ......._init.... + 39c60 d7022300 085f616c 6c6f635f 76646573 ..#.._alloc_vdes + 39c70 63000000 13230223 04085f67 65745f68 c....#.#.._get_h + 39c80 775f6465 73630000 00133002 2308085f w_desc....0.#.._ + 39c90 73776170 5f766465 73630000 00133902 swap_vdesc....9. + 39ca0 230c0870 52657365 72766564 00000004 #..pReserved.... + 39cb0 04022310 00075f56 42554600 20000014 ..#..._VBUF. ... + 39cc0 0f086465 73635f6c 69737400 00001316 ..desc_list..... + 39cd0 02230008 6e657874 5f627566 00000014 .#..next_buf.... + 39ce0 0f022304 08627566 5f6c656e 67746800 ..#..buf_length. + 39cf0 000012e9 02230808 72657365 72766564 .....#..reserved + 39d00 00000014 1602230a 08637478 00000012 ......#..ctx.... + 39d10 f702230c 00030000 13af0400 0f000012 ..#............. + 39d20 d5020000 14231001 00030000 13af0400 .....#.......... + 39d30 09564255 46000000 13af0300 00142a04 .VBUF.........*. + 39d40 00060000 14340103 0000143b 04000600 .....4.....;.... + 39d50 00143401 03000014 48040002 01030000 ..4.....H....... + 39d60 14550400 07766275 665f6170 69001400 .U...vbuf_api... + 39d70 0014d308 5f696e69 74000000 0ad70223 ...._init......# + 39d80 00085f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... + 39d90 14410223 04085f61 6c6c6f63 5f766275 .A.#.._alloc_vbu + 39da0 665f7769 74685f73 697a6500 0000144e f_with_size....N + 39db0 02230808 5f667265 655f7662 75660000 .#.._free_vbuf.. + 39dc0 00145702 230c0870 52657365 72766564 ..W.#..pReserved + 39dd0 00000004 04022310 00075f5f 6164665f ......#...__adf_ + 39de0 64657669 63650004 000014f5 0864756d device.......dum + 39df0 6d790000 00010f02 23000003 0000091b my......#....... + 39e00 0400075f 5f616466 5f646d61 5f6d6170 ...__adf_dma_map + 39e10 000c0000 153c0862 75660000 00143402 .....<.buf....4. + 39e20 23000864 735f6164 64720000 0014f502 #..ds_addr...... + 39e30 23040864 735f6c65 6e000000 12e90223 #..ds_len......# + 39e40 0800120c 00001576 085f5f76 615f7374 .......v.__va_st + 39e50 6b000000 03720223 00085f5f 76615f72 k....r.#..__va_r + 39e60 65670000 00037202 2304085f 5f76615f eg....r.#..__va_ + 39e70 6e647800 0000010f 02230800 095f5f61 ndx......#...__a + 39e80 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t + 39e90 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma + 39ea0 5f616464 725f7400 00001576 095f5f61 _addr_t....v.__a + 39eb0 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t + 39ec0 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma + 39ed0 5f73697a 655f7400 000015a6 075f5f64 _size_t......__d + 39ee0 6d615f73 65677300 08000016 02087061 ma_segs.......pa + 39ef0 64647200 0000158f 02230008 6c656e00 ddr......#..len. + 39f00 000015bf 02230400 095f5f61 5f75696e .....#...__a_uin + 39f10 7433325f 74000000 091b0961 5f75696e t32_t......a_uin + 39f20 7433325f 74000000 16020f00 0015d608 t32_t........... + 39f30 00001631 10000007 6164665f 6f735f64 ...1....adf_os_d + 39f40 6d616d61 705f696e 666f000c 0000166a mamap_info.....j + 39f50 086e7365 67730000 00161402 23000864 .nsegs......#..d + 39f60 6d615f73 65677300 00001624 02230400 ma_segs....$.#.. + 39f70 095f5f61 5f75696e 74385f74 00000012 .__a_uint8_t.... + 39f80 d509615f 75696e74 385f7400 0000166a ..a_uint8_t....j + 39f90 03000016 7b040007 5f5f7367 5f736567 ....{...__sg_seg + 39fa0 73000800 0016bc08 76616464 72000000 s.......vaddr... + 39fb0 168a0223 00086c65 6e000000 16140223 ...#..len......# + 39fc0 04000f00 00169120 000016c9 10030007 ....... ........ + 39fd0 6164665f 6f735f73 676c6973 74002400 adf_os_sglist.$. + 39fe0 0016fc08 6e736567 73000000 16140223 ....nsegs......# + 39ff0 00087367 5f736567 73000000 16bc0223 ..sg_segs......# + 3a000 04001210 00001745 0876656e 646f7200 .......E.vendor. + 3a010 00001614 02230008 64657669 63650000 .....#..device.. + 3a020 00161402 23040873 75627665 6e646f72 ....#..subvendor + 3a030 00000016 14022308 08737562 64657669 ......#..subdevi + 3a040 63650000 00161402 230c0004 6c6f6e67 ce......#...long + 3a050 206c6f6e 6720756e 7369676e 65642069 long unsigned i + 3a060 6e740007 0809415f 55494e54 36340000 nt....A_UINT64.. + 3a070 00174509 5f5f615f 75696e74 36345f74 ..E.__a_uint64_t + 3a080 00000017 5f09615f 75696e74 36345f74 ...._.a_uint64_t + 3a090 00000017 6d140400 0017cb0e 4144465f ....m.......ADF_ + 3a0a0 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 3a0b0 5f4d454d 00000e41 44465f4f 535f5245 _MEM...ADF_OS_RE + 3a0c0 534f5552 43455f54 5950455f 494f0001 SOURCE_TYPE_IO.. + 3a0d0 00096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 3a0e0 655f7479 70655f74 00000017 8f121800 e_type_t........ + 3a0f0 00181508 73746172 74000000 177f0223 ....start......# + 3a100 0008656e 64000000 177f0223 08087479 ..end......#..ty + 3a110 70650000 0017cb02 23100009 6164665f pe......#...adf_ + 3a120 6f735f70 63695f64 65765f69 645f7400 os_pci_dev_id_t. + 3a130 000016fc 03000018 15040011 04000018 ................ + 3a140 54087063 69000000 182e0223 00087261 T.pci......#..ra + 3a150 77000000 04040223 00001110 00001873 w......#.......s + 3a160 08706369 00000018 15022300 08726177 .pci......#..raw + 3a170 00000004 04022300 00096164 665f6472 ......#...adf_dr + 3a180 765f6861 6e646c65 5f740000 00040409 v_handle_t...... + 3a190 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 3a1a0 74000000 17e70300 00188904 00096164 t.............ad + 3a1b0 665f6f73 5f617474 6163685f 64617461 f_os_attach_data + 3a1c0 5f740000 00185403 000018a7 04000300 _t....T......... + 3a1d0 0014d304 00095f5f 6164665f 6f735f64 ......__adf_os_d + 3a1e0 65766963 655f7400 000018c8 09616466 evice_t......adf + 3a1f0 5f6f735f 64657669 63655f74 00000018 _os_device_t.... + 3a200 cf060000 18730103 000018fb 04000201 .....s.......... + 3a210 03000019 08040009 6164665f 6f735f70 ........adf_os_p + 3a220 6d5f7400 00000404 02010300 00192204 m_t...........". + 3a230 00140400 0019620e 4144465f 4f535f42 ......b.ADF_OS_B + 3a240 55535f54 5950455f 50434900 010e4144 US_TYPE_PCI...AD + 3a250 465f4f53 5f425553 5f545950 455f4745 F_OS_BUS_TYPE_GE + 3a260 4e455249 43000200 09616466 5f6f735f NERIC....adf_os_ + 3a270 6275735f 74797065 5f740000 00192b09 bus_type_t....+. + 3a280 6164665f 6f735f62 75735f72 65675f64 adf_os_bus_reg_d + 3a290 6174615f 74000000 18350300 00031c04 ata_t....5...... + 3a2a0 00075f61 64665f64 72765f69 6e666f00 .._adf_drv_info. + 3a2b0 2000001a 3f086472 765f6174 74616368 ...?.drv_attach + 3a2c0 00000019 01022300 08647276 5f646574 ......#..drv_det + 3a2d0 61636800 0000190a 02230408 6472765f ach......#..drv_ + 3a2e0 73757370 656e6400 00001924 02230808 suspend....$.#.. + 3a2f0 6472765f 72657375 6d650000 00190a02 drv_resume...... + 3a300 230c0862 75735f74 79706500 00001962 #..bus_type....b + 3a310 02231008 6275735f 64617461 00000019 .#..bus_data.... + 3a320 79022314 086d6f64 5f6e616d 65000000 y.#..mod_name... + 3a330 19940223 18086966 6e616d65 00000019 ...#..ifname.... + 3a340 9402231c 00096164 665f6f73 5f68616e ..#...adf_os_han + 3a350 646c655f 74000000 04040300 00166a04 dle_t.........j. + 3a360 00020102 01095f5f 6164665f 6f735f73 ......__adf_os_s + 3a370 697a655f 74000000 04071404 00001a8e ize_t........... + 3a380 0e415f46 414c5345 00000e41 5f545255 .A_FALSE...A_TRU + 3a390 45000100 09615f62 6f6f6c5f 74000000 E....a_bool_t... + 3a3a0 1a740300 0014fc04 00095f5f 6164665f .t........__adf_ + 3a3b0 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... + 3a3c0 9c02010d 6164665f 6f735f63 61636865 ....adf_os_cache + 3a3d0 5f73796e 63000400 001b260e 4144465f _sync.....&.ADF_ + 3a3e0 53594e43 5f505245 52454144 00000e41 SYNC_PREREAD...A + 3a3f0 44465f53 594e435f 50524557 52495445 DF_SYNC_PREWRITE + 3a400 00020e41 44465f53 594e435f 504f5354 ...ADF_SYNC_POST + 3a410 52454144 00010e41 44465f53 594e435f READ...ADF_SYNC_ + 3a420 504f5354 57524954 45000300 09616466 POSTWRITE....adf + 3a430 5f6f735f 63616368 655f7379 6e635f74 _os_cache_sync_t + 3a440 0000001a bd020109 6164665f 6f735f73 ........adf_os_s + 3a450 697a655f 74000000 1a5f0600 001b4101 ize_t...._....A. + 3a460 09616466 5f6f735f 646d615f 6d61705f .adf_os_dma_map_ + 3a470 74000000 1aa30300 001b5a04 00060000 t.........Z..... + 3a480 04040103 00001aa3 04000600 00040401 ................ + 3a490 02010600 00158f01 02010473 686f7274 ...........short + 3a4a0 20696e74 00050209 415f494e 54313600 int....A_INT16. + 3a4b0 00001b94 095f5f61 5f696e74 31365f74 .....__a_int16_t + 3a4c0 0000001b a109615f 696e7431 365f7400 ......a_int16_t. + 3a4d0 00001bae 04736967 6e656420 63686172 .....signed char + 3a4e0 00050109 415f494e 54380000 001bce09 ....A_INT8...... + 3a4f0 5f5f615f 696e7438 5f740000 001bdd09 __a_int8_t...... + 3a500 615f696e 74385f74 0000001b e9120c00 a_int8_t........ + 3a510 001c6008 73757070 6f727465 64000000 ..`.supported... + 3a520 16140223 00086164 76657274 697a6564 ...#..advertized + 3a530 00000016 14022304 08737065 65640000 ......#..speed.. + 3a540 001bbf02 23080864 75706c65 78000000 ....#..duplex... + 3a550 1bf90223 0a086175 746f6e65 67000000 ...#..autoneg... + 3a560 167b0223 0b000f00 00167b06 00001c6d .{.#......{....m + 3a570 10050007 6164665f 6e65745f 65746861 ....adf_net_etha + 3a580 64647200 0600001c 91086164 64720000 ddr.......addr.. + 3a590 001c6002 23000009 5f5f615f 75696e74 ..`.#...__a_uint + 3a5a0 31365f74 00000012 e909615f 75696e74 16_t......a_uint + 3a5b0 31365f74 0000001c 91120e00 001cf508 16_t............ + 3a5c0 65746865 725f6468 6f737400 00001c60 ether_dhost....` + 3a5d0 02230008 65746865 725f7368 6f737400 .#..ether_shost. + 3a5e0 00001c60 02230608 65746865 725f7479 ...`.#..ether_ty + 3a5f0 70650000 001ca302 230c0012 1400001d pe......#....... + 3a600 b6156970 5f766572 73696f6e 00000016 ..ip_version.... + 3a610 7b010004 02230015 69705f68 6c000000 {....#..ip_hl... + 3a620 167b0104 04022300 0869705f 746f7300 .{....#..ip_tos. + 3a630 0000167b 02230108 69705f6c 656e0000 ...{.#..ip_len.. + 3a640 001ca302 23020869 705f6964 0000001c ....#..ip_id.... + 3a650 a3022304 0869705f 66726167 5f6f6666 ..#..ip_frag_off + 3a660 0000001c a3022306 0869705f 74746c00 ......#..ip_ttl. + 3a670 0000167b 02230808 69705f70 726f746f ...{.#..ip_proto + 3a680 00000016 7b022309 0869705f 63686563 ....{.#..ip_chec + 3a690 6b000000 1ca30223 0a086970 5f736164 k......#..ip_sad + 3a6a0 64720000 00161402 230c0869 705f6461 dr......#..ip_da + 3a6b0 64647200 00001614 02231000 07616466 ddr......#...adf + 3a6c0 5f6e6574 5f766c61 6e686472 00040000 _net_vlanhdr.... + 3a6d0 1e080874 70696400 00001ca3 02230015 ...tpid......#.. + 3a6e0 7072696f 00000016 7b010003 02230215 prio....{....#.. + 3a6f0 63666900 0000167b 01030102 23021576 cfi....{....#..v + 3a700 69640000 001ca302 040c0223 02000761 id.........#...a + 3a710 64665f6e 65745f76 69640002 00001e39 df_net_vid.....9 + 3a720 15726573 00000016 7b010004 02230015 .res....{....#.. + 3a730 76616c00 00001ca3 02040c02 23000012 val.........#... + 3a740 0c00001e 75087278 5f627566 73697a65 ....u.rx_bufsize + 3a750 00000016 14022300 0872785f 6e646573 ......#..rx_ndes + 3a760 63000000 16140223 04087478 5f6e6465 c......#..tx_nde + 3a770 73630000 00161402 23080012 0800001e sc......#....... + 3a780 9b08706f 6c6c6564 0000001a 8e022300 ..polled......#. + 3a790 08706f6c 6c5f7774 00000016 14022304 .poll_wt......#. + 3a7a0 000f0000 167b4000 001ea810 3f001246 .....{@.....?..F + 3a7b0 00001ed0 0869665f 6e616d65 0000001e .....if_name.... + 3a7c0 9b022300 08646576 5f616464 72000000 ..#..dev_addr... + 3a7d0 1c600223 40001404 00001f07 0e414446 .`.#@........ADF + 3a7e0 5f4f535f 444d415f 4d41534b 5f333242 _OS_DMA_MASK_32B + 3a7f0 49540000 0e414446 5f4f535f 444d415f IT...ADF_OS_DMA_ + 3a800 4d41534b 5f363442 49540001 00096164 MASK_64BIT....ad + 3a810 665f6f73 5f646d61 5f6d6173 6b5f7400 f_os_dma_mask_t. + 3a820 00001ed0 07616466 5f646d61 5f696e66 .....adf_dma_inf + 3a830 6f000800 001f5408 646d615f 6d61736b o.....T.dma_mask + 3a840 0000001f 07022300 0873675f 6e736567 ......#..sg_nseg + 3a850 73000000 16140223 04001404 00001faa s......#........ + 3a860 0e414446 5f4e4554 5f434b53 554d5f4e .ADF_NET_CKSUM_N + 3a870 4f4e4500 000e4144 465f4e45 545f434b ONE...ADF_NET_CK + 3a880 53554d5f 5443505f 5544505f 49507634 SUM_TCP_UDP_IPv4 + 3a890 00010e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 3a8a0 5f544350 5f554450 5f495076 36000200 _TCP_UDP_IPv6... + 3a8b0 09616466 5f6e6574 5f636b73 756d5f74 .adf_net_cksum_t + 3a8c0 7970655f 74000000 1f541208 00001fed ype_t....T...... + 3a8d0 0874785f 636b7375 6d000000 1faa0223 .tx_cksum......# + 3a8e0 00087278 5f636b73 756d0000 001faa02 ..rx_cksum...... + 3a8f0 23040009 6164665f 6e65745f 636b7375 #...adf_net_cksu + 3a900 6d5f696e 666f5f74 0000001f c4140400 m_info_t........ + 3a910 0020460e 4144465f 4e45545f 54534f5f . F.ADF_NET_TSO_ + 3a920 4e4f4e45 00000e41 44465f4e 45545f54 NONE...ADF_NET_T + 3a930 534f5f49 50563400 010e4144 465f4e45 SO_IPV4...ADF_NE + 3a940 545f5453 4f5f414c 4c000200 09616466 T_TSO_ALL....adf + 3a950 5f6e6574 5f74736f 5f747970 655f7400 _net_tso_type_t. + 3a960 00002007 12100000 209a0863 6b73756d .. ..... ..cksum + 3a970 5f636170 0000001f ed022300 0874736f _cap......#..tso + 3a980 00000020 46022308 08766c61 6e5f7375 ... F.#..vlan_su + 3a990 70706f72 74656400 0000167b 02230c00 pported....{.#.. + 3a9a0 12200000 21330874 785f7061 636b6574 . ..!3.tx_packet + 3a9b0 73000000 16140223 00087278 5f706163 s......#..rx_pac + 3a9c0 6b657473 00000016 14022304 0874785f kets......#..tx_ + 3a9d0 62797465 73000000 16140223 08087278 bytes......#..rx + 3a9e0 5f627974 65730000 00161402 230c0874 _bytes......#..t + 3a9f0 785f6472 6f707065 64000000 16140223 x_dropped......# + 3aa00 10087278 5f64726f 70706564 00000016 ..rx_dropped.... + 3aa10 14022314 0872785f 6572726f 72730000 ..#..rx_errors.. + 3aa20 00161402 23180874 785f6572 726f7273 ....#..tx_errors + 3aa30 00000016 1402231c 00096164 665f6e65 ......#...adf_ne + 3aa40 745f6574 68616464 725f7400 00001c6d t_ethaddr_t....m + 3aa50 16000021 33030000 00215810 7f001761 ...!3....!X....a + 3aa60 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd + 3aa70 72000304 0000218f 086e656c 656d0000 r.....!..nelem.. + 3aa80 00161402 2300086d 63617374 00000021 ....#..mcast...! + 3aa90 4a022304 00096164 665f6e65 745f636d J.#...adf_net_cm + 3aaa0 645f6c69 6e6b5f69 6e666f5f 74000000 d_link_info_t... + 3aab0 1c070961 64665f6e 65745f63 6d645f70 ...adf_net_cmd_p + 3aac0 6f6c6c5f 696e666f 5f740000 001e7509 oll_info_t....u. + 3aad0 6164665f 6e65745f 636d645f 636b7375 adf_net_cmd_cksu + 3aae0 6d5f696e 666f5f74 0000001f ed096164 m_info_t......ad + 3aaf0 665f6e65 745f636d 645f7269 6e675f69 f_net_cmd_ring_i + 3ab00 6e666f5f 74000000 1e390961 64665f6e nfo_t....9.adf_n + 3ab10 65745f63 6d645f64 6d615f69 6e666f5f et_cmd_dma_info_ + 3ab20 74000000 1f1e0961 64665f6e 65745f63 t......adf_net_c + 3ab30 6d645f76 69645f74 0000001c a3096164 md_vid_t......ad + 3ab40 665f6e65 745f636d 645f6f66 666c6f61 f_net_cmd_offloa + 3ab50 645f6361 705f7400 0000205e 09616466 d_cap_t... ^.adf + 3ab60 5f6e6574 5f636d64 5f737461 74735f74 _net_cmd_stats_t + 3ab70 00000020 9a096164 665f6e65 745f636d ... ..adf_net_cm + 3ab80 645f6d63 61646472 5f740000 0021580d d_mcaddr_t...!X. + 3ab90 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 3aba0 745f6361 70000400 0022d10e 4144465f t_cap...."..ADF_ + 3abb0 4e45545f 4d434153 545f5355 5000000e NET_MCAST_SUP... + 3abc0 4144465f 4e45545f 4d434153 545f4e4f ADF_NET_MCAST_NO + 3abd0 54535550 00010009 6164665f 6e65745f TSUP....adf_net_ + 3abe0 636d645f 6d636173 745f6361 705f7400 cmd_mcast_cap_t. + 3abf0 00002289 18030400 0023a308 6c696e6b .."......#..link + 3ac00 5f696e66 6f000000 218f0223 0008706f _info...!..#..po + 3ac10 6c6c5f69 6e666f00 000021ac 02230008 ll_info...!..#.. + 3ac20 636b7375 6d5f696e 666f0000 0021c902 cksum_info...!.. + 3ac30 23000872 696e675f 696e666f 00000021 #..ring_info...! + 3ac40 e7022300 08646d61 5f696e66 6f000000 ..#..dma_info... + 3ac50 22040223 00087669 64000000 22200223 "..#..vid..." .# + 3ac60 00086f66 666c6f61 645f6361 70000000 ..offload_cap... + 3ac70 22370223 00087374 61747300 00002256 "7.#..stats..."V + 3ac80 02230008 6d636173 745f696e 666f0000 .#..mcast_info.. + 3ac90 00226f02 2300086d 63617374 5f636170 ."o.#..mcast_cap + 3aca0 00000022 d1022300 00140400 0023fa0e ..."..#......#.. + 3acb0 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU + 3acc0 4d5f4e4f 4e450000 0e414446 5f4e4255 M_NONE...ADF_NBU + 3acd0 465f5258 5f434b53 554d5f48 5700010e F_RX_CKSUM_HW... + 3ace0 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU + 3acf0 4d5f554e 4e454345 53534152 59000200 M_UNNECESSARY... + 3ad00 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 3ad10 756d5f74 7970655f 74000000 23a31208 um_type_t...#... + 3ad20 0000243a 08726573 756c7400 000023fa ..$:.result...#. + 3ad30 02230008 76616c00 00001614 02230400 .#..val......#.. + 3ad40 12080000 246a0874 79706500 00002046 ....$j.type... F + 3ad50 02230008 6d737300 00001ca3 02230408 .#..mss......#.. + 3ad60 6864725f 6f666600 0000167b 02230600 hdr_off....{.#.. + 3ad70 075f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea + 3ad80 64000c00 0024a908 68656164 00000014 d....$..head.... + 3ad90 34022300 08746169 6c000000 14340223 4.#..tail....4.# + 3ada0 0408716c 656e0000 00161402 23080009 ..qlen......#... + 3adb0 5f5f6164 665f6e62 75665f74 00000014 __adf_nbuf_t.... + 3adc0 34030000 168a0400 03000016 14040002 4............... + 3add0 01060000 13160106 00001614 01060000 ................ + 3ade0 168a0106 0000168a 01030000 12f70400 ................ + 3adf0 095f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea + 3ae00 645f7400 0000246a 095f5f61 64665f6e d_t...$j.__adf_n + 3ae10 6275665f 71756575 655f7400 000024ea buf_queue_t...$. + 3ae20 03000025 02040006 000024a9 01060000 ...%......$..... + 3ae30 24a90114 04000026 220e415f 53544154 $......&".A_STAT + 3ae40 55535f4f 4b00000e 415f5354 41545553 US_OK...A_STATUS + 3ae50 5f464149 4c454400 010e415f 53544154 _FAILED...A_STAT + 3ae60 55535f45 4e4f454e 5400020e 415f5354 US_ENOENT...A_ST + 3ae70 41545553 5f454e4f 4d454d00 030e415f ATUS_ENOMEM...A_ + 3ae80 53544154 55535f45 494e5641 4c00040e STATUS_EINVAL... + 3ae90 415f5354 41545553 5f45494e 50524f47 A_STATUS_EINPROG + 3aea0 52455353 00050e41 5f535441 5455535f RESS...A_STATUS_ + 3aeb0 454e4f54 53555050 00060e41 5f535441 ENOTSUPP...A_STA + 3aec0 5455535f 45425553 5900070e 415f5354 TUS_EBUSY...A_ST + 3aed0 41545553 5f453242 49470008 0e415f53 ATUS_E2BIG...A_S + 3aee0 54415455 535f4541 4444524e 4f544156 TATUS_EADDRNOTAV + 3aef0 41494c00 090e415f 53544154 55535f45 AIL...A_STATUS_E + 3af00 4e58494f 000a0e41 5f535441 5455535f NXIO...A_STATUS_ + 3af10 45464155 4c54000b 0e415f53 54415455 EFAULT...A_STATU + 3af20 535f4549 4f000c00 09615f73 74617475 S_EIO....a_statu + 3af30 735f7400 0000252d 06000026 22010600 s_t...%-...&"... + 3af40 00010f01 02010961 64665f6e 6275665f .......adf_nbuf_ + 3af50 74000000 24a91404 00002687 0e414446 t...$.....&..ADF + 3af60 5f4f535f 444d415f 544f5f44 45564943 _OS_DMA_TO_DEVIC + 3af70 4500000e 4144465f 4f535f44 4d415f46 E...ADF_OS_DMA_F + 3af80 524f4d5f 44455649 43450001 00096164 ROM_DEVICE....ad + 3af90 665f6f73 5f646d61 5f646972 5f740000 f_os_dma_dir_t.. + 3afa0 00265006 00002622 01020109 6164665f .&P...&"....adf_ + 3afb0 6f735f64 6d616d61 705f696e 666f5f74 os_dmamap_info_t + 3afc0 00000016 31030000 26a50400 02010201 ....1...&....... + 3afd0 06000026 40010600 0024a901 02010201 ...&@....$...... + 3afe0 06000026 40010600 0024a901 06000026 ...&@....$.....& + 3aff0 40010600 0024a901 06000026 40010201 @....$.....&@... + 3b000 02010600 00161401 06000016 8a010201 ................ + 3b010 02010600 001b4101 0600001a 8e010600 ......A......... + 3b020 001a8e01 09616466 5f6f735f 73676c69 .....adf_os_sgli + 3b030 73745f74 00000016 c9030000 271e0400 st_t........'... + 3b040 02010201 02010600 00168a01 09616466 .............adf + 3b050 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 3b060 25020300 00274604 00020103 000024ea %....'F.......$. + 3b070 04000201 02010201 06000026 40010600 ...........&@... + 3b080 0024a901 06000016 14010600 00161401 .$.............. + 3b090 0600001a 8e010600 001a8e01 0600001f ................ + 3b0a0 aa010600 00161401 09616466 5f6e6275 .........adf_nbu + 3b0b0 665f7278 5f636b73 756d5f74 00000024 f_rx_cksum_t...$ + 3b0c0 18030000 27a20400 02010201 09616466 ....'........adf + 3b0d0 5f6e6275 665f7473 6f5f7400 0000243a _nbuf_tso_t...$: + 3b0e0 03000027 c6040002 01020109 6164665f ...'........adf_ + 3b0f0 6e65745f 68616e64 6c655f74 00000004 net_handle_t.... + 3b100 04096164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 3b110 725f7400 00001db6 03000027 fb040006 r_t........'.... + 3b120 00002622 01060000 26220102 01020107 ..&"....&"...... + 3b130 5f484946 5f434f4e 46494700 04000028 _HIF_CONFIG....( + 3b140 4a086475 6d6d7900 0000010f 02230000 J.dummy......#.. + 3b150 02010300 00284a04 00020103 00002853 .....(J.......(S + 3b160 0400075f 4849465f 43414c4c 4241434b ..._HIF_CALLBACK + 3b170 000c0000 28a80873 656e645f 6275665f ....(..send_buf_ + 3b180 646f6e65 00000028 4c022300 08726563 done...(L.#..rec + 3b190 765f6275 66000000 28550223 0408636f v_buf...(U.#..co + 3b1a0 6e746578 74000000 04040223 08000968 ntext......#...h + 3b1b0 69665f68 616e646c 655f7400 00000404 if_handle_t..... + 3b1c0 09484946 5f434f4e 46494700 00002829 .HIF_CONFIG...() + 3b1d0 03000028 ba040006 000028a8 01030000 ...(......(..... + 3b1e0 28d10400 02010300 0028de04 00094849 (........(....HI + 3b1f0 465f4341 4c4c4241 434b0000 00285c03 F_CALLBACK...(\. + 3b200 000028e7 04000201 03000029 00040006 ..(........).... + 3b210 0000010f 01030000 29090400 02010300 ........)....... + 3b220 00291604 00060000 010f0103 0000291f .)............). + 3b230 04000201 03000029 2c040006 0000010f .......),....... + 3b240 01030000 29350400 02010300 00294204 ....)5.......)B. + 3b250 00076869 665f6170 69003800 002a9b08 ..hif_api.8..*.. + 3b260 5f696e69 74000000 28d70223 00085f73 _init...(..#.._s + 3b270 68757464 6f776e00 000028e0 02230408 hutdown...(..#.. + 3b280 5f726567 69737465 725f6361 6c6c6261 _register_callba + 3b290 636b0000 00290202 2308085f 6765745f ck...)..#.._get_ + 3b2a0 746f7461 6c5f6372 65646974 5f636f75 total_credit_cou + 3b2b0 6e740000 00290f02 230c085f 73746172 nt...)..#.._star + 3b2c0 74000000 28e00223 10085f63 6f6e6669 t...(..#.._confi + 3b2d0 675f7069 70650000 00291802 2314085f g_pipe...)..#.._ + 3b2e0 73656e64 5f627566 66657200 00002925 send_buffer...)% + 3b2f0 02231808 5f726574 75726e5f 72656376 .#.._return_recv + 3b300 5f627566 00000029 2e02231c 085f6973 _buf...)..#.._is + 3b310 5f706970 655f7375 70706f72 74656400 _pipe_supported. + 3b320 0000293b 02232008 5f676574 5f6d6178 ..);.# ._get_max + 3b330 5f6d7367 5f6c656e 00000029 3b022324 _msg_len...);.#$ + 3b340 085f6765 745f7265 73657276 65645f68 ._get_reserved_h + 3b350 65616472 6f6f6d00 0000290f 02232808 eadroom...)..#(. + 3b360 5f697372 5f68616e 646c6572 00000028 _isr_handler...( + 3b370 e002232c 085f6765 745f6465 6661756c ..#,._get_defaul + 3b380 745f7069 70650000 00294402 23300870 t_pipe...)D.#0.p + 3b390 52657365 72766564 00000004 04022334 Reserved......#4 + 3b3a0 000d646d 615f656e 67696e65 00040000 ..dma_engine.... + 3b3b0 2b240e44 4d415f45 4e47494e 455f5258 +$.DMA_ENGINE_RX + 3b3c0 3000000e 444d415f 454e4749 4e455f52 0...DMA_ENGINE_R + 3b3d0 58310001 0e444d41 5f454e47 494e455f X1...DMA_ENGINE_ + 3b3e0 52583200 020e444d 415f454e 47494e45 RX2...DMA_ENGINE + 3b3f0 5f525833 00030e44 4d415f45 4e47494e _RX3...DMA_ENGIN + 3b400 455f5458 3000040e 444d415f 454e4749 E_TX0...DMA_ENGI + 3b410 4e455f54 58310005 0e444d41 5f454e47 NE_TX1...DMA_ENG + 3b420 494e455f 4d415800 06000964 6d615f65 INE_MAX....dma_e + 3b430 6e67696e 655f7400 00002a9b 0d646d61 ngine_t...*..dma + 3b440 5f696674 79706500 0400002b 710e444d _iftype....+q.DM + 3b450 415f4946 5f474d41 4300000e 444d415f A_IF_GMAC...DMA_ + 3b460 49465f50 43490001 0e444d41 5f49465f IF_PCI...DMA_IF_ + 3b470 50434945 00020009 646d615f 69667479 PCIE....dma_ifty + 3b480 70655f74 0000002b 36060000 12e90103 pe_t...+6....... + 3b490 00002b83 04000201 0300002b 90040002 ..+........+.... + 3b4a0 01030000 2b990400 06000009 1b010300 ....+........... + 3b4b0 002ba204 00060000 12e90103 00002baf .+............+. + 3b4c0 04000600 0012e901 0300002b bc040006 ...........+.... + 3b4d0 00001434 01030000 2bc90400 02010300 ...4....+....... + 3b4e0 002bd604 0007646d 615f6c69 625f6170 .+....dma_lib_ap + 3b4f0 69003400 002cdd08 74785f69 6e697400 i.4..,..tx_init. + 3b500 00002b89 02230008 74785f73 74617274 ..+..#..tx_start + 3b510 0000002b 92022304 0872785f 696e6974 ...+..#..rx_init + 3b520 0000002b 89022308 0872785f 636f6e66 ...+..#..rx_conf + 3b530 69670000 002b9b02 230c0872 785f7374 ig...+..#..rx_st + 3b540 61727400 00002b92 02231008 696e7472 art...+..#..intr + 3b550 5f737461 74757300 00002ba8 02231408 _status...+..#.. + 3b560 68617264 5f786d69 74000000 2bb50223 hard_xmit...+..# + 3b570 1808666c 7573685f 786d6974 0000002b ..flush_xmit...+ + 3b580 9202231c 08786d69 745f646f 6e650000 ..#..xmit_done.. + 3b590 002bc202 23200872 6561705f 786d6974 .+..# .reap_xmit + 3b5a0 74656400 00002bcf 02232408 72656170 ted...+..#$.reap + 3b5b0 5f726563 76000000 2bcf0223 28087265 _recv...+..#(.re + 3b5c0 7475726e 5f726563 76000000 2bd80223 turn_recv...+..# + 3b5d0 2c087265 63765f70 6b740000 002bc202 ,.recv_pkt...+.. + 3b5e0 23300007 5f5f7063 695f736f 66746300 #0..__pci_softc. + 3b5f0 0c00002c fb087377 00000028 e7022300 ...,..sw...(..#. + 3b600 00095f5f 7063695f 736f6674 635f7400 ..__pci_softc_t. + 3b610 00002cdd 0300002c fb040002 01030000 ..,....,........ + 3b620 2d150400 06000012 d5010300 002d1e04 -............-.. + 3b630 000d6869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 3b640 78000400 002d7e0e 4849465f 5043495f x....-~.HIF_PCI_ + 3b650 50495045 5f545830 00000e48 49465f50 PIPE_TX0...HIF_P + 3b660 43495f50 4950455f 54583100 010e4849 CI_PIPE_TX1...HI + 3b670 465f5043 495f5049 50455f54 585f4d41 F_PCI_PIPE_TX_MA + 3b680 58000200 09686966 5f706369 5f706970 X....hif_pci_pip + 3b690 655f7478 5f740000 002d2b06 00002b24 e_tx_t...-+...+$ + 3b6a0 01030000 2d950400 0d686966 5f706369 ....-....hif_pci + 3b6b0 5f706970 655f7278 00040000 2e1b0e48 _pipe_rx.......H + 3b6c0 49465f50 43495f50 4950455f 52583000 IF_PCI_PIPE_RX0. + 3b6d0 000e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 3b6e0 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP + 3b6f0 455f5258 3200020e 4849465f 5043495f E_RX2...HIF_PCI_ + 3b700 50495045 5f525833 00030e48 49465f50 PIPE_RX3...HIF_P + 3b710 43495f50 4950455f 52585f4d 41580004 CI_PIPE_RX_MAX.. + 3b720 00096869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r + 3b730 785f7400 00002da2 0600002b 24010300 x_t...-....+$... + 3b740 002e3204 00076869 665f7063 695f6170 ..2...hif_pci_ap + 3b750 69002400 002f1008 7063695f 626f6f74 i.$../..pci_boot + 3b760 5f696e69 74000000 01080223 00087063 _init......#..pc + 3b770 695f696e 69740000 0028d702 23040870 i_init...(..#..p + 3b780 63695f72 65736574 00000001 08022308 ci_reset......#. + 3b790 08706369 5f656e61 626c6500 00000108 .pci_enable..... + 3b7a0 02230c08 7063695f 72656170 5f786d69 .#..pci_reap_xmi + 3b7b0 74746564 0000002d 17022310 08706369 tted...-..#..pci + 3b7c0 5f726561 705f7265 63760000 002d1702 _reap_recv...-.. + 3b7d0 23140870 63695f67 65745f70 69706500 #..pci_get_pipe. + 3b7e0 00002d24 02231808 7063695f 6765745f ..-$.#..pci_get_ + 3b7f0 74785f65 6e670000 002d9b02 231c0870 tx_eng...-..#..p + 3b800 63695f67 65745f72 785f656e 67000000 ci_get_rx_eng... + 3b810 2e380223 20000767 6d61635f 61706900 .8.# ..gmac_api. + 3b820 0400002f 3708676d 61635f62 6f6f745f .../7.gmac_boot_ + 3b830 696e6974 00000001 08022300 000f0000 init......#..... + 3b840 031c0600 002f4410 0500075f 5f657468 ...../D....__eth + 3b850 68647200 0e00002f 7a086473 74000000 hdr..../z.dst... + 3b860 2f370223 00087372 63000000 2f370223 /7.#..src.../7.# + 3b870 06086574 79706500 000012e9 02230c00 ..etype......#.. + 3b880 075f5f61 74686864 72000400 002fc815 .__athhdr..../.. + 3b890 72657300 000012d5 01000202 23001570 res.........#..p + 3b8a0 726f746f 00000012 d5010206 02230008 roto.........#.. + 3b8b0 7265735f 6c6f0000 0012d502 23010872 res_lo......#..r + 3b8c0 65735f68 69000000 12e90223 0200075f es_hi......#..._ + 3b8d0 5f676d61 635f6864 72001400 00300408 _gmac_hdr....0.. + 3b8e0 65746800 00002f44 02230008 61746800 eth.../D.#..ath. + 3b8f0 00002f7a 02230e08 616c6967 6e5f7061 ../z.#..align_pa + 3b900 64000000 12e90223 1200095f 5f676d61 d......#...__gma + 3b910 635f6864 725f7400 00002fc8 075f5f67 c_hdr_t.../..__g + 3b920 6d61635f 736f6674 63002400 00304e08 mac_softc.$..0N. + 3b930 68647200 00003004 02230008 6772616e hdr...0..#..gran + 3b940 00000012 e9022314 08737700 000028e7 ......#..sw...(. + 3b950 02231800 075f415f 6f735f6c 696e6b61 .#..._A_os_linka + 3b960 67655f63 6865636b 00080000 30870876 ge_check....0..v + 3b970 65727369 6f6e0000 00010f02 23000874 ersion......#..t + 3b980 61626c65 00000001 0f022304 00030000 able......#..... + 3b990 304e0400 06000001 0f010300 00308e04 0N...........0.. + 3b9a0 00030000 04070400 175f415f 636d6e6f ........._A_cmno + 3b9b0 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta + 3b9c0 626c6500 01b80000 31de0868 616c5f6c ble.....1..hal_l + 3b9d0 696e6b61 67655f63 6865636b 00000030 inkage_check...0 + 3b9e0 94022300 08737461 72745f62 73730000 ..#..start_bss.. + 3b9f0 00309b02 23040861 70705f73 74617274 .0..#..app_start + 3ba00 00000001 08022308 086d656d 00000004 ......#..mem.... + 3ba10 4702230c 086d6973 63000000 05660223 G.#..misc....f.# + 3ba20 20087072 696e7466 00000001 3c022344 .printf....<.#D + 3ba30 08756172 74000000 02050223 4c08676d .uart......#L.gm + 3ba40 61630000 002f1002 236c0875 73620000 ac.../..#l.usb.. + 3ba50 000f9502 23700863 6c6f636b 0000000a ....#p.clock.... + 3ba60 de0323e0 01087469 6d657200 0000077e ..#...timer....~ + 3ba70 03238402 08696e74 72000000 0c2e0323 .#...intr......# + 3ba80 98020861 6c6c6f63 72616d00 00000936 ...allocram....6 + 3ba90 0323c402 08726f6d 70000000 08280323 .#...romp....(.# + 3baa0 d0020877 64745f74 696d6572 0000000e ...wdt_timer.... + 3bab0 0b0323e0 02086565 70000000 0f390323 ..#...eep....9.# + 3bac0 fc020873 7472696e 67000000 068a0323 ...string......# + 3bad0 8c030874 61736b6c 65740000 000a3303 ...tasklet....3. + 3bae0 23a40300 075f5553 425f4649 464f5f43 #...._USB_FIFO_C + 3baf0 4f4e4649 47001000 00325108 6765745f ONFIG....2Q.get_ + 3bb00 636f6d6d 616e645f 62756600 00001441 command_buf....A + 3bb10 02230008 72656376 5f636f6d 6d616e64 .#..recv_command + 3bb20 00000014 57022304 08676574 5f657665 ....W.#..get_eve + 3bb30 6e745f62 75660000 00144102 23080873 nt_buf....A.#..s + 3bb40 656e645f 6576656e 745f646f 6e650000 end_event_done.. + 3bb50 00145702 230c0009 5553425f 4649464f ..W.#...USB_FIFO + 3bb60 5f434f4e 46494700 000031de 03000032 _CONFIG...1....2 + 3bb70 51040002 01030000 326d0400 07757362 Q.......2m...usb + 3bb80 6669666f 5f617069 000c0000 32c3085f fifo_api....2.._ + 3bb90 696e6974 00000032 6f022300 085f656e init...2o.#.._en + 3bba0 61626c65 5f657665 6e745f69 73720000 able_event_isr.. + 3bbb0 00010802 23040870 52657365 72766564 ....#..pReserved + 3bbc0 00000004 04022308 000f0000 167b0200 ......#......{.. + 3bbd0 0032d010 0100075f 4854435f 4652414d .2....._HTC_FRAM + 3bbe0 455f4844 52000800 00334208 456e6470 E_HDR....3B.Endp + 3bbf0 6f696e74 49440000 00167b02 23000846 ointID....{.#..F + 3bc00 6c616773 00000016 7b022301 08506179 lags....{.#..Pay + 3bc10 6c6f6164 4c656e00 00001ca3 02230208 loadLen......#.. + 3bc20 436f6e74 726f6c42 79746573 00000032 ControlBytes...2 + 3bc30 c3022304 08486f73 74536571 4e756d00 ..#..HostSeqNum. + 3bc40 00001ca3 02230600 12020000 335b084d .....#......3[.M + 3bc50 65737361 67654944 0000001c a3022300 essageID......#. + 3bc60 00120800 0033be08 4d657373 61676549 .....3..MessageI + 3bc70 44000000 1ca30223 00084372 65646974 D......#..Credit + 3bc80 436f756e 74000000 1ca30223 02084372 Count......#..Cr + 3bc90 65646974 53697a65 0000001c a3022304 editSize......#. + 3bca0 084d6178 456e6470 6f696e74 73000000 .MaxEndpoints... + 3bcb0 167b0223 06085f50 61643100 0000167b .{.#.._Pad1....{ + 3bcc0 02230700 120a0000 3455084d 65737361 .#......4U.Messa + 3bcd0 67654944 0000001c a3022300 08536572 geID......#..Ser + 3bce0 76696365 49440000 001ca302 23020843 viceID......#..C + 3bcf0 6f6e6e65 6374696f 6e466c61 67730000 onnectionFlags.. + 3bd00 001ca302 23040844 6f776e4c 696e6b50 ....#..DownLinkP + 3bd10 69706549 44000000 167b0223 06085570 ipeID....{.#..Up + 3bd20 4c696e6b 50697065 49440000 00167b02 LinkPipeID....{. + 3bd30 23070853 65727669 63654d65 74614c65 #..ServiceMetaLe + 3bd40 6e677468 00000016 7b022308 085f5061 ngth....{.#.._Pa + 3bd50 64310000 00167b02 23090012 0a000034 d1....{.#......4 + 3bd60 dd084d65 73736167 65494400 00001ca3 ..MessageID..... + 3bd70 02230008 53657276 69636549 44000000 .#..ServiceID... + 3bd80 1ca30223 02085374 61747573 00000016 ...#..Status.... + 3bd90 7b022304 08456e64 706f696e 74494400 {.#..EndpointID. + 3bda0 0000167b 02230508 4d61784d 73675369 ...{.#..MaxMsgSi + 3bdb0 7a650000 001ca302 23060853 65727669 ze......#..Servi + 3bdc0 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 3bdd0 7b022308 085f5061 64310000 00167b02 {.#.._Pad1....{. + 3bde0 23090012 02000034 f6084d65 73736167 #......4..Messag + 3bdf0 65494400 00001ca3 02230000 12040000 eID......#...... + 3be00 3532084d 65737361 67654944 0000001c 52.MessageID.... + 3be10 a3022300 08506970 65494400 0000167b ..#..PipeID....{ + 3be20 02230208 43726564 6974436f 756e7400 .#..CreditCount. + 3be30 0000167b 02230300 12040000 3569084d ...{.#......5i.M + 3be40 65737361 67654944 0000001c a3022300 essageID......#. + 3be50 08506970 65494400 0000167b 02230208 .PipeID....{.#.. + 3be60 53746174 75730000 00167b02 23030012 Status....{.#... + 3be70 02000035 90085265 636f7264 49440000 ...5..RecordID.. + 3be80 00167b02 2300084c 656e6774 68000000 ..{.#..Length... + 3be90 167b0223 01001202 000035ba 08456e64 .{.#......5..End + 3bea0 706f696e 74494400 0000167b 02230008 pointID....{.#.. + 3beb0 43726564 69747300 0000167b 02230100 Credits....{.#.. + 3bec0 12040000 35fb0845 6e64706f 696e7449 ....5..EndpointI + 3bed0 44000000 167b0223 00084372 65646974 D....{.#..Credit + 3bee0 73000000 167b0223 01085467 74437265 s....{.#..TgtCre + 3bef0 64697453 65714e6f 0000001c a3022302 ditSeqNo......#. + 3bf00 000f0000 167b0400 00360810 03001206 .....{...6...... + 3bf10 00003644 08507265 56616c69 64000000 ..6D.PreValid... + 3bf20 167b0223 00084c6f 6f6b4168 65616400 .{.#..LookAhead. + 3bf30 000035fb 02230108 506f7374 56616c69 ..5..#..PostVali + 3bf40 64000000 167b0223 05000970 6f6f6c5f d....{.#...pool_ + 3bf50 68616e64 6c655f74 00000004 04060000 handle_t........ + 3bf60 36440103 00003657 04000201 03000036 6D....6W.......6 + 3bf70 64040014 04000036 e20e504f 4f4c5f49 d......6..POOL_I + 3bf80 445f4854 435f434f 4e54524f 4c00000e D_HTC_CONTROL... + 3bf90 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 3bfa0 434d445f 5245504c 5900010e 504f4f4c CMD_REPLY...POOL + 3bfb0 5f49445f 574d495f 5356435f 4556454e _ID_WMI_SVC_EVEN + 3bfc0 5400020e 504f4f4c 5f49445f 574c414e T...POOL_ID_WLAN + 3bfd0 5f52585f 42554600 030e504f 4f4c5f49 _RX_BUF...POOL_I + 3bfe0 445f4d41 58000a00 09425546 5f504f4f D_MAX....BUF_POO + 3bff0 4c5f4944 00000036 6d020103 000036f3 L_ID...6m.....6. + 3c000 04000600 00264001 03000036 fc040006 .....&@....6.... + 3c010 00002640 01030000 37090400 02010300 ..&@....7....... + 3c020 00371604 00076275 665f706f 6f6c5f61 .7....buf_pool_a + 3c030 7069001c 000037b8 085f696e 69740000 pi....7.._init.. + 3c040 00365d02 2300085f 73687574 646f776e .6].#.._shutdown + 3c050 00000036 66022304 085f6372 65617465 ...6f.#.._create + 3c060 5f706f6f 6c000000 36f50223 08085f61 _pool...6..#.._a + 3c070 6c6c6f63 5f627566 00000037 0202230c lloc_buf...7..#. + 3c080 085f616c 6c6f635f 6275665f 616c6967 ._alloc_buf_alig + 3c090 6e000000 370f0223 10085f66 7265655f n...7..#.._free_ + 3c0a0 62756600 00003718 02231408 70526573 buf...7..#..pRes + 3c0b0 65727665 64000000 04040223 1800075f erved......#..._ + 3c0c0 4854435f 53455256 49434500 1c000038 HTC_SERVICE....8 + 3c0d0 9708704e 65787400 00003897 02230008 ..pNext...8..#.. + 3c0e0 50726f63 65737352 6563764d 73670000 ProcessRecvMsg.. + 3c0f0 00394c02 23040850 726f6365 73735365 .9L.#..ProcessSe + 3c100 6e644275 66666572 436f6d70 6c657465 ndBufferComplete + 3c110 00000039 55022308 0850726f 63657373 ...9U.#..Process + 3c120 436f6e6e 65637400 00003969 02230c08 Connect...9i.#.. + 3c130 53657276 69636549 44000000 12e90223 ServiceID......# + 3c140 10085365 72766963 65466c61 67730000 ..ServiceFlags.. + 3c150 0012e902 2312084d 61785376 634d7367 ....#..MaxSvcMsg + 3c160 53697a65 00000012 e9022314 08547261 Size......#..Tra + 3c170 696c6572 53706343 6865636b 4c696d69 ilerSpcCheckLimi + 3c180 74000000 12e90223 16085365 72766963 t......#..Servic + 3c190 65437478 00000004 04022318 00030000 eCtx......#..... + 3c1a0 37b80400 14040000 39351945 4e44504f 7.......95.ENDPO + 3c1b0 494e545f 554e5553 454400ff ffffff0e INT_UNUSED...... + 3c1c0 454e4450 4f494e54 3000000e 454e4450 ENDPOINT0...ENDP + 3c1d0 4f494e54 3100010e 454e4450 4f494e54 OINT1...ENDPOINT + 3c1e0 3200020e 454e4450 4f494e54 3300030e 2...ENDPOINT3... + 3c1f0 454e4450 4f494e54 3400040e 454e4450 ENDPOINT4...ENDP + 3c200 4f494e54 3500050e 454e4450 4f494e54 OINT5...ENDPOINT + 3c210 3600060e 454e4450 4f494e54 3700070e 6...ENDPOINT7... + 3c220 454e4450 4f494e54 3800080e 454e4450 ENDPOINT8...ENDP + 3c230 4f494e54 5f4d4158 00160009 4854435f OINT_MAX....HTC_ + 3c240 454e4450 4f494e54 5f494400 0000389e ENDPOINT_ID...8. + 3c250 02010300 00394a04 00020103 00003953 .....9J.......9S + 3c260 04000300 00010f04 00060000 12d50103 ................ + 3c270 00003963 04000300 0037b804 00075f48 ..9c.....7...._H + 3c280 54435f43 4f4e4649 47001400 0039e808 TC_CONFIG....9.. + 3c290 43726564 69745369 7a650000 00010f02 CreditSize...... + 3c2a0 23000843 72656469 744e756d 62657200 #..CreditNumber. + 3c2b0 0000010f 02230408 4f534861 6e646c65 .....#..OSHandle + 3c2c0 0000001a 3f022308 08484946 48616e64 ....?.#..HIFHand + 3c2d0 6c650000 0028a802 230c0850 6f6f6c48 le...(..#..PoolH + 3c2e0 616e646c 65000000 36440223 1000075f andle...6D.#..._ + 3c2f0 4854435f 4255465f 434f4e54 45585400 HTC_BUF_CONTEXT. + 3c300 0200003a 2408656e 645f706f 696e7400 ...:$.end_point. + 3c310 000012d5 02230008 6874635f 666c6167 .....#..htc_flag + 3c320 73000000 12d50223 01000968 74635f68 s......#...htc_h + 3c330 616e646c 655f7400 00000404 09485443 andle_t......HTC + 3c340 5f534554 55505f43 4f4d504c 4554455f _SETUP_COMPLETE_ + 3c350 43420000 00010809 4854435f 434f4e46 CB......HTC_CONF + 3c360 49470000 00397703 00003a51 04000600 IG...9w...:Q.... + 3c370 003a2401 0300003a 68040002 01030000 .:$....:h....... + 3c380 3a750400 09485443 5f534552 56494345 :u...HTC_SERVICE + 3c390 00000037 b8030000 3a7e0400 02010300 ...7....:~...... + 3c3a0 003a9604 00020103 00003a9f 04000201 .:........:..... + 3c3b0 0300003a a8040006 0000010f 01030000 ...:............ + 3c3c0 3ab10400 07687463 5f617069 73003400 :....htc_apis.4. + 3c3d0 003c2e08 5f485443 5f496e69 74000000 .<.._HTC_Init... + 3c3e0 3a6e0223 00085f48 54435f53 68757464 :n.#.._HTC_Shutd + 3c3f0 6f776e00 00003a77 02230408 5f485443 own...:w.#.._HTC + 3c400 5f526567 69737465 72536572 76696365 _RegisterService + 3c410 0000003a 98022308 085f4854 435f5265 ...:..#.._HTC_Re + 3c420 61647900 00003a77 02230c08 5f485443 ady...:w.#.._HTC + 3c430 5f526574 75726e42 75666665 72730000 _ReturnBuffers.. + 3c440 003aa102 2310085f 4854435f 52657475 .:..#.._HTC_Retu + 3c450 726e4275 66666572 734c6973 74000000 rnBuffersList... + 3c460 3aaa0223 14085f48 54435f53 656e644d :..#.._HTC_SendM + 3c470 73670000 003aa102 2318085f 4854435f sg...:..#.._HTC_ + 3c480 47657452 65736572 76656448 65616472 GetReservedHeadr + 3c490 6f6f6d00 00003ab7 02231c08 5f485443 oom...:..#.._HTC + 3c4a0 5f4d7367 52656376 48616e64 6c657200 _MsgRecvHandler. + 3c4b0 00002855 02232008 5f485443 5f53656e ..(U.# ._HTC_Sen + 3c4c0 64446f6e 6548616e 646c6572 00000028 dDoneHandler...( + 3c4d0 4c022324 085f4854 435f436f 6e74726f L.#$._HTC_Contro + 3c4e0 6c537663 50726f63 6573734d 73670000 lSvcProcessMsg.. + 3c4f0 00394c02 2328085f 4854435f 436f6e74 .9L.#(._HTC_Cont + 3c500 726f6c53 76635072 6f636573 7353656e rolSvcProcessSen + 3c510 64436f6d 706c6574 65000000 39550223 dComplete...9U.# + 3c520 2c087052 65736572 76656400 00000404 ,.pReserved..... + 3c530 02233000 07686f73 745f6170 705f6172 .#0..host_app_ar + 3c540 65615f73 00040000 3c5e0877 6d695f70 ea_s....<^.wmi_p + 3c550 726f746f 636f6c5f 76657200 00001614 rotocol_ver..... + 3c560 02230000 120e0000 3c950864 73744d61 .#......<..dstMa + 3c570 63000000 1c600223 00087372 634d6163 c....`.#..srcMac + 3c580 0000001c 60022306 08747970 654f724c ....`.#..typeOrL + 3c590 656e0000 001ca302 230c000f 0000167b en......#......{ + 3c5a0 0300003c a2100200 12080000 3cf20864 ...<........<..d + 3c5b0 73617000 0000167b 02230008 73736170 sap....{.#..ssap + 3c5c0 00000016 7b022301 08636e74 6c000000 ....{.#..cntl... + 3c5d0 167b0223 02086f72 67436f64 65000000 .{.#..orgCode... + 3c5e0 3c950223 03086574 68657254 79706500 <..#..etherType. + 3c5f0 00001ca3 02230600 12020000 3d130872 .....#......=..r + 3c600 73736900 00001bf9 02230008 696e666f ssi......#..info + 3c610 00000016 7b022301 00120400 003d3a08 ....{.#......=:. + 3c620 636f6d6d 616e6449 64000000 1ca30223 commandId......# + 3c630 00087365 714e6f00 00001ca3 02230200 ..seqNo......#.. + 3c640 0f000016 7b010000 3d471000 00120200 ....{...=G...... + 3c650 003d6e08 6d736753 697a6500 0000167b .=n.msgSize....{ + 3c660 02230008 6d736744 61746100 00003d3a .#..msgData...=: + 3c670 02230100 12080000 3db50861 64647265 .#......=..addre + 3c680 73734c00 00001ca3 02230008 61646472 ssL......#..addr + 3c690 65737348 0000001c a3022302 0876616c essH......#..val + 3c6a0 75654c00 00001ca3 02230408 76616c75 ueL......#..valu + 3c6b0 65480000 001ca302 23060009 574d495f eH......#...WMI_ + 3c6c0 41565400 00003d6e 0f00003d b5080000 AVT...=n...=.... + 3c6d0 3dcf1000 00120c00 003e0608 7475706c =........>..tupl + 3c6e0 654e756d 4c000000 1ca30223 00087475 eNumL......#..tu + 3c6f0 706c654e 756d4800 00001ca3 02230208 pleNumH......#.. + 3c700 61767400 00003dc2 02230400 12010000 avt...=..#...... + 3c710 3e280862 6561636f 6e50656e 64696e67 >(.beaconPending + 3c720 436f756e 74000000 167b0223 0000075f Count....{.#..._ + 3c730 574d495f 5356435f 434f4e46 49470010 WMI_SVC_CONFIG.. + 3c740 00003e91 08487463 48616e64 6c650000 ..>..HtcHandle.. + 3c750 003a2402 23000850 6f6f6c48 616e646c .:$.#..PoolHandl + 3c760 65000000 36440223 04084d61 78436d64 e...6D.#..MaxCmd + 3c770 5265706c 79457674 73000000 010f0223 ReplyEvts......# + 3c780 08084d61 78457665 6e744576 74730000 ..MaxEventEvts.. + 3c790 00010f02 230c0002 01030000 3e910400 ....#.......>... + 3c7a0 09574d49 5f434d44 5f48414e 444c4552 .WMI_CMD_HANDLER + 3c7b0 0000003e 93075f57 4d495f44 49535041 ...>.._WMI_DISPA + 3c7c0 5443485f 454e5452 59000800 003efa08 TCH_ENTRY....>.. + 3c7d0 70436d64 48616e64 6c657200 00003e9a pCmdHandler...>. + 3c7e0 02230008 436d6449 44000000 12e90223 .#..CmdID......# + 3c7f0 0408466c 61677300 000012e9 02230600 ..Flags......#.. + 3c800 075f574d 495f4449 53504154 43485f54 ._WMI_DISPATCH_T + 3c810 41424c45 00100000 3f5b0870 4e657874 ABLE....?[.pNext + 3c820 0000003f 5b022300 0870436f 6e746578 ...?[.#..pContex + 3c830 74000000 04040223 04084e75 6d626572 t......#..Number + 3c840 4f66456e 74726965 73000000 010f0223 OfEntries......# + 3c850 08087054 61626c65 0000003f 7a02230c ..pTable...?z.#. + 3c860 00030000 3efa0400 09574d49 5f444953 ....>....WMI_DIS + 3c870 50415443 485f454e 54525900 00003eaf PATCH_ENTRY...>. + 3c880 0300003f 62040003 00003efa 04000948 ...?b.....>....H + 3c890 54435f42 55465f43 4f4e5445 58540000 TC_BUF_CONTEXT.. + 3c8a0 0039e80d 574d495f 4556545f 434c4153 .9..WMI_EVT_CLAS + 3c8b0 53000400 00401219 574d495f 4556545f S....@..WMI_EVT_ + 3c8c0 434c4153 535f4e4f 4e4500ff ffffff0e CLASS_NONE...... + 3c8d0 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM + 3c8e0 445f4556 454e5400 000e574d 495f4556 D_EVENT...WMI_EV + 3c8f0 545f434c 4153535f 434d445f 5245504c T_CLASS_CMD_REPL + 3c900 5900010e 574d495f 4556545f 434c4153 Y...WMI_EVT_CLAS + 3c910 535f4d41 58000200 09574d49 5f455654 S_MAX....WMI_EVT + 3c920 5f434c41 53530000 003f9d07 5f574d49 _CLASS...?.._WMI + 3c930 5f425546 5f434f4e 54455854 000c0000 _BUF_CONTEXT.... + 3c940 40700848 74634275 66437478 0000003f @p.HtcBufCtx...? + 3c950 88022300 08457665 6e74436c 61737300 ..#..EventClass. + 3c960 00004012 02230408 466c6167 73000000 ..@..#..Flags... + 3c970 12e90223 08000977 6d695f68 616e646c ...#...wmi_handl + 3c980 655f7400 00000404 09574d49 5f535643 e_t......WMI_SVC + 3c990 5f434f4e 46494700 00003e28 03000040 _CONFIG...>(...@ + 3c9a0 82040006 00004070 01030000 409d0400 ......@p....@... + 3c9b0 09574d49 5f444953 50415443 485f5441 .WMI_DISPATCH_TA + 3c9c0 424c4500 00003efa 03000040 aa040002 BLE...>....@.... + 3c9d0 01030000 40c90400 06000026 40010300 ....@......&@... + 3c9e0 0040d204 00020103 000040df 04000600 .@........@..... + 3c9f0 00010f01 03000040 e8040002 01030000 .......@........ + 3ca00 40f50400 06000012 d5010300 0040fe04 @............@.. + 3ca10 00075f77 6d695f73 76635f61 70697300 .._wmi_svc_apis. + 3ca20 2c000042 46085f57 4d495f49 6e697400 ,..BF._WMI_Init. + 3ca30 000040a3 02230008 5f574d49 5f526567 ..@..#.._WMI_Reg + 3ca40 69737465 72446973 70617463 68546162 isterDispatchTab + 3ca50 6c650000 0040cb02 2304085f 574d495f le...@..#.._WMI_ + 3ca60 416c6c6f 63457665 6e740000 0040d802 AllocEvent...@.. + 3ca70 2308085f 574d495f 53656e64 4576656e #.._WMI_SendEven + 3ca80 74000000 40e10223 0c085f57 4d495f47 t...@..#.._WMI_G + 3ca90 65745065 6e64696e 67457665 6e747343 etPendingEventsC + 3caa0 6f756e74 00000040 ee022310 085f574d ount...@..#.._WM + 3cab0 495f5365 6e64436f 6d706c65 74654861 I_SendCompleteHa + 3cac0 6e646c65 72000000 39550223 14085f57 ndler...9U.#.._W + 3cad0 4d495f47 6574436f 6e74726f 6c457000 MI_GetControlEp. + 3cae0 000040ee 02231808 5f574d49 5f536875 ..@..#.._WMI_Shu + 3caf0 74646f77 6e000000 40f70223 1c085f57 tdown...@..#.._W + 3cb00 4d495f52 6563764d 65737361 67654861 MI_RecvMessageHa + 3cb10 6e646c65 72000000 394c0223 20085f57 ndler...9L.# ._W + 3cb20 4d495f53 65727669 6365436f 6e6e6563 MI_ServiceConnec + 3cb30 74000000 41040223 24087052 65736572 t...A..#$.pReser + 3cb40 76656400 00000404 02232800 077a7344 ved......#(..zsD + 3cb50 6d614465 73630014 000042c8 08637472 maDesc....B..ctr + 3cb60 6c000000 01730223 00087374 61747573 l....s.#..status + 3cb70 00000001 73022302 08746f74 616c4c65 ....s.#..totalLe + 3cb80 6e000000 01730223 04086461 74615369 n....s.#..dataSi + 3cb90 7a650000 00017302 2306086c 61737441 ze....s.#..lastA + 3cba0 64647200 000042c8 02230808 64617461 ddr...B..#..data + 3cbb0 41646472 00000001 9702230c 086e6578 Addr......#..nex + 3cbc0 74416464 72000000 42c80223 10000300 tAddr...B..#.... + 3cbd0 00424604 00030000 42460400 077a7344 .BF.....BF...zsD + 3cbe0 6d615175 65756500 08000043 08086865 maQueue....C..he + 3cbf0 61640000 0042cf02 23000874 65726d69 ad...B..#..termi + 3cc00 6e61746f 72000000 42cf0223 0400077a nator...B..#...z + 3cc10 73547844 6d615175 65756500 10000043 sTxDmaQueue....C + 3cc20 6c086865 61640000 0042cf02 23000874 l.head...B..#..t + 3cc30 65726d69 6e61746f 72000000 42cf0223 erminator...B..# + 3cc40 0408786d 69746564 5f627566 5f686561 ..xmited_buf_hea + 3cc50 64000000 14340223 0808786d 69746564 d....4.#..xmited + 3cc60 5f627566 5f746169 6c000000 14340223 _buf_tail....4.# + 3cc70 0c000201 03000043 6c040003 000042d6 .......Cl.....B. + 3cc80 04000201 03000043 7c040003 00004308 .......C|.....C. + 3cc90 04000201 03000043 8c040002 01030000 .......C........ + 3cca0 43950400 02010300 00439e04 00060000 C........C...... + 3ccb0 14340103 000043a7 04000201 03000043 .4....C........C + 3ccc0 b4040006 00001434 01030000 43bd0400 .......4....C... + 3ccd0 02010300 0043ca04 00060000 010f0103 .....C.......... + 3cce0 000043d3 04000600 0042cf01 03000043 ..C......B.....C + 3ccf0 e0040002 01030000 43ed0400 07646d61 ........C....dma + 3cd00 5f656e67 696e655f 61706900 40000045 _engine_api.@..E + 3cd10 63085f69 6e697400 0000436e 02230008 c._init...Cn.#.. + 3cd20 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. + 3cd30 00437e02 2304085f 696e6974 5f74785f .C~.#.._init_tx_ + 3cd40 71756575 65000000 438e0223 08085f63 queue...C..#.._c + 3cd50 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. + 3cd60 00439702 230c085f 786d6974 5f627566 .C..#.._xmit_buf + 3cd70 00000043 a0022310 085f666c 7573685f ...C..#.._flush_ + 3cd80 786d6974 00000043 7e022314 085f7265 xmit...C~.#.._re + 3cd90 61705f72 6563765f 62756600 000043ad ap_recv_buf...C. + 3cda0 02231808 5f726574 75726e5f 72656376 .#.._return_recv + 3cdb0 5f627566 00000043 b602231c 085f7265 _buf...C..#.._re + 3cdc0 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... + 3cdd0 43c30223 20085f73 7761705f 64617461 C..# ._swap_data + 3cde0 00000043 cc022324 085f6861 735f636f ...C..#$._has_co + 3cdf0 6d706c5f 7061636b 65747300 000043d9 mpl_packets...C. + 3ce00 02232808 5f646573 635f6475 6d700000 .#(._desc_dump.. + 3ce10 00437e02 232c085f 6765745f 7061636b .C~.#,._get_pack + 3ce20 65740000 0043e602 2330085f 7265636c et...C..#0._recl + 3ce30 61696d5f 7061636b 65740000 0043ef02 aim_packet...C.. + 3ce40 2334085f 7075745f 7061636b 65740000 #4._put_packet.. + 3ce50 0043ef02 23380870 52657365 72766564 .C..#8.pReserved + 3ce60 00000004 0402233c 00095f41 5f636d6e ......#<.._A_cmn + 3ce70 6f735f69 6e646972 65637469 6f6e5f74 os_indirection_t + 3ce80 61626c65 5f740000 0030a209 574d495f able_t...0..WMI_ + 3ce90 5356435f 41504953 00000041 0b175f41 SVC_APIS...A.._A + 3cea0 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect + 3ceb0 696f6e5f 7461626c 6500034c 00004691 ion_table..L..F. + 3cec0 08636d6e 6f730000 00456302 23000864 .cmnos...Ec.#..d + 3ced0 62670000 0003d103 23b80308 68696600 bg......#...hif. + 3cee0 0000294b 0323c003 08687463 0000003a ..)K.#...htc...: + 3cef0 be0323f8 0308776d 695f7376 635f6170 ..#...wmi_svc_ap + 3cf00 69000000 45850323 ac040875 73626669 i...E..#...usbfi + 3cf10 666f5f61 70690000 00327603 23d80408 fo_api...2v.#... + 3cf20 6275665f 706f6f6c 00000037 1f0323e4 buf_pool...7..#. + 3cf30 04087662 75660000 00145e03 23800508 ..vbuf....^.#... + 3cf40 76646573 63000000 13400323 94050861 vdesc....@.#...a + 3cf50 6c6c6f63 72616d00 00000936 0323a805 llocram....6.#.. + 3cf60 08646d61 5f656e67 696e6500 000043f6 .dma_engine...C. + 3cf70 0323b405 08646d61 5f6c6962 0000002b .#...dma_lib...+ + 3cf80 df0323f4 05086869 665f7063 69000000 ..#...hif_pci... + 3cf90 2e3f0323 a8060009 5f415f6d 61677069 .?.#...._A_magpi + 3cfa0 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta + 3cfb0 626c655f 74000000 45971404 00004716 ble_t...E.....G. + 3cfc0 0e574454 5f414354 494f4e5f 4e4f0000 .WDT_ACTION_NO.. + 3cfd0 0e574454 5f414354 494f4e5f 494e5452 .WDT_ACTION_INTR + 3cfe0 00010e57 44545f41 4354494f 4e5f4e4d ...WDT_ACTION_NM + 3cff0 4900020e 5744545f 41435449 4f4e5f52 I...WDT_ACTION_R + 3d000 45534554 00030e57 44545f41 4354494f ESET...WDT_ACTIO + 3d010 4e5f554e 4b4e4f57 4e000400 09545f57 N_UNKNOWN....T_W + 3d020 44545f41 4354494f 4e5f5459 50450000 DT_ACTION_TYPE.. + 3d030 0046b412 0c000047 61087374 61746500 .F.....Ga.state. + 3d040 000007f4 02230008 61637469 6f6e0000 .....#..action.. + 3d050 00471602 23040874 696d656f 75740000 .G..#..timeout.. + 3d060 0001ac02 23080009 545f5744 545f4354 ....#...T_WDT_CT + 3d070 524c0000 00472d1a 7764745f 6374726c RL...G-.wdt_ctrl + 3d080 00000047 61050300 500a3801 03000001 ...Ga...P.8..... + 3d090 ac040003 00000e0b 04000201 03000043 ...............C + 3d0a0 6c040003 0000436c 04000300 00436c04 l.....Cl.....Cl. + 3d0b0 00030000 436c0400 1b011763 6d6e6f73 ....Cl.....cmnos + 3d0c0 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 3d0d0 000ded01 01039201 20029000 008e2ce4 ........ .....,. + 3d0e0 008e2d0f 1c013763 6d6e6f73 5f776474 ..-...7cmnos_wdt + 3d0f0 5f726573 65740001 03920120 02900000 _reset..... .... + 3d100 8e2d1000 8e2d2a1d 0143636d 6e6f735f .-...-*..Ccmnos_ + 3d110 7764745f 73657400 01010392 01200290 wdt_set...... .. + 3d120 00008e2d 2c008e2d 54000048 391e0143 ...-,..-T..H9..C + 3d130 7764745f 636d6400 00000d88 0152001f wdt_cmd......R.. + 3d140 015d636d 6e6f735f 7764745f 656e6162 .]cmnos_wdt_enab + 3d150 6c650001 03920130 02900000 8e2d5400 le.....0.....-T. + 3d160 8e2d7200 00487220 7764745f 636d6400 .-r..Hr wdt_cmd. + 3d170 00000d88 02915000 1f016c63 6d6e6f73 ......P...lcmnos + 3d180 5f776474 5f646973 61626c65 00010392 _wdt_disable.... + 3d190 01300290 00008e2d 74008e2d 90000048 .0.....-t..-...H + 3d1a0 ac207764 745f636d 64000000 0d880291 . wdt_cmd....... + 3d1b0 50001d01 7e636d6e 6f735f77 64745f69 P...~cmnos_wdt_i + 3d1c0 6e697400 01010392 01300290 00008e2d nit......0.....- + 3d1d0 90008e2d b8000048 e4207764 745f636d ...-...H. wdt_cm + 3d1e0 64000000 0d880291 50001c01 94636d6e d.......P....cmn + 3d1f0 6f735f77 64745f74 61736b00 01039201 os_wdt_task..... + 3d200 20029000 008e2db8 008e2dd0 21019f63 .....-...-.!..c + 3d210 6d6e6f73 5f776474 5f6d6f64 756c655f mnos_wdt_module_ + 3d220 696e7374 616c6c00 01010392 01200290 install...... .. + 3d230 00008e2d d0008e2d f81e019f 74626c00 ...-...-....tbl. + 3d240 0000478d 01520000 00000049 a9000200 ..G..R.....I.... + 3d250 00160404 012f726f 6f742f57 6f726b73 ...../root/Works + 3d260 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 3d270 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 3d280 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 3d290 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 3d2a0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 3d2b0 6f6d2f63 6d6e6f73 2f756172 742f7372 om/cmnos/uart/sr + 3d2c0 632f7561 72745f61 70692e63 002f726f c/uart_api.c./ro + 3d2d0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 3d2e0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 3d2f0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 3d300 636d6e6f 732f7561 72740078 742d7863 cmnos/uart.xt-xc + 3d310 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 3d320 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 3d330 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - + 3d340 4f50543a 73706163 65000100 0000d3c7 OPT:space....... + 3d350 02010300 00010704 0004696e 74000504 ..........int... + 3d360 04636861 72000701 05000001 17050000 .char........... + 3d370 01170300 00012404 00060000 01100103 ......$......... + 3d380 00000130 04000770 72696e74 665f6170 ...0...printf_ap + 3d390 69000800 00017408 5f707269 6e74665f i.....t._printf_ + 3d3a0 696e6974 00000001 09022300 085f7072 init......#.._pr + 3d3b0 696e7466 00000001 36022304 00047368 intf....6.#...sh + 3d3c0 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 3d3d0 00070209 75696e74 31365f74 00000001 ....uint16_t.... + 3d3e0 74046c6f 6e672075 6e736967 6e656420 t.long unsigned + 3d3f0 696e7400 07040975 696e7433 325f7400 int....uint32_t. + 3d400 00000198 07756172 745f6669 666f0008 .....uart_fifo.. + 3d410 00000206 08737461 72745f69 6e646578 .....start_index + 3d420 00000001 8a022300 08656e64 5f696e64 ......#..end_ind + 3d430 65780000 00018a02 2302086f 76657272 ex......#..overr + 3d440 756e5f65 72720000 0001ad02 23040007 un_err......#... + 3d450 75617274 5f617069 00200000 02bf085f uart_api. ....._ + 3d460 75617274 5f696e69 74000000 03160223 uart_init......# + 3d470 00085f75 6172745f 63686172 5f707574 .._uart_char_put + 3d480 00000003 3d022304 085f7561 72745f63 ....=.#.._uart_c + 3d490 6861725f 67657400 00000351 02230808 har_get....Q.#.. + 3d4a0 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 3d4b0 035a0223 0c085f75 6172745f 7461736b .Z.#.._uart_task + 3d4c0 00000001 09022310 085f7561 72745f73 ......#.._uart_s + 3d4d0 74617475 73000000 03160223 14085f75 tatus......#.._u + 3d4e0 6172745f 636f6e66 69670000 00036302 art_config....c. + 3d4f0 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. + 3d500 0000036c 02231c00 03000002 06040007 ...l.#.......... + 3d510 75617274 5f626c6b 00100000 03100864 uart_blk.......d + 3d520 65627567 5f6d6f64 65000000 018a0223 ebug_mode......# + 3d530 00086261 75640000 00018a02 2302085f ..baud......#.._ + 3d540 75617274 00000002 bf022304 085f7478 uart......#.._tx + 3d550 00000001 bb022308 00060000 01ad0103 ......#......... + 3d560 00000310 04000475 6e736967 6e656420 .......unsigned + 3d570 63686172 00070109 75696e74 385f7400 char....uint8_t. + 3d580 0000031d 02010300 00033b04 00030000 ..........;..... + 3d590 032e0400 06000001 8a010300 00034b04 ..............K. + 3d5a0 00020103 00000358 04000201 03000003 .......X........ + 3d5b0 61040002 01030000 036a0400 03000001 a........j...... + 3d5c0 17040006 00000110 01030000 037a0400 .............z.. + 3d5d0 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU + 3d5e0 4354000c 000003d2 08636d64 5f737472 CT.......cmd_str + 3d5f0 00000003 73022300 0868656c 705f7374 ....s.#..help_st + 3d600 72000000 03730223 0408636d 645f6675 r....s.#..cmd_fu + 3d610 6e630000 00038002 23080007 6462675f nc......#...dbg_ + 3d620 61706900 08000004 05085f64 62675f69 api......._dbg_i + 3d630 6e697400 00000109 02230008 5f646267 nit......#.._dbg + 3d640 5f746173 6b000000 01090223 04000a04 _task......#.... + 3d650 0004756e 7369676e 65642069 6e740007 ..unsigned int.. + 3d660 04060000 04050103 00000418 04000b0b ................ + 3d670 03000004 26040006 00000405 01030000 ....&........... + 3d680 042e0400 06000001 10010300 00043b04 ..............;. + 3d690 00076d65 6d5f6170 69001400 0004aa08 ..mem_api....... + 3d6a0 5f6d656d 5f696e69 74000000 01090223 _mem_init......# + 3d6b0 00085f6d 656d7365 74000000 041e0223 .._memset......# + 3d6c0 04085f6d 656d6370 79000000 04340223 .._memcpy....4.# + 3d6d0 08085f6d 656d6d6f 76650000 00043402 .._memmove....4. + 3d6e0 230c085f 6d656d63 6d700000 00044102 #.._memcmp....A. + 3d6f0 2310000c 72656769 73746572 5f64756d #...register_dum + 3d700 705f7300 00010300 0004aa04 00020103 p_s............. + 3d710 000004c4 04000201 03000004 cd040006 ................ + 3d720 00000110 01030000 04d60400 0d686f73 .............hos + 3d730 7469665f 73000400 0005320e 4849465f tif_s.....2.HIF_ + 3d740 55534200 000e4849 465f5043 49450001 USB...HIF_PCIE.. + 3d750 0e484946 5f474d41 4300020e 4849465f .HIF_GMAC...HIF_ + 3d760 50434900 030e4849 465f4e55 4d00040e PCI...HIF_NUM... + 3d770 4849465f 4e4f4e45 00050009 415f484f HIF_NONE....A_HO + 3d780 53544946 00000004 e3060000 05320103 STIF.........2.. + 3d790 00000540 04000600 00032e01 03000005 ...@............ + 3d7a0 4d040006 0000018a 01030000 055a0400 M............Z.. + 3d7b0 076d6973 635f6170 69002400 00064a08 .misc_api.$...J. + 3d7c0 5f737973 74656d5f 72657365 74000000 _system_reset... + 3d7d0 01090223 00085f6d 61635f72 65736574 ...#.._mac_reset + 3d7e0 00000001 09022304 085f6173 73666169 ......#.._assfai + 3d7f0 6c000000 04c60223 08085f6d 6973616c l......#.._misal + 3d800 69676e65 645f6c6f 61645f68 616e646c igned_load_handl + 3d810 65720000 0004c602 230c085f 7265706f er......#.._repo + 3d820 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho + 3d830 73740000 0004cf02 2310085f 74617267 st......#.._targ + 3d840 65745f69 645f6765 74000000 04dc0223 et_id_get......# + 3d850 14085f69 735f686f 73745f70 72657365 .._is_host_prese + 3d860 6e740000 00054602 2318085f 6b626869 nt....F.#.._kbhi + 3d870 74000000 05530223 1c085f72 6f6d5f76 t....S.#.._rom_v + 3d880 65727369 6f6e5f67 65740000 00056002 ersion_get....`. + 3d890 23200006 00000373 01030000 064a0400 # .....s.....J.. + 3d8a0 06000003 73010300 00065704 00060000 ....s.....W..... + 3d8b0 01100103 00000664 04000600 00011001 .......d........ + 3d8c0 03000006 71040006 00000110 01030000 ....q........... + 3d8d0 067e0400 07737472 696e675f 61706900 .~...string_api. + 3d8e0 18000007 04085f73 7472696e 675f696e ......_string_in + 3d8f0 69740000 00010902 2300085f 73747263 it......#.._strc + 3d900 70790000 00065002 2304085f 7374726e py....P.#.._strn + 3d910 63707900 0000065d 02230808 5f737472 cpy....].#.._str + 3d920 6c656e00 0000066a 02230c08 5f737472 len....j.#.._str + 3d930 636d7000 00000677 02231008 5f737472 cmp....w.#.._str + 3d940 6e636d70 00000006 84022314 000f0000 ncmp......#..... + 3d950 04081400 00071110 0400095f 415f5449 ..........._A_TI + 3d960 4d45525f 53504143 45000000 07040941 MER_SPACE......A + 3d970 5f74696d 65725f74 00000007 11030000 _timer_t........ + 3d980 07250400 02010300 00073b04 00020103 .%........;..... + 3d990 00000744 04000941 5f48414e 444c4500 ...D...A_HANDLE. + 3d9a0 00000408 02010941 5f54494d 45525f46 .......A_TIMER_F + 3d9b0 554e4300 0000075b 03000007 5d040002 UNC....[....]... + 3d9c0 01030000 07760400 0774696d 65725f61 .....v...timer_a + 3d9d0 70690014 000007f5 085f7469 6d65725f pi......._timer_ + 3d9e0 696e6974 00000001 09022300 085f7469 init......#.._ti + 3d9f0 6d65725f 61726d00 0000073d 02230408 mer_arm....=.#.. + 3da00 5f74696d 65725f64 69736172 6d000000 _timer_disarm... + 3da10 07460223 08085f74 696d6572 5f736574 .F.#.._timer_set + 3da20 666e0000 00077802 230c085f 74696d65 fn....x.#.._time + 3da30 725f7275 6e000000 01090223 10000942 r_run......#...B + 3da40 4f4f4c45 414e0000 00018a06 000007f5 OOLEAN.......... + 3da50 01030000 08020400 06000007 f5010300 ................ + 3da60 00080f04 00060000 07f50103 0000081c ................ + 3da70 04000772 6f6d705f 61706900 10000008 ...romp_api..... + 3da80 8e085f72 6f6d705f 696e6974 00000001 .._romp_init.... + 3da90 09022300 085f726f 6d705f64 6f776e6c ..#.._romp_downl + 3daa0 6f616400 00000808 02230408 5f726f6d oad......#.._rom + 3dab0 705f696e 7374616c 6c000000 08150223 p_install......# + 3dac0 08085f72 6f6d705f 6465636f 64650000 .._romp_decode.. + 3dad0 00082202 230c0007 726f6d5f 70617463 ..".#...rom_patc + 3dae0 685f7374 00100000 08ea0863 72633136 h_st.......crc16 + 3daf0 00000001 8a022300 086c656e 00000001 ......#..len.... + 3db00 8a022302 086c645f 61646472 00000001 ..#..ld_addr.... + 3db10 ad022304 0866756e 5f616464 72000000 ..#..fun_addr... + 3db20 01ad0223 08087066 756e0000 00034402 ...#..pfun....D. + 3db30 230c0007 6565705f 72656469 725f6164 #...eep_redir_ad + 3db40 64720004 0000091c 086f6666 73657400 dr.......offset. + 3db50 0000018a 02230008 73697a65 00000001 .....#..size.... + 3db60 8a022302 0009415f 55494e54 33320000 ..#...A_UINT32.. + 3db70 00040806 00000405 01030000 092a0400 .............*.. + 3db80 07616c6c 6f637261 6d5f6170 69000c00 .allocram_api... + 3db90 00099b08 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr + 3dba0 616d5f69 6e697400 00000930 02230008 am_init....0.#.. + 3dbb0 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 3dbc0 00093002 23040863 6d6e6f73 5f616c6c ..0.#..cmnos_all + 3dbd0 6f637261 6d5f6465 62756700 00000109 ocram_debug..... + 3dbe0 02230800 02010300 00099b04 0009415f .#............A_ + 3dbf0 5441534b 4c45545f 46554e43 00000009 TASKLET_FUNC.... + 3dc00 9d075f74 61736b6c 65740010 000009fc .._tasklet...... + 3dc10 0866756e 63000000 09a40223 00086172 .func......#..ar + 3dc20 67000000 04050223 04087374 61746500 g......#..state. + 3dc30 00000110 02230808 6e657874 00000009 .....#..next.... + 3dc40 fc02230c 00030000 09b80400 03000009 ..#............. + 3dc50 b8040009 415f7461 736b6c65 745f7400 ....A_tasklet_t. + 3dc60 000009b8 0300000a 0a040002 01030000 ................ + 3dc70 0a220400 02010300 000a2b04 00077461 ."........+...ta + 3dc80 736b6c65 745f6170 69001400 000ac008 sklet_api....... + 3dc90 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 3dca0 01090223 00085f74 61736b6c 65745f69 ...#.._tasklet_i + 3dcb0 6e69745f 7461736b 0000000a 24022304 nit_task....$.#. + 3dcc0 085f7461 736b6c65 745f6469 7361626c ._tasklet_disabl + 3dcd0 65000000 0a2d0223 08085f74 61736b6c e....-.#.._taskl + 3dce0 65745f73 63686564 756c6500 00000a2d et_schedule....- + 3dcf0 02230c08 5f746173 6b6c6574 5f72756e .#.._tasklet_run + 3dd00 00000001 09022310 00020103 00000ac0 ......#......... + 3dd10 04000600 00091c01 0300000a c9040002 ................ + 3dd20 01030000 0ad60400 07636c6f 636b5f61 .........clock_a + 3dd30 70690024 00000bb8 085f636c 6f636b5f pi.$....._clock_ + 3dd40 696e6974 0000000a c2022300 085f636c init......#.._cl + 3dd50 6f636b72 6567735f 696e6974 00000001 ockregs_init.... + 3dd60 09022304 085f7561 72745f66 72657175 ..#.._uart_frequ + 3dd70 656e6379 0000000a cf022308 085f6465 ency......#.._de + 3dd80 6c61795f 75730000 000ad802 230c085f lay_us......#.._ + 3dd90 776c616e 5f62616e 645f7365 74000000 wlan_band_set... + 3dda0 0ad80223 10085f72 6566636c 6b5f7370 ...#.._refclk_sp + 3ddb0 6565645f 67657400 00000acf 02231408 eed_get......#.. + 3ddc0 5f6d696c 6c697365 636f6e64 73000000 _milliseconds... + 3ddd0 0acf0223 18085f73 7973636c 6b5f6368 ...#.._sysclk_ch + 3dde0 616e6765 00000001 0902231c 085f636c ange......#.._cl + 3ddf0 6f636b5f 7469636b 00000001 09022320 ock_tick......# + 3de00 00060000 01ad0103 00000bb8 04000941 ...............A + 3de10 5f6f6c64 5f696e74 725f7400 000001ad _old_intr_t..... + 3de20 0600000b c5010300 000bd704 00020103 ................ + 3de30 00000be4 04000201 0300000b ed040006 ................ + 3de40 000001ad 01030000 0bf60400 09415f69 .............A_i + 3de50 73725f74 0000000b fc020103 00000c10 sr_t............ + 3de60 04000600 00040801 0300000c 19040002 ................ + 3de70 01030000 0c260400 07696e74 725f6170 .....&...intr_ap + 3de80 69002c00 000d4808 5f696e74 725f696e i.,...H._intr_in + 3de90 69740000 00010902 2300085f 696e7472 it......#.._intr + 3dea0 5f696e76 6f6b655f 69737200 00000bbe _invoke_isr..... + 3deb0 02230408 5f696e74 725f6469 7361626c .#.._intr_disabl + 3dec0 65000000 0bdd0223 08085f69 6e74725f e......#.._intr_ + 3ded0 72657374 6f726500 00000be6 02230c08 restore......#.. + 3dee0 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. + 3def0 00000bef 02231008 5f696e74 725f756e .....#.._intr_un + 3df00 6d61736b 5f696e75 6d000000 0bef0223 mask_inum......# + 3df10 14085f69 6e74725f 61747461 63685f69 .._intr_attach_i + 3df20 73720000 000c1202 2318085f 6765745f sr......#.._get_ + 3df30 696e7472 656e6162 6c650000 000c1f02 intrenable...... + 3df40 231c085f 7365745f 696e7472 656e6162 #.._set_intrenab + 3df50 6c650000 000c2802 2320085f 6765745f le....(.# ._get_ + 3df60 696e7472 70656e64 696e6700 00000c1f intrpending..... + 3df70 02232408 5f756e62 6c6f636b 5f616c6c .#$._unblock_all + 3df80 5f696e74 726c766c 00000001 09022328 _intrlvl......#( + 3df90 00110400 000d6e08 74696d65 6f757400 ......n.timeout. + 3dfa0 000001ad 02230008 61637469 6f6e0000 .....#..action.. + 3dfb0 0001ad02 23000012 0800000d 8908636d ....#.........cm + 3dfc0 64000000 01ad0223 00130000 0d480223 d......#.....H.# + 3dfd0 04000954 5f574454 5f434d44 0000000d ...T_WDT_CMD.... + 3dfe0 6e020103 00000d98 04001404 00000dee n............... + 3dff0 0e454e55 4d5f5744 545f424f 4f540001 .ENUM_WDT_BOOT.. + 3e000 0e454e55 4d5f434f 4c445f42 4f4f5400 .ENUM_COLD_BOOT. + 3e010 020e454e 554d5f53 5553505f 424f4f54 ..ENUM_SUSP_BOOT + 3e020 00030e45 4e554d5f 554e4b4e 4f574e5f ...ENUM_UNKNOWN_ + 3e030 424f4f54 00040009 545f424f 4f545f54 BOOT....T_BOOT_T + 3e040 59504500 00000da1 0600000d ee010300 YPE............. + 3e050 000dff04 00077764 745f6170 69001c00 ......wdt_api... + 3e060 000ea308 5f776474 5f696e69 74000000 ...._wdt_init... + 3e070 01090223 00085f77 64745f65 6e61626c ...#.._wdt_enabl + 3e080 65000000 01090223 04085f77 64745f64 e......#.._wdt_d + 3e090 69736162 6c650000 00010902 2308085f isable......#.._ + 3e0a0 7764745f 73657400 00000d9a 02230c08 wdt_set......#.. + 3e0b0 5f776474 5f746173 6b000000 01090223 _wdt_task......# + 3e0c0 10085f77 64745f72 65736574 00000001 .._wdt_reset.... + 3e0d0 09022314 085f7764 745f6c61 73745f62 ..#.._wdt_last_b + 3e0e0 6f6f7400 00000e05 02231800 14040000 oot......#...... + 3e0f0 0f0a0e52 45545f53 55434345 53530000 ...RET_SUCCESS.. + 3e100 0e524554 5f4e4f54 5f494e49 5400010e .RET_NOT_INIT... + 3e110 5245545f 4e4f545f 45584953 5400020e RET_NOT_EXIST... + 3e120 5245545f 4545505f 434f5252 55505400 RET_EEP_CORRUPT. + 3e130 030e5245 545f4545 505f4f56 4552464c ..RET_EEP_OVERFL + 3e140 4f570004 0e524554 5f554e4b 4e4f574e OW...RET_UNKNOWN + 3e150 00050009 545f4545 505f5245 54000000 ....T_EEP_RET... + 3e160 0ea30300 00018a04 00060000 0f0a0103 ................ + 3e170 00000f20 04000600 000f0a01 0300000f ... ............ + 3e180 2d040007 6565705f 61706900 1000000f -...eep_api..... + 3e190 96085f65 65705f69 6e697400 00000109 .._eep_init..... + 3e1a0 02230008 5f656570 5f726561 64000000 .#.._eep_read... + 3e1b0 0f260223 04085f65 65705f77 72697465 .&.#.._eep_write + 3e1c0 0000000f 26022308 085f6565 705f6973 ....&.#.._eep_is + 3e1d0 5f657869 73740000 000f3302 230c0007 _exist....3.#... + 3e1e0 7573625f 61706900 70000012 43085f75 usb_api.p...C._u + 3e1f0 73625f69 6e697400 00000109 02230008 sb_init......#.. + 3e200 5f757362 5f726f6d 5f746173 6b000000 _usb_rom_task... + 3e210 01090223 04085f75 73625f66 775f7461 ...#.._usb_fw_ta + 3e220 736b0000 00010902 2308085f 7573625f sk......#.._usb_ + 3e230 696e6974 5f706879 00000001 0902230c init_phy......#. + 3e240 085f7573 625f6570 305f7365 74757000 ._usb_ep0_setup. + 3e250 00000109 02231008 5f757362 5f657030 .....#.._usb_ep0 + 3e260 5f747800 00000109 02231408 5f757362 _tx......#.._usb + 3e270 5f657030 5f727800 00000109 02231808 _ep0_rx......#.. + 3e280 5f757362 5f676574 5f696e74 65726661 _usb_get_interfa + 3e290 63650000 00081502 231c085f 7573625f ce......#.._usb_ + 3e2a0 7365745f 696e7465 72666163 65000000 set_interface... + 3e2b0 08150223 20085f75 73625f67 65745f63 ...# ._usb_get_c + 3e2c0 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 3e2d0 15022324 085f7573 625f7365 745f636f ..#$._usb_set_co + 3e2e0 6e666967 75726174 696f6e00 00000815 nfiguration..... + 3e2f0 02232808 5f757362 5f737461 6e646172 .#(._usb_standar + 3e300 645f636d 64000000 08150223 2c085f75 d_cmd......#,._u + 3e310 73625f76 656e646f 725f636d 64000000 sb_vendor_cmd... + 3e320 01090223 30085f75 73625f70 6f776572 ...#0._usb_power + 3e330 5f6f6666 00000001 09022334 085f7573 _off......#4._us + 3e340 625f7265 7365745f 6669666f 00000001 b_reset_fifo.... + 3e350 09022338 085f7573 625f6765 6e5f7764 ..#8._usb_gen_wd + 3e360 74000000 01090223 3c085f75 73625f6a t......#<._usb_j + 3e370 756d705f 626f6f74 00000001 09022340 ump_boot......#@ + 3e380 085f7573 625f636c 725f6665 61747572 ._usb_clr_featur + 3e390 65000000 08150223 44085f75 73625f73 e......#D._usb_s + 3e3a0 65745f66 65617475 72650000 00081502 et_feature...... + 3e3b0 2348085f 7573625f 7365745f 61646472 #H._usb_set_addr + 3e3c0 65737300 00000815 02234c08 5f757362 ess......#L._usb + 3e3d0 5f676574 5f646573 63726970 746f7200 _get_descriptor. + 3e3e0 00000815 02235008 5f757362 5f676574 .....#P._usb_get + 3e3f0 5f737461 74757300 00000815 02235408 _status......#T. + 3e400 5f757362 5f736574 75705f64 65736300 _usb_setup_desc. + 3e410 00000109 02235808 5f757362 5f726567 .....#X._usb_reg + 3e420 5f6f7574 00000001 0902235c 085f7573 _out......#\._us + 3e430 625f7374 61747573 5f696e00 00000109 b_status_in..... + 3e440 02236008 5f757362 5f657030 5f74785f .#`._usb_ep0_tx_ + 3e450 64617461 00000001 09022364 085f7573 data......#d._us + 3e460 625f6570 305f7278 5f646174 61000000 b_ep0_rx_data... + 3e470 01090223 68085f75 73625f63 6c6b5f69 ...#h._usb_clk_i + 3e480 6e697400 00000109 02236c00 075f5644 nit......#l.._VD + 3e490 45534300 24000012 cf086e65 78745f64 ESC.$.....next_d + 3e4a0 65736300 000012cf 02230008 6275665f esc......#..buf_ + 3e4b0 61646472 00000012 e3022304 08627566 addr......#..buf + 3e4c0 5f73697a 65000000 12ea0223 08086461 _size......#..da + 3e4d0 74615f6f 66667365 74000000 12ea0223 ta_offset......# + 3e4e0 0a086461 74615f73 697a6500 000012ea ..data_size..... + 3e4f0 02230c08 636f6e74 726f6c00 000012ea .#..control..... + 3e500 02230e08 68775f64 6573635f 62756600 .#..hw_desc_buf. + 3e510 000012f8 02231000 03000012 43040009 .....#......C... + 3e520 415f5549 4e543800 0000031d 03000012 A_UINT8......... + 3e530 d6040009 415f5549 4e543136 00000001 ....A_UINT16.... + 3e540 740f0000 12d61400 00130510 13000300 t............... + 3e550 00124304 00095644 45534300 00001243 ..C...VDESC....C + 3e560 03000013 0c040006 00001317 01030000 ................ + 3e570 131e0400 06000012 e3010300 00132b04 ..............+. + 3e580 00020103 00001338 04000776 64657363 .......8...vdesc + 3e590 5f617069 00140000 13b0085f 696e6974 _api......._init + 3e5a0 0000000a d8022300 085f616c 6c6f635f ......#.._alloc_ + 3e5b0 76646573 63000000 13240223 04085f67 vdesc....$.#.._g + 3e5c0 65745f68 775f6465 73630000 00133102 et_hw_desc....1. + 3e5d0 2308085f 73776170 5f766465 73630000 #.._swap_vdesc.. + 3e5e0 00133a02 230c0870 52657365 72766564 ..:.#..pReserved + 3e5f0 00000004 05022310 00075f56 42554600 ......#..._VBUF. + 3e600 20000014 10086465 73635f6c 69737400 .....desc_list. + 3e610 00001317 02230008 6e657874 5f627566 .....#..next_buf + 3e620 00000014 10022304 08627566 5f6c656e ......#..buf_len + 3e630 67746800 000012ea 02230808 72657365 gth......#..rese + 3e640 72766564 00000014 1702230a 08637478 rved......#..ctx + 3e650 00000012 f802230c 00030000 13b00400 ......#......... + 3e660 0f000012 d6020000 14241001 00030000 .........$...... + 3e670 13b00400 09564255 46000000 13b00300 .....VBUF....... + 3e680 00142b04 00060000 14350103 0000143c ..+......5.....< + 3e690 04000600 00143501 03000014 49040002 ......5.....I... + 3e6a0 01030000 14560400 07766275 665f6170 .....V...vbuf_ap + 3e6b0 69001400 0014d408 5f696e69 74000000 i......._init... + 3e6c0 0ad80223 00085f61 6c6c6f63 5f766275 ...#.._alloc_vbu + 3e6d0 66000000 14420223 04085f61 6c6c6f63 f....B.#.._alloc + 3e6e0 5f766275 665f7769 74685f73 697a6500 _vbuf_with_size. + 3e6f0 0000144f 02230808 5f667265 655f7662 ...O.#.._free_vb + 3e700 75660000 00145802 230c0870 52657365 uf....X.#..pRese + 3e710 72766564 00000004 05022310 00075f5f rved......#...__ + 3e720 6164665f 64657669 63650004 000014f6 adf_device...... + 3e730 0864756d 6d790000 00011002 23000003 .dummy......#... + 3e740 0000091c 0400075f 5f616466 5f646d61 .......__adf_dma + 3e750 5f6d6170 000c0000 153d0862 75660000 _map.....=.buf.. + 3e760 00143502 23000864 735f6164 64720000 ..5.#..ds_addr.. + 3e770 0014f602 23040864 735f6c65 6e000000 ....#..ds_len... + 3e780 12ea0223 0800120c 00001577 085f5f76 ...#.......w.__v + 3e790 615f7374 6b000000 03730223 00085f5f a_stk....s.#..__ + 3e7a0 76615f72 65670000 00037302 2304085f va_reg....s.#.._ + 3e7b0 5f76615f 6e647800 00000110 02230800 _va_ndx......#.. + 3e7c0 095f5f61 64665f6f 735f646d 615f6164 .__adf_os_dma_ad + 3e7d0 64725f74 00000009 1c096164 665f6f73 dr_t......adf_os + 3e7e0 5f646d61 5f616464 725f7400 00001577 _dma_addr_t....w + 3e7f0 095f5f61 64665f6f 735f646d 615f7369 .__adf_os_dma_si + 3e800 7a655f74 00000009 1c096164 665f6f73 ze_t......adf_os + 3e810 5f646d61 5f73697a 655f7400 000015a7 _dma_size_t..... + 3e820 075f5f64 6d615f73 65677300 08000016 .__dma_segs..... + 3e830 03087061 64647200 00001590 02230008 ..paddr......#.. + 3e840 6c656e00 000015c0 02230400 095f5f61 len......#...__a + 3e850 5f75696e 7433325f 74000000 091c0961 _uint32_t......a + 3e860 5f75696e 7433325f 74000000 16030f00 _uint32_t....... + 3e870 0015d708 00001632 10000007 6164665f .......2....adf_ + 3e880 6f735f64 6d616d61 705f696e 666f000c os_dmamap_info.. + 3e890 0000166b 086e7365 67730000 00161502 ...k.nsegs...... + 3e8a0 23000864 6d615f73 65677300 00001625 #..dma_segs....% + 3e8b0 02230400 095f5f61 5f75696e 74385f74 .#...__a_uint8_t + 3e8c0 00000012 d609615f 75696e74 385f7400 ......a_uint8_t. + 3e8d0 0000166b 03000016 7c040007 5f5f7367 ...k....|...__sg + 3e8e0 5f736567 73000800 0016bd08 76616464 _segs.......vadd + 3e8f0 72000000 168b0223 00086c65 6e000000 r......#..len... + 3e900 16150223 04000f00 00169220 000016ca ...#....... .... + 3e910 10030007 6164665f 6f735f73 676c6973 ....adf_os_sglis + 3e920 74002400 0016fd08 6e736567 73000000 t.$.....nsegs... + 3e930 16150223 00087367 5f736567 73000000 ...#..sg_segs... + 3e940 16bd0223 04001210 00001746 0876656e ...#.......F.ven + 3e950 646f7200 00001615 02230008 64657669 dor......#..devi + 3e960 63650000 00161502 23040873 75627665 ce......#..subve + 3e970 6e646f72 00000016 15022308 08737562 ndor......#..sub + 3e980 64657669 63650000 00161502 230c0004 device......#... + 3e990 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign + 3e9a0 65642069 6e740007 0809415f 55494e54 ed int....A_UINT + 3e9b0 36340000 00174609 5f5f615f 75696e74 64....F.__a_uint + 3e9c0 36345f74 00000017 6009615f 75696e74 64_t....`.a_uint + 3e9d0 36345f74 00000017 6e140400 0017cc0e 64_t....n....... + 3e9e0 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ + 3e9f0 54595045 5f4d454d 00000e41 44465f4f TYPE_MEM...ADF_O + 3ea00 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 3ea10 494f0001 00096164 665f6f73 5f726573 IO....adf_os_res + 3ea20 6f757263 655f7479 70655f74 00000017 ource_type_t.... + 3ea30 90121800 00181608 73746172 74000000 ........start... + 3ea40 17800223 0008656e 64000000 17800223 ...#..end......# + 3ea50 08087479 70650000 0017cc02 23100009 ..type......#... + 3ea60 6164665f 6f735f70 63695f64 65765f69 adf_os_pci_dev_i + 3ea70 645f7400 000016fd 03000018 16040011 d_t............. + 3ea80 04000018 55087063 69000000 182f0223 ....U.pci..../.# + 3ea90 00087261 77000000 04050223 00001110 ..raw......#.... + 3eaa0 00001874 08706369 00000018 16022300 ...t.pci......#. + 3eab0 08726177 00000004 05022300 00096164 .raw......#...ad + 3eac0 665f6472 765f6861 6e646c65 5f740000 f_drv_handle_t.. + 3ead0 00040509 6164665f 6f735f72 65736f75 ....adf_os_resou + 3eae0 7263655f 74000000 17e80300 00188a04 rce_t........... + 3eaf0 00096164 665f6f73 5f617474 6163685f ..adf_os_attach_ + 3eb00 64617461 5f740000 00185503 000018a8 data_t....U..... + 3eb10 04000300 0014d404 00095f5f 6164665f ..........__adf_ + 3eb20 6f735f64 65766963 655f7400 000018c9 os_device_t..... + 3eb30 09616466 5f6f735f 64657669 63655f74 .adf_os_device_t + 3eb40 00000018 d0060000 18740103 000018fc .........t...... + 3eb50 04000201 03000019 09040009 6164665f ............adf_ + 3eb60 6f735f70 6d5f7400 00000405 02010300 os_pm_t......... + 3eb70 00192304 00140400 0019630e 4144465f ..#.......c.ADF_ + 3eb80 4f535f42 55535f54 5950455f 50434900 OS_BUS_TYPE_PCI. + 3eb90 010e4144 465f4f53 5f425553 5f545950 ..ADF_OS_BUS_TYP + 3eba0 455f4745 4e455249 43000200 09616466 E_GENERIC....adf + 3ebb0 5f6f735f 6275735f 74797065 5f740000 _os_bus_type_t.. + 3ebc0 00192c09 6164665f 6f735f62 75735f72 ..,.adf_os_bus_r + 3ebd0 65675f64 6174615f 74000000 18360300 eg_data_t....6.. + 3ebe0 00031d04 00075f61 64665f64 72765f69 ......_adf_drv_i + 3ebf0 6e666f00 2000001a 40086472 765f6174 nfo. ...@.drv_at + 3ec00 74616368 00000019 02022300 08647276 tach......#..drv + 3ec10 5f646574 61636800 0000190b 02230408 _detach......#.. + 3ec20 6472765f 73757370 656e6400 00001925 drv_suspend....% + 3ec30 02230808 6472765f 72657375 6d650000 .#..drv_resume.. + 3ec40 00190b02 230c0862 75735f74 79706500 ....#..bus_type. + 3ec50 00001963 02231008 6275735f 64617461 ...c.#..bus_data + 3ec60 00000019 7a022314 086d6f64 5f6e616d ....z.#..mod_nam + 3ec70 65000000 19950223 18086966 6e616d65 e......#..ifname + 3ec80 00000019 9502231c 00096164 665f6f73 ......#...adf_os + 3ec90 5f68616e 646c655f 74000000 04050300 _handle_t....... + 3eca0 00166b04 00020102 01095f5f 6164665f ..k.......__adf_ + 3ecb0 6f735f73 697a655f 74000000 04081404 os_size_t....... + 3ecc0 00001a8f 0e415f46 414c5345 00000e41 .....A_FALSE...A + 3ecd0 5f545255 45000100 09615f62 6f6f6c5f _TRUE....a_bool_ + 3ece0 74000000 1a750300 0014fd04 00095f5f t....u........__ + 3ecf0 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 3ed00 0000001a 9d02010d 6164665f 6f735f63 ........adf_os_c + 3ed10 61636865 5f73796e 63000400 001b270e ache_sync.....'. + 3ed20 4144465f 53594e43 5f505245 52454144 ADF_SYNC_PREREAD + 3ed30 00000e41 44465f53 594e435f 50524557 ...ADF_SYNC_PREW + 3ed40 52495445 00020e41 44465f53 594e435f RITE...ADF_SYNC_ + 3ed50 504f5354 52454144 00010e41 44465f53 POSTREAD...ADF_S + 3ed60 594e435f 504f5354 57524954 45000300 YNC_POSTWRITE... + 3ed70 09616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 3ed80 6e635f74 0000001a be020109 6164665f nc_t........adf_ + 3ed90 6f735f73 697a655f 74000000 1a600600 os_size_t....`.. + 3eda0 001b4201 09616466 5f6f735f 646d615f ..B..adf_os_dma_ + 3edb0 6d61705f 74000000 1aa40300 001b5b04 map_t.........[. + 3edc0 00060000 04050103 00001aa4 04000600 ................ + 3edd0 00040501 02010600 00159001 02010473 ...............s + 3ede0 686f7274 20696e74 00050209 415f494e hort int....A_IN + 3edf0 54313600 00001b95 095f5f61 5f696e74 T16......__a_int + 3ee00 31365f74 0000001b a209615f 696e7431 16_t......a_int1 + 3ee10 365f7400 00001baf 04736967 6e656420 6_t......signed + 3ee20 63686172 00050109 415f494e 54380000 char....A_INT8.. + 3ee30 001bcf09 5f5f615f 696e7438 5f740000 ....__a_int8_t.. + 3ee40 001bde09 615f696e 74385f74 0000001b ....a_int8_t.... + 3ee50 ea120c00 001c6108 73757070 6f727465 ......a.supporte + 3ee60 64000000 16150223 00086164 76657274 d......#..advert + 3ee70 697a6564 00000016 15022304 08737065 ized......#..spe + 3ee80 65640000 001bc002 23080864 75706c65 ed......#..duple + 3ee90 78000000 1bfa0223 0a086175 746f6e65 x......#..autone + 3eea0 67000000 167c0223 0b000f00 00167c06 g....|.#......|. + 3eeb0 00001c6e 10050007 6164665f 6e65745f ...n....adf_net_ + 3eec0 65746861 64647200 0600001c 92086164 ethaddr.......ad + 3eed0 64720000 001c6102 23000009 5f5f615f dr....a.#...__a_ + 3eee0 75696e74 31365f74 00000012 ea09615f uint16_t......a_ + 3eef0 75696e74 31365f74 0000001c 92120e00 uint16_t........ + 3ef00 001cf608 65746865 725f6468 6f737400 ....ether_dhost. + 3ef10 00001c61 02230008 65746865 725f7368 ...a.#..ether_sh + 3ef20 6f737400 00001c61 02230608 65746865 ost....a.#..ethe + 3ef30 725f7479 70650000 001ca402 230c0012 r_type......#... + 3ef40 1400001d b7156970 5f766572 73696f6e ......ip_version + 3ef50 00000016 7c010004 02230015 69705f68 ....|....#..ip_h + 3ef60 6c000000 167c0104 04022300 0869705f l....|....#..ip_ + 3ef70 746f7300 0000167c 02230108 69705f6c tos....|.#..ip_l + 3ef80 656e0000 001ca402 23020869 705f6964 en......#..ip_id + 3ef90 0000001c a4022304 0869705f 66726167 ......#..ip_frag + 3efa0 5f6f6666 0000001c a4022306 0869705f _off......#..ip_ + 3efb0 74746c00 0000167c 02230808 69705f70 ttl....|.#..ip_p + 3efc0 726f746f 00000016 7c022309 0869705f roto....|.#..ip_ + 3efd0 63686563 6b000000 1ca40223 0a086970 check......#..ip + 3efe0 5f736164 64720000 00161502 230c0869 _saddr......#..i + 3eff0 705f6461 64647200 00001615 02231000 p_daddr......#.. + 3f000 07616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 3f010 00040000 1e090874 70696400 00001ca4 .......tpid..... + 3f020 02230015 7072696f 00000016 7c010003 .#..prio....|... + 3f030 02230215 63666900 0000167c 01030102 .#..cfi....|.... + 3f040 23021576 69640000 001ca402 040c0223 #..vid.........# + 3f050 02000761 64665f6e 65745f76 69640002 ...adf_net_vid.. + 3f060 00001e3a 15726573 00000016 7c010004 ...:.res....|... + 3f070 02230015 76616c00 00001ca4 02040c02 .#..val......... + 3f080 23000012 0c00001e 76087278 5f627566 #.......v.rx_buf + 3f090 73697a65 00000016 15022300 0872785f size......#..rx_ + 3f0a0 6e646573 63000000 16150223 04087478 ndesc......#..tx + 3f0b0 5f6e6465 73630000 00161502 23080012 _ndesc......#... + 3f0c0 0800001e 9c08706f 6c6c6564 0000001a ......polled.... + 3f0d0 8f022300 08706f6c 6c5f7774 00000016 ..#..poll_wt.... + 3f0e0 15022304 000f0000 167c4000 001ea910 ..#......|@..... + 3f0f0 3f001246 00001ed1 0869665f 6e616d65 ?..F.....if_name + 3f100 0000001e 9c022300 08646576 5f616464 ......#..dev_add + 3f110 72000000 1c610223 40001404 00001f08 r....a.#@....... + 3f120 0e414446 5f4f535f 444d415f 4d41534b .ADF_OS_DMA_MASK + 3f130 5f333242 49540000 0e414446 5f4f535f _32BIT...ADF_OS_ + 3f140 444d415f 4d41534b 5f363442 49540001 DMA_MASK_64BIT.. + 3f150 00096164 665f6f73 5f646d61 5f6d6173 ..adf_os_dma_mas + 3f160 6b5f7400 00001ed1 07616466 5f646d61 k_t......adf_dma + 3f170 5f696e66 6f000800 001f5508 646d615f _info.....U.dma_ + 3f180 6d61736b 0000001f 08022300 0873675f mask......#..sg_ + 3f190 6e736567 73000000 16150223 04001404 nsegs......#.... + 3f1a0 00001fab 0e414446 5f4e4554 5f434b53 .....ADF_NET_CKS + 3f1b0 554d5f4e 4f4e4500 000e4144 465f4e45 UM_NONE...ADF_NE + 3f1c0 545f434b 53554d5f 5443505f 5544505f T_CKSUM_TCP_UDP_ + 3f1d0 49507634 00010e41 44465f4e 45545f43 IPv4...ADF_NET_C + 3f1e0 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv + 3f1f0 36000200 09616466 5f6e6574 5f636b73 6....adf_net_cks + 3f200 756d5f74 7970655f 74000000 1f551208 um_type_t....U.. + 3f210 00001fee 0874785f 636b7375 6d000000 .....tx_cksum... + 3f220 1fab0223 00087278 5f636b73 756d0000 ...#..rx_cksum.. + 3f230 001fab02 23040009 6164665f 6e65745f ....#...adf_net_ + 3f240 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... + 3f250 c5140400 0020470e 4144465f 4e45545f ..... G.ADF_NET_ + 3f260 54534f5f 4e4f4e45 00000e41 44465f4e TSO_NONE...ADF_N + 3f270 45545f54 534f5f49 50563400 010e4144 ET_TSO_IPV4...AD + 3f280 465f4e45 545f5453 4f5f414c 4c000200 F_NET_TSO_ALL... + 3f290 09616466 5f6e6574 5f74736f 5f747970 .adf_net_tso_typ + 3f2a0 655f7400 00002008 12100000 209b0863 e_t... ..... ..c + 3f2b0 6b73756d 5f636170 0000001f ee022300 ksum_cap......#. + 3f2c0 0874736f 00000020 47022308 08766c61 .tso... G.#..vla + 3f2d0 6e5f7375 70706f72 74656400 0000167c n_supported....| + 3f2e0 02230c00 12200000 21340874 785f7061 .#... ..!4.tx_pa + 3f2f0 636b6574 73000000 16150223 00087278 ckets......#..rx + 3f300 5f706163 6b657473 00000016 15022304 _packets......#. + 3f310 0874785f 62797465 73000000 16150223 .tx_bytes......# + 3f320 08087278 5f627974 65730000 00161502 ..rx_bytes...... + 3f330 230c0874 785f6472 6f707065 64000000 #..tx_dropped... + 3f340 16150223 10087278 5f64726f 70706564 ...#..rx_dropped + 3f350 00000016 15022314 0872785f 6572726f ......#..rx_erro + 3f360 72730000 00161502 23180874 785f6572 rs......#..tx_er + 3f370 726f7273 00000016 1502231c 00096164 rors......#...ad + 3f380 665f6e65 745f6574 68616464 725f7400 f_net_ethaddr_t. + 3f390 00001c6e 16000021 34030000 00215910 ...n...!4....!Y. + 3f3a0 7f001761 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m + 3f3b0 63616464 72000304 00002190 086e656c caddr.....!..nel + 3f3c0 656d0000 00161502 2300086d 63617374 em......#..mcast + 3f3d0 00000021 4b022304 00096164 665f6e65 ...!K.#...adf_ne + 3f3e0 745f636d 645f6c69 6e6b5f69 6e666f5f t_cmd_link_info_ + 3f3f0 74000000 1c080961 64665f6e 65745f63 t......adf_net_c + 3f400 6d645f70 6f6c6c5f 696e666f 5f740000 md_poll_info_t.. + 3f410 001e7609 6164665f 6e65745f 636d645f ..v.adf_net_cmd_ + 3f420 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... + 3f430 ee096164 665f6e65 745f636d 645f7269 ..adf_net_cmd_ri + 3f440 6e675f69 6e666f5f 74000000 1e3a0961 ng_info_t....:.a + 3f450 64665f6e 65745f63 6d645f64 6d615f69 df_net_cmd_dma_i + 3f460 6e666f5f 74000000 1f1f0961 64665f6e nfo_t......adf_n + 3f470 65745f63 6d645f76 69645f74 0000001c et_cmd_vid_t.... + 3f480 a4096164 665f6e65 745f636d 645f6f66 ..adf_net_cmd_of + 3f490 666c6f61 645f6361 705f7400 0000205f fload_cap_t... _ + 3f4a0 09616466 5f6e6574 5f636d64 5f737461 .adf_net_cmd_sta + 3f4b0 74735f74 00000020 9b096164 665f6e65 ts_t... ..adf_ne + 3f4c0 745f636d 645f6d63 61646472 5f740000 t_cmd_mcaddr_t.. + 3f4d0 0021590d 6164665f 6e65745f 636d645f .!Y.adf_net_cmd_ + 3f4e0 6d636173 745f6361 70000400 0022d20e mcast_cap....".. + 3f4f0 4144465f 4e45545f 4d434153 545f5355 ADF_NET_MCAST_SU + 3f500 5000000e 4144465f 4e45545f 4d434153 P...ADF_NET_MCAS + 3f510 545f4e4f 54535550 00010009 6164665f T_NOTSUP....adf_ + 3f520 6e65745f 636d645f 6d636173 745f6361 net_cmd_mcast_ca + 3f530 705f7400 0000228a 18030400 0023a408 p_t..."......#.. + 3f540 6c696e6b 5f696e66 6f000000 21900223 link_info...!..# + 3f550 0008706f 6c6c5f69 6e666f00 000021ad ..poll_info...!. + 3f560 02230008 636b7375 6d5f696e 666f0000 .#..cksum_info.. + 3f570 0021ca02 23000872 696e675f 696e666f .!..#..ring_info + 3f580 00000021 e8022300 08646d61 5f696e66 ...!..#..dma_inf + 3f590 6f000000 22050223 00087669 64000000 o..."..#..vid... + 3f5a0 22210223 00086f66 666c6f61 645f6361 "!.#..offload_ca + 3f5b0 70000000 22380223 00087374 61747300 p..."8.#..stats. + 3f5c0 00002257 02230008 6d636173 745f696e .."W.#..mcast_in + 3f5d0 666f0000 00227002 2300086d 63617374 fo..."p.#..mcast + 3f5e0 5f636170 00000022 d2022300 00140400 _cap..."..#..... + 3f5f0 0023fb0e 4144465f 4e425546 5f52585f .#..ADF_NBUF_RX_ + 3f600 434b5355 4d5f4e4f 4e450000 0e414446 CKSUM_NONE...ADF + 3f610 5f4e4255 465f5258 5f434b53 554d5f48 _NBUF_RX_CKSUM_H + 3f620 5700010e 4144465f 4e425546 5f52585f W...ADF_NBUF_RX_ + 3f630 434b5355 4d5f554e 4e454345 53534152 CKSUM_UNNECESSAR + 3f640 59000200 09616466 5f6e6275 665f7278 Y....adf_nbuf_rx + 3f650 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 3f660 23a41208 0000243b 08726573 756c7400 #.....$;.result. + 3f670 000023fb 02230008 76616c00 00001615 ..#..#..val..... + 3f680 02230400 12080000 246b0874 79706500 .#......$k.type. + 3f690 00002047 02230008 6d737300 00001ca4 .. G.#..mss..... + 3f6a0 02230408 6864725f 6f666600 0000167c .#..hdr_off....| + 3f6b0 02230600 075f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 3f6c0 71686561 64000c00 0024aa08 68656164 qhead....$..head + 3f6d0 00000014 35022300 08746169 6c000000 ....5.#..tail... + 3f6e0 14350223 0408716c 656e0000 00161502 .5.#..qlen...... + 3f6f0 23080009 5f5f6164 665f6e62 75665f74 #...__adf_nbuf_t + 3f700 00000014 35030000 168b0400 03000016 ....5........... + 3f710 15040002 01060000 13170106 00001615 ................ + 3f720 01060000 168b0106 0000168b 01030000 ................ + 3f730 12f80400 095f5f61 64665f6e 6275665f .....__adf_nbuf_ + 3f740 71686561 645f7400 0000246b 095f5f61 qhead_t...$k.__a + 3f750 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 3f760 000024eb 03000025 03040006 000024aa ..$....%......$. + 3f770 01060000 24aa0114 04000026 230e415f ....$......&#.A_ + 3f780 53544154 55535f4f 4b00000e 415f5354 STATUS_OK...A_ST + 3f790 41545553 5f464149 4c454400 010e415f ATUS_FAILED...A_ + 3f7a0 53544154 55535f45 4e4f454e 5400020e STATUS_ENOENT... + 3f7b0 415f5354 41545553 5f454e4f 4d454d00 A_STATUS_ENOMEM. + 3f7c0 030e415f 53544154 55535f45 494e5641 ..A_STATUS_EINVA + 3f7d0 4c00040e 415f5354 41545553 5f45494e L...A_STATUS_EIN + 3f7e0 50524f47 52455353 00050e41 5f535441 PROGRESS...A_STA + 3f7f0 5455535f 454e4f54 53555050 00060e41 TUS_ENOTSUPP...A + 3f800 5f535441 5455535f 45425553 5900070e _STATUS_EBUSY... + 3f810 415f5354 41545553 5f453242 49470008 A_STATUS_E2BIG.. + 3f820 0e415f53 54415455 535f4541 4444524e .A_STATUS_EADDRN + 3f830 4f544156 41494c00 090e415f 53544154 OTAVAIL...A_STAT + 3f840 55535f45 4e58494f 000a0e41 5f535441 US_ENXIO...A_STA + 3f850 5455535f 45464155 4c54000b 0e415f53 TUS_EFAULT...A_S + 3f860 54415455 535f4549 4f000c00 09615f73 TATUS_EIO....a_s + 3f870 74617475 735f7400 0000252e 06000026 tatus_t...%....& + 3f880 23010600 00011001 02010961 64665f6e #..........adf_n + 3f890 6275665f 74000000 24aa1404 00002688 buf_t...$.....&. + 3f8a0 0e414446 5f4f535f 444d415f 544f5f44 .ADF_OS_DMA_TO_D + 3f8b0 45564943 4500000e 4144465f 4f535f44 EVICE...ADF_OS_D + 3f8c0 4d415f46 524f4d5f 44455649 43450001 MA_FROM_DEVICE.. + 3f8d0 00096164 665f6f73 5f646d61 5f646972 ..adf_os_dma_dir + 3f8e0 5f740000 00265106 00002623 01020109 _t...&Q...&#.... + 3f8f0 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 3f900 666f5f74 00000016 32030000 26a60400 fo_t....2...&... + 3f910 02010201 06000026 41010600 0024aa01 .......&A....$.. + 3f920 02010201 06000026 41010600 0024aa01 .......&A....$.. + 3f930 06000026 41010600 0024aa01 06000026 ...&A....$.....& + 3f940 41010201 02010600 00161501 06000016 A............... + 3f950 8b010201 02010600 001b4201 0600001a ..........B..... + 3f960 8f010600 001a8f01 09616466 5f6f735f .........adf_os_ + 3f970 73676c69 73745f74 00000016 ca030000 sglist_t........ + 3f980 271f0400 02010201 02010600 00168b01 '............... + 3f990 09616466 5f6e6275 665f7175 6575655f .adf_nbuf_queue_ + 3f9a0 74000000 25030300 00274704 00020103 t...%....'G..... + 3f9b0 000024eb 04000201 02010201 06000026 ..$............& + 3f9c0 41010600 0024aa01 06000016 15010600 A....$.......... + 3f9d0 00161501 0600001a 8f010600 001a8f01 ................ + 3f9e0 0600001f ab010600 00161501 09616466 .............adf + 3f9f0 5f6e6275 665f7278 5f636b73 756d5f74 _nbuf_rx_cksum_t + 3fa00 00000024 19030000 27a30400 02010201 ...$....'....... + 3fa10 09616466 5f6e6275 665f7473 6f5f7400 .adf_nbuf_tso_t. + 3fa20 0000243b 03000027 c7040002 01020109 ..$;...'........ + 3fa30 6164665f 6e65745f 68616e64 6c655f74 adf_net_handle_t + 3fa40 00000004 05096164 665f6e65 745f766c ......adf_net_vl + 3fa50 616e6864 725f7400 00001db7 03000027 anhdr_t........' + 3fa60 fc040006 00002623 01060000 26230102 ......&#....&#.. + 3fa70 01020107 5f484946 5f434f4e 46494700 ...._HIF_CONFIG. + 3fa80 04000028 4b086475 6d6d7900 00000110 ...(K.dummy..... + 3fa90 02230000 02010300 00284b04 00020103 .#.......(K..... + 3faa0 00002854 0400075f 4849465f 43414c4c ..(T..._HIF_CALL + 3fab0 4241434b 000c0000 28a90873 656e645f BACK....(..send_ + 3fac0 6275665f 646f6e65 00000028 4d022300 buf_done...(M.#. + 3fad0 08726563 765f6275 66000000 28560223 .recv_buf...(V.# + 3fae0 0408636f 6e746578 74000000 04050223 ..context......# + 3faf0 08000968 69665f68 616e646c 655f7400 ...hif_handle_t. + 3fb00 00000405 09484946 5f434f4e 46494700 .....HIF_CONFIG. + 3fb10 0000282a 03000028 bb040006 000028a9 ..(*...(......(. + 3fb20 01030000 28d20400 02010300 0028df04 ....(........(.. + 3fb30 00094849 465f4341 4c4c4241 434b0000 ..HIF_CALLBACK.. + 3fb40 00285d03 000028e8 04000201 03000029 .(]...(........) + 3fb50 01040006 00000110 01030000 290a0400 ............)... + 3fb60 02010300 00291704 00060000 01100103 .....).......... + 3fb70 00002920 04000201 03000029 2d040006 ..) .......)-... + 3fb80 00000110 01030000 29360400 02010300 ........)6...... + 3fb90 00294304 00076869 665f6170 69003800 .)C...hif_api.8. + 3fba0 002a9c08 5f696e69 74000000 28d80223 .*.._init...(..# + 3fbb0 00085f73 68757464 6f776e00 000028e1 .._shutdown...(. + 3fbc0 02230408 5f726567 69737465 725f6361 .#.._register_ca + 3fbd0 6c6c6261 636b0000 00290302 2308085f llback...)..#.._ + 3fbe0 6765745f 746f7461 6c5f6372 65646974 get_total_credit + 3fbf0 5f636f75 6e740000 00291002 230c085f _count...)..#.._ + 3fc00 73746172 74000000 28e10223 10085f63 start...(..#.._c + 3fc10 6f6e6669 675f7069 70650000 00291902 onfig_pipe...).. + 3fc20 2314085f 73656e64 5f627566 66657200 #.._send_buffer. + 3fc30 00002926 02231808 5f726574 75726e5f ..)&.#.._return_ + 3fc40 72656376 5f627566 00000029 2f02231c recv_buf...)/.#. + 3fc50 085f6973 5f706970 655f7375 70706f72 ._is_pipe_suppor + 3fc60 74656400 0000293c 02232008 5f676574 ted...)<.# ._get + 3fc70 5f6d6178 5f6d7367 5f6c656e 00000029 _max_msg_len...) + 3fc80 3c022324 085f6765 745f7265 73657276 <.#$._get_reserv + 3fc90 65645f68 65616472 6f6f6d00 00002910 ed_headroom...). + 3fca0 02232808 5f697372 5f68616e 646c6572 .#(._isr_handler + 3fcb0 00000028 e102232c 085f6765 745f6465 ...(..#,._get_de + 3fcc0 6661756c 745f7069 70650000 00294502 fault_pipe...)E. + 3fcd0 23300870 52657365 72766564 00000004 #0.pReserved.... + 3fce0 05022334 000d646d 615f656e 67696e65 ..#4..dma_engine + 3fcf0 00040000 2b250e44 4d415f45 4e47494e ....+%.DMA_ENGIN + 3fd00 455f5258 3000000e 444d415f 454e4749 E_RX0...DMA_ENGI + 3fd10 4e455f52 58310001 0e444d41 5f454e47 NE_RX1...DMA_ENG + 3fd20 494e455f 52583200 020e444d 415f454e INE_RX2...DMA_EN + 3fd30 47494e45 5f525833 00030e44 4d415f45 GINE_RX3...DMA_E + 3fd40 4e47494e 455f5458 3000040e 444d415f NGINE_TX0...DMA_ + 3fd50 454e4749 4e455f54 58310005 0e444d41 ENGINE_TX1...DMA + 3fd60 5f454e47 494e455f 4d415800 06000964 _ENGINE_MAX....d + 3fd70 6d615f65 6e67696e 655f7400 00002a9c ma_engine_t...*. + 3fd80 0d646d61 5f696674 79706500 0400002b .dma_iftype....+ + 3fd90 720e444d 415f4946 5f474d41 4300000e r.DMA_IF_GMAC... + 3fda0 444d415f 49465f50 43490001 0e444d41 DMA_IF_PCI...DMA + 3fdb0 5f49465f 50434945 00020009 646d615f _IF_PCIE....dma_ + 3fdc0 69667479 70655f74 0000002b 37060000 iftype_t...+7... + 3fdd0 12ea0103 00002b84 04000201 0300002b ......+........+ + 3fde0 91040002 01030000 2b9a0400 06000009 ........+....... + 3fdf0 1c010300 002ba304 00060000 12ea0103 .....+.......... + 3fe00 00002bb0 04000600 0012ea01 0300002b ..+............+ + 3fe10 bd040006 00001435 01030000 2bca0400 .......5....+... + 3fe20 02010300 002bd704 0007646d 615f6c69 .....+....dma_li + 3fe30 625f6170 69003400 002cde08 74785f69 b_api.4..,..tx_i + 3fe40 6e697400 00002b8a 02230008 74785f73 nit...+..#..tx_s + 3fe50 74617274 0000002b 93022304 0872785f tart...+..#..rx_ + 3fe60 696e6974 0000002b 8a022308 0872785f init...+..#..rx_ + 3fe70 636f6e66 69670000 002b9c02 230c0872 config...+..#..r + 3fe80 785f7374 61727400 00002b93 02231008 x_start...+..#.. + 3fe90 696e7472 5f737461 74757300 00002ba9 intr_status...+. + 3fea0 02231408 68617264 5f786d69 74000000 .#..hard_xmit... + 3feb0 2bb60223 1808666c 7573685f 786d6974 +..#..flush_xmit + 3fec0 0000002b 9302231c 08786d69 745f646f ...+..#..xmit_do + 3fed0 6e650000 002bc302 23200872 6561705f ne...+..# .reap_ + 3fee0 786d6974 74656400 00002bd0 02232408 xmitted...+..#$. + 3fef0 72656170 5f726563 76000000 2bd00223 reap_recv...+..# + 3ff00 28087265 7475726e 5f726563 76000000 (.return_recv... + 3ff10 2bd90223 2c087265 63765f70 6b740000 +..#,.recv_pkt.. + 3ff20 002bc302 23300007 5f5f7063 695f736f .+..#0..__pci_so + 3ff30 66746300 0c00002c fc087377 00000028 ftc....,..sw...( + 3ff40 e8022300 00095f5f 7063695f 736f6674 ..#...__pci_soft + 3ff50 635f7400 00002cde 0300002c fc040002 c_t...,....,.... + 3ff60 01030000 2d160400 06000012 d6010300 ....-........... + 3ff70 002d1f04 000d6869 665f7063 695f7069 .-....hif_pci_pi + 3ff80 70655f74 78000400 002d7f0e 4849465f pe_tx....-..HIF_ + 3ff90 5043495f 50495045 5f545830 00000e48 PCI_PIPE_TX0...H + 3ffa0 49465f50 43495f50 4950455f 54583100 IF_PCI_PIPE_TX1. + 3ffb0 010e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 3ffc0 585f4d41 58000200 09686966 5f706369 X_MAX....hif_pci + 3ffd0 5f706970 655f7478 5f740000 002d2c06 _pipe_tx_t...-,. + 3ffe0 00002b25 01030000 2d960400 0d686966 ..+%....-....hif + 3fff0 5f706369 5f706970 655f7278 00040000 _pci_pipe_rx.... + 40000 2e1c0e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 40010 52583000 000e4849 465f5043 495f5049 RX0...HIF_PCI_PI + 40020 50455f52 58310001 0e484946 5f504349 PE_RX1...HIF_PCI + 40030 5f504950 455f5258 3200020e 4849465f _PIPE_RX2...HIF_ + 40040 5043495f 50495045 5f525833 00030e48 PCI_PIPE_RX3...H + 40050 49465f50 43495f50 4950455f 52585f4d IF_PCI_PIPE_RX_M + 40060 41580004 00096869 665f7063 695f7069 AX....hif_pci_pi + 40070 70655f72 785f7400 00002da3 0600002b pe_rx_t...-....+ + 40080 25010300 002e3304 00076869 665f7063 %.....3...hif_pc + 40090 695f6170 69002400 002f1108 7063695f i_api.$../..pci_ + 400a0 626f6f74 5f696e69 74000000 01090223 boot_init......# + 400b0 00087063 695f696e 69740000 0028d802 ..pci_init...(.. + 400c0 23040870 63695f72 65736574 00000001 #..pci_reset.... + 400d0 09022308 08706369 5f656e61 626c6500 ..#..pci_enable. + 400e0 00000109 02230c08 7063695f 72656170 .....#..pci_reap + 400f0 5f786d69 74746564 0000002d 18022310 _xmitted...-..#. + 40100 08706369 5f726561 705f7265 63760000 .pci_reap_recv.. + 40110 002d1802 23140870 63695f67 65745f70 .-..#..pci_get_p + 40120 69706500 00002d25 02231808 7063695f ipe...-%.#..pci_ + 40130 6765745f 74785f65 6e670000 002d9c02 get_tx_eng...-.. + 40140 231c0870 63695f67 65745f72 785f656e #..pci_get_rx_en + 40150 67000000 2e390223 20000767 6d61635f g....9.# ..gmac_ + 40160 61706900 0400002f 3808676d 61635f62 api..../8.gmac_b + 40170 6f6f745f 696e6974 00000001 09022300 oot_init......#. + 40180 000f0000 031d0600 002f4510 0500075f ........./E...._ + 40190 5f657468 68647200 0e00002f 7b086473 _ethhdr..../{.ds + 401a0 74000000 2f380223 00087372 63000000 t.../8.#..src... + 401b0 2f380223 06086574 79706500 000012ea /8.#..etype..... + 401c0 02230c00 075f5f61 74686864 72000400 .#...__athhdr... + 401d0 002fc915 72657300 000012d6 01000202 ./..res......... + 401e0 23001570 726f746f 00000012 d6010206 #..proto........ + 401f0 02230008 7265735f 6c6f0000 0012d602 .#..res_lo...... + 40200 23010872 65735f68 69000000 12ea0223 #..res_hi......# + 40210 0200075f 5f676d61 635f6864 72001400 ...__gmac_hdr... + 40220 00300508 65746800 00002f45 02230008 .0..eth.../E.#.. + 40230 61746800 00002f7b 02230e08 616c6967 ath.../{.#..alig + 40240 6e5f7061 64000000 12ea0223 1200095f n_pad......#..._ + 40250 5f676d61 635f6864 725f7400 00002fc9 _gmac_hdr_t.../. + 40260 075f5f67 6d61635f 736f6674 63002400 .__gmac_softc.$. + 40270 00304f08 68647200 00003005 02230008 .0O.hdr...0..#.. + 40280 6772616e 00000012 ea022314 08737700 gran......#..sw. + 40290 000028e8 02231800 075f415f 6f735f6c ..(..#..._A_os_l + 402a0 696e6b61 67655f63 6865636b 00080000 inkage_check.... + 402b0 30880876 65727369 6f6e0000 00011002 0..version...... + 402c0 23000874 61626c65 00000001 10022304 #..table......#. + 402d0 00030000 304f0400 06000001 10010300 ....0O.......... + 402e0 00308f04 00030000 04080400 175f415f .0..........._A_ + 402f0 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 40300 6e5f7461 626c6500 01b80000 31df0868 n_table.....1..h + 40310 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check + 40320 00000030 95022300 08737461 72745f62 ...0..#..start_b + 40330 73730000 00309c02 23040861 70705f73 ss...0..#..app_s + 40340 74617274 00000001 09022308 086d656d tart......#..mem + 40350 00000004 4802230c 086d6973 63000000 ....H.#..misc... + 40360 05670223 20087072 696e7466 00000001 .g.# .printf.... + 40370 3d022344 08756172 74000000 02060223 =.#D.uart......# + 40380 4c08676d 61630000 002f1102 236c0875 L.gmac.../..#l.u + 40390 73620000 000f9602 23700863 6c6f636b sb......#p.clock + 403a0 0000000a df0323e0 01087469 6d657200 ......#...timer. + 403b0 0000077f 03238402 08696e74 72000000 .....#...intr... + 403c0 0c2f0323 98020861 6c6c6f63 72616d00 ./.#...allocram. + 403d0 00000937 0323c402 08726f6d 70000000 ...7.#...romp... + 403e0 08290323 d0020877 64745f74 696d6572 .).#...wdt_timer + 403f0 0000000e 0c0323e0 02086565 70000000 ......#...eep... + 40400 0f3a0323 fc020873 7472696e 67000000 .:.#...string... + 40410 068b0323 8c030874 61736b6c 65740000 ...#...tasklet.. + 40420 000a3403 23a40300 075f5553 425f4649 ..4.#...._USB_FI + 40430 464f5f43 4f4e4649 47001000 00325208 FO_CONFIG....2R. + 40440 6765745f 636f6d6d 616e645f 62756600 get_command_buf. + 40450 00001442 02230008 72656376 5f636f6d ...B.#..recv_com + 40460 6d616e64 00000014 58022304 08676574 mand....X.#..get + 40470 5f657665 6e745f62 75660000 00144202 _event_buf....B. + 40480 23080873 656e645f 6576656e 745f646f #..send_event_do + 40490 6e650000 00145802 230c0009 5553425f ne....X.#...USB_ + 404a0 4649464f 5f434f4e 46494700 000031df FIFO_CONFIG...1. + 404b0 03000032 52040002 01030000 326e0400 ...2R.......2n.. + 404c0 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... + 404d0 32c4085f 696e6974 00000032 70022300 2.._init...2p.#. + 404e0 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i + 404f0 73720000 00010902 23040870 52657365 sr......#..pRese + 40500 72766564 00000004 05022308 000f0000 rved......#..... + 40510 167c0200 0032d110 0100075f 4854435f .|...2....._HTC_ + 40520 4652414d 455f4844 52000800 00334308 FRAME_HDR....3C. + 40530 456e6470 6f696e74 49440000 00167c02 EndpointID....|. + 40540 23000846 6c616773 00000016 7c022301 #..Flags....|.#. + 40550 08506179 6c6f6164 4c656e00 00001ca4 .PayloadLen..... + 40560 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes + 40570 00000032 c4022304 08486f73 74536571 ...2..#..HostSeq + 40580 4e756d00 00001ca4 02230600 12020000 Num......#...... + 40590 335c084d 65737361 67654944 0000001c 3\.MessageID.... + 405a0 a4022300 00120800 0033bf08 4d657373 ..#......3..Mess + 405b0 61676549 44000000 1ca40223 00084372 ageID......#..Cr + 405c0 65646974 436f756e 74000000 1ca40223 editCount......# + 405d0 02084372 65646974 53697a65 0000001c ..CreditSize.... + 405e0 a4022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint + 405f0 73000000 167c0223 06085f50 61643100 s....|.#.._Pad1. + 40600 0000167c 02230700 120a0000 3456084d ...|.#......4V.M + 40610 65737361 67654944 0000001c a4022300 essageID......#. + 40620 08536572 76696365 49440000 001ca402 .ServiceID...... + 40630 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla + 40640 67730000 001ca402 23040844 6f776e4c gs......#..DownL + 40650 696e6b50 69706549 44000000 167c0223 inkPipeID....|.# + 40660 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. + 40670 00167c02 23070853 65727669 63654d65 ..|.#..ServiceMe + 40680 74614c65 6e677468 00000016 7c022308 taLength....|.#. + 40690 085f5061 64310000 00167c02 23090012 ._Pad1....|.#... + 406a0 0a000034 de084d65 73736167 65494400 ...4..MessageID. + 406b0 00001ca4 02230008 53657276 69636549 .....#..ServiceI + 406c0 44000000 1ca40223 02085374 61747573 D......#..Status + 406d0 00000016 7c022304 08456e64 706f696e ....|.#..Endpoin + 406e0 74494400 0000167c 02230508 4d61784d tID....|.#..MaxM + 406f0 73675369 7a650000 001ca402 23060853 sgSize......#..S + 40700 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 40710 00000016 7c022308 085f5061 64310000 ....|.#.._Pad1.. + 40720 00167c02 23090012 02000034 f7084d65 ..|.#......4..Me + 40730 73736167 65494400 00001ca4 02230000 ssageID......#.. + 40740 12040000 3533084d 65737361 67654944 ....53.MessageID + 40750 0000001c a4022300 08506970 65494400 ......#..PipeID. + 40760 0000167c 02230208 43726564 6974436f ...|.#..CreditCo + 40770 756e7400 0000167c 02230300 12040000 unt....|.#...... + 40780 356a084d 65737361 67654944 0000001c 5j.MessageID.... + 40790 a4022300 08506970 65494400 0000167c ..#..PipeID....| + 407a0 02230208 53746174 75730000 00167c02 .#..Status....|. + 407b0 23030012 02000035 91085265 636f7264 #......5..Record + 407c0 49440000 00167c02 2300084c 656e6774 ID....|.#..Lengt + 407d0 68000000 167c0223 01001202 000035bb h....|.#......5. + 407e0 08456e64 706f696e 74494400 0000167c .EndpointID....| + 407f0 02230008 43726564 69747300 0000167c .#..Credits....| + 40800 02230100 12040000 35fc0845 6e64706f .#......5..Endpo + 40810 696e7449 44000000 167c0223 00084372 intID....|.#..Cr + 40820 65646974 73000000 167c0223 01085467 edits....|.#..Tg + 40830 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... + 40840 a4022302 000f0000 167c0400 00360910 ..#......|...6.. + 40850 03001206 00003645 08507265 56616c69 ......6E.PreVali + 40860 64000000 167c0223 00084c6f 6f6b4168 d....|.#..LookAh + 40870 65616400 000035fc 02230108 506f7374 ead...5..#..Post + 40880 56616c69 64000000 167c0223 05000970 Valid....|.#...p + 40890 6f6f6c5f 68616e64 6c655f74 00000004 ool_handle_t.... + 408a0 05060000 36450103 00003658 04000201 ....6E....6X.... + 408b0 03000036 65040014 04000036 e30e504f ...6e......6..PO + 408c0 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO + 408d0 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ + 408e0 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... + 408f0 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 40900 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ + 40910 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO + 40920 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF + 40930 5f504f4f 4c5f4944 00000036 6e020103 _POOL_ID...6n... + 40940 000036f4 04000600 00264101 03000036 ..6......&A....6 + 40950 fd040006 00002641 01030000 370a0400 ......&A....7... + 40960 02010300 00371704 00076275 665f706f .....7....buf_po + 40970 6f6c5f61 7069001c 000037b9 085f696e ol_api....7.._in + 40980 69740000 00365e02 2300085f 73687574 it...6^.#.._shut + 40990 646f776e 00000036 67022304 085f6372 down...6g.#.._cr + 409a0 65617465 5f706f6f 6c000000 36f60223 eate_pool...6..# + 409b0 08085f61 6c6c6f63 5f627566 00000037 .._alloc_buf...7 + 409c0 0302230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ + 409d0 616c6967 6e000000 37100223 10085f66 align...7..#.._f + 409e0 7265655f 62756600 00003719 02231408 ree_buf...7..#.. + 409f0 70526573 65727665 64000000 04050223 pReserved......# + 40a00 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. + 40a10 1c000038 9808704e 65787400 00003898 ...8..pNext...8. + 40a20 02230008 50726f63 65737352 6563764d .#..ProcessRecvM + 40a30 73670000 00394d02 23040850 726f6365 sg...9M.#..Proce + 40a40 73735365 6e644275 66666572 436f6d70 ssSendBufferComp + 40a50 6c657465 00000039 56022308 0850726f lete...9V.#..Pro + 40a60 63657373 436f6e6e 65637400 0000396a cessConnect...9j + 40a70 02230c08 53657276 69636549 44000000 .#..ServiceID... + 40a80 12ea0223 10085365 72766963 65466c61 ...#..ServiceFla + 40a90 67730000 0012ea02 2312084d 61785376 gs......#..MaxSv + 40aa0 634d7367 53697a65 00000012 ea022314 cMsgSize......#. + 40ab0 08547261 696c6572 53706343 6865636b .TrailerSpcCheck + 40ac0 4c696d69 74000000 12ea0223 16085365 Limit......#..Se + 40ad0 72766963 65437478 00000004 05022318 rviceCtx......#. + 40ae0 00030000 37b90400 14040000 39361945 ....7.......96.E + 40af0 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. + 40b00 ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... + 40b10 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP + 40b20 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT + 40b30 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... + 40b40 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP + 40b50 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT + 40b60 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... + 40b70 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... + 40b80 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. + 40b90 0000389f 02010300 00394b04 00020103 ..8......9K..... + 40ba0 00003954 04000300 00011004 00060000 ..9T............ + 40bb0 12d60103 00003964 04000300 0037b904 ......9d.....7.. + 40bc0 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... + 40bd0 0039e908 43726564 69745369 7a650000 .9..CreditSize.. + 40be0 00011002 23000843 72656469 744e756d ....#..CreditNum + 40bf0 62657200 00000110 02230408 4f534861 ber......#..OSHa + 40c00 6e646c65 0000001a 40022308 08484946 ndle....@.#..HIF + 40c10 48616e64 6c650000 0028a902 230c0850 Handle...(..#..P + 40c20 6f6f6c48 616e646c 65000000 36450223 oolHandle...6E.# + 40c30 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT + 40c40 45585400 0200003a 2508656e 645f706f EXT....:%.end_po + 40c50 696e7400 000012d6 02230008 6874635f int......#..htc_ + 40c60 666c6167 73000000 12d60223 01000968 flags......#...h + 40c70 74635f68 616e646c 655f7400 00000405 tc_handle_t..... + 40c80 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL + 40c90 4554455f 43420000 00010909 4854435f ETE_CB......HTC_ + 40ca0 434f4e46 49470000 00397803 00003a52 CONFIG...9x...:R + 40cb0 04000600 003a2501 0300003a 69040002 .....:%....:i... + 40cc0 01030000 3a760400 09485443 5f534552 ....:v...HTC_SER + 40cd0 56494345 00000037 b9030000 3a7f0400 VICE...7....:... + 40ce0 02010300 003a9704 00020103 00003aa0 .....:........:. + 40cf0 04000201 0300003a a9040006 00000110 .......:........ + 40d00 01030000 3ab20400 07687463 5f617069 ....:....htc_api + 40d10 73003400 003c2f08 5f485443 5f496e69 s.4.... + 41020 7475706c 654e756d 4c000000 1ca40223 tupleNumL......# + 41030 00087475 706c654e 756d4800 00001ca4 ..tupleNumH..... + 41040 02230208 61767400 00003dc3 02230400 .#..avt...=..#.. + 41050 12010000 3e290862 6561636f 6e50656e ....>).beaconPen + 41060 64696e67 436f756e 74000000 167c0223 dingCount....|.# + 41070 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF + 41080 49470010 00003e92 08487463 48616e64 IG....>..HtcHand + 41090 6c650000 003a2502 23000850 6f6f6c48 le...:%.#..PoolH + 410a0 616e646c 65000000 36450223 04084d61 andle...6E.#..Ma + 410b0 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... + 410c0 01100223 08084d61 78457665 6e744576 ...#..MaxEventEv + 410d0 74730000 00011002 230c0002 01030000 ts......#....... + 410e0 3e920400 09574d49 5f434d44 5f48414e >....WMI_CMD_HAN + 410f0 444c4552 0000003e 94075f57 4d495f44 DLER...>.._WMI_D + 41100 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... + 41110 003efb08 70436d64 48616e64 6c657200 .>..pCmdHandler. + 41120 00003e9b 02230008 436d6449 44000000 ..>..#..CmdID... + 41130 12ea0223 0408466c 61677300 000012ea ...#..Flags..... + 41140 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT + 41150 43485f54 41424c45 00100000 3f5c0870 CH_TABLE....?\.p + 41160 4e657874 0000003f 5c022300 0870436f Next...?\.#..pCo + 41170 6e746578 74000000 04050223 04084e75 ntext......#..Nu + 41180 6d626572 4f66456e 74726965 73000000 mberOfEntries... + 41190 01100223 08087054 61626c65 0000003f ...#..pTable...? + 411a0 7b02230c 00030000 3efb0400 09574d49 {.#.....>....WMI + 411b0 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 411c0 00003eb0 0300003f 63040003 00003efb ..>....?c.....>. + 411d0 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE + 411e0 58540000 0039e90d 574d495f 4556545f XT...9..WMI_EVT_ + 411f0 434c4153 53000400 00401319 574d495f CLASS....@..WMI_ + 41200 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. + 41210 ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 41220 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM + 41230 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 41240 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ + 41250 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI + 41260 5f455654 5f434c41 53530000 003f9e07 _EVT_CLASS...?.. + 41270 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT + 41280 000c0000 40710848 74634275 66437478 ....@q.HtcBufCtx + 41290 0000003f 89022300 08457665 6e74436c ...?..#..EventCl + 412a0 61737300 00004013 02230408 466c6167 ass...@..#..Flag + 412b0 73000000 12ea0223 08000977 6d695f68 s......#...wmi_h + 412c0 616e646c 655f7400 00000405 09574d49 andle_t......WMI + 412d0 5f535643 5f434f4e 46494700 00003e29 _SVC_CONFIG...>) + 412e0 03000040 83040006 00004071 01030000 ...@......@q.... + 412f0 409e0400 09574d49 5f444953 50415443 @....WMI_DISPATC + 41300 485f5441 424c4500 00003efb 03000040 H_TABLE...>....@ + 41310 ab040002 01030000 40ca0400 06000026 ........@......& + 41320 41010300 0040d304 00020103 000040e0 A....@........@. + 41330 04000600 00011001 03000040 e9040002 ...........@.... + 41340 01030000 40f60400 06000012 d6010300 ....@........... + 41350 0040ff04 00075f77 6d695f73 76635f61 .@...._wmi_svc_a + 41360 70697300 2c000042 47085f57 4d495f49 pis.,..BG._WMI_I + 41370 6e697400 000040a4 02230008 5f574d49 nit...@..#.._WMI + 41380 5f526567 69737465 72446973 70617463 _RegisterDispatc + 41390 68546162 6c650000 0040cc02 2304085f hTable...@..#.._ + 413a0 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. + 413b0 0040d902 2308085f 574d495f 53656e64 .@..#.._WMI_Send + 413c0 4576656e 74000000 40e20223 0c085f57 Event...@..#.._W + 413d0 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve + 413e0 6e747343 6f756e74 00000040 ef022310 ntsCount...@..#. + 413f0 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple + 41400 74654861 6e646c65 72000000 39560223 teHandler...9V.# + 41410 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro + 41420 6c457000 000040ef 02231808 5f574d49 lEp...@..#.._WMI + 41430 5f536875 74646f77 6e000000 40f80223 _Shutdown...@..# + 41440 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa + 41450 67654861 6e646c65 72000000 394d0223 geHandler...9M.# + 41460 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo + 41470 6e6e6563 74000000 41050223 24087052 nnect...A..#$.pR + 41480 65736572 76656400 00000405 02232800 eserved......#(. + 41490 077a7344 6d614465 73630014 000042c9 .zsDmaDesc....B. + 414a0 08637472 6c000000 01740223 00087374 .ctrl....t.#..st + 414b0 61747573 00000001 74022302 08746f74 atus....t.#..tot + 414c0 616c4c65 6e000000 01740223 04086461 alLen....t.#..da + 414d0 74615369 7a650000 00017402 2306086c taSize....t.#..l + 414e0 61737441 64647200 000042c9 02230808 astAddr...B..#.. + 414f0 64617461 41646472 00000001 9802230c dataAddr......#. + 41500 086e6578 74416464 72000000 42c90223 .nextAddr...B..# + 41510 10000300 00424704 00030000 42470400 .....BG.....BG.. + 41520 077a7344 6d615175 65756500 08000043 .zsDmaQueue....C + 41530 09086865 61640000 0042d002 23000874 ..head...B..#..t + 41540 65726d69 6e61746f 72000000 42d00223 erminator...B..# + 41550 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. + 41560 10000043 6d086865 61640000 0042d002 ...Cm.head...B.. + 41570 23000874 65726d69 6e61746f 72000000 #..terminator... + 41580 42d00223 0408786d 69746564 5f627566 B..#..xmited_buf + 41590 5f686561 64000000 14350223 0808786d _head....5.#..xm + 415a0 69746564 5f627566 5f746169 6c000000 ited_buf_tail... + 415b0 14350223 0c000201 03000043 6d040003 .5.#.......Cm... + 415c0 000042d7 04000201 03000043 7d040003 ..B........C}... + 415d0 00004309 04000201 03000043 8d040002 ..C........C.... + 415e0 01030000 43960400 02010300 00439f04 ....C........C.. + 415f0 00060000 14350103 000043a8 04000201 .....5....C..... + 41600 03000043 b5040006 00001435 01030000 ...C.......5.... + 41610 43be0400 02010300 0043cb04 00060000 C........C...... + 41620 01100103 000043d4 04000600 0042d001 ......C......B.. + 41630 03000043 e1040002 01030000 43ee0400 ...C........C... + 41640 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. + 41650 40000045 64085f69 6e697400 0000436f @..Ed._init...Co + 41660 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que + 41670 75650000 00437f02 2304085f 696e6974 ue...C..#.._init + 41680 5f74785f 71756575 65000000 438f0223 _tx_queue...C..# + 41690 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que + 416a0 75650000 00439802 230c085f 786d6974 ue...C..#.._xmit + 416b0 5f627566 00000043 a1022310 085f666c _buf...C..#.._fl + 416c0 7573685f 786d6974 00000043 7f022314 ush_xmit...C..#. + 416d0 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. + 416e0 000043ae 02231808 5f726574 75726e5f ..C..#.._return_ + 416f0 72656376 5f627566 00000043 b702231c recv_buf...C..#. + 41700 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu + 41710 66000000 43c40223 20085f73 7761705f f...C..# ._swap_ + 41720 64617461 00000043 cd022324 085f6861 data...C..#$._ha + 41730 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. + 41740 000043da 02232808 5f646573 635f6475 ..C..#(._desc_du + 41750 6d700000 00437f02 232c085f 6765745f mp...C..#,._get_ + 41760 7061636b 65740000 0043e702 2330085f packet...C..#0._ + 41770 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. + 41780 0043f002 2334085f 7075745f 7061636b .C..#4._put_pack + 41790 65740000 0043f002 23380870 52657365 et...C..#8.pRese + 417a0 72766564 00000004 0502233c 00095f41 rved......#<.._A + 417b0 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 417c0 6f6e5f74 61626c65 5f740000 0030a309 on_table_t...0.. + 417d0 574d495f 5356435f 41504953 00000041 WMI_SVC_APIS...A + 417e0 0c175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 417f0 72656374 696f6e5f 7461626c 6500034c rection_table..L + 41800 00004692 08636d6e 6f730000 00456402 ..F..cmnos...Ed. + 41810 23000864 62670000 0003d203 23b80308 #..dbg......#... + 41820 68696600 0000294c 0323c003 08687463 hif...)L.#...htc + 41830 0000003a bf0323f8 0308776d 695f7376 ...:..#...wmi_sv + 41840 635f6170 69000000 45860323 ac040875 c_api...E..#...u + 41850 73626669 666f5f61 70690000 00327703 sbfifo_api...2w. + 41860 23d80408 6275665f 706f6f6c 00000037 #...buf_pool...7 + 41870 200323e4 04087662 75660000 00145f03 .#...vbuf...._. + 41880 23800508 76646573 63000000 13410323 #...vdesc....A.# + 41890 94050861 6c6c6f63 72616d00 00000937 ...allocram....7 + 418a0 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. + 418b0 000043f7 0323b405 08646d61 5f6c6962 ..C..#...dma_lib + 418c0 0000002b e00323f4 05086869 665f7063 ...+..#...hif_pc + 418d0 69000000 2e400323 a8060009 5f415f6d i....@.#...._A_m + 418e0 61677069 655f696e 64697265 6374696f agpie_indirectio + 418f0 6e5f7461 626c655f 74000000 45980300 n_table_t...E... + 41900 0001ad04 001a7561 72745f63 746c5f62 ......uart_ctl_b + 41910 6c6b0000 0002c605 0300500a 44060000 lk........P.D... + 41920 01ad0102 01030000 46d40400 1b01195f ........F......_ + 41930 75617274 5f696e69 74000000 01ad0101 uart_init....... + 41940 03920120 02900000 8e2df800 8e2e3600 ... .....-....6. + 41950 0047151c 5f6c6372 00000001 ad001d01 .G.._lcr........ + 41960 405f7561 72745f63 6861725f 7075745f @_uart_char_put_ + 41970 6e6f7468 696e6700 01010392 01200290 nothing...... .. + 41980 00008e2e 38008e2e 3d000047 511e0140 ....8...=..GQ..@ + 41990 63680000 00032e01 52001b01 4a5f7561 ch......R...J_ua + 419a0 72745f63 6861725f 6765745f 6e6f7468 rt_char_get_noth + 419b0 696e6700 0000018a 01010392 01200290 ing.......... .. + 419c0 00008e2e 40008e2e 47000047 911e014a ....@...G..G...J + 419d0 63680000 00034401 52001d01 545f7561 ch....D.R...T_ua + 419e0 72745f63 6861725f 70757400 01010392 rt_char_put..... + 419f0 01200290 00008e2e 48008e2e 74000047 . ......H...t..G + 41a00 d51e0154 63680000 00032e01 521c6900 ...Tch......R.i. + 41a10 00000110 1c6c7372 00000001 ad001d01 .....lsr........ + 41a20 8e5f7561 72745f63 6861725f 7075745f ._uart_char_put_ + 41a30 6e6f7761 69740001 01039201 20029000 nowait...... ... + 41a40 008e2e74 008e2ea0 00004820 1e018e63 ...t......H ...c + 41a50 68000000 032e0152 1c690000 0001101c h......R.i...... + 41a60 6c737200 000001ad 001b01b3 5f756172 lsr........._uar + 41a70 745f6368 61725f67 65740000 00018a01 t_char_get...... + 41a80 01039201 20029000 008e2ea0 008e2ec0 .... ........... + 41a90 00004858 1e01b363 68000000 03440152 ..HX...ch....D.R + 41aa0 001f01c7 5f756172 745f7461 736b0001 ...._uart_task.. + 41ab0 01039201 20029000 008e2ec0 008e2ec5 .... ........... + 41ac0 2001e55f 75617274 5f737461 74757300 .._uart_status. + 41ad0 000001ad 01039201 20029000 008e2ec8 ........ ....... + 41ae0 008e2ed2 1d01ee5f 75617274 5f737472 ......._uart_str + 41af0 5f6f7574 00010103 92012002 9000008e _out...... ..... + 41b00 2ed4008e 2ef10000 48d61e01 ee737472 ........H....str + 41b10 00000003 4401521c 69000000 01ad0021 ....D.R.i......! + 41b20 0101005f 75617274 5f636f6e 66696700 ..._uart_config. + 41b30 01010392 01200290 00008e2e f4008e2f ..... ........./ + 41b40 1b000049 0c220101 00666c61 67000000 ...I."...flag... + 41b50 018a0152 00230101 135f7561 72745f68 ...R.#..._uart_h + 41b60 77696e69 74000103 92012002 9000008e winit..... ..... + 41b70 2f1c008e 2f5c0000 496c2201 01136672 /.../\..Il"...fr + 41b80 65710000 0001ad01 52220101 13626175 eq......R"...bau + 41b90 64000000 01ad0153 1c626175 645f6469 d......S.baud_di + 41ba0 7669736f 72000000 01ad1c5f 6c637200 visor......_lcr. + 41bb0 000001ad 00240101 28636d6e 6f735f75 .....$..(cmnos_u + 41bc0 6172745f 6d6f6475 6c655f69 6e737461 art_module_insta + 41bd0 6c6c0001 01039201 20029000 008e2f5c ll...... ...../\ + 41be0 008e2f93 22010128 61706973 00000002 ../."..(apis.... + 41bf0 bf015200 00000000 4de80002 000017d9 ..R.....M....... + 41c00 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 41c10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 41c20 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 41c30 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 41c40 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 41c50 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 41c60 6869662f 7063692f 2f686966 5f706369 hif/pci//hif_pci + 41c70 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa + 41c80 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 41c90 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 41ca0 312f726f 6d2f6869 662f7063 69007874 1/rom/hif/pci.xt + 41cb0 2d786363 20666f72 20372e31 2e30202d -xcc for 7.1.0 - + 41cc0 4f50543a 616c6967 6e5f696e 73747275 OPT:align_instru + 41cd0 6374696f 6e733d33 32202d4f 32202d67 ctions=32 -O2 -g + 41ce0 33202d4f 50543a73 70616365 00010000 3 -OPT:space.... + 41cf0 00e2c702 5f564445 53430024 00000189 ...._VDESC.$.... + 41d00 036e6578 745f6465 73630000 00018902 .next_desc...... + 41d10 23000362 75665f61 64647200 000001ae #..buf_addr..... + 41d20 02230403 6275665f 73697a65 00000001 .#..buf_size.... + 41d30 cb022308 03646174 615f6f66 66736574 ..#..data_offset + 41d40 00000001 cb02230a 03646174 615f7369 ......#..data_si + 41d50 7a650000 0001cb02 230c0363 6f6e7472 ze......#..contr + 41d60 6f6c0000 0001cb02 230e0368 775f6465 ol......#..hw_de + 41d70 73635f62 75660000 0001d902 23100004 sc_buf......#... + 41d80 000000fd 04000575 6e736967 6e656420 .......unsigned + 41d90 63686172 00070106 415f5549 4e543800 char....A_UINT8. + 41da0 00000190 04000001 a1040005 73686f72 ............shor + 41db0 7420756e 7369676e 65642069 6e740007 t unsigned int.. + 41dc0 0206415f 55494e54 31360000 0001b507 ..A_UINT16...... + 41dd0 000001a1 14000001 e6081300 04000000 ................ + 41de0 fd040005 696e7400 05040901 04000001 ....int......... + 41df0 f4040006 56444553 43000000 00fd0400 ....VDESC....... + 41e00 0001fd04 000a0000 02080104 0000020f ................ + 41e10 04000a00 0001ae01 04000002 1c040009 ................ + 41e20 01040000 02290400 0b040002 76646573 .....)......vdes + 41e30 635f6170 69001400 0002a403 5f696e69 c_api......._ini + 41e40 74000000 01f60223 00035f61 6c6c6f63 t......#.._alloc + 41e50 5f766465 73630000 00021502 2304035f _vdesc......#.._ + 41e60 6765745f 68775f64 65736300 00000222 get_hw_desc...." + 41e70 02230803 5f737761 705f7664 65736300 .#.._swap_vdesc. + 41e80 0000022b 02230c03 70526573 65727665 ...+.#..pReserve + 41e90 64000000 02320223 1000025f 56425546 d....2.#..._VBUF + 41ea0 00200000 03040364 6573635f 6c697374 . .....desc_list + 41eb0 00000002 08022300 036e6578 745f6275 ......#..next_bu + 41ec0 66000000 03040223 04036275 665f6c65 f......#..buf_le + 41ed0 6e677468 00000001 cb022308 03726573 ngth......#..res + 41ee0 65727665 64000000 030b0223 0a036374 erved......#..ct + 41ef0 78000000 01d90223 0c000400 0002a404 x......#........ + 41f00 00070000 01a10200 00031808 01000400 ................ + 41f10 0002a404 00065642 55460000 0002a404 ......VBUF...... + 41f20 0000031f 04000a00 00032901 04000003 ..........)..... + 41f30 3004000a 00000329 01040000 033d0400 0......).....=.. + 41f40 09010400 00034a04 00027662 75665f61 ......J...vbuf_a + 41f50 70690014 000003c8 035f696e 69740000 pi......._init.. + 41f60 0001f602 2300035f 616c6c6f 635f7662 ....#.._alloc_vb + 41f70 75660000 00033602 2304035f 616c6c6f uf....6.#.._allo + 41f80 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 41f90 00000003 43022308 035f6672 65655f76 ....C.#.._free_v + 41fa0 62756600 0000034c 02230c03 70526573 buf....L.#..pRes + 41fb0 65727665 64000000 02320223 1000025f erved....2.#..._ + 41fc0 5f616466 5f646576 69636500 04000003 _adf_device..... + 41fd0 ea036475 6d6d7900 000001ed 02230000 ..dummy......#.. + 41fe0 05756e73 69676e65 6420696e 74000704 .unsigned int... + 41ff0 06415f55 494e5433 32000000 03ea0400 .A_UINT32....... + 42000 0003fa04 00025f5f 6164665f 646d615f ......__adf_dma_ + 42010 6d617000 0c000004 4f036275 66000000 map.....O.buf... + 42020 03290223 00036473 5f616464 72000000 .).#..ds_addr... + 42030 04080223 04036473 5f6c656e 00000001 ...#..ds_len.... + 42040 cb022308 00056368 61720007 01040000 ..#...char...... + 42050 044f0400 0c0c0000 0498035f 5f76615f .O.........__va_ + 42060 73746b00 00000457 02230003 5f5f7661 stk....W.#..__va + 42070 5f726567 00000004 57022304 035f5f76 _reg....W.#..__v + 42080 615f6e64 78000000 01ed0223 0800065f a_ndx......#..._ + 42090 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 420a0 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d + 420b0 6d615f61 6464725f 74000000 0498065f ma_addr_t......_ + 420c0 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 420d0 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d + 420e0 6d615f73 697a655f 74000000 04c8025f ma_size_t......_ + 420f0 5f646d61 5f736567 73000800 00052403 _dma_segs.....$. + 42100 70616464 72000000 04b10223 00036c65 paddr......#..le + 42110 6e000000 04e10223 0400065f 5f615f75 n......#...__a_u + 42120 696e7433 325f7400 000003fa 06615f75 int32_t......a_u + 42130 696e7433 325f7400 00000524 07000004 int32_t....$.... + 42140 f8080000 05530800 00026164 665f6f73 .....S....adf_os + 42150 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 42160 058c036e 73656773 00000005 36022300 ...nsegs....6.#. + 42170 03646d61 5f736567 73000000 05460223 .dma_segs....F.# + 42180 0400065f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 42190 0001a106 615f7569 6e74385f 74000000 ....a_uint8_t... + 421a0 058c0400 00059d04 00025f5f 73675f73 ..........__sg_s + 421b0 65677300 08000005 de037661 64647200 egs.......vaddr. + 421c0 000005ac 02230003 6c656e00 00000536 .....#..len....6 + 421d0 02230400 07000005 b3200000 05eb0803 .#....... ...... + 421e0 00026164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 421f0 24000006 1e036e73 65677300 00000536 $.....nsegs....6 + 42200 02230003 73675f73 65677300 000005de .#..sg_segs..... + 42210 02230400 0c100000 06670376 656e646f .#.......g.vendo + 42220 72000000 05360223 00036465 76696365 r....6.#..device + 42230 00000005 36022304 03737562 76656e64 ....6.#..subvend + 42240 6f720000 00053602 23080373 75626465 or....6.#..subde + 42250 76696365 00000005 3602230c 00056c6f vice....6.#...lo + 42260 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 42270 20696e74 00070806 415f5549 4e543634 int....A_UINT64 + 42280 00000006 67065f5f 615f7569 6e743634 ....g.__a_uint64 + 42290 5f740000 00068106 615f7569 6e743634 _t......a_uint64 + 422a0 5f740000 00068f0d 04000006 ed0e4144 _t............AD + 422b0 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 422c0 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 422d0 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 422e0 00010006 6164665f 6f735f72 65736f75 ....adf_os_resou + 422f0 7263655f 74797065 5f740000 0006b10c rce_type_t...... + 42300 18000007 37037374 61727400 000006a1 ....7.start..... + 42310 02230003 656e6400 000006a1 02230803 .#..end......#.. + 42320 74797065 00000006 ed022310 00066164 type......#...ad + 42330 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 42340 74000000 061e0400 00073704 000f0400 t.........7..... + 42350 00077603 70636900 00000750 02230003 ..v.pci....P.#.. + 42360 72617700 00000232 02230000 0f100000 raw....2.#...... + 42370 07950370 63690000 00073702 23000372 ...pci....7.#..r + 42380 61770000 00023202 23000006 6164665f aw....2.#...adf_ + 42390 6472765f 68616e64 6c655f74 00000002 drv_handle_t.... + 423a0 32066164 665f6f73 5f726573 6f757263 2.adf_os_resourc + 423b0 655f7400 00000709 04000007 ab040006 e_t............. + 423c0 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 423d0 74615f74 00000007 76040000 07c90400 ta_t....v....... + 423e0 04000003 c8040006 5f5f6164 665f6f73 ........__adf_os + 423f0 5f646576 6963655f 74000000 07ea0661 _device_t......a + 42400 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 42410 0007f10a 00000795 01040000 081d0400 ................ + 42420 09010400 00082a04 00066164 665f6f73 ......*...adf_os + 42430 5f706d5f 74000000 02320901 04000008 _pm_t....2...... + 42440 4404000d 04000008 840e4144 465f4f53 D.........ADF_OS + 42450 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 42460 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 42470 47454e45 52494300 02000661 64665f6f GENERIC....adf_o + 42480 735f6275 735f7479 70655f74 00000008 s_bus_type_t.... + 42490 4d066164 665f6f73 5f627573 5f726567 M.adf_os_bus_reg + 424a0 5f646174 615f7400 00000757 04000001 _data_t....W.... + 424b0 90040002 5f616466 5f647276 5f696e66 ...._adf_drv_inf + 424c0 6f002000 00096103 6472765f 61747461 o. ...a.drv_atta + 424d0 63680000 00082302 23000364 72765f64 ch....#.#..drv_d + 424e0 65746163 68000000 082c0223 04036472 etach....,.#..dr + 424f0 765f7375 7370656e 64000000 08460223 v_suspend....F.# + 42500 08036472 765f7265 73756d65 00000008 ..drv_resume.... + 42510 2c02230c 03627573 5f747970 65000000 ,.#..bus_type... + 42520 08840223 10036275 735f6461 74610000 ...#..bus_data.. + 42530 00089b02 2314036d 6f645f6e 616d6500 ....#..mod_name. + 42540 000008b6 02231803 69666e61 6d650000 .....#..ifname.. + 42550 0008b602 231c0006 6164665f 6f735f68 ....#...adf_os_h + 42560 616e646c 655f7400 00000232 04000005 andle_t....2.... + 42570 8c040009 01090106 5f5f6164 665f6f73 ........__adf_os + 42580 5f73697a 655f7400 000003ea 0d040000 _size_t......... + 42590 09b00e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 425a0 52554500 01000661 5f626f6f 6c5f7400 RUE....a_bool_t. + 425b0 00000996 04000004 0f040006 5f5f6164 ............__ad + 425c0 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 425d0 0009be09 01106164 665f6f73 5f636163 ......adf_os_cac + 425e0 68655f73 796e6300 0400000a 480e4144 he_sync.....H.AD + 425f0 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 42600 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 42610 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 42620 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 42630 435f504f 53545752 49544500 03000661 C_POSTWRITE....a + 42640 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 42650 5f740000 0009df09 01066164 665f6f73 _t........adf_os + 42660 5f73697a 655f7400 00000981 0a00000a _size_t......... + 42670 63010661 64665f6f 735f646d 615f6d61 c..adf_os_dma_ma + 42680 705f7400 000009c5 0400000a 7c04000a p_t.........|... + 42690 00000232 01040000 09c50400 0a000002 ...2............ + 426a0 32010901 0a000004 b1010901 0573686f 2............sho + 426b0 72742069 6e740005 0206415f 494e5431 rt int....A_INT1 + 426c0 36000000 0ab6065f 5f615f69 6e743136 6......__a_int16 + 426d0 5f740000 000ac306 615f696e 7431365f _t......a_int16_ + 426e0 74000000 0ad00573 69676e65 64206368 t......signed ch + 426f0 61720005 0106415f 494e5438 0000000a ar....A_INT8.... + 42700 f0065f5f 615f696e 74385f74 0000000a ..__a_int8_t.... + 42710 ff06615f 696e7438 5f740000 000b0b0c ..a_int8_t...... + 42720 0c00000b 82037375 70706f72 74656400 ......supported. + 42730 00000536 02230003 61647665 7274697a ...6.#..advertiz + 42740 65640000 00053602 23040373 70656564 ed....6.#..speed + 42750 0000000a e1022308 03647570 6c657800 ......#..duplex. + 42760 00000b1b 02230a03 6175746f 6e656700 .....#..autoneg. + 42770 0000059d 02230b00 07000005 9d060000 .....#.......... + 42780 0b8f0805 00026164 665f6e65 745f6574 ......adf_net_et + 42790 68616464 72000600 000bb303 61646472 haddr.......addr + 427a0 0000000b 82022300 00065f5f 615f7569 ......#...__a_ui + 427b0 6e743136 5f740000 0001cb06 615f7569 nt16_t......a_ui + 427c0 6e743136 5f740000 000bb30c 0e00000c nt16_t.......... + 427d0 17036574 6865725f 64686f73 74000000 ..ether_dhost... + 427e0 0b820223 00036574 6865725f 73686f73 ...#..ether_shos + 427f0 74000000 0b820223 06036574 6865725f t......#..ether_ + 42800 74797065 0000000b c502230c 000c1400 type......#..... + 42810 000cd811 69705f76 65727369 6f6e0000 ....ip_version.. + 42820 00059d01 00040223 00116970 5f686c00 .......#..ip_hl. + 42830 0000059d 01040402 23000369 705f746f ........#..ip_to + 42840 73000000 059d0223 01036970 5f6c656e s......#..ip_len + 42850 0000000b c5022302 0369705f 69640000 ......#..ip_id.. + 42860 000bc502 23040369 705f6672 61675f6f ....#..ip_frag_o + 42870 66660000 000bc502 23060369 705f7474 ff......#..ip_tt + 42880 6c000000 059d0223 08036970 5f70726f l......#..ip_pro + 42890 746f0000 00059d02 23090369 705f6368 to......#..ip_ch + 428a0 65636b00 00000bc5 02230a03 69705f73 eck......#..ip_s + 428b0 61646472 00000005 3602230c 0369705f addr....6.#..ip_ + 428c0 64616464 72000000 05360223 10000261 daddr....6.#...a + 428d0 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 428e0 00000d2a 03747069 64000000 0bc50223 ...*.tpid......# + 428f0 00117072 696f0000 00059d01 00030223 ..prio.........# + 42900 02116366 69000000 059d0103 01022302 ..cfi.........#. + 42910 11766964 0000000b c502040c 02230200 .vid.........#.. + 42920 02616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 42930 0d5b1172 65730000 00059d01 00040223 .[.res.........# + 42940 00117661 6c000000 0bc50204 0c022300 ..val.........#. + 42950 000c0c00 000d9703 72785f62 75667369 ........rx_bufsi + 42960 7a650000 00053602 23000372 785f6e64 ze....6.#..rx_nd + 42970 65736300 00000536 02230403 74785f6e esc....6.#..tx_n + 42980 64657363 00000005 36022308 000c0800 desc....6.#..... + 42990 000dbd03 706f6c6c 65640000 0009b002 ....polled...... + 429a0 23000370 6f6c6c5f 77740000 00053602 #..poll_wt....6. + 429b0 23040007 0000059d 4000000d ca083f00 #.......@.....?. + 429c0 0c460000 0df20369 665f6e61 6d650000 .F.....if_name.. + 429d0 000dbd02 23000364 65765f61 64647200 ....#..dev_addr. + 429e0 00000b82 02234000 0d040000 0e290e41 .....#@......).A + 429f0 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 42a00 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 42a10 415f4d41 534b5f36 34424954 00010006 A_MASK_64BIT.... + 42a20 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 42a30 74000000 0df20261 64665f64 6d615f69 t......adf_dma_i + 42a40 6e666f00 0800000e 7603646d 615f6d61 nfo.....v.dma_ma + 42a50 736b0000 000e2902 23000373 675f6e73 sk....).#..sg_ns + 42a60 65677300 00000536 02230400 0d040000 egs....6.#...... + 42a70 0ecc0e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 42a80 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 42a90 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 42aa0 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 42ab0 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 42ac0 02000661 64665f6e 65745f63 6b73756d ...adf_net_cksum + 42ad0 5f747970 655f7400 00000e76 0c080000 _type_t....v.... + 42ae0 0f0f0374 785f636b 73756d00 00000ecc ...tx_cksum..... + 42af0 02230003 72785f63 6b73756d 0000000e .#..rx_cksum.... + 42b00 cc022304 00066164 665f6e65 745f636b ..#...adf_net_ck + 42b10 73756d5f 696e666f 5f740000 000ee60d sum_info_t...... + 42b20 0400000f 680e4144 465f4e45 545f5453 ....h.ADF_NET_TS + 42b30 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 42b40 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 42b50 4e45545f 54534f5f 414c4c00 02000661 NET_TSO_ALL....a + 42b60 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 42b70 74000000 0f290c10 00000fbc 03636b73 t....).......cks + 42b80 756d5f63 61700000 000f0f02 23000374 um_cap......#..t + 42b90 736f0000 000f6802 23080376 6c616e5f so....h.#..vlan_ + 42ba0 73757070 6f727465 64000000 059d0223 supported......# + 42bb0 0c000c20 00001055 0374785f 7061636b ... ...U.tx_pack + 42bc0 65747300 00000536 02230003 72785f70 ets....6.#..rx_p + 42bd0 61636b65 74730000 00053602 23040374 ackets....6.#..t + 42be0 785f6279 74657300 00000536 02230803 x_bytes....6.#.. + 42bf0 72785f62 79746573 00000005 3602230c rx_bytes....6.#. + 42c00 0374785f 64726f70 70656400 00000536 .tx_dropped....6 + 42c10 02231003 72785f64 726f7070 65640000 .#..rx_dropped.. + 42c20 00053602 23140372 785f6572 726f7273 ..6.#..rx_errors + 42c30 00000005 36022318 0374785f 6572726f ....6.#..tx_erro + 42c40 72730000 00053602 231c0006 6164665f rs....6.#...adf_ + 42c50 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 42c60 0b8f1200 00105503 00000010 7a087f00 ......U.....z... + 42c70 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 42c80 64647200 03040000 10b1036e 656c656d ddr........nelem + 42c90 00000005 36022300 036d6361 73740000 ....6.#..mcast.. + 42ca0 00106c02 23040006 6164665f 6e65745f ..l.#...adf_net_ + 42cb0 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 42cc0 00000b29 06616466 5f6e6574 5f636d64 ...).adf_net_cmd + 42cd0 5f706f6c 6c5f696e 666f5f74 0000000d _poll_info_t.... + 42ce0 97066164 665f6e65 745f636d 645f636b ..adf_net_cmd_ck + 42cf0 73756d5f 696e666f 5f740000 000f0f06 sum_info_t...... + 42d00 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 42d10 5f696e66 6f5f7400 00000d5b 06616466 _info_t....[.adf + 42d20 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 42d30 6f5f7400 00000e40 06616466 5f6e6574 o_t....@.adf_net + 42d40 5f636d64 5f766964 5f740000 000bc506 _cmd_vid_t...... + 42d50 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 42d60 6f61645f 6361705f 74000000 0f800661 oad_cap_t......a + 42d70 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 42d80 5f740000 000fbc06 6164665f 6e65745f _t......adf_net_ + 42d90 636d645f 6d636164 64725f74 00000010 cmd_mcaddr_t.... + 42da0 7a106164 665f6e65 745f636d 645f6d63 z.adf_net_cmd_mc + 42db0 6173745f 63617000 04000011 f30e4144 ast_cap.......AD + 42dc0 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 42dd0 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 42de0 4e4f5453 55500001 00066164 665f6e65 NOTSUP....adf_ne + 42df0 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 42e00 74000000 11ab1403 04000012 c5036c69 t.............li + 42e10 6e6b5f69 6e666f00 000010b1 02230003 nk_info......#.. + 42e20 706f6c6c 5f696e66 6f000000 10ce0223 poll_info......# + 42e30 0003636b 73756d5f 696e666f 00000010 ..cksum_info.... + 42e40 eb022300 0372696e 675f696e 666f0000 ..#..ring_info.. + 42e50 00110902 23000364 6d615f69 6e666f00 ....#..dma_info. + 42e60 00001126 02230003 76696400 00001142 ...&.#..vid....B + 42e70 02230003 6f66666c 6f61645f 63617000 .#..offload_cap. + 42e80 00001159 02230003 73746174 73000000 ...Y.#..stats... + 42e90 11780223 00036d63 6173745f 696e666f .x.#..mcast_info + 42ea0 00000011 91022300 036d6361 73745f63 ......#..mcast_c + 42eb0 61700000 0011f302 2300000d 04000013 ap......#....... + 42ec0 1c0e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 42ed0 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 42ee0 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 42ef0 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 42f00 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 42f10 02000661 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 42f20 6b73756d 5f747970 655f7400 000012c5 ksum_type_t..... + 42f30 0c080000 135c0372 6573756c 74000000 .....\.result... + 42f40 131c0223 00037661 6c000000 05360223 ...#..val....6.# + 42f50 04000c08 0000138c 03747970 65000000 .........type... + 42f60 0f680223 00036d73 73000000 0bc50223 .h.#..mss......# + 42f70 04036864 725f6f66 66000000 059d0223 ..hdr_off......# + 42f80 0600025f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 42f90 65616400 0c000013 cb036865 61640000 ead.......head.. + 42fa0 00032902 23000374 61696c00 00000329 ..).#..tail....) + 42fb0 02230403 716c656e 00000005 36022308 .#..qlen....6.#. + 42fc0 00065f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 42fd0 00032904 000005ac 04000400 00053604 ..)...........6. + 42fe0 0009010a 00000208 010a0000 0536010a .............6.. + 42ff0 000005ac 010a0000 05ac0104 000001d9 ................ + 43000 0400065f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 43010 6561645f 74000000 138c065f 5f616466 ead_t......__adf + 43020 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 43030 140c0400 00142404 000a0000 13cb010a ......$......... + 43040 000013cb 010d0400 0015440e 415f5354 ..........D.A_ST + 43050 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 43060 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 43070 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 43080 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 43090 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 430a0 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 430b0 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 430c0 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 430d0 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 430e0 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 430f0 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 43100 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 43110 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 43120 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 43130 5455535f 45494f00 0c000661 5f737461 TUS_EIO....a_sta + 43140 7475735f 74000000 144f0a00 00154401 tus_t....O....D. + 43150 0a000001 ed010901 06616466 5f6e6275 .........adf_nbu + 43160 665f7400 000013cb 0d040000 15a90e41 f_t............A + 43170 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 43180 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 43190 5f46524f 4d5f4445 56494345 00010006 _FROM_DEVICE.... + 431a0 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 431b0 00000015 720a0000 15440109 01066164 ....r....D....ad + 431c0 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 431d0 5f740000 00055304 000015c7 04000901 _t....S......... + 431e0 09010a00 00156201 0a000013 cb010901 ......b......... + 431f0 09010a00 00156201 0a000013 cb010a00 ......b......... + 43200 00156201 0a000013 cb010a00 00156201 ..b...........b. + 43210 09010901 0a000005 36010a00 0005ac01 ........6....... + 43220 09010901 0a00000a 63010a00 0009b001 ........c....... + 43230 0a000009 b0010661 64665f6f 735f7367 .......adf_os_sg + 43240 6c697374 5f740000 0005eb04 00001640 list_t.........@ + 43250 04000901 09010901 0a000005 ac010661 ...............a + 43260 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 43270 00001424 04000016 68040009 01040000 ...$....h....... + 43280 140c0400 09010901 09010a00 00156201 ..............b. + 43290 0a000013 cb010a00 00053601 0a000005 ..........6..... + 432a0 36010a00 0009b001 0a000009 b0010a00 6............... + 432b0 000ecc01 0a000005 36010661 64665f6e ........6..adf_n + 432c0 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 432d0 00133a04 000016c4 04000901 09010661 ..:............a + 432e0 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 432f0 135c0400 0016e804 00090109 01066164 .\............ad + 43300 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 43310 00023206 6164665f 6e65745f 766c616e ..2.adf_net_vlan + 43320 6864725f 74000000 0cd80400 00171d04 hdr_t........... + 43330 000a0000 1544010a 00001544 01090109 .....D.....D.... + 43340 01025f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 43350 00176c03 64756d6d 79000000 01ed0223 ..l.dummy......# + 43360 00000901 04000017 6c040009 01040000 ........l....... + 43370 17750400 025f4849 465f4341 4c4c4241 .u..._HIF_CALLBA + 43380 434b000c 000017ca 0373656e 645f6275 CK.......send_bu + 43390 665f646f 6e650000 00176e02 23000372 f_done....n.#..r + 433a0 6563765f 62756600 00001777 02230403 ecv_buf....w.#.. + 433b0 636f6e74 65787400 00000232 02230800 context....2.#.. + 433c0 06686966 5f68616e 646c655f 74000000 .hif_handle_t... + 433d0 02320648 49465f43 4f4e4649 47000000 .2.HIF_CONFIG... + 433e0 174b0400 0017dc04 000a0000 17ca0104 .K.............. + 433f0 000017f3 04000901 04000018 00040006 ................ + 43400 4849465f 43414c4c 4241434b 00000017 HIF_CALLBACK.... + 43410 7e040000 18090400 09010400 00182204 ~.............". + 43420 000a0000 01ed0104 0000182b 04000901 ...........+.... + 43430 04000018 3804000a 000001ed 01040000 ....8........... + 43440 18410400 09010400 00184e04 000a0000 .A........N..... + 43450 01ed0104 00001857 04000901 04000018 .......W........ + 43460 64040002 6869665f 61706900 38000019 d...hif_api.8... + 43470 bd035f69 6e697400 000017f9 02230003 .._init......#.. + 43480 5f736875 74646f77 6e000000 18020223 _shutdown......# + 43490 04035f72 65676973 7465725f 63616c6c .._register_call + 434a0 6261636b 00000018 24022308 035f6765 back....$.#.._ge + 434b0 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 434c0 6f756e74 00000018 3102230c 035f7374 ount....1.#.._st + 434d0 61727400 00001802 02231003 5f636f6e art......#.._con + 434e0 6669675f 70697065 00000018 3a022314 fig_pipe....:.#. + 434f0 035f7365 6e645f62 75666665 72000000 ._send_buffer... + 43500 18470223 18035f72 65747572 6e5f7265 .G.#.._return_re + 43510 63765f62 75660000 00185002 231c035f cv_buf....P.#.._ + 43520 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 43530 64000000 185d0223 20035f67 65745f6d d....].# ._get_m + 43540 61785f6d 73675f6c 656e0000 00185d02 ax_msg_len....]. + 43550 2324035f 6765745f 72657365 72766564 #$._get_reserved + 43560 5f686561 64726f6f 6d000000 18310223 _headroom....1.# + 43570 28035f69 73725f68 616e646c 65720000 (._isr_handler.. + 43580 00180202 232c035f 6765745f 64656661 ....#,._get_defa + 43590 756c745f 70697065 00000018 66022330 ult_pipe....f.#0 + 435a0 03705265 73657276 65640000 00023202 .pReserved....2. + 435b0 23340009 01040000 19bd0400 15000004 #4.............. + 435c0 4f150000 044f0400 0019cb04 000a0000 O....O.......... + 435d0 01ed0104 000019d7 04000270 72696e74 ...........print + 435e0 665f6170 69000800 001a1b03 5f707269 f_api......._pri + 435f0 6e74665f 696e6974 00000019 bf022300 ntf_init......#. + 43600 035f7072 696e7466 00000019 dd022304 ._printf......#. + 43610 00067569 6e743136 5f740000 0001b505 ..uint16_t...... + 43620 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 43630 74000704 0675696e 7433325f 74000000 t....uint32_t... + 43640 1a290275 6172745f 6669666f 00080000 .).uart_fifo.... + 43650 1a970373 74617274 5f696e64 65780000 ...start_index.. + 43660 001a1b02 23000365 6e645f69 6e646578 ....#..end_index + 43670 0000001a 1b022302 036f7665 7272756e ......#..overrun + 43680 5f657272 0000001a 3e022304 00027561 _err....>.#...ua + 43690 72745f61 70690020 00001b50 035f7561 rt_api. ...P._ua + 436a0 72745f69 6e697400 00001ba7 02230003 rt_init......#.. + 436b0 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + 436c0 001bbd02 2304035f 75617274 5f636861 ....#.._uart_cha + 436d0 725f6765 74000000 1bd10223 08035f75 r_get......#.._u + 436e0 6172745f 7374725f 6f757400 00001bda art_str_out..... + 436f0 02230c03 5f756172 745f7461 736b0000 .#.._uart_task.. + 43700 0019bf02 2310035f 75617274 5f737461 ....#.._uart_sta + 43710 74757300 00001ba7 02231403 5f756172 tus......#.._uar + 43720 745f636f 6e666967 0000001b e3022318 t_config......#. + 43730 035f7561 72745f68 77696e69 74000000 ._uart_hwinit... + 43740 1bec0223 1c000400 001a9704 00027561 ...#..........ua + 43750 72745f62 6c6b0010 00001ba1 03646562 rt_blk.......deb + 43760 75675f6d 6f646500 00001a1b 02230003 ug_mode......#.. + 43770 62617564 0000001a 1b022302 035f7561 baud......#.._ua + 43780 72740000 001b5002 2304035f 74780000 rt....P.#.._tx.. + 43790 001a4c02 2308000a 00001a3e 01040000 ..L.#......>.... + 437a0 1ba10400 0675696e 74385f74 00000001 .....uint8_t.... + 437b0 90090104 00001bbb 04000400 001bae04 ................ + 437c0 000a0000 1a1b0104 00001bcb 04000901 ................ + 437d0 0400001b d8040009 01040000 1be10400 ................ + 437e0 09010400 001bea04 000a0000 01ed0104 ................ + 437f0 00001bf3 04000244 425f434f 4d4d414e .......DB_COMMAN + 43800 445f5354 52554354 000c0000 1c4b0363 D_STRUCT.....K.c + 43810 6d645f73 74720000 00045702 23000368 md_str....W.#..h + 43820 656c705f 73747200 00000457 02230403 elp_str....W.#.. + 43830 636d645f 66756e63 0000001b f9022308 cmd_func......#. + 43840 00026462 675f6170 69000800 001c7e03 ..dbg_api.....~. + 43850 5f646267 5f696e69 74000000 19bf0223 _dbg_init......# + 43860 00035f64 62675f74 61736b00 000019bf .._dbg_task..... + 43870 02230400 0a000002 32010400 001c7e04 .#......2.....~. + 43880 00161604 00001c8c 04000a00 00023201 ..............2. + 43890 0400001c 9404000a 000001ed 01040000 ................ + 438a0 1ca10400 026d656d 5f617069 00140000 .....mem_api.... + 438b0 1d10035f 6d656d5f 696e6974 00000019 ..._mem_init.... + 438c0 bf022300 035f6d65 6d736574 0000001c ..#.._memset.... + 438d0 84022304 035f6d65 6d637079 0000001c ..#.._memcpy.... + 438e0 9a022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... + 438f0 1c9a0223 0c035f6d 656d636d 70000000 ...#.._memcmp... + 43900 1ca70223 10001772 65676973 7465725f ...#...register_ + 43910 64756d70 5f730000 01040000 1d100400 dump_s.......... + 43920 09010400 001d2a04 00090104 00001d33 ......*........3 + 43930 04000a00 0001ed01 0400001d 3c040010 ............<... + 43940 686f7374 69665f73 00040000 1d980e48 hostif_s.......H + 43950 49465f55 53420000 0e484946 5f504349 IF_USB...HIF_PCI + 43960 4500010e 4849465f 474d4143 00020e48 E...HIF_GMAC...H + 43970 49465f50 43490003 0e484946 5f4e554d IF_PCI...HIF_NUM + 43980 00040e48 49465f4e 4f4e4500 05000641 ...HIF_NONE....A + 43990 5f484f53 54494600 00001d49 0a00001d _HOSTIF....I.... + 439a0 98010400 001da604 000a0000 1bae0104 ................ + 439b0 00001db3 04000a00 001a1b01 0400001d ................ + 439c0 c0040002 6d697363 5f617069 00240000 ....misc_api.$.. + 439d0 1eb0035f 73797374 656d5f72 65736574 ..._system_reset + 439e0 00000019 bf022300 035f6d61 635f7265 ......#.._mac_re + 439f0 73657400 000019bf 02230403 5f617373 set......#.._ass + 43a00 6661696c 0000001d 2c022308 035f6d69 fail....,.#.._mi + 43a10 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha + 43a20 6e646c65 72000000 1d2c0223 0c035f72 ndler....,.#.._r + 43a30 65706f72 745f6661 696c7572 655f746f eport_failure_to + 43a40 5f686f73 74000000 1d350223 10035f74 _host....5.#.._t + 43a50 61726765 745f6964 5f676574 0000001d arget_id_get.... + 43a60 42022314 035f6973 5f686f73 745f7072 B.#.._is_host_pr + 43a70 6573656e 74000000 1dac0223 18035f6b esent......#.._k + 43a80 62686974 0000001d b902231c 035f726f bhit......#.._ro + 43a90 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... + 43aa0 1dc60223 20000a00 00045701 0400001e ...# .....W..... + 43ab0 b004000a 00000457 01040000 1ebd0400 .......W........ + 43ac0 0a000001 ed010400 001eca04 000a0000 ................ + 43ad0 01ed0104 00001ed7 04000a00 0001ed01 ................ + 43ae0 0400001e e4040002 73747269 6e675f61 ........string_a + 43af0 70690018 00001f6a 035f7374 72696e67 pi.....j._string + 43b00 5f696e69 74000000 19bf0223 00035f73 _init......#.._s + 43b10 74726370 79000000 1eb60223 04035f73 trcpy......#.._s + 43b20 74726e63 70790000 001ec302 2308035f trncpy......#.._ + 43b30 7374726c 656e0000 001ed002 230c035f strlen......#.._ + 43b40 73747263 6d700000 001edd02 2310035f strcmp......#.._ + 43b50 7374726e 636d7000 00001eea 02231400 strncmp......#.. + 43b60 07000003 ea140000 1f770804 00065f41 .........w...._A + 43b70 5f54494d 45525f53 50414345 0000001f _TIMER_SPACE.... + 43b80 6a06415f 74696d65 725f7400 00001f77 j.A_timer_t....w + 43b90 0400001f 8b040009 01040000 1fa10400 ................ + 43ba0 09010400 001faa04 0006415f 48414e44 ..........A_HAND + 43bb0 4c450000 0003ea09 0106415f 54494d45 LE........A_TIME + 43bc0 525f4655 4e430000 001fc104 00001fc3 R_FUNC.......... + 43bd0 04000901 0400001f dc040002 74696d65 ............time + 43be0 725f6170 69001400 00205b03 5f74696d r_api.... [._tim + 43bf0 65725f69 6e697400 000019bf 02230003 er_init......#.. + 43c00 5f74696d 65725f61 726d0000 001fa302 _timer_arm...... + 43c10 2304035f 74696d65 725f6469 7361726d #.._timer_disarm + 43c20 0000001f ac022308 035f7469 6d65725f ......#.._timer_ + 43c30 73657466 6e000000 1fde0223 0c035f74 setfn......#.._t + 43c40 696d6572 5f72756e 00000019 bf022310 imer_run......#. + 43c50 0006424f 4f4c4541 4e000000 1a1b0a00 ..BOOLEAN....... + 43c60 00205b01 04000020 6804000a 0000205b . [.... h..... [ + 43c70 01040000 20750400 0a000020 5b010400 .... u..... [... + 43c80 00208204 0002726f 6d705f61 70690010 . ....romp_api.. + 43c90 000020f4 035f726f 6d705f69 6e697400 .. .._romp_init. + 43ca0 000019bf 02230003 5f726f6d 705f646f .....#.._romp_do + 43cb0 776e6c6f 61640000 00206e02 2304035f wnload... n.#.._ + 43cc0 726f6d70 5f696e73 74616c6c 00000020 romp_install... + 43cd0 7b022308 035f726f 6d705f64 65636f64 {.#.._romp_decod + 43ce0 65000000 20880223 0c000272 6f6d5f70 e... ..#...rom_p + 43cf0 61746368 5f737400 10000021 50036372 atch_st....!P.cr + 43d00 63313600 00001a1b 02230003 6c656e00 c16......#..len. + 43d10 00001a1b 02230203 6c645f61 64647200 .....#..ld_addr. + 43d20 00001a3e 02230403 66756e5f 61646472 ...>.#..fun_addr + 43d30 0000001a 3e022308 03706675 6e000000 ....>.#..pfun... + 43d40 1bc40223 0c000265 65705f72 65646972 ...#...eep_redir + 43d50 5f616464 72000400 00218203 6f666673 _addr....!..offs + 43d60 65740000 001a1b02 23000373 697a6500 et......#..size. + 43d70 00001a1b 02230200 0a000002 32010400 .....#......2... + 43d80 00218204 0002616c 6c6f6372 616d5f61 .!....allocram_a + 43d90 7069000c 000021f3 03636d6e 6f735f61 pi....!..cmnos_a + 43da0 6c6c6f63 72616d5f 696e6974 00000021 llocram_init...! + 43db0 88022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc + 43dc0 72616d00 00002188 02230403 636d6e6f ram...!..#..cmno + 43dd0 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 43de0 00000019 bf022308 00090104 000021f3 ......#.......!. + 43df0 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 43e00 43000000 21f5025f 7461736b 6c657400 C...!.._tasklet. + 43e10 10000022 54036675 6e630000 0021fc02 ..."T.func...!.. + 43e20 23000361 72670000 00023202 23040373 #..arg....2.#..s + 43e30 74617465 00000001 ed022308 036e6578 tate......#..nex + 43e40 74000000 22540223 0c000400 00221004 t..."T.#.....".. + 43e50 00040000 22100400 06415f74 61736b6c ...."....A_taskl + 43e60 65745f74 00000022 10040000 22620400 et_t..."...."b.. + 43e70 09010400 00227a04 00090104 00002283 ....."z.......". + 43e80 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. + 43e90 00002318 035f7461 736b6c65 745f696e ..#.._tasklet_in + 43ea0 69740000 0019bf02 2300035f 7461736b it......#.._task + 43eb0 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 43ec0 227c0223 04035f74 61736b6c 65745f64 "|.#.._tasklet_d + 43ed0 69736162 6c650000 00228502 2308035f isable..."..#.._ + 43ee0 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 43ef0 00000022 8502230c 035f7461 736b6c65 ..."..#.._taskle + 43f00 745f7275 6e000000 19bf0223 10000901 t_run......#.... + 43f10 04000023 1804000a 000003fa 01040000 ...#............ + 43f20 23210400 02636c6f 636b5f61 70690024 #!...clock_api.$ + 43f30 00002407 035f636c 6f636b5f 696e6974 ..$.._clock_init + 43f40 00000023 1a022300 035f636c 6f636b72 ...#..#.._clockr + 43f50 6567735f 696e6974 00000019 bf022304 egs_init......#. + 43f60 035f7561 72745f66 72657175 656e6379 ._uart_frequency + 43f70 00000023 27022308 035f6465 6c61795f ...#'.#.._delay_ + 43f80 75730000 0001f602 230c035f 776c616e us......#.._wlan + 43f90 5f62616e 645f7365 74000000 01f60223 _band_set......# + 43fa0 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 43fb0 67657400 00002327 02231403 5f6d696c get...#'.#.._mil + 43fc0 6c697365 636f6e64 73000000 23270223 liseconds...#'.# + 43fd0 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 43fe0 00000019 bf02231c 035f636c 6f636b5f ......#.._clock_ + 43ff0 7469636b 00000019 bf022320 000a0000 tick......# .... + 44000 1a3e0104 00002407 04000641 5f6f6c64 .>....$....A_old + 44010 5f696e74 725f7400 00001a3e 0a000024 _intr_t....>...$ + 44020 14010400 00242604 00090104 00002433 .....$&.......$3 + 44030 04000901 04000024 3c04000a 00001a3e .......$<......> + 44040 01040000 24450400 06415f69 73725f74 ....$E...A_isr_t + 44050 00000024 4b090104 0000245f 04000a00 ...$K.....$_.... + 44060 0003ea01 04000024 68040009 01040000 .......$h....... + 44070 24750400 02696e74 725f6170 69002c00 $u...intr_api.,. + 44080 00259703 5f696e74 725f696e 69740000 .%.._intr_init.. + 44090 0019bf02 2300035f 696e7472 5f696e76 ....#.._intr_inv + 440a0 6f6b655f 69737200 0000240d 02230403 oke_isr...$..#.. + 440b0 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 440c0 242c0223 08035f69 6e74725f 72657374 $,.#.._intr_rest + 440d0 6f726500 00002435 02230c03 5f696e74 ore...$5.#.._int + 440e0 725f6d61 736b5f69 6e756d00 0000243e r_mask_inum...$> + 440f0 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask + 44100 5f696e75 6d000000 243e0223 14035f69 _inum...$>.#.._i + 44110 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 44120 00246102 2318035f 6765745f 696e7472 .$a.#.._get_intr + 44130 656e6162 6c650000 00246e02 231c035f enable...$n.#.._ + 44140 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 44150 00247702 2320035f 6765745f 696e7472 .$w.# ._get_intr + 44160 70656e64 696e6700 0000246e 02232403 pending...$n.#$. + 44170 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 44180 726c766c 00000019 bf022328 000f0400 rlvl......#(.... + 44190 0025bd03 74696d65 6f757400 00001a3e .%..timeout....> + 441a0 02230003 61637469 6f6e0000 001a3e02 .#..action....>. + 441b0 2300000c 08000025 d803636d 64000000 #......%..cmd... + 441c0 1a3e0223 00180000 25970223 04000654 .>.#....%..#...T + 441d0 5f574454 5f434d44 00000025 bd090104 _WDT_CMD...%.... + 441e0 000025e7 04000d04 0000263d 0e454e55 ..%.......&=.ENU + 441f0 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU + 44200 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN + 44210 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E + 44220 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 44230 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 44240 000025f0 0a000026 3d010400 00264e04 ..%....&=....&N. + 44250 00027764 745f6170 69001c00 0026f203 ..wdt_api....&.. + 44260 5f776474 5f696e69 74000000 19bf0223 _wdt_init......# + 44270 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... + 44280 19bf0223 04035f77 64745f64 69736162 ...#.._wdt_disab + 44290 6c650000 0019bf02 2308035f 7764745f le......#.._wdt_ + 442a0 73657400 000025e9 02230c03 5f776474 set...%..#.._wdt + 442b0 5f746173 6b000000 19bf0223 10035f77 _task......#.._w + 442c0 64745f72 65736574 00000019 bf022314 dt_reset......#. + 442d0 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 442e0 00002654 02231800 0d040000 27590e52 ..&T.#......'Y.R + 442f0 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET + 44300 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ + 44310 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ + 44320 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE + 44330 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 44340 0e524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... + 44350 545f4545 505f5245 54000000 26f20400 T_EEP_RET...&... + 44360 001a1b04 000a0000 27590104 0000276f ........'Y....'o + 44370 04000a00 00275901 04000027 7c040002 .....'Y....'|... + 44380 6565705f 61706900 10000027 e5035f65 eep_api....'.._e + 44390 65705f69 6e697400 000019bf 02230003 ep_init......#.. + 443a0 5f656570 5f726561 64000000 27750223 _eep_read...'u.# + 443b0 04035f65 65705f77 72697465 00000027 .._eep_write...' + 443c0 75022308 035f6565 705f6973 5f657869 u.#.._eep_is_exi + 443d0 73740000 00278202 230c0002 7573625f st...'..#...usb_ + 443e0 61706900 7000002a 92035f75 73625f69 api.p..*.._usb_i + 443f0 6e697400 000019bf 02230003 5f757362 nit......#.._usb + 44400 5f726f6d 5f746173 6b000000 19bf0223 _rom_task......# + 44410 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 44420 0019bf02 2308035f 7573625f 696e6974 ....#.._usb_init + 44430 5f706879 00000019 bf02230c 035f7573 _phy......#.._us + 44440 625f6570 305f7365 74757000 000019bf b_ep0_setup..... + 44450 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 44460 000019bf 02231403 5f757362 5f657030 .....#.._usb_ep0 + 44470 5f727800 000019bf 02231803 5f757362 _rx......#.._usb + 44480 5f676574 5f696e74 65726661 63650000 _get_interface.. + 44490 00207b02 231c035f 7573625f 7365745f . {.#.._usb_set_ + 444a0 696e7465 72666163 65000000 207b0223 interface... {.# + 444b0 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 444c0 67757261 74696f6e 00000020 7b022324 guration... {.#$ + 444d0 035f7573 625f7365 745f636f 6e666967 ._usb_set_config + 444e0 75726174 696f6e00 0000207b 02232803 uration... {.#(. + 444f0 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 44500 64000000 207b0223 2c035f75 73625f76 d... {.#,._usb_v + 44510 656e646f 725f636d 64000000 19bf0223 endor_cmd......# + 44520 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 44530 00000019 bf022334 035f7573 625f7265 ......#4._usb_re + 44540 7365745f 6669666f 00000019 bf022338 set_fifo......#8 + 44550 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 44560 19bf0223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ + 44570 626f6f74 00000019 bf022340 035f7573 boot......#@._us + 44580 625f636c 725f6665 61747572 65000000 b_clr_feature... + 44590 207b0223 44035f75 73625f73 65745f66 {.#D._usb_set_f + 445a0 65617475 72650000 00207b02 2348035f eature... {.#H._ + 445b0 7573625f 7365745f 61646472 65737300 usb_set_address. + 445c0 0000207b 02234c03 5f757362 5f676574 .. {.#L._usb_get + 445d0 5f646573 63726970 746f7200 0000207b _descriptor... { + 445e0 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta + 445f0 74757300 0000207b 02235403 5f757362 tus... {.#T._usb + 44600 5f736574 75705f64 65736300 000019bf _setup_desc..... + 44610 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 44620 00000019 bf02235c 035f7573 625f7374 ......#\._usb_st + 44630 61747573 5f696e00 000019bf 02236003 atus_in......#`. + 44640 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 44650 00000019 bf022364 035f7573 625f6570 ......#d._usb_ep + 44660 305f7278 5f646174 61000000 19bf0223 0_rx_data......# + 44670 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 44680 000019bf 02236c00 10646d61 5f656e67 .....#l..dma_eng + 44690 696e6500 0400002b 1b0e444d 415f454e ine....+..DMA_EN + 446a0 47494e45 5f525830 00000e44 4d415f45 GINE_RX0...DMA_E + 446b0 4e47494e 455f5258 3100010e 444d415f NGINE_RX1...DMA_ + 446c0 454e4749 4e455f52 58320002 0e444d41 ENGINE_RX2...DMA + 446d0 5f454e47 494e455f 52583300 030e444d _ENGINE_RX3...DM + 446e0 415f454e 47494e45 5f545830 00040e44 A_ENGINE_TX0...D + 446f0 4d415f45 4e47494e 455f5458 3100050e MA_ENGINE_TX1... + 44700 444d415f 454e4749 4e455f4d 41580006 DMA_ENGINE_MAX.. + 44710 0006646d 615f656e 67696e65 5f740000 ..dma_engine_t.. + 44720 002a9210 646d615f 69667479 70650004 .*..dma_iftype.. + 44730 00002b68 0e444d41 5f49465f 474d4143 ..+h.DMA_IF_GMAC + 44740 00000e44 4d415f49 465f5043 4900010e ...DMA_IF_PCI... + 44750 444d415f 49465f50 43494500 02000664 DMA_IF_PCIE....d + 44760 6d615f69 66747970 655f7400 00002b2d ma_iftype_t...+- + 44770 0a000001 cb010400 002b7a04 00090104 .........+z..... + 44780 00002b87 04000901 0400002b 9004000a ..+........+.... + 44790 000003fa 01040000 2b990400 0a000001 ........+....... + 447a0 cb010400 002ba604 000a0000 01cb0104 .....+.......... + 447b0 00002bb3 04000a00 00032901 0400002b ..+.......)....+ + 447c0 c0040009 01040000 2bcd0400 02646d61 ........+....dma + 447d0 5f6c6962 5f617069 00340000 2cd40374 _lib_api.4..,..t + 447e0 785f696e 69740000 002b8002 23000374 x_init...+..#..t + 447f0 785f7374 61727400 00002b89 02230403 x_start...+..#.. + 44800 72785f69 6e697400 00002b80 02230803 rx_init...+..#.. + 44810 72785f63 6f6e6669 67000000 2b920223 rx_config...+..# + 44820 0c037278 5f737461 72740000 002b8902 ..rx_start...+.. + 44830 23100369 6e74725f 73746174 75730000 #..intr_status.. + 44840 002b9f02 23140368 6172645f 786d6974 .+..#..hard_xmit + 44850 0000002b ac022318 03666c75 73685f78 ...+..#..flush_x + 44860 6d697400 00002b89 02231c03 786d6974 mit...+..#..xmit + 44870 5f646f6e 65000000 2bb90223 20037265 _done...+..# .re + 44880 61705f78 6d697474 65640000 002bc602 ap_xmitted...+.. + 44890 23240372 6561705f 72656376 0000002b #$.reap_recv...+ + 448a0 c6022328 03726574 75726e5f 72656376 ..#(.return_recv + 448b0 0000002b cf02232c 03726563 765f706b ...+..#,.recv_pk + 448c0 74000000 2bb90223 3000025f 5f706369 t...+..#0..__pci + 448d0 5f736f66 7463000c 00002cf2 03737700 _softc....,..sw. + 448e0 00001809 02230000 065f5f70 63695f73 .....#...__pci_s + 448f0 6f667463 5f740000 002cd404 00002cf2 oftc_t...,....,. + 44900 04000901 0400002d 0c04000a 000001a1 .......-........ + 44910 01040000 2d150400 10686966 5f706369 ....-....hif_pci + 44920 5f706970 655f7478 00040000 2d750e48 _pipe_tx....-u.H + 44930 49465f50 43495f50 4950455f 54583000 IF_PCI_PIPE_TX0. + 44940 000e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 44950 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP + 44960 455f5458 5f4d4158 00020006 6869665f E_TX_MAX....hif_ + 44970 7063695f 70697065 5f74785f 74000000 pci_pipe_tx_t... + 44980 2d220a00 002b1b01 0400002d 8c040010 -"...+.....-.... + 44990 6869665f 7063695f 70697065 5f727800 hif_pci_pipe_rx. + 449a0 0400002e 120e4849 465f5043 495f5049 ......HIF_PCI_PI + 449b0 50455f52 58300000 0e484946 5f504349 PE_RX0...HIF_PCI + 449c0 5f504950 455f5258 3100010e 4849465f _PIPE_RX1...HIF_ + 449d0 5043495f 50495045 5f525832 00020e48 PCI_PIPE_RX2...H + 449e0 49465f50 43495f50 4950455f 52583300 IF_PCI_PIPE_RX3. + 449f0 030e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 44a00 585f4d41 58000400 06686966 5f706369 X_MAX....hif_pci + 44a10 5f706970 655f7278 5f740000 002d990a _pipe_rx_t...-.. + 44a20 00002b1b 01040000 2e290400 02686966 ..+......)...hif + 44a30 5f706369 5f617069 00240000 2f070370 _pci_api.$../..p + 44a40 63695f62 6f6f745f 696e6974 00000019 ci_boot_init.... + 44a50 bf022300 03706369 5f696e69 74000000 ..#..pci_init... + 44a60 17f90223 04037063 695f7265 73657400 ...#..pci_reset. + 44a70 000019bf 02230803 7063695f 656e6162 .....#..pci_enab + 44a80 6c650000 0019bf02 230c0370 63695f72 le......#..pci_r + 44a90 6561705f 786d6974 74656400 00002d0e eap_xmitted...-. + 44aa0 02231003 7063695f 72656170 5f726563 .#..pci_reap_rec + 44ab0 76000000 2d0e0223 14037063 695f6765 v...-..#..pci_ge + 44ac0 745f7069 70650000 002d1b02 23180370 t_pipe...-..#..p + 44ad0 63695f67 65745f74 785f656e 67000000 ci_get_tx_eng... + 44ae0 2d920223 1c037063 695f6765 745f7278 -..#..pci_get_rx + 44af0 5f656e67 0000002e 2f022320 0002676d _eng..../.# ..gm + 44b00 61635f61 70690004 00002f2e 03676d61 ac_api..../..gma + 44b10 635f626f 6f745f69 6e697400 000019bf c_boot_init..... + 44b20 02230000 07000001 90060000 2f3b0805 .#........../;.. + 44b30 00025f5f 65746868 6472000e 00002f71 ..__ethhdr..../q + 44b40 03647374 0000002f 2e022300 03737263 .dst.../..#..src + 44b50 0000002f 2e022306 03657479 70650000 .../..#..etype.. + 44b60 0001cb02 230c0002 5f5f6174 68686472 ....#...__athhdr + 44b70 00040000 2fbf1172 65730000 0001a101 ..../..res...... + 44b80 00020223 00117072 6f746f00 000001a1 ...#..proto..... + 44b90 01020602 23000372 65735f6c 6f000000 ....#..res_lo... + 44ba0 01a10223 01037265 735f6869 00000001 ...#..res_hi.... + 44bb0 cb022302 00025f5f 676d6163 5f686472 ..#...__gmac_hdr + 44bc0 00140000 2ffb0365 74680000 002f3b02 ..../..eth.../;. + 44bd0 23000361 74680000 002f7102 230e0361 #..ath.../q.#..a + 44be0 6c69676e 5f706164 00000001 cb022312 lign_pad......#. + 44bf0 00065f5f 676d6163 5f686472 5f740000 ..__gmac_hdr_t.. + 44c00 002fbf02 5f5f676d 61635f73 6f667463 ./..__gmac_softc + 44c10 00240000 30450368 64720000 002ffb02 .$..0E.hdr.../.. + 44c20 23000367 72616e00 000001cb 02231403 #..gran......#.. + 44c30 73770000 00180902 23180017 5f415f6f sw......#..._A_o + 44c40 735f6c69 6e6b6167 655f6368 65636b00 s_linkage_check. + 44c50 00010400 00304504 000a0000 01ed0104 .....0E......... + 44c60 00003063 04000400 0003ea04 00135f41 ..0c.........._A + 44c70 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 44c80 6f6e5f74 61626c65 0001b800 0031b303 on_table.....1.. + 44c90 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 44ca0 6b000000 30690223 00037374 6172745f k...0i.#..start_ + 44cb0 62737300 00003070 02230403 6170705f bss...0p.#..app_ + 44cc0 73746172 74000000 19bf0223 08036d65 start......#..me + 44cd0 6d000000 1cae0223 0c036d69 73630000 m......#..misc.. + 44ce0 001dcd02 23200370 72696e74 66000000 ....# .printf... + 44cf0 19e40223 44037561 72740000 001a9702 ...#D.uart...... + 44d00 234c0367 6d616300 00002f07 02236c03 #L.gmac.../..#l. + 44d10 75736200 000027e5 02237003 636c6f63 usb...'..#p.cloc + 44d20 6b000000 232e0323 e0010374 696d6572 k...#..#...timer + 44d30 0000001f e5032384 0203696e 74720000 ......#...intr.. + 44d40 00247e03 23980203 616c6c6f 6372616d .$~.#...allocram + 44d50 00000021 8f0323c4 0203726f 6d700000 ...!..#...romp.. + 44d60 00208f03 23d00203 7764745f 74696d65 . ..#...wdt_time + 44d70 72000000 265b0323 e0020365 65700000 r...&[.#...eep.. + 44d80 00278903 23fc0203 73747269 6e670000 .'..#...string.. + 44d90 001ef103 238c0303 7461736b 6c657400 ....#...tasklet. + 44da0 0000228c 0323a403 00025f55 53425f46 .."..#...._USB_F + 44db0 49464f5f 434f4e46 49470010 00003226 IFO_CONFIG....2& + 44dc0 03676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 44dd0 00000003 36022300 03726563 765f636f ....6.#..recv_co + 44de0 6d6d616e 64000000 034c0223 04036765 mmand....L.#..ge + 44df0 745f6576 656e745f 62756600 00000336 t_event_buf....6 + 44e00 02230803 73656e64 5f657665 6e745f64 .#..send_event_d + 44e10 6f6e6500 0000034c 02230c00 06555342 one....L.#...USB + 44e20 5f464946 4f5f434f 4e464947 00000031 _FIFO_CONFIG...1 + 44e30 b3040000 32260400 09010400 00324204 ....2&.......2B. + 44e40 00027573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 44e50 00329803 5f696e69 74000000 32440223 .2.._init...2D.# + 44e60 00035f65 6e61626c 655f6576 656e745f .._enable_event_ + 44e70 69737200 000019bf 02230403 70526573 isr......#..pRes + 44e80 65727665 64000000 02320223 08000700 erved....2.#.... + 44e90 00059d02 000032a5 08010002 5f485443 ......2....._HTC + 44ea0 5f465241 4d455f48 44520008 00003317 _FRAME_HDR....3. + 44eb0 03456e64 706f696e 74494400 0000059d .EndpointID..... + 44ec0 02230003 466c6167 73000000 059d0223 .#..Flags......# + 44ed0 01035061 796c6f61 644c656e 0000000b ..PayloadLen.... + 44ee0 c5022302 03436f6e 74726f6c 42797465 ..#..ControlByte + 44ef0 73000000 32980223 0403486f 73745365 s...2..#..HostSe + 44f00 714e756d 0000000b c5022306 000c0200 qNum......#..... + 44f10 00333003 4d657373 61676549 44000000 .30.MessageID... + 44f20 0bc50223 00000c08 00003393 034d6573 ...#......3..Mes + 44f30 73616765 49440000 000bc502 23000343 sageID......#..C + 44f40 72656469 74436f75 6e740000 000bc502 reditCount...... + 44f50 23020343 72656469 7453697a 65000000 #..CreditSize... + 44f60 0bc50223 04034d61 78456e64 706f696e ...#..MaxEndpoin + 44f70 74730000 00059d02 2306035f 50616431 ts......#.._Pad1 + 44f80 00000005 9d022307 000c0a00 00342a03 ......#......4*. + 44f90 4d657373 61676549 44000000 0bc50223 MessageID......# + 44fa0 00035365 72766963 65494400 00000bc5 ..ServiceID..... + 44fb0 02230203 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 44fc0 61677300 00000bc5 02230403 446f776e ags......#..Down + 44fd0 4c696e6b 50697065 49440000 00059d02 LinkPipeID...... + 44fe0 23060355 704c696e 6b506970 65494400 #..UpLinkPipeID. + 44ff0 0000059d 02230703 53657276 6963654d .....#..ServiceM + 45000 6574614c 656e6774 68000000 059d0223 etaLength......# + 45010 08035f50 61643100 0000059d 02230900 .._Pad1......#.. + 45020 0c0a0000 34b2034d 65737361 67654944 ....4..MessageID + 45030 0000000b c5022300 03536572 76696365 ......#..Service + 45040 49440000 000bc502 23020353 74617475 ID......#..Statu + 45050 73000000 059d0223 0403456e 64706f69 s......#..Endpoi + 45060 6e744944 00000005 9d022305 034d6178 ntID......#..Max + 45070 4d736753 697a6500 00000bc5 02230603 MsgSize......#.. + 45080 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 45090 68000000 059d0223 08035f50 61643100 h......#.._Pad1. + 450a0 0000059d 02230900 0c020000 34cb034d .....#......4..M + 450b0 65737361 67654944 0000000b c5022300 essageID......#. + 450c0 000c0400 00350703 4d657373 61676549 .....5..MessageI + 450d0 44000000 0bc50223 00035069 70654944 D......#..PipeID + 450e0 00000005 9d022302 03437265 64697443 ......#..CreditC + 450f0 6f756e74 00000005 9d022303 000c0400 ount......#..... + 45100 00353e03 4d657373 61676549 44000000 .5>.MessageID... + 45110 0bc50223 00035069 70654944 00000005 ...#..PipeID.... + 45120 9d022302 03537461 74757300 0000059d ..#..Status..... + 45130 02230300 0c020000 35650352 65636f72 .#......5e.Recor + 45140 64494400 0000059d 02230003 4c656e67 dID......#..Leng + 45150 74680000 00059d02 2301000c 02000035 th......#......5 + 45160 8f03456e 64706f69 6e744944 00000005 ..EndpointID.... + 45170 9d022300 03437265 64697473 00000005 ..#..Credits.... + 45180 9d022301 000c0400 0035d003 456e6470 ..#......5..Endp + 45190 6f696e74 49440000 00059d02 23000343 ointID......#..C + 451a0 72656469 74730000 00059d02 23010354 redits......#..T + 451b0 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 451c0 0bc50223 02000700 00059d04 000035dd ...#..........5. + 451d0 0803000c 06000036 19035072 6556616c .......6..PreVal + 451e0 69640000 00059d02 2300034c 6f6f6b41 id......#..LookA + 451f0 68656164 00000035 d0022301 03506f73 head...5..#..Pos + 45200 7456616c 69640000 00059d02 23050006 tValid......#... + 45210 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 45220 02320a00 00361901 04000036 2c040009 .2...6.....6,... + 45230 01040000 36390400 0d040000 36b70e50 ....69......6..P + 45240 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 45250 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 45260 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 45270 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 45280 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID + 45290 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P + 452a0 4f4f4c5f 49445f4d 4158000a 00064255 OOL_ID_MAX....BU + 452b0 465f504f 4f4c5f49 44000000 36420901 F_POOL_ID...6B.. + 452c0 04000036 c804000a 00001562 01040000 ...6.......b.... + 452d0 36d10400 0a000015 62010400 0036de04 6.......b....6.. + 452e0 00090104 000036eb 04000262 75665f70 ......6....buf_p + 452f0 6f6f6c5f 61706900 1c000037 8d035f69 ool_api....7.._i + 45300 6e697400 00003632 02230003 5f736875 nit...62.#.._shu + 45310 74646f77 6e000000 363b0223 04035f63 tdown...6;.#.._c + 45320 72656174 655f706f 6f6c0000 0036ca02 reate_pool...6.. + 45330 2308035f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 45340 36d70223 0c035f61 6c6c6f63 5f627566 6..#.._alloc_buf + 45350 5f616c69 676e0000 0036e402 2310035f _align...6..#.._ + 45360 66726565 5f627566 00000036 ed022314 free_buf...6..#. + 45370 03705265 73657276 65640000 00023202 .pReserved....2. + 45380 23180002 5f485443 5f534552 56494345 #..._HTC_SERVICE + 45390 001c0000 386c0370 4e657874 00000038 ....8l.pNext...8 + 453a0 6c022300 0350726f 63657373 52656376 l.#..ProcessRecv + 453b0 4d736700 00003921 02230403 50726f63 Msg...9!.#..Proc + 453c0 65737353 656e6442 75666665 72436f6d essSendBufferCom + 453d0 706c6574 65000000 392a0223 08035072 plete...9*.#..Pr + 453e0 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 453f0 3e02230c 03536572 76696365 49440000 >.#..ServiceID.. + 45400 0001cb02 23100353 65727669 6365466c ....#..ServiceFl + 45410 61677300 000001cb 02231203 4d617853 ags......#..MaxS + 45420 76634d73 6753697a 65000000 01cb0223 vcMsgSize......# + 45430 14035472 61696c65 72537063 43686563 ..TrailerSpcChec + 45440 6b4c696d 69740000 0001cb02 23160353 kLimit......#..S + 45450 65727669 63654374 78000000 02320223 erviceCtx....2.# + 45460 18000400 00378d04 000d0400 00390a19 .....7.......9.. + 45470 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 45480 ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. + 45490 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END + 454a0 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN + 454b0 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. + 454c0 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END + 454d0 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN + 454e0 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. + 454f0 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 45500 06485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 45510 00000038 73090104 0000391f 04000901 ...8s.....9..... + 45520 04000039 28040004 000001ed 04000a00 ...9(........... + 45530 0001a101 04000039 38040004 0000378d .......98.....7. + 45540 0400025f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 45550 000039bd 03437265 64697453 697a6500 ..9..CreditSize. + 45560 000001ed 02230003 43726564 69744e75 .....#..CreditNu + 45570 6d626572 00000001 ed022304 034f5348 mber......#..OSH + 45580 616e646c 65000000 09610223 08034849 andle....a.#..HI + 45590 4648616e 646c6500 000017ca 02230c03 FHandle......#.. + 455a0 506f6f6c 48616e64 6c650000 00361902 PoolHandle...6.. + 455b0 23100002 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 455c0 54455854 00020000 39f90365 6e645f70 TEXT....9..end_p + 455d0 6f696e74 00000001 a1022300 03687463 oint......#..htc + 455e0 5f666c61 67730000 0001a102 23010006 _flags......#... + 455f0 6874635f 68616e64 6c655f74 00000002 htc_handle_t.... + 45600 32064854 435f5345 5455505f 434f4d50 2.HTC_SETUP_COMP + 45610 4c455445 5f434200 000019bf 06485443 LETE_CB......HTC + 45620 5f434f4e 46494700 0000394c 0400003a _CONFIG...9L...: + 45630 2604000a 000039f9 01040000 3a3d0400 &.....9.....:=.. + 45640 09010400 003a4a04 00064854 435f5345 .....:J...HTC_SE + 45650 52564943 45000000 378d0400 003a5304 RVICE...7....:S. + 45660 00090104 00003a6b 04000901 0400003a ......:k.......: + 45670 74040009 01040000 3a7d0400 0a000001 t.......:}...... + 45680 ed010400 003a8604 00026874 635f6170 .....:....htc_ap + 45690 69730034 00003c03 035f4854 435f496e is.4..<.._HTC_In + 456a0 69740000 003a4302 2300035f 4854435f it...:C.#.._HTC_ + 456b0 53687574 646f776e 0000003a 4c022304 Shutdown...:L.#. + 456c0 035f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 456d0 72766963 65000000 3a6d0223 08035f48 rvice...:m.#.._H + 456e0 54435f52 65616479 0000003a 4c02230c TC_Ready...:L.#. + 456f0 035f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 45700 65727300 00003a76 02231003 5f485443 ers...:v.#.._HTC + 45710 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 45720 73740000 003a7f02 2314035f 4854435f st...:..#.._HTC_ + 45730 53656e64 4d736700 00003a76 02231803 SendMsg...:v.#.. + 45740 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 45750 48656164 726f6f6d 0000003a 8c02231c Headroom...:..#. + 45760 035f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 45770 646c6572 00000017 77022320 035f4854 dler....w.# ._HT + 45780 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 45790 72000000 176e0223 24035f48 54435f43 r....n.#$._HTC_C + 457a0 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 457b0 4d736700 00003921 02232803 5f485443 Msg...9!.#(._HTC + 457c0 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 457d0 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 457e0 00392a02 232c0370 52657365 72766564 .9*.#,.pReserved + 457f0 00000002 32022330 0002686f 73745f61 ....2.#0..host_a + 45800 70705f61 7265615f 73000400 003c3303 pp_area_s....<3. + 45810 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 45820 00000005 36022300 000c0e00 003c6a03 ....6.#......f.HtcHan + 45a10 646c6500 000039f9 02230003 506f6f6c dle...9..#..Pool + 45a20 48616e64 6c650000 00361902 2304034d Handle...6..#..M + 45a30 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 45a40 0001ed02 2308034d 61784576 656e7445 ....#..MaxEventE + 45a50 76747300 000001ed 02230c00 09010400 vts......#...... + 45a60 003e6604 0006574d 495f434d 445f4841 .>f...WMI_CMD_HA + 45a70 4e444c45 52000000 3e68025f 574d495f NDLER...>h._WMI_ + 45a80 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 45a90 00003ecf 0370436d 6448616e 646c6572 ..>..pCmdHandler + 45aa0 0000003e 6f022300 03436d64 49440000 ...>o.#..CmdID.. + 45ab0 0001cb02 23040346 6c616773 00000001 ....#..Flags.... + 45ac0 cb022306 00025f57 4d495f44 49535041 ..#..._WMI_DISPA + 45ad0 5443485f 5441424c 45001000 003f3003 TCH_TABLE....?0. + 45ae0 704e6578 74000000 3f300223 00037043 pNext...?0.#..pC + 45af0 6f6e7465 78740000 00023202 2304034e ontext....2.#..N + 45b00 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 45b10 0001ed02 23080370 5461626c 65000000 ....#..pTable... + 45b20 3f4f0223 0c000400 003ecf04 0006574d ?O.#.....>....WM + 45b30 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 45b40 0000003e 84040000 3f370400 0400003e ...>....?7.....> + 45b50 cf040006 4854435f 4255465f 434f4e54 ....HTC_BUF_CONT + 45b60 45585400 000039bd 10574d49 5f455654 EXT...9..WMI_EVT + 45b70 5f434c41 53530004 00003fe7 19574d49 _CLASS....?..WMI + 45b80 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 45b90 ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 45ba0 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W + 45bb0 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 45bc0 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT + 45bd0 5f434c41 53535f4d 41580002 0006574d _CLASS_MAX....WM + 45be0 495f4556 545f434c 41535300 00003f72 I_EVT_CLASS...?r + 45bf0 025f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 45c00 54000c00 00404503 48746342 75664374 T....@E.HtcBufCt + 45c10 78000000 3f5d0223 00034576 656e7443 x...?].#..EventC + 45c20 6c617373 0000003f e7022304 03466c61 lass...?..#..Fla + 45c30 67730000 0001cb02 23080006 776d695f gs......#...wmi_ + 45c40 68616e64 6c655f74 00000002 3206574d handle_t....2.WM + 45c50 495f5356 435f434f 4e464947 0000003d I_SVC_CONFIG...= + 45c60 fd040000 40570400 0a000040 45010400 ....@W.....@E... + 45c70 00407204 0006574d 495f4449 53504154 .@r...WMI_DISPAT + 45c80 43485f54 41424c45 0000003e cf040000 CH_TABLE...>.... + 45c90 407f0400 09010400 00409e04 000a0000 @........@...... + 45ca0 15620104 000040a7 04000901 04000040 .b....@........@ + 45cb0 b404000a 000001ed 01040000 40bd0400 ............@... + 45cc0 09010400 0040ca04 000a0000 01a10104 .....@.......... + 45cd0 000040d3 0400025f 776d695f 7376635f ..@...._wmi_svc_ + 45ce0 61706973 002c0000 421b035f 574d495f apis.,..B.._WMI_ + 45cf0 496e6974 00000040 78022300 035f574d Init...@x.#.._WM + 45d00 495f5265 67697374 65724469 73706174 I_RegisterDispat + 45d10 63685461 626c6500 000040a0 02230403 chTable...@..#.. + 45d20 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 45d30 000040ad 02230803 5f574d49 5f53656e ..@..#.._WMI_Sen + 45d40 64457665 6e740000 0040b602 230c035f dEvent...@..#.._ + 45d50 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 45d60 656e7473 436f756e 74000000 40c30223 entsCount...@..# + 45d70 10035f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 45d80 65746548 616e646c 65720000 00392a02 eteHandler...9*. + 45d90 2314035f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 45da0 6f6c4570 00000040 c3022318 035f574d olEp...@..#.._WM + 45db0 495f5368 7574646f 776e0000 0040cc02 I_Shutdown...@.. + 45dc0 231c035f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 45dd0 61676548 616e646c 65720000 00392102 ageHandler...9!. + 45de0 2320035f 574d495f 53657276 69636543 # ._WMI_ServiceC + 45df0 6f6e6e65 63740000 0040d902 23240370 onnect...@..#$.p + 45e00 52657365 72766564 00000002 32022328 Reserved....2.#( + 45e10 00027a73 446d6144 65736300 14000042 ..zsDmaDesc....B + 45e20 9d036374 726c0000 0001b502 23000373 ..ctrl......#..s + 45e30 74617475 73000000 01b50223 0203746f tatus......#..to + 45e40 74616c4c 656e0000 0001b502 23040364 talLen......#..d + 45e50 61746153 697a6500 000001b5 02230603 ataSize......#.. + 45e60 6c617374 41646472 00000042 9d022308 lastAddr...B..#. + 45e70 03646174 61416464 72000000 1a290223 .dataAddr....).# + 45e80 0c036e65 78744164 64720000 00429d02 ..nextAddr...B.. + 45e90 23100004 0000421b 04000400 00421b04 #.....B......B.. + 45ea0 00027a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 45eb0 42dd0368 65616400 000042a4 02230003 B..head...B..#.. + 45ec0 7465726d 696e6174 6f720000 0042a402 terminator...B.. + 45ed0 23040002 7a735478 446d6151 75657565 #...zsTxDmaQueue + 45ee0 00100000 43410368 65616400 000042a4 ....CA.head...B. + 45ef0 02230003 7465726d 696e6174 6f720000 .#..terminator.. + 45f00 0042a402 23040378 6d697465 645f6275 .B..#..xmited_bu + 45f10 665f6865 61640000 00032902 23080378 f_head....).#..x + 45f20 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 45f30 00032902 230c0009 01040000 43410400 ..).#.......CA.. + 45f40 04000042 ab040009 01040000 43510400 ...B........CQ.. + 45f50 04000042 dd040009 01040000 43610400 ...B........Ca.. + 45f60 09010400 00436a04 00090104 00004373 .....Cj.......Cs + 45f70 04000a00 00032901 04000043 7c040009 ......)....C|... + 45f80 01040000 43890400 0a000003 29010400 ....C.......)... + 45f90 00439204 00090104 0000439f 04000a00 .C........C..... + 45fa0 0001ed01 04000043 a804000a 000042a4 .......C......B. + 45fb0 01040000 43b50400 09010400 0043c204 ....C........C.. + 45fc0 0002646d 615f656e 67696e65 5f617069 ..dma_engine_api + 45fd0 00400000 4538035f 696e6974 00000043 .@..E8._init...C + 45fe0 43022300 035f696e 69745f72 785f7175 C.#.._init_rx_qu + 45ff0 65756500 00004353 02230403 5f696e69 eue...CS.#.._ini + 46000 745f7478 5f717565 75650000 00436302 t_tx_queue...Cc. + 46010 2308035f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 46020 65756500 0000436c 02230c03 5f786d69 eue...Cl.#.._xmi + 46030 745f6275 66000000 43750223 10035f66 t_buf...Cu.#.._f + 46040 6c757368 5f786d69 74000000 43530223 lush_xmit...CS.# + 46050 14035f72 6561705f 72656376 5f627566 .._reap_recv_buf + 46060 00000043 82022318 035f7265 7475726e ...C..#.._return + 46070 5f726563 765f6275 66000000 438b0223 _recv_buf...C..# + 46080 1c035f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 46090 75660000 00439802 2320035f 73776170 uf...C..# ._swap + 460a0 5f646174 61000000 43a10223 24035f68 _data...C..#$._h + 460b0 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 460c0 00000043 ae022328 035f6465 73635f64 ...C..#(._desc_d + 460d0 756d7000 00004353 02232c03 5f676574 ump...CS.#,._get + 460e0 5f706163 6b657400 000043bb 02233003 _packet...C..#0. + 460f0 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 46100 000043c4 02233403 5f707574 5f706163 ..C..#4._put_pac + 46110 6b657400 000043c4 02233803 70526573 ket...C..#8.pRes + 46120 65727665 64000000 02320223 3c00065f erved....2.#<.._ + 46130 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 46140 696f6e5f 7461626c 655f7400 00003077 ion_table_t...0w + 46150 06574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 46160 40e0135f 415f6d61 67706965 5f696e64 @.._A_magpie_ind + 46170 69726563 74696f6e 5f746162 6c650003 irection_table.. + 46180 4c000046 6603636d 6e6f7300 00004538 L..Ff.cmnos...E8 + 46190 02230003 64626700 00001c4b 0323b803 .#..dbg....K.#.. + 461a0 03686966 00000018 6d0323c0 03036874 .hif....m.#...ht + 461b0 63000000 3a930323 f8030377 6d695f73 c...:..#...wmi_s + 461c0 76635f61 70690000 00455a03 23ac0403 vc_api...EZ.#... + 461d0 75736266 69666f5f 61706900 0000324b usbfifo_api...2K + 461e0 0323d804 03627566 5f706f6f 6c000000 .#...buf_pool... + 461f0 36f40323 e4040376 62756600 00000353 6..#...vbuf....S + 46200 03238005 03766465 73630000 00023503 .#...vdesc....5. + 46210 23940503 616c6c6f 6372616d 00000021 #...allocram...! + 46220 8f0323a8 0503646d 615f656e 67696e65 ..#...dma_engine + 46230 00000043 cb0323b4 0503646d 615f6c69 ...C..#...dma_li + 46240 62000000 2bd60323 f4050368 69665f70 b...+..#...hif_p + 46250 63690000 002e3603 23a80600 1a706369 ci....6.#....pci + 46260 5f736300 00002cf2 05030050 0708010a _sc...,....P.... + 46270 000003fa 01040000 03fa0400 0901065f ..............._ + 46280 415f6d61 67706965 5f696e64 69726563 A_magpie_indirec + 46290 74696f6e 5f746162 6c655f74 00000045 tion_table_t...E + 462a0 6c070000 044f1900 0046b808 18000400 l....O...F...... + 462b0 0046ab04 00070000 044f0b00 0046cc08 .F.......O...F.. + 462c0 0a000400 0046bf04 00070000 044f1300 .....F.......O.. + 462d0 0046e008 12000400 0046d304 00070000 .F.......F...... + 462e0 044f0f00 0046f408 0e000400 0046e704 .O...F.......F.. + 462f0 00070000 044f1000 00470808 0f000400 .....O...G...... + 46300 0046fb04 000a0000 01ed0107 0000044f .F.............O + 46310 15000047 22081400 04000047 15040009 ...G"......G.... + 46320 01040000 186d0400 09010400 00470f04 .....m.......G.. + 46330 00040000 47290400 0400002e 36040009 ....G)......6... + 46340 011b0131 5f5f7063 695f7265 675f7265 ...1__pci_reg_re + 46350 61640000 0003fa01 01039201 20029000 ad.......... ... + 46360 008e2f94 008e2f9e 00004785 1c013161 ../.../...G...1a + 46370 64647200 000003fa 0152001d 01375f5f ddr......R...7__ + 46380 7063695f 7265675f 77726974 65000101 pci_reg_write... + 46390 03920120 02900000 8e2fa000 8e2faa00 ... ...../.../.. + 463a0 0047c91c 01376164 64720000 0003fa01 .G...7addr...... + 463b0 521c0137 76616c00 000003fa 0153001b R..7val......S.. + 463c0 013d5f5f 7063695f 6765745f 70697065 .=__pci_get_pipe + 463d0 00000001 a1010103 92012002 9000008e .......... ..... + 463e0 2fac008e 2fd30000 48021c01 3d656e67 /.../...H...=eng + 463f0 0000002b 1b015200 1b01525f 5f706369 ...+..R...R__pci + 46400 5f676574 5f74785f 656e6700 00002b1b _get_tx_eng...+. + 46410 01010392 01200290 00008e2f d4008e2f ..... ...../.../ + 46420 e8000048 3e1c0152 70697065 0000002d ...H>..Rpipe...- + 46430 75015200 1b01605f 5f706369 5f676574 u.R...`__pci_get + 46440 5f72785f 656e6700 00002b1b 01010392 _rx_eng...+..... + 46450 01200290 00008e2f e8008e30 0b000048 . ...../...0...H + 46460 7a1c0160 70697065 0000002e 12015200 z..`pipe......R. + 46470 1d01765f 5f706369 5f656e61 626c6500 ..v__pci_enable. + 46480 01010392 01200290 00008e30 0c008e30 ..... .....0...0 + 46490 22000048 ac1e725f 64617461 00000003 "..H..r_data.... + 464a0 fa001d01 875f5f70 63695f72 65736574 .....__pci_reset + 464b0 00010103 92013002 9000008e 3024008e ......0.....0$.. + 464c0 30d80000 48e01f72 5f646174 61000000 0...H..r_data... + 464d0 03fa0291 50002001 b95f5f70 63695f62 ....P. ..__pci_b + 464e0 6f6f745f 696e6974 00010103 92012002 oot_init...... . + 464f0 9000008e 30d8008e 310d1b01 cd5f5f70 ....0...1....__p + 46500 63695f69 6e697400 000017ca 01010392 ci_init......... + 46510 01200290 00008e31 10008e31 63000049 . .....1...1c..I + 46520 3d1c01cd 70436f6e 66696700 000017ec =...pConfig..... + 46530 0152001d 01ea5f5f 7063695f 6366675f .R....__pci_cfg_ + 46540 70697065 00010103 92012002 9000008e pipe...... ..... + 46550 3164008e 319e0000 49a91c01 ea68646c 1d..1...I....hdl + 46560 00000017 ca01521c 01ea7069 70650000 ......R...pipe.. + 46570 0001ed01 531c01ea 6e756d5f 64657363 ....S...num_desc + 46580 00000001 ed01541e 656e6700 00002b1b ......T.eng...+. + 46590 1e646573 635f6c65 6e000000 01cb0021 .desc_len......! + 465a0 0101005f 5f706369 5f737461 72740001 ...__pci_start.. + 465b0 01039201 20029000 008e31a0 008e31a5 .... .....1...1. + 465c0 000049dd 22010100 68646c00 000017ca ..I."...hdl..... + 465d0 01520021 01010b5f 5f706369 5f726567 .R.!...__pci_reg + 465e0 5f63616c 6c626163 6b000101 03920120 _callback...... + 465f0 02900000 8e31a800 8e31bc00 004a2d22 .....1...1...J-" + 46600 01010b68 646c0000 0017ca01 52220101 ...hdl......R".. + 46610 0b737700 0000181b 01531e73 63000000 .sw......S.sc... + 46620 2d050021 01011b5f 5f706369 5f726561 -..!...__pci_rea + 46630 705f786d 69747465 64000101 03920120 p_xmitted...... + 46640 02900000 8e31bc00 8e31e800 004a8c22 .....1...1...J." + 46650 01011b73 63000000 2d050152 2201011b ...sc...-..R"... + 46660 656e675f 6e6f0000 002b1b01 531e7662 eng_no...+..S.vb + 46670 75660000 0003291e 70697065 00000001 uf....).pipe.... + 46680 a1002101 01345f5f 7063695f 72656170 ..!..4__pci_reap + 46690 5f726563 76000101 03920120 02900000 _recv...... .... + 466a0 8e31e800 8e321000 004ade22 01013473 .1...2...J."..4s + 466b0 63000000 2d050152 22010134 656e675f c...-..R"..4eng_ + 466c0 6e6f0000 002b1b01 531e7662 75660000 no...+..S.vbuf.. + 466d0 00032900 21010145 5f5f7063 695f6973 ..).!..E__pci_is + 466e0 725f6861 6e646c65 72000101 03920120 r_handler...... + 466f0 02900000 8e321000 8e32af00 004b2a22 .....2...2...K*" + 46700 01014568 646c0000 0017ca01 521e7363 ..Ehdl......R.sc + 46710 0000002d 051e6d6f 72650000 0001cb00 ...-..more...... + 46720 23010171 5f5f7063 695f786d 69745f62 #..q__pci_xmit_b + 46730 75660000 0001ed01 01039201 20029000 uf.......... ... + 46740 008e32b0 008e32da 00004b8c 22010171 ..2...2...K."..q + 46750 68646c00 000017ca 01522201 01717069 hdl......R"..qpi + 46760 70650000 0001ed01 53220101 71766275 pe......S"..qvbu + 46770 66000000 03290154 1e656e67 0000002b f....).T.eng...+ + 46780 1b002101 01865f5f 7063695f 72657475 ..!...__pci_retu + 46790 726e5f72 65637600 01010392 01200290 rn_recv...... .. + 467a0 00008e32 dc008e32 f700004b ec220101 ...2...2...K.".. + 467b0 8668646c 00000017 ca015222 01018670 .hdl......R"...p + 467c0 69706500 000001ed 01532201 01866275 ipe......S"...bu + 467d0 66000000 03290154 1e656e67 0000002b f....).T.eng...+ + 467e0 1b002301 019a5f5f 7063695f 69735f70 ..#...__pci_is_p + 467f0 6970655f 73757070 6f727465 64000000 ipe_supported... + 46800 01ed0101 03920120 02900000 8e32f800 ....... .....2.. + 46810 8e330600 004c3f22 01019a68 646c0000 .3...L?"...hdl.. + 46820 0017ca01 52220101 9a706970 65000000 ....R"...pipe... + 46830 01ed0153 00230101 aa5f5f70 63695f67 ...S.#...__pci_g + 46840 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 46850 0001ed01 01039201 20029000 008e3308 ........ .....3. + 46860 008e3316 00004c90 220101aa 68646c00 ..3...L."...hdl. + 46870 000017ca 01522201 01aa7069 70650000 .....R"...pipe.. + 46880 0001ed01 53002301 01b95f5f 7063695f ....S.#...__pci_ + 46890 6765745f 72657365 72766564 5f686561 get_reserved_hea + 468a0 64726f6f 6d000000 01ed0101 03920120 droom.......... + 468b0 02900000 8e331800 8e331f00 004cd822 .....3...3...L." + 468c0 0101b968 646c0000 0017ca01 52002101 ...hdl......R.!. + 468d0 01c35f5f 7063695f 73687574 646f776e ..__pci_shutdown + 468e0 00010103 92012002 9000008e 3320008e ...... .....3 .. + 468f0 33250000 4d0f2201 01c36864 6c000000 3%..M."...hdl... + 46900 17ca0152 00210101 c95f5f70 63695f67 ...R.!...__pci_g + 46910 65745f64 65665f70 69706500 01010392 et_def_pipe..... + 46920 01200290 00008e33 28008e33 3500004d . .....3(..35..M + 46930 71220101 c968616e 646c6500 000017ca q"...handle..... + 46940 01522201 01c97069 70655f72 78000000 .R"...pipe_rx... + 46950 01ae0153 220101c9 70697065 5f747800 ...S"...pipe_tx. + 46960 000001ae 01540021 0101d468 69665f70 .....T.!...hif_p + 46970 63695f6d 6f64756c 655f696e 7374616c ci_module_instal + 46980 6c000101 03920120 02900000 8e333800 l...... .....38. + 46990 8e337900 004db122 0101d461 70697300 .3y..M."...apis. + 469a0 0000472b 01520024 0101e668 69665f70 ..G+.R.$...hif_p + 469b0 63695f61 70695f69 6e737461 6c6c0001 ci_api_install.. + 469c0 01039201 20029000 008e337c 008e33ae .... .....3|..3. + 469d0 220101e6 61706973 00000047 42015200 "...apis...GB.R. + 469e0 00000000 4f6f0002 000019a8 04012f72 ....Oo......../r + 469f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 46a00 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 46a10 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 46a20 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 46a30 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 46a40 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ + 46a50 7573622f 7372632f 4849465f 7573622e usb/src/HIF_usb. + 46a60 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 46a70 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 46a80 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 46a90 2f726f6d 2f686966 2f757362 0078742d /rom/hif/usb.xt- + 46aa0 78636320 666f7220 372e312e 30202d4f xcc for 7.1.0 -O + 46ab0 50543a61 6c69676e 5f696e73 74727563 PT:align_instruc + 46ac0 74696f6e 733d3332 202d4f32 202d6733 tions=32 -O2 -g3 + 46ad0 202d4f50 543a7370 61636500 01000000 -OPT:space..... + 46ae0 f41b0201 03000001 00040004 696e7400 ............int. + 46af0 05040463 68617200 07010500 00011005 ...char......... + 46b00 00000110 03000001 1d040006 00000109 ................ + 46b10 01030000 01290400 07707269 6e74665f .....)...printf_ + 46b20 61706900 08000001 6d085f70 72696e74 api.....m._print + 46b30 665f696e 69740000 00010202 2300085f f_init......#.._ + 46b40 7072696e 74660000 00012f02 23040004 printf..../.#... + 46b50 73686f72 7420756e 7369676e 65642069 short unsigned i + 46b60 6e740007 02097569 6e743136 5f740000 nt....uint16_t.. + 46b70 00016d04 6c6f6e67 20756e73 69676e65 ..m.long unsigne + 46b80 6420696e 74000704 0975696e 7433325f d int....uint32_ + 46b90 74000000 01910775 6172745f 6669666f t......uart_fifo + 46ba0 00080000 01ff0873 74617274 5f696e64 .......start_ind + 46bb0 65780000 00018302 23000865 6e645f69 ex......#..end_i + 46bc0 6e646578 00000001 83022302 086f7665 ndex......#..ove + 46bd0 7272756e 5f657272 00000001 a6022304 rrun_err......#. + 46be0 00077561 72745f61 70690020 000002b8 ..uart_api. .... + 46bf0 085f7561 72745f69 6e697400 0000030f ._uart_init..... + 46c00 02230008 5f756172 745f6368 61725f70 .#.._uart_char_p + 46c10 75740000 00033602 2304085f 75617274 ut....6.#.._uart + 46c20 5f636861 725f6765 74000000 034a0223 _char_get....J.# + 46c30 08085f75 6172745f 7374725f 6f757400 .._uart_str_out. + 46c40 00000353 02230c08 5f756172 745f7461 ...S.#.._uart_ta + 46c50 736b0000 00010202 2310085f 75617274 sk......#.._uart + 46c60 5f737461 74757300 0000030f 02231408 _status......#.. + 46c70 5f756172 745f636f 6e666967 00000003 _uart_config.... + 46c80 5c022318 085f7561 72745f68 77696e69 \.#.._uart_hwini + 46c90 74000000 03650223 1c000300 0001ff04 t....e.#........ + 46ca0 00077561 72745f62 6c6b0010 00000309 ..uart_blk...... + 46cb0 08646562 75675f6d 6f646500 00000183 .debug_mode..... + 46cc0 02230008 62617564 00000001 83022302 .#..baud......#. + 46cd0 085f7561 72740000 0002b802 2304085f ._uart......#.._ + 46ce0 74780000 0001b402 23080006 000001a6 tx......#....... + 46cf0 01030000 03090400 04756e73 69676e65 .........unsigne + 46d00 64206368 61720007 01097569 6e74385f d char....uint8_ + 46d10 74000000 03160201 03000003 34040003 t...........4... + 46d20 00000327 04000600 00018301 03000003 ...'............ + 46d30 44040002 01030000 03510400 02010300 D........Q...... + 46d40 00035a04 00020103 00000363 04000300 ..Z........c.... + 46d50 00011004 00060000 01090103 00000373 ...............s + 46d60 04000744 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST + 46d70 52554354 000c0000 03cb0863 6d645f73 RUCT.......cmd_s + 46d80 74720000 00036c02 23000868 656c705f tr....l.#..help_ + 46d90 73747200 0000036c 02230408 636d645f str....l.#..cmd_ + 46da0 66756e63 00000003 79022308 00076462 func....y.#...db + 46db0 675f6170 69000800 0003fe08 5f646267 g_api......._dbg + 46dc0 5f696e69 74000000 01020223 00085f64 _init......#.._d + 46dd0 62675f74 61736b00 00000102 02230400 bg_task......#.. + 46de0 0a040004 756e7369 676e6564 20696e74 ....unsigned int + 46df0 00070406 000003fe 01030000 04110400 ................ + 46e00 0b0b0300 00041f04 00060000 03fe0103 ................ + 46e10 00000427 04000600 00010901 03000004 ...'............ + 46e20 34040007 6d656d5f 61706900 14000004 4...mem_api..... + 46e30 a3085f6d 656d5f69 6e697400 00000102 .._mem_init..... + 46e40 02230008 5f6d656d 73657400 00000417 .#.._memset..... + 46e50 02230408 5f6d656d 63707900 0000042d .#.._memcpy....- + 46e60 02230808 5f6d656d 6d6f7665 00000004 .#.._memmove.... + 46e70 2d02230c 085f6d65 6d636d70 00000004 -.#.._memcmp.... + 46e80 3a022310 000c7265 67697374 65725f64 :.#...register_d + 46e90 756d705f 73000001 03000004 a3040002 ump_s........... + 46ea0 01030000 04bd0400 02010300 0004c604 ................ + 46eb0 00060000 01090103 000004cf 04000d68 ...............h + 46ec0 6f737469 665f7300 04000005 2b0e4849 ostif_s.....+.HI + 46ed0 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE + 46ee0 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI + 46ef0 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. + 46f00 040e4849 465f4e4f 4e450005 0009415f ..HIF_NONE....A_ + 46f10 484f5354 49460000 0004dc06 0000052b HOSTIF.........+ + 46f20 01030000 05390400 06000003 27010300 .....9......'... + 46f30 00054604 00060000 01830103 00000553 ..F............S + 46f40 0400076d 6973635f 61706900 24000006 ...misc_api.$... + 46f50 43085f73 79737465 6d5f7265 73657400 C._system_reset. + 46f60 00000102 02230008 5f6d6163 5f726573 .....#.._mac_res + 46f70 65740000 00010202 2304085f 61737366 et......#.._assf + 46f80 61696c00 000004bf 02230808 5f6d6973 ail......#.._mis + 46f90 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han + 46fa0 646c6572 00000004 bf02230c 085f7265 dler......#.._re + 46fb0 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ + 46fc0 686f7374 00000004 c8022310 085f7461 host......#.._ta + 46fd0 72676574 5f69645f 67657400 000004d5 rget_id_get..... + 46fe0 02231408 5f69735f 686f7374 5f707265 .#.._is_host_pre + 46ff0 73656e74 00000005 3f022318 085f6b62 sent....?.#.._kb + 47000 68697400 0000054c 02231c08 5f726f6d hit....L.#.._rom + 47010 5f766572 73696f6e 5f676574 00000005 _version_get.... + 47020 59022320 00060000 036c0103 00000643 Y.# .....l.....C + 47030 04000600 00036c01 03000006 50040006 ......l.....P... + 47040 00000109 01030000 065d0400 06000001 .........]...... + 47050 09010300 00066a04 00060000 01090103 ......j......... + 47060 00000677 04000773 7472696e 675f6170 ...w...string_ap + 47070 69001800 0006fd08 5f737472 696e675f i......._string_ + 47080 696e6974 00000001 02022300 085f7374 init......#.._st + 47090 72637079 00000006 49022304 085f7374 rcpy....I.#.._st + 470a0 726e6370 79000000 06560223 08085f73 rncpy....V.#.._s + 470b0 74726c65 6e000000 06630223 0c085f73 trlen....c.#.._s + 470c0 7472636d 70000000 06700223 10085f73 trcmp....p.#.._s + 470d0 74726e63 6d700000 00067d02 2314000f trncmp....}.#... + 470e0 00000401 14000007 0a100400 095f415f ............._A_ + 470f0 54494d45 525f5350 41434500 000006fd TIMER_SPACE..... + 47100 09415f74 696d6572 5f740000 00070a03 .A_timer_t...... + 47110 0000071e 04000201 03000007 34040002 ............4... + 47120 01030000 073d0400 09415f48 414e444c .....=...A_HANDL + 47130 45000000 04010201 09415f54 494d4552 E........A_TIMER + 47140 5f46554e 43000000 07540300 00075604 _FUNC....T....V. + 47150 00020103 0000076f 04000774 696d6572 .......o...timer + 47160 5f617069 00140000 07ee085f 74696d65 _api......._time + 47170 725f696e 69740000 00010202 2300085f r_init......#.._ + 47180 74696d65 725f6172 6d000000 07360223 timer_arm....6.# + 47190 04085f74 696d6572 5f646973 61726d00 .._timer_disarm. + 471a0 0000073f 02230808 5f74696d 65725f73 ...?.#.._timer_s + 471b0 6574666e 00000007 7102230c 085f7469 etfn....q.#.._ti + 471c0 6d65725f 72756e00 00000102 02231000 mer_run......#.. + 471d0 09424f4f 4c45414e 00000001 83060000 .BOOLEAN........ + 471e0 07ee0103 000007fb 04000600 0007ee01 ................ + 471f0 03000008 08040006 000007ee 01030000 ................ + 47200 08150400 07726f6d 705f6170 69001000 .....romp_api... + 47210 00088708 5f726f6d 705f696e 69740000 ...._romp_init.. + 47220 00010202 2300085f 726f6d70 5f646f77 ....#.._romp_dow + 47230 6e6c6f61 64000000 08010223 04085f72 nload......#.._r + 47240 6f6d705f 696e7374 616c6c00 0000080e omp_install..... + 47250 02230808 5f726f6d 705f6465 636f6465 .#.._romp_decode + 47260 00000008 1b02230c 0007726f 6d5f7061 ......#...rom_pa + 47270 7463685f 73740010 000008e3 08637263 tch_st.......crc + 47280 31360000 00018302 2300086c 656e0000 16......#..len.. + 47290 00018302 2302086c 645f6164 64720000 ....#..ld_addr.. + 472a0 0001a602 23040866 756e5f61 64647200 ....#..fun_addr. + 472b0 000001a6 02230808 7066756e 00000003 .....#..pfun.... + 472c0 3d02230c 00076565 705f7265 6469725f =.#...eep_redir_ + 472d0 61646472 00040000 0915086f 66667365 addr.......offse + 472e0 74000000 01830223 00087369 7a650000 t......#..size.. + 472f0 00018302 23020009 415f5549 4e543332 ....#...A_UINT32 + 47300 00000004 01060000 03fe0103 00000923 ...............# + 47310 04000761 6c6c6f63 72616d5f 61706900 ...allocram_api. + 47320 0c000009 9408636d 6e6f735f 616c6c6f ......cmnos_allo + 47330 6372616d 5f696e69 74000000 09290223 cram_init....).# + 47340 0008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 47350 00000009 29022304 08636d6e 6f735f61 ....).#..cmnos_a + 47360 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... + 47370 01020223 08000201 03000009 94040009 ...#............ + 47380 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. + 47390 00099607 5f746173 6b6c6574 00100000 ...._tasklet.... + 473a0 09f50866 756e6300 0000099d 02230008 ...func......#.. + 473b0 61726700 000003fe 02230408 73746174 arg......#..stat + 473c0 65000000 01090223 08086e65 78740000 e......#..next.. + 473d0 0009f502 230c0003 000009b1 04000300 ....#........... + 473e0 0009b104 0009415f 7461736b 6c65745f ......A_tasklet_ + 473f0 74000000 09b10300 000a0304 00020103 t............... + 47400 00000a1b 04000201 0300000a 24040007 ............$... + 47410 7461736b 6c65745f 61706900 1400000a tasklet_api..... + 47420 b9085f74 61736b6c 65745f69 6e697400 .._tasklet_init. + 47430 00000102 02230008 5f746173 6b6c6574 .....#.._tasklet + 47440 5f696e69 745f7461 736b0000 000a1d02 _init_task...... + 47450 2304085f 7461736b 6c65745f 64697361 #.._tasklet_disa + 47460 626c6500 00000a26 02230808 5f746173 ble....&.#.._tas + 47470 6b6c6574 5f736368 6564756c 65000000 klet_schedule... + 47480 0a260223 0c085f74 61736b6c 65745f72 .&.#.._tasklet_r + 47490 756e0000 00010202 23100002 01030000 un......#....... + 474a0 0ab90400 06000009 15010300 000ac204 ................ + 474b0 00020103 00000acf 04000763 6c6f636b ...........clock + 474c0 5f617069 00240000 0bb1085f 636c6f63 _api.$....._cloc + 474d0 6b5f696e 69740000 000abb02 2300085f k_init......#.._ + 474e0 636c6f63 6b726567 735f696e 69740000 clockregs_init.. + 474f0 00010202 2304085f 75617274 5f667265 ....#.._uart_fre + 47500 7175656e 63790000 000ac802 2308085f quency......#.._ + 47510 64656c61 795f7573 0000000a d102230c delay_us......#. + 47520 085f776c 616e5f62 616e645f 73657400 ._wlan_band_set. + 47530 00000ad1 02231008 5f726566 636c6b5f .....#.._refclk_ + 47540 73706565 645f6765 74000000 0ac80223 speed_get......# + 47550 14085f6d 696c6c69 7365636f 6e647300 .._milliseconds. + 47560 00000ac8 02231808 5f737973 636c6b5f .....#.._sysclk_ + 47570 6368616e 67650000 00010202 231c085f change......#.._ + 47580 636c6f63 6b5f7469 636b0000 00010202 clock_tick...... + 47590 23200006 000001a6 01030000 0bb10400 # .............. + 475a0 09415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... + 475b0 01a60600 000bbe01 0300000b d0040002 ................ + 475c0 01030000 0bdd0400 02010300 000be604 ................ + 475d0 00060000 01a60103 00000bef 04000941 ...............A + 475e0 5f697372 5f740000 000bf502 01030000 _isr_t.......... + 475f0 0c090400 06000004 01010300 000c1204 ................ + 47600 00020103 00000c1f 04000769 6e74725f ...........intr_ + 47610 61706900 2c00000d 41085f69 6e74725f api.,...A._intr_ + 47620 696e6974 00000001 02022300 085f696e init......#.._in + 47630 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... + 47640 0bb70223 04085f69 6e74725f 64697361 ...#.._intr_disa + 47650 626c6500 00000bd6 02230808 5f696e74 ble......#.._int + 47660 725f7265 73746f72 65000000 0bdf0223 r_restore......# + 47670 0c085f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu + 47680 6d000000 0be80223 10085f69 6e74725f m......#.._intr_ + 47690 756e6d61 736b5f69 6e756d00 00000be8 unmask_inum..... + 476a0 02231408 5f696e74 725f6174 74616368 .#.._intr_attach + 476b0 5f697372 0000000c 0b022318 085f6765 _isr......#.._ge + 476c0 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 476d0 1802231c 085f7365 745f696e 7472656e ..#.._set_intren + 476e0 61626c65 0000000c 21022320 085f6765 able....!.# ._ge + 476f0 745f696e 74727065 6e64696e 67000000 t_intrpending... + 47700 0c180223 24085f75 6e626c6f 636b5f61 ...#$._unblock_a + 47710 6c6c5f69 6e74726c 766c0000 00010202 ll_intrlvl...... + 47720 23280011 0400000d 67087469 6d656f75 #(......g.timeou + 47730 74000000 01a60223 00086163 74696f6e t......#..action + 47740 00000001 a6022300 00120800 000d8208 ......#......... + 47750 636d6400 000001a6 02230013 00000d41 cmd......#.....A + 47760 02230400 09545f57 44545f43 4d440000 .#...T_WDT_CMD.. + 47770 000d6702 01030000 0d910400 14040000 ..g............. + 47780 0de70e45 4e554d5f 5744545f 424f4f54 ...ENUM_WDT_BOOT + 47790 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO + 477a0 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO + 477b0 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW + 477c0 4e5f424f 4f540004 0009545f 424f4f54 N_BOOT....T_BOOT + 477d0 5f545950 45000000 0d9a0600 000de701 _TYPE........... + 477e0 0300000d f8040007 7764745f 61706900 ........wdt_api. + 477f0 1c00000e 9c085f77 64745f69 6e697400 ......_wdt_init. + 47800 00000102 02230008 5f776474 5f656e61 .....#.._wdt_ena + 47810 626c6500 00000102 02230408 5f776474 ble......#.._wdt + 47820 5f646973 61626c65 00000001 02022308 _disable......#. + 47830 085f7764 745f7365 74000000 0d930223 ._wdt_set......# + 47840 0c085f77 64745f74 61736b00 00000102 .._wdt_task..... + 47850 02231008 5f776474 5f726573 65740000 .#.._wdt_reset.. + 47860 00010202 2314085f 7764745f 6c617374 ....#.._wdt_last + 47870 5f626f6f 74000000 0dfe0223 18001404 _boot......#.... + 47880 00000f03 0e524554 5f535543 43455353 .....RET_SUCCESS + 47890 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. + 478a0 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. + 478b0 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP + 478c0 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER + 478d0 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO + 478e0 574e0005 0009545f 4545505f 52455400 WN....T_EEP_RET. + 478f0 00000e9c 03000001 83040006 00000f03 ................ + 47900 01030000 0f190400 0600000f 03010300 ................ + 47910 000f2604 00076565 705f6170 69001000 ..&...eep_api... + 47920 000f8f08 5f656570 5f696e69 74000000 ...._eep_init... + 47930 01020223 00085f65 65705f72 65616400 ...#.._eep_read. + 47940 00000f1f 02230408 5f656570 5f777269 .....#.._eep_wri + 47950 74650000 000f1f02 2308085f 6565705f te......#.._eep_ + 47960 69735f65 78697374 0000000f 2c02230c is_exist....,.#. + 47970 00077573 625f6170 69007000 00123c08 ..usb_api.p...<. + 47980 5f757362 5f696e69 74000000 01020223 _usb_init......# + 47990 00085f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. + 479a0 00000102 02230408 5f757362 5f66775f .....#.._usb_fw_ + 479b0 7461736b 00000001 02022308 085f7573 task......#.._us + 479c0 625f696e 69745f70 68790000 00010202 b_init_phy...... + 479d0 230c085f 7573625f 6570305f 73657475 #.._usb_ep0_setu + 479e0 70000000 01020223 10085f75 73625f65 p......#.._usb_e + 479f0 70305f74 78000000 01020223 14085f75 p0_tx......#.._u + 47a00 73625f65 70305f72 78000000 01020223 sb_ep0_rx......# + 47a10 18085f75 73625f67 65745f69 6e746572 .._usb_get_inter + 47a20 66616365 00000008 0e02231c 085f7573 face......#.._us + 47a30 625f7365 745f696e 74657266 61636500 b_set_interface. + 47a40 0000080e 02232008 5f757362 5f676574 .....# ._usb_get + 47a50 5f636f6e 66696775 72617469 6f6e0000 _configuration.. + 47a60 00080e02 2324085f 7573625f 7365745f ....#$._usb_set_ + 47a70 636f6e66 69677572 6174696f 6e000000 configuration... + 47a80 080e0223 28085f75 73625f73 74616e64 ...#(._usb_stand + 47a90 6172645f 636d6400 0000080e 02232c08 ard_cmd......#,. + 47aa0 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. + 47ab0 00000102 02233008 5f757362 5f706f77 .....#0._usb_pow + 47ac0 65725f6f 66660000 00010202 2334085f er_off......#4._ + 47ad0 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. + 47ae0 00010202 2338085f 7573625f 67656e5f ....#8._usb_gen_ + 47af0 77647400 00000102 02233c08 5f757362 wdt......#<._usb + 47b00 5f6a756d 705f626f 6f740000 00010202 _jump_boot...... + 47b10 2340085f 7573625f 636c725f 66656174 #@._usb_clr_feat + 47b20 75726500 0000080e 02234408 5f757362 ure......#D._usb + 47b30 5f736574 5f666561 74757265 00000008 _set_feature.... + 47b40 0e022348 085f7573 625f7365 745f6164 ..#H._usb_set_ad + 47b50 64726573 73000000 080e0223 4c085f75 dress......#L._u + 47b60 73625f67 65745f64 65736372 6970746f sb_get_descripto + 47b70 72000000 080e0223 50085f75 73625f67 r......#P._usb_g + 47b80 65745f73 74617475 73000000 080e0223 et_status......# + 47b90 54085f75 73625f73 65747570 5f646573 T._usb_setup_des + 47ba0 63000000 01020223 58085f75 73625f72 c......#X._usb_r + 47bb0 65675f6f 75740000 00010202 235c085f eg_out......#\._ + 47bc0 7573625f 73746174 75735f69 6e000000 usb_status_in... + 47bd0 01020223 60085f75 73625f65 70305f74 ...#`._usb_ep0_t + 47be0 785f6461 74610000 00010202 2364085f x_data......#d._ + 47bf0 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. + 47c00 00000102 02236808 5f757362 5f636c6b .....#h._usb_clk + 47c10 5f696e69 74000000 01020223 6c00075f _init......#l.._ + 47c20 56444553 43002400 0012c808 6e657874 VDESC.$.....next + 47c30 5f646573 63000000 12c80223 00086275 _desc......#..bu + 47c40 665f6164 64720000 0012dc02 23040862 f_addr......#..b + 47c50 75665f73 697a6500 000012e3 02230808 uf_size......#.. + 47c60 64617461 5f6f6666 73657400 000012e3 data_offset..... + 47c70 02230a08 64617461 5f73697a 65000000 .#..data_size... + 47c80 12e30223 0c08636f 6e74726f 6c000000 ...#..control... + 47c90 12e30223 0e086877 5f646573 635f6275 ...#..hw_desc_bu + 47ca0 66000000 12f10223 10000300 00123c04 f......#......<. + 47cb0 0009415f 55494e54 38000000 03160300 ..A_UINT8....... + 47cc0 0012cf04 0009415f 55494e54 31360000 ......A_UINT16.. + 47cd0 00016d0f 000012cf 14000012 fe101300 ..m............. + 47ce0 03000012 3c040009 56444553 43000000 ....<...VDESC... + 47cf0 123c0300 00130504 00060000 13100103 .<.............. + 47d00 00001317 04000600 0012dc01 03000013 ................ + 47d10 24040002 01030000 13310400 07766465 $........1...vde + 47d20 73635f61 70690014 000013a9 085f696e sc_api......._in + 47d30 69740000 000ad102 2300085f 616c6c6f it......#.._allo + 47d40 635f7664 65736300 0000131d 02230408 c_vdesc......#.. + 47d50 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... + 47d60 2a022308 085f7377 61705f76 64657363 *.#.._swap_vdesc + 47d70 00000013 3302230c 08705265 73657276 ....3.#..pReserv + 47d80 65640000 0003fe02 23100007 5f564255 ed......#..._VBU + 47d90 46002000 00140908 64657363 5f6c6973 F. .....desc_lis + 47da0 74000000 13100223 00086e65 78745f62 t......#..next_b + 47db0 75660000 00140902 23040862 75665f6c uf......#..buf_l + 47dc0 656e6774 68000000 12e30223 08087265 ength......#..re + 47dd0 73657276 65640000 00141002 230a0863 served......#..c + 47de0 74780000 0012f102 230c0003 000013a9 tx......#....... + 47df0 04000f00 0012cf02 0000141d 10010003 ................ + 47e00 000013a9 04000956 42554600 000013a9 .......VBUF..... + 47e10 03000014 24040006 0000142e 01030000 ....$........... + 47e20 14350400 06000014 2e010300 00144204 .5............B. + 47e30 00020103 0000144f 04000776 6275665f .......O...vbuf_ + 47e40 61706900 14000014 cd085f69 6e697400 api......._init. + 47e50 00000ad1 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 47e60 62756600 0000143b 02230408 5f616c6c buf....;.#.._all + 47e70 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz + 47e80 65000000 14480223 08085f66 7265655f e....H.#.._free_ + 47e90 76627566 00000014 5102230c 08705265 vbuf....Q.#..pRe + 47ea0 73657276 65640000 0003fe02 23100007 served......#... + 47eb0 5f5f6164 665f6465 76696365 00040000 __adf_device.... + 47ec0 14ef0864 756d6d79 00000001 09022300 ...dummy......#. + 47ed0 00030000 09150400 075f5f61 64665f64 .........__adf_d + 47ee0 6d615f6d 6170000c 00001536 08627566 ma_map.....6.buf + 47ef0 00000014 2e022300 0864735f 61646472 ......#..ds_addr + 47f00 00000014 ef022304 0864735f 6c656e00 ......#..ds_len. + 47f10 000012e3 02230800 120c0000 1570085f .....#.......p._ + 47f20 5f76615f 73746b00 0000036c 02230008 _va_stk....l.#.. + 47f30 5f5f7661 5f726567 00000003 6c022304 __va_reg....l.#. + 47f40 085f5f76 615f6e64 78000000 01090223 .__va_ndx......# + 47f50 0800095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 47f60 61646472 5f740000 00091509 6164665f addr_t......adf_ + 47f70 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 47f80 1570095f 5f616466 5f6f735f 646d615f .p.__adf_os_dma_ + 47f90 73697a65 5f740000 00091509 6164665f size_t......adf_ + 47fa0 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 47fb0 15a0075f 5f646d61 5f736567 73000800 ...__dma_segs... + 47fc0 0015fc08 70616464 72000000 15890223 ....paddr......# + 47fd0 00086c65 6e000000 15b90223 0400095f ..len......#..._ + 47fe0 5f615f75 696e7433 325f7400 00000915 _a_uint32_t..... + 47ff0 09615f75 696e7433 325f7400 000015fc .a_uint32_t..... + 48000 0f000015 d0080000 162b1000 00076164 .........+....ad + 48010 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 48020 000c0000 1664086e 73656773 00000016 .....d.nsegs.... + 48030 0e022300 08646d61 5f736567 73000000 ..#..dma_segs... + 48040 161e0223 0400095f 5f615f75 696e7438 ...#...__a_uint8 + 48050 5f740000 0012cf09 615f7569 6e74385f _t......a_uint8_ + 48060 74000000 16640300 00167504 00075f5f t....d....u...__ + 48070 73675f73 65677300 08000016 b6087661 sg_segs.......va + 48080 64647200 00001684 02230008 6c656e00 ddr......#..len. + 48090 0000160e 02230400 0f000016 8b200000 .....#....... .. + 480a0 16c31003 00076164 665f6f73 5f73676c ......adf_os_sgl + 480b0 69737400 24000016 f6086e73 65677300 ist.$.....nsegs. + 480c0 0000160e 02230008 73675f73 65677300 .....#..sg_segs. + 480d0 000016b6 02230400 12100000 173f0876 .....#.......?.v + 480e0 656e646f 72000000 160e0223 00086465 endor......#..de + 480f0 76696365 00000016 0e022304 08737562 vice......#..sub + 48100 76656e64 6f720000 00160e02 23080873 vendor......#..s + 48110 75626465 76696365 00000016 0e02230c ubdevice......#. + 48120 00046c6f 6e67206c 6f6e6720 756e7369 ..long long unsi + 48130 676e6564 20696e74 00070809 415f5549 gned int....A_UI + 48140 4e543634 00000017 3f095f5f 615f7569 NT64....?.__a_ui + 48150 6e743634 5f740000 00175909 615f7569 nt64_t....Y.a_ui + 48160 6e743634 5f740000 00176714 04000017 nt64_t....g..... + 48170 c50e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC + 48180 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF + 48190 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP + 481a0 455f494f 00010009 6164665f 6f735f72 E_IO....adf_os_r + 481b0 65736f75 7263655f 74797065 5f740000 esource_type_t.. + 481c0 00178912 18000018 0f087374 61727400 ..........start. + 481d0 00001779 02230008 656e6400 00001779 ...y.#..end....y + 481e0 02230808 74797065 00000017 c5022310 .#..type......#. + 481f0 00096164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev + 48200 5f69645f 74000000 16f60300 00180f04 _id_t........... + 48210 00110400 00184e08 70636900 00001828 ......N.pci....( + 48220 02230008 72617700 000003fe 02230000 .#..raw......#.. + 48230 11100000 186d0870 63690000 00180f02 .....m.pci...... + 48240 23000872 61770000 0003fe02 23000009 #..raw......#... + 48250 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t + 48260 00000003 fe096164 665f6f73 5f726573 ......adf_os_res + 48270 6f757263 655f7400 000017e1 03000018 ource_t......... + 48280 83040009 6164665f 6f735f61 74746163 ....adf_os_attac + 48290 685f6461 74615f74 00000018 4e030000 h_data_t....N... + 482a0 18a10400 03000014 cd040009 5f5f6164 ............__ad + 482b0 665f6f73 5f646576 6963655f 74000000 f_os_device_t... + 482c0 18c20961 64665f6f 735f6465 76696365 ...adf_os_device + 482d0 5f740000 0018c906 0000186d 01030000 _t.........m.... + 482e0 18f50400 02010300 00190204 00096164 ..............ad + 482f0 665f6f73 5f706d5f 74000000 03fe0201 f_os_pm_t....... + 48300 03000019 1c040014 04000019 5c0e4144 ............\.AD + 48310 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC + 48320 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T + 48330 5950455f 47454e45 52494300 02000961 YPE_GENERIC....a + 48340 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t + 48350 00000019 25096164 665f6f73 5f627573 ....%.adf_os_bus + 48360 5f726567 5f646174 615f7400 0000182f _reg_data_t..../ + 48370 03000003 16040007 5f616466 5f647276 ........_adf_drv + 48380 5f696e66 6f002000 001a3908 6472765f _info. ...9.drv_ + 48390 61747461 63680000 0018fb02 23000864 attach......#..d + 483a0 72765f64 65746163 68000000 19040223 rv_detach......# + 483b0 04086472 765f7375 7370656e 64000000 ..drv_suspend... + 483c0 191e0223 08086472 765f7265 73756d65 ...#..drv_resume + 483d0 00000019 0402230c 08627573 5f747970 ......#..bus_typ + 483e0 65000000 195c0223 10086275 735f6461 e....\.#..bus_da + 483f0 74610000 00197302 2314086d 6f645f6e ta....s.#..mod_n + 48400 616d6500 0000198e 02231808 69666e61 ame......#..ifna + 48410 6d650000 00198e02 231c0009 6164665f me......#...adf_ + 48420 6f735f68 616e646c 655f7400 000003fe os_handle_t..... + 48430 03000016 64040002 01020109 5f5f6164 ....d.......__ad + 48440 665f6f73 5f73697a 655f7400 00000401 f_os_size_t..... + 48450 14040000 1a880e41 5f46414c 53450000 .......A_FALSE.. + 48460 0e415f54 52554500 01000961 5f626f6f .A_TRUE....a_boo + 48470 6c5f7400 00001a6e 03000014 f6040009 l_t....n........ + 48480 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map + 48490 5f740000 001a9602 010d6164 665f6f73 _t........adf_os + 484a0 5f636163 68655f73 796e6300 0400001b _cache_sync..... + 484b0 200e4144 465f5359 4e435f50 52455245 .ADF_SYNC_PRERE + 484c0 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR + 484d0 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN + 484e0 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF + 484f0 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. + 48500 03000961 64665f6f 735f6361 6368655f ...adf_os_cache_ + 48510 73796e63 5f740000 001ab702 01096164 sync_t........ad + 48520 665f6f73 5f73697a 655f7400 00001a59 f_os_size_t....Y + 48530 0600001b 3b010961 64665f6f 735f646d ....;..adf_os_dm + 48540 615f6d61 705f7400 00001a9d 0300001b a_map_t......... + 48550 54040006 000003fe 01030000 1a9d0400 T............... + 48560 06000003 fe010201 06000015 89010201 ................ + 48570 0473686f 72742069 6e740005 0209415f .short int....A_ + 48580 494e5431 36000000 1b8e095f 5f615f69 INT16......__a_i + 48590 6e743136 5f740000 001b9b09 615f696e nt16_t......a_in + 485a0 7431365f 74000000 1ba80473 69676e65 t16_t......signe + 485b0 64206368 61720005 0109415f 494e5438 d char....A_INT8 + 485c0 0000001b c8095f5f 615f696e 74385f74 ......__a_int8_t + 485d0 0000001b d709615f 696e7438 5f740000 ......a_int8_t.. + 485e0 001be312 0c00001c 5a087375 70706f72 ........Z.suppor + 485f0 74656400 0000160e 02230008 61647665 ted......#..adve + 48600 7274697a 65640000 00160e02 23040873 rtized......#..s + 48610 70656564 0000001b b9022308 08647570 peed......#..dup + 48620 6c657800 00001bf3 02230a08 6175746f lex......#..auto + 48630 6e656700 00001675 02230b00 0f000016 neg....u.#...... + 48640 75060000 1c671005 00076164 665f6e65 u....g....adf_ne + 48650 745f6574 68616464 72000600 001c8b08 t_ethaddr....... + 48660 61646472 0000001c 5a022300 00095f5f addr....Z.#...__ + 48670 615f7569 6e743136 5f740000 0012e309 a_uint16_t...... + 48680 615f7569 6e743136 5f740000 001c8b12 a_uint16_t...... + 48690 0e00001c ef086574 6865725f 64686f73 ......ether_dhos + 486a0 74000000 1c5a0223 00086574 6865725f t....Z.#..ether_ + 486b0 73686f73 74000000 1c5a0223 06086574 shost....Z.#..et + 486c0 6865725f 74797065 0000001c 9d02230c her_type......#. + 486d0 00121400 001db015 69705f76 65727369 ........ip_versi + 486e0 6f6e0000 00167501 00040223 00156970 on....u....#..ip + 486f0 5f686c00 00001675 01040402 23000869 _hl....u....#..i + 48700 705f746f 73000000 16750223 01086970 p_tos....u.#..ip + 48710 5f6c656e 0000001c 9d022302 0869705f _len......#..ip_ + 48720 69640000 001c9d02 23040869 705f6672 id......#..ip_fr + 48730 61675f6f 66660000 001c9d02 23060869 ag_off......#..i + 48740 705f7474 6c000000 16750223 08086970 p_ttl....u.#..ip + 48750 5f70726f 746f0000 00167502 23090869 _proto....u.#..i + 48760 705f6368 65636b00 00001c9d 02230a08 p_check......#.. + 48770 69705f73 61646472 00000016 0e02230c ip_saddr......#. + 48780 0869705f 64616464 72000000 160e0223 .ip_daddr......# + 48790 10000761 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 487a0 64720004 00001e02 08747069 64000000 dr.......tpid... + 487b0 1c9d0223 00157072 696f0000 00167501 ...#..prio....u. + 487c0 00030223 02156366 69000000 16750103 ...#..cfi....u.. + 487d0 01022302 15766964 0000001c 9d02040c ..#..vid........ + 487e0 02230200 07616466 5f6e6574 5f766964 .#...adf_net_vid + 487f0 00020000 1e331572 65730000 00167501 .....3.res....u. + 48800 00040223 00157661 6c000000 1c9d0204 ...#..val....... + 48810 0c022300 00120c00 001e6f08 72785f62 ..#.......o.rx_b + 48820 75667369 7a650000 00160e02 23000872 ufsize......#..r + 48830 785f6e64 65736300 0000160e 02230408 x_ndesc......#.. + 48840 74785f6e 64657363 00000016 0e022308 tx_ndesc......#. + 48850 00120800 001e9508 706f6c6c 65640000 ........polled.. + 48860 001a8802 23000870 6f6c6c5f 77740000 ....#..poll_wt.. + 48870 00160e02 2304000f 00001675 4000001e ....#......u@... + 48880 a2103f00 12460000 1eca0869 665f6e61 ..?..F.....if_na + 48890 6d650000 001e9502 23000864 65765f61 me......#..dev_a + 488a0 64647200 00001c5a 02234000 14040000 ddr....Z.#@..... + 488b0 1f010e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 488c0 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O + 488d0 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT + 488e0 00010009 6164665f 6f735f64 6d615f6d ....adf_os_dma_m + 488f0 61736b5f 74000000 1eca0761 64665f64 ask_t......adf_d + 48900 6d615f69 6e666f00 0800001f 4e08646d ma_info.....N.dm + 48910 615f6d61 736b0000 001f0102 23000873 a_mask......#..s + 48920 675f6e73 65677300 0000160e 02230400 g_nsegs......#.. + 48930 14040000 1fa40e41 44465f4e 45545f43 .......ADF_NET_C + 48940 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 48950 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 48960 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET + 48970 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 48980 50763600 02000961 64665f6e 65745f63 Pv6....adf_net_c + 48990 6b73756d 5f747970 655f7400 00001f4e ksum_type_t....N + 489a0 12080000 1fe70874 785f636b 73756d00 .......tx_cksum. + 489b0 00001fa4 02230008 72785f63 6b73756d .....#..rx_cksum + 489c0 0000001f a4022304 00096164 665f6e65 ......#...adf_ne + 489d0 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. + 489e0 001fbe14 04000020 400e4144 465f4e45 ....... @.ADF_NE + 489f0 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF + 48a00 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... + 48a10 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. + 48a20 02000961 64665f6e 65745f74 736f5f74 ...adf_net_tso_t + 48a30 7970655f 74000000 20011210 00002094 ype_t... ..... . + 48a40 08636b73 756d5f63 61700000 001fe702 .cksum_cap...... + 48a50 23000874 736f0000 00204002 23080876 #..tso... @.#..v + 48a60 6c616e5f 73757070 6f727465 64000000 lan_supported... + 48a70 16750223 0c001220 0000212d 0874785f .u.#... ..!-.tx_ + 48a80 7061636b 65747300 0000160e 02230008 packets......#.. + 48a90 72785f70 61636b65 74730000 00160e02 rx_packets...... + 48aa0 23040874 785f6279 74657300 0000160e #..tx_bytes..... + 48ab0 02230808 72785f62 79746573 00000016 .#..rx_bytes.... + 48ac0 0e02230c 0874785f 64726f70 70656400 ..#..tx_dropped. + 48ad0 0000160e 02231008 72785f64 726f7070 .....#..rx_dropp + 48ae0 65640000 00160e02 23140872 785f6572 ed......#..rx_er + 48af0 726f7273 00000016 0e022318 0874785f rors......#..tx_ + 48b00 6572726f 72730000 00160e02 231c0009 errors......#... + 48b10 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ + 48b20 74000000 1c671600 00212d03 00000021 t....g...!-....! + 48b30 52107f00 17616466 5f6e6574 5f636d64 R....adf_net_cmd + 48b40 5f6d6361 64647200 03040000 2189086e _mcaddr.....!..n + 48b50 656c656d 00000016 0e022300 086d6361 elem......#..mca + 48b60 73740000 00214402 23040009 6164665f st...!D.#...adf_ + 48b70 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf + 48b80 6f5f7400 00001c01 09616466 5f6e6574 o_t......adf_net + 48b90 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t + 48ba0 0000001e 6f096164 665f6e65 745f636d ....o.adf_net_cm + 48bb0 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. + 48bc0 001fe709 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 48bd0 72696e67 5f696e66 6f5f7400 00001e33 ring_info_t....3 + 48be0 09616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma + 48bf0 5f696e66 6f5f7400 00001f18 09616466 _info_t......adf + 48c00 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. + 48c10 001c9d09 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 48c20 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... + 48c30 20580961 64665f6e 65745f63 6d645f73 X.adf_net_cmd_s + 48c40 74617473 5f740000 00209409 6164665f tats_t... ..adf_ + 48c50 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t + 48c60 00000021 520d6164 665f6e65 745f636d ...!R.adf_net_cm + 48c70 645f6d63 6173745f 63617000 04000022 d_mcast_cap...." + 48c80 cb0e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 48c90 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC + 48ca0 4153545f 4e4f5453 55500001 00096164 AST_NOTSUP....ad + 48cb0 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 48cc0 6361705f 74000000 22831803 04000023 cap_t..."......# + 48cd0 9d086c69 6e6b5f69 6e666f00 00002189 ..link_info...!. + 48ce0 02230008 706f6c6c 5f696e66 6f000000 .#..poll_info... + 48cf0 21a60223 0008636b 73756d5f 696e666f !..#..cksum_info + 48d00 00000021 c3022300 0872696e 675f696e ...!..#..ring_in + 48d10 666f0000 0021e102 23000864 6d615f69 fo...!..#..dma_i + 48d20 6e666f00 000021fe 02230008 76696400 nfo...!..#..vid. + 48d30 0000221a 02230008 6f66666c 6f61645f .."..#..offload_ + 48d40 63617000 00002231 02230008 73746174 cap..."1.#..stat + 48d50 73000000 22500223 00086d63 6173745f s..."P.#..mcast_ + 48d60 696e666f 00000022 69022300 086d6361 info..."i.#..mca + 48d70 73745f63 61700000 0022cb02 23000014 st_cap..."..#... + 48d80 04000023 f40e4144 465f4e42 55465f52 ...#..ADF_NBUF_R + 48d90 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A + 48da0 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 48db0 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R + 48dc0 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS + 48dd0 41525900 02000961 64665f6e 6275665f ARY....adf_nbuf_ + 48de0 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. + 48df0 0000239d 12080000 24340872 6573756c ..#.....$4.resul + 48e00 74000000 23f40223 00087661 6c000000 t...#..#..val... + 48e10 160e0223 04001208 00002464 08747970 ...#......$d.typ + 48e20 65000000 20400223 00086d73 73000000 e... @.#..mss... + 48e30 1c9d0223 04086864 725f6f66 66000000 ...#..hdr_off... + 48e40 16750223 0600075f 5f616466 5f6e6275 .u.#...__adf_nbu + 48e50 665f7168 65616400 0c000024 a3086865 f_qhead....$..he + 48e60 61640000 00142e02 23000874 61696c00 ad......#..tail. + 48e70 0000142e 02230408 716c656e 00000016 .....#..qlen.... + 48e80 0e022308 00095f5f 6164665f 6e627566 ..#...__adf_nbuf + 48e90 5f740000 00142e03 00001684 04000300 _t.............. + 48ea0 00160e04 00020106 00001310 01060000 ................ + 48eb0 160e0106 00001684 01060000 16840103 ................ + 48ec0 000012f1 0400095f 5f616466 5f6e6275 .......__adf_nbu + 48ed0 665f7168 6561645f 74000000 2464095f f_qhead_t...$d._ + 48ee0 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ + 48ef0 74000000 24e40300 0024fc04 00060000 t...$....$...... + 48f00 24a30106 000024a3 01140400 00261c0e $.....$......&.. + 48f10 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ + 48f20 53544154 55535f46 41494c45 4400010e STATUS_FAILED... + 48f30 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. + 48f40 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME + 48f50 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN + 48f60 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E + 48f70 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S + 48f80 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. + 48f90 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. + 48fa0 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG + 48fb0 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD + 48fc0 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST + 48fd0 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S + 48fe0 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A + 48ff0 5f535441 5455535f 45494f00 0c000961 _STATUS_EIO....a + 49000 5f737461 7475735f 74000000 25270600 _status_t...%'.. + 49010 00261c01 06000001 09010201 09616466 .&...........adf + 49020 5f6e6275 665f7400 000024a3 14040000 _nbuf_t...$..... + 49030 26810e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO + 49040 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS + 49050 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE + 49060 00010009 6164665f 6f735f64 6d615f64 ....adf_os_dma_d + 49070 69725f74 00000026 4a060000 261c0102 ir_t...&J...&... + 49080 01096164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 49090 696e666f 5f740000 00162b03 0000269f info_t....+...&. + 490a0 04000201 02010600 00263a01 06000024 .........&:....$ + 490b0 a3010201 02010600 00263a01 06000024 .........&:....$ + 490c0 a3010600 00263a01 06000024 a3010600 .....&:....$.... + 490d0 00263a01 02010201 06000016 0e010600 .&:............. + 490e0 00168401 02010201 0600001b 3b010600 ............;... + 490f0 001a8801 0600001a 88010961 64665f6f ...........adf_o + 49100 735f7367 6c697374 5f740000 0016c303 s_sglist_t...... + 49110 00002718 04000201 02010201 06000016 ..'............. + 49120 84010961 64665f6e 6275665f 71756575 ...adf_nbuf_queu + 49130 655f7400 000024fc 03000027 40040002 e_t...$....'@... + 49140 01030000 24e40400 02010201 02010600 ....$........... + 49150 00263a01 06000024 a3010600 00160e01 .&:....$........ + 49160 06000016 0e010600 001a8801 0600001a ................ + 49170 88010600 001fa401 06000016 0e010961 ...............a + 49180 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 49190 5f740000 00241203 0000279c 04000201 _t...$....'..... + 491a0 02010961 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ + 491b0 74000000 24340300 0027c004 00020102 t...$4...'...... + 491c0 01096164 665f6e65 745f6861 6e646c65 ..adf_net_handle + 491d0 5f740000 0003fe09 6164665f 6e65745f _t......adf_net_ + 491e0 766c616e 6864725f 74000000 1db00300 vlanhdr_t....... + 491f0 0027f504 00060000 261c0106 0000261c .'......&.....&. + 49200 01020102 01075f48 49465f43 4f4e4649 ......_HIF_CONFI + 49210 47000400 00284408 64756d6d 79000000 G....(D.dummy... + 49220 01090223 00000201 03000028 44040002 ...#.......(D... + 49230 01030000 284d0400 075f4849 465f4341 ....(M..._HIF_CA + 49240 4c4c4241 434b000c 000028a2 0873656e LLBACK....(..sen + 49250 645f6275 665f646f 6e650000 00284602 d_buf_done...(F. + 49260 23000872 6563765f 62756600 0000284f #..recv_buf...(O + 49270 02230408 636f6e74 65787400 000003fe .#..context..... + 49280 02230800 09686966 5f68616e 646c655f .#...hif_handle_ + 49290 74000000 03fe0948 49465f43 4f4e4649 t......HIF_CONFI + 492a0 47000000 28230300 0028b404 00060000 G...(#...(...... + 492b0 28a20103 000028cb 04000201 03000028 (.....(........( + 492c0 d8040009 4849465f 43414c4c 4241434b ....HIF_CALLBACK + 492d0 00000028 56030000 28e10400 02010300 ...(V...(....... + 492e0 0028fa04 00060000 01090103 00002903 .(............). + 492f0 04000201 03000029 10040006 00000109 .......)........ + 49300 01030000 29190400 02010300 00292604 ....)........)&. + 49310 00060000 01090103 0000292f 04000201 ..........)/.... + 49320 03000029 3c040007 6869665f 61706900 ...)<...hif_api. + 49330 3800002a 95085f69 6e697400 000028d1 8..*.._init...(. + 49340 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 49350 28da0223 04085f72 65676973 7465725f (..#.._register_ + 49360 63616c6c 6261636b 00000028 fc022308 callback...(..#. + 49370 085f6765 745f746f 74616c5f 63726564 ._get_total_cred + 49380 69745f63 6f756e74 00000029 0902230c it_count...)..#. + 49390 085f7374 61727400 000028da 02231008 ._start...(..#.. + 493a0 5f636f6e 6669675f 70697065 00000029 _config_pipe...) + 493b0 12022314 085f7365 6e645f62 75666665 ..#.._send_buffe + 493c0 72000000 291f0223 18085f72 65747572 r...)..#.._retur + 493d0 6e5f7265 63765f62 75660000 00292802 n_recv_buf...)(. + 493e0 231c085f 69735f70 6970655f 73757070 #.._is_pipe_supp + 493f0 6f727465 64000000 29350223 20085f67 orted...)5.# ._g + 49400 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 49410 00293502 2324085f 6765745f 72657365 .)5.#$._get_rese + 49420 72766564 5f686561 64726f6f 6d000000 rved_headroom... + 49430 29090223 28085f69 73725f68 616e646c )..#(._isr_handl + 49440 65720000 0028da02 232c085f 6765745f er...(..#,._get_ + 49450 64656661 756c745f 70697065 00000029 default_pipe...) + 49460 3e022330 08705265 73657276 65640000 >.#0.pReserved.. + 49470 0003fe02 2334000d 646d615f 656e6769 ....#4..dma_engi + 49480 6e650004 00002b1e 0e444d41 5f454e47 ne....+..DMA_ENG + 49490 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN + 494a0 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E + 494b0 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ + 494c0 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA + 494d0 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM + 494e0 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D + 494f0 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... + 49500 09646d61 5f656e67 696e655f 74000000 .dma_engine_t... + 49510 2a950d64 6d615f69 66747970 65000400 *..dma_iftype... + 49520 002b6b0e 444d415f 49465f47 4d414300 .+k.DMA_IF_GMAC. + 49530 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D + 49540 4d415f49 465f5043 49450002 0009646d MA_IF_PCIE....dm + 49550 615f6966 74797065 5f740000 002b3006 a_iftype_t...+0. + 49560 000012e3 01030000 2b7d0400 02010300 ........+}...... + 49570 002b8a04 00020103 00002b93 04000600 .+........+..... + 49580 00091501 0300002b 9c040006 000012e3 .......+........ + 49590 01030000 2ba90400 06000012 e3010300 ....+........... + 495a0 002bb604 00060000 142e0103 00002bc3 .+............+. + 495b0 04000201 0300002b d0040007 646d615f .......+....dma_ + 495c0 6c69625f 61706900 3400002c d7087478 lib_api.4..,..tx + 495d0 5f696e69 74000000 2b830223 00087478 _init...+..#..tx + 495e0 5f737461 72740000 002b8c02 23040872 _start...+..#..r + 495f0 785f696e 69740000 002b8302 23080872 x_init...+..#..r + 49600 785f636f 6e666967 0000002b 9502230c x_config...+..#. + 49610 0872785f 73746172 74000000 2b8c0223 .rx_start...+..# + 49620 1008696e 74725f73 74617475 73000000 ..intr_status... + 49630 2ba20223 14086861 72645f78 6d697400 +..#..hard_xmit. + 49640 00002baf 02231808 666c7573 685f786d ..+..#..flush_xm + 49650 69740000 002b8c02 231c0878 6d69745f it...+..#..xmit_ + 49660 646f6e65 0000002b bc022320 08726561 done...+..# .rea + 49670 705f786d 69747465 64000000 2bc90223 p_xmitted...+..# + 49680 24087265 61705f72 65637600 00002bc9 $.reap_recv...+. + 49690 02232808 72657475 726e5f72 65637600 .#(.return_recv. + 496a0 00002bd2 02232c08 72656376 5f706b74 ..+..#,.recv_pkt + 496b0 0000002b bc022330 00075f5f 7063695f ...+..#0..__pci_ + 496c0 736f6674 63000c00 002cf508 73770000 softc....,..sw.. + 496d0 0028e102 23000009 5f5f7063 695f736f .(..#...__pci_so + 496e0 6674635f 74000000 2cd70300 002cf504 ftc_t...,....,.. + 496f0 00020103 00002d0f 04000600 0012cf01 ......-......... + 49700 0300002d 1804000d 6869665f 7063695f ...-....hif_pci_ + 49710 70697065 5f747800 0400002d 780e4849 pipe_tx....-x.HI + 49720 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. + 49730 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 49740 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 49750 5f54585f 4d415800 02000968 69665f70 _TX_MAX....hif_p + 49760 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- + 49770 25060000 2b1e0103 00002d8f 04000d68 %...+.....-....h + 49780 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. + 49790 00002e15 0e484946 5f504349 5f504950 .....HIF_PCI_PIP + 497a0 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ + 497b0 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P + 497c0 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI + 497d0 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. + 497e0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 497f0 5f4d4158 00040009 6869665f 7063695f _MAX....hif_pci_ + 49800 70697065 5f72785f 74000000 2d9c0600 pipe_rx_t...-... + 49810 002b1e01 0300002e 2c040007 6869665f .+......,...hif_ + 49820 7063695f 61706900 2400002f 0a087063 pci_api.$../..pc + 49830 695f626f 6f745f69 6e697400 00000102 i_boot_init..... + 49840 02230008 7063695f 696e6974 00000028 .#..pci_init...( + 49850 d1022304 08706369 5f726573 65740000 ..#..pci_reset.. + 49860 00010202 23080870 63695f65 6e61626c ....#..pci_enabl + 49870 65000000 01020223 0c087063 695f7265 e......#..pci_re + 49880 61705f78 6d697474 65640000 002d1102 ap_xmitted...-.. + 49890 23100870 63695f72 6561705f 72656376 #..pci_reap_recv + 498a0 0000002d 11022314 08706369 5f676574 ...-..#..pci_get + 498b0 5f706970 65000000 2d1e0223 18087063 _pipe...-..#..pc + 498c0 695f6765 745f7478 5f656e67 0000002d i_get_tx_eng...- + 498d0 9502231c 08706369 5f676574 5f72785f ..#..pci_get_rx_ + 498e0 656e6700 00002e32 02232000 07676d61 eng....2.# ..gma + 498f0 635f6170 69000400 002f3108 676d6163 c_api..../1.gmac + 49900 5f626f6f 745f696e 69740000 00010202 _boot_init...... + 49910 2300000f 00000316 0600002f 3e100500 #........../>... + 49920 075f5f65 74686864 72000e00 002f7408 .__ethhdr..../t. + 49930 64737400 00002f31 02230008 73726300 dst.../1.#..src. + 49940 00002f31 02230608 65747970 65000000 ../1.#..etype... + 49950 12e30223 0c00075f 5f617468 68647200 ...#...__athhdr. + 49960 0400002f c2157265 73000000 12cf0100 .../..res....... + 49970 02022300 1570726f 746f0000 0012cf01 ..#..proto...... + 49980 02060223 00087265 735f6c6f 00000012 ...#..res_lo.... + 49990 cf022301 08726573 5f686900 000012e3 ..#..res_hi..... + 499a0 02230200 075f5f67 6d61635f 68647200 .#...__gmac_hdr. + 499b0 1400002f fe086574 68000000 2f3e0223 .../..eth.../>.# + 499c0 00086174 68000000 2f740223 0e08616c ..ath.../t.#..al + 499d0 69676e5f 70616400 000012e3 02231200 ign_pad......#.. + 499e0 095f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... + 499f0 2fc2075f 5f676d61 635f736f 66746300 /..__gmac_softc. + 49a00 24000030 48086864 72000000 2ffe0223 $..0H.hdr.../..# + 49a10 00086772 616e0000 0012e302 23140873 ..gran......#..s + 49a20 77000000 28e10223 18000c5f 415f6f73 w...(..#..._A_os + 49a30 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 49a40 01030000 30480400 06000001 09010300 ....0H.......... + 49a50 00306604 00030000 04010400 175f415f .0f.........._A_ + 49a60 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 49a70 6e5f7461 626c6500 01b80000 31b60868 n_table.....1..h + 49a80 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check + 49a90 00000030 6c022300 08737461 72745f62 ...0l.#..start_b + 49aa0 73730000 00307302 23040861 70705f73 ss...0s.#..app_s + 49ab0 74617274 00000001 02022308 086d656d tart......#..mem + 49ac0 00000004 4102230c 086d6973 63000000 ....A.#..misc... + 49ad0 05600223 20087072 696e7466 00000001 .`.# .printf.... + 49ae0 36022344 08756172 74000000 01ff0223 6.#D.uart......# + 49af0 4c08676d 61630000 002f0a02 236c0875 L.gmac.../..#l.u + 49b00 73620000 000f8f02 23700863 6c6f636b sb......#p.clock + 49b10 0000000a d80323e0 01087469 6d657200 ......#...timer. + 49b20 00000778 03238402 08696e74 72000000 ...x.#...intr... + 49b30 0c280323 98020861 6c6c6f63 72616d00 .(.#...allocram. + 49b40 00000930 0323c402 08726f6d 70000000 ...0.#...romp... + 49b50 08220323 d0020877 64745f74 696d6572 .".#...wdt_timer + 49b60 0000000e 050323e0 02086565 70000000 ......#...eep... + 49b70 0f330323 fc020873 7472696e 67000000 .3.#...string... + 49b80 06840323 8c030874 61736b6c 65740000 ...#...tasklet.. + 49b90 000a2d03 23a40300 075f5553 425f4649 ..-.#...._USB_FI + 49ba0 464f5f43 4f4e4649 47001000 00322908 FO_CONFIG....2). + 49bb0 6765745f 636f6d6d 616e645f 62756600 get_command_buf. + 49bc0 0000143b 02230008 72656376 5f636f6d ...;.#..recv_com + 49bd0 6d616e64 00000014 51022304 08676574 mand....Q.#..get + 49be0 5f657665 6e745f62 75660000 00143b02 _event_buf....;. + 49bf0 23080873 656e645f 6576656e 745f646f #..send_event_do + 49c00 6e650000 00145102 230c0009 5553425f ne....Q.#...USB_ + 49c10 4649464f 5f434f4e 46494700 000031b6 FIFO_CONFIG...1. + 49c20 03000032 29040002 01030000 32450400 ...2).......2E.. + 49c30 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... + 49c40 329b085f 696e6974 00000032 47022300 2.._init...2G.#. + 49c50 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i + 49c60 73720000 00010202 23040870 52657365 sr......#..pRese + 49c70 72766564 00000003 fe022308 000f0000 rved......#..... + 49c80 16750200 0032a810 0100075f 4854435f .u...2....._HTC_ + 49c90 4652414d 455f4844 52000800 00331a08 FRAME_HDR....3.. + 49ca0 456e6470 6f696e74 49440000 00167502 EndpointID....u. + 49cb0 23000846 6c616773 00000016 75022301 #..Flags....u.#. + 49cc0 08506179 6c6f6164 4c656e00 00001c9d .PayloadLen..... + 49cd0 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes + 49ce0 00000032 9b022304 08486f73 74536571 ...2..#..HostSeq + 49cf0 4e756d00 00001c9d 02230600 12020000 Num......#...... + 49d00 3333084d 65737361 67654944 0000001c 33.MessageID.... + 49d10 9d022300 00120800 00339608 4d657373 ..#......3..Mess + 49d20 61676549 44000000 1c9d0223 00084372 ageID......#..Cr + 49d30 65646974 436f756e 74000000 1c9d0223 editCount......# + 49d40 02084372 65646974 53697a65 0000001c ..CreditSize.... + 49d50 9d022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint + 49d60 73000000 16750223 06085f50 61643100 s....u.#.._Pad1. + 49d70 00001675 02230700 120a0000 342d084d ...u.#......4-.M + 49d80 65737361 67654944 0000001c 9d022300 essageID......#. + 49d90 08536572 76696365 49440000 001c9d02 .ServiceID...... + 49da0 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla + 49db0 67730000 001c9d02 23040844 6f776e4c gs......#..DownL + 49dc0 696e6b50 69706549 44000000 16750223 inkPipeID....u.# + 49dd0 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. + 49de0 00167502 23070853 65727669 63654d65 ..u.#..ServiceMe + 49df0 74614c65 6e677468 00000016 75022308 taLength....u.#. + 49e00 085f5061 64310000 00167502 23090012 ._Pad1....u.#... + 49e10 0a000034 b5084d65 73736167 65494400 ...4..MessageID. + 49e20 00001c9d 02230008 53657276 69636549 .....#..ServiceI + 49e30 44000000 1c9d0223 02085374 61747573 D......#..Status + 49e40 00000016 75022304 08456e64 706f696e ....u.#..Endpoin + 49e50 74494400 00001675 02230508 4d61784d tID....u.#..MaxM + 49e60 73675369 7a650000 001c9d02 23060853 sgSize......#..S + 49e70 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 49e80 00000016 75022308 085f5061 64310000 ....u.#.._Pad1.. + 49e90 00167502 23090012 02000034 ce084d65 ..u.#......4..Me + 49ea0 73736167 65494400 00001c9d 02230000 ssageID......#.. + 49eb0 12040000 350a084d 65737361 67654944 ....5..MessageID + 49ec0 0000001c 9d022300 08506970 65494400 ......#..PipeID. + 49ed0 00001675 02230208 43726564 6974436f ...u.#..CreditCo + 49ee0 756e7400 00001675 02230300 12040000 unt....u.#...... + 49ef0 3541084d 65737361 67654944 0000001c 5A.MessageID.... + 49f00 9d022300 08506970 65494400 00001675 ..#..PipeID....u + 49f10 02230208 53746174 75730000 00167502 .#..Status....u. + 49f20 23030012 02000035 68085265 636f7264 #......5h.Record + 49f30 49440000 00167502 2300084c 656e6774 ID....u.#..Lengt + 49f40 68000000 16750223 01001202 00003592 h....u.#......5. + 49f50 08456e64 706f696e 74494400 00001675 .EndpointID....u + 49f60 02230008 43726564 69747300 00001675 .#..Credits....u + 49f70 02230100 12040000 35d30845 6e64706f .#......5..Endpo + 49f80 696e7449 44000000 16750223 00084372 intID....u.#..Cr + 49f90 65646974 73000000 16750223 01085467 edits....u.#..Tg + 49fa0 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... + 49fb0 9d022302 000f0000 16750400 0035e010 ..#......u...5.. + 49fc0 03001206 0000361c 08507265 56616c69 ......6..PreVali + 49fd0 64000000 16750223 00084c6f 6f6b4168 d....u.#..LookAh + 49fe0 65616400 000035d3 02230108 506f7374 ead...5..#..Post + 49ff0 56616c69 64000000 16750223 05000970 Valid....u.#...p + 4a000 6f6f6c5f 68616e64 6c655f74 00000003 ool_handle_t.... + 4a010 fe060000 361c0103 0000362f 04000201 ....6.....6/.... + 4a020 03000036 3c040014 04000036 ba0e504f ...6<......6..PO + 4a030 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO + 4a040 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ + 4a050 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... + 4a060 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 4a070 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ + 4a080 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO + 4a090 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF + 4a0a0 5f504f4f 4c5f4944 00000036 45020103 _POOL_ID...6E... + 4a0b0 000036cb 04000600 00263a01 03000036 ..6......&:....6 + 4a0c0 d4040006 0000263a 01030000 36e10400 ......&:....6... + 4a0d0 02010300 0036ee04 00076275 665f706f .....6....buf_po + 4a0e0 6f6c5f61 7069001c 00003790 085f696e ol_api....7.._in + 4a0f0 69740000 00363502 2300085f 73687574 it...65.#.._shut + 4a100 646f776e 00000036 3e022304 085f6372 down...6>.#.._cr + 4a110 65617465 5f706f6f 6c000000 36cd0223 eate_pool...6..# + 4a120 08085f61 6c6c6f63 5f627566 00000036 .._alloc_buf...6 + 4a130 da02230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ + 4a140 616c6967 6e000000 36e70223 10085f66 align...6..#.._f + 4a150 7265655f 62756600 000036f0 02231408 ree_buf...6..#.. + 4a160 70526573 65727665 64000000 03fe0223 pReserved......# + 4a170 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. + 4a180 1c000038 6f08704e 65787400 0000386f ...8o.pNext...8o + 4a190 02230008 50726f63 65737352 6563764d .#..ProcessRecvM + 4a1a0 73670000 00392402 23040850 726f6365 sg...9$.#..Proce + 4a1b0 73735365 6e644275 66666572 436f6d70 ssSendBufferComp + 4a1c0 6c657465 00000039 2d022308 0850726f lete...9-.#..Pro + 4a1d0 63657373 436f6e6e 65637400 00003941 cessConnect...9A + 4a1e0 02230c08 53657276 69636549 44000000 .#..ServiceID... + 4a1f0 12e30223 10085365 72766963 65466c61 ...#..ServiceFla + 4a200 67730000 0012e302 2312084d 61785376 gs......#..MaxSv + 4a210 634d7367 53697a65 00000012 e3022314 cMsgSize......#. + 4a220 08547261 696c6572 53706343 6865636b .TrailerSpcCheck + 4a230 4c696d69 74000000 12e30223 16085365 Limit......#..Se + 4a240 72766963 65437478 00000003 fe022318 rviceCtx......#. + 4a250 00030000 37900400 14040000 390d1945 ....7.......9..E + 4a260 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. + 4a270 ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... + 4a280 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP + 4a290 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT + 4a2a0 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... + 4a2b0 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP + 4a2c0 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT + 4a2d0 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... + 4a2e0 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... + 4a2f0 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. + 4a300 00003876 02010300 00392204 00020103 ..8v.....9"..... + 4a310 0000392b 04000300 00010904 00060000 ..9+............ + 4a320 12cf0103 0000393b 04000300 00379004 ......9;.....7.. + 4a330 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... + 4a340 0039c008 43726564 69745369 7a650000 .9..CreditSize.. + 4a350 00010902 23000843 72656469 744e756d ....#..CreditNum + 4a360 62657200 00000109 02230408 4f534861 ber......#..OSHa + 4a370 6e646c65 0000001a 39022308 08484946 ndle....9.#..HIF + 4a380 48616e64 6c650000 0028a202 230c0850 Handle...(..#..P + 4a390 6f6f6c48 616e646c 65000000 361c0223 oolHandle...6..# + 4a3a0 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT + 4a3b0 45585400 02000039 fc08656e 645f706f EXT....9..end_po + 4a3c0 696e7400 000012cf 02230008 6874635f int......#..htc_ + 4a3d0 666c6167 73000000 12cf0223 01000968 flags......#...h + 4a3e0 74635f68 616e646c 655f7400 000003fe tc_handle_t..... + 4a3f0 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL + 4a400 4554455f 43420000 00010209 4854435f ETE_CB......HTC_ + 4a410 434f4e46 49470000 00394f03 00003a29 CONFIG...9O...:) + 4a420 04000600 0039fc01 0300003a 40040002 .....9.....:@... + 4a430 01030000 3a4d0400 09485443 5f534552 ....:M...HTC_SER + 4a440 56494345 00000037 90030000 3a560400 VICE...7....:V.. + 4a450 02010300 003a6e04 00020103 00003a77 .....:n.......:w + 4a460 04000201 0300003a 80040006 00000109 .......:........ + 4a470 01030000 3a890400 07687463 5f617069 ....:....htc_api + 4a480 73003400 003c0608 5f485443 5f496e69 s.4..<.._HTC_Ini + 4a490 74000000 3a460223 00085f48 54435f53 t...:F.#.._HTC_S + 4a4a0 68757464 6f776e00 00003a4f 02230408 hutdown...:O.#.. + 4a4b0 5f485443 5f526567 69737465 72536572 _HTC_RegisterSer + 4a4c0 76696365 0000003a 70022308 085f4854 vice...:p.#.._HT + 4a4d0 435f5265 61647900 00003a4f 02230c08 C_Ready...:O.#.. + 4a4e0 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 4a4f0 72730000 003a7902 2310085f 4854435f rs...:y.#.._HTC_ + 4a500 52657475 726e4275 66666572 734c6973 ReturnBuffersLis + 4a510 74000000 3a820223 14085f48 54435f53 t...:..#.._HTC_S + 4a520 656e644d 73670000 003a7902 2318085f endMsg...:y.#.._ + 4a530 4854435f 47657452 65736572 76656448 HTC_GetReservedH + 4a540 65616472 6f6f6d00 00003a8f 02231c08 eadroom...:..#.. + 4a550 5f485443 5f4d7367 52656376 48616e64 _HTC_MsgRecvHand + 4a560 6c657200 0000284f 02232008 5f485443 ler...(O.# ._HTC + 4a570 5f53656e 64446f6e 6548616e 646c6572 _SendDoneHandler + 4a580 00000028 46022324 085f4854 435f436f ...(F.#$._HTC_Co + 4a590 6e74726f 6c537663 50726f63 6573734d ntrolSvcProcessM + 4a5a0 73670000 00392402 2328085f 4854435f sg...9$.#(._HTC_ + 4a5b0 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces + 4a5c0 7353656e 64436f6d 706c6574 65000000 sSendComplete... + 4a5d0 392d0223 2c087052 65736572 76656400 9-.#,.pReserved. + 4a5e0 000003fe 02233000 07686f73 745f6170 .....#0..host_ap + 4a5f0 705f6172 65615f73 00040000 3c360877 p_area_s....<6.w + 4a600 6d695f70 726f746f 636f6c5f 76657200 mi_protocol_ver. + 4a610 0000160e 02230000 120e0000 3c6d0864 .....#........beaconPen + 4a7d0 64696e67 436f756e 74000000 16750223 dingCount....u.# + 4a7e0 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF + 4a7f0 49470010 00003e69 08487463 48616e64 IG....>i.HtcHand + 4a800 6c650000 0039fc02 23000850 6f6f6c48 le...9..#..PoolH + 4a810 616e646c 65000000 361c0223 04084d61 andle...6..#..Ma + 4a820 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... + 4a830 01090223 08084d61 78457665 6e744576 ...#..MaxEventEv + 4a840 74730000 00010902 230c0002 01030000 ts......#....... + 4a850 3e690400 09574d49 5f434d44 5f48414e >i...WMI_CMD_HAN + 4a860 444c4552 0000003e 6b075f57 4d495f44 DLER...>k._WMI_D + 4a870 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... + 4a880 003ed208 70436d64 48616e64 6c657200 .>..pCmdHandler. + 4a890 00003e72 02230008 436d6449 44000000 ..>r.#..CmdID... + 4a8a0 12e30223 0408466c 61677300 000012e3 ...#..Flags..... + 4a8b0 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT + 4a8c0 43485f54 41424c45 00100000 3f330870 CH_TABLE....?3.p + 4a8d0 4e657874 0000003f 33022300 0870436f Next...?3.#..pCo + 4a8e0 6e746578 74000000 03fe0223 04084e75 ntext......#..Nu + 4a8f0 6d626572 4f66456e 74726965 73000000 mberOfEntries... + 4a900 01090223 08087054 61626c65 0000003f ...#..pTable...? + 4a910 5202230c 00030000 3ed20400 09574d49 R.#.....>....WMI + 4a920 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 4a930 00003e87 0300003f 3a040003 00003ed2 ..>....?:.....>. + 4a940 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE + 4a950 58540000 0039c00d 574d495f 4556545f XT...9..WMI_EVT_ + 4a960 434c4153 53000400 003fea19 574d495f CLASS....?..WMI_ + 4a970 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. + 4a980 ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 4a990 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM + 4a9a0 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 4a9b0 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ + 4a9c0 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI + 4a9d0 5f455654 5f434c41 53530000 003f7507 _EVT_CLASS...?u. + 4a9e0 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT + 4a9f0 000c0000 40480848 74634275 66437478 ....@H.HtcBufCtx + 4aa00 0000003f 60022300 08457665 6e74436c ...?`.#..EventCl + 4aa10 61737300 00003fea 02230408 466c6167 ass...?..#..Flag + 4aa20 73000000 12e30223 08000977 6d695f68 s......#...wmi_h + 4aa30 616e646c 655f7400 000003fe 09574d49 andle_t......WMI + 4aa40 5f535643 5f434f4e 46494700 00003e00 _SVC_CONFIG...>. + 4aa50 03000040 5a040006 00004048 01030000 ...@Z.....@H.... + 4aa60 40750400 09574d49 5f444953 50415443 @u...WMI_DISPATC + 4aa70 485f5441 424c4500 00003ed2 03000040 H_TABLE...>....@ + 4aa80 82040002 01030000 40a10400 06000026 ........@......& + 4aa90 3a010300 0040aa04 00020103 000040b7 :....@........@. + 4aaa0 04000600 00010901 03000040 c0040002 ...........@.... + 4aab0 01030000 40cd0400 06000012 cf010300 ....@........... + 4aac0 0040d604 00075f77 6d695f73 76635f61 .@...._wmi_svc_a + 4aad0 70697300 2c000042 1e085f57 4d495f49 pis.,..B.._WMI_I + 4aae0 6e697400 0000407b 02230008 5f574d49 nit...@{.#.._WMI + 4aaf0 5f526567 69737465 72446973 70617463 _RegisterDispatc + 4ab00 68546162 6c650000 0040a302 2304085f hTable...@..#.._ + 4ab10 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. + 4ab20 0040b002 2308085f 574d495f 53656e64 .@..#.._WMI_Send + 4ab30 4576656e 74000000 40b90223 0c085f57 Event...@..#.._W + 4ab40 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve + 4ab50 6e747343 6f756e74 00000040 c6022310 ntsCount...@..#. + 4ab60 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple + 4ab70 74654861 6e646c65 72000000 392d0223 teHandler...9-.# + 4ab80 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro + 4ab90 6c457000 000040c6 02231808 5f574d49 lEp...@..#.._WMI + 4aba0 5f536875 74646f77 6e000000 40cf0223 _Shutdown...@..# + 4abb0 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa + 4abc0 67654861 6e646c65 72000000 39240223 geHandler...9$.# + 4abd0 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo + 4abe0 6e6e6563 74000000 40dc0223 24087052 nnect...@..#$.pR + 4abf0 65736572 76656400 000003fe 02232800 eserved......#(. + 4ac00 077a7344 6d614465 73630014 000042a0 .zsDmaDesc....B. + 4ac10 08637472 6c000000 016d0223 00087374 .ctrl....m.#..st + 4ac20 61747573 00000001 6d022302 08746f74 atus....m.#..tot + 4ac30 616c4c65 6e000000 016d0223 04086461 alLen....m.#..da + 4ac40 74615369 7a650000 00016d02 2306086c taSize....m.#..l + 4ac50 61737441 64647200 000042a0 02230808 astAddr...B..#.. + 4ac60 64617461 41646472 00000001 9102230c dataAddr......#. + 4ac70 086e6578 74416464 72000000 42a00223 .nextAddr...B..# + 4ac80 10000300 00421e04 00030000 421e0400 .....B......B... + 4ac90 077a7344 6d615175 65756500 08000042 .zsDmaQueue....B + 4aca0 e0086865 61640000 0042a702 23000874 ..head...B..#..t + 4acb0 65726d69 6e61746f 72000000 42a70223 erminator...B..# + 4acc0 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. + 4acd0 10000043 44086865 61640000 0042a702 ...CD.head...B.. + 4ace0 23000874 65726d69 6e61746f 72000000 #..terminator... + 4acf0 42a70223 0408786d 69746564 5f627566 B..#..xmited_buf + 4ad00 5f686561 64000000 142e0223 0808786d _head......#..xm + 4ad10 69746564 5f627566 5f746169 6c000000 ited_buf_tail... + 4ad20 142e0223 0c000201 03000043 44040003 ...#.......CD... + 4ad30 000042ae 04000201 03000043 54040003 ..B........CT... + 4ad40 000042e0 04000201 03000043 64040002 ..B........Cd... + 4ad50 01030000 436d0400 02010300 00437604 ....Cm.......Cv. + 4ad60 00060000 142e0103 0000437f 04000201 ..........C..... + 4ad70 03000043 8c040006 0000142e 01030000 ...C............ + 4ad80 43950400 02010300 0043a204 00060000 C........C...... + 4ad90 01090103 000043ab 04000600 0042a701 ......C......B.. + 4ada0 03000043 b8040002 01030000 43c50400 ...C........C... + 4adb0 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. + 4adc0 40000045 3b085f69 6e697400 00004346 @..E;._init...CF + 4add0 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que + 4ade0 75650000 00435602 2304085f 696e6974 ue...CV.#.._init + 4adf0 5f74785f 71756575 65000000 43660223 _tx_queue...Cf.# + 4ae00 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que + 4ae10 75650000 00436f02 230c085f 786d6974 ue...Co.#.._xmit + 4ae20 5f627566 00000043 78022310 085f666c _buf...Cx.#.._fl + 4ae30 7573685f 786d6974 00000043 56022314 ush_xmit...CV.#. + 4ae40 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. + 4ae50 00004385 02231808 5f726574 75726e5f ..C..#.._return_ + 4ae60 72656376 5f627566 00000043 8e02231c recv_buf...C..#. + 4ae70 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu + 4ae80 66000000 439b0223 20085f73 7761705f f...C..# ._swap_ + 4ae90 64617461 00000043 a4022324 085f6861 data...C..#$._ha + 4aea0 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. + 4aeb0 000043b1 02232808 5f646573 635f6475 ..C..#(._desc_du + 4aec0 6d700000 00435602 232c085f 6765745f mp...CV.#,._get_ + 4aed0 7061636b 65740000 0043be02 2330085f packet...C..#0._ + 4aee0 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. + 4aef0 0043c702 2334085f 7075745f 7061636b .C..#4._put_pack + 4af00 65740000 0043c702 23380870 52657365 et...C..#8.pRese + 4af10 72766564 00000003 fe02233c 00095f41 rved......#<.._A + 4af20 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 4af30 6f6e5f74 61626c65 5f740000 00307a09 on_table_t...0z. + 4af40 574d495f 5356435f 41504953 00000040 WMI_SVC_APIS...@ + 4af50 e3175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 4af60 72656374 696f6e5f 7461626c 6500034c rection_table..L + 4af70 00004669 08636d6e 6f730000 00453b02 ..Fi.cmnos...E;. + 4af80 23000864 62670000 0003cb03 23b80308 #..dbg......#... + 4af90 68696600 00002945 0323c003 08687463 hif...)E.#...htc + 4afa0 0000003a 960323f8 0308776d 695f7376 ...:..#...wmi_sv + 4afb0 635f6170 69000000 455d0323 ac040875 c_api...E].#...u + 4afc0 73626669 666f5f61 70690000 00324e03 sbfifo_api...2N. + 4afd0 23d80408 6275665f 706f6f6c 00000036 #...buf_pool...6 + 4afe0 f70323e4 04087662 75660000 00145803 ..#...vbuf....X. + 4aff0 23800508 76646573 63000000 133a0323 #...vdesc....:.# + 4b000 94050861 6c6c6f63 72616d00 00000930 ...allocram....0 + 4b010 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. + 4b020 000043ce 0323b405 08646d61 5f6c6962 ..C..#...dma_lib + 4b030 0000002b d90323f4 05086869 665f7063 ...+..#...hif_pc + 4b040 69000000 2e390323 a8060006 000003fe i....9.#........ + 4b050 01095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 4b060 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 4b070 0000456f 02010201 02010600 00010901 ..Eo............ + 4b080 07564255 465f5155 45554500 08000046 .VBUF_QUEUE....F + 4b090 ca086865 61640000 00142e02 23000874 ..head......#..t + 4b0a0 61696c00 0000142e 02230400 075f4849 ail......#..._HI + 4b0b0 465f5553 425f434f 4e544558 54004400 F_USB_CONTEXT.D. + 4b0c0 00475908 68696643 62000000 28e10223 .GY.hifCb...(..# + 4b0d0 0008646e 51000000 42ae0223 0c087570 ..dnQ...B..#..up + 4b0e0 51000000 42e00223 14086870 646e5100 Q...B..#..hpdnQ. + 4b0f0 000042ae 02232408 6d70646e 51000000 ..B..#$.mpdnQ... + 4b100 42ae0223 2c08636d 64517565 75650000 B..#,.cmdQueue.. + 4b110 00142e02 23340865 76656e74 42756651 ....#4.eventBufQ + 4b120 00000046 9e022338 08705265 73657276 ...F..#8.pReserv + 4b130 65640000 0003fe02 23400009 4849465f ed......#@..HIF_ + 4b140 5553425f 434f4e54 45585400 000046ca USB_CONTEXT...F. + 4b150 1a675f68 69665553 42437478 00000047 .g_hifUSBCtx...G + 4b160 59050300 500a5401 06000014 2e010600 Y...P.T......... + 4b170 00142e01 03000047 86040003 0000478c .......G......G. + 4b180 04000300 00475904 000f0000 01101500 .....GY......... + 4b190 0047b410 14000300 0047a704 000f0000 .G.......G...... + 4b1a0 01101300 0047c810 12000300 0047bb04 .....G.......G.. + 4b1b0 001b0000 01911b00 00019103 000047d4 ..............G. + 4b1c0 04000f00 00011016 000047ed 10150003 ..........G..... + 4b1d0 000047e0 04000201 06000043 4d010600 ..G........CM... + 4b1e0 00010901 02010201 03000029 45040002 ...........)E... + 4b1f0 01030000 47fc0400 03000048 0204000f ....G......H.... + 4b200 00000110 14000048 2a101300 03000048 .......H*......H + 4b210 1d04000f 00000110 18000048 3e101700 ...........H>... + 4b220 03000048 31040003 000001a6 04000f00 ...H1........... + 4b230 00011011 00004859 10100003 0000484c ......HY......HL + 4b240 04000f00 00011012 0000486d 10110003 ..........Hm.... + 4b250 00004860 04001c01 27757362 6669666f ..H`....'usbfifo + 4b260 5f676574 5f636f6d 6d616e64 5f627566 _get_command_buf + 4b270 00000014 2e039201 20029000 008e33b0 ........ .....3. + 4b280 008e33c2 000048b0 1d627566 00000014 ..3...H..buf.... + 4b290 2e001e01 31757362 6669666f 5f726563 ....1usbfifo_rec + 4b2a0 765f636f 6d6d616e 64000103 92012002 v_command..... . + 4b2b0 9000008e 33c4008e 33fa0000 49061f01 ....3...3...I... + 4b2c0 31627566 00000014 2e01521d 63757272 1buf......R.curr + 4b2d0 56646573 63000000 13101d75 73624465 Vdesc......usbDe + 4b2e0 73630000 0042a700 1c014875 73626669 sc...B....Husbfi + 4b2f0 666f5f67 65745f65 76656e74 5f627566 fo_get_event_buf + 4b300 00000014 2e039201 20029000 008e33fc ........ .....3. + 4b310 008e341a 00004940 1d627566 00000014 ..4...I@.buf.... + 4b320 2e001e01 58757362 6669666f 5f73656e ....Xusbfifo_sen + 4b330 645f6576 656e745f 646f6e65 00010392 d_event_done.... + 4b340 01200290 00008e34 1c008e34 2d000049 . .....4...4-..I + 4b350 7d1f0158 62756600 0000142e 01520020 }..Xbuf......R. + 4b360 01645f48 49467573 625f696e 69740000 .d_HIFusb_init.. + 4b370 0028a201 01039201 30029000 008e3430 .(......0.....40 + 4b380 008e3483 000049c8 1f016470 436f6e66 ..4...I...dpConf + 4b390 69670000 0028c401 52217573 62666966 ig...(..R!usbfif + 4b3a0 6f000000 32290291 50002201 835f4849 o...2)..P.".._HI + 4b3b0 46757362 5f736875 74646f77 6e000101 Fusb_shutdown... + 4b3c0 03920120 02900000 8e348400 8e348900 ... .....4...4.. + 4b3d0 004a021f 01836861 6e646c65 00000028 .J....handle...( + 4b3e0 a2015200 2201885f 48494675 73625f72 ..R.".._HIFusb_r + 4b3f0 65676973 7465725f 63616c6c 6261636b egister_callback + 4b400 00010103 92012002 9000008e 348c008e ...... .....4... + 4b410 34a00000 4a561f01 8868616e 646c6500 4...JV...handle. + 4b420 000028a2 01521f01 8870436f 6e666967 ..(..R...pConfig + 4b430 00000028 f3015300 2201945f 48494675 ...(..S.".._HIFu + 4b440 73625f73 74617274 00010103 92012002 sb_start...... . + 4b450 9000008e 34a0008e 351a0000 4a8d1f01 ....4...5...J... + 4b460 9468616e 646c6500 000028a2 01520023 .handle...(..R.# + 4b470 01b95f48 49467573 625f6765 745f7265 .._HIFusb_get_re + 4b480 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 4b490 00000109 01039201 20029000 008e351c ........ .....5. + 4b4a0 008e3523 00004ad7 1f01b968 616e646c ..5#..J....handl + 4b4b0 65000000 28a20152 001e01d4 656e6162 e...(..R....enab + 4b4c0 6c655f72 78000103 92012002 9000008e le_rx..... ..... + 4b4d0 3524008e 354f0000 4b071f01 d4706970 5$..5O..K....pip + 4b4e0 65000000 01090152 002301e9 6765745f e......R.#..get_ + 4b4f0 71756575 655f6672 6f6d5f70 69706500 queue_from_pipe. + 4b500 0000434d 01039201 20029000 008e3550 ..CM.... .....5P + 4b510 008e356e 00004b4c 1f01e970 69706500 ..5n..KL...pipe. + 4b520 00000109 01521d71 00000043 4d002401 .....R.q...CM.$. + 4b530 01075f48 49467573 625f636f 6e666967 .._HIFusb_config + 4b540 5f706970 65000101 01039201 30029000 _pipe.......0... + 4b550 008e3570 008e361b 00004bd3 25010107 ..5p..6...K.%... + 4b560 68616e64 6c650000 0028a201 52250101 handle...(..R%.. + 4b570 07706970 65000000 01090153 25010107 .pipe......S%... + 4b580 63726564 6974436f 756e7400 00000109 creditCount..... + 4b590 01541d68 65616400 00001310 1d690000 .T.head......i.. + 4b5a0 0001091d 64657363 00000013 101d7100 ....desc......q. + 4b5b0 0000434d 00260101 4f5f4849 46757362 ..CM.&..O_HIFusb + 4b5c0 5f73656e 645f6275 66666572 00000001 _send_buffer.... + 4b5d0 09010101 03920120 02900000 8e361c00 ....... .....6.. + 4b5e0 8e367a00 004c3425 01014f68 616e646c .6z..L4%..Ohandl + 4b5f0 65000000 28a20152 2501014f 70697065 e...(..R%..Opipe + 4b600 00000001 09015325 01014f62 75660000 ......S%..Obuf.. + 4b610 00142e01 54002701 01605f48 49467573 ....T.'..`_HIFus + 4b620 625f7265 7475726e 5f726563 765f6275 b_return_recv_bu + 4b630 66000101 03920120 02900000 8e367c00 f...... .....6|. + 4b640 8e36a600 004c9b25 01016068 616e646c .6...L.%..`handl + 4b650 65000000 28a20152 25010160 70697065 e...(..R%..`pipe + 4b660 00000001 09015325 01016062 75660000 ......S%..`buf.. + 4b670 00142e01 541d7100 0000434d 00270101 ....T.q...CM.'.. + 4b680 725f4849 46757362 5f736574 5f726563 r_HIFusb_set_rec + 4b690 765f6275 66737a00 01010392 01200290 v_bufsz...... .. + 4b6a0 00008e36 a8008e36 ad00004c fc250101 ...6...6...L.%.. + 4b6b0 7268616e 646c6500 000028a2 01522501 rhandle...(..R%. + 4b6c0 01727069 70650000 00010901 53250101 .rpipe......S%.. + 4b6d0 72627566 737a0000 00010901 54002701 rbufsz......T.'. + 4b6e0 01785f48 49467573 625f7061 7573655f .x_HIFusb_pause_ + 4b6f0 72656376 00010103 92012002 9000008e recv...... ..... + 4b700 36b0008e 36b50000 4d492501 01786861 6...6...MI%..xha + 4b710 6e646c65 00000028 a2015225 01017870 ndle...(..R%..xp + 4b720 69706500 00000109 01530027 01017d5f ipe......S.'..}_ + 4b730 48494675 73625f72 6573756d 655f7265 HIFusb_resume_re + 4b740 63760001 01039201 20029000 008e36b8 cv...... .....6. + 4b750 008e36bd 00004d97 2501017d 68616e64 ..6...M.%..}hand + 4b760 6c650000 0028a201 52250101 7d706970 le...(..R%..}pip + 4b770 65000000 01090153 00280101 825f4849 e......S.(..._HI + 4b780 46757362 5f69735f 70697065 5f737570 Fusb_is_pipe_sup + 4b790 706f7274 65640000 00010901 01039201 ported.......... + 4b7a0 20029000 008e36c0 008e36d0 00004def .....6...6...M. + 4b7b0 25010182 68616e64 6c650000 0028a201 %...handle...(.. + 4b7c0 52250101 82706970 65000000 01090153 R%...pipe......S + 4b7d0 00280101 8b5f4849 46757362 5f676574 .(..._HIFusb_get + 4b7e0 5f6d6178 5f6d7367 5f6c656e 00000001 _max_msg_len.... + 4b7f0 09010103 92012002 9000008e 36d0008e ...... .....6... + 4b800 36e20000 4e452501 018b6861 6e646c65 6...NE%...handle + 4b810 00000028 a2015225 01018b70 69706500 ...(..R%...pipe. + 4b820 00000109 01530024 0102065f 48494675 .....S.$..._HIFu + 4b830 73625f69 73725f68 616e646c 65720001 sb_isr_handler.. + 4b840 01010392 01200290 00008e36 e4008e37 ..... .....6...7 + 4b850 9100004e 95250102 06680000 0028a201 ...N.%...h...(.. + 4b860 521d696e 74720000 0001911d 63686563 R.intr......chec + 4b870 6b000000 01090027 0102515f 48494675 k......'..Q_HIFu + 4b880 73625f67 65745f64 65666175 6c745f70 sb_get_default_p + 4b890 69706500 01010392 01200290 00008e37 ipe...... .....7 + 4b8a0 94008e37 a300004f 07250102 5168616e ...7...O.%..Qhan + 4b8b0 646c6500 000028a2 01522501 02517069 dle...(..R%..Qpi + 4b8c0 70655f75 706c696e 6b000000 12dc0153 pe_uplink......S + 4b8d0 25010251 70697065 5f646f77 6e6c696e %..Qpipe_downlin + 4b8e0 6b000000 12dc0154 00270102 59686966 k......T.'..Yhif + 4b8f0 5f757362 5f6d6f64 756c655f 696e7374 _usb_module_inst + 4b900 616c6c00 01010392 01200290 00008e37 all...... .....7 + 4b910 a4008e37 e500004f 47250102 59617069 ...7...OG%..Yapi + 4b920 73000000 48060152 00290102 6d484946 s...H..R.)..mHIF + 4b930 7573625f 44657363 54726163 6544756d usb_DescTraceDum + 4b940 70000101 03920120 02900000 8e37e800 p...... .....7.. + 4b950 8e388400 00000053 0f000200 001be804 .8.....S........ + 4b960 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 4b970 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 4b980 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 4b990 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ + 4b9a0 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ + 4b9b0 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 4b9c0 69662f75 73622f73 72632f75 73625f61 if/usb/src/usb_a + 4b9d0 70692e63 002f726f 6f742f57 6f726b73 pi.c./root/Works + 4b9e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 4b9f0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 4ba00 315f312f 726f6d2f 6869662f 75736200 1_1/rom/hif/usb. + 4ba10 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 + 4ba20 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst + 4ba30 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 + 4ba40 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. + 4ba50 00000106 bc02756e 7369676e 65642063 ......unsigned c + 4ba60 68617200 07010375 696e7438 5f740000 har....uint8_t.. + 4ba70 00010002 73686f72 7420756e 7369676e ....short unsign + 4ba80 65642069 6e740007 02037569 6e743136 ed int....uint16 + 4ba90 5f740000 00011e04 53657475 705f5061 _t......Setup_Pa + 4baa0 636b6574 000c0000 01bf0544 69726563 cket.......Direc + 4bab0 74696f6e 00000001 11022300 05547970 tion......#..Typ + 4bac0 65000000 01110223 01054f62 6a656374 e......#..Object + 4bad0 00000001 11022302 05526571 75657374 ......#..Request + 4bae0 00000001 34022304 0556616c 75650000 ....4.#..Value.. + 4baf0 00013402 23060549 6e646578 00000001 ..4.#..Index.... + 4bb00 34022308 054c656e 67746800 00000134 4.#..Length....4 + 4bb10 02230a00 06010700 0001bf04 0002696e .#............in + 4bb20 74000504 02636861 72000701 08000001 t....char....... + 4bb30 cf080000 01cf0700 0001dc04 00090000 ................ + 4bb40 01c80107 000001e8 04000470 72696e74 ...........print + 4bb50 665f6170 69000800 00022c05 5f707269 f_api.....,._pri + 4bb60 6e74665f 696e6974 00000001 c1022300 ntf_init......#. + 4bb70 055f7072 696e7466 00000001 ee022304 ._printf......#. + 4bb80 00026c6f 6e672075 6e736967 6e656420 ..long unsigned + 4bb90 696e7400 07040375 696e7433 325f7400 int....uint32_t. + 4bba0 0000022c 04756172 745f6669 666f0008 ...,.uart_fifo.. + 4bbb0 0000029a 05737461 72745f69 6e646578 .....start_index + 4bbc0 00000001 34022300 05656e64 5f696e64 ....4.#..end_ind + 4bbd0 65780000 00013402 2302056f 76657272 ex....4.#..overr + 4bbe0 756e5f65 72720000 00024102 23040004 un_err....A.#... + 4bbf0 75617274 5f617069 00200000 0353055f uart_api. ...S._ + 4bc00 75617274 5f696e69 74000000 03aa0223 uart_init......# + 4bc10 00055f75 6172745f 63686172 5f707574 .._uart_char_put + 4bc20 00000003 b3022304 055f7561 72745f63 ......#.._uart_c + 4bc30 6861725f 67657400 000003c7 02230805 har_get......#.. + 4bc40 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 4bc50 03d00223 0c055f75 6172745f 7461736b ...#.._uart_task + 4bc60 00000001 c1022310 055f7561 72745f73 ......#.._uart_s + 4bc70 74617475 73000000 03aa0223 14055f75 tatus......#.._u + 4bc80 6172745f 636f6e66 69670000 0003d902 art_config...... + 4bc90 2318055f 75617274 5f687769 6e697400 #.._uart_hwinit. + 4bca0 000003e2 02231c00 07000002 9a040004 .....#.......... + 4bcb0 75617274 5f626c6b 00100000 03a40564 uart_blk.......d + 4bcc0 65627567 5f6d6f64 65000000 01340223 ebug_mode....4.# + 4bcd0 00056261 75640000 00013402 2302055f ..baud....4.#.._ + 4bce0 75617274 00000003 53022304 055f7478 uart....S.#.._tx + 4bcf0 00000002 4f022308 00090000 02410107 ....O.#......A.. + 4bd00 000003a4 04000601 07000003 b1040007 ................ + 4bd10 00000111 04000900 00013401 07000003 ..........4..... + 4bd20 c1040006 01070000 03ce0400 06010700 ................ + 4bd30 0003d704 00060107 000003e0 04000700 ................ + 4bd40 0001cf04 00090000 01c80107 000003f0 ................ + 4bd50 04000444 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST + 4bd60 52554354 000c0000 04480563 6d645f73 RUCT.....H.cmd_s + 4bd70 74720000 0003e902 23000568 656c705f tr......#..help_ + 4bd80 73747200 000003e9 02230405 636d645f str......#..cmd_ + 4bd90 66756e63 00000003 f6022308 00046462 func......#...db + 4bda0 675f6170 69000800 00047b05 5f646267 g_api.....{._dbg + 4bdb0 5f696e69 74000000 01c10223 00055f64 _init......#.._d + 4bdc0 62675f74 61736b00 000001c1 02230400 bg_task......#.. + 4bdd0 0a040002 756e7369 676e6564 20696e74 ....unsigned int + 4bde0 00070409 0000047b 01070000 048e0400 .......{........ + 4bdf0 0b0b0700 00049c04 00090000 047b0107 .............{.. + 4be00 000004a4 04000900 0001c801 07000004 ................ + 4be10 b1040004 6d656d5f 61706900 14000005 ....mem_api..... + 4be20 20055f6d 656d5f69 6e697400 000001c1 ._mem_init..... + 4be30 02230005 5f6d656d 73657400 00000494 .#.._memset..... + 4be40 02230405 5f6d656d 63707900 000004aa .#.._memcpy..... + 4be50 02230805 5f6d656d 6d6f7665 00000004 .#.._memmove.... + 4be60 aa02230c 055f6d65 6d636d70 00000004 ..#.._memcmp.... + 4be70 b7022310 000c7265 67697374 65725f64 ..#...register_d + 4be80 756d705f 73000001 07000005 20040006 ump_s....... ... + 4be90 01070000 053a0400 06010700 00054304 .....:........C. + 4bea0 00090000 01c80107 0000054c 04000d68 ...........L...h + 4beb0 6f737469 665f7300 04000005 a80e4849 ostif_s.......HI + 4bec0 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE + 4bed0 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI + 4bee0 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. + 4bef0 040e4849 465f4e4f 4e450005 0003415f ..HIF_NONE....A_ + 4bf00 484f5354 49460000 00055909 000005a8 HOSTIF....Y..... + 4bf10 01070000 05b60400 09000001 11010700 ................ + 4bf20 0005c304 00090000 01340107 000005d0 .........4...... + 4bf30 0400046d 6973635f 61706900 24000006 ...misc_api.$... + 4bf40 c0055f73 79737465 6d5f7265 73657400 .._system_reset. + 4bf50 000001c1 02230005 5f6d6163 5f726573 .....#.._mac_res + 4bf60 65740000 0001c102 2304055f 61737366 et......#.._assf + 4bf70 61696c00 0000053c 02230805 5f6d6973 ail....<.#.._mis + 4bf80 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han + 4bf90 646c6572 00000005 3c02230c 055f7265 dler....<.#.._re + 4bfa0 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ + 4bfb0 686f7374 00000005 45022310 055f7461 host....E.#.._ta + 4bfc0 72676574 5f69645f 67657400 00000552 rget_id_get....R + 4bfd0 02231405 5f69735f 686f7374 5f707265 .#.._is_host_pre + 4bfe0 73656e74 00000005 bc022318 055f6b62 sent......#.._kb + 4bff0 68697400 000005c9 02231c05 5f726f6d hit......#.._rom + 4c000 5f766572 73696f6e 5f676574 00000005 _version_get.... + 4c010 d6022320 00090000 03e90107 000006c0 ..# ............ + 4c020 04000900 0003e901 07000006 cd040009 ................ + 4c030 000001c8 01070000 06da0400 09000001 ................ + 4c040 c8010700 0006e704 00090000 01c80107 ................ + 4c050 000006f4 04000473 7472696e 675f6170 .......string_ap + 4c060 69001800 00077a05 5f737472 696e675f i.....z._string_ + 4c070 696e6974 00000001 c1022300 055f7374 init......#.._st + 4c080 72637079 00000006 c6022304 055f7374 rcpy......#.._st + 4c090 726e6370 79000000 06d30223 08055f73 rncpy......#.._s + 4c0a0 74726c65 6e000000 06e00223 0c055f73 trlen......#.._s + 4c0b0 7472636d 70000000 06ed0223 10055f73 trcmp......#.._s + 4c0c0 74726e63 6d700000 0006fa02 2314000f trncmp......#... + 4c0d0 0000047e 14000007 87100400 035f415f ...~........._A_ + 4c0e0 54494d45 525f5350 41434500 0000077a TIMER_SPACE....z + 4c0f0 03415f74 696d6572 5f740000 00078707 .A_timer_t...... + 4c100 0000079b 04000601 07000007 b1040006 ................ + 4c110 01070000 07ba0400 03415f48 414e444c .........A_HANDL + 4c120 45000000 047e0601 03415f54 494d4552 E....~...A_TIMER + 4c130 5f46554e 43000000 07d10700 0007d304 _FUNC........... + 4c140 00060107 000007ec 04000474 696d6572 ...........timer + 4c150 5f617069 00140000 086b055f 74696d65 _api.....k._time + 4c160 725f696e 69740000 0001c102 2300055f r_init......#.._ + 4c170 74696d65 725f6172 6d000000 07b30223 timer_arm......# + 4c180 04055f74 696d6572 5f646973 61726d00 .._timer_disarm. + 4c190 000007bc 02230805 5f74696d 65725f73 .....#.._timer_s + 4c1a0 6574666e 00000007 ee02230c 055f7469 etfn......#.._ti + 4c1b0 6d65725f 72756e00 000001c1 02231000 mer_run......#.. + 4c1c0 03424f4f 4c45414e 00000001 34090000 .BOOLEAN....4... + 4c1d0 086b0107 00000878 04000900 00086b01 .k.....x......k. + 4c1e0 07000008 85040009 0000086b 01070000 ...........k.... + 4c1f0 08920400 04726f6d 705f6170 69001000 .....romp_api... + 4c200 00090405 5f726f6d 705f696e 69740000 ...._romp_init.. + 4c210 0001c102 2300055f 726f6d70 5f646f77 ....#.._romp_dow + 4c220 6e6c6f61 64000000 087e0223 04055f72 nload....~.#.._r + 4c230 6f6d705f 696e7374 616c6c00 0000088b omp_install..... + 4c240 02230805 5f726f6d 705f6465 636f6465 .#.._romp_decode + 4c250 00000008 9802230c 0004726f 6d5f7061 ......#...rom_pa + 4c260 7463685f 73740010 00000960 05637263 tch_st.....`.crc + 4c270 31360000 00013402 2300056c 656e0000 16....4.#..len.. + 4c280 00013402 2302056c 645f6164 64720000 ..4.#..ld_addr.. + 4c290 00024102 23040566 756e5f61 64647200 ..A.#..fun_addr. + 4c2a0 00000241 02230805 7066756e 00000003 ...A.#..pfun.... + 4c2b0 ba02230c 00046565 705f7265 6469725f ..#...eep_redir_ + 4c2c0 61646472 00040000 0992056f 66667365 addr.......offse + 4c2d0 74000000 01340223 00057369 7a650000 t....4.#..size.. + 4c2e0 00013402 23020003 415f5549 4e543332 ..4.#...A_UINT32 + 4c2f0 00000004 7e090000 047b0107 000009a0 ....~....{...... + 4c300 04000461 6c6c6f63 72616d5f 61706900 ...allocram_api. + 4c310 0c00000a 1105636d 6e6f735f 616c6c6f ......cmnos_allo + 4c320 6372616d 5f696e69 74000000 09a60223 cram_init......# + 4c330 0005636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 4c340 00000009 a6022304 05636d6e 6f735f61 ......#..cmnos_a + 4c350 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... + 4c360 01c10223 08000601 0700000a 11040003 ...#............ + 4c370 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. + 4c380 000a1304 5f746173 6b6c6574 00100000 ...._tasklet.... + 4c390 0a720566 756e6300 00000a1a 02230005 .r.func......#.. + 4c3a0 61726700 0000047b 02230405 73746174 arg....{.#..stat + 4c3b0 65000000 01c80223 08056e65 78740000 e......#..next.. + 4c3c0 000a7202 230c0007 00000a2e 04000700 ..r.#........... + 4c3d0 000a2e04 0003415f 7461736b 6c65745f ......A_tasklet_ + 4c3e0 74000000 0a2e0700 000a8004 00060107 t............... + 4c3f0 00000a98 04000601 0700000a a1040004 ................ + 4c400 7461736b 6c65745f 61706900 1400000b tasklet_api..... + 4c410 36055f74 61736b6c 65745f69 6e697400 6._tasklet_init. + 4c420 000001c1 02230005 5f746173 6b6c6574 .....#.._tasklet + 4c430 5f696e69 745f7461 736b0000 000a9a02 _init_task...... + 4c440 2304055f 7461736b 6c65745f 64697361 #.._tasklet_disa + 4c450 626c6500 00000aa3 02230805 5f746173 ble......#.._tas + 4c460 6b6c6574 5f736368 6564756c 65000000 klet_schedule... + 4c470 0aa30223 0c055f74 61736b6c 65745f72 ...#.._tasklet_r + 4c480 756e0000 0001c102 23100006 01070000 un......#....... + 4c490 0b360400 09000009 92010700 000b3f04 .6............?. + 4c4a0 00060107 00000b4c 04000463 6c6f636b .......L...clock + 4c4b0 5f617069 00240000 0c2e055f 636c6f63 _api.$....._cloc + 4c4c0 6b5f696e 69740000 000b3802 2300055f k_init....8.#.._ + 4c4d0 636c6f63 6b726567 735f696e 69740000 clockregs_init.. + 4c4e0 0001c102 2304055f 75617274 5f667265 ....#.._uart_fre + 4c4f0 7175656e 63790000 000b4502 2308055f quency....E.#.._ + 4c500 64656c61 795f7573 0000000b 4e02230c delay_us....N.#. + 4c510 055f776c 616e5f62 616e645f 73657400 ._wlan_band_set. + 4c520 00000b4e 02231005 5f726566 636c6b5f ...N.#.._refclk_ + 4c530 73706565 645f6765 74000000 0b450223 speed_get....E.# + 4c540 14055f6d 696c6c69 7365636f 6e647300 .._milliseconds. + 4c550 00000b45 02231805 5f737973 636c6b5f ...E.#.._sysclk_ + 4c560 6368616e 67650000 0001c102 231c055f change......#.._ + 4c570 636c6f63 6b5f7469 636b0000 0001c102 clock_tick...... + 4c580 23200009 00000241 01070000 0c2e0400 # .....A........ + 4c590 03415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... + 4c5a0 02410900 000c3b01 0700000c 4d040006 .A....;.....M... + 4c5b0 01070000 0c5a0400 06010700 000c6304 .....Z........c. + 4c5c0 00090000 02410107 00000c6c 04000341 .....A.....l...A + 4c5d0 5f697372 5f740000 000c7206 01070000 _isr_t....r..... + 4c5e0 0c860400 09000004 7e010700 000c8f04 ........~....... + 4c5f0 00060107 00000c9c 04000469 6e74725f ...........intr_ + 4c600 61706900 2c00000d be055f69 6e74725f api.,....._intr_ + 4c610 696e6974 00000001 c1022300 055f696e init......#.._in + 4c620 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... + 4c630 0c340223 04055f69 6e74725f 64697361 .4.#.._intr_disa + 4c640 626c6500 00000c53 02230805 5f696e74 ble....S.#.._int + 4c650 725f7265 73746f72 65000000 0c5c0223 r_restore....\.# + 4c660 0c055f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu + 4c670 6d000000 0c650223 10055f69 6e74725f m....e.#.._intr_ + 4c680 756e6d61 736b5f69 6e756d00 00000c65 unmask_inum....e + 4c690 02231405 5f696e74 725f6174 74616368 .#.._intr_attach + 4c6a0 5f697372 0000000c 88022318 055f6765 _isr......#.._ge + 4c6b0 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 4c6c0 9502231c 055f7365 745f696e 7472656e ..#.._set_intren + 4c6d0 61626c65 0000000c 9e022320 055f6765 able......# ._ge + 4c6e0 745f696e 74727065 6e64696e 67000000 t_intrpending... + 4c6f0 0c950223 24055f75 6e626c6f 636b5f61 ...#$._unblock_a + 4c700 6c6c5f69 6e74726c 766c0000 0001c102 ll_intrlvl...... + 4c710 23280011 0400000d e4057469 6d656f75 #(........timeou + 4c720 74000000 02410223 00056163 74696f6e t....A.#..action + 4c730 00000002 41022300 00120800 000dff05 ....A.#......... + 4c740 636d6400 00000241 02230013 00000dbe cmd....A.#...... + 4c750 02230400 03545f57 44545f43 4d440000 .#...T_WDT_CMD.. + 4c760 000de406 01070000 0e0e0400 14040000 ................ + 4c770 0e640e45 4e554d5f 5744545f 424f4f54 .d.ENUM_WDT_BOOT + 4c780 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO + 4c790 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO + 4c7a0 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW + 4c7b0 4e5f424f 4f540004 0003545f 424f4f54 N_BOOT....T_BOOT + 4c7c0 5f545950 45000000 0e170900 000e6401 _TYPE.........d. + 4c7d0 0700000e 75040004 7764745f 61706900 ....u...wdt_api. + 4c7e0 1c00000f 19055f77 64745f69 6e697400 ......_wdt_init. + 4c7f0 000001c1 02230005 5f776474 5f656e61 .....#.._wdt_ena + 4c800 626c6500 000001c1 02230405 5f776474 ble......#.._wdt + 4c810 5f646973 61626c65 00000001 c1022308 _disable......#. + 4c820 055f7764 745f7365 74000000 0e100223 ._wdt_set......# + 4c830 0c055f77 64745f74 61736b00 000001c1 .._wdt_task..... + 4c840 02231005 5f776474 5f726573 65740000 .#.._wdt_reset.. + 4c850 0001c102 2314055f 7764745f 6c617374 ....#.._wdt_last + 4c860 5f626f6f 74000000 0e7b0223 18001404 _boot....{.#.... + 4c870 00000f80 0e524554 5f535543 43455353 .....RET_SUCCESS + 4c880 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. + 4c890 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. + 4c8a0 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP + 4c8b0 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER + 4c8c0 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO + 4c8d0 574e0005 0003545f 4545505f 52455400 WN....T_EEP_RET. + 4c8e0 00000f19 07000001 34040009 00000f80 ........4....... + 4c8f0 01070000 0f960400 0900000f 80010700 ................ + 4c900 000fa304 00046565 705f6170 69001000 ......eep_api... + 4c910 00100c05 5f656570 5f696e69 74000000 ...._eep_init... + 4c920 01c10223 00055f65 65705f72 65616400 ...#.._eep_read. + 4c930 00000f9c 02230405 5f656570 5f777269 .....#.._eep_wri + 4c940 74650000 000f9c02 2308055f 6565705f te......#.._eep_ + 4c950 69735f65 78697374 0000000f a902230c is_exist......#. + 4c960 00047573 625f6170 69007000 0012b905 ..usb_api.p..... + 4c970 5f757362 5f696e69 74000000 01c10223 _usb_init......# + 4c980 00055f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. + 4c990 000001c1 02230405 5f757362 5f66775f .....#.._usb_fw_ + 4c9a0 7461736b 00000001 c1022308 055f7573 task......#.._us + 4c9b0 625f696e 69745f70 68790000 0001c102 b_init_phy...... + 4c9c0 230c055f 7573625f 6570305f 73657475 #.._usb_ep0_setu + 4c9d0 70000000 01c10223 10055f75 73625f65 p......#.._usb_e + 4c9e0 70305f74 78000000 01c10223 14055f75 p0_tx......#.._u + 4c9f0 73625f65 70305f72 78000000 01c10223 sb_ep0_rx......# + 4ca00 18055f75 73625f67 65745f69 6e746572 .._usb_get_inter + 4ca10 66616365 00000008 8b02231c 055f7573 face......#.._us + 4ca20 625f7365 745f696e 74657266 61636500 b_set_interface. + 4ca30 0000088b 02232005 5f757362 5f676574 .....# ._usb_get + 4ca40 5f636f6e 66696775 72617469 6f6e0000 _configuration.. + 4ca50 00088b02 2324055f 7573625f 7365745f ....#$._usb_set_ + 4ca60 636f6e66 69677572 6174696f 6e000000 configuration... + 4ca70 088b0223 28055f75 73625f73 74616e64 ...#(._usb_stand + 4ca80 6172645f 636d6400 0000088b 02232c05 ard_cmd......#,. + 4ca90 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. + 4caa0 000001c1 02233005 5f757362 5f706f77 .....#0._usb_pow + 4cab0 65725f6f 66660000 0001c102 2334055f er_off......#4._ + 4cac0 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. + 4cad0 0001c102 2338055f 7573625f 67656e5f ....#8._usb_gen_ + 4cae0 77647400 000001c1 02233c05 5f757362 wdt......#<._usb + 4caf0 5f6a756d 705f626f 6f740000 0001c102 _jump_boot...... + 4cb00 2340055f 7573625f 636c725f 66656174 #@._usb_clr_feat + 4cb10 75726500 0000088b 02234405 5f757362 ure......#D._usb + 4cb20 5f736574 5f666561 74757265 00000008 _set_feature.... + 4cb30 8b022348 055f7573 625f7365 745f6164 ..#H._usb_set_ad + 4cb40 64726573 73000000 088b0223 4c055f75 dress......#L._u + 4cb50 73625f67 65745f64 65736372 6970746f sb_get_descripto + 4cb60 72000000 088b0223 50055f75 73625f67 r......#P._usb_g + 4cb70 65745f73 74617475 73000000 088b0223 et_status......# + 4cb80 54055f75 73625f73 65747570 5f646573 T._usb_setup_des + 4cb90 63000000 01c10223 58055f75 73625f72 c......#X._usb_r + 4cba0 65675f6f 75740000 0001c102 235c055f eg_out......#\._ + 4cbb0 7573625f 73746174 75735f69 6e000000 usb_status_in... + 4cbc0 01c10223 60055f75 73625f65 70305f74 ...#`._usb_ep0_t + 4cbd0 785f6461 74610000 0001c102 2364055f x_data......#d._ + 4cbe0 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. + 4cbf0 000001c1 02236805 5f757362 5f636c6b .....#h._usb_clk + 4cc00 5f696e69 74000000 01c10223 6c00045f _init......#l.._ + 4cc10 56444553 43002400 00134505 6e657874 VDESC.$...E.next + 4cc20 5f646573 63000000 13450223 00056275 _desc....E.#..bu + 4cc30 665f6164 64720000 00135902 23040562 f_addr....Y.#..b + 4cc40 75665f73 697a6500 00001360 02230805 uf_size....`.#.. + 4cc50 64617461 5f6f6666 73657400 00001360 data_offset....` + 4cc60 02230a05 64617461 5f73697a 65000000 .#..data_size... + 4cc70 13600223 0c05636f 6e74726f 6c000000 .`.#..control... + 4cc80 13600223 0e056877 5f646573 635f6275 .`.#..hw_desc_bu + 4cc90 66000000 136e0223 10000700 0012b904 f....n.#........ + 4cca0 0003415f 55494e54 38000000 01000700 ..A_UINT8....... + 4ccb0 00134c04 0003415f 55494e54 31360000 ..L...A_UINT16.. + 4ccc0 00011e0f 0000134c 14000013 7b101300 .......L....{... + 4ccd0 07000012 b9040003 56444553 43000000 ........VDESC... + 4cce0 12b90700 00138204 00090000 138d0107 ................ + 4ccf0 00001394 04000900 00135901 07000013 ..........Y..... + 4cd00 a1040006 01070000 13ae0400 04766465 .............vde + 4cd10 73635f61 70690014 00001426 055f696e sc_api.....&._in + 4cd20 69740000 000b4e02 2300055f 616c6c6f it....N.#.._allo + 4cd30 635f7664 65736300 0000139a 02230405 c_vdesc......#.. + 4cd40 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... + 4cd50 a7022308 055f7377 61705f76 64657363 ..#.._swap_vdesc + 4cd60 00000013 b002230c 05705265 73657276 ......#..pReserv + 4cd70 65640000 00047b02 23100004 5f564255 ed....{.#..._VBU + 4cd80 46002000 00148605 64657363 5f6c6973 F. .....desc_lis + 4cd90 74000000 138d0223 00056e65 78745f62 t......#..next_b + 4cda0 75660000 00148602 23040562 75665f6c uf......#..buf_l + 4cdb0 656e6774 68000000 13600223 08057265 ength....`.#..re + 4cdc0 73657276 65640000 00148d02 230a0563 served......#..c + 4cdd0 74780000 00136e02 230c0007 00001426 tx....n.#......& + 4cde0 04000f00 00134c02 0000149a 10010007 ......L......... + 4cdf0 00001426 04000356 42554600 00001426 ...&...VBUF....& + 4ce00 07000014 a1040009 000014ab 01070000 ................ + 4ce10 14b20400 09000014 ab010700 0014bf04 ................ + 4ce20 00060107 000014cc 04000476 6275665f ...........vbuf_ + 4ce30 61706900 14000015 4a055f69 6e697400 api.....J._init. + 4ce40 00000b4e 02230005 5f616c6c 6f635f76 ...N.#.._alloc_v + 4ce50 62756600 000014b8 02230405 5f616c6c buf......#.._all + 4ce60 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz + 4ce70 65000000 14c50223 08055f66 7265655f e......#.._free_ + 4ce80 76627566 00000014 ce02230c 05705265 vbuf......#..pRe + 4ce90 73657276 65640000 00047b02 23100004 served....{.#... + 4cea0 5f5f6164 665f6465 76696365 00040000 __adf_device.... + 4ceb0 156c0564 756d6d79 00000001 c8022300 .l.dummy......#. + 4cec0 00070000 09920400 045f5f61 64665f64 .........__adf_d + 4ced0 6d615f6d 6170000c 000015b3 05627566 ma_map.......buf + 4cee0 00000014 ab022300 0564735f 61646472 ......#..ds_addr + 4cef0 00000015 6c022304 0564735f 6c656e00 ....l.#..ds_len. + 4cf00 00001360 02230800 120c0000 15ed055f ...`.#........._ + 4cf10 5f76615f 73746b00 000003e9 02230005 _va_stk......#.. + 4cf20 5f5f7661 5f726567 00000003 e9022304 __va_reg......#. + 4cf30 055f5f76 615f6e64 78000000 01c80223 .__va_ndx......# + 4cf40 0800035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 4cf50 61646472 5f740000 00099203 6164665f addr_t......adf_ + 4cf60 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 4cf70 15ed035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 4cf80 73697a65 5f740000 00099203 6164665f size_t......adf_ + 4cf90 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 4cfa0 161d045f 5f646d61 5f736567 73000800 ...__dma_segs... + 4cfb0 00167905 70616464 72000000 16060223 ..y.paddr......# + 4cfc0 00056c65 6e000000 16360223 0400035f ..len....6.#..._ + 4cfd0 5f615f75 696e7433 325f7400 00000992 _a_uint32_t..... + 4cfe0 03615f75 696e7433 325f7400 00001679 .a_uint32_t....y + 4cff0 0f000016 4d080000 16a81000 00046164 ....M.........ad + 4d000 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 4d010 000c0000 16e1056e 73656773 00000016 .......nsegs.... + 4d020 8b022300 05646d61 5f736567 73000000 ..#..dma_segs... + 4d030 169b0223 0400035f 5f615f75 696e7438 ...#...__a_uint8 + 4d040 5f740000 00134c03 615f7569 6e74385f _t....L.a_uint8_ + 4d050 74000000 16e10700 0016f204 00045f5f t.............__ + 4d060 73675f73 65677300 08000017 33057661 sg_segs.....3.va + 4d070 64647200 00001701 02230005 6c656e00 ddr......#..len. + 4d080 0000168b 02230400 0f000017 08200000 .....#....... .. + 4d090 17401003 00046164 665f6f73 5f73676c .@....adf_os_sgl + 4d0a0 69737400 24000017 73056e73 65677300 ist.$...s.nsegs. + 4d0b0 0000168b 02230005 73675f73 65677300 .....#..sg_segs. + 4d0c0 00001733 02230400 12100000 17bc0576 ...3.#.........v + 4d0d0 656e646f 72000000 168b0223 00056465 endor......#..de + 4d0e0 76696365 00000016 8b022304 05737562 vice......#..sub + 4d0f0 76656e64 6f720000 00168b02 23080573 vendor......#..s + 4d100 75626465 76696365 00000016 8b02230c ubdevice......#. + 4d110 00026c6f 6e67206c 6f6e6720 756e7369 ..long long unsi + 4d120 676e6564 20696e74 00070803 415f5549 gned int....A_UI + 4d130 4e543634 00000017 bc035f5f 615f7569 NT64......__a_ui + 4d140 6e743634 5f740000 0017d603 615f7569 nt64_t......a_ui + 4d150 6e743634 5f740000 0017e414 04000018 nt64_t.......... + 4d160 420e4144 465f4f53 5f524553 4f555243 B.ADF_OS_RESOURC + 4d170 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF + 4d180 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP + 4d190 455f494f 00010003 6164665f 6f735f72 E_IO....adf_os_r + 4d1a0 65736f75 7263655f 74797065 5f740000 esource_type_t.. + 4d1b0 00180612 18000018 8c057374 61727400 ..........start. + 4d1c0 000017f6 02230005 656e6400 000017f6 .....#..end..... + 4d1d0 02230805 74797065 00000018 42022310 .#..type....B.#. + 4d1e0 00036164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev + 4d1f0 5f69645f 74000000 17730700 00188c04 _id_t....s...... + 4d200 00110400 0018cb05 70636900 000018a5 ........pci..... + 4d210 02230005 72617700 0000047b 02230000 .#..raw....{.#.. + 4d220 11100000 18ea0570 63690000 00188c02 .......pci...... + 4d230 23000572 61770000 00047b02 23000003 #..raw....{.#... + 4d240 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t + 4d250 00000004 7b036164 665f6f73 5f726573 ....{.adf_os_res + 4d260 6f757263 655f7400 0000185e 07000019 ource_t....^.... + 4d270 00040003 6164665f 6f735f61 74746163 ....adf_os_attac + 4d280 685f6461 74615f74 00000018 cb070000 h_data_t........ + 4d290 191e0400 07000015 4a040003 5f5f6164 ........J...__ad + 4d2a0 665f6f73 5f646576 6963655f 74000000 f_os_device_t... + 4d2b0 193f0361 64665f6f 735f6465 76696365 .?.adf_os_device + 4d2c0 5f740000 00194609 000018ea 01070000 _t....F......... + 4d2d0 19720400 06010700 00197f04 00036164 .r............ad + 4d2e0 665f6f73 5f706d5f 74000000 047b0601 f_os_pm_t....{.. + 4d2f0 07000019 99040014 04000019 d90e4144 ..............AD + 4d300 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC + 4d310 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T + 4d320 5950455f 47454e45 52494300 02000361 YPE_GENERIC....a + 4d330 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t + 4d340 00000019 a2036164 665f6f73 5f627573 ......adf_os_bus + 4d350 5f726567 5f646174 615f7400 000018ac _reg_data_t..... + 4d360 07000001 00040004 5f616466 5f647276 ........_adf_drv + 4d370 5f696e66 6f002000 001ab605 6472765f _info. .....drv_ + 4d380 61747461 63680000 00197802 23000564 attach....x.#..d + 4d390 72765f64 65746163 68000000 19810223 rv_detach......# + 4d3a0 04056472 765f7375 7370656e 64000000 ..drv_suspend... + 4d3b0 199b0223 08056472 765f7265 73756d65 ...#..drv_resume + 4d3c0 00000019 8102230c 05627573 5f747970 ......#..bus_typ + 4d3d0 65000000 19d90223 10056275 735f6461 e......#..bus_da + 4d3e0 74610000 0019f002 2314056d 6f645f6e ta......#..mod_n + 4d3f0 616d6500 00001a0b 02231805 69666e61 ame......#..ifna + 4d400 6d650000 001a0b02 231c0003 6164665f me......#...adf_ + 4d410 6f735f68 616e646c 655f7400 0000047b os_handle_t....{ + 4d420 07000016 e1040006 01060103 5f5f6164 ............__ad + 4d430 665f6f73 5f73697a 655f7400 0000047e f_os_size_t....~ + 4d440 14040000 1b050e41 5f46414c 53450000 .......A_FALSE.. + 4d450 0e415f54 52554500 01000361 5f626f6f .A_TRUE....a_boo + 4d460 6c5f7400 00001aeb 07000015 73040003 l_t.........s... + 4d470 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map + 4d480 5f740000 001b1306 010d6164 665f6f73 _t........adf_os + 4d490 5f636163 68655f73 796e6300 0400001b _cache_sync..... + 4d4a0 9d0e4144 465f5359 4e435f50 52455245 ..ADF_SYNC_PRERE + 4d4b0 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR + 4d4c0 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN + 4d4d0 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF + 4d4e0 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. + 4d4f0 03000361 64665f6f 735f6361 6368655f ...adf_os_cache_ + 4d500 73796e63 5f740000 001b3406 01036164 sync_t....4...ad + 4d510 665f6f73 5f73697a 655f7400 00001ad6 f_os_size_t..... + 4d520 0900001b b8010361 64665f6f 735f646d .......adf_os_dm + 4d530 615f6d61 705f7400 00001b1a 0700001b a_map_t......... + 4d540 d1040009 0000047b 01070000 1b1a0400 .......{........ + 4d550 09000004 7b010601 09000016 06010601 ....{........... + 4d560 0273686f 72742069 6e740005 0203415f .short int....A_ + 4d570 494e5431 36000000 1c0b035f 5f615f69 INT16......__a_i + 4d580 6e743136 5f740000 001c1803 615f696e nt16_t......a_in + 4d590 7431365f 74000000 1c250273 69676e65 t16_t....%.signe + 4d5a0 64206368 61720005 0103415f 494e5438 d char....A_INT8 + 4d5b0 0000001c 45035f5f 615f696e 74385f74 ....E.__a_int8_t + 4d5c0 0000001c 5403615f 696e7438 5f740000 ....T.a_int8_t.. + 4d5d0 001c6012 0c00001c d7057375 70706f72 ..`.......suppor + 4d5e0 74656400 0000168b 02230005 61647665 ted......#..adve + 4d5f0 7274697a 65640000 00168b02 23040573 rtized......#..s + 4d600 70656564 0000001c 36022308 05647570 peed....6.#..dup + 4d610 6c657800 00001c70 02230a05 6175746f lex....p.#..auto + 4d620 6e656700 000016f2 02230b00 0f000016 neg......#...... + 4d630 f2060000 1ce41005 00046164 665f6e65 ..........adf_ne + 4d640 745f6574 68616464 72000600 001d0805 t_ethaddr....... + 4d650 61646472 0000001c d7022300 00035f5f addr......#...__ + 4d660 615f7569 6e743136 5f740000 00136003 a_uint16_t....`. + 4d670 615f7569 6e743136 5f740000 001d0812 a_uint16_t...... + 4d680 0e00001d 6c056574 6865725f 64686f73 ....l.ether_dhos + 4d690 74000000 1cd70223 00056574 6865725f t......#..ether_ + 4d6a0 73686f73 74000000 1cd70223 06056574 shost......#..et + 4d6b0 6865725f 74797065 0000001d 1a02230c her_type......#. + 4d6c0 00121400 001e2d15 69705f76 65727369 ......-.ip_versi + 4d6d0 6f6e0000 0016f201 00040223 00156970 on.........#..ip + 4d6e0 5f686c00 000016f2 01040402 23000569 _hl.........#..i + 4d6f0 705f746f 73000000 16f20223 01056970 p_tos......#..ip + 4d700 5f6c656e 0000001d 1a022302 0569705f _len......#..ip_ + 4d710 69640000 001d1a02 23040569 705f6672 id......#..ip_fr + 4d720 61675f6f 66660000 001d1a02 23060569 ag_off......#..i + 4d730 705f7474 6c000000 16f20223 08056970 p_ttl......#..ip + 4d740 5f70726f 746f0000 0016f202 23090569 _proto......#..i + 4d750 705f6368 65636b00 00001d1a 02230a05 p_check......#.. + 4d760 69705f73 61646472 00000016 8b02230c ip_saddr......#. + 4d770 0569705f 64616464 72000000 168b0223 .ip_daddr......# + 4d780 10000461 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 4d790 64720004 00001e7f 05747069 64000000 dr.......tpid... + 4d7a0 1d1a0223 00157072 696f0000 0016f201 ...#..prio...... + 4d7b0 00030223 02156366 69000000 16f20103 ...#..cfi....... + 4d7c0 01022302 15766964 0000001d 1a02040c ..#..vid........ + 4d7d0 02230200 04616466 5f6e6574 5f766964 .#...adf_net_vid + 4d7e0 00020000 1eb01572 65730000 0016f201 .......res...... + 4d7f0 00040223 00157661 6c000000 1d1a0204 ...#..val....... + 4d800 0c022300 00120c00 001eec05 72785f62 ..#.........rx_b + 4d810 75667369 7a650000 00168b02 23000572 ufsize......#..r + 4d820 785f6e64 65736300 0000168b 02230405 x_ndesc......#.. + 4d830 74785f6e 64657363 00000016 8b022308 tx_ndesc......#. + 4d840 00120800 001f1205 706f6c6c 65640000 ........polled.. + 4d850 001b0502 23000570 6f6c6c5f 77740000 ....#..poll_wt.. + 4d860 00168b02 2304000f 000016f2 4000001f ....#.......@... + 4d870 1f103f00 12460000 1f470569 665f6e61 ..?..F...G.if_na + 4d880 6d650000 001f1202 23000564 65765f61 me......#..dev_a + 4d890 64647200 00001cd7 02234000 14040000 ddr......#@..... + 4d8a0 1f7e0e41 44465f4f 535f444d 415f4d41 .~.ADF_OS_DMA_MA + 4d8b0 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O + 4d8c0 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT + 4d8d0 00010003 6164665f 6f735f64 6d615f6d ....adf_os_dma_m + 4d8e0 61736b5f 74000000 1f470461 64665f64 ask_t....G.adf_d + 4d8f0 6d615f69 6e666f00 0800001f cb05646d ma_info.......dm + 4d900 615f6d61 736b0000 001f7e02 23000573 a_mask....~.#..s + 4d910 675f6e73 65677300 0000168b 02230400 g_nsegs......#.. + 4d920 14040000 20210e41 44465f4e 45545f43 .... !.ADF_NET_C + 4d930 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 4d940 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 4d950 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET + 4d960 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 4d970 50763600 02000361 64665f6e 65745f63 Pv6....adf_net_c + 4d980 6b73756d 5f747970 655f7400 00001fcb ksum_type_t..... + 4d990 12080000 20640574 785f636b 73756d00 .... d.tx_cksum. + 4d9a0 00002021 02230005 72785f63 6b73756d .. !.#..rx_cksum + 4d9b0 00000020 21022304 00036164 665f6e65 ... !.#...adf_ne + 4d9c0 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. + 4d9d0 00203b14 04000020 bd0e4144 465f4e45 . ;.... ..ADF_NE + 4d9e0 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF + 4d9f0 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... + 4da00 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. + 4da10 02000361 64665f6e 65745f74 736f5f74 ...adf_net_tso_t + 4da20 7970655f 74000000 207e1210 00002111 ype_t... ~....!. + 4da30 05636b73 756d5f63 61700000 00206402 .cksum_cap... d. + 4da40 23000574 736f0000 0020bd02 23080576 #..tso... ..#..v + 4da50 6c616e5f 73757070 6f727465 64000000 lan_supported... + 4da60 16f20223 0c001220 000021aa 0574785f ...#... ..!..tx_ + 4da70 7061636b 65747300 0000168b 02230005 packets......#.. + 4da80 72785f70 61636b65 74730000 00168b02 rx_packets...... + 4da90 23040574 785f6279 74657300 0000168b #..tx_bytes..... + 4daa0 02230805 72785f62 79746573 00000016 .#..rx_bytes.... + 4dab0 8b02230c 0574785f 64726f70 70656400 ..#..tx_dropped. + 4dac0 0000168b 02231005 72785f64 726f7070 .....#..rx_dropp + 4dad0 65640000 00168b02 23140572 785f6572 ed......#..rx_er + 4dae0 726f7273 00000016 8b022318 0574785f rors......#..tx_ + 4daf0 6572726f 72730000 00168b02 231c0003 errors......#... + 4db00 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ + 4db10 74000000 1ce41600 0021aa03 00000021 t........!.....! + 4db20 cf107f00 17616466 5f6e6574 5f636d64 .....adf_net_cmd + 4db30 5f6d6361 64647200 03040000 2206056e _mcaddr....."..n + 4db40 656c656d 00000016 8b022300 056d6361 elem......#..mca + 4db50 73740000 0021c102 23040003 6164665f st...!..#...adf_ + 4db60 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf + 4db70 6f5f7400 00001c7e 03616466 5f6e6574 o_t....~.adf_net + 4db80 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t + 4db90 0000001e ec036164 665f6e65 745f636d ......adf_net_cm + 4dba0 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. + 4dbb0 00206403 6164665f 6e65745f 636d645f . d.adf_net_cmd_ + 4dbc0 72696e67 5f696e66 6f5f7400 00001eb0 ring_info_t..... + 4dbd0 03616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma + 4dbe0 5f696e66 6f5f7400 00001f95 03616466 _info_t......adf + 4dbf0 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. + 4dc00 001d1a03 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 4dc10 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... + 4dc20 20d50361 64665f6e 65745f63 6d645f73 ..adf_net_cmd_s + 4dc30 74617473 5f740000 00211103 6164665f tats_t...!..adf_ + 4dc40 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t + 4dc50 00000021 cf0d6164 665f6e65 745f636d ...!..adf_net_cm + 4dc60 645f6d63 6173745f 63617000 04000023 d_mcast_cap....# + 4dc70 480e4144 465f4e45 545f4d43 4153545f H.ADF_NET_MCAST_ + 4dc80 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC + 4dc90 4153545f 4e4f5453 55500001 00036164 AST_NOTSUP....ad + 4dca0 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 4dcb0 6361705f 74000000 23001803 04000024 cap_t...#......$ + 4dcc0 1a056c69 6e6b5f69 6e666f00 00002206 ..link_info...". + 4dcd0 02230005 706f6c6c 5f696e66 6f000000 .#..poll_info... + 4dce0 22230223 0005636b 73756d5f 696e666f "#.#..cksum_info + 4dcf0 00000022 40022300 0572696e 675f696e ..."@.#..ring_in + 4dd00 666f0000 00225e02 23000564 6d615f69 fo..."^.#..dma_i + 4dd10 6e666f00 0000227b 02230005 76696400 nfo..."{.#..vid. + 4dd20 00002297 02230005 6f66666c 6f61645f .."..#..offload_ + 4dd30 63617000 000022ae 02230005 73746174 cap..."..#..stat + 4dd40 73000000 22cd0223 00056d63 6173745f s..."..#..mcast_ + 4dd50 696e666f 00000022 e6022300 056d6361 info..."..#..mca + 4dd60 73745f63 61700000 00234802 23000014 st_cap...#H.#... + 4dd70 04000024 710e4144 465f4e42 55465f52 ...$q.ADF_NBUF_R + 4dd80 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A + 4dd90 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 4dda0 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R + 4ddb0 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS + 4ddc0 41525900 02000361 64665f6e 6275665f ARY....adf_nbuf_ + 4ddd0 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. + 4dde0 0000241a 12080000 24b10572 6573756c ..$.....$..resul + 4ddf0 74000000 24710223 00057661 6c000000 t...$q.#..val... + 4de00 168b0223 04001208 000024e1 05747970 ...#......$..typ + 4de10 65000000 20bd0223 00056d73 73000000 e... ..#..mss... + 4de20 1d1a0223 04056864 725f6f66 66000000 ...#..hdr_off... + 4de30 16f20223 0600045f 5f616466 5f6e6275 ...#...__adf_nbu + 4de40 665f7168 65616400 0c000025 20056865 f_qhead....% .he + 4de50 61640000 0014ab02 23000574 61696c00 ad......#..tail. + 4de60 000014ab 02230405 716c656e 00000016 .....#..qlen.... + 4de70 8b022308 00035f5f 6164665f 6e627566 ..#...__adf_nbuf + 4de80 5f740000 0014ab07 00001701 04000700 _t.............. + 4de90 00168b04 00060109 0000138d 01090000 ................ + 4dea0 168b0109 00001701 01090000 17010107 ................ + 4deb0 0000136e 0400035f 5f616466 5f6e6275 ...n...__adf_nbu + 4dec0 665f7168 6561645f 74000000 24e1035f f_qhead_t...$.._ + 4ded0 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ + 4dee0 74000000 25610700 00257904 00090000 t...%a...%y..... + 4def0 25200109 00002520 01140400 0026990e % ....% .....&.. + 4df00 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ + 4df10 53544154 55535f46 41494c45 4400010e STATUS_FAILED... + 4df20 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. + 4df30 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME + 4df40 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN + 4df50 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E + 4df60 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S + 4df70 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. + 4df80 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. + 4df90 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG + 4dfa0 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD + 4dfb0 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST + 4dfc0 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S + 4dfd0 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A + 4dfe0 5f535441 5455535f 45494f00 0c000361 _STATUS_EIO....a + 4dff0 5f737461 7475735f 74000000 25a40900 _status_t...%... + 4e000 00269901 09000001 c8010601 03616466 .&...........adf + 4e010 5f6e6275 665f7400 00002520 14040000 _nbuf_t...% .... + 4e020 26fe0e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO + 4e030 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS + 4e040 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE + 4e050 00010003 6164665f 6f735f64 6d615f64 ....adf_os_dma_d + 4e060 69725f74 00000026 c7090000 26990106 ir_t...&....&... + 4e070 01036164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 4e080 696e666f 5f740000 0016a807 0000271c info_t........'. + 4e090 04000601 06010900 0026b701 09000025 .........&.....% + 4e0a0 20010601 06010900 0026b701 09000025 ........&.....% + 4e0b0 20010900 0026b701 09000025 20010900 ....&.....% ... + 4e0c0 0026b701 06010601 09000016 8b010900 .&.............. + 4e0d0 00170101 06010601 0900001b b8010900 ................ + 4e0e0 001b0501 0900001b 05010361 64665f6f ...........adf_o + 4e0f0 735f7367 6c697374 5f740000 00174007 s_sglist_t....@. + 4e100 00002795 04000601 06010601 09000017 ..'............. + 4e110 01010361 64665f6e 6275665f 71756575 ...adf_nbuf_queu + 4e120 655f7400 00002579 07000027 bd040006 e_t...%y...'.... + 4e130 01070000 25610400 06010601 06010900 ....%a.......... + 4e140 0026b701 09000025 20010900 00168b01 .&.....% ....... + 4e150 09000016 8b010900 001b0501 0900001b ................ + 4e160 05010900 00202101 09000016 8b010361 ..... !........a + 4e170 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 4e180 5f740000 00248f07 00002819 04000601 _t...$....(..... + 4e190 06010361 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ + 4e1a0 74000000 24b10700 00283d04 00060106 t...$....(=..... + 4e1b0 01036164 665f6e65 745f6861 6e646c65 ..adf_net_handle + 4e1c0 5f740000 00047b03 6164665f 6e65745f _t....{.adf_net_ + 4e1d0 766c616e 6864725f 74000000 1e2d0700 vlanhdr_t....-.. + 4e1e0 00287204 00090000 26990109 00002699 .(r.....&.....&. + 4e1f0 01060106 01045f48 49465f43 4f4e4649 ......_HIF_CONFI + 4e200 47000400 0028c105 64756d6d 79000000 G....(..dummy... + 4e210 01c80223 00000601 07000028 c1040006 ...#.......(.... + 4e220 01070000 28ca0400 045f4849 465f4341 ....(...._HIF_CA + 4e230 4c4c4241 434b000c 0000291f 0573656e LLBACK....)..sen + 4e240 645f6275 665f646f 6e650000 0028c302 d_buf_done...(.. + 4e250 23000572 6563765f 62756600 000028cc #..recv_buf...(. + 4e260 02230405 636f6e74 65787400 0000047b .#..context....{ + 4e270 02230800 03686966 5f68616e 646c655f .#...hif_handle_ + 4e280 74000000 047b0348 49465f43 4f4e4649 t....{.HIF_CONFI + 4e290 47000000 28a00700 00293104 00090000 G...(....)1..... + 4e2a0 291f0107 00002948 04000601 07000029 ).....)H.......) + 4e2b0 55040003 4849465f 43414c4c 4241434b U...HIF_CALLBACK + 4e2c0 00000028 d3070000 295e0400 06010700 ...(....)^...... + 4e2d0 00297704 00090000 01c80107 00002980 .)w...........). + 4e2e0 04000601 07000029 8d040009 000001c8 .......)........ + 4e2f0 01070000 29960400 06010700 0029a304 ....)........).. + 4e300 00090000 01c80107 000029ac 04000601 ..........)..... + 4e310 07000029 b9040004 6869665f 61706900 ...)....hif_api. + 4e320 3800002b 12055f69 6e697400 0000294e 8..+.._init...)N + 4e330 02230005 5f736875 74646f77 6e000000 .#.._shutdown... + 4e340 29570223 04055f72 65676973 7465725f )W.#.._register_ + 4e350 63616c6c 6261636b 00000029 79022308 callback...)y.#. + 4e360 055f6765 745f746f 74616c5f 63726564 ._get_total_cred + 4e370 69745f63 6f756e74 00000029 8602230c it_count...)..#. + 4e380 055f7374 61727400 00002957 02231005 ._start...)W.#.. + 4e390 5f636f6e 6669675f 70697065 00000029 _config_pipe...) + 4e3a0 8f022314 055f7365 6e645f62 75666665 ..#.._send_buffe + 4e3b0 72000000 299c0223 18055f72 65747572 r...)..#.._retur + 4e3c0 6e5f7265 63765f62 75660000 0029a502 n_recv_buf...).. + 4e3d0 231c055f 69735f70 6970655f 73757070 #.._is_pipe_supp + 4e3e0 6f727465 64000000 29b20223 20055f67 orted...)..# ._g + 4e3f0 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 4e400 0029b202 2324055f 6765745f 72657365 .)..#$._get_rese + 4e410 72766564 5f686561 64726f6f 6d000000 rved_headroom... + 4e420 29860223 28055f69 73725f68 616e646c )..#(._isr_handl + 4e430 65720000 00295702 232c055f 6765745f er...)W.#,._get_ + 4e440 64656661 756c745f 70697065 00000029 default_pipe...) + 4e450 bb022330 05705265 73657276 65640000 ..#0.pReserved.. + 4e460 00047b02 2334000d 646d615f 656e6769 ..{.#4..dma_engi + 4e470 6e650004 00002b9b 0e444d41 5f454e47 ne....+..DMA_ENG + 4e480 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN + 4e490 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E + 4e4a0 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ + 4e4b0 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA + 4e4c0 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM + 4e4d0 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D + 4e4e0 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... + 4e4f0 03646d61 5f656e67 696e655f 74000000 .dma_engine_t... + 4e500 2b120d64 6d615f69 66747970 65000400 +..dma_iftype... + 4e510 002be80e 444d415f 49465f47 4d414300 .+..DMA_IF_GMAC. + 4e520 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D + 4e530 4d415f49 465f5043 49450002 0003646d MA_IF_PCIE....dm + 4e540 615f6966 74797065 5f740000 002bad09 a_iftype_t...+.. + 4e550 00001360 01070000 2bfa0400 06010700 ...`....+....... + 4e560 002c0704 00060107 00002c10 04000900 .,........,..... + 4e570 00099201 0700002c 19040009 00001360 .......,.......` + 4e580 01070000 2c260400 09000013 60010700 ....,&......`... + 4e590 002c3304 00090000 14ab0107 00002c40 .,3...........,@ + 4e5a0 04000601 0700002c 4d040004 646d615f .......,M...dma_ + 4e5b0 6c69625f 61706900 3400002d 54057478 lib_api.4..-T.tx + 4e5c0 5f696e69 74000000 2c000223 00057478 _init...,..#..tx + 4e5d0 5f737461 72740000 002c0902 23040572 _start...,..#..r + 4e5e0 785f696e 69740000 002c0002 23080572 x_init...,..#..r + 4e5f0 785f636f 6e666967 0000002c 1202230c x_config...,..#. + 4e600 0572785f 73746172 74000000 2c090223 .rx_start...,..# + 4e610 1005696e 74725f73 74617475 73000000 ..intr_status... + 4e620 2c1f0223 14056861 72645f78 6d697400 ,..#..hard_xmit. + 4e630 00002c2c 02231805 666c7573 685f786d ..,,.#..flush_xm + 4e640 69740000 002c0902 231c0578 6d69745f it...,..#..xmit_ + 4e650 646f6e65 0000002c 39022320 05726561 done...,9.# .rea + 4e660 705f786d 69747465 64000000 2c460223 p_xmitted...,F.# + 4e670 24057265 61705f72 65637600 00002c46 $.reap_recv...,F + 4e680 02232805 72657475 726e5f72 65637600 .#(.return_recv. + 4e690 00002c4f 02232c05 72656376 5f706b74 ..,O.#,.recv_pkt + 4e6a0 0000002c 39022330 00045f5f 7063695f ...,9.#0..__pci_ + 4e6b0 736f6674 63000c00 002d7205 73770000 softc....-r.sw.. + 4e6c0 00295e02 23000003 5f5f7063 695f736f .)^.#...__pci_so + 4e6d0 6674635f 74000000 2d540700 002d7204 ftc_t...-T...-r. + 4e6e0 00060107 00002d8c 04000900 00134c01 ......-.......L. + 4e6f0 0700002d 9504000d 6869665f 7063695f ...-....hif_pci_ + 4e700 70697065 5f747800 0400002d f50e4849 pipe_tx....-..HI + 4e710 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. + 4e720 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 4e730 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 4e740 5f54585f 4d415800 02000368 69665f70 _TX_MAX....hif_p + 4e750 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- + 4e760 a2090000 2b9b0107 00002e0c 04000d68 ....+..........h + 4e770 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. + 4e780 00002e92 0e484946 5f504349 5f504950 .....HIF_PCI_PIP + 4e790 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ + 4e7a0 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P + 4e7b0 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI + 4e7c0 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. + 4e7d0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 4e7e0 5f4d4158 00040003 6869665f 7063695f _MAX....hif_pci_ + 4e7f0 70697065 5f72785f 74000000 2e190900 pipe_rx_t....... + 4e800 002b9b01 0700002e a9040004 6869665f .+..........hif_ + 4e810 7063695f 61706900 2400002f 87057063 pci_api.$../..pc + 4e820 695f626f 6f745f69 6e697400 000001c1 i_boot_init..... + 4e830 02230005 7063695f 696e6974 00000029 .#..pci_init...) + 4e840 4e022304 05706369 5f726573 65740000 N.#..pci_reset.. + 4e850 0001c102 23080570 63695f65 6e61626c ....#..pci_enabl + 4e860 65000000 01c10223 0c057063 695f7265 e......#..pci_re + 4e870 61705f78 6d697474 65640000 002d8e02 ap_xmitted...-.. + 4e880 23100570 63695f72 6561705f 72656376 #..pci_reap_recv + 4e890 0000002d 8e022314 05706369 5f676574 ...-..#..pci_get + 4e8a0 5f706970 65000000 2d9b0223 18057063 _pipe...-..#..pc + 4e8b0 695f6765 745f7478 5f656e67 0000002e i_get_tx_eng.... + 4e8c0 1202231c 05706369 5f676574 5f72785f ..#..pci_get_rx_ + 4e8d0 656e6700 00002eaf 02232000 04676d61 eng......# ..gma + 4e8e0 635f6170 69000400 002fae05 676d6163 c_api..../..gmac + 4e8f0 5f626f6f 745f696e 69740000 0001c102 _boot_init...... + 4e900 2300000f 00000100 0600002f bb100500 #........../.... + 4e910 045f5f65 74686864 72000e00 002ff105 .__ethhdr..../.. + 4e920 64737400 00002fae 02230005 73726300 dst.../..#..src. + 4e930 00002fae 02230605 65747970 65000000 ../..#..etype... + 4e940 13600223 0c00045f 5f617468 68647200 .`.#...__athhdr. + 4e950 04000030 3f157265 73000000 134c0100 ...0?.res....L.. + 4e960 02022300 1570726f 746f0000 00134c01 ..#..proto....L. + 4e970 02060223 00057265 735f6c6f 00000013 ...#..res_lo.... + 4e980 4c022301 05726573 5f686900 00001360 L.#..res_hi....` + 4e990 02230200 045f5f67 6d61635f 68647200 .#...__gmac_hdr. + 4e9a0 14000030 7b056574 68000000 2fbb0223 ...0{.eth.../..# + 4e9b0 00056174 68000000 2ff10223 0e05616c ..ath.../..#..al + 4e9c0 69676e5f 70616400 00001360 02231200 ign_pad....`.#.. + 4e9d0 035f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... + 4e9e0 303f045f 5f676d61 635f736f 66746300 0?.__gmac_softc. + 4e9f0 24000030 c5056864 72000000 307b0223 $..0..hdr...0{.# + 4ea00 00056772 616e0000 00136002 23140573 ..gran....`.#..s + 4ea10 77000000 295e0223 1800045f 415f6f73 w...)^.#..._A_os + 4ea20 5f6c696e 6b616765 5f636865 636b0008 _linkage_check.. + 4ea30 000030fe 05766572 73696f6e 00000001 ..0..version.... + 4ea40 c8022300 05746162 6c650000 0001c802 ..#..table...... + 4ea50 23040007 000030c5 04000900 0001c801 #.....0......... + 4ea60 07000031 05040007 0000047e 0400175f ...1.......~..._ + 4ea70 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 4ea80 696f6e5f 7461626c 650001b8 00003255 ion_table.....2U + 4ea90 0568616c 5f6c696e 6b616765 5f636865 .hal_linkage_che + 4eaa0 636b0000 00310b02 23000573 74617274 ck...1..#..start + 4eab0 5f627373 00000031 12022304 05617070 _bss...1..#..app + 4eac0 5f737461 72740000 0001c102 2308056d _start......#..m + 4ead0 656d0000 0004be02 230c056d 69736300 em......#..misc. + 4eae0 000005dd 02232005 7072696e 74660000 .....# .printf.. + 4eaf0 0001f502 23440575 61727400 0000029a ....#D.uart..... + 4eb00 02234c05 676d6163 0000002f 8702236c .#L.gmac.../..#l + 4eb10 05757362 00000010 0c022370 05636c6f .usb......#p.clo + 4eb20 636b0000 000b5503 23e00105 74696d65 ck....U.#...time + 4eb30 72000000 07f50323 84020569 6e747200 r......#...intr. + 4eb40 00000ca5 03239802 05616c6c 6f637261 .....#...allocra + 4eb50 6d000000 09ad0323 c4020572 6f6d7000 m......#...romp. + 4eb60 0000089f 0323d002 05776474 5f74696d .....#...wdt_tim + 4eb70 65720000 000e8203 23e00205 65657000 er......#...eep. + 4eb80 00000fb0 0323fc02 05737472 696e6700 .....#...string. + 4eb90 00000701 03238c03 05746173 6b6c6574 .....#...tasklet + 4eba0 0000000a aa0323a4 0300045f 5553425f ......#...._USB_ + 4ebb0 4649464f 5f434f4e 46494700 10000032 FIFO_CONFIG....2 + 4ebc0 c8056765 745f636f 6d6d616e 645f6275 ..get_command_bu + 4ebd0 66000000 14b80223 00057265 63765f63 f......#..recv_c + 4ebe0 6f6d6d61 6e640000 0014ce02 23040567 ommand......#..g + 4ebf0 65745f65 76656e74 5f627566 00000014 et_event_buf.... + 4ec00 b8022308 0573656e 645f6576 656e745f ..#..send_event_ + 4ec10 646f6e65 00000014 ce02230c 00035553 done......#...US + 4ec20 425f4649 464f5f43 4f4e4649 47000000 B_FIFO_CONFIG... + 4ec30 32550700 0032c804 00060107 000032e4 2U...2........2. + 4ec40 04000475 73626669 666f5f61 7069000c ...usbfifo_api.. + 4ec50 0000333a 055f696e 69740000 0032e602 ..3:._init...2.. + 4ec60 2300055f 656e6162 6c655f65 76656e74 #.._enable_event + 4ec70 5f697372 00000001 c1022304 05705265 _isr......#..pRe + 4ec80 73657276 65640000 00047b02 2308000f served....{.#... + 4ec90 000016f2 02000033 47100100 045f4854 .......3G...._HT + 4eca0 435f4652 414d455f 48445200 08000033 C_FRAME_HDR....3 + 4ecb0 b905456e 64706f69 6e744944 00000016 ..EndpointID.... + 4ecc0 f2022300 05466c61 67730000 0016f202 ..#..Flags...... + 4ecd0 23010550 61796c6f 61644c65 6e000000 #..PayloadLen... + 4ece0 1d1a0223 0205436f 6e74726f 6c427974 ...#..ControlByt + 4ecf0 65730000 00333a02 23040548 6f737453 es...3:.#..HostS + 4ed00 65714e75 6d000000 1d1a0223 06001202 eqNum......#.... + 4ed10 000033d2 054d6573 73616765 49440000 ..3..MessageID.. + 4ed20 001d1a02 23000012 08000034 35054d65 ....#......45.Me + 4ed30 73736167 65494400 00001d1a 02230005 ssageID......#.. + 4ed40 43726564 6974436f 756e7400 00001d1a CreditCount..... + 4ed50 02230205 43726564 69745369 7a650000 .#..CreditSize.. + 4ed60 001d1a02 2304054d 6178456e 64706f69 ....#..MaxEndpoi + 4ed70 6e747300 000016f2 02230605 5f506164 nts......#.._Pad + 4ed80 31000000 16f20223 0700120a 000034cc 1......#......4. + 4ed90 054d6573 73616765 49440000 001d1a02 .MessageID...... + 4eda0 23000553 65727669 63654944 0000001d #..ServiceID.... + 4edb0 1a022302 05436f6e 6e656374 696f6e46 ..#..ConnectionF + 4edc0 6c616773 0000001d 1a022304 05446f77 lags......#..Dow + 4edd0 6e4c696e 6b506970 65494400 000016f2 nLinkPipeID..... + 4ede0 02230605 55704c69 6e6b5069 70654944 .#..UpLinkPipeID + 4edf0 00000016 f2022307 05536572 76696365 ......#..Service + 4ee00 4d657461 4c656e67 74680000 0016f202 MetaLength...... + 4ee10 2308055f 50616431 00000016 f2022309 #.._Pad1......#. + 4ee20 00120a00 00355405 4d657373 61676549 .....5T.MessageI + 4ee30 44000000 1d1a0223 00055365 72766963 D......#..Servic + 4ee40 65494400 00001d1a 02230205 53746174 eID......#..Stat + 4ee50 75730000 0016f202 23040545 6e64706f us......#..Endpo + 4ee60 696e7449 44000000 16f20223 05054d61 intID......#..Ma + 4ee70 784d7367 53697a65 0000001d 1a022306 xMsgSize......#. + 4ee80 05536572 76696365 4d657461 4c656e67 .ServiceMetaLeng + 4ee90 74680000 0016f202 2308055f 50616431 th......#.._Pad1 + 4eea0 00000016 f2022309 00120200 00356d05 ......#......5m. + 4eeb0 4d657373 61676549 44000000 1d1a0223 MessageID......# + 4eec0 00001204 000035a9 054d6573 73616765 ......5..Message + 4eed0 49440000 001d1a02 23000550 69706549 ID......#..PipeI + 4eee0 44000000 16f20223 02054372 65646974 D......#..Credit + 4eef0 436f756e 74000000 16f20223 03001204 Count......#.... + 4ef00 000035e0 054d6573 73616765 49440000 ..5..MessageID.. + 4ef10 001d1a02 23000550 69706549 44000000 ....#..PipeID... + 4ef20 16f20223 02055374 61747573 00000016 ...#..Status.... + 4ef30 f2022303 00120200 00360705 5265636f ..#......6..Reco + 4ef40 72644944 00000016 f2022300 054c656e rdID......#..Len + 4ef50 67746800 000016f2 02230100 12020000 gth......#...... + 4ef60 36310545 6e64706f 696e7449 44000000 61.EndpointID... + 4ef70 16f20223 00054372 65646974 73000000 ...#..Credits... + 4ef80 16f20223 01001204 00003672 05456e64 ...#......6r.End + 4ef90 706f696e 74494400 000016f2 02230005 pointID......#.. + 4efa0 43726564 69747300 000016f2 02230105 Credits......#.. + 4efb0 54677443 72656469 74536571 4e6f0000 TgtCreditSeqNo.. + 4efc0 001d1a02 2302000f 000016f2 04000036 ....#..........6 + 4efd0 7f100300 12060000 36bb0550 72655661 ........6..PreVa + 4efe0 6c696400 000016f2 02230005 4c6f6f6b lid......#..Look + 4eff0 41686561 64000000 36720223 0105506f Ahead...6r.#..Po + 4f000 73745661 6c696400 000016f2 02230500 stValid......#.. + 4f010 03706f6f 6c5f6861 6e646c65 5f740000 .pool_handle_t.. + 4f020 00047b09 000036bb 01070000 36ce0400 ..{...6.....6... + 4f030 06010700 0036db04 00140400 0037590e .....6.......7Y. + 4f040 504f4f4c 5f49445f 4854435f 434f4e54 POOL_ID_HTC_CONT + 4f050 524f4c00 000e504f 4f4c5f49 445f574d ROL...POOL_ID_WM + 4f060 495f5356 435f434d 445f5245 504c5900 I_SVC_CMD_REPLY. + 4f070 010e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 4f080 435f4556 454e5400 020e504f 4f4c5f49 C_EVENT...POOL_I + 4f090 445f574c 414e5f52 585f4255 4600030e D_WLAN_RX_BUF... + 4f0a0 504f4f4c 5f49445f 4d415800 0a000342 POOL_ID_MAX....B + 4f0b0 55465f50 4f4f4c5f 49440000 0036e406 UF_POOL_ID...6.. + 4f0c0 01070000 376a0400 09000026 b7010700 ....7j.....&.... + 4f0d0 00377304 00090000 26b70107 00003780 .7s.....&.....7. + 4f0e0 04000601 07000037 8d040004 6275665f .......7....buf_ + 4f0f0 706f6f6c 5f617069 001c0000 382f055f pool_api....8/._ + 4f100 696e6974 00000036 d4022300 055f7368 init...6..#.._sh + 4f110 7574646f 776e0000 0036dd02 2304055f utdown...6..#.._ + 4f120 63726561 74655f70 6f6f6c00 0000376c create_pool...7l + 4f130 02230805 5f616c6c 6f635f62 75660000 .#.._alloc_buf.. + 4f140 00377902 230c055f 616c6c6f 635f6275 .7y.#.._alloc_bu + 4f150 665f616c 69676e00 00003786 02231005 f_align...7..#.. + 4f160 5f667265 655f6275 66000000 378f0223 _free_buf...7..# + 4f170 14057052 65736572 76656400 0000047b ..pReserved....{ + 4f180 02231800 045f4854 435f5345 52564943 .#..._HTC_SERVIC + 4f190 45001c00 00390e05 704e6578 74000000 E....9..pNext... + 4f1a0 390e0223 00055072 6f636573 73526563 9..#..ProcessRec + 4f1b0 764d7367 00000039 c3022304 0550726f vMsg...9..#..Pro + 4f1c0 63657373 53656e64 42756666 6572436f cessSendBufferCo + 4f1d0 6d706c65 74650000 0039cc02 23080550 mplete...9..#..P + 4f1e0 726f6365 7373436f 6e6e6563 74000000 rocessConnect... + 4f1f0 39e00223 0c055365 72766963 65494400 9..#..ServiceID. + 4f200 00001360 02231005 53657276 69636546 ...`.#..ServiceF + 4f210 6c616773 00000013 60022312 054d6178 lags....`.#..Max + 4f220 5376634d 73675369 7a650000 00136002 SvcMsgSize....`. + 4f230 23140554 7261696c 65725370 63436865 #..TrailerSpcChe + 4f240 636b4c69 6d697400 00001360 02231605 ckLimit....`.#.. + 4f250 53657276 69636543 74780000 00047b02 ServiceCtx....{. + 4f260 23180007 0000382f 04001404 000039ac #.....8/......9. + 4f270 19454e44 504f494e 545f554e 55534544 .ENDPOINT_UNUSED + 4f280 00ffffff ff0e454e 44504f49 4e543000 ......ENDPOINT0. + 4f290 000e454e 44504f49 4e543100 010e454e ..ENDPOINT1...EN + 4f2a0 44504f49 4e543200 020e454e 44504f49 DPOINT2...ENDPOI + 4f2b0 4e543300 030e454e 44504f49 4e543400 NT3...ENDPOINT4. + 4f2c0 040e454e 44504f49 4e543500 050e454e ..ENDPOINT5...EN + 4f2d0 44504f49 4e543600 060e454e 44504f49 DPOINT6...ENDPOI + 4f2e0 4e543700 070e454e 44504f49 4e543800 NT7...ENDPOINT8. + 4f2f0 080e454e 44504f49 4e545f4d 41580016 ..ENDPOINT_MAX.. + 4f300 00034854 435f454e 44504f49 4e545f49 ..HTC_ENDPOINT_I + 4f310 44000000 39150601 07000039 c1040006 D...9......9.... + 4f320 01070000 39ca0400 07000001 c8040009 ....9........... + 4f330 0000134c 01070000 39da0400 07000038 ...L....9......8 + 4f340 2f040004 5f485443 5f434f4e 46494700 /..._HTC_CONFIG. + 4f350 1400003a 5f054372 65646974 53697a65 ...:_.CreditSize + 4f360 00000001 c8022300 05437265 6469744e ......#..CreditN + 4f370 756d6265 72000000 01c80223 04054f53 umber......#..OS + 4f380 48616e64 6c650000 001ab602 23080548 Handle......#..H + 4f390 49464861 6e646c65 00000029 1f02230c IFHandle...)..#. + 4f3a0 05506f6f 6c48616e 646c6500 000036bb .PoolHandle...6. + 4f3b0 02231000 045f4854 435f4255 465f434f .#..._HTC_BUF_CO + 4f3c0 4e544558 54000200 003a9b05 656e645f NTEXT....:..end_ + 4f3d0 706f696e 74000000 134c0223 00056874 point....L.#..ht + 4f3e0 635f666c 61677300 0000134c 02230100 c_flags....L.#.. + 4f3f0 03687463 5f68616e 646c655f 74000000 .htc_handle_t... + 4f400 047b0348 54435f53 45545550 5f434f4d .{.HTC_SETUP_COM + 4f410 504c4554 455f4342 00000001 c1034854 PLETE_CB......HT + 4f420 435f434f 4e464947 00000039 ee070000 C_CONFIG...9.... + 4f430 3ac80400 0900003a 9b010700 003adf04 :......:.....:.. + 4f440 00060107 00003aec 04000348 54435f53 ......:....HTC_S + 4f450 45525649 43450000 00382f07 00003af5 ERVICE...8/...:. + 4f460 04000601 0700003b 0d040006 01070000 .......;........ + 4f470 3b160400 06010700 003b1f04 00090000 ;........;...... + 4f480 01c80107 00003b28 04000468 74635f61 ......;(...htc_a + 4f490 70697300 3400003c a5055f48 54435f49 pis.4..<.._HTC_I + 4f4a0 6e697400 00003ae5 02230005 5f485443 nit...:..#.._HTC + 4f4b0 5f536875 74646f77 6e000000 3aee0223 _Shutdown...:..# + 4f4c0 04055f48 54435f52 65676973 74657253 .._HTC_RegisterS + 4f4d0 65727669 63650000 003b0f02 2308055f ervice...;..#.._ + 4f4e0 4854435f 52656164 79000000 3aee0223 HTC_Ready...:..# + 4f4f0 0c055f48 54435f52 65747572 6e427566 .._HTC_ReturnBuf + 4f500 66657273 0000003b 18022310 055f4854 fers...;..#.._HT + 4f510 435f5265 7475726e 42756666 6572734c C_ReturnBuffersL + 4f520 69737400 00003b21 02231405 5f485443 ist...;!.#.._HTC + 4f530 5f53656e 644d7367 0000003b 18022318 _SendMsg...;..#. + 4f540 055f4854 435f4765 74526573 65727665 ._HTC_GetReserve + 4f550 64486561 64726f6f 6d000000 3b2e0223 dHeadroom...;..# + 4f560 1c055f48 54435f4d 73675265 63764861 .._HTC_MsgRecvHa + 4f570 6e646c65 72000000 28cc0223 20055f48 ndler...(..# ._H + 4f580 54435f53 656e6444 6f6e6548 616e646c TC_SendDoneHandl + 4f590 65720000 0028c302 2324055f 4854435f er...(..#$._HTC_ + 4f5a0 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces + 4f5b0 734d7367 00000039 c3022328 055f4854 sMsg...9..#(._HT + 4f5c0 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 4f5d0 65737353 656e6443 6f6d706c 65746500 essSendComplete. + 4f5e0 000039cc 02232c05 70526573 65727665 ..9..#,.pReserve + 4f5f0 64000000 047b0223 30000468 6f73745f d....{.#0..host_ + 4f600 6170705f 61726561 5f730004 00003cd5 app_area_s....<. + 4f610 05776d69 5f70726f 746f636f 6c5f7665 .wmi_protocol_ve + 4f620 72000000 168b0223 0000120e 00003d0c r......#......=. + 4f630 05647374 4d616300 00001cd7 02230005 .dstMac......#.. + 4f640 7372634d 61630000 001cd702 23060574 srcMac......#..t + 4f650 7970654f 724c656e 0000001d 1a02230c ypeOrLen......#. + 4f660 000f0000 16f20300 003d1910 02001208 .........=...... + 4f670 00003d69 05647361 70000000 16f20223 ..=i.dsap......# + 4f680 00057373 61700000 0016f202 23010563 ..ssap......#..c + 4f690 6e746c00 000016f2 02230205 6f726743 ntl......#..orgC + 4f6a0 6f646500 00003d0c 02230305 65746865 ode...=..#..ethe + 4f6b0 72547970 65000000 1d1a0223 06001202 rType......#.... + 4f6c0 00003d8a 05727373 69000000 1c700223 ..=..rssi....p.# + 4f6d0 0005696e 666f0000 0016f202 23010012 ..info......#... + 4f6e0 0400003d b105636f 6d6d616e 64496400 ...=..commandId. + 4f6f0 00001d1a 02230005 7365714e 6f000000 .....#..seqNo... + 4f700 1d1a0223 02000f00 0016f201 00003dbe ...#..........=. + 4f710 10000012 0200003d e5056d73 6753697a .......=..msgSiz + 4f720 65000000 16f20223 00056d73 67446174 e......#..msgDat + 4f730 61000000 3db10223 01001208 00003e2c a...=..#......>, + 4f740 05616464 72657373 4c000000 1d1a0223 .addressL......# + 4f750 00056164 64726573 73480000 001d1a02 ..addressH...... + 4f760 23020576 616c7565 4c000000 1d1a0223 #..valueL......# + 4f770 04057661 6c756548 0000001d 1a022306 ..valueH......#. + 4f780 0003574d 495f4156 54000000 3de50f00 ..WMI_AVT...=... + 4f790 003e2c08 00003e46 10000012 0c00003e .>,...>F.......> + 4f7a0 7d057475 706c654e 756d4c00 00001d1a }.tupleNumL..... + 4f7b0 02230005 7475706c 654e756d 48000000 .#..tupleNumH... + 4f7c0 1d1a0223 02056176 74000000 3e390223 ...#..avt...>9.# + 4f7d0 04001201 00003e9f 05626561 636f6e50 ......>..beaconP + 4f7e0 656e6469 6e67436f 756e7400 000016f2 endingCount..... + 4f7f0 02230000 045f574d 495f5356 435f434f .#..._WMI_SVC_CO + 4f800 4e464947 00100000 3f080548 74634861 NFIG....?..HtcHa + 4f810 6e646c65 0000003a 9b022300 05506f6f ndle...:..#..Poo + 4f820 6c48616e 646c6500 000036bb 02230405 lHandle...6..#.. + 4f830 4d617843 6d645265 706c7945 76747300 MaxCmdReplyEvts. + 4f840 000001c8 02230805 4d617845 76656e74 .....#..MaxEvent + 4f850 45767473 00000001 c802230c 00060107 Evts......#..... + 4f860 00003f08 04000357 4d495f43 4d445f48 ..?....WMI_CMD_H + 4f870 414e444c 45520000 003f0a04 5f574d49 ANDLER...?.._WMI + 4f880 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 4f890 0800003f 71057043 6d644861 6e646c65 ...?q.pCmdHandle + 4f8a0 72000000 3f110223 0005436d 64494400 r...?..#..CmdID. + 4f8b0 00001360 02230405 466c6167 73000000 ...`.#..Flags... + 4f8c0 13600223 0600045f 574d495f 44495350 .`.#..._WMI_DISP + 4f8d0 41544348 5f544142 4c450010 00003fd2 ATCH_TABLE....?. + 4f8e0 05704e65 78740000 003fd202 23000570 .pNext...?..#..p + 4f8f0 436f6e74 65787400 0000047b 02230405 Context....{.#.. + 4f900 4e756d62 65724f66 456e7472 69657300 NumberOfEntries. + 4f910 000001c8 02230805 70546162 6c650000 .....#..pTable.. + 4f920 003ff102 230c0007 00003f71 04000357 .?..#.....?q...W + 4f930 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 4f940 59000000 3f260700 003fd904 00070000 Y...?&...?...... + 4f950 3f710400 03485443 5f425546 5f434f4e ?q...HTC_BUF_CON + 4f960 54455854 0000003a 5f0d574d 495f4556 TEXT...:_.WMI_EV + 4f970 545f434c 41535300 04000040 8919574d T_CLASS....@..WM + 4f980 495f4556 545f434c 4153535f 4e4f4e45 I_EVT_CLASS_NONE + 4f990 00ffffff ff0e574d 495f4556 545f434c ......WMI_EVT_CL + 4f9a0 4153535f 434d445f 4556454e 5400000e ASS_CMD_EVENT... + 4f9b0 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM + 4f9c0 445f5245 504c5900 010e574d 495f4556 D_REPLY...WMI_EV + 4f9d0 545f434c 4153535f 4d415800 02000357 T_CLASS_MAX....W + 4f9e0 4d495f45 56545f43 4c415353 00000040 MI_EVT_CLASS...@ + 4f9f0 14045f57 4d495f42 55465f43 4f4e5445 .._WMI_BUF_CONTE + 4fa00 5854000c 000040e7 05487463 42756643 XT....@..HtcBufC + 4fa10 74780000 003fff02 23000545 76656e74 tx...?..#..Event + 4fa20 436c6173 73000000 40890223 0405466c Class...@..#..Fl + 4fa30 61677300 00001360 02230800 03776d69 ags....`.#...wmi + 4fa40 5f68616e 646c655f 74000000 047b0357 _handle_t....{.W + 4fa50 4d495f53 56435f43 4f4e4649 47000000 MI_SVC_CONFIG... + 4fa60 3e9f0700 0040f904 00090000 40e70107 >....@......@... + 4fa70 00004114 04000357 4d495f44 49535041 ..A....WMI_DISPA + 4fa80 5443485f 5441424c 45000000 3f710700 TCH_TABLE...?q.. + 4fa90 00412104 00060107 00004140 04000900 .A!.......A@.... + 4faa0 0026b701 07000041 49040006 01070000 .&.....AI....... + 4fab0 41560400 09000001 c8010700 00415f04 AV...........A_. + 4fac0 00060107 0000416c 04000900 00134c01 ......Al......L. + 4fad0 07000041 75040004 5f776d69 5f737663 ...Au..._wmi_svc + 4fae0 5f617069 73002c00 0042bd05 5f574d49 _apis.,..B.._WMI + 4faf0 5f496e69 74000000 411a0223 00055f57 _Init...A..#.._W + 4fb00 4d495f52 65676973 74657244 69737061 MI_RegisterDispa + 4fb10 74636854 61626c65 00000041 42022304 tchTable...AB.#. + 4fb20 055f574d 495f416c 6c6f6345 76656e74 ._WMI_AllocEvent + 4fb30 00000041 4f022308 055f574d 495f5365 ...AO.#.._WMI_Se + 4fb40 6e644576 656e7400 00004158 02230c05 ndEvent...AX.#.. + 4fb50 5f574d49 5f476574 50656e64 696e6745 _WMI_GetPendingE + 4fb60 76656e74 73436f75 6e740000 00416502 ventsCount...Ae. + 4fb70 2310055f 574d495f 53656e64 436f6d70 #.._WMI_SendComp + 4fb80 6c657465 48616e64 6c657200 000039cc leteHandler...9. + 4fb90 02231405 5f574d49 5f476574 436f6e74 .#.._WMI_GetCont + 4fba0 726f6c45 70000000 41650223 18055f57 rolEp...Ae.#.._W + 4fbb0 4d495f53 68757464 6f776e00 0000416e MI_Shutdown...An + 4fbc0 02231c05 5f574d49 5f526563 764d6573 .#.._WMI_RecvMes + 4fbd0 73616765 48616e64 6c657200 000039c3 sageHandler...9. + 4fbe0 02232005 5f574d49 5f536572 76696365 .# ._WMI_Service + 4fbf0 436f6e6e 65637400 0000417b 02232405 Connect...A{.#$. + 4fc00 70526573 65727665 64000000 047b0223 pReserved....{.# + 4fc10 2800047a 73446d61 44657363 00140000 (..zsDmaDesc.... + 4fc20 433f0563 74726c00 0000011e 02230005 C?.ctrl......#.. + 4fc30 73746174 75730000 00011e02 23020574 status......#..t + 4fc40 6f74616c 4c656e00 0000011e 02230405 otalLen......#.. + 4fc50 64617461 53697a65 00000001 1e022306 dataSize......#. + 4fc60 056c6173 74416464 72000000 433f0223 .lastAddr...C?.# + 4fc70 08056461 74614164 64720000 00022c02 ..dataAddr....,. + 4fc80 230c056e 65787441 64647200 0000433f #..nextAddr...C? + 4fc90 02231000 07000042 bd040007 000042bd .#.....B......B. + 4fca0 0400047a 73446d61 51756575 65000800 ...zsDmaQueue... + 4fcb0 00437f05 68656164 00000043 46022300 .C..head...CF.#. + 4fcc0 05746572 6d696e61 746f7200 00004346 .terminator...CF + 4fcd0 02230400 047a7354 78446d61 51756575 .#...zsTxDmaQueu + 4fce0 65001000 0043e305 68656164 00000043 e....C..head...C + 4fcf0 46022300 05746572 6d696e61 746f7200 F.#..terminator. + 4fd00 00004346 02230405 786d6974 65645f62 ..CF.#..xmited_b + 4fd10 75665f68 65616400 000014ab 02230805 uf_head......#.. + 4fd20 786d6974 65645f62 75665f74 61696c00 xmited_buf_tail. + 4fd30 000014ab 02230c00 06010700 0043e304 .....#.......C.. + 4fd40 00070000 434d0400 06010700 0043f304 ....CM.......C.. + 4fd50 00070000 437f0400 06010700 00440304 ....C........D.. + 4fd60 00060107 0000440c 04000601 07000044 ......D........D + 4fd70 15040009 000014ab 01070000 441e0400 ............D... + 4fd80 06010700 00442b04 00090000 14ab0107 .....D+......... + 4fd90 00004434 04000601 07000044 41040009 ..D4.......DA... + 4fda0 000001c8 01070000 444a0400 09000043 ........DJ.....C + 4fdb0 46010700 00445704 00060107 00004464 F....DW.......Dd + 4fdc0 04000464 6d615f65 6e67696e 655f6170 ...dma_engine_ap + 4fdd0 69004000 0045da05 5f696e69 74000000 i.@..E.._init... + 4fde0 43e50223 00055f69 6e69745f 72785f71 C..#.._init_rx_q + 4fdf0 75657565 00000043 f5022304 055f696e ueue...C..#.._in + 4fe00 69745f74 785f7175 65756500 00004405 it_tx_queue...D. + 4fe10 02230805 5f636f6e 6669675f 72785f71 .#.._config_rx_q + 4fe20 75657565 00000044 0e02230c 055f786d ueue...D..#.._xm + 4fe30 69745f62 75660000 00441702 2310055f it_buf...D..#.._ + 4fe40 666c7573 685f786d 69740000 0043f502 flush_xmit...C.. + 4fe50 2314055f 72656170 5f726563 765f6275 #.._reap_recv_bu + 4fe60 66000000 44240223 18055f72 65747572 f...D$.#.._retur + 4fe70 6e5f7265 63765f62 75660000 00442d02 n_recv_buf...D-. + 4fe80 231c055f 72656170 5f786d69 7465645f #.._reap_xmited_ + 4fe90 62756600 0000443a 02232005 5f737761 buf...D:.# ._swa + 4fea0 705f6461 74610000 00444302 2324055f p_data...DC.#$._ + 4feb0 6861735f 636f6d70 6c5f7061 636b6574 has_compl_packet + 4fec0 73000000 44500223 28055f64 6573635f s...DP.#(._desc_ + 4fed0 64756d70 00000043 f502232c 055f6765 dump...C..#,._ge + 4fee0 745f7061 636b6574 00000044 5d022330 t_packet...D].#0 + 4fef0 055f7265 636c6169 6d5f7061 636b6574 ._reclaim_packet + 4ff00 00000044 66022334 055f7075 745f7061 ...Df.#4._put_pa + 4ff10 636b6574 00000044 66022338 05705265 cket...Df.#8.pRe + 4ff20 73657276 65640000 00047b02 233c0003 served....{.#<.. + 4ff30 5f415f63 6d6e6f73 5f696e64 69726563 _A_cmnos_indirec + 4ff40 74696f6e 5f746162 6c655f74 00000031 tion_table_t...1 + 4ff50 1903574d 495f5356 435f4150 49530000 ..WMI_SVC_APIS.. + 4ff60 00418217 5f415f6d 61677069 655f696e .A.._A_magpie_in + 4ff70 64697265 6374696f 6e5f7461 626c6500 direction_table. + 4ff80 034c0000 47080563 6d6e6f73 00000045 .L..G..cmnos...E + 4ff90 da022300 05646267 00000004 480323b8 ..#..dbg....H.#. + 4ffa0 03056869 66000000 29c20323 c0030568 ..hif...)..#...h + 4ffb0 74630000 003b3503 23f80305 776d695f tc...;5.#...wmi_ + 4ffc0 7376635f 61706900 000045fc 0323ac04 svc_api...E..#.. + 4ffd0 05757362 6669666f 5f617069 00000032 .usbfifo_api...2 + 4ffe0 ed0323d8 04056275 665f706f 6f6c0000 ..#...buf_pool.. + 4fff0 00379603 23e40405 76627566 00000014 .7..#...vbuf.... + 50000 d5032380 05057664 65736300 000013b7 ..#...vdesc..... + 50010 03239405 05616c6c 6f637261 6d000000 .#...allocram... + 50020 09ad0323 a8050564 6d615f65 6e67696e ...#...dma_engin + 50030 65000000 446d0323 b4050564 6d615f6c e...Dm.#...dma_l + 50040 69620000 002c5603 23f40505 6869665f ib...,V.#...hif_ + 50050 70636900 00002eb6 0323a806 00035f41 pci......#...._A + 50060 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect + 50070 696f6e5f 7461626c 655f7400 0000460e ion_table_t...F. + 50080 03536574 75705061 636b6574 00000001 .SetupPacket.... + 50090 421a436f 6e74726f 6c436d64 00000047 B.ControlCmd...G + 500a0 2b050300 500a9801 1a757362 4669666f +...P....usbFifo + 500b0 436f6e66 00000032 c8050300 500aa401 Conf...2....P... + 500c0 1a667743 6865636b 53756d00 00000241 .fwCheckSum....A + 500d0 05030050 0ab4010f 00000134 06000047 ...P.......4...G + 500e0 8f100200 1a557362 53746174 75730000 .....UsbStatus.. + 500f0 00478205 0300500a f0011a70 75384465 .G....P....pu8De + 50100 73637269 70746f72 45580000 000f8f05 scriptorEX...... + 50110 0300500a b8011a75 31365478 5278436f ..P....u16TxRxCo + 50120 756e7465 72000000 01340503 00500abc unter....4...P.. + 50130 011a7538 436f6e66 69674465 73637269 ..u8ConfigDescri + 50140 70746f72 45580000 000f8f05 0300500a ptorEX........P. + 50150 c0011404 00004826 0e414354 5f49444c ......H&.ACT_IDL + 50160 4500000e 4143545f 444f4e45 00010e41 E...ACT_DONE...A + 50170 43545f53 54414c4c 00020003 41637469 CT_STALL....Acti + 50180 6f6e0000 0047fd1a 65557362 43784669 on...G..eUsbCxFi + 50190 6e697368 41637469 6f6e0000 00482605 nishAction...H&. + 501a0 0300500a c4011404 0000488d 0e434d44 ..P.......H..CMD + 501b0 5f564f49 4400000e 434d445f 4745545f _VOID...CMD_GET_ + 501c0 44455343 52495054 4f520001 0e434d44 DESCRIPTOR...CMD + 501d0 5f534554 5f444553 43524950 544f5200 _SET_DESCRIPTOR. + 501e0 02000343 6f6d6d61 6e645479 70650000 ...CommandType.. + 501f0 0048511a 65557362 4378436f 6d6d616e .HQ.eUsbCxComman + 50200 64000000 488d0503 00500ac8 011a5573 d...H....P....Us + 50210 62436869 72704669 6e697368 00000008 bChirpFinish.... + 50220 6b050300 500acc01 1a753855 7362436f k...P....u8UsbCo + 50230 6e666967 56616c75 65000000 01340503 nfigValue....4.. + 50240 00500ace 011a7538 55736249 6e746572 .P....u8UsbInter + 50250 66616365 56616c75 65000000 01340503 faceValue....4.. + 50260 00500ad0 011a7538 55736249 6e746572 .P....u8UsbInter + 50270 66616365 416c7465 726e6174 65536574 faceAlternateSet + 50280 74696e67 00000001 34050300 500ad201 ting....4...P... + 50290 1a753136 4669726d 77617265 436f6d70 .u16FirmwareComp + 502a0 6c657465 00000001 34050300 500ad401 lete....4...P... + 502b0 1a753855 73624465 76696365 44657363 .u8UsbDeviceDesc + 502c0 72697074 6f720000 000f8f05 0300500a riptor........P. + 502d0 d8011a75 38537472 696e6730 30446573 ...u8String00Des + 502e0 63726970 746f7200 00000f8f 05030050 criptor........P + 502f0 0adc011a 75385374 72696e67 31304465 ....u8String10De + 50300 73637269 70746f72 0000000f 8f050300 scriptor........ + 50310 500ae001 1a753853 7472696e 67323044 P....u8String20D + 50320 65736372 6970746f 72000000 0f8f0503 escriptor....... + 50330 00500ae4 011a7538 53747269 6e673330 .P....u8String30 + 50340 44657363 72697074 6f720000 000f8f05 Descriptor...... + 50350 0300500a e8010700 00011104 00070000 ..P............. + 50360 02410400 1b000001 34010000 4a1c1002 .A......4...J... + 50370 001a5465 73745061 746e3000 00004a0f ..TestPatn0...J. + 50380 05030050 0720011b 00000241 0100004a ...P. .....A...J + 50390 3f100c00 1a546573 74506174 6e310000 ?....TestPatn1.. + 503a0 004a3205 03005007 30011b00 00013401 .J2...P.0.....4. + 503b0 00004a61 1c001b00 00013401 00004a6d ..Ja......4...Jm + 503c0 1c000700 00024104 000f0000 01cf1500 ......A......... + 503d0 004a8110 14000700 004a7404 00070000 .J.......Jt..... + 503e0 0f8f0400 1d000002 2c1d0000 022c0700 ........,....,.. + 503f0 004a9404 000f0000 01cf2500 004aad10 .J........%..J.. + 50400 24000700 004aa004 000f0000 01cf2000 $....J........ . + 50410 004ac110 1f000700 004ab404 000f0000 .J.......J...... + 50420 01cf0900 004ad510 08000700 004ac804 .....J.......J.. + 50430 000f0000 01cf1e00 004ae910 1d000700 .........J...... + 50440 004adc04 001b0000 01340100 004afc1c .J.......4...J.. + 50450 001b0000 01340100 004b081c 000f0000 .....4...K...... + 50460 01cf1100 004b1510 10000700 004b0804 .....K.......K.. + 50470 000f0000 01cf1000 004b2910 0f000700 .........K)..... + 50480 004b1c04 000f0000 01cf1600 004b3d10 .K...........K=. + 50490 15000700 004b3004 000f0000 01cf0d00 .....K0......... + 504a0 004b5110 0c000700 004b4404 000f0000 .KQ......KD..... + 504b0 01cf0f00 004b6510 0e000700 004b5804 .....Ke......KX. + 504c0 000f0000 01cf0e00 004b7910 0d000700 .........Ky..... + 504d0 004b6c04 000f0000 01cf1200 004b8d10 .Kl..........K.. + 504e0 11000700 004b8004 00070000 32ed0400 .....K......2... + 504f0 06010700 00100c04 0006011e 01013f76 ..............?v + 50500 5573625f 65703074 78000103 92012002 Usb_ep0tx..... . + 50510 9000008e 3884008e 38ac1e01 01597655 ....8...8....YvU + 50520 73625f65 70307278 00010392 01200290 sb_ep0rx..... .. + 50530 00008e38 ac008e38 ce1f0101 6d765573 ...8...8....mvUs + 50540 62436c72 45507800 01039201 20029000 bClrEPx..... ... + 50550 008e38d0 008e3951 00004c12 20753865 ..8...9Q..L. u8e + 50560 70000000 01110021 01018662 4765745f p......!...bGet_ + 50570 73746174 75730000 00086b01 03920120 status....k.... + 50580 02900000 8e395400 8e398800 004c5320 .....9T..9...LS + 50590 52656369 7069656e 74537461 7475734c RecipientStatusL + 505a0 6f770000 00011100 220101a1 62436c65 ow......"...bCle + 505b0 61725f66 65617475 72650000 00086b01 ar_feature....k. + 505c0 03920120 02900000 8e398800 8e39ab21 ... .....9...9.! + 505d0 0101c662 5365745f 66656174 75726500 ...bSet_feature. + 505e0 0000086b 01039201 20029000 008e39ac ...k.... .....9. + 505f0 008e3a7c 00004cac 20696900 00000134 ..:|..L. ii....4 + 50600 00220102 48625365 745f6164 64726573 ."..HbSet_addres + 50610 73000000 086b0103 92012002 9000008e s....k.... ..... + 50620 3a7c008e 3a9b2201 02626247 65745f64 :|..:."..bbGet_d + 50630 65736372 6970746f 72000000 086b0103 escriptor....k.. + 50640 92012002 9000008e 3a9c008e 3b2b2201 .. .....:...;+". + 50650 02c96247 65745f63 6f6e6669 67757261 ..bGet_configura + 50660 74696f6e 00000008 6b010392 01200290 tion....k.... .. + 50670 00008e3b 2c008e3b 52220102 e7625365 ...;,..;R"...bSe + 50680 745f636f 6e666967 75726174 696f6e00 t_configuration. + 50690 0000086b 01039201 20029000 008e3b54 ...k.... .....;T + 506a0 008e3bf9 2201032a 62476574 5f696e74 ..;."..*bGet_int + 506b0 65726661 63650000 00086b01 03920120 erface....k.... + 506c0 02900000 8e3bfc00 8e3c4122 01035f62 .....;... u8temp. + 50720 00000111 20753863 6f756e74 00000001 .... u8count.... + 50730 11206570 305f6c6f 77000000 01342065 . ep0_low....4 e + 50740 70305f68 69676800 00000134 20657030 p0_high....4 ep0 + 50750 5f646174 61000000 02412072 656d6169 _data....A remai + 50760 6e646572 00000001 11206570 305f6c6f nder..... ep0_lo + 50770 77000000 01342065 70305f68 69676800 w....4 ep0_high. + 50780 00000134 20657030 5f646174 61000000 ...4 ep0_data... + 50790 0241001f 0103ea76 55736245 50305278 .A.....vUsbEP0Rx + 507a0 44617461 00010392 01200290 00008e3d Data..... .....= + 507b0 8c008e3e 0800004e 93207538 74656d70 ...>...N. u8temp + 507c0 00000001 11207000 000003ba 20753863 ..... p..... u8c + 507d0 6f756e74 00000001 11206570 305f6461 ount..... ep0_da + 507e0 74610000 00024100 1e01041e 76557362 ta....A.....vUsb + 507f0 5f536574 75704465 73637269 70746f72 _SetupDescriptor + 50800 00010392 01200290 00008e3e 08008e3e ..... .....>...> + 50810 63220104 48625374 616e6461 7264436f c"..HbStandardCo + 50820 6d6d616e 64000000 086b0103 92012002 mmand....k.... . + 50830 9000008e 3e64008e 3f611f01 04d75665 ....>d..?a....Ve + 50840 6e646f72 436f6d6d 616e6400 01039201 ndorCommand..... + 50850 20029000 008e3f64 008e4056 00004f28 .....?d..@V..O( + 50860 20746578 745f6164 64720000 000f8f20 text_addr..... + 50870 66756e63 50747200 000001c1 001f0105 funcPtr......... + 50880 2a765573 625f6570 30736574 75700001 *vUsb_ep0setup.. + 50890 03920130 02900000 8e405800 8e41ce00 ...0.....@X..A.. + 508a0 004f7120 69690000 00011120 6b6b6b00 .Oq ii..... kkk. + 508b0 000001c8 23657030 5f646174 61000000 ....#ep0_data... + 508c0 02410291 50001e01 05bd6346 55534232 .A..P.....cFUSB2 + 508d0 3030496e 69740001 03920120 02900000 00Init..... .... + 508e0 8e41d000 8e42511e 0105ea5f 75736266 .A...BQ...._usbf + 508f0 69666f5f 656e6162 6c655f65 76656e74 ifo_enable_event + 50900 5f697372 00010392 01200290 00008e42 _isr..... .....B + 50910 54008e42 6e1f0105 ef5f7573 62666966 T..Bn...._usbfif + 50920 6f5f696e 69740001 03920120 02900000 o_init..... .... + 50930 8e427000 8e428800 004ff924 0105ef70 .Bp..B...O.$...p + 50940 436f6e66 69670000 0032dd01 52001f01 Config...2..R... + 50950 05f77655 73625f52 65675f4f 75740001 ..vUsb_Reg_Out.. + 50960 03920120 02900000 8e428800 8e42f000 ... .....B...B.. + 50970 00506720 62756600 000014ab 20726567 .Pg buf..... reg + 50980 61646472 0000004a 08207573 62666966 addr...J. usbfif + 50990 6f6c656e 00000001 3420636d 644c656e olen....4 cmdLen + 509a0 00000001 34206969 00000001 34206570 ....4 ii....4 ep + 509b0 345f6461 74610000 00024100 1f010636 4_data....A....6 + 509c0 76557362 5f537461 7475735f 496e0001 vUsb_Status_In.. + 509d0 03920120 02900000 8e42f000 8e439200 ... .....B...C.. + 509e0 0050d220 65766e74 62756600 000014ab .P. evntbuf..... + 509f0 20726567 61646472 0000004a 99205265 regaddr...J. Re + 50a00 67427566 4c656e00 0000011e 20636f75 gBufLen..... cou + 50a10 6e740000 00013420 72656d61 696e6465 nt....4 remainde + 50a20 72000000 0134001e 0106857a 66526573 r....4.....zfRes + 50a30 65745553 42464946 4f000103 92012002 etUSBFIFO..... . + 50a40 9000008e 4394008e 43a51e01 06927a66 ....C...C.....zf + 50a50 5475726e 4f666650 6f776572 00010392 TurnOffPower.... + 50a60 01200290 00008e43 a8008e44 1a1f0106 . .....C...D.... + 50a70 b37a6647 656e5761 74636844 6f674576 .zfGenWatchDogEv + 50a80 656e7400 01039201 20029000 008e441c ent..... .....D. + 50a90 008e445e 0000514f 20657665 6e740000 ..D^..QO event.. + 50aa0 00024100 1e0106c2 7a664a75 6d70546f ..A.....zfJumpTo + 50ab0 426f6f74 436f6465 00010392 01200290 BootCode..... .. + 50ac0 00008e44 60008e44 681f0106 ca5f7573 ...D`..Dh...._us + 50ad0 625f726f 6d5f7461 736b0001 03920120 b_rom_task..... + 50ae0 02900000 8e446800 8e452e00 0051cf20 .....Dh..E...Q. + 50af0 7573625f 696e7465 72727570 745f6c65 usb_interrupt_le + 50b00 76656c31 00000001 11207573 625f696e vel1..... usb_in + 50b10 74657272 7570745f 6c657665 6c320000 terrupt_level2.. + 50b20 00011100 1f010715 5f757362 5f66775f ........_usb_fw_ + 50b30 7461736b 00010392 01200290 00008e45 task..... .....E + 50b40 30008e46 65000052 29207573 625f696e 0..Fe..R) usb_in + 50b50 74657272 7570745f 6c657665 6c310000 terrupt_level1.. + 50b60 00011120 7573625f 696e7465 72727570 ... usb_interrup + 50b70 745f6c65 76656c32 00000001 11001f01 t_level2........ + 50b80 08315f75 73625f63 6c6b5f69 6e697400 .1_usb_clk_init. + 50b90 01039201 20029000 008e4668 008e476c .... .....Fh..Gl + 50ba0 0000525f 206d426f 6f744d6f 64650000 ..R_ mBootMode.. + 50bb0 000e6400 2501085d 5f757362 5f696e69 ..d.%..]_usb_ini + 50bc0 74000101 03920120 02900000 8e476c00 t...... .....Gl. + 50bd0 8e484500 00529320 636f6c64 5f737461 .HE..R. cold_sta + 50be0 72740000 00022c00 250108cd 75736266 rt....,.%...usbf + 50bf0 69666f5f 6d6f6475 6c655f69 6e737461 ifo_module_insta + 50c00 6c6c0001 01039201 20029000 008e4848 ll...... .....HH + 50c10 008e4857 000052d3 240108cd 61706973 ..HW..R.$...apis + 50c20 0000004b 94015200 260108d5 636d6e6f ...K..R.&...cmno + 50c30 735f7573 625f6d6f 64756c65 5f696e73 s_usb_module_ins + 50c40 74616c6c 00010103 92012002 9000008e tall...... ..... + 50c50 4858008e 48f52401 08d56170 69730000 HX..H.$...apis.. + 50c60 004b9d01 52000000 000003d7 00020000 .K..R........... + 50c70 1db50401 2f726f6f 742f576f 726b7370 ..../root/Worksp + 50c80 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 50c90 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 50ca0 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 50cb0 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 50cc0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 50cd0 6d2f6869 662f7573 622f7372 632f7573 m/hif/usb/src/us + 50ce0 625f7461 626c652e 63002f72 6f6f742f b_table.c./root/ + 50cf0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 50d00 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 50d10 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 50d20 2f757362 0078742d 78636320 666f7220 /usb.xt-xcc for + 50d30 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 50d40 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 50d50 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 50d60 61636500 01000275 6e736967 6e656420 ace....unsigned + 50d70 63686172 00070103 75696e74 385f7400 char....uint8_t. + 50d80 000000fe 0273686f 72742075 6e736967 .....short unsig + 50d90 6e656420 696e7400 07020375 696e7431 ned int....uint1 + 50da0 365f7400 0000011c 04536574 75705f50 6_t......Setup_P + 50db0 61636b65 74000c00 0001bd05 44697265 acket.......Dire + 50dc0 6374696f 6e000000 010f0223 00055479 ction......#..Ty + 50dd0 70650000 00010f02 2301054f 626a6563 pe......#..Objec + 50de0 74000000 010f0223 02055265 71756573 t......#..Reques + 50df0 74000000 01320223 04055661 6c756500 t....2.#..Value. + 50e00 00000132 02230605 496e6465 78000000 ...2.#..Index... + 50e10 01320223 08054c65 6e677468 00000001 .2.#..Length.... + 50e20 3202230a 00060000 01320100 0001c907 2.#......2...... + 50e30 00080000 01bd0600 00013201 000001da ..........2..... + 50e40 07000955 73624465 76696365 44657363 ...UsbDeviceDesc + 50e50 72697074 6f720000 0001c905 03004e01 riptor........N. + 50e60 00010600 00013201 00000206 07000800 ......2......... + 50e70 0001fa06 00000132 01000002 17070009 .......2........ + 50e80 75384853 436f6e66 69674465 73637269 u8HSConfigDescri + 50e90 70746f72 30310000 00020605 03004e01 ptor01........N. + 50ea0 20010600 00013201 00000246 07000800 .....2....F.... + 50eb0 00023a06 00000132 01000002 57070009 ..:....2....W... + 50ec0 75384653 436f6e66 69674465 73637269 u8FSConfigDescri + 50ed0 70746f72 30310000 00024605 03004e01 ptor01....F...N. + 50ee0 60010600 00013201 00000287 0a040009 `.....2......... + 50ef0 75384465 76696365 5175616c 69666965 u8DeviceQualifie + 50f00 72446573 63726970 746f7245 58000000 rDescriptorEX... + 50f10 027a0503 00500770 01060000 01320100 .z...P.p.....2.. + 50f20 0002be0a 1d000975 384f7468 65725370 .......u8OtherSp + 50f30 65656443 6f6e6669 67446573 63726970 eedConfigDescrip + 50f40 746f7245 58000000 02b10503 00500780 torEX........P.. + 50f50 01060000 01320100 0002f507 00080000 .....2.......... + 50f60 02e90600 00013201 00000306 07000953 ......2........S + 50f70 7472696e 67303044 65736372 6970746f tring00Descripto + 50f80 72000000 02f50503 004e01a0 01060000 r........N...... + 50f90 01320100 00033107 00080000 03250600 .2....1......%.. + 50fa0 00013201 00000342 07000953 7472696e ..2....B...Strin + 50fb0 67313044 65736372 6970746f 72000000 g10Descriptor... + 50fc0 03310503 004e01b0 01060000 01320100 .1...N.......2.. + 50fd0 00036d07 00080000 03610600 00013201 ..m......a....2. + 50fe0 0000037e 07000953 7472696e 67323044 ...~...String20D + 50ff0 65736372 6970746f 72000000 036d0503 escriptor....m.. + 51000 004e01c0 01060000 01320100 0003a907 .N.......2...... + 51010 00080000 039d0600 00013201 000003ba ..........2..... + 51020 07000953 7472696e 67333044 65736372 ...String30Descr + 51030 6970746f 72000000 03a90503 004e01e0 iptor........N.. + 51040 01000000 00499200 0200001e 1a04012f .....I........./ + 51050 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 51060 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 51070 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 51080 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 51090 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 510a0 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 510b0 2f757362 2f737263 2f757362 5f666966 /usb/src/usb_fif + 510c0 6f2e6300 2f726f6f 742f576f 726b7370 o.c./root/Worksp + 510d0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 510e0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 510f0 5f312f72 6f6d2f68 69662f75 73620078 _1/rom/hif/usb.x + 51100 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 + 51110 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr + 51120 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - + 51130 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... + 51140 00012545 02756e73 69676e65 64206368 ..%E.unsigned ch + 51150 61720007 01037569 6e74385f 74000000 ar....uint8_t... + 51160 01010273 686f7274 20756e73 69676e65 ...short unsigne + 51170 6420696e 74000702 0375696e 7431365f d int....uint16_ + 51180 74000000 011f0453 65747570 5f506163 t......Setup_Pac + 51190 6b657400 0c000001 c0054469 72656374 ket.......Direct + 511a0 696f6e00 00000112 02230005 54797065 ion......#..Type + 511b0 00000001 12022301 054f626a 65637400 ......#..Object. + 511c0 00000112 02230205 52657175 65737400 .....#..Request. + 511d0 00000135 02230405 56616c75 65000000 ...5.#..Value... + 511e0 01350223 0605496e 64657800 00000135 .5.#..Index....5 + 511f0 02230805 4c656e67 74680000 00013502 .#..Length....5. + 51200 230a0006 01070000 01c00400 02696e74 #............int + 51210 00050402 63686172 00070108 000001d0 ....char........ + 51220 08000001 d0070000 01dd0400 09000001 ................ + 51230 c9010700 0001e904 00047072 696e7466 ..........printf + 51240 5f617069 00080000 022d055f 7072696e _api.....-._prin + 51250 74665f69 6e697400 000001c2 02230005 tf_init......#.. + 51260 5f707269 6e746600 000001ef 02230400 _printf......#.. + 51270 026c6f6e 6720756e 7369676e 65642069 .long unsigned i + 51280 6e740007 04037569 6e743332 5f740000 nt....uint32_t.. + 51290 00022d04 75617274 5f666966 6f000800 ..-.uart_fifo... + 512a0 00029b05 73746172 745f696e 64657800 ....start_index. + 512b0 00000135 02230005 656e645f 696e6465 ...5.#..end_inde + 512c0 78000000 01350223 02056f76 65727275 x....5.#..overru + 512d0 6e5f6572 72000000 02420223 04000475 n_err....B.#...u + 512e0 6172745f 61706900 20000003 54055f75 art_api. ...T._u + 512f0 6172745f 696e6974 00000003 ab022300 art_init......#. + 51300 055f7561 72745f63 6861725f 70757400 ._uart_char_put. + 51310 000003b4 02230405 5f756172 745f6368 .....#.._uart_ch + 51320 61725f67 65740000 0003c802 2308055f ar_get......#.._ + 51330 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 51340 d102230c 055f7561 72745f74 61736b00 ..#.._uart_task. + 51350 000001c2 02231005 5f756172 745f7374 .....#.._uart_st + 51360 61747573 00000003 ab022314 055f7561 atus......#.._ua + 51370 72745f63 6f6e6669 67000000 03da0223 rt_config......# + 51380 18055f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 51390 0003e302 231c0007 0000029b 04000475 ....#..........u + 513a0 6172745f 626c6b00 10000003 a5056465 art_blk.......de + 513b0 6275675f 6d6f6465 00000001 35022300 bug_mode....5.#. + 513c0 05626175 64000000 01350223 02055f75 .baud....5.#.._u + 513d0 61727400 00000354 02230405 5f747800 art....T.#.._tx. + 513e0 00000250 02230800 09000002 42010700 ...P.#......B... + 513f0 0003a504 00060107 000003b2 04000700 ................ + 51400 00011204 00090000 01350107 000003c2 .........5...... + 51410 04000601 07000003 cf040006 01070000 ................ + 51420 03d80400 06010700 0003e104 00070000 ................ + 51430 01d00400 09000001 c9010700 0003f104 ................ + 51440 00044442 5f434f4d 4d414e44 5f535452 ..DB_COMMAND_STR + 51450 55435400 0c000004 4905636d 645f7374 UCT.....I.cmd_st + 51460 72000000 03ea0223 00056865 6c705f73 r......#..help_s + 51470 74720000 0003ea02 23040563 6d645f66 tr......#..cmd_f + 51480 756e6300 000003f7 02230800 04646267 unc......#...dbg + 51490 5f617069 00080000 047c055f 6462675f _api.....|._dbg_ + 514a0 696e6974 00000001 c2022300 055f6462 init......#.._db + 514b0 675f7461 736b0000 0001c202 2304000a g_task......#... + 514c0 04000275 6e736967 6e656420 696e7400 ...unsigned int. + 514d0 07040900 00047c01 07000004 8f04000b ......|......... + 514e0 0b070000 049d0400 09000004 7c010700 ............|... + 514f0 0004a504 00090000 01c90107 000004b2 ................ + 51500 0400046d 656d5f61 70690014 00000521 ...mem_api.....! + 51510 055f6d65 6d5f696e 69740000 0001c202 ._mem_init...... + 51520 2300055f 6d656d73 65740000 00049502 #.._memset...... + 51530 2304055f 6d656d63 70790000 0004ab02 #.._memcpy...... + 51540 2308055f 6d656d6d 6f766500 000004ab #.._memmove..... + 51550 02230c05 5f6d656d 636d7000 000004b8 .#.._memcmp..... + 51560 02231000 0c726567 69737465 725f6475 .#...register_du + 51570 6d705f73 00000107 00000521 04000601 mp_s.......!.... + 51580 07000005 3b040006 01070000 05440400 ....;........D.. + 51590 09000001 c9010700 00054d04 000d686f ..........M...ho + 515a0 73746966 5f730004 000005a9 0e484946 stif_s.......HIF + 515b0 5f555342 00000e48 49465f50 43494500 _USB...HIF_PCIE. + 515c0 010e4849 465f474d 41430002 0e484946 ..HIF_GMAC...HIF + 515d0 5f504349 00030e48 49465f4e 554d0004 _PCI...HIF_NUM.. + 515e0 0e484946 5f4e4f4e 45000500 03415f48 .HIF_NONE....A_H + 515f0 4f535449 46000000 055a0900 0005a901 OSTIF....Z...... + 51600 07000005 b7040009 00000112 01070000 ................ + 51610 05c40400 09000001 35010700 0005d104 ........5....... + 51620 00046d69 73635f61 70690024 000006c1 ..misc_api.$.... + 51630 055f7379 7374656d 5f726573 65740000 ._system_reset.. + 51640 0001c202 2300055f 6d61635f 72657365 ....#.._mac_rese + 51650 74000000 01c20223 04055f61 73736661 t......#.._assfa + 51660 696c0000 00053d02 2308055f 6d697361 il....=.#.._misa + 51670 6c69676e 65645f6c 6f61645f 68616e64 ligned_load_hand + 51680 6c657200 0000053d 02230c05 5f726570 ler....=.#.._rep + 51690 6f72745f 6661696c 7572655f 746f5f68 ort_failure_to_h + 516a0 6f737400 00000546 02231005 5f746172 ost....F.#.._tar + 516b0 6765745f 69645f67 65740000 00055302 get_id_get....S. + 516c0 2314055f 69735f68 6f73745f 70726573 #.._is_host_pres + 516d0 656e7400 000005bd 02231805 5f6b6268 ent......#.._kbh + 516e0 69740000 0005ca02 231c055f 726f6d5f it......#.._rom_ + 516f0 76657273 696f6e5f 67657400 000005d7 version_get..... + 51700 02232000 09000003 ea010700 0006c104 .# ............. + 51710 00090000 03ea0107 000006ce 04000900 ................ + 51720 0001c901 07000006 db040009 000001c9 ................ + 51730 01070000 06e80400 09000001 c9010700 ................ + 51740 0006f504 00047374 72696e67 5f617069 ......string_api + 51750 00180000 077b055f 73747269 6e675f69 .....{._string_i + 51760 6e697400 000001c2 02230005 5f737472 nit......#.._str + 51770 63707900 000006c7 02230405 5f737472 cpy......#.._str + 51780 6e637079 00000006 d4022308 055f7374 ncpy......#.._st + 51790 726c656e 00000006 e102230c 055f7374 rlen......#.._st + 517a0 72636d70 00000006 ee022310 055f7374 rcmp......#.._st + 517b0 726e636d 70000000 06fb0223 14000f00 rncmp......#.... + 517c0 00047f14 00000788 10040003 5f415f54 ............_A_T + 517d0 494d4552 5f535041 43450000 00077b03 IMER_SPACE....{. + 517e0 415f7469 6d65725f 74000000 07880700 A_timer_t....... + 517f0 00079c04 00060107 000007b2 04000601 ................ + 51800 07000007 bb040003 415f4841 4e444c45 ........A_HANDLE + 51810 00000004 7f060103 415f5449 4d45525f ........A_TIMER_ + 51820 46554e43 00000007 d2070000 07d40400 FUNC............ + 51830 06010700 0007ed04 00047469 6d65725f ..........timer_ + 51840 61706900 14000008 6c055f74 696d6572 api.....l._timer + 51850 5f696e69 74000000 01c20223 00055f74 _init......#.._t + 51860 696d6572 5f61726d 00000007 b4022304 imer_arm......#. + 51870 055f7469 6d65725f 64697361 726d0000 ._timer_disarm.. + 51880 0007bd02 2308055f 74696d65 725f7365 ....#.._timer_se + 51890 74666e00 000007ef 02230c05 5f74696d tfn......#.._tim + 518a0 65725f72 756e0000 0001c202 23100003 er_run......#... + 518b0 424f4f4c 45414e00 00000135 09000008 BOOLEAN....5.... + 518c0 6c010700 00087904 00090000 086c0107 l.....y......l.. + 518d0 00000886 04000900 00086c01 07000008 ..........l..... + 518e0 93040004 726f6d70 5f617069 00100000 ....romp_api.... + 518f0 0905055f 726f6d70 5f696e69 74000000 ..._romp_init... + 51900 01c20223 00055f72 6f6d705f 646f776e ...#.._romp_down + 51910 6c6f6164 00000008 7f022304 055f726f load......#.._ro + 51920 6d705f69 6e737461 6c6c0000 00088c02 mp_install...... + 51930 2308055f 726f6d70 5f646563 6f646500 #.._romp_decode. + 51940 00000899 02230c00 04726f6d 5f706174 .....#...rom_pat + 51950 63685f73 74001000 00096105 63726331 ch_st.....a.crc1 + 51960 36000000 01350223 00056c65 6e000000 6....5.#..len... + 51970 01350223 02056c64 5f616464 72000000 .5.#..ld_addr... + 51980 02420223 04056675 6e5f6164 64720000 .B.#..fun_addr.. + 51990 00024202 23080570 66756e00 000003bb ..B.#..pfun..... + 519a0 02230c00 04656570 5f726564 69725f61 .#...eep_redir_a + 519b0 64647200 04000009 93056f66 66736574 ddr.......offset + 519c0 00000001 35022300 0573697a 65000000 ....5.#..size... + 519d0 01350223 02000341 5f55494e 54333200 .5.#...A_UINT32. + 519e0 0000047f 09000004 7c010700 0009a104 ........|....... + 519f0 0004616c 6c6f6372 616d5f61 7069000c ..allocram_api.. + 51a00 00000a12 05636d6e 6f735f61 6c6c6f63 .....cmnos_alloc + 51a10 72616d5f 696e6974 00000009 a7022300 ram_init......#. + 51a20 05636d6e 6f735f61 6c6c6f63 72616d00 .cmnos_allocram. + 51a30 000009a7 02230405 636d6e6f 735f616c .....#..cmnos_al + 51a40 6c6f6372 616d5f64 65627567 00000001 locram_debug.... + 51a50 c2022308 00060107 00000a12 04000341 ..#............A + 51a60 5f544153 4b4c4554 5f46554e 43000000 _TASKLET_FUNC... + 51a70 0a14045f 7461736b 6c657400 1000000a ..._tasklet..... + 51a80 73056675 6e630000 000a1b02 23000561 s.func......#..a + 51a90 72670000 00047c02 23040573 74617465 rg....|.#..state + 51aa0 00000001 c9022308 056e6578 74000000 ......#..next... + 51ab0 0a730223 0c000700 000a2f04 00070000 .s.#....../..... + 51ac0 0a2f0400 03415f74 61736b6c 65745f74 ./...A_tasklet_t + 51ad0 0000000a 2f070000 0a810400 06010700 ..../........... + 51ae0 000a9904 00060107 00000aa2 04000474 ...............t + 51af0 61736b6c 65745f61 70690014 00000b37 asklet_api.....7 + 51b00 055f7461 736b6c65 745f696e 69740000 ._tasklet_init.. + 51b10 0001c202 2300055f 7461736b 6c65745f ....#.._tasklet_ + 51b20 696e6974 5f746173 6b000000 0a9b0223 init_task......# + 51b30 04055f74 61736b6c 65745f64 69736162 .._tasklet_disab + 51b40 6c650000 000aa402 2308055f 7461736b le......#.._task + 51b50 6c65745f 73636865 64756c65 0000000a let_schedule.... + 51b60 a402230c 055f7461 736b6c65 745f7275 ..#.._tasklet_ru + 51b70 6e000000 01c20223 10000601 0700000b n......#........ + 51b80 37040009 00000993 01070000 0b400400 7............@.. + 51b90 06010700 000b4d04 0004636c 6f636b5f ......M...clock_ + 51ba0 61706900 2400000c 2f055f63 6c6f636b api.$.../._clock + 51bb0 5f696e69 74000000 0b390223 00055f63 _init....9.#.._c + 51bc0 6c6f636b 72656773 5f696e69 74000000 lockregs_init... + 51bd0 01c20223 04055f75 6172745f 66726571 ...#.._uart_freq + 51be0 75656e63 79000000 0b460223 08055f64 uency....F.#.._d + 51bf0 656c6179 5f757300 00000b4f 02230c05 elay_us....O.#.. + 51c00 5f776c61 6e5f6261 6e645f73 65740000 _wlan_band_set.. + 51c10 000b4f02 2310055f 72656663 6c6b5f73 ..O.#.._refclk_s + 51c20 70656564 5f676574 0000000b 46022314 peed_get....F.#. + 51c30 055f6d69 6c6c6973 65636f6e 64730000 ._milliseconds.. + 51c40 000b4602 2318055f 73797363 6c6b5f63 ..F.#.._sysclk_c + 51c50 68616e67 65000000 01c20223 1c055f63 hange......#.._c + 51c60 6c6f636b 5f746963 6b000000 01c20223 lock_tick......# + 51c70 20000900 00024201 0700000c 2f040003 .....B...../... + 51c80 415f6f6c 645f696e 74725f74 00000002 A_old_intr_t.... + 51c90 42090000 0c3c0107 00000c4e 04000601 B....<.....N.... + 51ca0 0700000c 5b040006 01070000 0c640400 ....[........d.. + 51cb0 09000002 42010700 000c6d04 0003415f ....B.....m...A_ + 51cc0 6973725f 74000000 0c730601 0700000c isr_t....s...... + 51cd0 87040009 0000047f 01070000 0c900400 ................ + 51ce0 06010700 000c9d04 0004696e 74725f61 ..........intr_a + 51cf0 7069002c 00000dbf 055f696e 74725f69 pi.,....._intr_i + 51d00 6e697400 000001c2 02230005 5f696e74 nit......#.._int + 51d10 725f696e 766f6b65 5f697372 0000000c r_invoke_isr.... + 51d20 35022304 055f696e 74725f64 69736162 5.#.._intr_disab + 51d30 6c650000 000c5402 2308055f 696e7472 le....T.#.._intr + 51d40 5f726573 746f7265 0000000c 5d02230c _restore....].#. + 51d50 055f696e 74725f6d 61736b5f 696e756d ._intr_mask_inum + 51d60 0000000c 66022310 055f696e 74725f75 ....f.#.._intr_u + 51d70 6e6d6173 6b5f696e 756d0000 000c6602 nmask_inum....f. + 51d80 2314055f 696e7472 5f617474 6163685f #.._intr_attach_ + 51d90 69737200 00000c89 02231805 5f676574 isr......#.._get + 51da0 5f696e74 72656e61 626c6500 00000c96 _intrenable..... + 51db0 02231c05 5f736574 5f696e74 72656e61 .#.._set_intrena + 51dc0 626c6500 00000c9f 02232005 5f676574 ble......# ._get + 51dd0 5f696e74 7270656e 64696e67 0000000c _intrpending.... + 51de0 96022324 055f756e 626c6f63 6b5f616c ..#$._unblock_al + 51df0 6c5f696e 74726c76 6c000000 01c20223 l_intrlvl......# + 51e00 28001104 00000de5 0574696d 656f7574 (........timeout + 51e10 00000002 42022300 05616374 696f6e00 ....B.#..action. + 51e20 00000242 02230000 12080000 0e000563 ...B.#.........c + 51e30 6d640000 00024202 23001300 000dbf02 md....B.#....... + 51e40 23040003 545f5744 545f434d 44000000 #...T_WDT_CMD... + 51e50 0de50601 0700000e 0f040014 0400000e ................ + 51e60 650e454e 554d5f57 44545f42 4f4f5400 e.ENUM_WDT_BOOT. + 51e70 010e454e 554d5f43 4f4c445f 424f4f54 ..ENUM_COLD_BOOT + 51e80 00020e45 4e554d5f 53555350 5f424f4f ...ENUM_SUSP_BOO + 51e90 5400030e 454e554d 5f554e4b 4e4f574e T...ENUM_UNKNOWN + 51ea0 5f424f4f 54000400 03545f42 4f4f545f _BOOT....T_BOOT_ + 51eb0 54595045 0000000e 18090000 0e650107 TYPE.........e.. + 51ec0 00000e76 04000477 64745f61 7069001c ...v...wdt_api.. + 51ed0 00000f1a 055f7764 745f696e 69740000 ....._wdt_init.. + 51ee0 0001c202 2300055f 7764745f 656e6162 ....#.._wdt_enab + 51ef0 6c650000 0001c202 2304055f 7764745f le......#.._wdt_ + 51f00 64697361 626c6500 000001c2 02230805 disable......#.. + 51f10 5f776474 5f736574 0000000e 1102230c _wdt_set......#. + 51f20 055f7764 745f7461 736b0000 0001c202 ._wdt_task...... + 51f30 2310055f 7764745f 72657365 74000000 #.._wdt_reset... + 51f40 01c20223 14055f77 64745f6c 6173745f ...#.._wdt_last_ + 51f50 626f6f74 0000000e 7c022318 00140400 boot....|.#..... + 51f60 000f810e 5245545f 53554343 45535300 ....RET_SUCCESS. + 51f70 000e5245 545f4e4f 545f494e 49540001 ..RET_NOT_INIT.. + 51f80 0e524554 5f4e4f54 5f455849 53540002 .RET_NOT_EXIST.. + 51f90 0e524554 5f454550 5f434f52 52555054 .RET_EEP_CORRUPT + 51fa0 00030e52 45545f45 45505f4f 56455246 ...RET_EEP_OVERF + 51fb0 4c4f5700 040e5245 545f554e 4b4e4f57 LOW...RET_UNKNOW + 51fc0 4e000500 03545f45 45505f52 45540000 N....T_EEP_RET.. + 51fd0 000f1a07 00000135 04000900 000f8101 .......5........ + 51fe0 0700000f 97040009 00000f81 01070000 ................ + 51ff0 0fa40400 04656570 5f617069 00100000 .....eep_api.... + 52000 100d055f 6565705f 696e6974 00000001 ..._eep_init.... + 52010 c2022300 055f6565 705f7265 61640000 ..#.._eep_read.. + 52020 000f9d02 2304055f 6565705f 77726974 ....#.._eep_writ + 52030 65000000 0f9d0223 08055f65 65705f69 e......#.._eep_i + 52040 735f6578 69737400 00000faa 02230c00 s_exist......#.. + 52050 04757362 5f617069 00700000 12ba055f .usb_api.p....._ + 52060 7573625f 696e6974 00000001 c2022300 usb_init......#. + 52070 055f7573 625f726f 6d5f7461 736b0000 ._usb_rom_task.. + 52080 0001c202 2304055f 7573625f 66775f74 ....#.._usb_fw_t + 52090 61736b00 000001c2 02230805 5f757362 ask......#.._usb + 520a0 5f696e69 745f7068 79000000 01c20223 _init_phy......# + 520b0 0c055f75 73625f65 70305f73 65747570 .._usb_ep0_setup + 520c0 00000001 c2022310 055f7573 625f6570 ......#.._usb_ep + 520d0 305f7478 00000001 c2022314 055f7573 0_tx......#.._us + 520e0 625f6570 305f7278 00000001 c2022318 b_ep0_rx......#. + 520f0 055f7573 625f6765 745f696e 74657266 ._usb_get_interf + 52100 61636500 0000088c 02231c05 5f757362 ace......#.._usb + 52110 5f736574 5f696e74 65726661 63650000 _set_interface.. + 52120 00088c02 2320055f 7573625f 6765745f ....# ._usb_get_ + 52130 636f6e66 69677572 6174696f 6e000000 configuration... + 52140 088c0223 24055f75 73625f73 65745f63 ...#$._usb_set_c + 52150 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 52160 8c022328 055f7573 625f7374 616e6461 ..#(._usb_standa + 52170 72645f63 6d640000 00088c02 232c055f rd_cmd......#,._ + 52180 7573625f 76656e64 6f725f63 6d640000 usb_vendor_cmd.. + 52190 0001c202 2330055f 7573625f 706f7765 ....#0._usb_powe + 521a0 725f6f66 66000000 01c20223 34055f75 r_off......#4._u + 521b0 73625f72 65736574 5f666966 6f000000 sb_reset_fifo... + 521c0 01c20223 38055f75 73625f67 656e5f77 ...#8._usb_gen_w + 521d0 64740000 0001c202 233c055f 7573625f dt......#<._usb_ + 521e0 6a756d70 5f626f6f 74000000 01c20223 jump_boot......# + 521f0 40055f75 73625f63 6c725f66 65617475 @._usb_clr_featu + 52200 72650000 00088c02 2344055f 7573625f re......#D._usb_ + 52210 7365745f 66656174 75726500 0000088c set_feature..... + 52220 02234805 5f757362 5f736574 5f616464 .#H._usb_set_add + 52230 72657373 00000008 8c02234c 055f7573 ress......#L._us + 52240 625f6765 745f6465 73637269 70746f72 b_get_descriptor + 52250 00000008 8c022350 055f7573 625f6765 ......#P._usb_ge + 52260 745f7374 61747573 00000008 8c022354 t_status......#T + 52270 055f7573 625f7365 7475705f 64657363 ._usb_setup_desc + 52280 00000001 c2022358 055f7573 625f7265 ......#X._usb_re + 52290 675f6f75 74000000 01c20223 5c055f75 g_out......#\._u + 522a0 73625f73 74617475 735f696e 00000001 sb_status_in.... + 522b0 c2022360 055f7573 625f6570 305f7478 ..#`._usb_ep0_tx + 522c0 5f646174 61000000 01c20223 64055f75 _data......#d._u + 522d0 73625f65 70305f72 785f6461 74610000 sb_ep0_rx_data.. + 522e0 0001c202 2368055f 7573625f 636c6b5f ....#h._usb_clk_ + 522f0 696e6974 00000001 c202236c 00045f56 init......#l.._V + 52300 44455343 00240000 1346056e 6578745f DESC.$...F.next_ + 52310 64657363 00000013 46022300 05627566 desc....F.#..buf + 52320 5f616464 72000000 135a0223 04056275 _addr....Z.#..bu + 52330 665f7369 7a650000 00136102 23080564 f_size....a.#..d + 52340 6174615f 6f666673 65740000 00136102 ata_offset....a. + 52350 230a0564 6174615f 73697a65 00000013 #..data_size.... + 52360 6102230c 05636f6e 74726f6c 00000013 a.#..control.... + 52370 6102230e 0568775f 64657363 5f627566 a.#..hw_desc_buf + 52380 00000013 6f022310 00070000 12ba0400 ....o.#......... + 52390 03415f55 494e5438 00000001 01070000 .A_UINT8........ + 523a0 134d0400 03415f55 494e5431 36000000 .M...A_UINT16... + 523b0 011f0f00 00134d14 0000137c 10130007 ......M....|.... + 523c0 000012ba 04000356 44455343 00000012 .......VDESC.... + 523d0 ba070000 13830400 09000013 8e010700 ................ + 523e0 00139504 00090000 135a0107 000013a2 .........Z...... + 523f0 04000601 07000013 af040004 76646573 ............vdes + 52400 635f6170 69001400 00142705 5f696e69 c_api.....'._ini + 52410 74000000 0b4f0223 00055f61 6c6c6f63 t....O.#.._alloc + 52420 5f766465 73630000 00139b02 2304055f _vdesc......#.._ + 52430 6765745f 68775f64 65736300 000013a8 get_hw_desc..... + 52440 02230805 5f737761 705f7664 65736300 .#.._swap_vdesc. + 52450 000013b1 02230c05 70526573 65727665 .....#..pReserve + 52460 64000000 047c0223 1000045f 56425546 d....|.#..._VBUF + 52470 00200000 14870564 6573635f 6c697374 . .....desc_list + 52480 00000013 8e022300 056e6578 745f6275 ......#..next_bu + 52490 66000000 14870223 04056275 665f6c65 f......#..buf_le + 524a0 6e677468 00000013 61022308 05726573 ngth....a.#..res + 524b0 65727665 64000000 148e0223 0a056374 erved......#..ct + 524c0 78000000 136f0223 0c000700 00142704 x....o.#......'. + 524d0 000f0000 134d0200 00149b10 01000700 .....M.......... + 524e0 00142704 00035642 55460000 00142707 ..'...VBUF....'. + 524f0 000014a2 04000900 0014ac01 07000014 ................ + 52500 b3040009 000014ac 01070000 14c00400 ................ + 52510 06010700 0014cd04 00047662 75665f61 ..........vbuf_a + 52520 70690014 0000154b 055f696e 69740000 pi.....K._init.. + 52530 000b4f02 2300055f 616c6c6f 635f7662 ..O.#.._alloc_vb + 52540 75660000 0014b902 2304055f 616c6c6f uf......#.._allo + 52550 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 52560 00000014 c6022308 055f6672 65655f76 ......#.._free_v + 52570 62756600 000014cf 02230c05 70526573 buf......#..pRes + 52580 65727665 64000000 047c0223 1000045f erved....|.#..._ + 52590 5f616466 5f646576 69636500 04000015 _adf_device..... + 525a0 6d056475 6d6d7900 000001c9 02230000 m.dummy......#.. + 525b0 07000009 93040004 5f5f6164 665f646d ........__adf_dm + 525c0 615f6d61 70000c00 0015b405 62756600 a_map.......buf. + 525d0 000014ac 02230005 64735f61 64647200 .....#..ds_addr. + 525e0 0000156d 02230405 64735f6c 656e0000 ...m.#..ds_len.. + 525f0 00136102 23080012 0c000015 ee055f5f ..a.#.........__ + 52600 76615f73 746b0000 0003ea02 2300055f va_stk......#.._ + 52610 5f76615f 72656700 000003ea 02230405 _va_reg......#.. + 52620 5f5f7661 5f6e6478 00000001 c9022308 __va_ndx......#. + 52630 00035f5f 6164665f 6f735f64 6d615f61 ..__adf_os_dma_a + 52640 6464725f 74000000 09930361 64665f6f ddr_t......adf_o + 52650 735f646d 615f6164 64725f74 00000015 s_dma_addr_t.... + 52660 ee035f5f 6164665f 6f735f64 6d615f73 ..__adf_os_dma_s + 52670 697a655f 74000000 09930361 64665f6f ize_t......adf_o + 52680 735f646d 615f7369 7a655f74 00000016 s_dma_size_t.... + 52690 1e045f5f 646d615f 73656773 00080000 ..__dma_segs.... + 526a0 167a0570 61646472 00000016 07022300 .z.paddr......#. + 526b0 056c656e 00000016 37022304 00035f5f .len....7.#...__ + 526c0 615f7569 6e743332 5f740000 00099303 a_uint32_t...... + 526d0 615f7569 6e743332 5f740000 00167a0f a_uint32_t....z. + 526e0 0000164e 08000016 a9100000 04616466 ...N.........adf + 526f0 5f6f735f 646d616d 61705f69 6e666f00 _os_dmamap_info. + 52700 0c000016 e2056e73 65677300 0000168c ......nsegs..... + 52710 02230005 646d615f 73656773 00000016 .#..dma_segs.... + 52720 9c022304 00035f5f 615f7569 6e74385f ..#...__a_uint8_ + 52730 74000000 134d0361 5f75696e 74385f74 t....M.a_uint8_t + 52740 00000016 e2070000 16f30400 045f5f73 .............__s + 52750 675f7365 67730008 00001734 05766164 g_segs.....4.vad + 52760 64720000 00170202 2300056c 656e0000 dr......#..len.. + 52770 00168c02 2304000f 00001709 20000017 ....#....... ... + 52780 41100300 04616466 5f6f735f 73676c69 A....adf_os_sgli + 52790 73740024 00001774 056e7365 67730000 st.$...t.nsegs.. + 527a0 00168c02 23000573 675f7365 67730000 ....#..sg_segs.. + 527b0 00173402 23040012 10000017 bd057665 ..4.#.........ve + 527c0 6e646f72 00000016 8c022300 05646576 ndor......#..dev + 527d0 69636500 0000168c 02230405 73756276 ice......#..subv + 527e0 656e646f 72000000 168c0223 08057375 endor......#..su + 527f0 62646576 69636500 0000168c 02230c00 bdevice......#.. + 52800 026c6f6e 67206c6f 6e672075 6e736967 .long long unsig + 52810 6e656420 696e7400 07080341 5f55494e ned int....A_UIN + 52820 54363400 000017bd 035f5f61 5f75696e T64......__a_uin + 52830 7436345f 74000000 17d70361 5f75696e t64_t......a_uin + 52840 7436345f 74000000 17e51404 00001843 t64_t..........C + 52850 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 52860 5f545950 455f4d45 4d00000e 4144465f _TYPE_MEM...ADF_ + 52870 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 52880 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re + 52890 736f7572 63655f74 7970655f 74000000 source_type_t... + 528a0 18071218 0000188d 05737461 72740000 .........start.. + 528b0 0017f702 23000565 6e640000 0017f702 ....#..end...... + 528c0 23080574 79706500 00001843 02231000 #..type....C.#.. + 528d0 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 528e0 69645f74 00000017 74070000 188d0400 id_t....t....... + 528f0 11040000 18cc0570 63690000 0018a602 .......pci...... + 52900 23000572 61770000 00047c02 23000011 #..raw....|.#... + 52910 10000018 eb057063 69000000 188d0223 ......pci......# + 52920 00057261 77000000 047c0223 00000361 ..raw....|.#...a + 52930 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 52940 0000047c 03616466 5f6f735f 7265736f ...|.adf_os_reso + 52950 75726365 5f740000 00185f07 00001901 urce_t...._..... + 52960 04000361 64665f6f 735f6174 74616368 ...adf_os_attach + 52970 5f646174 615f7400 000018cc 07000019 _data_t......... + 52980 1f040007 0000154b 0400035f 5f616466 .......K...__adf + 52990 5f6f735f 64657669 63655f74 00000019 _os_device_t.... + 529a0 40036164 665f6f73 5f646576 6963655f @.adf_os_device_ + 529b0 74000000 19470900 0018eb01 07000019 t....G.......... + 529c0 73040006 01070000 19800400 03616466 s............adf + 529d0 5f6f735f 706d5f74 00000004 7c060107 _os_pm_t....|... + 529e0 0000199a 04001404 000019da 0e414446 .............ADF + 529f0 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 52a00 00010e41 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 52a10 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad + 52a20 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 52a30 000019a3 03616466 5f6f735f 6275735f .....adf_os_bus_ + 52a40 7265675f 64617461 5f740000 0018ad07 reg_data_t...... + 52a50 00000101 0400045f 6164665f 6472765f ......._adf_drv_ + 52a60 696e666f 00200000 1ab70564 72765f61 info. .....drv_a + 52a70 74746163 68000000 19790223 00056472 ttach....y.#..dr + 52a80 765f6465 74616368 00000019 82022304 v_detach......#. + 52a90 05647276 5f737573 70656e64 00000019 .drv_suspend.... + 52aa0 9c022308 05647276 5f726573 756d6500 ..#..drv_resume. + 52ab0 00001982 02230c05 6275735f 74797065 .....#..bus_type + 52ac0 00000019 da022310 05627573 5f646174 ......#..bus_dat + 52ad0 61000000 19f10223 14056d6f 645f6e61 a......#..mod_na + 52ae0 6d650000 001a0c02 23180569 666e616d me......#..ifnam + 52af0 65000000 1a0c0223 1c000361 64665f6f e......#...adf_o + 52b00 735f6861 6e646c65 5f740000 00047c07 s_handle_t....|. + 52b10 000016e2 04000601 0601035f 5f616466 ...........__adf + 52b20 5f6f735f 73697a65 5f740000 00047f14 _os_size_t...... + 52b30 0400001b 060e415f 46414c53 4500000e ......A_FALSE... + 52b40 415f5452 55450001 0003615f 626f6f6c A_TRUE....a_bool + 52b50 5f740000 001aec07 00001574 0400035f _t.........t..._ + 52b60 5f616466 5f6f735f 646d615f 6d61705f _adf_os_dma_map_ + 52b70 74000000 1b140601 0d616466 5f6f735f t........adf_os_ + 52b80 63616368 655f7379 6e630004 00001b9e cache_sync...... + 52b90 0e414446 5f53594e 435f5052 45524541 .ADF_SYNC_PREREA + 52ba0 4400000e 4144465f 53594e43 5f505245 D...ADF_SYNC_PRE + 52bb0 57524954 4500020e 4144465f 53594e43 WRITE...ADF_SYNC + 52bc0 5f504f53 54524541 4400010e 4144465f _POSTREAD...ADF_ + 52bd0 53594e43 5f504f53 54575249 54450003 SYNC_POSTWRITE.. + 52be0 00036164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 52bf0 796e635f 74000000 1b350601 03616466 ync_t....5...adf + 52c00 5f6f735f 73697a65 5f740000 001ad709 _os_size_t...... + 52c10 00001bb9 01036164 665f6f73 5f646d61 ......adf_os_dma + 52c20 5f6d6170 5f740000 001b1b07 00001bd2 _map_t.......... + 52c30 04000900 00047c01 0700001b 1b040009 ......|......... + 52c40 0000047c 01060109 00001607 01060102 ...|............ + 52c50 73686f72 7420696e 74000502 03415f49 short int....A_I + 52c60 4e543136 0000001c 0c035f5f 615f696e NT16......__a_in + 52c70 7431365f 74000000 1c190361 5f696e74 t16_t......a_int + 52c80 31365f74 0000001c 26027369 676e6564 16_t....&.signed + 52c90 20636861 72000501 03415f49 4e543800 char....A_INT8. + 52ca0 00001c46 035f5f61 5f696e74 385f7400 ...F.__a_int8_t. + 52cb0 00001c55 03615f69 6e74385f 74000000 ...U.a_int8_t... + 52cc0 1c61120c 00001cd8 05737570 706f7274 .a.......support + 52cd0 65640000 00168c02 23000561 64766572 ed......#..adver + 52ce0 74697a65 64000000 168c0223 04057370 tized......#..sp + 52cf0 65656400 00001c37 02230805 6475706c eed....7.#..dupl + 52d00 65780000 001c7102 230a0561 75746f6e ex....q.#..auton + 52d10 65670000 0016f302 230b000f 000016f3 eg......#....... + 52d20 0600001c e5100500 04616466 5f6e6574 .........adf_net + 52d30 5f657468 61646472 00060000 1d090561 _ethaddr.......a + 52d40 64647200 00001cd8 02230000 035f5f61 ddr......#...__a + 52d50 5f75696e 7431365f 74000000 13610361 _uint16_t....a.a + 52d60 5f75696e 7431365f 74000000 1d09120e _uint16_t....... + 52d70 00001d6d 05657468 65725f64 686f7374 ...m.ether_dhost + 52d80 0000001c d8022300 05657468 65725f73 ......#..ether_s + 52d90 686f7374 0000001c d8022306 05657468 host......#..eth + 52da0 65725f74 79706500 00001d1b 02230c00 er_type......#.. + 52db0 12140000 1e2e1569 705f7665 7273696f .......ip_versio + 52dc0 6e000000 16f30100 04022300 1569705f n.........#..ip_ + 52dd0 686c0000 0016f301 04040223 00056970 hl.........#..ip + 52de0 5f746f73 00000016 f3022301 0569705f _tos......#..ip_ + 52df0 6c656e00 00001d1b 02230205 69705f69 len......#..ip_i + 52e00 64000000 1d1b0223 04056970 5f667261 d......#..ip_fra + 52e10 675f6f66 66000000 1d1b0223 06056970 g_off......#..ip + 52e20 5f74746c 00000016 f3022308 0569705f _ttl......#..ip_ + 52e30 70726f74 6f000000 16f30223 09056970 proto......#..ip + 52e40 5f636865 636b0000 001d1b02 230a0569 _check......#..i + 52e50 705f7361 64647200 0000168c 02230c05 p_saddr......#.. + 52e60 69705f64 61646472 00000016 8c022310 ip_daddr......#. + 52e70 00046164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 52e80 72000400 001e8005 74706964 0000001d r.......tpid.... + 52e90 1b022300 15707269 6f000000 16f30100 ..#..prio....... + 52ea0 03022302 15636669 00000016 f3010301 ..#..cfi........ + 52eb0 02230215 76696400 00001d1b 02040c02 .#..vid......... + 52ec0 23020004 6164665f 6e65745f 76696400 #...adf_net_vid. + 52ed0 0200001e b1157265 73000000 16f30100 ......res....... + 52ee0 04022300 1576616c 0000001d 1b02040c ..#..val........ + 52ef0 02230000 120c0000 1eed0572 785f6275 .#.........rx_bu + 52f00 6673697a 65000000 168c0223 00057278 fsize......#..rx + 52f10 5f6e6465 73630000 00168c02 23040574 _ndesc......#..t + 52f20 785f6e64 65736300 0000168c 02230800 x_ndesc......#.. + 52f30 12080000 1f130570 6f6c6c65 64000000 .......polled... + 52f40 1b060223 0005706f 6c6c5f77 74000000 ...#..poll_wt... + 52f50 168c0223 04000f00 0016f340 00001f20 ...#.......@... + 52f60 103f0012 4600001f 48056966 5f6e616d .?..F...H.if_nam + 52f70 65000000 1f130223 00056465 765f6164 e......#..dev_ad + 52f80 64720000 001cd802 23400014 0400001f dr......#@...... + 52f90 7f0e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 52fa0 4b5f3332 42495400 000e4144 465f4f53 K_32BIT...ADF_OS + 52fb0 5f444d41 5f4d4153 4b5f3634 42495400 _DMA_MASK_64BIT. + 52fc0 01000361 64665f6f 735f646d 615f6d61 ...adf_os_dma_ma + 52fd0 736b5f74 0000001f 48046164 665f646d sk_t....H.adf_dm + 52fe0 615f696e 666f0008 00001fcc 05646d61 a_info.......dma + 52ff0 5f6d6173 6b000000 1f7f0223 00057367 _mask......#..sg + 53000 5f6e7365 67730000 00168c02 23040014 _nsegs......#... + 53010 04000020 220e4144 465f4e45 545f434b ... ".ADF_NET_CK + 53020 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 53030 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 53040 5f495076 3400010e 4144465f 4e45545f _IPv4...ADF_NET_ + 53050 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 53060 76360002 00036164 665f6e65 745f636b v6....adf_net_ck + 53070 73756d5f 74797065 5f740000 001fcc12 sum_type_t...... + 53080 08000020 65057478 5f636b73 756d0000 ... e.tx_cksum.. + 53090 00202202 23000572 785f636b 73756d00 . ".#..rx_cksum. + 530a0 00002022 02230400 03616466 5f6e6574 .. ".#...adf_net + 530b0 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 530c0 203c1404 000020be 0e414446 5f4e4554 <.... ..ADF_NET + 530d0 5f54534f 5f4e4f4e 4500000e 4144465f _TSO_NONE...ADF_ + 530e0 4e45545f 54534f5f 49505634 00010e41 NET_TSO_IPV4...A + 530f0 44465f4e 45545f54 534f5f41 4c4c0002 DF_NET_TSO_ALL.. + 53100 00036164 665f6e65 745f7473 6f5f7479 ..adf_net_tso_ty + 53110 70655f74 00000020 7f121000 00211205 pe_t... .....!.. + 53120 636b7375 6d5f6361 70000000 20650223 cksum_cap... e.# + 53130 00057473 6f000000 20be0223 0805766c ..tso... ..#..vl + 53140 616e5f73 7570706f 72746564 00000016 an_supported.... + 53150 f302230c 00122000 0021ab05 74785f70 ..#... ..!..tx_p + 53160 61636b65 74730000 00168c02 23000572 ackets......#..r + 53170 785f7061 636b6574 73000000 168c0223 x_packets......# + 53180 04057478 5f627974 65730000 00168c02 ..tx_bytes...... + 53190 23080572 785f6279 74657300 0000168c #..rx_bytes..... + 531a0 02230c05 74785f64 726f7070 65640000 .#..tx_dropped.. + 531b0 00168c02 23100572 785f6472 6f707065 ....#..rx_droppe + 531c0 64000000 168c0223 14057278 5f657272 d......#..rx_err + 531d0 6f727300 0000168c 02231805 74785f65 ors......#..tx_e + 531e0 72726f72 73000000 168c0223 1c000361 rrors......#...a + 531f0 64665f6e 65745f65 74686164 64725f74 df_net_ethaddr_t + 53200 0000001c e5160000 21ab0300 000021d0 ........!.....!. + 53210 107f0017 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 53220 6d636164 64720003 04000022 07056e65 mcaddr....."..ne + 53230 6c656d00 0000168c 02230005 6d636173 lem......#..mcas + 53240 74000000 21c20223 04000361 64665f6e t...!..#...adf_n + 53250 65745f63 6d645f6c 696e6b5f 696e666f et_cmd_link_info + 53260 5f740000 001c7f03 6164665f 6e65745f _t......adf_net_ + 53270 636d645f 706f6c6c 5f696e66 6f5f7400 cmd_poll_info_t. + 53280 00001eed 03616466 5f6e6574 5f636d64 .....adf_net_cmd + 53290 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 532a0 20650361 64665f6e 65745f63 6d645f72 e.adf_net_cmd_r + 532b0 696e675f 696e666f 5f740000 001eb103 ing_info_t...... + 532c0 6164665f 6e65745f 636d645f 646d615f adf_net_cmd_dma_ + 532d0 696e666f 5f740000 001f9603 6164665f info_t......adf_ + 532e0 6e65745f 636d645f 7669645f 74000000 net_cmd_vid_t... + 532f0 1d1b0361 64665f6e 65745f63 6d645f6f ...adf_net_cmd_o + 53300 66666c6f 61645f63 61705f74 00000020 ffload_cap_t... + 53310 d6036164 665f6e65 745f636d 645f7374 ..adf_net_cmd_st + 53320 6174735f 74000000 21120361 64665f6e ats_t...!..adf_n + 53330 65745f63 6d645f6d 63616464 725f7400 et_cmd_mcaddr_t. + 53340 000021d0 0d616466 5f6e6574 5f636d64 ..!..adf_net_cmd + 53350 5f6d6361 73745f63 61700004 00002349 _mcast_cap....#I + 53360 0e414446 5f4e4554 5f4d4341 53545f53 .ADF_NET_MCAST_S + 53370 55500000 0e414446 5f4e4554 5f4d4341 UP...ADF_NET_MCA + 53380 53545f4e 4f545355 50000100 03616466 ST_NOTSUP....adf + 53390 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 533a0 61705f74 00000023 01180304 0000241b ap_t...#......$. + 533b0 056c696e 6b5f696e 666f0000 00220702 .link_info...".. + 533c0 23000570 6f6c6c5f 696e666f 00000022 #..poll_info..." + 533d0 24022300 05636b73 756d5f69 6e666f00 $.#..cksum_info. + 533e0 00002241 02230005 72696e67 5f696e66 .."A.#..ring_inf + 533f0 6f000000 225f0223 0005646d 615f696e o..."_.#..dma_in + 53400 666f0000 00227c02 23000576 69640000 fo..."|.#..vid.. + 53410 00229802 2300056f 66666c6f 61645f63 ."..#..offload_c + 53420 61700000 0022af02 23000573 74617473 ap..."..#..stats + 53430 00000022 ce022300 056d6361 73745f69 ..."..#..mcast_i + 53440 6e666f00 000022e7 02230005 6d636173 nfo..."..#..mcas + 53450 745f6361 70000000 23490223 00001404 t_cap...#I.#.... + 53460 00002472 0e414446 5f4e4255 465f5258 ..$r.ADF_NBUF_RX + 53470 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD + 53480 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 53490 48570001 0e414446 5f4e4255 465f5258 HW...ADF_NBUF_RX + 534a0 5f434b53 554d5f55 4e4e4543 45535341 _CKSUM_UNNECESSA + 534b0 52590002 00036164 665f6e62 75665f72 RY....adf_nbuf_r + 534c0 785f636b 73756d5f 74797065 5f740000 x_cksum_type_t.. + 534d0 00241b12 08000024 b2057265 73756c74 .$.....$..result + 534e0 00000024 72022300 0576616c 00000016 ...$r.#..val.... + 534f0 8c022304 00120800 0024e205 74797065 ..#......$..type + 53500 00000020 be022300 056d7373 0000001d ... ..#..mss.... + 53510 1b022304 05686472 5f6f6666 00000016 ..#..hdr_off.... + 53520 f3022306 00045f5f 6164665f 6e627566 ..#...__adf_nbuf + 53530 5f716865 6164000c 00002521 05686561 _qhead....%!.hea + 53540 64000000 14ac0223 00057461 696c0000 d......#..tail.. + 53550 0014ac02 23040571 6c656e00 0000168c ....#..qlen..... + 53560 02230800 035f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 53570 74000000 14ac0700 00170204 00070000 t............... + 53580 168c0400 06010900 00138e01 09000016 ................ + 53590 8c010900 00170201 09000017 02010700 ................ + 535a0 00136f04 00035f5f 6164665f 6e627566 ..o...__adf_nbuf + 535b0 5f716865 61645f74 00000024 e2035f5f _qhead_t...$..__ + 535c0 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 535d0 00000025 62070000 257a0400 09000025 ...%b...%z.....% + 535e0 21010900 00252101 14040000 269a0e41 !....%!.....&..A + 535f0 5f535441 5455535f 4f4b0000 0e415f53 _STATUS_OK...A_S + 53600 54415455 535f4641 494c4544 00010e41 TATUS_FAILED...A + 53610 5f535441 5455535f 454e4f45 4e540002 _STATUS_ENOENT.. + 53620 0e415f53 54415455 535f454e 4f4d454d .A_STATUS_ENOMEM + 53630 00030e41 5f535441 5455535f 45494e56 ...A_STATUS_EINV + 53640 414c0004 0e415f53 54415455 535f4549 AL...A_STATUS_EI + 53650 4e50524f 47524553 5300050e 415f5354 NPROGRESS...A_ST + 53660 41545553 5f454e4f 54535550 5000060e ATUS_ENOTSUPP... + 53670 415f5354 41545553 5f454255 53590007 A_STATUS_EBUSY.. + 53680 0e415f53 54415455 535f4532 42494700 .A_STATUS_E2BIG. + 53690 080e415f 53544154 55535f45 41444452 ..A_STATUS_EADDR + 536a0 4e4f5441 5641494c 00090e41 5f535441 NOTAVAIL...A_STA + 536b0 5455535f 454e5849 4f000a0e 415f5354 TUS_ENXIO...A_ST + 536c0 41545553 5f454641 554c5400 0b0e415f ATUS_EFAULT...A_ + 536d0 53544154 55535f45 494f000c 0003615f STATUS_EIO....a_ + 536e0 73746174 75735f74 00000025 a5090000 status_t...%.... + 536f0 269a0109 000001c9 01060103 6164665f &...........adf_ + 53700 6e627566 5f740000 00252114 04000026 nbuf_t...%!....& + 53710 ff0e4144 465f4f53 5f444d41 5f544f5f ..ADF_OS_DMA_TO_ + 53720 44455649 43450000 0e414446 5f4f535f DEVICE...ADF_OS_ + 53730 444d415f 46524f4d 5f444556 49434500 DMA_FROM_DEVICE. + 53740 01000361 64665f6f 735f646d 615f6469 ...adf_os_dma_di + 53750 725f7400 000026c8 09000026 9a010601 r_t...&....&.... + 53760 03616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 53770 6e666f5f 74000000 16a90700 00271d04 nfo_t........'.. + 53780 00060106 01090000 26b80109 00002521 ........&.....%! + 53790 01060106 01090000 26b80109 00002521 ........&.....%! + 537a0 01090000 26b80109 00002521 01090000 ....&.....%!.... + 537b0 26b80106 01060109 0000168c 01090000 &............... + 537c0 17020106 01060109 00001bb9 01090000 ................ + 537d0 1b060109 00001b06 01036164 665f6f73 ..........adf_os + 537e0 5f73676c 6973745f 74000000 17410700 _sglist_t....A.. + 537f0 00279604 00060106 01060109 00001702 .'.............. + 53800 01036164 665f6e62 75665f71 75657565 ..adf_nbuf_queue + 53810 5f740000 00257a07 000027be 04000601 _t...%z...'..... + 53820 07000025 62040006 01060106 01090000 ...%b........... + 53830 26b80109 00002521 01090000 168c0109 &.....%!........ + 53840 0000168c 01090000 1b060109 00001b06 ................ + 53850 01090000 20220109 0000168c 01036164 .... "........ad + 53860 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 53870 74000000 24900700 00281a04 00060106 t...$....(...... + 53880 01036164 665f6e62 75665f74 736f5f74 ..adf_nbuf_tso_t + 53890 00000024 b2070000 283e0400 06010601 ...$....(>...... + 538a0 03616466 5f6e6574 5f68616e 646c655f .adf_net_handle_ + 538b0 74000000 047c0361 64665f6e 65745f76 t....|.adf_net_v + 538c0 6c616e68 64725f74 0000001e 2e070000 lanhdr_t........ + 538d0 28730400 09000026 9a010900 00269a01 (s.....&.....&.. + 538e0 06010601 045f4849 465f434f 4e464947 ....._HIF_CONFIG + 538f0 00040000 28c20564 756d6d79 00000001 ....(..dummy.... + 53900 c9022300 00060107 000028c2 04000601 ..#.......(..... + 53910 07000028 cb040004 5f484946 5f43414c ...(...._HIF_CAL + 53920 4c424143 4b000c00 00292005 73656e64 LBACK....) .send + 53930 5f627566 5f646f6e 65000000 28c40223 _buf_done...(..# + 53940 00057265 63765f62 75660000 0028cd02 ..recv_buf...(.. + 53950 23040563 6f6e7465 78740000 00047c02 #..context....|. + 53960 23080003 6869665f 68616e64 6c655f74 #...hif_handle_t + 53970 00000004 7c034849 465f434f 4e464947 ....|.HIF_CONFIG + 53980 00000028 a1070000 29320400 09000029 ...(....)2.....) + 53990 20010700 00294904 00060107 00002956 ....)I.......)V + 539a0 04000348 49465f43 414c4c42 41434b00 ...HIF_CALLBACK. + 539b0 000028d4 07000029 5f040006 01070000 ..(....)_....... + 539c0 29780400 09000001 c9010700 00298104 )x...........).. + 539d0 00060107 0000298e 04000900 0001c901 ......)......... + 539e0 07000029 97040006 01070000 29a40400 ...)........)... + 539f0 09000001 c9010700 0029ad04 00060107 .........)...... + 53a00 000029ba 04000468 69665f61 70690038 ..)....hif_api.8 + 53a10 00002b13 055f696e 69740000 00294f02 ..+.._init...)O. + 53a20 2300055f 73687574 646f776e 00000029 #.._shutdown...) + 53a30 58022304 055f7265 67697374 65725f63 X.#.._register_c + 53a40 616c6c62 61636b00 0000297a 02230805 allback...)z.#.. + 53a50 5f676574 5f746f74 616c5f63 72656469 _get_total_credi + 53a60 745f636f 756e7400 00002987 02230c05 t_count...)..#.. + 53a70 5f737461 72740000 00295802 2310055f _start...)X.#.._ + 53a80 636f6e66 69675f70 69706500 00002990 config_pipe...). + 53a90 02231405 5f73656e 645f6275 66666572 .#.._send_buffer + 53aa0 00000029 9d022318 055f7265 7475726e ...)..#.._return + 53ab0 5f726563 765f6275 66000000 29a60223 _recv_buf...)..# + 53ac0 1c055f69 735f7069 70655f73 7570706f .._is_pipe_suppo + 53ad0 72746564 00000029 b3022320 055f6765 rted...)..# ._ge + 53ae0 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... + 53af0 29b30223 24055f67 65745f72 65736572 )..#$._get_reser + 53b00 7665645f 68656164 726f6f6d 00000029 ved_headroom...) + 53b10 87022328 055f6973 725f6861 6e646c65 ..#(._isr_handle + 53b20 72000000 29580223 2c055f67 65745f64 r...)X.#,._get_d + 53b30 65666175 6c745f70 69706500 000029bc efault_pipe...). + 53b40 02233005 70526573 65727665 64000000 .#0.pReserved... + 53b50 047c0223 34000d64 6d615f65 6e67696e .|.#4..dma_engin + 53b60 65000400 002b9c0e 444d415f 454e4749 e....+..DMA_ENGI + 53b70 4e455f52 58300000 0e444d41 5f454e47 NE_RX0...DMA_ENG + 53b80 494e455f 52583100 010e444d 415f454e INE_RX1...DMA_EN + 53b90 47494e45 5f525832 00020e44 4d415f45 GINE_RX2...DMA_E + 53ba0 4e47494e 455f5258 3300030e 444d415f NGINE_RX3...DMA_ + 53bb0 454e4749 4e455f54 58300004 0e444d41 ENGINE_TX0...DMA + 53bc0 5f454e47 494e455f 54583100 050e444d _ENGINE_TX1...DM + 53bd0 415f454e 47494e45 5f4d4158 00060003 A_ENGINE_MAX.... + 53be0 646d615f 656e6769 6e655f74 0000002b dma_engine_t...+ + 53bf0 130d646d 615f6966 74797065 00040000 ..dma_iftype.... + 53c00 2be90e44 4d415f49 465f474d 41430000 +..DMA_IF_GMAC.. + 53c10 0e444d41 5f49465f 50434900 010e444d .DMA_IF_PCI...DM + 53c20 415f4946 5f504349 45000200 03646d61 A_IF_PCIE....dma + 53c30 5f696674 7970655f 74000000 2bae0900 _iftype_t...+... + 53c40 00136101 0700002b fb040006 01070000 ..a....+........ + 53c50 2c080400 06010700 002c1104 00090000 ,........,...... + 53c60 09930107 00002c1a 04000900 00136101 ......,.......a. + 53c70 0700002c 27040009 00001361 01070000 ...,'......a.... + 53c80 2c340400 09000014 ac010700 002c4104 ,4...........,A. + 53c90 00060107 00002c4e 04000464 6d615f6c ......,N...dma_l + 53ca0 69625f61 70690034 00002d55 0574785f ib_api.4..-U.tx_ + 53cb0 696e6974 0000002c 01022300 0574785f init...,..#..tx_ + 53cc0 73746172 74000000 2c0a0223 04057278 start...,..#..rx + 53cd0 5f696e69 74000000 2c010223 08057278 _init...,..#..rx + 53ce0 5f636f6e 66696700 00002c13 02230c05 _config...,..#.. + 53cf0 72785f73 74617274 0000002c 0a022310 rx_start...,..#. + 53d00 05696e74 725f7374 61747573 0000002c .intr_status..., + 53d10 20022314 05686172 645f786d 69740000 .#..hard_xmit.. + 53d20 002c2d02 23180566 6c757368 5f786d69 .,-.#..flush_xmi + 53d30 74000000 2c0a0223 1c05786d 69745f64 t...,..#..xmit_d + 53d40 6f6e6500 00002c3a 02232005 72656170 one...,:.# .reap + 53d50 5f786d69 74746564 0000002c 47022324 _xmitted...,G.#$ + 53d60 05726561 705f7265 63760000 002c4702 .reap_recv...,G. + 53d70 23280572 65747572 6e5f7265 63760000 #(.return_recv.. + 53d80 002c5002 232c0572 6563765f 706b7400 .,P.#,.recv_pkt. + 53d90 00002c3a 02233000 045f5f70 63695f73 ..,:.#0..__pci_s + 53da0 6f667463 000c0000 2d730573 77000000 oftc....-s.sw... + 53db0 295f0223 0000035f 5f706369 5f736f66 )_.#...__pci_sof + 53dc0 74635f74 0000002d 55070000 2d730400 tc_t...-U...-s.. + 53dd0 06010700 002d8d04 00090000 134d0107 .....-.......M.. + 53de0 00002d96 04000d68 69665f70 63695f70 ..-....hif_pci_p + 53df0 6970655f 74780004 00002df6 0e484946 ipe_tx....-..HIF + 53e00 5f504349 5f504950 455f5458 3000000e _PCI_PIPE_TX0... + 53e10 4849465f 5043495f 50495045 5f545831 HIF_PCI_PIPE_TX1 + 53e20 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 53e30 54585f4d 41580002 00036869 665f7063 TX_MAX....hif_pc + 53e40 695f7069 70655f74 785f7400 00002da3 i_pipe_tx_t...-. + 53e50 0900002b 9c010700 002e0d04 000d6869 ...+..........hi + 53e60 665f7063 695f7069 70655f72 78000400 f_pci_pipe_rx... + 53e70 002e930e 4849465f 5043495f 50495045 ....HIF_PCI_PIPE + 53e80 5f525830 00000e48 49465f50 43495f50 _RX0...HIF_PCI_P + 53e90 4950455f 52583100 010e4849 465f5043 IPE_RX1...HIF_PC + 53ea0 495f5049 50455f52 58320002 0e484946 I_PIPE_RX2...HIF + 53eb0 5f504349 5f504950 455f5258 3300030e _PCI_PIPE_RX3... + 53ec0 4849465f 5043495f 50495045 5f52585f HIF_PCI_PIPE_RX_ + 53ed0 4d415800 04000368 69665f70 63695f70 MAX....hif_pci_p + 53ee0 6970655f 72785f74 0000002e 1a090000 ipe_rx_t........ + 53ef0 2b9c0107 00002eaa 04000468 69665f70 +..........hif_p + 53f00 63695f61 70690024 00002f88 05706369 ci_api.$../..pci + 53f10 5f626f6f 745f696e 69740000 0001c202 _boot_init...... + 53f20 23000570 63695f69 6e697400 0000294f #..pci_init...)O + 53f30 02230405 7063695f 72657365 74000000 .#..pci_reset... + 53f40 01c20223 08057063 695f656e 61626c65 ...#..pci_enable + 53f50 00000001 c202230c 05706369 5f726561 ......#..pci_rea + 53f60 705f786d 69747465 64000000 2d8f0223 p_xmitted...-..# + 53f70 10057063 695f7265 61705f72 65637600 ..pci_reap_recv. + 53f80 00002d8f 02231405 7063695f 6765745f ..-..#..pci_get_ + 53f90 70697065 0000002d 9c022318 05706369 pipe...-..#..pci + 53fa0 5f676574 5f74785f 656e6700 00002e13 _get_tx_eng..... + 53fb0 02231c05 7063695f 6765745f 72785f65 .#..pci_get_rx_e + 53fc0 6e670000 002eb002 23200004 676d6163 ng......# ..gmac + 53fd0 5f617069 00040000 2faf0567 6d61635f _api..../..gmac_ + 53fe0 626f6f74 5f696e69 74000000 01c20223 boot_init......# + 53ff0 00000f00 00010106 00002fbc 10050004 ........../..... + 54000 5f5f6574 68686472 000e0000 2ff20564 __ethhdr..../..d + 54010 73740000 002faf02 23000573 72630000 st.../..#..src.. + 54020 002faf02 23060565 74797065 00000013 ./..#..etype.... + 54030 6102230c 00045f5f 61746868 64720004 a.#...__athhdr.. + 54040 00003040 15726573 00000013 4d010002 ..0@.res....M... + 54050 02230015 70726f74 6f000000 134d0102 .#..proto....M.. + 54060 06022300 05726573 5f6c6f00 0000134d ..#..res_lo....M + 54070 02230105 7265735f 68690000 00136102 .#..res_hi....a. + 54080 23020004 5f5f676d 61635f68 64720014 #...__gmac_hdr.. + 54090 0000307c 05657468 0000002f bc022300 ..0|.eth.../..#. + 540a0 05617468 0000002f f202230e 05616c69 .ath.../..#..ali + 540b0 676e5f70 61640000 00136102 23120003 gn_pad....a.#... + 540c0 5f5f676d 61635f68 64725f74 00000030 __gmac_hdr_t...0 + 540d0 40045f5f 676d6163 5f736f66 74630024 @.__gmac_softc.$ + 540e0 000030c6 05686472 00000030 7c022300 ..0..hdr...0|.#. + 540f0 05677261 6e000000 13610223 14057377 .gran....a.#..sw + 54100 00000029 5f022318 00045f41 5f6f735f ...)_.#..._A_os_ + 54110 6c696e6b 6167655f 63686563 6b000800 linkage_check... + 54120 0030ff05 76657273 696f6e00 000001c9 .0..version..... + 54130 02230005 7461626c 65000000 01c90223 .#..table......# + 54140 04000700 0030c604 00090000 01c90107 .....0.......... + 54150 00003106 04000700 00047f04 00175f41 ..1..........._A + 54160 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 54170 6f6e5f74 61626c65 0001b800 00325605 on_table.....2V. + 54180 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 54190 6b000000 310c0223 00057374 6172745f k...1..#..start_ + 541a0 62737300 00003113 02230405 6170705f bss...1..#..app_ + 541b0 73746172 74000000 01c20223 08056d65 start......#..me + 541c0 6d000000 04bf0223 0c056d69 73630000 m......#..misc.. + 541d0 0005de02 23200570 72696e74 66000000 ....# .printf... + 541e0 01f60223 44057561 72740000 00029b02 ...#D.uart...... + 541f0 234c0567 6d616300 00002f88 02236c05 #L.gmac.../..#l. + 54200 75736200 0000100d 02237005 636c6f63 usb......#p.cloc + 54210 6b000000 0b560323 e0010574 696d6572 k....V.#...timer + 54220 00000007 f6032384 0205696e 74720000 ......#...intr.. + 54230 000ca603 23980205 616c6c6f 6372616d ....#...allocram + 54240 00000009 ae0323c4 0205726f 6d700000 ......#...romp.. + 54250 0008a003 23d00205 7764745f 74696d65 ....#...wdt_time + 54260 72000000 0e830323 e0020565 65700000 r......#...eep.. + 54270 000fb103 23fc0205 73747269 6e670000 ....#...string.. + 54280 00070203 238c0305 7461736b 6c657400 ....#...tasklet. + 54290 00000aab 0323a403 00045f55 53425f46 .....#...._USB_F + 542a0 49464f5f 434f4e46 49470010 000032c9 IFO_CONFIG....2. + 542b0 05676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 542c0 00000014 b9022300 05726563 765f636f ......#..recv_co + 542d0 6d6d616e 64000000 14cf0223 04056765 mmand......#..ge + 542e0 745f6576 656e745f 62756600 000014b9 t_event_buf..... + 542f0 02230805 73656e64 5f657665 6e745f64 .#..send_event_d + 54300 6f6e6500 000014cf 02230c00 03555342 one......#...USB + 54310 5f464946 4f5f434f 4e464947 00000032 _FIFO_CONFIG...2 + 54320 56070000 32c90400 06010700 0032e504 V...2........2.. + 54330 00047573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 54340 00333b05 5f696e69 74000000 32e70223 .3;._init...2..# + 54350 00055f65 6e61626c 655f6576 656e745f .._enable_event_ + 54360 69737200 000001c2 02230405 70526573 isr......#..pRes + 54370 65727665 64000000 047c0223 08000f00 erved....|.#.... + 54380 0016f302 00003348 10010004 5f485443 ......3H...._HTC + 54390 5f465241 4d455f48 44520008 000033ba _FRAME_HDR....3. + 543a0 05456e64 706f696e 74494400 000016f3 .EndpointID..... + 543b0 02230005 466c6167 73000000 16f30223 .#..Flags......# + 543c0 01055061 796c6f61 644c656e 0000001d ..PayloadLen.... + 543d0 1b022302 05436f6e 74726f6c 42797465 ..#..ControlByte + 543e0 73000000 333b0223 0405486f 73745365 s...3;.#..HostSe + 543f0 714e756d 0000001d 1b022306 00120200 qNum......#..... + 54400 0033d305 4d657373 61676549 44000000 .3..MessageID... + 54410 1d1b0223 00001208 00003436 054d6573 ...#......46.Mes + 54420 73616765 49440000 001d1b02 23000543 sageID......#..C + 54430 72656469 74436f75 6e740000 001d1b02 reditCount...... + 54440 23020543 72656469 7453697a 65000000 #..CreditSize... + 54450 1d1b0223 04054d61 78456e64 706f696e ...#..MaxEndpoin + 54460 74730000 0016f302 2306055f 50616431 ts......#.._Pad1 + 54470 00000016 f3022307 00120a00 0034cd05 ......#......4.. + 54480 4d657373 61676549 44000000 1d1b0223 MessageID......# + 54490 00055365 72766963 65494400 00001d1b ..ServiceID..... + 544a0 02230205 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 544b0 61677300 00001d1b 02230405 446f776e ags......#..Down + 544c0 4c696e6b 50697065 49440000 0016f302 LinkPipeID...... + 544d0 23060555 704c696e 6b506970 65494400 #..UpLinkPipeID. + 544e0 000016f3 02230705 53657276 6963654d .....#..ServiceM + 544f0 6574614c 656e6774 68000000 16f30223 etaLength......# + 54500 08055f50 61643100 000016f3 02230900 .._Pad1......#.. + 54510 120a0000 3555054d 65737361 67654944 ....5U.MessageID + 54520 0000001d 1b022300 05536572 76696365 ......#..Service + 54530 49440000 001d1b02 23020553 74617475 ID......#..Statu + 54540 73000000 16f30223 0405456e 64706f69 s......#..Endpoi + 54550 6e744944 00000016 f3022305 054d6178 ntID......#..Max + 54560 4d736753 697a6500 00001d1b 02230605 MsgSize......#.. + 54570 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 54580 68000000 16f30223 08055f50 61643100 h......#.._Pad1. + 54590 000016f3 02230900 12020000 356e054d .....#......5n.M + 545a0 65737361 67654944 0000001d 1b022300 essageID......#. + 545b0 00120400 0035aa05 4d657373 61676549 .....5..MessageI + 545c0 44000000 1d1b0223 00055069 70654944 D......#..PipeID + 545d0 00000016 f3022302 05437265 64697443 ......#..CreditC + 545e0 6f756e74 00000016 f3022303 00120400 ount......#..... + 545f0 0035e105 4d657373 61676549 44000000 .5..MessageID... + 54600 1d1b0223 00055069 70654944 00000016 ...#..PipeID.... + 54610 f3022302 05537461 74757300 000016f3 ..#..Status..... + 54620 02230300 12020000 36080552 65636f72 .#......6..Recor + 54630 64494400 000016f3 02230005 4c656e67 dID......#..Leng + 54640 74680000 0016f302 23010012 02000036 th......#......6 + 54650 3205456e 64706f69 6e744944 00000016 2.EndpointID.... + 54660 f3022300 05437265 64697473 00000016 ..#..Credits.... + 54670 f3022301 00120400 00367305 456e6470 ..#......6s.Endp + 54680 6f696e74 49440000 0016f302 23000543 ointID......#..C + 54690 72656469 74730000 0016f302 23010554 redits......#..T + 546a0 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 546b0 1d1b0223 02000f00 0016f304 00003680 ...#..........6. + 546c0 10030012 06000036 bc055072 6556616c .......6..PreVal + 546d0 69640000 0016f302 2300054c 6f6f6b41 id......#..LookA + 546e0 68656164 00000036 73022301 05506f73 head...6s.#..Pos + 546f0 7456616c 69640000 0016f302 23050003 tValid......#... + 54700 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 54710 047c0900 0036bc01 07000036 cf040006 .|...6.....6.... + 54720 01070000 36dc0400 14040000 375a0e50 ....6.......7Z.P + 54730 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 54740 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 54750 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 54760 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 54770 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID + 54780 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P + 54790 4f4f4c5f 49445f4d 4158000a 00034255 OOL_ID_MAX....BU + 547a0 465f504f 4f4c5f49 44000000 36e50601 F_POOL_ID...6... + 547b0 07000037 6b040009 000026b8 01070000 ...7k.....&..... + 547c0 37740400 09000026 b8010700 00378104 7t.....&.....7.. + 547d0 00060107 0000378e 04000462 75665f70 ......7....buf_p + 547e0 6f6f6c5f 61706900 1c000038 30055f69 ool_api....80._i + 547f0 6e697400 000036d5 02230005 5f736875 nit...6..#.._shu + 54800 74646f77 6e000000 36de0223 04055f63 tdown...6..#.._c + 54810 72656174 655f706f 6f6c0000 00376d02 reate_pool...7m. + 54820 2308055f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 54830 377a0223 0c055f61 6c6c6f63 5f627566 7z.#.._alloc_buf + 54840 5f616c69 676e0000 00378702 2310055f _align...7..#.._ + 54850 66726565 5f627566 00000037 90022314 free_buf...7..#. + 54860 05705265 73657276 65640000 00047c02 .pReserved....|. + 54870 23180004 5f485443 5f534552 56494345 #..._HTC_SERVICE + 54880 001c0000 390f0570 4e657874 00000039 ....9..pNext...9 + 54890 0f022300 0550726f 63657373 52656376 ..#..ProcessRecv + 548a0 4d736700 000039c4 02230405 50726f63 Msg...9..#..Proc + 548b0 65737353 656e6442 75666665 72436f6d essSendBufferCom + 548c0 706c6574 65000000 39cd0223 08055072 plete...9..#..Pr + 548d0 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 548e0 e102230c 05536572 76696365 49440000 ..#..ServiceID.. + 548f0 00136102 23100553 65727669 6365466c ..a.#..ServiceFl + 54900 61677300 00001361 02231205 4d617853 ags....a.#..MaxS + 54910 76634d73 6753697a 65000000 13610223 vcMsgSize....a.# + 54920 14055472 61696c65 72537063 43686563 ..TrailerSpcChec + 54930 6b4c696d 69740000 00136102 23160553 kLimit....a.#..S + 54940 65727669 63654374 78000000 047c0223 erviceCtx....|.# + 54950 18000700 00383004 00140400 0039ad19 .....80......9.. + 54960 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 54970 ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. + 54980 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END + 54990 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN + 549a0 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. + 549b0 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END + 549c0 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN + 549d0 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. + 549e0 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 549f0 03485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 54a00 00000039 16060107 000039c2 04000601 ...9......9..... + 54a10 07000039 cb040007 000001c9 04000900 ...9............ + 54a20 00134d01 07000039 db040007 00003830 ..M....9......80 + 54a30 0400045f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 54a40 00003a60 05437265 64697453 697a6500 ..:`.CreditSize. + 54a50 000001c9 02230005 43726564 69744e75 .....#..CreditNu + 54a60 6d626572 00000001 c9022304 054f5348 mber......#..OSH + 54a70 616e646c 65000000 1ab70223 08054849 andle......#..HI + 54a80 4648616e 646c6500 00002920 02230c05 FHandle...) .#.. + 54a90 506f6f6c 48616e64 6c650000 0036bc02 PoolHandle...6.. + 54aa0 23100004 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 54ab0 54455854 00020000 3a9c0565 6e645f70 TEXT....:..end_p + 54ac0 6f696e74 00000013 4d022300 05687463 oint....M.#..htc + 54ad0 5f666c61 67730000 00134d02 23010003 _flags....M.#... + 54ae0 6874635f 68616e64 6c655f74 00000004 htc_handle_t.... + 54af0 7c034854 435f5345 5455505f 434f4d50 |.HTC_SETUP_COMP + 54b00 4c455445 5f434200 000001c2 03485443 LETE_CB......HTC + 54b10 5f434f4e 46494700 000039ef 0700003a _CONFIG...9....: + 54b20 c9040009 00003a9c 01070000 3ae00400 ......:.....:... + 54b30 06010700 003aed04 00034854 435f5345 .....:....HTC_SE + 54b40 52564943 45000000 38300700 003af604 RVICE...80...:.. + 54b50 00060107 00003b0e 04000601 0700003b ......;........; + 54b60 17040006 01070000 3b200400 09000001 ........; ...... + 54b70 c9010700 003b2904 00046874 635f6170 .....;)...htc_ap + 54b80 69730034 00003ca6 055f4854 435f496e is.4..<.._HTC_In + 54b90 69740000 003ae602 2300055f 4854435f it...:..#.._HTC_ + 54ba0 53687574 646f776e 0000003a ef022304 Shutdown...:..#. + 54bb0 055f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 54bc0 72766963 65000000 3b100223 08055f48 rvice...;..#.._H + 54bd0 54435f52 65616479 0000003a ef02230c TC_Ready...:..#. + 54be0 055f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 54bf0 65727300 00003b19 02231005 5f485443 ers...;..#.._HTC + 54c00 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 54c10 73740000 003b2202 2314055f 4854435f st...;".#.._HTC_ + 54c20 53656e64 4d736700 00003b19 02231805 SendMsg...;..#.. + 54c30 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 54c40 48656164 726f6f6d 0000003b 2f02231c Headroom...;/.#. + 54c50 055f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 54c60 646c6572 00000028 cd022320 055f4854 dler...(..# ._HT + 54c70 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 54c80 72000000 28c40223 24055f48 54435f43 r...(..#$._HTC_C + 54c90 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 54ca0 4d736700 000039c4 02232805 5f485443 Msg...9..#(._HTC + 54cb0 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 54cc0 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 54cd0 0039cd02 232c0570 52657365 72766564 .9..#,.pReserved + 54ce0 00000004 7c022330 0004686f 73745f61 ....|.#0..host_a + 54cf0 70705f61 7265615f 73000400 003cd605 pp_area_s....<.. + 54d00 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 54d10 00000016 8c022300 00120e00 003d0d05 ......#......=.. + 54d20 6473744d 61630000 001cd802 23000573 dstMac......#..s + 54d30 72634d61 63000000 1cd80223 06057479 rcMac......#..ty + 54d40 70654f72 4c656e00 00001d1b 02230c00 peOrLen......#.. + 54d50 0f000016 f3030000 3d1a1002 00120800 ........=....... + 54d60 003d6a05 64736170 00000016 f3022300 .=j.dsap......#. + 54d70 05737361 70000000 16f30223 0105636e .ssap......#..cn + 54d80 746c0000 0016f302 2302056f 7267436f tl......#..orgCo + 54d90 64650000 003d0d02 23030565 74686572 de...=..#..ether + 54da0 54797065 0000001d 1b022306 00120200 Type......#..... + 54db0 003d8b05 72737369 0000001c 71022300 .=..rssi....q.#. + 54dc0 05696e66 6f000000 16f30223 01001204 .info......#.... + 54dd0 00003db2 05636f6d 6d616e64 49640000 ..=..commandId.. + 54de0 001d1b02 23000573 65714e6f 0000001d ....#..seqNo.... + 54df0 1b022302 000f0000 16f30100 003dbf10 ..#..........=.. + 54e00 00001202 00003de6 056d7367 53697a65 ......=..msgSize + 54e10 00000016 f3022300 056d7367 44617461 ......#..msgData + 54e20 0000003d b2022301 00120800 003e2d05 ...=..#......>-. + 54e30 61646472 6573734c 0000001d 1b022300 addressL......#. + 54e40 05616464 72657373 48000000 1d1b0223 .addressH......# + 54e50 02057661 6c75654c 0000001d 1b022304 ..valueL......#. + 54e60 0576616c 75654800 00001d1b 02230600 .valueH......#.. + 54e70 03574d49 5f415654 0000003d e60f0000 .WMI_AVT...=.... + 54e80 3e2d0800 003e4710 0000120c 00003e7e >-...>G.......>~ + 54e90 05747570 6c654e75 6d4c0000 001d1b02 .tupleNumL...... + 54ea0 23000574 75706c65 4e756d48 0000001d #..tupleNumH.... + 54eb0 1b022302 05617674 0000003e 3a022304 ..#..avt...>:.#. + 54ec0 00120100 003ea005 62656163 6f6e5065 .....>..beaconPe + 54ed0 6e64696e 67436f75 6e740000 0016f302 ndingCount...... + 54ee0 23000004 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON + 54ef0 46494700 1000003f 09054874 6348616e FIG....?..HtcHan + 54f00 646c6500 00003a9c 02230005 506f6f6c dle...:..#..Pool + 54f10 48616e64 6c650000 0036bc02 2304054d Handle...6..#..M + 54f20 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 54f30 0001c902 2308054d 61784576 656e7445 ....#..MaxEventE + 54f40 76747300 000001c9 02230c00 06010700 vts......#...... + 54f50 003f0904 0003574d 495f434d 445f4841 .?....WMI_CMD_HA + 54f60 4e444c45 52000000 3f0b045f 574d495f NDLER...?.._WMI_ + 54f70 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 54f80 00003f72 0570436d 6448616e 646c6572 ..?r.pCmdHandler + 54f90 0000003f 12022300 05436d64 49440000 ...?..#..CmdID.. + 54fa0 00136102 23040546 6c616773 00000013 ..a.#..Flags.... + 54fb0 61022306 00045f57 4d495f44 49535041 a.#..._WMI_DISPA + 54fc0 5443485f 5441424c 45001000 003fd305 TCH_TABLE....?.. + 54fd0 704e6578 74000000 3fd30223 00057043 pNext...?..#..pC + 54fe0 6f6e7465 78740000 00047c02 2304054e ontext....|.#..N + 54ff0 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 55000 0001c902 23080570 5461626c 65000000 ....#..pTable... + 55010 3ff20223 0c000700 003f7204 0003574d ?..#.....?r...WM + 55020 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 55030 0000003f 27070000 3fda0400 0700003f ...?'...?......? + 55040 72040003 4854435f 4255465f 434f4e54 r...HTC_BUF_CONT + 55050 45585400 00003a60 0d574d49 5f455654 EXT...:`.WMI_EVT + 55060 5f434c41 53530004 0000408a 19574d49 _CLASS....@..WMI + 55070 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 55080 ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 55090 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W + 550a0 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 550b0 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT + 550c0 5f434c41 53535f4d 41580002 0003574d _CLASS_MAX....WM + 550d0 495f4556 545f434c 41535300 00004015 I_EVT_CLASS...@. + 550e0 045f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 550f0 54000c00 0040e805 48746342 75664374 T....@..HtcBufCt + 55100 78000000 40000223 00054576 656e7443 x...@..#..EventC + 55110 6c617373 00000040 8a022304 05466c61 lass...@..#..Fla + 55120 67730000 00136102 23080003 776d695f gs....a.#...wmi_ + 55130 68616e64 6c655f74 00000004 7c03574d handle_t....|.WM + 55140 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> + 55150 a0070000 40fa0400 09000040 e8010700 ....@......@.... + 55160 00411504 0003574d 495f4449 53504154 .A....WMI_DISPAT + 55170 43485f54 41424c45 0000003f 72070000 CH_TABLE...?r... + 55180 41220400 06010700 00414104 00090000 A".......AA..... + 55190 26b80107 0000414a 04000601 07000041 &.....AJ.......A + 551a0 57040009 000001c9 01070000 41600400 W...........A`.. + 551b0 06010700 00416d04 00090000 134d0107 .....Am......M.. + 551c0 00004176 0400045f 776d695f 7376635f ..Av..._wmi_svc_ + 551d0 61706973 002c0000 42be055f 574d495f apis.,..B.._WMI_ + 551e0 496e6974 00000041 1b022300 055f574d Init...A..#.._WM + 551f0 495f5265 67697374 65724469 73706174 I_RegisterDispat + 55200 63685461 626c6500 00004143 02230405 chTable...AC.#.. + 55210 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 55220 00004150 02230805 5f574d49 5f53656e ..AP.#.._WMI_Sen + 55230 64457665 6e740000 00415902 230c055f dEvent...AY.#.._ + 55240 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 55250 656e7473 436f756e 74000000 41660223 entsCount...Af.# + 55260 10055f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 55270 65746548 616e646c 65720000 0039cd02 eteHandler...9.. + 55280 2314055f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 55290 6f6c4570 00000041 66022318 055f574d olEp...Af.#.._WM + 552a0 495f5368 7574646f 776e0000 00416f02 I_Shutdown...Ao. + 552b0 231c055f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 552c0 61676548 616e646c 65720000 0039c402 ageHandler...9.. + 552d0 2320055f 574d495f 53657276 69636543 # ._WMI_ServiceC + 552e0 6f6e6e65 63740000 00417c02 23240570 onnect...A|.#$.p + 552f0 52657365 72766564 00000004 7c022328 Reserved....|.#( + 55300 00047a73 446d6144 65736300 14000043 ..zsDmaDesc....C + 55310 40056374 726c0000 00011f02 23000573 @.ctrl......#..s + 55320 74617475 73000000 011f0223 0205746f tatus......#..to + 55330 74616c4c 656e0000 00011f02 23040564 talLen......#..d + 55340 61746153 697a6500 0000011f 02230605 ataSize......#.. + 55350 6c617374 41646472 00000043 40022308 lastAddr...C@.#. + 55360 05646174 61416464 72000000 022d0223 .dataAddr....-.# + 55370 0c056e65 78744164 64720000 00434002 ..nextAddr...C@. + 55380 23100007 000042be 04000700 0042be04 #.....B......B.. + 55390 00047a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 553a0 43800568 65616400 00004347 02230005 C..head...CG.#.. + 553b0 7465726d 696e6174 6f720000 00434702 terminator...CG. + 553c0 23040004 7a735478 446d6151 75657565 #...zsTxDmaQueue + 553d0 00100000 43e40568 65616400 00004347 ....C..head...CG + 553e0 02230005 7465726d 696e6174 6f720000 .#..terminator.. + 553f0 00434702 23040578 6d697465 645f6275 .CG.#..xmited_bu + 55400 665f6865 61640000 0014ac02 23080578 f_head......#..x + 55410 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 55420 0014ac02 230c0006 01070000 43e40400 ....#.......C... + 55430 07000043 4e040006 01070000 43f40400 ...CN.......C... + 55440 07000043 80040006 01070000 44040400 ...C........D... + 55450 06010700 00440d04 00060107 00004416 .....D........D. + 55460 04000900 0014ac01 07000044 1f040006 ...........D.... + 55470 01070000 442c0400 09000014 ac010700 ....D,.......... + 55480 00443504 00060107 00004442 04000900 .D5.......DB.... + 55490 0001c901 07000044 4b040009 00004347 .......DK.....CG + 554a0 01070000 44580400 06010700 00446504 ....DX.......De. + 554b0 0004646d 615f656e 67696e65 5f617069 ..dma_engine_api + 554c0 00400000 45db055f 696e6974 00000043 .@..E.._init...C + 554d0 e6022300 055f696e 69745f72 785f7175 ..#.._init_rx_qu + 554e0 65756500 000043f6 02230405 5f696e69 eue...C..#.._ini + 554f0 745f7478 5f717565 75650000 00440602 t_tx_queue...D.. + 55500 2308055f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 55510 65756500 0000440f 02230c05 5f786d69 eue...D..#.._xmi + 55520 745f6275 66000000 44180223 10055f66 t_buf...D..#.._f + 55530 6c757368 5f786d69 74000000 43f60223 lush_xmit...C..# + 55540 14055f72 6561705f 72656376 5f627566 .._reap_recv_buf + 55550 00000044 25022318 055f7265 7475726e ...D%.#.._return + 55560 5f726563 765f6275 66000000 442e0223 _recv_buf...D..# + 55570 1c055f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 55580 75660000 00443b02 2320055f 73776170 uf...D;.# ._swap + 55590 5f646174 61000000 44440223 24055f68 _data...DD.#$._h + 555a0 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 555b0 00000044 51022328 055f6465 73635f64 ...DQ.#(._desc_d + 555c0 756d7000 000043f6 02232c05 5f676574 ump...C..#,._get + 555d0 5f706163 6b657400 0000445e 02233005 _packet...D^.#0. + 555e0 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 555f0 00004467 02233405 5f707574 5f706163 ..Dg.#4._put_pac + 55600 6b657400 00004467 02233805 70526573 ket...Dg.#8.pRes + 55610 65727665 64000000 047c0223 3c00035f erved....|.#<.._ + 55620 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 55630 696f6e5f 7461626c 655f7400 0000311a ion_table_t...1. + 55640 03574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 55650 4183175f 415f6d61 67706965 5f696e64 A.._A_magpie_ind + 55660 69726563 74696f6e 5f746162 6c650003 irection_table.. + 55670 4c000047 0905636d 6e6f7300 000045db L..G..cmnos...E. + 55680 02230005 64626700 00000449 0323b803 .#..dbg....I.#.. + 55690 05686966 00000029 c30323c0 03056874 .hif...)..#...ht + 556a0 63000000 3b360323 f8030577 6d695f73 c...;6.#...wmi_s + 556b0 76635f61 70690000 0045fd03 23ac0405 vc_api...E..#... + 556c0 75736266 69666f5f 61706900 000032ee usbfifo_api...2. + 556d0 0323d804 05627566 5f706f6f 6c000000 .#...buf_pool... + 556e0 37970323 e4040576 62756600 000014d6 7..#...vbuf..... + 556f0 03238005 05766465 73630000 0013b803 .#...vdesc...... + 55700 23940505 616c6c6f 6372616d 00000009 #...allocram.... + 55710 ae0323a8 0505646d 615f656e 67696e65 ..#...dma_engine + 55720 00000044 6e0323b4 0505646d 615f6c69 ...Dn.#...dma_li + 55730 62000000 2c570323 f4050568 69665f70 b...,W.#...hif_p + 55740 63690000 002eb703 23a80600 035f415f ci......#...._A_ + 55750 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 55760 6f6e5f74 61626c65 5f740000 00460f06 on_table_t...F.. + 55770 01070000 01120400 06011a01 146d5573 .............mUs + 55780 6245504d 61700001 01039201 20029000 bEPMap...... ... + 55790 008e48f8 008e4914 0000477d 1b011445 ..H...I...G}...E + 557a0 506e0000 00011201 521b0114 4d415000 Pn......R...MAP. + 557b0 00000112 01531c72 65670000 00011200 .....S.reg...... + 557c0 1a01246d 55736246 49464f4d 61700001 ..$mUsbFIFOMap.. + 557d0 01039201 20029000 008e4914 008e4932 .... .....I...I2 + 557e0 000047c7 1b012446 49464f6e 00000001 ..G...$FIFOn.... + 557f0 1201521b 01244d41 50000000 01120153 ..R..$MAP......S + 55800 1c726567 00000001 12001a01 366d5573 .reg........6mUs + 55810 62464946 4f436f6e 66696700 01010392 bFIFOConfig..... + 55820 01200290 00008e49 34008e49 52000048 . .....I4..IR..H + 55830 141b0136 4649464f 6e000000 01120152 ...6FIFOn......R + 55840 1b013663 66670000 00011201 531c7265 ..6cfg......S.re + 55850 67000000 0112001a 01456d55 73624550 g........EmUsbEP + 55860 4d785074 537a4869 67680001 01039201 MxPtSzHigh...... + 55870 20029000 008e4954 008e497b 0000486f .....IT..I{..Ho + 55880 1b014545 506e0000 00011201 521b0145 ..EEPn......R..E + 55890 64697200 00000112 01531b01 4573697a dir......S..Esiz + 558a0 65000000 01350154 1c726567 00000001 e....5.T.reg.... + 558b0 12001a01 526d5573 6245504d 78507453 ....RmUsbEPMxPtS + 558c0 7a4c6f77 00010103 92012002 9000008e zLow...... ..... + 558d0 497c008e 49a00000 48c91b01 5245506e I|..I...H...REPn + 558e0 00000001 1201521b 01526469 72000000 ......R..Rdir... + 558f0 01120153 1b015273 697a6500 00000135 ...S..Rsize....5 + 55900 01541c72 65670000 00011200 1a015e6d .T.reg........^m + 55910 55736245 50696e48 69676842 616e6453 UsbEPinHighBandS + 55920 65740001 01039201 20029000 008e49a0 et...... .....I. + 55930 008e49ea 00004931 1b015e45 506e0000 ..I...I1..^EPn.. + 55940 00011201 521b015e 64697200 00000112 ....R..^dir..... + 55950 01531b01 5e73697a 65000000 01350154 .S..^size....5.T + 55960 1c726567 00000001 121c7265 67320000 .reg......reg2.. + 55970 00011200 1a018f76 55736246 49464f5f .......vUsbFIFO_ + 55980 45507843 66675f48 53000101 03920120 EPxCfg_HS...... + 55990 02900000 8e49ec00 8e4b7c00 0049641c .....I...K|..Id. + 559a0 69000000 01c9001d 01013476 55736246 i.........4vUsbF + 559b0 49464f5f 45507843 66675f46 53000101 IFO_EPxCfg_FS... + 559c0 03920120 02900000 8e4b7c00 8e4cab1c ... .....K|..L.. + 559d0 69000000 01c90000 0000004d 26000200 i..........M&... + 559e0 001f5c04 012f726f 6f742f57 6f726b73 ..\../root/Works + 559f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 55a00 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 55a10 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 55a20 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 55a30 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 55a40 6f6d2f68 69662f64 6d615f6c 69622f2f om/hif/dma_lib// + 55a50 646d615f 6c69622e 63002f72 6f6f742f dma_lib.c./root/ + 55a60 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 55a70 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 55a80 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 55a90 2f646d61 5f6c6962 0078742d 78636320 /dma_lib.xt-xcc + 55aa0 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + 55ab0 6c69676e 5f696e73 74727563 74696f6e lign_instruction + 55ac0 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + 55ad0 543a7370 61636500 01000001 356e025f T:space.....5n._ + 55ae0 56444553 43002400 00019103 6e657874 VDESC.$.....next + 55af0 5f646573 63000000 01910223 00036275 _desc......#..bu + 55b00 665f6164 64720000 0001b602 23040362 f_addr......#..b + 55b10 75665f73 697a6500 000001d3 02230803 uf_size......#.. + 55b20 64617461 5f6f6666 73657400 000001d3 data_offset..... + 55b30 02230a03 64617461 5f73697a 65000000 .#..data_size... + 55b40 01d30223 0c03636f 6e74726f 6c000000 ...#..control... + 55b50 01d30223 0e036877 5f646573 635f6275 ...#..hw_desc_bu + 55b60 66000000 01e10223 10000400 00010504 f......#........ + 55b70 0005756e 7369676e 65642063 68617200 ..unsigned char. + 55b80 07010641 5f55494e 54380000 00019804 ...A_UINT8...... + 55b90 000001a9 04000573 686f7274 20756e73 .......short uns + 55ba0 69676e65 6420696e 74000702 06415f55 igned int....A_U + 55bb0 494e5431 36000000 01bd0700 0001a914 INT16........... + 55bc0 000001ee 08130004 00000105 04000569 ...............i + 55bd0 6e740005 04090104 000001fc 04000656 nt.............V + 55be0 44455343 00000001 05040000 02050400 DESC............ + 55bf0 0a000002 10010400 00021704 000a0000 ................ + 55c00 01b60104 00000224 04000901 04000002 .......$........ + 55c10 3104000b 04000276 64657363 5f617069 1......vdesc_api + 55c20 00140000 02ac035f 696e6974 00000001 ......._init.... + 55c30 fe022300 035f616c 6c6f635f 76646573 ..#.._alloc_vdes + 55c40 63000000 021d0223 04035f67 65745f68 c......#.._get_h + 55c50 775f6465 73630000 00022a02 2308035f w_desc....*.#.._ + 55c60 73776170 5f766465 73630000 00023302 swap_vdesc....3. + 55c70 230c0370 52657365 72766564 00000002 #..pReserved.... + 55c80 3a022310 00025f56 42554600 20000003 :.#..._VBUF. ... + 55c90 0c036465 73635f6c 69737400 00000210 ..desc_list..... + 55ca0 02230003 6e657874 5f627566 00000003 .#..next_buf.... + 55cb0 0c022304 03627566 5f6c656e 67746800 ..#..buf_length. + 55cc0 000001d3 02230803 72657365 72766564 .....#..reserved + 55cd0 00000003 1302230a 03637478 00000001 ......#..ctx.... + 55ce0 e102230c 00040000 02ac0400 07000001 ..#............. + 55cf0 a9020000 03200801 00040000 02ac0400 ..... .......... + 55d00 06564255 46000000 02ac0400 00032704 .VBUF.........'. + 55d10 000a0000 03310104 00000338 04000a00 .....1.....8.... + 55d20 00033101 04000003 45040009 01040000 ..1.....E....... + 55d30 03520400 02766275 665f6170 69001400 .R...vbuf_api... + 55d40 0003d003 5f696e69 74000000 01fe0223 ...._init......# + 55d50 00035f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... + 55d60 033e0223 04035f61 6c6c6f63 5f766275 .>.#.._alloc_vbu + 55d70 665f7769 74685f73 697a6500 0000034b f_with_size....K + 55d80 02230803 5f667265 655f7662 75660000 .#.._free_vbuf.. + 55d90 00035402 230c0370 52657365 72766564 ..T.#..pReserved + 55da0 00000002 3a022310 00027a73 446d6144 ....:.#...zsDmaD + 55db0 65736300 14000004 52036374 726c0000 esc.....R.ctrl.. + 55dc0 0001bd02 23000373 74617475 73000000 ....#..status... + 55dd0 01bd0223 0203746f 74616c4c 656e0000 ...#..totalLen.. + 55de0 0001bd02 23040364 61746153 697a6500 ....#..dataSize. + 55df0 000001bd 02230603 6c617374 41646472 .....#..lastAddr + 55e00 00000004 52022308 03646174 61416464 ....R.#..dataAdd + 55e10 72000000 04590223 0c036e65 78744164 r....Y.#..nextAd + 55e20 64720000 00045202 23100004 000003d0 dr....R.#....... + 55e30 0400056c 6f6e6720 756e7369 676e6564 ...long unsigned + 55e40 20696e74 00070404 000003d0 0400027a int...........z + 55e50 73446d61 51756575 65000800 0004a703 sDmaQueue....... + 55e60 68656164 00000004 6e022300 03746572 head....n.#..ter + 55e70 6d696e61 746f7200 0000046e 02230400 minator....n.#.. + 55e80 027a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 55e90 00050b03 68656164 00000004 6e022300 ....head....n.#. + 55ea0 03746572 6d696e61 746f7200 0000046e .terminator....n + 55eb0 02230403 786d6974 65645f62 75665f68 .#..xmited_buf_h + 55ec0 65616400 00000331 02230803 786d6974 ead....1.#..xmit + 55ed0 65645f62 75665f74 61696c00 00000331 ed_buf_tail....1 + 55ee0 02230c00 09010400 00050b04 00040000 .#.............. + 55ef0 04750400 09010400 00051b04 00040000 .u.............. + 55f00 04a70400 09010400 00052b04 00090104 ..........+..... + 55f10 00000534 04000901 04000005 3d04000a ...4........=... + 55f20 00000331 01040000 05460400 09010400 ...1.....F...... + 55f30 00055304 000a0000 03310104 0000055c ..S......1.....\ + 55f40 04000901 04000005 6904000a 000001f5 ........i....... + 55f50 01040000 05720400 0a000004 6e010400 .....r......n... + 55f60 00057f04 00090104 0000058c 04000264 ...............d + 55f70 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 55f80 00070203 5f696e69 74000000 050d0223 ...._init......# + 55f90 00035f69 6e69745f 72785f71 75657565 .._init_rx_queue + 55fa0 00000005 1d022304 035f696e 69745f74 ......#.._init_t + 55fb0 785f7175 65756500 0000052d 02230803 x_queue....-.#.. + 55fc0 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 55fd0 00000005 3602230c 035f786d 69745f62 ....6.#.._xmit_b + 55fe0 75660000 00053f02 2310035f 666c7573 uf....?.#.._flus + 55ff0 685f786d 69740000 00051d02 2314035f h_xmit......#.._ + 56000 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 56010 054c0223 18035f72 65747572 6e5f7265 .L.#.._return_re + 56020 63765f62 75660000 00055502 231c035f cv_buf....U.#.._ + 56030 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 56040 00000562 02232003 5f737761 705f6461 ...b.# ._swap_da + 56050 74610000 00056b02 2324035f 6861735f ta....k.#$._has_ + 56060 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 56070 05780223 28035f64 6573635f 64756d70 .x.#(._desc_dump + 56080 00000005 1d02232c 035f6765 745f7061 ......#,._get_pa + 56090 636b6574 00000005 85022330 035f7265 cket......#0._re + 560a0 636c6169 6d5f7061 636b6574 00000005 claim_packet.... + 560b0 8e022334 035f7075 745f7061 636b6574 ..#4._put_packet + 560c0 00000005 8e022338 03705265 73657276 ......#8.pReserv + 560d0 65640000 00023a02 233c0009 01040000 ed....:.#<...... + 560e0 07020400 05636861 72000701 0c000007 .....char....... + 560f0 0b0c0000 070b0400 00071804 000a0000 ................ + 56100 01f50104 00000724 04000270 72696e74 .......$...print + 56110 665f6170 69000800 00076803 5f707269 f_api.....h._pri + 56120 6e74665f 696e6974 00000007 04022300 ntf_init......#. + 56130 035f7072 696e7466 00000007 2a022304 ._printf....*.#. + 56140 00067569 6e743136 5f740000 0001bd06 ..uint16_t...... + 56150 75696e74 33325f74 00000004 59027561 uint32_t....Y.ua + 56160 72745f66 69666f00 08000007 cf037374 rt_fifo.......st + 56170 6172745f 696e6465 78000000 07680223 art_index....h.# + 56180 0003656e 645f696e 64657800 00000768 ..end_index....h + 56190 02230203 6f766572 72756e5f 65727200 .#..overrun_err. + 561a0 00000776 02230400 02756172 745f6170 ...v.#...uart_ap + 561b0 69002000 00088803 5f756172 745f696e i. ....._uart_in + 561c0 69740000 0008df02 2300035f 75617274 it......#.._uart + 561d0 5f636861 725f7075 74000000 08f50223 _char_put......# + 561e0 04035f75 6172745f 63686172 5f676574 .._uart_char_get + 561f0 00000009 09022308 035f7561 72745f73 ......#.._uart_s + 56200 74725f6f 75740000 00091202 230c035f tr_out......#.._ + 56210 75617274 5f746173 6b000000 07040223 uart_task......# + 56220 10035f75 6172745f 73746174 75730000 .._uart_status.. + 56230 0008df02 2314035f 75617274 5f636f6e ....#.._uart_con + 56240 66696700 0000091b 02231803 5f756172 fig......#.._uar + 56250 745f6877 696e6974 00000009 2402231c t_hwinit....$.#. + 56260 00040000 07cf0400 02756172 745f626c .........uart_bl + 56270 6b001000 0008d903 64656275 675f6d6f k.......debug_mo + 56280 64650000 00076802 23000362 61756400 de....h.#..baud. + 56290 00000768 02230203 5f756172 74000000 ...h.#.._uart... + 562a0 08880223 04035f74 78000000 07840223 ...#.._tx......# + 562b0 08000a00 00077601 04000008 d9040006 ......v......... + 562c0 75696e74 385f7400 00000198 09010400 uint8_t......... + 562d0 0008f304 00040000 08e60400 0a000007 ................ + 562e0 68010400 00090304 00090104 00000910 h............... + 562f0 04000901 04000009 19040009 01040000 ................ + 56300 09220400 04000007 0b04000a 000001f5 .".............. + 56310 01040000 09320400 0244425f 434f4d4d .....2...DB_COMM + 56320 414e445f 53545255 4354000c 0000098a AND_STRUCT...... + 56330 03636d64 5f737472 00000009 2b022300 .cmd_str....+.#. + 56340 0368656c 705f7374 72000000 092b0223 .help_str....+.# + 56350 0403636d 645f6675 6e630000 00093802 ..cmd_func....8. + 56360 23080002 6462675f 61706900 08000009 #...dbg_api..... + 56370 bd035f64 62675f69 6e697400 00000704 .._dbg_init..... + 56380 02230003 5f646267 5f746173 6b000000 .#.._dbg_task... + 56390 07040223 04000575 6e736967 6e656420 ...#...unsigned + 563a0 696e7400 07040a00 00023a01 04000009 int.......:..... + 563b0 cd04000d 0d040000 09db0400 0a000002 ................ + 563c0 3a010400 0009e304 000a0000 01f50104 :............... + 563d0 000009f0 0400026d 656d5f61 70690014 .......mem_api.. + 563e0 00000a5f 035f6d65 6d5f696e 69740000 ..._._mem_init.. + 563f0 00070402 2300035f 6d656d73 65740000 ....#.._memset.. + 56400 0009d302 2304035f 6d656d63 70790000 ....#.._memcpy.. + 56410 0009e902 2308035f 6d656d6d 6f766500 ....#.._memmove. + 56420 000009e9 02230c03 5f6d656d 636d7000 .....#.._memcmp. + 56430 000009f6 02231000 0e726567 69737465 .....#...registe + 56440 725f6475 6d705f73 00000104 00000a5f r_dump_s......._ + 56450 04000901 0400000a 79040009 01040000 ........y....... + 56460 0a820400 0a000001 f5010400 000a8b04 ................ + 56470 000f686f 73746966 5f730004 00000ae7 ..hostif_s...... + 56480 10484946 5f555342 00001048 49465f50 .HIF_USB...HIF_P + 56490 43494500 01104849 465f474d 41430002 CIE...HIF_GMAC.. + 564a0 10484946 5f504349 00031048 49465f4e .HIF_PCI...HIF_N + 564b0 554d0004 10484946 5f4e4f4e 45000500 UM...HIF_NONE... + 564c0 06415f48 4f535449 46000000 0a980a00 .A_HOSTIF....... + 564d0 000ae701 0400000a f504000a 000008e6 ................ + 564e0 01040000 0b020400 0a000007 68010400 ............h... + 564f0 000b0f04 00026d69 73635f61 70690024 ......misc_api.$ + 56500 00000bff 035f7379 7374656d 5f726573 ....._system_res + 56510 65740000 00070402 2300035f 6d61635f et......#.._mac_ + 56520 72657365 74000000 07040223 04035f61 reset......#.._a + 56530 73736661 696c0000 000a7b02 2308035f ssfail....{.#.._ + 56540 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 56550 68616e64 6c657200 00000a7b 02230c03 handler....{.#.. + 56560 5f726570 6f72745f 6661696c 7572655f _report_failure_ + 56570 746f5f68 6f737400 00000a84 02231003 to_host......#.. + 56580 5f746172 6765745f 69645f67 65740000 _target_id_get.. + 56590 000a9102 2314035f 69735f68 6f73745f ....#.._is_host_ + 565a0 70726573 656e7400 00000afb 02231803 present......#.. + 565b0 5f6b6268 69740000 000b0802 231c035f _kbhit......#.._ + 565c0 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. + 565d0 00000b15 02232000 0a000009 2b010400 .....# .....+... + 565e0 000bff04 000a0000 092b0104 00000c0c .........+...... + 565f0 04000a00 0001f501 0400000c 1904000a ................ + 56600 000001f5 01040000 0c260400 0a000001 .........&...... + 56610 f5010400 000c3304 00027374 72696e67 ......3...string + 56620 5f617069 00180000 0cb9035f 73747269 _api......._stri + 56630 6e675f69 6e697400 00000704 02230003 ng_init......#.. + 56640 5f737472 63707900 00000c05 02230403 _strcpy......#.. + 56650 5f737472 6e637079 0000000c 12022308 _strncpy......#. + 56660 035f7374 726c656e 0000000c 1f02230c ._strlen......#. + 56670 035f7374 72636d70 0000000c 2c022310 ._strcmp....,.#. + 56680 035f7374 726e636d 70000000 0c390223 ._strncmp....9.# + 56690 14000700 0009bd14 00000cc6 08040006 ................ + 566a0 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. + 566b0 000cb906 415f7469 6d65725f 74000000 ....A_timer_t... + 566c0 0cc60400 000cda04 00090104 00000cf0 ................ + 566d0 04000901 0400000c f9040006 415f4841 ............A_HA + 566e0 4e444c45 00000009 bd090106 415f5449 NDLE........A_TI + 566f0 4d45525f 46554e43 0000000d 10040000 MER_FUNC........ + 56700 0d120400 09010400 000d2b04 00027469 ..........+...ti + 56710 6d65725f 61706900 1400000d aa035f74 mer_api......._t + 56720 696d6572 5f696e69 74000000 07040223 imer_init......# + 56730 00035f74 696d6572 5f61726d 0000000c .._timer_arm.... + 56740 f2022304 035f7469 6d65725f 64697361 ..#.._timer_disa + 56750 726d0000 000cfb02 2308035f 74696d65 rm......#.._time + 56760 725f7365 74666e00 00000d2d 02230c03 r_setfn....-.#.. + 56770 5f74696d 65725f72 756e0000 00070402 _timer_run...... + 56780 23100006 424f4f4c 45414e00 00000768 #...BOOLEAN....h + 56790 0a00000d aa010400 000db704 000a0000 ................ + 567a0 0daa0104 00000dc4 04000a00 000daa01 ................ + 567b0 0400000d d1040002 726f6d70 5f617069 ........romp_api + 567c0 00100000 0e43035f 726f6d70 5f696e69 .....C._romp_ini + 567d0 74000000 07040223 00035f72 6f6d705f t......#.._romp_ + 567e0 646f776e 6c6f6164 0000000d bd022304 download......#. + 567f0 035f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. + 56800 000dca02 2308035f 726f6d70 5f646563 ....#.._romp_dec + 56810 6f646500 00000dd7 02230c00 02726f6d ode......#...rom + 56820 5f706174 63685f73 74001000 000e9f03 _patch_st....... + 56830 63726331 36000000 07680223 00036c65 crc16....h.#..le + 56840 6e000000 07680223 02036c64 5f616464 n....h.#..ld_add + 56850 72000000 07760223 04036675 6e5f6164 r....v.#..fun_ad + 56860 64720000 00077602 23080370 66756e00 dr....v.#..pfun. + 56870 000008fc 02230c00 02656570 5f726564 .....#...eep_red + 56880 69725f61 64647200 0400000e d1036f66 ir_addr.......of + 56890 66736574 00000007 68022300 0373697a fset....h.#..siz + 568a0 65000000 07680223 02000641 5f55494e e....h.#...A_UIN + 568b0 54333200 000009bd 0a000002 3a010400 T32.........:... + 568c0 000edf04 0002616c 6c6f6372 616d5f61 ......allocram_a + 568d0 7069000c 00000f50 03636d6e 6f735f61 pi.....P.cmnos_a + 568e0 6c6c6f63 72616d5f 696e6974 0000000e llocram_init.... + 568f0 e5022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc + 56900 72616d00 00000ee5 02230403 636d6e6f ram......#..cmno + 56910 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 56920 00000007 04022308 00090104 00000f50 ......#........P + 56930 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 56940 43000000 0f52025f 7461736b 6c657400 C....R._tasklet. + 56950 1000000f b1036675 6e630000 000f5902 ......func....Y. + 56960 23000361 72670000 00023a02 23040373 #..arg....:.#..s + 56970 74617465 00000001 f5022308 036e6578 tate......#..nex + 56980 74000000 0fb10223 0c000400 000f6d04 t......#......m. + 56990 00040000 0f6d0400 06415f74 61736b6c .....m...A_taskl + 569a0 65745f74 0000000f 6d040000 0fbf0400 et_t....m....... + 569b0 09010400 000fd704 00090104 00000fe0 ................ + 569c0 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. + 569d0 00001075 035f7461 736b6c65 745f696e ...u._tasklet_in + 569e0 69740000 00070402 2300035f 7461736b it......#.._task + 569f0 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 56a00 0fd90223 04035f74 61736b6c 65745f64 ...#.._tasklet_d + 56a10 69736162 6c650000 000fe202 2308035f isable......#.._ + 56a20 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 56a30 0000000f e202230c 035f7461 736b6c65 ......#.._taskle + 56a40 745f7275 6e000000 07040223 10000901 t_run......#.... + 56a50 04000010 7504000a 00000ed1 01040000 ....u........... + 56a60 107e0400 02636c6f 636b5f61 70690024 .~...clock_api.$ + 56a70 00001164 035f636c 6f636b5f 696e6974 ...d._clock_init + 56a80 00000010 77022300 035f636c 6f636b72 ....w.#.._clockr + 56a90 6567735f 696e6974 00000007 04022304 egs_init......#. + 56aa0 035f7561 72745f66 72657175 656e6379 ._uart_frequency + 56ab0 00000010 84022308 035f6465 6c61795f ......#.._delay_ + 56ac0 75730000 0001fe02 230c035f 776c616e us......#.._wlan + 56ad0 5f62616e 645f7365 74000000 01fe0223 _band_set......# + 56ae0 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 56af0 67657400 00001084 02231403 5f6d696c get......#.._mil + 56b00 6c697365 636f6e64 73000000 10840223 liseconds......# + 56b10 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 56b20 00000007 0402231c 035f636c 6f636b5f ......#.._clock_ + 56b30 7469636b 00000007 04022320 000a0000 tick......# .... + 56b40 07760104 00001164 04000641 5f6f6c64 .v.....d...A_old + 56b50 5f696e74 725f7400 00000776 0a000011 _intr_t....v.... + 56b60 71010400 00118304 00090104 00001190 q............... + 56b70 04000901 04000011 9904000a 00000776 ...............v + 56b80 01040000 11a20400 06415f69 73725f74 .........A_isr_t + 56b90 00000011 a8090104 000011bc 04000a00 ................ + 56ba0 0009bd01 04000011 c5040009 01040000 ................ + 56bb0 11d20400 02696e74 725f6170 69002c00 .....intr_api.,. + 56bc0 0012f403 5f696e74 725f696e 69740000 ...._intr_init.. + 56bd0 00070402 2300035f 696e7472 5f696e76 ....#.._intr_inv + 56be0 6f6b655f 69737200 0000116a 02230403 oke_isr....j.#.. + 56bf0 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 56c00 11890223 08035f69 6e74725f 72657374 ...#.._intr_rest + 56c10 6f726500 00001192 02230c03 5f696e74 ore......#.._int + 56c20 725f6d61 736b5f69 6e756d00 0000119b r_mask_inum..... + 56c30 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask + 56c40 5f696e75 6d000000 119b0223 14035f69 _inum......#.._i + 56c50 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 56c60 0011be02 2318035f 6765745f 696e7472 ....#.._get_intr + 56c70 656e6162 6c650000 0011cb02 231c035f enable......#.._ + 56c80 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 56c90 0011d402 2320035f 6765745f 696e7472 ....# ._get_intr + 56ca0 70656e64 696e6700 000011cb 02232403 pending......#$. + 56cb0 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 56cc0 726c766c 00000007 04022328 00110400 rlvl......#(.... + 56cd0 00131a03 74696d65 6f757400 00000776 ....timeout....v + 56ce0 02230003 61637469 6f6e0000 00077602 .#..action....v. + 56cf0 23000012 08000013 3503636d 64000000 #.......5.cmd... + 56d00 07760223 00130000 12f40223 04000654 .v.#.......#...T + 56d10 5f574454 5f434d44 00000013 1a090104 _WDT_CMD........ + 56d20 00001344 04001404 0000139a 10454e55 ...D.........ENU + 56d30 4d5f5744 545f424f 4f540001 10454e55 M_WDT_BOOT...ENU + 56d40 4d5f434f 4c445f42 4f4f5400 0210454e M_COLD_BOOT...EN + 56d50 554d5f53 5553505f 424f4f54 00031045 UM_SUSP_BOOT...E + 56d60 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 56d70 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 56d80 0000134d 0a000013 9a010400 0013ab04 ...M............ + 56d90 00027764 745f6170 69001c00 00144f03 ..wdt_api.....O. + 56da0 5f776474 5f696e69 74000000 07040223 _wdt_init......# + 56db0 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... + 56dc0 07040223 04035f77 64745f64 69736162 ...#.._wdt_disab + 56dd0 6c650000 00070402 2308035f 7764745f le......#.._wdt_ + 56de0 73657400 00001346 02230c03 5f776474 set....F.#.._wdt + 56df0 5f746173 6b000000 07040223 10035f77 _task......#.._w + 56e00 64745f72 65736574 00000007 04022314 dt_reset......#. + 56e10 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 56e20 000013b1 02231800 14040000 14b61052 .....#.........R + 56e30 45545f53 55434345 53530000 10524554 ET_SUCCESS...RET + 56e40 5f4e4f54 5f494e49 54000110 5245545f _NOT_INIT...RET_ + 56e50 4e4f545f 45584953 54000210 5245545f NOT_EXIST...RET_ + 56e60 4545505f 434f5252 55505400 03105245 EEP_CORRUPT...RE + 56e70 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 56e80 10524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... + 56e90 545f4545 505f5245 54000000 144f0400 T_EEP_RET....O.. + 56ea0 00076804 000a0000 14b60104 000014cc ..h............. + 56eb0 04000a00 0014b601 04000014 d9040002 ................ + 56ec0 6565705f 61706900 10000015 42035f65 eep_api.....B._e + 56ed0 65705f69 6e697400 00000704 02230003 ep_init......#.. + 56ee0 5f656570 5f726561 64000000 14d20223 _eep_read......# + 56ef0 04035f65 65705f77 72697465 00000014 .._eep_write.... + 56f00 d2022308 035f6565 705f6973 5f657869 ..#.._eep_is_exi + 56f10 73740000 0014df02 230c0002 7573625f st......#...usb_ + 56f20 61706900 70000017 ef035f75 73625f69 api.p....._usb_i + 56f30 6e697400 00000704 02230003 5f757362 nit......#.._usb + 56f40 5f726f6d 5f746173 6b000000 07040223 _rom_task......# + 56f50 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 56f60 00070402 2308035f 7573625f 696e6974 ....#.._usb_init + 56f70 5f706879 00000007 0402230c 035f7573 _phy......#.._us + 56f80 625f6570 305f7365 74757000 00000704 b_ep0_setup..... + 56f90 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 56fa0 00000704 02231403 5f757362 5f657030 .....#.._usb_ep0 + 56fb0 5f727800 00000704 02231803 5f757362 _rx......#.._usb + 56fc0 5f676574 5f696e74 65726661 63650000 _get_interface.. + 56fd0 000dca02 231c035f 7573625f 7365745f ....#.._usb_set_ + 56fe0 696e7465 72666163 65000000 0dca0223 interface......# + 56ff0 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 57000 67757261 74696f6e 0000000d ca022324 guration......#$ + 57010 035f7573 625f7365 745f636f 6e666967 ._usb_set_config + 57020 75726174 696f6e00 00000dca 02232803 uration......#(. + 57030 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 57040 64000000 0dca0223 2c035f75 73625f76 d......#,._usb_v + 57050 656e646f 725f636d 64000000 07040223 endor_cmd......# + 57060 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 57070 00000007 04022334 035f7573 625f7265 ......#4._usb_re + 57080 7365745f 6669666f 00000007 04022338 set_fifo......#8 + 57090 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 570a0 07040223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ + 570b0 626f6f74 00000007 04022340 035f7573 boot......#@._us + 570c0 625f636c 725f6665 61747572 65000000 b_clr_feature... + 570d0 0dca0223 44035f75 73625f73 65745f66 ...#D._usb_set_f + 570e0 65617475 72650000 000dca02 2348035f eature......#H._ + 570f0 7573625f 7365745f 61646472 65737300 usb_set_address. + 57100 00000dca 02234c03 5f757362 5f676574 .....#L._usb_get + 57110 5f646573 63726970 746f7200 00000dca _descriptor..... + 57120 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta + 57130 74757300 00000dca 02235403 5f757362 tus......#T._usb + 57140 5f736574 75705f64 65736300 00000704 _setup_desc..... + 57150 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 57160 00000007 0402235c 035f7573 625f7374 ......#\._usb_st + 57170 61747573 5f696e00 00000704 02236003 atus_in......#`. + 57180 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 57190 00000007 04022364 035f7573 625f6570 ......#d._usb_ep + 571a0 305f7278 5f646174 61000000 07040223 0_rx_data......# + 571b0 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 571c0 00000704 02236c00 025f5f61 64665f64 .....#l..__adf_d + 571d0 65766963 65000400 00181103 64756d6d evice.......dumm + 571e0 79000000 01f50223 00000400 000ed104 y......#........ + 571f0 00025f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 57200 0c000018 58036275 66000000 03310223 ....X.buf....1.# + 57210 00036473 5f616464 72000000 18110223 ..ds_addr......# + 57220 04036473 5f6c656e 00000001 d3022308 ..ds_len......#. + 57230 00120c00 00189203 5f5f7661 5f73746b ........__va_stk + 57240 00000009 2b022300 035f5f76 615f7265 ....+.#..__va_re + 57250 67000000 092b0223 04035f5f 76615f6e g....+.#..__va_n + 57260 64780000 0001f502 23080006 5f5f6164 dx......#...__ad + 57270 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 57280 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ + 57290 61646472 5f740000 00189206 5f5f6164 addr_t......__ad + 572a0 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 572b0 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ + 572c0 73697a65 5f740000 0018c202 5f5f646d size_t......__dm + 572d0 615f7365 67730008 0000191e 03706164 a_segs.......pad + 572e0 64720000 0018ab02 2300036c 656e0000 dr......#..len.. + 572f0 0018db02 23040006 5f5f615f 75696e74 ....#...__a_uint + 57300 33325f74 0000000e d106615f 75696e74 32_t......a_uint + 57310 33325f74 00000019 1e070000 18f20800 32_t............ + 57320 00194d08 00000261 64665f6f 735f646d ..M....adf_os_dm + 57330 616d6170 5f696e66 6f000c00 00198603 amap_info....... + 57340 6e736567 73000000 19300223 0003646d nsegs....0.#..dm + 57350 615f7365 67730000 00194002 23040006 a_segs....@.#... + 57360 5f5f615f 75696e74 385f7400 000001a9 __a_uint8_t..... + 57370 06615f75 696e7438 5f740000 00198604 .a_uint8_t...... + 57380 00001997 0400025f 5f73675f 73656773 .......__sg_segs + 57390 00080000 19d80376 61646472 00000019 .......vaddr.... + 573a0 a6022300 036c656e 00000019 30022304 ..#..len....0.#. + 573b0 00070000 19ad2000 0019e508 03000261 ...... ........a + 573c0 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 573d0 1a18036e 73656773 00000019 30022300 ...nsegs....0.#. + 573e0 0373675f 73656773 00000019 d8022304 .sg_segs......#. + 573f0 00121000 001a6103 76656e64 6f720000 ......a.vendor.. + 57400 00193002 23000364 65766963 65000000 ..0.#..device... + 57410 19300223 04037375 6276656e 646f7200 .0.#..subvendor. + 57420 00001930 02230803 73756264 65766963 ...0.#..subdevic + 57430 65000000 19300223 0c00056c 6f6e6720 e....0.#...long + 57440 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 57450 74000708 06415f55 494e5436 34000000 t....A_UINT64... + 57460 1a61065f 5f615f75 696e7436 345f7400 .a.__a_uint64_t. + 57470 00001a7b 06615f75 696e7436 345f7400 ...{.a_uint64_t. + 57480 00001a89 14040000 1ae71041 44465f4f ...........ADF_O + 57490 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 574a0 4d454d00 00104144 465f4f53 5f524553 MEM...ADF_OS_RES + 574b0 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... + 574c0 06616466 5f6f735f 7265736f 75726365 .adf_os_resource + 574d0 5f747970 655f7400 00001aab 12180000 _type_t......... + 574e0 1b310373 74617274 0000001a 9b022300 .1.start......#. + 574f0 03656e64 0000001a 9b022308 03747970 .end......#..typ + 57500 65000000 1ae70223 10000661 64665f6f e......#...adf_o + 57510 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. + 57520 001a1804 00001b31 04001104 00001b70 .......1.......p + 57530 03706369 0000001b 4a022300 03726177 .pci....J.#..raw + 57540 00000002 3a022300 00111000 001b8f03 ....:.#......... + 57550 70636900 00001b31 02230003 72617700 pci....1.#..raw. + 57560 0000023a 02230000 06616466 5f647276 ...:.#...adf_drv + 57570 5f68616e 646c655f 74000000 023a0661 _handle_t....:.a + 57580 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 57590 0000001b 03040000 1ba50400 06616466 .............adf + 575a0 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ + 575b0 74000000 1b700400 001bc304 00040000 t....p.......... + 575c0 17ef0400 065f5f61 64665f6f 735f6465 .....__adf_os_de + 575d0 76696365 5f740000 001be406 6164665f vice_t......adf_ + 575e0 6f735f64 65766963 655f7400 00001beb os_device_t..... + 575f0 0a00001b 8f010400 001c1704 00090104 ................ + 57600 00001c24 04000661 64665f6f 735f706d ...$...adf_os_pm + 57610 5f740000 00023a09 01040000 1c3e0400 _t....:......>.. + 57620 14040000 1c7e1041 44465f4f 535f4255 .....~.ADF_OS_BU + 57630 535f5459 50455f50 43490001 10414446 S_TYPE_PCI...ADF + 57640 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN + 57650 45524943 00020006 6164665f 6f735f62 ERIC....adf_os_b + 57660 75735f74 7970655f 74000000 1c470661 us_type_t....G.a + 57670 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da + 57680 74615f74 0000001b 51040000 01980400 ta_t....Q....... + 57690 025f6164 665f6472 765f696e 666f0020 ._adf_drv_info. + 576a0 00001d5b 03647276 5f617474 61636800 ...[.drv_attach. + 576b0 00001c1d 02230003 6472765f 64657461 .....#..drv_deta + 576c0 63680000 001c2602 23040364 72765f73 ch....&.#..drv_s + 576d0 75737065 6e640000 001c4002 23080364 uspend....@.#..d + 576e0 72765f72 6573756d 65000000 1c260223 rv_resume....&.# + 576f0 0c036275 735f7479 70650000 001c7e02 ..bus_type....~. + 57700 23100362 75735f64 61746100 00001c95 #..bus_data..... + 57710 02231403 6d6f645f 6e616d65 0000001c .#..mod_name.... + 57720 b0022318 0369666e 616d6500 00001cb0 ..#..ifname..... + 57730 02231c00 06616466 5f6f735f 68616e64 .#...adf_os_hand + 57740 6c655f74 00000002 3a040000 19860400 le_t....:....... + 57750 09010901 065f5f61 64665f6f 735f7369 .....__adf_os_si + 57760 7a655f74 00000009 bd140400 001daa10 ze_t............ + 57770 415f4641 4c534500 0010415f 54525545 A_FALSE...A_TRUE + 57780 00010006 615f626f 6f6c5f74 0000001d ....a_bool_t.... + 57790 90040000 18180400 065f5f61 64665f6f .........__adf_o + 577a0 735f646d 615f6d61 705f7400 00001db8 s_dma_map_t..... + 577b0 09010f61 64665f6f 735f6361 6368655f ...adf_os_cache_ + 577c0 73796e63 00040000 1e421041 44465f53 sync.....B.ADF_S + 577d0 594e435f 50524552 45414400 00104144 YNC_PREREAD...AD + 577e0 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. + 577f0 02104144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR + 57800 45414400 01104144 465f5359 4e435f50 EAD...ADF_SYNC_P + 57810 4f535457 52495445 00030006 6164665f OSTWRITE....adf_ + 57820 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. + 57830 00001dd9 09010661 64665f6f 735f7369 .......adf_os_si + 57840 7a655f74 0000001d 7b0a0000 1e5d0106 ze_t....{....].. + 57850 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 57860 0000001d bf040000 1e760400 0a000002 .........v...... + 57870 3a010400 001dbf04 000a0000 023a0109 :............:.. + 57880 010a0000 18ab0109 01057368 6f727420 ..........short + 57890 696e7400 05020641 5f494e54 31360000 int....A_INT16.. + 578a0 001eb006 5f5f615f 696e7431 365f7400 ....__a_int16_t. + 578b0 00001ebd 06615f69 6e743136 5f740000 .....a_int16_t.. + 578c0 001eca05 7369676e 65642063 68617200 ....signed char. + 578d0 05010641 5f494e54 38000000 1eea065f ...A_INT8......_ + 578e0 5f615f69 6e74385f 74000000 1ef90661 _a_int8_t......a + 578f0 5f696e74 385f7400 00001f05 120c0000 _int8_t......... + 57900 1f7c0373 7570706f 72746564 00000019 .|.supported.... + 57910 30022300 03616476 65727469 7a656400 0.#..advertized. + 57920 00001930 02230403 73706565 64000000 ...0.#..speed... + 57930 1edb0223 08036475 706c6578 0000001f ...#..duplex.... + 57940 1502230a 03617574 6f6e6567 00000019 ..#..autoneg.... + 57950 9702230b 00070000 19970600 001f8908 ..#............. + 57960 05000261 64665f6e 65745f65 74686164 ...adf_net_ethad + 57970 64720006 00001fad 03616464 72000000 dr.......addr... + 57980 1f7c0223 0000065f 5f615f75 696e7431 .|.#...__a_uint1 + 57990 365f7400 000001d3 06615f75 696e7431 6_t......a_uint1 + 579a0 365f7400 00001fad 120e0000 20110365 6_t......... ..e + 579b0 74686572 5f64686f 73740000 001f7c02 ther_dhost....|. + 579c0 23000365 74686572 5f73686f 73740000 #..ether_shost.. + 579d0 001f7c02 23060365 74686572 5f747970 ..|.#..ether_typ + 579e0 65000000 1fbf0223 0c001214 000020d2 e......#...... . + 579f0 1569705f 76657273 696f6e00 00001997 .ip_version..... + 57a00 01000402 23001569 705f686c 00000019 ....#..ip_hl.... + 57a10 97010404 02230003 69705f74 6f730000 .....#..ip_tos.. + 57a20 00199702 23010369 705f6c65 6e000000 ....#..ip_len... + 57a30 1fbf0223 02036970 5f696400 00001fbf ...#..ip_id..... + 57a40 02230403 69705f66 7261675f 6f666600 .#..ip_frag_off. + 57a50 00001fbf 02230603 69705f74 746c0000 .....#..ip_ttl.. + 57a60 00199702 23080369 705f7072 6f746f00 ....#..ip_proto. + 57a70 00001997 02230903 69705f63 6865636b .....#..ip_check + 57a80 0000001f bf02230a 0369705f 73616464 ......#..ip_sadd + 57a90 72000000 19300223 0c036970 5f646164 r....0.#..ip_dad + 57aa0 64720000 00193002 23100002 6164665f dr....0.#...adf_ + 57ab0 6e65745f 766c616e 68647200 04000021 net_vlanhdr....! + 57ac0 24037470 69640000 001fbf02 23001570 $.tpid......#..p + 57ad0 72696f00 00001997 01000302 23021563 rio.........#..c + 57ae0 66690000 00199701 03010223 02157669 fi.........#..vi + 57af0 64000000 1fbf0204 0c022302 00026164 d.........#...ad + 57b00 665f6e65 745f7669 64000200 00215515 f_net_vid....!U. + 57b10 72657300 00001997 01000402 23001576 res.........#..v + 57b20 616c0000 001fbf02 040c0223 0000120c al.........#.... + 57b30 00002191 0372785f 62756673 697a6500 ..!..rx_bufsize. + 57b40 00001930 02230003 72785f6e 64657363 ...0.#..rx_ndesc + 57b50 00000019 30022304 0374785f 6e646573 ....0.#..tx_ndes + 57b60 63000000 19300223 08001208 000021b7 c....0.#......!. + 57b70 03706f6c 6c656400 00001daa 02230003 .polled......#.. + 57b80 706f6c6c 5f777400 00001930 02230400 poll_wt....0.#.. + 57b90 07000019 97400000 21c4083f 00124600 .....@..!..?..F. + 57ba0 0021ec03 69665f6e 616d6500 000021b7 .!..if_name...!. + 57bb0 02230003 6465765f 61646472 0000001f .#..dev_addr.... + 57bc0 7c022340 00140400 00222310 4144465f |.#@....."#.ADF_ + 57bd0 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI + 57be0 54000010 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M + 57bf0 41534b5f 36344249 54000100 06616466 ASK_64BIT....adf + 57c00 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. + 57c10 0021ec02 6164665f 646d615f 696e666f .!..adf_dma_info + 57c20 00080000 22700364 6d615f6d 61736b00 ...."p.dma_mask. + 57c30 00002223 02230003 73675f6e 73656773 .."#.#..sg_nsegs + 57c40 00000019 30022304 00140400 0022c610 ....0.#......".. + 57c50 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO + 57c60 4e450000 10414446 5f4e4554 5f434b53 NE...ADF_NET_CKS + 57c70 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. + 57c80 01104144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 57c90 5443505f 5544505f 49507636 00020006 TCP_UDP_IPv6.... + 57ca0 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty + 57cb0 70655f74 00000022 70120800 00230903 pe_t..."p....#.. + 57cc0 74785f63 6b73756d 00000022 c6022300 tx_cksum..."..#. + 57cd0 0372785f 636b7375 6d000000 22c60223 .rx_cksum..."..# + 57ce0 04000661 64665f6e 65745f63 6b73756d ...adf_net_cksum + 57cf0 5f696e66 6f5f7400 000022e0 14040000 _info_t..."..... + 57d00 23621041 44465f4e 45545f54 534f5f4e #b.ADF_NET_TSO_N + 57d10 4f4e4500 00104144 465f4e45 545f5453 ONE...ADF_NET_TS + 57d20 4f5f4950 56340001 10414446 5f4e4554 O_IPV4...ADF_NET + 57d30 5f54534f 5f414c4c 00020006 6164665f _TSO_ALL....adf_ + 57d40 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. + 57d50 00232312 10000023 b603636b 73756d5f .##....#..cksum_ + 57d60 63617000 00002309 02230003 74736f00 cap...#..#..tso. + 57d70 00002362 02230803 766c616e 5f737570 ..#b.#..vlan_sup + 57d80 706f7274 65640000 00199702 230c0012 ported......#... + 57d90 20000024 4f037478 5f706163 6b657473 ..$O.tx_packets + 57da0 00000019 30022300 0372785f 7061636b ....0.#..rx_pack + 57db0 65747300 00001930 02230403 74785f62 ets....0.#..tx_b + 57dc0 79746573 00000019 30022308 0372785f ytes....0.#..rx_ + 57dd0 62797465 73000000 19300223 0c037478 bytes....0.#..tx + 57de0 5f64726f 70706564 00000019 30022310 _dropped....0.#. + 57df0 0372785f 64726f70 70656400 00001930 .rx_dropped....0 + 57e00 02231403 72785f65 72726f72 73000000 .#..rx_errors... + 57e10 19300223 18037478 5f657272 6f727300 .0.#..tx_errors. + 57e20 00001930 02231c00 06616466 5f6e6574 ...0.#...adf_net + 57e30 5f657468 61646472 5f740000 001f8916 _ethaddr_t...... + 57e40 0000244f 03000000 2474087f 00176164 ..$O....$t....ad + 57e50 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 57e60 00030400 0024ab03 6e656c65 6d000000 .....$..nelem... + 57e70 19300223 00036d63 61737400 00002466 .0.#..mcast...$f + 57e80 02230400 06616466 5f6e6574 5f636d64 .#...adf_net_cmd + 57e90 5f6c696e 6b5f696e 666f5f74 0000001f _link_info_t.... + 57ea0 23066164 665f6e65 745f636d 645f706f #.adf_net_cmd_po + 57eb0 6c6c5f69 6e666f5f 74000000 21910661 ll_info_t...!..a + 57ec0 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum + 57ed0 5f696e66 6f5f7400 00002309 06616466 _info_t...#..adf + 57ee0 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in + 57ef0 666f5f74 00000021 55066164 665f6e65 fo_t...!U.adf_ne + 57f00 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t + 57f10 00000022 3a066164 665f6e65 745f636d ...":.adf_net_cm + 57f20 645f7669 645f7400 00001fbf 06616466 d_vid_t......adf + 57f30 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload + 57f40 5f636170 5f740000 00237a06 6164665f _cap_t...#z.adf_ + 57f50 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. + 57f60 000023b6 06616466 5f6e6574 5f636d64 ..#..adf_net_cmd + 57f70 5f6d6361 6464725f 74000000 24740f61 _mcaddr_t...$t.a + 57f80 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast + 57f90 5f636170 00040000 25ed1041 44465f4e _cap....%..ADF_N + 57fa0 45545f4d 43415354 5f535550 00001041 ET_MCAST_SUP...A + 57fb0 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT + 57fc0 53555000 01000661 64665f6e 65745f63 SUP....adf_net_c + 57fd0 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. + 57fe0 0025a518 03040000 26bf036c 696e6b5f .%......&..link_ + 57ff0 696e666f 00000024 ab022300 03706f6c info...$..#..pol + 58000 6c5f696e 666f0000 0024c802 23000363 l_info...$..#..c + 58010 6b73756d 5f696e66 6f000000 24e50223 ksum_info...$..# + 58020 00037269 6e675f69 6e666f00 00002503 ..ring_info...%. + 58030 02230003 646d615f 696e666f 00000025 .#..dma_info...% + 58040 20022300 03766964 00000025 3c022300 .#..vid...%<.#. + 58050 036f6666 6c6f6164 5f636170 00000025 .offload_cap...% + 58060 53022300 03737461 74730000 00257202 S.#..stats...%r. + 58070 2300036d 63617374 5f696e66 6f000000 #..mcast_info... + 58080 258b0223 00036d63 6173745f 63617000 %..#..mcast_cap. + 58090 000025ed 02230000 14040000 27161041 ..%..#......'..A + 580a0 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 580b0 5f4e4f4e 45000010 4144465f 4e425546 _NONE...ADF_NBUF + 580c0 5f52585f 434b5355 4d5f4857 00011041 _RX_CKSUM_HW...A + 580d0 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 580e0 5f554e4e 45434553 53415259 00020006 _UNNECESSARY.... + 580f0 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu + 58100 6d5f7479 70655f74 00000026 bf120800 m_type_t...&.... + 58110 00275603 72657375 6c740000 00271602 .'V.result...'.. + 58120 23000376 616c0000 00193002 23040012 #..val....0.#... + 58130 08000027 86037479 70650000 00236202 ...'..type...#b. + 58140 2300036d 73730000 001fbf02 23040368 #..mss......#..h + 58150 64725f6f 66660000 00199702 23060002 dr_off......#... + 58160 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 58170 000c0000 27c50368 65616400 00000331 ....'..head....1 + 58180 02230003 7461696c 00000003 31022304 .#..tail....1.#. + 58190 03716c65 6e000000 19300223 0800065f .qlen....0.#..._ + 581a0 5f616466 5f6e6275 665f7400 00000331 _adf_nbuf_t....1 + 581b0 04000019 a6040004 00001930 04000901 ...........0.... + 581c0 0a000002 10010a00 00193001 0a000019 ..........0..... + 581d0 a6010a00 0019a601 04000001 e1040006 ................ + 581e0 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 581f0 5f740000 00278606 5f5f6164 665f6e62 _t...'..__adf_nb + 58200 75665f71 75657565 5f740000 00280604 uf_queue_t...(.. + 58210 0000281e 04000a00 0027c501 0a000027 ..(......'.....' + 58220 c5011404 0000293e 10415f53 54415455 ......)>.A_STATU + 58230 535f4f4b 00001041 5f535441 5455535f S_OK...A_STATUS_ + 58240 4641494c 45440001 10415f53 54415455 FAILED...A_STATU + 58250 535f454e 4f454e54 00021041 5f535441 S_ENOENT...A_STA + 58260 5455535f 454e4f4d 454d0003 10415f53 TUS_ENOMEM...A_S + 58270 54415455 535f4549 4e56414c 00041041 TATUS_EINVAL...A + 58280 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR + 58290 45535300 0510415f 53544154 55535f45 ESS...A_STATUS_E + 582a0 4e4f5453 55505000 0610415f 53544154 NOTSUPP...A_STAT + 582b0 55535f45 42555359 00071041 5f535441 US_EBUSY...A_STA + 582c0 5455535f 45324249 47000810 415f5354 TUS_E2BIG...A_ST + 582d0 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA + 582e0 494c0009 10415f53 54415455 535f454e IL...A_STATUS_EN + 582f0 58494f00 0a10415f 53544154 55535f45 XIO...A_STATUS_E + 58300 4641554c 54000b10 415f5354 41545553 FAULT...A_STATUS + 58310 5f45494f 000c0006 615f7374 61747573 _EIO....a_status + 58320 5f740000 0028490a 0000293e 010a0000 _t...(I...)>.... + 58330 01f50109 01066164 665f6e62 75665f74 ......adf_nbuf_t + 58340 00000027 c5140400 0029a310 4144465f ...'.....)..ADF_ + 58350 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE + 58360 00001041 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR + 58370 4f4d5f44 45564943 45000100 06616466 OM_DEVICE....adf + 58380 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... + 58390 296c0a00 00293e01 09010661 64665f6f )l...)>....adf_o + 583a0 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. + 583b0 0000194d 04000029 c1040009 0109010a ...M...)........ + 583c0 0000295c 010a0000 27c50109 0109010a ..)\....'....... + 583d0 0000295c 010a0000 27c5010a 0000295c ..)\....'.....)\ + 583e0 010a0000 27c5010a 0000295c 01090109 ....'.....)\.... + 583f0 010a0000 1930010a 000019a6 01090109 .....0.......... + 58400 010a0000 1e5d010a 00001daa 010a0000 .....].......... + 58410 1daa0106 6164665f 6f735f73 676c6973 ....adf_os_sglis + 58420 745f7400 000019e5 0400002a 3a040009 t_t........*:... + 58430 01090109 010a0000 19a60106 6164665f ............adf_ + 58440 6e627566 5f717565 75655f74 00000028 nbuf_queue_t...( + 58450 1e040000 2a620400 09010400 00280604 ....*b.......(.. + 58460 00090109 0109010a 0000295c 010a0000 ..........)\.... + 58470 27c5010a 00001930 010a0000 1930010a '......0.....0.. + 58480 00001daa 010a0000 1daa010a 000022c6 ..............". + 58490 010a0000 19300106 6164665f 6e627566 .....0..adf_nbuf + 584a0 5f72785f 636b7375 6d5f7400 00002734 _rx_cksum_t...'4 + 584b0 0400002a be040009 01090106 6164665f ...*........adf_ + 584c0 6e627566 5f74736f 5f740000 00275604 nbuf_tso_t...'V. + 584d0 00002ae2 04000901 09010661 64665f6e ..*........adf_n + 584e0 65745f68 616e646c 655f7400 0000023a et_handle_t....: + 584f0 06616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 58500 5f740000 0020d204 00002b17 04000a00 _t... ....+..... + 58510 00293e01 0a000029 3e010901 0901025f .)>....)>......_ + 58520 4849465f 434f4e46 49470004 00002b66 HIF_CONFIG....+f + 58530 0364756d 6d790000 0001f502 23000009 .dummy......#... + 58540 01040000 2b660400 09010400 002b6f04 ....+f.......+o. + 58550 00025f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. + 58560 0c00002b c4037365 6e645f62 75665f64 ...+..send_buf_d + 58570 6f6e6500 00002b68 02230003 72656376 one...+h.#..recv + 58580 5f627566 0000002b 71022304 03636f6e _buf...+q.#..con + 58590 74657874 00000002 3a022308 00066869 text....:.#...hi + 585a0 665f6861 6e646c65 5f740000 00023a06 f_handle_t....:. + 585b0 4849465f 434f4e46 49470000 002b4504 HIF_CONFIG...+E. + 585c0 00002bd6 04000a00 002bc401 0400002b ..+......+.....+ + 585d0 ed040009 01040000 2bfa0400 06484946 ........+....HIF + 585e0 5f43414c 4c424143 4b000000 2b780400 _CALLBACK...+x.. + 585f0 002c0304 00090104 00002c1c 04000a00 .,........,..... + 58600 0001f501 0400002c 25040009 01040000 .......,%....... + 58610 2c320400 0a000001 f5010400 002c3b04 ,2...........,;. + 58620 00090104 00002c48 04000a00 0001f501 ......,H........ + 58630 0400002c 51040009 01040000 2c5e0400 ...,Q.......,^.. + 58640 02686966 5f617069 00380000 2db7035f .hif_api.8..-.._ + 58650 696e6974 0000002b f3022300 035f7368 init...+..#.._sh + 58660 7574646f 776e0000 002bfc02 2304035f utdown...+..#.._ + 58670 72656769 73746572 5f63616c 6c626163 register_callbac + 58680 6b000000 2c1e0223 08035f67 65745f74 k...,..#.._get_t + 58690 6f74616c 5f637265 6469745f 636f756e otal_credit_coun + 586a0 74000000 2c2b0223 0c035f73 74617274 t...,+.#.._start + 586b0 0000002b fc022310 035f636f 6e666967 ...+..#.._config + 586c0 5f706970 65000000 2c340223 14035f73 _pipe...,4.#.._s + 586d0 656e645f 62756666 65720000 002c4102 end_buffer...,A. + 586e0 2318035f 72657475 726e5f72 6563765f #.._return_recv_ + 586f0 62756600 00002c4a 02231c03 5f69735f buf...,J.#.._is_ + 58700 70697065 5f737570 706f7274 65640000 pipe_supported.. + 58710 002c5702 2320035f 6765745f 6d61785f .,W.# ._get_max_ + 58720 6d73675f 6c656e00 00002c57 02232403 msg_len...,W.#$. + 58730 5f676574 5f726573 65727665 645f6865 _get_reserved_he + 58740 6164726f 6f6d0000 002c2b02 2328035f adroom...,+.#(._ + 58750 6973725f 68616e64 6c657200 00002bfc isr_handler...+. + 58760 02232c03 5f676574 5f646566 61756c74 .#,._get_default + 58770 5f706970 65000000 2c600223 30037052 _pipe...,`.#0.pR + 58780 65736572 76656400 0000023a 02233400 eserved....:.#4. + 58790 0f646d61 5f656e67 696e6500 0400002e .dma_engine..... + 587a0 4010444d 415f454e 47494e45 5f525830 @.DMA_ENGINE_RX0 + 587b0 00001044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 587c0 31000110 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R + 587d0 58320002 10444d41 5f454e47 494e455f X2...DMA_ENGINE_ + 587e0 52583300 0310444d 415f454e 47494e45 RX3...DMA_ENGINE + 587f0 5f545830 00041044 4d415f45 4e47494e _TX0...DMA_ENGIN + 58800 455f5458 31000510 444d415f 454e4749 E_TX1...DMA_ENGI + 58810 4e455f4d 41580006 0006646d 615f656e NE_MAX....dma_en + 58820 67696e65 5f740000 002db70f 646d615f gine_t...-..dma_ + 58830 69667479 70650004 00002e8d 10444d41 iftype.......DMA + 58840 5f49465f 474d4143 00001044 4d415f49 _IF_GMAC...DMA_I + 58850 465f5043 49000110 444d415f 49465f50 F_PCI...DMA_IF_P + 58860 43494500 02000664 6d615f69 66747970 CIE....dma_iftyp + 58870 655f7400 00002e52 0a000001 d3010400 e_t....R........ + 58880 002e9f04 00090104 00002eac 04000901 ................ + 58890 0400002e b504000a 00000ed1 01040000 ................ + 588a0 2ebe0400 0a000001 d3010400 002ecb04 ................ + 588b0 000a0000 01d30104 00002ed8 04000a00 ................ + 588c0 00033101 0400002e e5040009 01040000 ..1............. + 588d0 2ef20400 02646d61 5f6c6962 5f617069 .....dma_lib_api + 588e0 00340000 2ff90374 785f696e 69740000 .4../..tx_init.. + 588f0 002ea502 23000374 785f7374 61727400 ....#..tx_start. + 58900 00002eae 02230403 72785f69 6e697400 .....#..rx_init. + 58910 00002ea5 02230803 72785f63 6f6e6669 .....#..rx_confi + 58920 67000000 2eb70223 0c037278 5f737461 g......#..rx_sta + 58930 72740000 002eae02 23100369 6e74725f rt......#..intr_ + 58940 73746174 75730000 002ec402 23140368 status......#..h + 58950 6172645f 786d6974 0000002e d1022318 ard_xmit......#. + 58960 03666c75 73685f78 6d697400 00002eae .flush_xmit..... + 58970 02231c03 786d6974 5f646f6e 65000000 .#..xmit_done... + 58980 2ede0223 20037265 61705f78 6d697474 ...# .reap_xmitt + 58990 65640000 002eeb02 23240372 6561705f ed......#$.reap_ + 589a0 72656376 0000002e eb022328 03726574 recv......#(.ret + 589b0 75726e5f 72656376 0000002e f402232c urn_recv......#, + 589c0 03726563 765f706b 74000000 2ede0223 .recv_pkt......# + 589d0 3000025f 5f706369 5f736f66 7463000c 0..__pci_softc.. + 589e0 00003017 03737700 00002c03 02230000 ..0..sw...,..#.. + 589f0 065f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. + 58a00 002ff904 00003017 04000901 04000030 ./....0........0 + 58a10 3104000a 000001a9 01040000 303a0400 1...........0:.. + 58a20 0f686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx + 58a30 00040000 309a1048 49465f50 43495f50 ....0..HIF_PCI_P + 58a40 4950455f 54583000 00104849 465f5043 IPE_TX0...HIF_PC + 58a50 495f5049 50455f54 58310001 10484946 I_PIPE_TX1...HIF + 58a60 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX + 58a70 00020006 6869665f 7063695f 70697065 ....hif_pci_pipe + 58a80 5f74785f 74000000 30470a00 002e4001 _tx_t...0G....@. + 58a90 04000030 b104000f 6869665f 7063695f ...0....hif_pci_ + 58aa0 70697065 5f727800 04000031 37104849 pipe_rx....17.HI + 58ab0 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. + 58ac0 10484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 58ad0 31000110 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 58ae0 5f525832 00021048 49465f50 43495f50 _RX2...HIF_PCI_P + 58af0 4950455f 52583300 03104849 465f5043 IPE_RX3...HIF_PC + 58b00 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... + 58b10 06686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 58b20 5f740000 0030be0a 00002e40 01040000 _t...0.....@.... + 58b30 314e0400 02686966 5f706369 5f617069 1N...hif_pci_api + 58b40 00240000 322c0370 63695f62 6f6f745f .$..2,.pci_boot_ + 58b50 696e6974 00000007 04022300 03706369 init......#..pci + 58b60 5f696e69 74000000 2bf30223 04037063 _init...+..#..pc + 58b70 695f7265 73657400 00000704 02230803 i_reset......#.. + 58b80 7063695f 656e6162 6c650000 00070402 pci_enable...... + 58b90 230c0370 63695f72 6561705f 786d6974 #..pci_reap_xmit + 58ba0 74656400 00003033 02231003 7063695f ted...03.#..pci_ + 58bb0 72656170 5f726563 76000000 30330223 reap_recv...03.# + 58bc0 14037063 695f6765 745f7069 70650000 ..pci_get_pipe.. + 58bd0 00304002 23180370 63695f67 65745f74 .0@.#..pci_get_t + 58be0 785f656e 67000000 30b70223 1c037063 x_eng...0..#..pc + 58bf0 695f6765 745f7278 5f656e67 00000031 i_get_rx_eng...1 + 58c00 54022320 0002676d 61635f61 70690004 T.# ..gmac_api.. + 58c10 00003253 03676d61 635f626f 6f745f69 ..2S.gmac_boot_i + 58c20 6e697400 00000704 02230000 07000001 nit......#...... + 58c30 98060000 32600805 00025f5f 65746868 ....2`....__ethh + 58c40 6472000e 00003296 03647374 00000032 dr....2..dst...2 + 58c50 53022300 03737263 00000032 53022306 S.#..src...2S.#. + 58c60 03657479 70650000 0001d302 230c0002 .etype......#... + 58c70 5f5f6174 68686472 00040000 32e41572 __athhdr....2..r + 58c80 65730000 0001a901 00020223 00157072 es.........#..pr + 58c90 6f746f00 000001a9 01020602 23000372 oto.........#..r + 58ca0 65735f6c 6f000000 01a90223 01037265 es_lo......#..re + 58cb0 735f6869 00000001 d3022302 00025f5f s_hi......#...__ + 58cc0 676d6163 5f686472 00140000 33200365 gmac_hdr....3 .e + 58cd0 74680000 00326002 23000361 74680000 th...2`.#..ath.. + 58ce0 00329602 230e0361 6c69676e 5f706164 .2..#..align_pad + 58cf0 00000001 d3022312 00065f5f 676d6163 ......#...__gmac + 58d00 5f686472 5f740000 0032e402 5f5f676d _hdr_t...2..__gm + 58d10 61635f73 6f667463 00240000 336a0368 ac_softc.$..3j.h + 58d20 64720000 00332002 23000367 72616e00 dr...3 .#..gran. + 58d30 000001d3 02231403 73770000 002c0302 .....#..sw...,.. + 58d40 2318000e 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag + 58d50 655f6368 65636b00 00010400 00336a04 e_check......3j. + 58d60 000a0000 01f50104 00003388 04000400 ..........3..... + 58d70 0009bd04 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 58d80 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 58d90 0001b800 0034d803 68616c5f 6c696e6b .....4..hal_link + 58da0 6167655f 63686563 6b000000 338e0223 age_check...3..# + 58db0 00037374 6172745f 62737300 00003395 ..start_bss...3. + 58dc0 02230403 6170705f 73746172 74000000 .#..app_start... + 58dd0 07040223 08036d65 6d000000 09fd0223 ...#..mem......# + 58de0 0c036d69 73630000 000b1c02 23200370 ..misc......# .p + 58df0 72696e74 66000000 07310223 44037561 rintf....1.#D.ua + 58e00 72740000 0007cf02 234c0367 6d616300 rt......#L.gmac. + 58e10 0000322c 02236c03 75736200 00001542 ..2,.#l.usb....B + 58e20 02237003 636c6f63 6b000000 108b0323 .#p.clock......# + 58e30 e0010374 696d6572 0000000d 34032384 ...timer....4.#. + 58e40 0203696e 74720000 0011db03 23980203 ..intr......#... + 58e50 616c6c6f 6372616d 0000000e ec0323c4 allocram......#. + 58e60 0203726f 6d700000 000dde03 23d00203 ..romp......#... + 58e70 7764745f 74696d65 72000000 13b80323 wdt_timer......# + 58e80 e0020365 65700000 0014e603 23fc0203 ...eep......#... + 58e90 73747269 6e670000 000c4003 238c0303 string....@.#... + 58ea0 7461736b 6c657400 00000fe9 0323a403 tasklet......#.. + 58eb0 00025f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 58ec0 49470010 0000354b 03676574 5f636f6d IG....5K.get_com + 58ed0 6d616e64 5f627566 00000003 3e022300 mand_buf....>.#. + 58ee0 03726563 765f636f 6d6d616e 64000000 .recv_command... + 58ef0 03540223 04036765 745f6576 656e745f .T.#..get_event_ + 58f00 62756600 0000033e 02230803 73656e64 buf....>.#..send + 58f10 5f657665 6e745f64 6f6e6500 00000354 _event_done....T + 58f20 02230c00 06555342 5f464946 4f5f434f .#...USB_FIFO_CO + 58f30 4e464947 00000034 d8040000 354b0400 NFIG...4....5K.. + 58f40 09010400 00356704 00027573 62666966 .....5g...usbfif + 58f50 6f5f6170 69000c00 0035bd03 5f696e69 o_api....5.._ini + 58f60 74000000 35690223 00035f65 6e61626c t...5i.#.._enabl + 58f70 655f6576 656e745f 69737200 00000704 e_event_isr..... + 58f80 02230403 70526573 65727665 64000000 .#..pReserved... + 58f90 023a0223 08000700 00199702 000035ca .:.#..........5. + 58fa0 08010002 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 58fb0 44520008 0000363c 03456e64 706f696e DR....6<.Endpoin + 58fc0 74494400 00001997 02230003 466c6167 tID......#..Flag + 58fd0 73000000 19970223 01035061 796c6f61 s......#..Payloa + 58fe0 644c656e 0000001f bf022302 03436f6e dLen......#..Con + 58ff0 74726f6c 42797465 73000000 35bd0223 trolBytes...5..# + 59000 0403486f 73745365 714e756d 0000001f ..HostSeqNum.... + 59010 bf022306 00120200 00365503 4d657373 ..#......6U.Mess + 59020 61676549 44000000 1fbf0223 00001208 ageID......#.... + 59030 000036b8 034d6573 73616765 49440000 ..6..MessageID.. + 59040 001fbf02 23000343 72656469 74436f75 ....#..CreditCou + 59050 6e740000 001fbf02 23020343 72656469 nt......#..Credi + 59060 7453697a 65000000 1fbf0223 04034d61 tSize......#..Ma + 59070 78456e64 706f696e 74730000 00199702 xEndpoints...... + 59080 2306035f 50616431 00000019 97022307 #.._Pad1......#. + 59090 00120a00 00374f03 4d657373 61676549 .....7O.MessageI + 590a0 44000000 1fbf0223 00035365 72766963 D......#..Servic + 590b0 65494400 00001fbf 02230203 436f6e6e eID......#..Conn + 590c0 65637469 6f6e466c 61677300 00001fbf ectionFlags..... + 590d0 02230403 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 590e0 49440000 00199702 23060355 704c696e ID......#..UpLin + 590f0 6b506970 65494400 00001997 02230703 kPipeID......#.. + 59100 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 59110 68000000 19970223 08035f50 61643100 h......#.._Pad1. + 59120 00001997 02230900 120a0000 37d7034d .....#......7..M + 59130 65737361 67654944 0000001f bf022300 essageID......#. + 59140 03536572 76696365 49440000 001fbf02 .ServiceID...... + 59150 23020353 74617475 73000000 19970223 #..Status......# + 59160 0403456e 64706f69 6e744944 00000019 ..EndpointID.... + 59170 97022305 034d6178 4d736753 697a6500 ..#..MaxMsgSize. + 59180 00001fbf 02230603 53657276 6963654d .....#..ServiceM + 59190 6574614c 656e6774 68000000 19970223 etaLength......# + 591a0 08035f50 61643100 00001997 02230900 .._Pad1......#.. + 591b0 12020000 37f0034d 65737361 67654944 ....7..MessageID + 591c0 0000001f bf022300 00120400 00382c03 ......#......8,. + 591d0 4d657373 61676549 44000000 1fbf0223 MessageID......# + 591e0 00035069 70654944 00000019 97022302 ..PipeID......#. + 591f0 03437265 64697443 6f756e74 00000019 .CreditCount.... + 59200 97022303 00120400 00386303 4d657373 ..#......8c.Mess + 59210 61676549 44000000 1fbf0223 00035069 ageID......#..Pi + 59220 70654944 00000019 97022302 03537461 peID......#..Sta + 59230 74757300 00001997 02230300 12020000 tus......#...... + 59240 388a0352 65636f72 64494400 00001997 8..RecordID..... + 59250 02230003 4c656e67 74680000 00199702 .#..Length...... + 59260 23010012 02000038 b403456e 64706f69 #......8..Endpoi + 59270 6e744944 00000019 97022300 03437265 ntID......#..Cre + 59280 64697473 00000019 97022301 00120400 dits......#..... + 59290 0038f503 456e6470 6f696e74 49440000 .8..EndpointID.. + 592a0 00199702 23000343 72656469 74730000 ....#..Credits.. + 592b0 00199702 23010354 67744372 65646974 ....#..TgtCredit + 592c0 5365714e 6f000000 1fbf0223 02000700 SeqNo......#.... + 592d0 00199704 00003902 08030012 06000039 ......9........9 + 592e0 3e035072 6556616c 69640000 00199702 >.PreValid...... + 592f0 2300034c 6f6f6b41 68656164 00000038 #..LookAhead...8 + 59300 f5022301 03506f73 7456616c 69640000 ..#..PostValid.. + 59310 00199702 23050006 706f6f6c 5f68616e ....#...pool_han + 59320 646c655f 74000000 023a0a00 00393e01 dle_t....:...9>. + 59330 04000039 51040009 01040000 395e0400 ...9Q.......9^.. + 59340 14040000 39dc1050 4f4f4c5f 49445f48 ....9..POOL_ID_H + 59350 54435f43 4f4e5452 4f4c0000 10504f4f TC_CONTROL...POO + 59360 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 59370 5f524550 4c590001 10504f4f 4c5f4944 _REPLY...POOL_ID + 59380 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 59390 10504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 593a0 5f425546 00031050 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 593b0 4158000a 00064255 465f504f 4f4c5f49 AX....BUF_POOL_I + 593c0 44000000 39670901 04000039 ed04000a D...9g.....9.... + 593d0 0000295c 01040000 39f60400 0a000029 ..)\....9......) + 593e0 5c010400 003a0304 00090104 00003a10 \....:........:. + 593f0 04000262 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 59400 1c00003a b2035f69 6e697400 00003957 ...:.._init...9W + 59410 02230003 5f736875 74646f77 6e000000 .#.._shutdown... + 59420 39600223 04035f63 72656174 655f706f 9`.#.._create_po + 59430 6f6c0000 0039ef02 2308035f 616c6c6f ol...9..#.._allo + 59440 635f6275 66000000 39fc0223 0c035f61 c_buf...9..#.._a + 59450 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 59460 003a0902 2310035f 66726565 5f627566 .:..#.._free_buf + 59470 0000003a 12022314 03705265 73657276 ...:..#..pReserv + 59480 65640000 00023a02 23180002 5f485443 ed....:.#..._HTC + 59490 5f534552 56494345 001c0000 3b910370 _SERVICE....;..p + 594a0 4e657874 0000003b 91022300 0350726f Next...;..#..Pro + 594b0 63657373 52656376 4d736700 00003c46 cessRecvMsg....#..._HTC + 596c0 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 596d0 3d1e0365 6e645f70 6f696e74 00000001 =..end_point.... + 596e0 a9022300 03687463 5f666c61 67730000 ..#..htc_flags.. + 596f0 0001a902 23010006 6874635f 68616e64 ....#...htc_hand + 59700 6c655f74 00000002 3a064854 435f5345 le_t....:.HTC_SE + 59710 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 59720 00000704 06485443 5f434f4e 46494700 .....HTC_CONFIG. + 59730 00003c71 0400003d 4b04000a 00003d1e ...#..MaxCmdRep + 59b40 6c794576 74730000 0001f502 2308034d lyEvts......#..M + 59b50 61784576 656e7445 76747300 000001f5 axEventEvts..... + 59b60 02230c00 09010400 00418b04 0006574d .#.......A....WM + 59b70 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 59b80 418d025f 574d495f 44495350 41544348 A.._WMI_DISPATCH + 59b90 5f454e54 52590008 000041f4 0370436d _ENTRY....A..pCm + 59ba0 6448616e 646c6572 00000041 94022300 dHandler...A..#. + 59bb0 03436d64 49440000 0001d302 23040346 .CmdID......#..F + 59bc0 6c616773 00000001 d3022306 00025f57 lags......#..._W + 59bd0 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 59be0 45001000 00425503 704e6578 74000000 E....BU.pNext... + 59bf0 42550223 00037043 6f6e7465 78740000 BU.#..pContext.. + 59c00 00023a02 2304034e 756d6265 724f6645 ..:.#..NumberOfE + 59c10 6e747269 65730000 0001f502 23080370 ntries......#..p + 59c20 5461626c 65000000 42740223 0c000400 Table...Bt.#.... + 59c30 0041f404 0006574d 495f4449 53504154 .A....WMI_DISPAT + 59c40 43485f45 4e545259 00000041 a9040000 CH_ENTRY...A.... + 59c50 425c0400 04000041 f4040006 4854435f B\.....A....HTC_ + 59c60 4255465f 434f4e54 45585400 00003ce2 BUF_CONTEXT...<. + 59c70 0f574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 59c80 0000430c 19574d49 5f455654 5f434c41 ..C..WMI_EVT_CLA + 59c90 53535f4e 4f4e4500 ffffffff 10574d49 SS_NONE......WMI + 59ca0 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 59cb0 56454e54 00001057 4d495f45 56545f43 VENT...WMI_EVT_C + 59cc0 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 59cd0 10574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 59ce0 41580002 0006574d 495f4556 545f434c AX....WMI_EVT_CL + 59cf0 41535300 00004297 025f574d 495f4255 ASS...B.._WMI_BU + 59d00 465f434f 4e544558 54000c00 00436a03 F_CONTEXT....Cj. + 59d10 48746342 75664374 78000000 42820223 HtcBufCtx...B..# + 59d20 00034576 656e7443 6c617373 00000043 ..EventClass...C + 59d30 0c022304 03466c61 67730000 0001d302 ..#..Flags...... + 59d40 23080006 776d695f 68616e64 6c655f74 #...wmi_handle_t + 59d50 00000002 3a06574d 495f5356 435f434f ....:.WMI_SVC_CO + 59d60 4e464947 00000041 22040000 437c0400 NFIG...A"...C|.. + 59d70 0a000043 6a010400 00439704 0006574d ...Cj....C....WM + 59d80 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 59d90 00000041 f4040000 43a40400 09010400 ...A....C....... + 59da0 0043c304 000a0000 295c0104 000043cc .C......)\....C. + 59db0 04000901 04000043 d904000a 000001f5 .......C........ + 59dc0 01040000 43e20400 09010400 0043ef04 ....C........C.. + 59dd0 000a0000 01a90104 000043f8 0400025f ..........C...._ + 59de0 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 59df0 4540035f 574d495f 496e6974 00000043 E@._WMI_Init...C + 59e00 9d022300 035f574d 495f5265 67697374 ..#.._WMI_Regist + 59e10 65724469 73706174 63685461 626c6500 erDispatchTable. + 59e20 000043c5 02230403 5f574d49 5f416c6c ..C..#.._WMI_All + 59e30 6f634576 656e7400 000043d2 02230803 ocEvent...C..#.. + 59e40 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 59e50 0043db02 230c035f 574d495f 47657450 .C..#.._WMI_GetP + 59e60 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 59e70 74000000 43e80223 10035f57 4d495f53 t...C..#.._WMI_S + 59e80 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 59e90 65720000 003c4f02 2314035f 574d495f er....#.._enable + 5d970 5f657665 6e745f69 73720000 0003c402 _event_isr...... + 5d980 23040370 52657365 72766564 00000002 #..pReserved.... + 5d990 2c022308 00070000 166c0200 00329f08 ,.#......l...2.. + 5d9a0 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 5d9b0 52000800 00331103 456e6470 6f696e74 R....3..Endpoint + 5d9c0 49440000 00166c02 23000346 6c616773 ID....l.#..Flags + 5d9d0 00000016 6c022301 03506179 6c6f6164 ....l.#..Payload + 5d9e0 4c656e00 00001c94 02230203 436f6e74 Len......#..Cont + 5d9f0 726f6c42 79746573 00000032 92022304 rolBytes...2..#. + 5da00 03486f73 74536571 4e756d00 00001c94 .HostSeqNum..... + 5da10 02230600 12020000 332a034d 65737361 .#......3*.Messa + 5da20 67654944 0000001c 94022300 00120800 geID......#..... + 5da30 00338d03 4d657373 61676549 44000000 .3..MessageID... + 5da40 1c940223 00034372 65646974 436f756e ...#..CreditCoun + 5da50 74000000 1c940223 02034372 65646974 t......#..Credit + 5da60 53697a65 0000001c 94022304 034d6178 Size......#..Max + 5da70 456e6470 6f696e74 73000000 166c0223 Endpoints....l.# + 5da80 06035f50 61643100 0000166c 02230700 .._Pad1....l.#.. + 5da90 120a0000 3424034d 65737361 67654944 ....4$.MessageID + 5daa0 0000001c 94022300 03536572 76696365 ......#..Service + 5dab0 49440000 001c9402 23020343 6f6e6e65 ID......#..Conne + 5dac0 6374696f 6e466c61 67730000 001c9402 ctionFlags...... + 5dad0 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 5dae0 44000000 166c0223 06035570 4c696e6b D....l.#..UpLink + 5daf0 50697065 49440000 00166c02 23070353 PipeID....l.#..S + 5db00 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 5db10 00000016 6c022308 035f5061 64310000 ....l.#.._Pad1.. + 5db20 00166c02 23090012 0a000034 ac034d65 ..l.#......4..Me + 5db30 73736167 65494400 00001c94 02230003 ssageID......#.. + 5db40 53657276 69636549 44000000 1c940223 ServiceID......# + 5db50 02035374 61747573 00000016 6c022304 ..Status....l.#. + 5db60 03456e64 706f696e 74494400 0000166c .EndpointID....l + 5db70 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 5db80 001c9402 23060353 65727669 63654d65 ....#..ServiceMe + 5db90 74614c65 6e677468 00000016 6c022308 taLength....l.#. + 5dba0 035f5061 64310000 00166c02 23090012 ._Pad1....l.#... + 5dbb0 02000034 c5034d65 73736167 65494400 ...4..MessageID. + 5dbc0 00001c94 02230000 12040000 3501034d .....#......5..M + 5dbd0 65737361 67654944 0000001c 94022300 essageID......#. + 5dbe0 03506970 65494400 0000166c 02230203 .PipeID....l.#.. + 5dbf0 43726564 6974436f 756e7400 0000166c CreditCount....l + 5dc00 02230300 12040000 3538034d 65737361 .#......58.Messa + 5dc10 67654944 0000001c 94022300 03506970 geID......#..Pip + 5dc20 65494400 0000166c 02230203 53746174 eID....l.#..Stat + 5dc30 75730000 00166c02 23030012 02000035 us....l.#......5 + 5dc40 5f035265 636f7264 49440000 00166c02 _.RecordID....l. + 5dc50 2300034c 656e6774 68000000 166c0223 #..Length....l.# + 5dc60 01001202 00003589 03456e64 706f696e ......5..Endpoin + 5dc70 74494400 0000166c 02230003 43726564 tID....l.#..Cred + 5dc80 69747300 0000166c 02230100 12040000 its....l.#...... + 5dc90 35ca0345 6e64706f 696e7449 44000000 5..EndpointID... + 5dca0 166c0223 00034372 65646974 73000000 .l.#..Credits... + 5dcb0 166c0223 01035467 74437265 64697453 .l.#..TgtCreditS + 5dcc0 65714e6f 0000001c 94022302 00070000 eqNo......#..... + 5dcd0 166c0400 0035d708 03001206 00003613 .l...5........6. + 5dce0 03507265 56616c69 64000000 166c0223 .PreValid....l.# + 5dcf0 00034c6f 6f6b4168 65616400 000035ca ..LookAhead...5. + 5dd00 02230103 506f7374 56616c69 64000000 .#..PostValid... + 5dd10 166c0223 05000670 6f6f6c5f 68616e64 .l.#...pool_hand + 5dd20 6c655f74 00000002 2c0a0000 36130104 le_t....,...6... + 5dd30 00003626 04000901 04000036 33040014 ..6&.......63... + 5dd40 04000036 b110504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 5dd50 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL + 5dd60 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 5dd70 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ + 5dd80 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... + 5dd90 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 5dda0 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 5ddb0 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 5ddc0 00000036 3c090104 000036c2 04000a00 ...6<.....6..... + 5ddd0 00263101 04000036 cb04000a 00002631 .&1....6......&1 + 5dde0 01040000 36d80400 09010400 0036e504 ....6........6.. + 5ddf0 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 5de00 00003787 035f696e 69740000 00362c02 ..7.._init...6,. + 5de10 2300035f 73687574 646f776e 00000036 #.._shutdown...6 + 5de20 35022304 035f6372 65617465 5f706f6f 5.#.._create_poo + 5de30 6c000000 36c40223 08035f61 6c6c6f63 l...6..#.._alloc + 5de40 5f627566 00000036 d102230c 035f616c _buf...6..#.._al + 5de50 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 5de60 36de0223 10035f66 7265655f 62756600 6..#.._free_buf. + 5de70 000036e7 02231403 70526573 65727665 ..6..#..pReserve + 5de80 64000000 022c0223 1800025f 4854435f d....,.#..._HTC_ + 5de90 53455256 49434500 1c000038 6603704e SERVICE....8f.pN + 5dea0 65787400 00003866 02230003 50726f63 ext...8f.#..Proc + 5deb0 65737352 6563764d 73670000 00391b02 essRecvMsg...9.. + 5dec0 23040350 726f6365 73735365 6e644275 #..ProcessSendBu + 5ded0 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 5dee0 24022308 0350726f 63657373 436f6e6e $.#..ProcessConn + 5def0 65637400 00003938 02230c03 53657276 ect...98.#..Serv + 5df00 69636549 44000000 01c50223 10035365 iceID......#..Se + 5df10 72766963 65466c61 67730000 0001c502 rviceFlags...... + 5df20 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 5df30 00000001 c5022314 03547261 696c6572 ......#..Trailer + 5df40 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 5df50 01c50223 16035365 72766963 65437478 ...#..ServiceCtx + 5df60 00000002 2c022318 00040000 37870400 ....,.#.....7... + 5df70 14040000 39041945 4e44504f 494e545f ....9..ENDPOINT_ + 5df80 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP + 5df90 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT + 5dfa0 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... + 5dfb0 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP + 5dfc0 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT + 5dfd0 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... + 5dfe0 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP + 5dff0 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT + 5e000 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP + 5e010 4f494e54 5f494400 0000386d 09010400 OINT_ID...8m.... + 5e020 00391904 00090104 00003922 04000400 .9........9".... + 5e030 0001e704 000a0000 019b0104 00003932 ..............92 + 5e040 04000400 00378704 00025f48 54435f43 .....7...._HTC_C + 5e050 4f4e4649 47001400 0039b703 43726564 ONFIG....9..Cred + 5e060 69745369 7a650000 0001e702 23000343 itSize......#..C + 5e070 72656469 744e756d 62657200 000001e7 reditNumber..... + 5e080 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... + 5e090 30022308 03484946 48616e64 6c650000 0.#..HIFHandle.. + 5e0a0 00289902 230c0350 6f6f6c48 616e646c .(..#..PoolHandl + 5e0b0 65000000 36130223 1000025f 4854435f e...6..#..._HTC_ + 5e0c0 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 + 5e0d0 f303656e 645f706f 696e7400 0000019b ..end_point..... + 5e0e0 02230003 6874635f 666c6167 73000000 .#..htc_flags... + 5e0f0 019b0223 01000668 74635f68 616e646c ...#...htc_handl + 5e100 655f7400 0000022c 06485443 5f534554 e_t....,.HTC_SET + 5e110 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 5e120 0003c406 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 5e130 00394604 00003a20 04000a00 0039f301 .9F...: .....9.. + 5e140 0400003a 37040009 01040000 3a440400 ...:7.......:D.. + 5e150 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 5e160 87040000 3a4d0400 09010400 003a6504 ....:M.......:e. + 5e170 00090104 00003a6e 04000901 0400003a ......:n.......: + 5e180 7704000a 000001e7 01040000 3a800400 w...........:... + 5e190 02687463 5f617069 73003400 003bfd03 .htc_apis.4..;.. + 5e1a0 5f485443 5f496e69 74000000 3a3d0223 _HTC_Init...:=.# + 5e1b0 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 5e1c0 00003a46 02230403 5f485443 5f526567 ..:F.#.._HTC_Reg + 5e1d0 69737465 72536572 76696365 0000003a isterService...: + 5e1e0 67022308 035f4854 435f5265 61647900 g.#.._HTC_Ready. + 5e1f0 00003a46 02230c03 5f485443 5f526574 ..:F.#.._HTC_Ret + 5e200 75726e42 75666665 72730000 003a7002 urnBuffers...:p. + 5e210 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 5e220 66666572 734c6973 74000000 3a790223 ffersList...:y.# + 5e230 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 5e240 003a7002 2318035f 4854435f 47657452 .:p.#.._HTC_GetR + 5e250 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 5e260 00003a86 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg + 5e270 52656376 48616e64 6c657200 00002846 RecvHandler...(F + 5e280 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 5e290 6548616e 646c6572 00000028 3d022324 eHandler...(=.#$ + 5e2a0 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 5e2b0 50726f63 6573734d 73670000 00391b02 ProcessMsg...9.. + 5e2c0 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 5e2d0 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 5e2e0 706c6574 65000000 39240223 2c037052 plete...9$.#,.pR + 5e2f0 65736572 76656400 0000022c 02233000 eserved....,.#0. + 5e300 02686f73 745f6170 705f6172 65615f73 .host_app_area_s + 5e310 00040000 3c2d0377 6d695f70 726f746f ....<-.wmi_proto + 5e320 636f6c5f 76657200 00001605 02230000 col_ver......#.. + 5e330 120e0000 3c640364 73744d61 63000000 ....` + 5e510 03487463 48616e64 6c650000 0039f302 .HtcHandle...9.. + 5e520 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... + 5e530 36130223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl + 5e540 79457674 73000000 01e70223 08034d61 yEvts......#..Ma + 5e550 78457665 6e744576 74730000 0001e702 xEventEvts...... + 5e560 230c0009 01040000 3e600400 06574d49 #.......>`...WMI + 5e570 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 5e580 62025f57 4d495f44 49535041 5443485f b._WMI_DISPATCH_ + 5e590 454e5452 59000800 003ec903 70436d64 ENTRY....>..pCmd + 5e5a0 48616e64 6c657200 00003e69 02230003 Handler...>i.#.. + 5e5b0 436d6449 44000000 01c50223 0403466c CmdID......#..Fl + 5e5c0 61677300 000001c5 02230600 025f574d ags......#..._WM + 5e5d0 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 5e5e0 00100000 3f2a0370 4e657874 0000003f ....?*.pNext...? + 5e5f0 2a022300 0370436f 6e746578 74000000 *.#..pContext... + 5e600 022c0223 04034e75 6d626572 4f66456e .,.#..NumberOfEn + 5e610 74726965 73000000 01e70223 08037054 tries......#..pT + 5e620 61626c65 0000003f 4902230c 00040000 able...?I.#..... + 5e630 3ec90400 06574d49 5f444953 50415443 >....WMI_DISPATC + 5e640 485f454e 54525900 00003e7e 0400003f H_ENTRY...>~...? + 5e650 31040004 00003ec9 04000648 54435f42 1.....>....HTC_B + 5e660 55465f43 4f4e5445 58540000 0039b70f UF_CONTEXT...9.. + 5e670 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 5e680 003fe119 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 5e690 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ + 5e6a0 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 5e6b0 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL + 5e6c0 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... + 5e6d0 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 5e6e0 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 5e6f0 53530000 003f6c02 5f574d49 5f425546 SS...?l._WMI_BUF + 5e700 5f434f4e 54455854 000c0000 403f0348 _CONTEXT....@?.H + 5e710 74634275 66437478 0000003f 57022300 tcBufCtx...?W.#. + 5e720 03457665 6e74436c 61737300 00003fe1 .EventClass...?. + 5e730 02230403 466c6167 73000000 01c50223 .#..Flags......# + 5e740 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 5e750 0000022c 06574d49 5f535643 5f434f4e ...,.WMI_SVC_CON + 5e760 46494700 00003df7 04000040 5104000a FIG...=....@Q... + 5e770 0000403f 01040000 406c0400 06574d49 ..@?....@l...WMI + 5e780 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 5e790 00003ec9 04000040 79040009 01040000 ..>....@y....... + 5e7a0 40980400 0a000026 31010400 0040a104 @......&1....@.. + 5e7b0 00090104 000040ae 04000a00 0001e701 ......@......... + 5e7c0 04000040 b7040009 01040000 40c40400 ...@........@... + 5e7d0 0a000001 9b010400 0040cd04 00025f77 .........@...._w + 5e7e0 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 5e7f0 15035f57 4d495f49 6e697400 00004072 .._WMI_Init...@r + 5e800 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe + 5e810 72446973 70617463 68546162 6c650000 rDispatchTable.. + 5e820 00409a02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo + 5e830 63457665 6e740000 0040a702 2308035f cEvent...@..#.._ + 5e840 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 5e850 40b00223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe + 5e860 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 5e870 00000040 bd022310 035f574d 495f5365 ...@..#.._WMI_Se + 5e880 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 5e890 72000000 39240223 14035f57 4d495f47 r...9$.#.._WMI_G + 5e8a0 6574436f 6e74726f 6c457000 000040bd etControlEp...@. + 5e8b0 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 5e8c0 6e000000 40c60223 1c035f57 4d495f52 n...@..#.._WMI_R + 5e8d0 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 5e8e0 72000000 391b0223 20035f57 4d495f53 r...9..# ._WMI_S + 5e8f0 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 5e900 40d30223 24037052 65736572 76656400 @..#$.pReserved. + 5e910 0000022c 02232800 027a7344 6d614465 ...,.#(..zsDmaDe + 5e920 73630014 00004297 03637472 6c000000 sc....B..ctrl... + 5e930 01af0223 00037374 61747573 00000001 ...#..status.... + 5e940 af022302 03746f74 616c4c65 6e000000 ..#..totalLen... + 5e950 01af0223 04036461 74615369 7a650000 ...#..dataSize.. + 5e960 0001af02 2306036c 61737441 64647200 ....#..lastAddr. + 5e970 00004297 02230803 64617461 41646472 ..B..#..dataAddr + 5e980 00000004 3602230c 036e6578 74416464 ....6.#..nextAdd + 5e990 72000000 42970223 10000400 00421504 r...B..#.....B.. + 5e9a0 00040000 42150400 027a7344 6d615175 ....B....zsDmaQu + 5e9b0 65756500 08000042 d7036865 61640000 eue....B..head.. + 5e9c0 00429e02 23000374 65726d69 6e61746f .B..#..terminato + 5e9d0 72000000 429e0223 0400027a 73547844 r...B..#...zsTxD + 5e9e0 6d615175 65756500 10000043 3b036865 maQueue....C;.he + 5e9f0 61640000 00429e02 23000374 65726d69 ad...B..#..termi + 5ea00 6e61746f 72000000 429e0223 0403786d nator...B..#..xm + 5ea10 69746564 5f627566 5f686561 64000000 ited_buf_head... + 5ea20 03230223 0803786d 69746564 5f627566 .#.#..xmited_buf + 5ea30 5f746169 6c000000 03230223 0c000901 _tail....#.#.... + 5ea40 04000043 3b040004 000042a5 04000901 ...C;.....B..... + 5ea50 04000043 4b040004 000042d7 04000901 ...CK.....B..... + 5ea60 04000043 5b040009 01040000 43640400 ...C[.......Cd.. + 5ea70 09010400 00436d04 000a0000 03230104 .....Cm......#.. + 5ea80 00004376 04000901 04000043 8304000a ..Cv.......C.... + 5ea90 00000323 01040000 438c0400 09010400 ...#....C....... + 5eaa0 00439904 000a0000 01e70104 000043a2 .C............C. + 5eab0 04000a00 00429e01 04000043 af040009 .....B.....C.... + 5eac0 01040000 43bc0400 02646d61 5f656e67 ....C....dma_eng + 5ead0 696e655f 61706900 40000045 32035f69 ine_api.@..E2._i + 5eae0 6e697400 0000433d 02230003 5f696e69 nit...C=.#.._ini + 5eaf0 745f7278 5f717565 75650000 00434d02 t_rx_queue...CM. + 5eb00 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu + 5eb10 65000000 435d0223 08035f63 6f6e6669 e...C].#.._confi + 5eb20 675f7278 5f717565 75650000 00436602 g_rx_queue...Cf. + 5eb30 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C + 5eb40 6f022310 035f666c 7573685f 786d6974 o.#.._flush_xmit + 5eb50 00000043 4d022314 035f7265 61705f72 ...CM.#.._reap_r + 5eb60 6563765f 62756600 0000437c 02231803 ecv_buf...C|.#.. + 5eb70 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 5eb80 00000043 8502231c 035f7265 61705f78 ...C..#.._reap_x + 5eb90 6d697465 645f6275 66000000 43920223 mited_buf...C..# + 5eba0 20035f73 7761705f 64617461 00000043 ._swap_data...C + 5ebb0 9b022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ + 5ebc0 7061636b 65747300 000043a8 02232803 packets...C..#(. + 5ebd0 5f646573 635f6475 6d700000 00434d02 _desc_dump...CM. + 5ebe0 232c035f 6765745f 7061636b 65740000 #,._get_packet.. + 5ebf0 0043b502 2330035f 7265636c 61696d5f .C..#0._reclaim_ + 5ec00 7061636b 65740000 0043be02 2334035f packet...C..#4._ + 5ec10 7075745f 7061636b 65740000 0043be02 put_packet...C.. + 5ec20 23380370 52657365 72766564 00000002 #8.pReserved.... + 5ec30 2c02233c 00065f41 5f636d6e 6f735f69 ,.#<.._A_cmnos_i + 5ec40 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 5ec50 5f740000 00307106 574d495f 5356435f _t...0q.WMI_SVC_ + 5ec60 41504953 00000040 da175f41 5f6d6167 APIS...@.._A_mag + 5ec70 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 5ec80 7461626c 6500034c 00004660 03636d6e table..L..F`.cmn + 5ec90 6f730000 00453202 23000364 62670000 os...E2.#..dbg.. + 5eca0 00065f03 23b80303 68696600 0000293c .._.#...hif...)< + 5ecb0 0323c003 03687463 0000003a 8d0323f8 .#...htc...:..#. + 5ecc0 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 5ecd0 45540323 ac040375 73626669 666f5f61 ET.#...usbfifo_a + 5ece0 70690000 00324503 23d80403 6275665f pi...2E.#...buf_ + 5ecf0 706f6f6c 00000036 ee0323e4 04037662 pool...6..#...vb + 5ed00 75660000 00034d03 23800503 76646573 uf....M.#...vdes + 5ed10 63000000 022f0323 94050361 6c6c6f63 c..../.#...alloc + 5ed20 72616d00 00000bc1 0323a805 03646d61 ram......#...dma + 5ed30 5f656e67 696e6500 000043c5 0323b405 _engine...C..#.. + 5ed40 03646d61 5f6c6962 0000002b d00323f4 .dma_lib...+..#. + 5ed50 05036869 665f7063 69000000 2e300323 ..hif_pci....0.# + 5ed60 a8060002 56425546 5f434f4e 54455854 ....VBUF_CONTEXT + 5ed70 000c0000 46ad0366 7265655f 6275665f ....F..free_buf_ + 5ed80 68656164 00000003 23022300 036e5662 head....#.#..nVb + 5ed90 75664e75 6d000000 01e70223 04037052 ufNum......#..pR + 5eda0 65736572 76656400 0000022c 02230800 eserved....,.#.. + 5edb0 1a675f76 62756643 74780000 00466005 .g_vbufCtx...F`. + 5edc0 0300500a f801065f 415f6d61 67706965 ..P...._A_magpie + 5edd0 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 5ede0 6c655f74 00000045 66040000 034d0400 le_t...Ef....M.. + 5edf0 09011b01 1d5f7662 75665f69 6e697400 ....._vbuf_init. + 5ee00 01010392 01200290 00008e4e f0008e4f ..... .....N...O + 5ee10 2b000047 321c011d 6e427566 00000001 +..G2...nBuf.... + 5ee20 e701521d 76627566 00000003 231d6900 ..R.vbuf....#.i. + 5ee30 000001e7 001e0138 5f766275 665f616c .......8_vbuf_al + 5ee40 6c6f635f 76627566 00000003 23010103 loc_vbuf....#... + 5ee50 92012002 9000008e 4f2c008e 4f4a0000 .. .....O,..OJ.. + 5ee60 476e1d61 6c6c6f63 42756600 00000323 Gn.allocBuf....# + 5ee70 001b0148 5f766275 665f6672 65655f76 ...H_vbuf_free_v + 5ee80 62756600 01010392 01200290 00008e4f buf...... .....O + 5ee90 4c008e4f 60000047 a41c0148 62756600 L..O`..G...Hbuf. + 5eea0 00000323 0152001f 01547662 75665f6d ...#.R...Tvbuf_m + 5eeb0 6f64756c 655f696e 7374616c 6c000101 odule_install... + 5eec0 03920120 02900000 8e4f6000 8e4f741c ... .....O`..Ot. + 5eed0 01546170 69730000 0046e601 52000000 .Tapis...F..R... + 5eee0 0000483f 00020000 227c0401 2f726f6f ..H?...."|../roo + 5eef0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5ef00 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5ef10 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 5ef20 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 5ef30 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 5ef40 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 5ef50 7372632f 76646573 632e6300 2f726f6f src/vdesc.c./roo + 5ef60 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5ef70 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5ef80 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 5ef90 64657363 0078742d 78636320 666f7220 desc.xt-xcc for + 5efa0 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 5efb0 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 5efc0 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 5efd0 61636500 01000001 52b2025f 56444553 ace.....R.._VDES + 5efe0 43002400 00018603 6e657874 5f646573 C.$.....next_des + 5eff0 63000000 01860223 00036275 665f6164 c......#..buf_ad + 5f000 64720000 0001ab02 23040362 75665f73 dr......#..buf_s + 5f010 697a6500 000001c8 02230803 64617461 ize......#..data + 5f020 5f6f6666 73657400 000001c8 02230a03 _offset......#.. + 5f030 64617461 5f73697a 65000000 01c80223 data_size......# + 5f040 0c03636f 6e74726f 6c000000 01c80223 ..control......# + 5f050 0e036877 5f646573 635f6275 66000000 ..hw_desc_buf... + 5f060 01d60223 10000400 0000fa04 0005756e ...#..........un + 5f070 7369676e 65642063 68617200 07010641 signed char....A + 5f080 5f55494e 54380000 00018d04 0000019e _UINT8.......... + 5f090 04000573 686f7274 20756e73 69676e65 ...short unsigne + 5f0a0 6420696e 74000702 06415f55 494e5431 d int....A_UINT1 + 5f0b0 36000000 01b20700 00019e14 000001e3 6............... + 5f0c0 08130004 000000fa 04000569 6e740005 ...........int.. + 5f0d0 04090104 000001f1 04000656 44455343 ...........VDESC + 5f0e0 00000000 fa040000 01fa0400 0a000002 ................ + 5f0f0 05010400 00020c04 000a0000 01ab0104 ................ + 5f100 00000219 04000901 04000002 2604000b ............&... + 5f110 04000276 64657363 5f617069 00140000 ...vdesc_api.... + 5f120 02a1035f 696e6974 00000001 f3022300 ..._init......#. + 5f130 035f616c 6c6f635f 76646573 63000000 ._alloc_vdesc... + 5f140 02120223 04035f67 65745f68 775f6465 ...#.._get_hw_de + 5f150 73630000 00021f02 2308035f 73776170 sc......#.._swap + 5f160 5f766465 73630000 00022802 230c0370 _vdesc....(.#..p + 5f170 52657365 72766564 00000002 2f022310 Reserved..../.#. + 5f180 00090104 000002a1 04000563 68617200 ...........char. + 5f190 07010c00 0002aa0c 000002aa 04000002 ................ + 5f1a0 b704000a 000001ea 01040000 02c30400 ................ + 5f1b0 02707269 6e74665f 61706900 08000003 .printf_api..... + 5f1c0 07035f70 72696e74 665f696e 69740000 .._printf_init.. + 5f1d0 0002a302 2300035f 7072696e 74660000 ....#.._printf.. + 5f1e0 0002c902 23040006 75696e74 31365f74 ....#...uint16_t + 5f1f0 00000001 b2056c6f 6e672075 6e736967 ......long unsig + 5f200 6e656420 696e7400 07040675 696e7433 ned int....uint3 + 5f210 325f7400 00000315 02756172 745f6669 2_t......uart_fi + 5f220 666f0008 00000383 03737461 72745f69 fo.......start_i + 5f230 6e646578 00000003 07022300 03656e64 ndex......#..end + 5f240 5f696e64 65780000 00030702 2302036f _index......#..o + 5f250 76657272 756e5f65 72720000 00032a02 verrun_err....*. + 5f260 23040002 75617274 5f617069 00200000 #...uart_api. .. + 5f270 043c035f 75617274 5f696e69 74000000 .<._uart_init... + 5f280 04930223 00035f75 6172745f 63686172 ...#.._uart_char + 5f290 5f707574 00000004 a9022304 035f7561 _put......#.._ua + 5f2a0 72745f63 6861725f 67657400 000004bd rt_char_get..... + 5f2b0 02230803 5f756172 745f7374 725f6f75 .#.._uart_str_ou + 5f2c0 74000000 04c60223 0c035f75 6172745f t......#.._uart_ + 5f2d0 7461736b 00000002 a3022310 035f7561 task......#.._ua + 5f2e0 72745f73 74617475 73000000 04930223 rt_status......# + 5f2f0 14035f75 6172745f 636f6e66 69670000 .._uart_config.. + 5f300 0004cf02 2318035f 75617274 5f687769 ....#.._uart_hwi + 5f310 6e697400 000004d8 02231c00 04000003 nit......#...... + 5f320 83040002 75617274 5f626c6b 00100000 ....uart_blk.... + 5f330 048d0364 65627567 5f6d6f64 65000000 ...debug_mode... + 5f340 03070223 00036261 75640000 00030702 ...#..baud...... + 5f350 2302035f 75617274 00000004 3c022304 #.._uart....<.#. + 5f360 035f7478 00000003 38022308 000a0000 ._tx....8.#..... + 5f370 032a0104 0000048d 04000675 696e7438 .*.........uint8 + 5f380 5f740000 00018d09 01040000 04a70400 _t.............. + 5f390 04000004 9a04000a 00000307 01040000 ................ + 5f3a0 04b70400 09010400 0004c404 00090104 ................ + 5f3b0 000004cd 04000901 04000004 d6040004 ................ + 5f3c0 000002aa 04000a00 0001ea01 04000004 ................ + 5f3d0 e6040002 44425f43 4f4d4d41 4e445f53 ....DB_COMMAND_S + 5f3e0 54525543 54000c00 00053e03 636d645f TRUCT.....>.cmd_ + 5f3f0 73747200 000004df 02230003 68656c70 str......#..help + 5f400 5f737472 00000004 df022304 03636d64 _str......#..cmd + 5f410 5f66756e 63000000 04ec0223 08000264 _func......#...d + 5f420 62675f61 70690008 00000571 035f6462 bg_api.....q._db + 5f430 675f696e 69740000 0002a302 2300035f g_init......#.._ + 5f440 6462675f 7461736b 00000002 a3022304 dbg_task......#. + 5f450 0005756e 7369676e 65642069 6e740007 ..unsigned int.. + 5f460 040a0000 022f0104 00000581 04000d0d ...../.......... + 5f470 04000005 8f04000a 0000022f 01040000 .........../.... + 5f480 05970400 0a000001 ea010400 0005a404 ................ + 5f490 00026d65 6d5f6170 69001400 00061303 ..mem_api....... + 5f4a0 5f6d656d 5f696e69 74000000 02a30223 _mem_init......# + 5f4b0 00035f6d 656d7365 74000000 05870223 .._memset......# + 5f4c0 04035f6d 656d6370 79000000 059d0223 .._memcpy......# + 5f4d0 08035f6d 656d6d6f 76650000 00059d02 .._memmove...... + 5f4e0 230c035f 6d656d63 6d700000 0005aa02 #.._memcmp...... + 5f4f0 2310000e 72656769 73746572 5f64756d #...register_dum + 5f500 705f7300 00010400 00061304 00090104 p_s............. + 5f510 0000062d 04000901 04000006 3604000a ...-........6... + 5f520 000001ea 01040000 063f0400 0f686f73 .........?...hos + 5f530 7469665f 73000400 00069b10 4849465f tif_s.......HIF_ + 5f540 55534200 00104849 465f5043 49450001 USB...HIF_PCIE.. + 5f550 10484946 5f474d41 43000210 4849465f .HIF_GMAC...HIF_ + 5f560 50434900 03104849 465f4e55 4d000410 PCI...HIF_NUM... + 5f570 4849465f 4e4f4e45 00050006 415f484f HIF_NONE....A_HO + 5f580 53544946 00000006 4c0a0000 069b0104 STIF....L....... + 5f590 000006a9 04000a00 00049a01 04000006 ................ + 5f5a0 b604000a 00000307 01040000 06c30400 ................ + 5f5b0 026d6973 635f6170 69002400 0007b303 .misc_api.$..... + 5f5c0 5f737973 74656d5f 72657365 74000000 _system_reset... + 5f5d0 02a30223 00035f6d 61635f72 65736574 ...#.._mac_reset + 5f5e0 00000002 a3022304 035f6173 73666169 ......#.._assfai + 5f5f0 6c000000 062f0223 08035f6d 6973616c l..../.#.._misal + 5f600 69676e65 645f6c6f 61645f68 616e646c igned_load_handl + 5f610 65720000 00062f02 230c035f 7265706f er..../.#.._repo + 5f620 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho + 5f630 73740000 00063802 2310035f 74617267 st....8.#.._targ + 5f640 65745f69 645f6765 74000000 06450223 et_id_get....E.# + 5f650 14035f69 735f686f 73745f70 72657365 .._is_host_prese + 5f660 6e740000 0006af02 2318035f 6b626869 nt......#.._kbhi + 5f670 74000000 06bc0223 1c035f72 6f6d5f76 t......#.._rom_v + 5f680 65727369 6f6e5f67 65740000 0006c902 ersion_get...... + 5f690 2320000a 000004df 01040000 07b30400 # .............. + 5f6a0 0a000004 df010400 0007c004 000a0000 ................ + 5f6b0 01ea0104 000007cd 04000a00 0001ea01 ................ + 5f6c0 04000007 da04000a 000001ea 01040000 ................ + 5f6d0 07e70400 02737472 696e675f 61706900 .....string_api. + 5f6e0 18000008 6d035f73 7472696e 675f696e ....m._string_in + 5f6f0 69740000 0002a302 2300035f 73747263 it......#.._strc + 5f700 70790000 0007b902 2304035f 7374726e py......#.._strn + 5f710 63707900 000007c6 02230803 5f737472 cpy......#.._str + 5f720 6c656e00 000007d3 02230c03 5f737472 len......#.._str + 5f730 636d7000 000007e0 02231003 5f737472 cmp......#.._str + 5f740 6e636d70 00000007 ed022314 00070000 ncmp......#..... + 5f750 05711400 00087a08 0400065f 415f5449 .q....z...._A_TI + 5f760 4d45525f 53504143 45000000 086d0641 MER_SPACE....m.A + 5f770 5f74696d 65725f74 00000008 7a040000 _timer_t....z... + 5f780 088e0400 09010400 0008a404 00090104 ................ + 5f790 000008ad 04000641 5f48414e 444c4500 .......A_HANDLE. + 5f7a0 00000571 09010641 5f54494d 45525f46 ...q...A_TIMER_F + 5f7b0 554e4300 000008c4 04000008 c6040009 UNC............. + 5f7c0 01040000 08df0400 0274696d 65725f61 .........timer_a + 5f7d0 70690014 0000095e 035f7469 6d65725f pi.....^._timer_ + 5f7e0 696e6974 00000002 a3022300 035f7469 init......#.._ti + 5f7f0 6d65725f 61726d00 000008a6 02230403 mer_arm......#.. + 5f800 5f74696d 65725f64 69736172 6d000000 _timer_disarm... + 5f810 08af0223 08035f74 696d6572 5f736574 ...#.._timer_set + 5f820 666e0000 0008e102 230c035f 74696d65 fn......#.._time + 5f830 725f7275 6e000000 02a30223 10000642 r_run......#...B + 5f840 4f4f4c45 414e0000 0003070a 0000095e OOLEAN.........^ + 5f850 01040000 096b0400 0a000009 5e010400 .....k......^... + 5f860 00097804 000a0000 095e0104 00000985 ..x......^...... + 5f870 04000272 6f6d705f 61706900 10000009 ...romp_api..... + 5f880 f7035f72 6f6d705f 696e6974 00000002 .._romp_init.... + 5f890 a3022300 035f726f 6d705f64 6f776e6c ..#.._romp_downl + 5f8a0 6f616400 00000971 02230403 5f726f6d oad....q.#.._rom + 5f8b0 705f696e 7374616c 6c000000 097e0223 p_install....~.# + 5f8c0 08035f72 6f6d705f 6465636f 64650000 .._romp_decode.. + 5f8d0 00098b02 230c0002 726f6d5f 70617463 ....#...rom_patc + 5f8e0 685f7374 00100000 0a530363 72633136 h_st.....S.crc16 + 5f8f0 00000003 07022300 036c656e 00000003 ......#..len.... + 5f900 07022302 036c645f 61646472 00000003 ..#..ld_addr.... + 5f910 2a022304 0366756e 5f616464 72000000 *.#..fun_addr... + 5f920 032a0223 08037066 756e0000 0004b002 .*.#..pfun...... + 5f930 230c0002 6565705f 72656469 725f6164 #...eep_redir_ad + 5f940 64720004 00000a85 036f6666 73657400 dr.......offset. + 5f950 00000307 02230003 73697a65 00000003 .....#..size.... + 5f960 07022302 0006415f 55494e54 33320000 ..#...A_UINT32.. + 5f970 0005710a 0000022f 01040000 0a930400 ..q..../........ + 5f980 02616c6c 6f637261 6d5f6170 69000c00 .allocram_api... + 5f990 000b0403 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr + 5f9a0 616d5f69 6e697400 00000a99 02230003 am_init......#.. + 5f9b0 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 5f9c0 000a9902 23040363 6d6e6f73 5f616c6c ....#..cmnos_all + 5f9d0 6f637261 6d5f6465 62756700 000002a3 ocram_debug..... + 5f9e0 02230800 09010400 000b0404 0006415f .#............A_ + 5f9f0 5441534b 4c45545f 46554e43 0000000b TASKLET_FUNC.... + 5fa00 06025f74 61736b6c 65740010 00000b65 .._tasklet.....e + 5fa10 0366756e 63000000 0b0d0223 00036172 .func......#..ar + 5fa20 67000000 022f0223 04037374 61746500 g..../.#..state. + 5fa30 000001ea 02230803 6e657874 0000000b .....#..next.... + 5fa40 6502230c 00040000 0b210400 0400000b e.#......!...... + 5fa50 21040006 415f7461 736b6c65 745f7400 !...A_tasklet_t. + 5fa60 00000b21 0400000b 73040009 01040000 ...!....s....... + 5fa70 0b8b0400 09010400 000b9404 00027461 ..............ta + 5fa80 736b6c65 745f6170 69001400 000c2903 sklet_api.....). + 5fa90 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 5faa0 02a30223 00035f74 61736b6c 65745f69 ...#.._tasklet_i + 5fab0 6e69745f 7461736b 0000000b 8d022304 nit_task......#. + 5fac0 035f7461 736b6c65 745f6469 7361626c ._tasklet_disabl + 5fad0 65000000 0b960223 08035f74 61736b6c e......#.._taskl + 5fae0 65745f73 63686564 756c6500 00000b96 et_schedule..... + 5faf0 02230c03 5f746173 6b6c6574 5f72756e .#.._tasklet_run + 5fb00 00000002 a3022310 00090104 00000c29 ......#........) + 5fb10 04000a00 000a8501 0400000c 32040002 ............2... + 5fb20 636c6f63 6b5f6170 69002400 000d1803 clock_api.$..... + 5fb30 5f636c6f 636b5f69 6e697400 00000c2b _clock_init....+ + 5fb40 02230003 5f636c6f 636b7265 67735f69 .#.._clockregs_i + 5fb50 6e697400 000002a3 02230403 5f756172 nit......#.._uar + 5fb60 745f6672 65717565 6e637900 00000c38 t_frequency....8 + 5fb70 02230803 5f64656c 61795f75 73000000 .#.._delay_us... + 5fb80 01f30223 0c035f77 6c616e5f 62616e64 ...#.._wlan_band + 5fb90 5f736574 00000001 f3022310 035f7265 _set......#.._re + 5fba0 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 5fbb0 000c3802 2314035f 6d696c6c 69736563 ..8.#.._millisec + 5fbc0 6f6e6473 0000000c 38022318 035f7379 onds....8.#.._sy + 5fbd0 73636c6b 5f636861 6e676500 000002a3 sclk_change..... + 5fbe0 02231c03 5f636c6f 636b5f74 69636b00 .#.._clock_tick. + 5fbf0 000002a3 02232000 0a000003 2a010400 .....# .....*... + 5fc00 000d1804 0006415f 6f6c645f 696e7472 ......A_old_intr + 5fc10 5f740000 00032a0a 00000d25 01040000 _t....*....%.... + 5fc20 0d370400 09010400 000d4404 00090104 .7........D..... + 5fc30 00000d4d 04000a00 00032a01 0400000d ...M......*..... + 5fc40 56040006 415f6973 725f7400 00000d5c V...A_isr_t....\ + 5fc50 09010400 000d7004 000a0000 05710104 ......p......q.. + 5fc60 00000d79 04000901 0400000d 86040002 ...y............ + 5fc70 696e7472 5f617069 002c0000 0ea8035f intr_api.,....._ + 5fc80 696e7472 5f696e69 74000000 02a30223 intr_init......# + 5fc90 00035f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i + 5fca0 73720000 000d1e02 2304035f 696e7472 sr......#.._intr + 5fcb0 5f646973 61626c65 0000000d 3d022308 _disable....=.#. + 5fcc0 035f696e 74725f72 6573746f 72650000 ._intr_restore.. + 5fcd0 000d4602 230c035f 696e7472 5f6d6173 ..F.#.._intr_mas + 5fce0 6b5f696e 756d0000 000d4f02 2310035f k_inum....O.#.._ + 5fcf0 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum + 5fd00 0000000d 4f022314 035f696e 74725f61 ....O.#.._intr_a + 5fd10 74746163 685f6973 72000000 0d720223 ttach_isr....r.# + 5fd20 18035f67 65745f69 6e747265 6e61626c .._get_intrenabl + 5fd30 65000000 0d7f0223 1c035f73 65745f69 e......#.._set_i + 5fd40 6e747265 6e61626c 65000000 0d880223 ntrenable......# + 5fd50 20035f67 65745f69 6e747270 656e6469 ._get_intrpendi + 5fd60 6e670000 000d7f02 2324035f 756e626c ng......#$._unbl + 5fd70 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. + 5fd80 000002a3 02232800 11040000 0ece0374 .....#(........t + 5fd90 696d656f 75740000 00032a02 23000361 imeout....*.#..a + 5fda0 6374696f 6e000000 032a0223 00001208 ction....*.#.... + 5fdb0 00000ee9 03636d64 00000003 2a022300 .....cmd....*.#. + 5fdc0 1300000e a8022304 0006545f 5744545f ......#...T_WDT_ + 5fdd0 434d4400 00000ece 09010400 000ef804 CMD............. + 5fde0 00140400 000f4e10 454e554d 5f574454 ......N.ENUM_WDT + 5fdf0 5f424f4f 54000110 454e554d 5f434f4c _BOOT...ENUM_COL + 5fe00 445f424f 4f540002 10454e55 4d5f5355 D_BOOT...ENUM_SU + 5fe10 53505f42 4f4f5400 0310454e 554d5f55 SP_BOOT...ENUM_U + 5fe20 4e4b4e4f 574e5f42 4f4f5400 04000654 NKNOWN_BOOT....T + 5fe30 5f424f4f 545f5459 50450000 000f010a _BOOT_TYPE...... + 5fe40 00000f4e 01040000 0f5f0400 02776474 ...N....._...wdt + 5fe50 5f617069 001c0000 1003035f 7764745f _api......._wdt_ + 5fe60 696e6974 00000002 a3022300 035f7764 init......#.._wd + 5fe70 745f656e 61626c65 00000002 a3022304 t_enable......#. + 5fe80 035f7764 745f6469 7361626c 65000000 ._wdt_disable... + 5fe90 02a30223 08035f77 64745f73 65740000 ...#.._wdt_set.. + 5fea0 000efa02 230c035f 7764745f 7461736b ....#.._wdt_task + 5feb0 00000002 a3022310 035f7764 745f7265 ......#.._wdt_re + 5fec0 73657400 000002a3 02231403 5f776474 set......#.._wdt + 5fed0 5f6c6173 745f626f 6f740000 000f6502 _last_boot....e. + 5fee0 23180014 04000010 6a105245 545f5355 #.......j.RET_SU + 5fef0 43434553 53000010 5245545f 4e4f545f CCESS...RET_NOT_ + 5ff00 494e4954 00011052 45545f4e 4f545f45 INIT...RET_NOT_E + 5ff10 58495354 00021052 45545f45 45505f43 XIST...RET_EEP_C + 5ff20 4f525255 50540003 10524554 5f454550 ORRUPT...RET_EEP + 5ff30 5f4f5645 52464c4f 57000410 5245545f _OVERFLOW...RET_ + 5ff40 554e4b4e 4f574e00 05000654 5f454550 UNKNOWN....T_EEP + 5ff50 5f524554 00000010 03040000 03070400 _RET............ + 5ff60 0a000010 6a010400 00108004 000a0000 ....j........... + 5ff70 106a0104 0000108d 04000265 65705f61 .j.........eep_a + 5ff80 70690010 000010f6 035f6565 705f696e pi......._eep_in + 5ff90 69740000 0002a302 2300035f 6565705f it......#.._eep_ + 5ffa0 72656164 00000010 86022304 035f6565 read......#.._ee + 5ffb0 705f7772 69746500 00001086 02230803 p_write......#.. + 5ffc0 5f656570 5f69735f 65786973 74000000 _eep_is_exist... + 5ffd0 10930223 0c000275 73625f61 70690070 ...#...usb_api.p + 5ffe0 000013a3 035f7573 625f696e 69740000 ....._usb_init.. + 5fff0 0002a302 2300035f 7573625f 726f6d5f ....#.._usb_rom_ + 60000 7461736b 00000002 a3022304 035f7573 task......#.._us + 60010 625f6677 5f746173 6b000000 02a30223 b_fw_task......# + 60020 08035f75 73625f69 6e69745f 70687900 .._usb_init_phy. + 60030 000002a3 02230c03 5f757362 5f657030 .....#.._usb_ep0 + 60040 5f736574 75700000 0002a302 2310035f _setup......#.._ + 60050 7573625f 6570305f 74780000 0002a302 usb_ep0_tx...... + 60060 2314035f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. + 60070 0002a302 2318035f 7573625f 6765745f ....#.._usb_get_ + 60080 696e7465 72666163 65000000 097e0223 interface....~.# + 60090 1c035f75 73625f73 65745f69 6e746572 .._usb_set_inter + 600a0 66616365 00000009 7e022320 035f7573 face....~.# ._us + 600b0 625f6765 745f636f 6e666967 75726174 b_get_configurat + 600c0 696f6e00 0000097e 02232403 5f757362 ion....~.#$._usb + 600d0 5f736574 5f636f6e 66696775 72617469 _set_configurati + 600e0 6f6e0000 00097e02 2328035f 7573625f on....~.#(._usb_ + 600f0 7374616e 64617264 5f636d64 00000009 standard_cmd.... + 60100 7e02232c 035f7573 625f7665 6e646f72 ~.#,._usb_vendor + 60110 5f636d64 00000002 a3022330 035f7573 _cmd......#0._us + 60120 625f706f 7765725f 6f666600 000002a3 b_power_off..... + 60130 02233403 5f757362 5f726573 65745f66 .#4._usb_reset_f + 60140 69666f00 000002a3 02233803 5f757362 ifo......#8._usb + 60150 5f67656e 5f776474 00000002 a302233c _gen_wdt......#< + 60160 035f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. + 60170 000002a3 02234003 5f757362 5f636c72 .....#@._usb_clr + 60180 5f666561 74757265 00000009 7e022344 _feature....~.#D + 60190 035f7573 625f7365 745f6665 61747572 ._usb_set_featur + 601a0 65000000 097e0223 48035f75 73625f73 e....~.#H._usb_s + 601b0 65745f61 64647265 73730000 00097e02 et_address....~. + 601c0 234c035f 7573625f 6765745f 64657363 #L._usb_get_desc + 601d0 72697074 6f720000 00097e02 2350035f riptor....~.#P._ + 601e0 7573625f 6765745f 73746174 75730000 usb_get_status.. + 601f0 00097e02 2354035f 7573625f 73657475 ..~.#T._usb_setu + 60200 705f6465 73630000 0002a302 2358035f p_desc......#X._ + 60210 7573625f 7265675f 6f757400 000002a3 usb_reg_out..... + 60220 02235c03 5f757362 5f737461 7475735f .#\._usb_status_ + 60230 696e0000 0002a302 2360035f 7573625f in......#`._usb_ + 60240 6570305f 74785f64 61746100 000002a3 ep0_tx_data..... + 60250 02236403 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ + 60260 64617461 00000002 a3022368 035f7573 data......#h._us + 60270 625f636c 6b5f696e 69740000 0002a302 b_clk_init...... + 60280 236c0002 5f564255 46002000 00140303 #l.._VBUF. ..... + 60290 64657363 5f6c6973 74000000 02050223 desc_list......# + 602a0 00036e65 78745f62 75660000 00140302 ..next_buf...... + 602b0 23040362 75665f6c 656e6774 68000000 #..buf_length... + 602c0 01c80223 08037265 73657276 65640000 ...#..reserved.. + 602d0 00140a02 230a0363 74780000 0001d602 ....#..ctx...... + 602e0 230c0004 000013a3 04000700 00019e02 #............... + 602f0 00001417 08010004 000013a3 04000656 ...............V + 60300 42554600 000013a3 04000014 1e04000a BUF............. + 60310 00001428 01040000 142f0400 0a000014 ...(...../...... + 60320 28010400 00143c04 00090104 00001449 (.....<........I + 60330 04000276 6275665f 61706900 14000014 ...vbuf_api..... + 60340 c7035f69 6e697400 000001f3 02230003 .._init......#.. + 60350 5f616c6c 6f635f76 62756600 00001435 _alloc_vbuf....5 + 60360 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 60370 77697468 5f73697a 65000000 14420223 with_size....B.# + 60380 08035f66 7265655f 76627566 00000014 .._free_vbuf.... + 60390 4b02230c 03705265 73657276 65640000 K.#..pReserved.. + 603a0 00022f02 23100002 5f5f6164 665f6465 ../.#...__adf_de + 603b0 76696365 00040000 14e90364 756d6d79 vice.......dummy + 603c0 00000001 ea022300 00040000 0a850400 ......#......... + 603d0 025f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 603e0 00001530 03627566 00000014 28022300 ...0.buf....(.#. + 603f0 0364735f 61646472 00000014 e9022304 .ds_addr......#. + 60400 0364735f 6c656e00 000001c8 02230800 .ds_len......#.. + 60410 120c0000 156a035f 5f76615f 73746b00 .....j.__va_stk. + 60420 000004df 02230003 5f5f7661 5f726567 .....#..__va_reg + 60430 00000004 df022304 035f5f76 615f6e64 ......#..__va_nd + 60440 78000000 01ea0223 0800065f 5f616466 x......#...__adf + 60450 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 60460 000a8506 6164665f 6f735f64 6d615f61 ....adf_os_dma_a + 60470 6464725f 74000000 156a065f 5f616466 ddr_t....j.__adf + 60480 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 60490 000a8506 6164665f 6f735f64 6d615f73 ....adf_os_dma_s + 604a0 697a655f 74000000 159a025f 5f646d61 ize_t......__dma + 604b0 5f736567 73000800 0015f603 70616464 _segs.......padd + 604c0 72000000 15830223 00036c65 6e000000 r......#..len... + 604d0 15b30223 0400065f 5f615f75 696e7433 ...#...__a_uint3 + 604e0 325f7400 00000a85 06615f75 696e7433 2_t......a_uint3 + 604f0 325f7400 000015f6 07000015 ca080000 2_t............. + 60500 16250800 00026164 665f6f73 5f646d61 .%....adf_os_dma + 60510 6d61705f 696e666f 000c0000 165e036e map_info.....^.n + 60520 73656773 00000016 08022300 03646d61 segs......#..dma + 60530 5f736567 73000000 16180223 0400065f _segs......#..._ + 60540 5f615f75 696e7438 5f740000 00019e06 _a_uint8_t...... + 60550 615f7569 6e74385f 74000000 165e0400 a_uint8_t....^.. + 60560 00166f04 00025f5f 73675f73 65677300 ..o...__sg_segs. + 60570 08000016 b0037661 64647200 0000167e ......vaddr....~ + 60580 02230003 6c656e00 00001608 02230400 .#..len......#.. + 60590 07000016 85200000 16bd0803 00026164 ..... ........ad + 605a0 665f6f73 5f73676c 69737400 24000016 f_os_sglist.$... + 605b0 f0036e73 65677300 00001608 02230003 ..nsegs......#.. + 605c0 73675f73 65677300 000016b0 02230400 sg_segs......#.. + 605d0 12100000 17390376 656e646f 72000000 .....9.vendor... + 605e0 16080223 00036465 76696365 00000016 ...#..device.... + 605f0 08022304 03737562 76656e64 6f720000 ..#..subvendor.. + 60600 00160802 23080373 75626465 76696365 ....#..subdevice + 60610 00000016 0802230c 00056c6f 6e67206c ......#...long l + 60620 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 60630 00070806 415f5549 4e543634 00000017 ....A_UINT64.... + 60640 39065f5f 615f7569 6e743634 5f740000 9.__a_uint64_t.. + 60650 00175306 615f7569 6e743634 5f740000 ..S.a_uint64_t.. + 60660 00176114 04000017 bf104144 465f4f53 ..a.......ADF_OS + 60670 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 60680 454d0000 10414446 5f4f535f 5245534f EM...ADF_OS_RESO + 60690 55524345 5f545950 455f494f 00010006 URCE_TYPE_IO.... + 606a0 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 606b0 74797065 5f740000 00178312 18000018 type_t.......... + 606c0 09037374 61727400 00001773 02230003 ..start....s.#.. + 606d0 656e6400 00001773 02230803 74797065 end....s.#..type + 606e0 00000017 bf022310 00066164 665f6f73 ......#...adf_os + 606f0 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 60700 16f00400 00180904 00110400 00184803 ..............H. + 60710 70636900 00001822 02230003 72617700 pci....".#..raw. + 60720 0000022f 02230000 11100000 18670370 .../.#.......g.p + 60730 63690000 00180902 23000372 61770000 ci......#..raw.. + 60740 00022f02 23000006 6164665f 6472765f ../.#...adf_drv_ + 60750 68616e64 6c655f74 00000002 2f066164 handle_t..../.ad + 60760 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 60770 000017db 04000018 7d040006 6164665f ........}...adf_ + 60780 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 60790 00000018 48040000 189b0400 04000014 ....H........... + 607a0 c7040006 5f5f6164 665f6f73 5f646576 ....__adf_os_dev + 607b0 6963655f 74000000 18bc0661 64665f6f ice_t......adf_o + 607c0 735f6465 76696365 5f740000 0018c30a s_device_t...... + 607d0 00001867 01040000 18ef0400 09010400 ...g............ + 607e0 0018fc04 00066164 665f6f73 5f706d5f ......adf_os_pm_ + 607f0 74000000 022f0901 04000019 16040014 t..../.......... + 60800 04000019 56104144 465f4f53 5f425553 ....V.ADF_OS_BUS + 60810 5f545950 455f5043 49000110 4144465f _TYPE_PCI...ADF_ + 60820 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 60830 52494300 02000661 64665f6f 735f6275 RIC....adf_os_bu + 60840 735f7479 70655f74 00000019 1f066164 s_type_t......ad + 60850 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 60860 615f7400 00001829 04000001 8d040002 a_t....)........ + 60870 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 60880 001a3303 6472765f 61747461 63680000 ..3.drv_attach.. + 60890 0018f502 23000364 72765f64 65746163 ....#..drv_detac + 608a0 68000000 18fe0223 04036472 765f7375 h......#..drv_su + 608b0 7370656e 64000000 19180223 08036472 spend......#..dr + 608c0 765f7265 73756d65 00000018 fe02230c v_resume......#. + 608d0 03627573 5f747970 65000000 19560223 .bus_type....V.# + 608e0 10036275 735f6461 74610000 00196d02 ..bus_data....m. + 608f0 2314036d 6f645f6e 616d6500 00001988 #..mod_name..... + 60900 02231803 69666e61 6d650000 00198802 .#..ifname...... + 60910 231c0006 6164665f 6f735f68 616e646c #...adf_os_handl + 60920 655f7400 0000022f 04000016 5e040009 e_t..../....^... + 60930 01090106 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 60940 655f7400 00000571 14040000 1a821041 e_t....q.......A + 60950 5f46414c 53450000 10415f54 52554500 _FALSE...A_TRUE. + 60960 01000661 5f626f6f 6c5f7400 00001a68 ...a_bool_t....h + 60970 04000014 f0040006 5f5f6164 665f6f73 ........__adf_os + 60980 5f646d61 5f6d6170 5f740000 001a9009 _dma_map_t...... + 60990 010f6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 609a0 796e6300 0400001b 1a104144 465f5359 ync.......ADF_SY + 609b0 4e435f50 52455245 41440000 10414446 NC_PREREAD...ADF + 609c0 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 609d0 10414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 609e0 41440001 10414446 5f53594e 435f504f AD...ADF_SYNC_PO + 609f0 53545752 49544500 03000661 64665f6f STWRITE....adf_o + 60a00 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 60a10 001ab109 01066164 665f6f73 5f73697a ......adf_os_siz + 60a20 655f7400 00001a53 0a00001b 35010661 e_t....S....5..a + 60a30 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 60a40 00001a97 0400001b 4e04000a 0000022f ........N....../ + 60a50 01040000 1a970400 0a000002 2f010901 ............/... + 60a60 0a000015 83010901 0573686f 72742069 .........short i + 60a70 6e740005 0206415f 494e5431 36000000 nt....A_INT16... + 60a80 1b88065f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 60a90 001b9506 615f696e 7431365f 74000000 ....a_int16_t... + 60aa0 1ba20573 69676e65 64206368 61720005 ...signed char.. + 60ab0 0106415f 494e5438 0000001b c2065f5f ..A_INT8......__ + 60ac0 615f696e 74385f74 0000001b d106615f a_int8_t......a_ + 60ad0 696e7438 5f740000 001bdd12 0c00001c int8_t.......... + 60ae0 54037375 70706f72 74656400 00001608 T.supported..... + 60af0 02230003 61647665 7274697a 65640000 .#..advertized.. + 60b00 00160802 23040373 70656564 0000001b ....#..speed.... + 60b10 b3022308 03647570 6c657800 00001bed ..#..duplex..... + 60b20 02230a03 6175746f 6e656700 0000166f .#..autoneg....o + 60b30 02230b00 07000016 6f060000 1c610805 .#......o....a.. + 60b40 00026164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 60b50 72000600 001c8503 61646472 0000001c r.......addr.... + 60b60 54022300 00065f5f 615f7569 6e743136 T.#...__a_uint16 + 60b70 5f740000 0001c806 615f7569 6e743136 _t......a_uint16 + 60b80 5f740000 001c8512 0e00001c e9036574 _t............et + 60b90 6865725f 64686f73 74000000 1c540223 her_dhost....T.# + 60ba0 00036574 6865725f 73686f73 74000000 ..ether_shost... + 60bb0 1c540223 06036574 6865725f 74797065 .T.#..ether_type + 60bc0 0000001c 9702230c 00121400 001daa15 ......#......... + 60bd0 69705f76 65727369 6f6e0000 00166f01 ip_version....o. + 60be0 00040223 00156970 5f686c00 0000166f ...#..ip_hl....o + 60bf0 01040402 23000369 705f746f 73000000 ....#..ip_tos... + 60c00 166f0223 01036970 5f6c656e 0000001c .o.#..ip_len.... + 60c10 97022302 0369705f 69640000 001c9702 ..#..ip_id...... + 60c20 23040369 705f6672 61675f6f 66660000 #..ip_frag_off.. + 60c30 001c9702 23060369 705f7474 6c000000 ....#..ip_ttl... + 60c40 166f0223 08036970 5f70726f 746f0000 .o.#..ip_proto.. + 60c50 00166f02 23090369 705f6368 65636b00 ..o.#..ip_check. + 60c60 00001c97 02230a03 69705f73 61646472 .....#..ip_saddr + 60c70 00000016 0802230c 0369705f 64616464 ......#..ip_dadd + 60c80 72000000 16080223 10000261 64665f6e r......#...adf_n + 60c90 65745f76 6c616e68 64720004 00001dfc et_vlanhdr...... + 60ca0 03747069 64000000 1c970223 00157072 .tpid......#..pr + 60cb0 696f0000 00166f01 00030223 02156366 io....o....#..cf + 60cc0 69000000 166f0103 01022302 15766964 i....o....#..vid + 60cd0 0000001c 9702040c 02230200 02616466 .........#...adf + 60ce0 5f6e6574 5f766964 00020000 1e2d1572 _net_vid.....-.r + 60cf0 65730000 00166f01 00040223 00157661 es....o....#..va + 60d00 6c000000 1c970204 0c022300 00120c00 l.........#..... + 60d10 001e6903 72785f62 75667369 7a650000 ..i.rx_bufsize.. + 60d20 00160802 23000372 785f6e64 65736300 ....#..rx_ndesc. + 60d30 00001608 02230403 74785f6e 64657363 .....#..tx_ndesc + 60d40 00000016 08022308 00120800 001e8f03 ......#......... + 60d50 706f6c6c 65640000 001a8202 23000370 polled......#..p + 60d60 6f6c6c5f 77740000 00160802 23040007 oll_wt......#... + 60d70 0000166f 4000001e 9c083f00 12460000 ...o@.....?..F.. + 60d80 1ec40369 665f6e61 6d650000 001e8f02 ...if_name...... + 60d90 23000364 65765f61 64647200 00001c54 #..dev_addr....T + 60da0 02234000 14040000 1efb1041 44465f4f .#@........ADF_O + 60db0 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 60dc0 00001041 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 60dd0 534b5f36 34424954 00010006 6164665f SK_64BIT....adf_ + 60de0 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 60df0 1ec40261 64665f64 6d615f69 6e666f00 ...adf_dma_info. + 60e00 0800001f 4803646d 615f6d61 736b0000 ....H.dma_mask.. + 60e10 001efb02 23000373 675f6e73 65677300 ....#..sg_nsegs. + 60e20 00001608 02230400 14040000 1f9e1041 .....#.........A + 60e30 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 60e40 45000010 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 60e50 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 60e60 10414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 60e70 43505f55 44505f49 50763600 02000661 CP_UDP_IPv6....a + 60e80 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 60e90 655f7400 00001f48 12080000 1fe10374 e_t....H.......t + 60ea0 785f636b 73756d00 00001f9e 02230003 x_cksum......#.. + 60eb0 72785f63 6b73756d 0000001f 9e022304 rx_cksum......#. + 60ec0 00066164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 60ed0 696e666f 5f740000 001fb814 04000020 info_t......... + 60ee0 3a104144 465f4e45 545f5453 4f5f4e4f :.ADF_NET_TSO_NO + 60ef0 4e450000 10414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 60f00 5f495056 34000110 4144465f 4e45545f _IPV4...ADF_NET_ + 60f10 54534f5f 414c4c00 02000661 64665f6e TSO_ALL....adf_n + 60f20 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 60f30 1ffb1210 0000208e 03636b73 756d5f63 ...... ..cksum_c + 60f40 61700000 001fe102 23000374 736f0000 ap......#..tso.. + 60f50 00203a02 23080376 6c616e5f 73757070 . :.#..vlan_supp + 60f60 6f727465 64000000 166f0223 0c001220 orted....o.#... + 60f70 00002127 0374785f 7061636b 65747300 ..!'.tx_packets. + 60f80 00001608 02230003 72785f70 61636b65 .....#..rx_packe + 60f90 74730000 00160802 23040374 785f6279 ts......#..tx_by + 60fa0 74657300 00001608 02230803 72785f62 tes......#..rx_b + 60fb0 79746573 00000016 0802230c 0374785f ytes......#..tx_ + 60fc0 64726f70 70656400 00001608 02231003 dropped......#.. + 60fd0 72785f64 726f7070 65640000 00160802 rx_dropped...... + 60fe0 23140372 785f6572 726f7273 00000016 #..rx_errors.... + 60ff0 08022318 0374785f 6572726f 72730000 ..#..tx_errors.. + 61000 00160802 231c0006 6164665f 6e65745f ....#...adf_net_ + 61010 65746861 6464725f 74000000 1c611600 ethaddr_t....a.. + 61020 00212703 00000021 4c087f00 17616466 .!'....!L....adf + 61030 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 61040 03040000 2183036e 656c656d 00000016 ....!..nelem.... + 61050 08022300 036d6361 73740000 00213e02 ..#..mcast...!>. + 61060 23040006 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 61070 6c696e6b 5f696e66 6f5f7400 00001bfb link_info_t..... + 61080 06616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 61090 6c5f696e 666f5f74 0000001e 69066164 l_info_t....i.ad + 610a0 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 610b0 696e666f 5f740000 001fe106 6164665f info_t......adf_ + 610c0 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 610d0 6f5f7400 00001e2d 06616466 5f6e6574 o_t....-.adf_net + 610e0 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 610f0 00001f12 06616466 5f6e6574 5f636d64 .....adf_net_cmd + 61100 5f766964 5f740000 001c9706 6164665f _vid_t......adf_ + 61110 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 61120 6361705f 74000000 20520661 64665f6e cap_t... R.adf_n + 61130 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 61140 00208e06 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 61150 6d636164 64725f74 00000021 4c0f6164 mcaddr_t...!L.ad + 61160 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 61170 63617000 04000022 c5104144 465f4e45 cap...."..ADF_NE + 61180 545f4d43 4153545f 53555000 00104144 T_MCAST_SUP...AD + 61190 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 611a0 55500001 00066164 665f6e65 745f636d UP....adf_net_cm + 611b0 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 611c0 227d1803 04000023 97036c69 6e6b5f69 "}.....#..link_i + 611d0 6e666f00 00002183 02230003 706f6c6c nfo...!..#..poll + 611e0 5f696e66 6f000000 21a00223 0003636b _info...!..#..ck + 611f0 73756d5f 696e666f 00000021 bd022300 sum_info...!..#. + 61200 0372696e 675f696e 666f0000 0021db02 .ring_info...!.. + 61210 23000364 6d615f69 6e666f00 000021f8 #..dma_info...!. + 61220 02230003 76696400 00002214 02230003 .#..vid..."..#.. + 61230 6f66666c 6f61645f 63617000 0000222b offload_cap..."+ + 61240 02230003 73746174 73000000 224a0223 .#..stats..."J.# + 61250 00036d63 6173745f 696e666f 00000022 ..mcast_info..." + 61260 63022300 036d6361 73745f63 61700000 c.#..mcast_cap.. + 61270 0022c502 23000014 04000023 ee104144 ."..#......#..AD + 61280 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 61290 4e4f4e45 00001041 44465f4e 4255465f NONE...ADF_NBUF_ + 612a0 52585f43 4b53554d 5f485700 01104144 RX_CKSUM_HW...AD + 612b0 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 612c0 554e4e45 43455353 41525900 02000661 UNNECESSARY....a + 612d0 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 612e0 5f747970 655f7400 00002397 12080000 _type_t...#..... + 612f0 242e0372 6573756c 74000000 23ee0223 $..result...#..# + 61300 00037661 6c000000 16080223 04001208 ..val......#.... + 61310 0000245e 03747970 65000000 203a0223 ..$^.type... :.# + 61320 00036d73 73000000 1c970223 04036864 ..mss......#..hd + 61330 725f6f66 66000000 166f0223 0600025f r_off....o.#..._ + 61340 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 61350 0c000024 9d036865 61640000 00142802 ...$..head....(. + 61360 23000374 61696c00 00001428 02230403 #..tail....(.#.. + 61370 716c656e 00000016 08022308 00065f5f qlen......#...__ + 61380 6164665f 6e627566 5f740000 00142804 adf_nbuf_t....(. + 61390 0000167e 04000400 00160804 0009010a ...~............ + 613a0 00000205 010a0000 1608010a 0000167e ...............~ + 613b0 010a0000 167e0104 000001d6 0400065f .....~........._ + 613c0 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 613d0 74000000 245e065f 5f616466 5f6e6275 t...$^.__adf_nbu + 613e0 665f7175 6575655f 74000000 24de0400 f_queue_t...$... + 613f0 0024f604 000a0000 249d010a 0000249d .$......$.....$. + 61400 01140400 00261610 415f5354 41545553 .....&..A_STATUS + 61410 5f4f4b00 0010415f 53544154 55535f46 _OK...A_STATUS_F + 61420 41494c45 44000110 415f5354 41545553 AILED...A_STATUS + 61430 5f454e4f 454e5400 0210415f 53544154 _ENOENT...A_STAT + 61440 55535f45 4e4f4d45 4d000310 415f5354 US_ENOMEM...A_ST + 61450 41545553 5f45494e 56414c00 0410415f ATUS_EINVAL...A_ + 61460 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 61470 53530005 10415f53 54415455 535f454e SS...A_STATUS_EN + 61480 4f545355 50500006 10415f53 54415455 OTSUPP...A_STATU + 61490 535f4542 55535900 0710415f 53544154 S_EBUSY...A_STAT + 614a0 55535f45 32424947 00081041 5f535441 US_E2BIG...A_STA + 614b0 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 614c0 4c000910 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 614d0 494f000a 10415f53 54415455 535f4546 IO...A_STATUS_EF + 614e0 41554c54 000b1041 5f535441 5455535f AULT...A_STATUS_ + 614f0 45494f00 0c000661 5f737461 7475735f EIO....a_status_ + 61500 74000000 25210a00 00261601 0a000001 t...%!...&...... + 61510 ea010901 06616466 5f6e6275 665f7400 .....adf_nbuf_t. + 61520 0000249d 14040000 267b1041 44465f4f ..$.....&{.ADF_O + 61530 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 61540 00104144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 61550 4d5f4445 56494345 00010006 6164665f M_DEVICE....adf_ + 61560 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 61570 440a0000 26160109 01066164 665f6f73 D...&.....adf_os + 61580 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 61590 00162504 00002699 04000901 09010a00 ..%...&......... + 615a0 00263401 0a000024 9d010901 09010a00 .&4....$........ + 615b0 00263401 0a000024 9d010a00 00263401 .&4....$.....&4. + 615c0 0a000024 9d010a00 00263401 09010901 ...$.....&4..... + 615d0 0a000016 08010a00 00167e01 09010901 ..........~..... + 615e0 0a00001b 35010a00 001a8201 0a00001a ....5........... + 615f0 82010661 64665f6f 735f7367 6c697374 ...adf_os_sglist + 61600 5f740000 0016bd04 00002712 04000901 _t........'..... + 61610 09010901 0a000016 7e010661 64665f6e ........~..adf_n + 61620 6275665f 71756575 655f7400 000024f6 buf_queue_t...$. + 61630 04000027 3a040009 01040000 24de0400 ...':.......$... + 61640 09010901 09010a00 00263401 0a000024 .........&4....$ + 61650 9d010a00 00160801 0a000016 08010a00 ................ + 61660 001a8201 0a00001a 82010a00 001f9e01 ................ + 61670 0a000016 08010661 64665f6e 6275665f .......adf_nbuf_ + 61680 72785f63 6b73756d 5f740000 00240c04 rx_cksum_t...$.. + 61690 00002796 04000901 09010661 64665f6e ..'........adf_n + 616a0 6275665f 74736f5f 74000000 242e0400 buf_tso_t...$... + 616b0 0027ba04 00090109 01066164 665f6e65 .'........adf_ne + 616c0 745f6861 6e646c65 5f740000 00022f06 t_handle_t..../. + 616d0 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 616e0 74000000 1daa0400 0027ef04 000a0000 t........'...... + 616f0 2616010a 00002616 01090109 01025f48 &.....&......._H + 61700 49465f43 4f4e4649 47000400 00283e03 IF_CONFIG....(>. + 61710 64756d6d 79000000 01ea0223 00000901 dummy......#.... + 61720 04000028 3e040009 01040000 28470400 ...(>.......(G.. + 61730 025f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 61740 0000289c 0373656e 645f6275 665f646f ..(..send_buf_do + 61750 6e650000 00284002 23000372 6563765f ne...(@.#..recv_ + 61760 62756600 00002849 02230403 636f6e74 buf...(I.#..cont + 61770 65787400 0000022f 02230800 06686966 ext..../.#...hif + 61780 5f68616e 646c655f 74000000 022f0648 _handle_t..../.H + 61790 49465f43 4f4e4649 47000000 281d0400 IF_CONFIG...(... + 617a0 0028ae04 000a0000 289c0104 000028c5 .(......(.....(. + 617b0 04000901 04000028 d2040006 4849465f .......(....HIF_ + 617c0 43414c4c 4241434b 00000028 50040000 CALLBACK...(P... + 617d0 28db0400 09010400 0028f404 000a0000 (........(...... + 617e0 01ea0104 000028fd 04000901 04000029 ......(........) + 617f0 0a04000a 000001ea 01040000 29130400 ............)... + 61800 09010400 00292004 000a0000 01ea0104 .....) ......... + 61810 00002929 04000901 04000029 36040002 ..)).......)6... + 61820 6869665f 61706900 3800002a 8f035f69 hif_api.8..*.._i + 61830 6e697400 000028cb 02230003 5f736875 nit...(..#.._shu + 61840 74646f77 6e000000 28d40223 04035f72 tdown...(..#.._r + 61850 65676973 7465725f 63616c6c 6261636b egister_callback + 61860 00000028 f6022308 035f6765 745f746f ...(..#.._get_to + 61870 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 61880 00000029 0302230c 035f7374 61727400 ...)..#.._start. + 61890 000028d4 02231003 5f636f6e 6669675f ..(..#.._config_ + 618a0 70697065 00000029 0c022314 035f7365 pipe...)..#.._se + 618b0 6e645f62 75666665 72000000 29190223 nd_buffer...)..# + 618c0 18035f72 65747572 6e5f7265 63765f62 .._return_recv_b + 618d0 75660000 00292202 231c035f 69735f70 uf...)".#.._is_p + 618e0 6970655f 73757070 6f727465 64000000 ipe_supported... + 618f0 292f0223 20035f67 65745f6d 61785f6d )/.# ._get_max_m + 61900 73675f6c 656e0000 00292f02 2324035f sg_len...)/.#$._ + 61910 6765745f 72657365 72766564 5f686561 get_reserved_hea + 61920 64726f6f 6d000000 29030223 28035f69 droom...)..#(._i + 61930 73725f68 616e646c 65720000 0028d402 sr_handler...(.. + 61940 232c035f 6765745f 64656661 756c745f #,._get_default_ + 61950 70697065 00000029 38022330 03705265 pipe...)8.#0.pRe + 61960 73657276 65640000 00022f02 2334000f served..../.#4.. + 61970 646d615f 656e6769 6e650004 00002b18 dma_engine....+. + 61980 10444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 61990 0010444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 619a0 00011044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 619b0 32000210 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 619c0 58330003 10444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 619d0 54583000 0410444d 415f454e 47494e45 TX0...DMA_ENGINE + 619e0 5f545831 00051044 4d415f45 4e47494e _TX1...DMA_ENGIN + 619f0 455f4d41 58000600 06646d61 5f656e67 E_MAX....dma_eng + 61a00 696e655f 74000000 2a8f0f64 6d615f69 ine_t...*..dma_i + 61a10 66747970 65000400 002b6510 444d415f ftype....+e.DMA_ + 61a20 49465f47 4d414300 0010444d 415f4946 IF_GMAC...DMA_IF + 61a30 5f504349 00011044 4d415f49 465f5043 _PCI...DMA_IF_PC + 61a40 49450002 0006646d 615f6966 74797065 IE....dma_iftype + 61a50 5f740000 002b2a0a 000001c8 01040000 _t...+*......... + 61a60 2b770400 09010400 002b8404 00090104 +w.......+...... + 61a70 00002b8d 04000a00 000a8501 0400002b ..+............+ + 61a80 9604000a 000001c8 01040000 2ba30400 ............+... + 61a90 0a000001 c8010400 002bb004 000a0000 .........+...... + 61aa0 14280104 00002bbd 04000901 0400002b .(....+........+ + 61ab0 ca040002 646d615f 6c69625f 61706900 ....dma_lib_api. + 61ac0 3400002c d1037478 5f696e69 74000000 4..,..tx_init... + 61ad0 2b7d0223 00037478 5f737461 72740000 +}.#..tx_start.. + 61ae0 002b8602 23040372 785f696e 69740000 .+..#..rx_init.. + 61af0 002b7d02 23080372 785f636f 6e666967 .+}.#..rx_config + 61b00 0000002b 8f02230c 0372785f 73746172 ...+..#..rx_star + 61b10 74000000 2b860223 1003696e 74725f73 t...+..#..intr_s + 61b20 74617475 73000000 2b9c0223 14036861 tatus...+..#..ha + 61b30 72645f78 6d697400 00002ba9 02231803 rd_xmit...+..#.. + 61b40 666c7573 685f786d 69740000 002b8602 flush_xmit...+.. + 61b50 231c0378 6d69745f 646f6e65 0000002b #..xmit_done...+ + 61b60 b6022320 03726561 705f786d 69747465 ..# .reap_xmitte + 61b70 64000000 2bc30223 24037265 61705f72 d...+..#$.reap_r + 61b80 65637600 00002bc3 02232803 72657475 ecv...+..#(.retu + 61b90 726e5f72 65637600 00002bcc 02232c03 rn_recv...+..#,. + 61ba0 72656376 5f706b74 0000002b b6022330 recv_pkt...+..#0 + 61bb0 00025f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 61bc0 002cef03 73770000 0028db02 23000006 .,..sw...(..#... + 61bd0 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 61be0 2cd10400 002cef04 00090104 00002d09 ,....,........-. + 61bf0 04000a00 00019e01 0400002d 1204000f ...........-.... + 61c00 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 61c10 0400002d 72104849 465f5043 495f5049 ...-r.HIF_PCI_PI + 61c20 50455f54 58300000 10484946 5f504349 PE_TX0...HIF_PCI + 61c30 5f504950 455f5458 31000110 4849465f _PIPE_TX1...HIF_ + 61c40 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 61c50 02000668 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 61c60 74785f74 0000002d 1f0a0000 2b180104 tx_t...-....+... + 61c70 00002d89 04000f68 69665f70 63695f70 ..-....hif_pci_p + 61c80 6970655f 72780004 00002e0f 10484946 ipe_rx.......HIF + 61c90 5f504349 5f504950 455f5258 30000010 _PCI_PIPE_RX0... + 61ca0 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 61cb0 00011048 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 61cc0 52583200 02104849 465f5043 495f5049 RX2...HIF_PCI_PI + 61cd0 50455f52 58330003 10484946 5f504349 PE_RX3...HIF_PCI + 61ce0 5f504950 455f5258 5f4d4158 00040006 _PIPE_RX_MAX.... + 61cf0 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 61d00 74000000 2d960a00 002b1801 0400002e t...-....+...... + 61d10 26040002 6869665f 7063695f 61706900 &...hif_pci_api. + 61d20 2400002f 04037063 695f626f 6f745f69 $../..pci_boot_i + 61d30 6e697400 000002a3 02230003 7063695f nit......#..pci_ + 61d40 696e6974 00000028 cb022304 03706369 init...(..#..pci + 61d50 5f726573 65740000 0002a302 23080370 _reset......#..p + 61d60 63695f65 6e61626c 65000000 02a30223 ci_enable......# + 61d70 0c037063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 61d80 65640000 002d0b02 23100370 63695f72 ed...-..#..pci_r + 61d90 6561705f 72656376 0000002d 0b022314 eap_recv...-..#. + 61da0 03706369 5f676574 5f706970 65000000 .pci_get_pipe... + 61db0 2d180223 18037063 695f6765 745f7478 -..#..pci_get_tx + 61dc0 5f656e67 0000002d 8f02231c 03706369 _eng...-..#..pci + 61dd0 5f676574 5f72785f 656e6700 00002e2c _get_rx_eng...., + 61de0 02232000 02676d61 635f6170 69000400 .# ..gmac_api... + 61df0 002f2b03 676d6163 5f626f6f 745f696e ./+.gmac_boot_in + 61e00 69740000 0002a302 23000007 0000018d it......#....... + 61e10 0600002f 38080500 025f5f65 74686864 .../8....__ethhd + 61e20 72000e00 002f6e03 64737400 00002f2b r..../n.dst.../+ + 61e30 02230003 73726300 00002f2b 02230603 .#..src.../+.#.. + 61e40 65747970 65000000 01c80223 0c00025f etype......#..._ + 61e50 5f617468 68647200 0400002f bc157265 _athhdr..../..re + 61e60 73000000 019e0100 02022300 1570726f s.........#..pro + 61e70 746f0000 00019e01 02060223 00037265 to.........#..re + 61e80 735f6c6f 00000001 9e022301 03726573 s_lo......#..res + 61e90 5f686900 000001c8 02230200 025f5f67 _hi......#...__g + 61ea0 6d61635f 68647200 1400002f f8036574 mac_hdr..../..et + 61eb0 68000000 2f380223 00036174 68000000 h.../8.#..ath... + 61ec0 2f6e0223 0e03616c 69676e5f 70616400 /n.#..align_pad. + 61ed0 000001c8 02231200 065f5f67 6d61635f .....#...__gmac_ + 61ee0 6864725f 74000000 2fbc025f 5f676d61 hdr_t.../..__gma + 61ef0 635f736f 66746300 24000030 42036864 c_softc.$..0B.hd + 61f00 72000000 2ff80223 00036772 616e0000 r.../..#..gran.. + 61f10 0001c802 23140373 77000000 28db0223 ....#..sw...(..# + 61f20 18000e5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 61f30 5f636865 636b0000 01040000 30420400 _check......0B.. + 61f40 0a000001 ea010400 00306004 00040000 .........0`..... + 61f50 05710400 175f415f 636d6e6f 735f696e .q..._A_cmnos_in + 61f60 64697265 6374696f 6e5f7461 626c6500 direction_table. + 61f70 01b80000 31b00368 616c5f6c 696e6b61 ....1..hal_linka + 61f80 67655f63 6865636b 00000030 66022300 ge_check...0f.#. + 61f90 03737461 72745f62 73730000 00306d02 .start_bss...0m. + 61fa0 23040361 70705f73 74617274 00000002 #..app_start.... + 61fb0 a3022308 036d656d 00000005 b102230c ..#..mem......#. + 61fc0 036d6973 63000000 06d00223 20037072 .misc......# .pr + 61fd0 696e7466 00000002 d0022344 03756172 intf......#D.uar + 61fe0 74000000 03830223 4c03676d 61630000 t......#L.gmac.. + 61ff0 002f0402 236c0375 73620000 0010f602 ./..#l.usb...... + 62000 23700363 6c6f636b 0000000c 3f0323e0 #p.clock....?.#. + 62010 01037469 6d657200 000008e8 03238402 ..timer......#.. + 62020 03696e74 72000000 0d8f0323 98020361 .intr......#...a + 62030 6c6c6f63 72616d00 00000aa0 0323c402 llocram......#.. + 62040 03726f6d 70000000 09920323 d0020377 .romp......#...w + 62050 64745f74 696d6572 0000000f 6c0323e0 dt_timer....l.#. + 62060 02036565 70000000 109a0323 fc020373 ..eep......#...s + 62070 7472696e 67000000 07f40323 8c030374 tring......#...t + 62080 61736b6c 65740000 000b9d03 23a40300 asklet......#... + 62090 025f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI + 620a0 47001000 00322303 6765745f 636f6d6d G....2#.get_comm + 620b0 616e645f 62756600 00001435 02230003 and_buf....5.#.. + 620c0 72656376 5f636f6d 6d616e64 00000014 recv_command.... + 620d0 4b022304 03676574 5f657665 6e745f62 K.#..get_event_b + 620e0 75660000 00143502 23080373 656e645f uf....5.#..send_ + 620f0 6576656e 745f646f 6e650000 00144b02 event_done....K. + 62100 230c0006 5553425f 4649464f 5f434f4e #...USB_FIFO_CON + 62110 46494700 000031b0 04000032 23040009 FIG...1....2#... + 62120 01040000 323f0400 02757362 6669666f ....2?...usbfifo + 62130 5f617069 000c0000 3295035f 696e6974 _api....2.._init + 62140 00000032 41022300 035f656e 61626c65 ...2A.#.._enable + 62150 5f657665 6e745f69 73720000 0002a302 _event_isr...... + 62160 23040370 52657365 72766564 00000002 #..pReserved.... + 62170 2f022308 00070000 166f0200 0032a208 /.#......o...2.. + 62180 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 62190 52000800 00331403 456e6470 6f696e74 R....3..Endpoint + 621a0 49440000 00166f02 23000346 6c616773 ID....o.#..Flags + 621b0 00000016 6f022301 03506179 6c6f6164 ....o.#..Payload + 621c0 4c656e00 00001c97 02230203 436f6e74 Len......#..Cont + 621d0 726f6c42 79746573 00000032 95022304 rolBytes...2..#. + 621e0 03486f73 74536571 4e756d00 00001c97 .HostSeqNum..... + 621f0 02230600 12020000 332d034d 65737361 .#......3-.Messa + 62200 67654944 0000001c 97022300 00120800 geID......#..... + 62210 00339003 4d657373 61676549 44000000 .3..MessageID... + 62220 1c970223 00034372 65646974 436f756e ...#..CreditCoun + 62230 74000000 1c970223 02034372 65646974 t......#..Credit + 62240 53697a65 0000001c 97022304 034d6178 Size......#..Max + 62250 456e6470 6f696e74 73000000 166f0223 Endpoints....o.# + 62260 06035f50 61643100 0000166f 02230700 .._Pad1....o.#.. + 62270 120a0000 3427034d 65737361 67654944 ....4'.MessageID + 62280 0000001c 97022300 03536572 76696365 ......#..Service + 62290 49440000 001c9702 23020343 6f6e6e65 ID......#..Conne + 622a0 6374696f 6e466c61 67730000 001c9702 ctionFlags...... + 622b0 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 622c0 44000000 166f0223 06035570 4c696e6b D....o.#..UpLink + 622d0 50697065 49440000 00166f02 23070353 PipeID....o.#..S + 622e0 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 622f0 00000016 6f022308 035f5061 64310000 ....o.#.._Pad1.. + 62300 00166f02 23090012 0a000034 af034d65 ..o.#......4..Me + 62310 73736167 65494400 00001c97 02230003 ssageID......#.. + 62320 53657276 69636549 44000000 1c970223 ServiceID......# + 62330 02035374 61747573 00000016 6f022304 ..Status....o.#. + 62340 03456e64 706f696e 74494400 0000166f .EndpointID....o + 62350 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 62360 001c9702 23060353 65727669 63654d65 ....#..ServiceMe + 62370 74614c65 6e677468 00000016 6f022308 taLength....o.#. + 62380 035f5061 64310000 00166f02 23090012 ._Pad1....o.#... + 62390 02000034 c8034d65 73736167 65494400 ...4..MessageID. + 623a0 00001c97 02230000 12040000 3504034d .....#......5..M + 623b0 65737361 67654944 0000001c 97022300 essageID......#. + 623c0 03506970 65494400 0000166f 02230203 .PipeID....o.#.. + 623d0 43726564 6974436f 756e7400 0000166f CreditCount....o + 623e0 02230300 12040000 353b034d 65737361 .#......5;.Messa + 623f0 67654944 0000001c 97022300 03506970 geID......#..Pip + 62400 65494400 0000166f 02230203 53746174 eID....o.#..Stat + 62410 75730000 00166f02 23030012 02000035 us....o.#......5 + 62420 62035265 636f7264 49440000 00166f02 b.RecordID....o. + 62430 2300034c 656e6774 68000000 166f0223 #..Length....o.# + 62440 01001202 0000358c 03456e64 706f696e ......5..Endpoin + 62450 74494400 0000166f 02230003 43726564 tID....o.#..Cred + 62460 69747300 0000166f 02230100 12040000 its....o.#...... + 62470 35cd0345 6e64706f 696e7449 44000000 5..EndpointID... + 62480 166f0223 00034372 65646974 73000000 .o.#..Credits... + 62490 166f0223 01035467 74437265 64697453 .o.#..TgtCreditS + 624a0 65714e6f 0000001c 97022302 00070000 eqNo......#..... + 624b0 166f0400 0035da08 03001206 00003616 .o...5........6. + 624c0 03507265 56616c69 64000000 166f0223 .PreValid....o.# + 624d0 00034c6f 6f6b4168 65616400 000035cd ..LookAhead...5. + 624e0 02230103 506f7374 56616c69 64000000 .#..PostValid... + 624f0 166f0223 05000670 6f6f6c5f 68616e64 .o.#...pool_hand + 62500 6c655f74 00000002 2f0a0000 36160104 le_t..../...6... + 62510 00003629 04000901 04000036 36040014 ..6).......66... + 62520 04000036 b410504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 62530 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL + 62540 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 62550 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ + 62560 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... + 62570 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 62580 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 62590 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 625a0 00000036 3f090104 000036c5 04000a00 ...6?.....6..... + 625b0 00263401 04000036 ce04000a 00002634 .&4....6......&4 + 625c0 01040000 36db0400 09010400 0036e804 ....6........6.. + 625d0 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 625e0 0000378a 035f696e 69740000 00362f02 ..7.._init...6/. + 625f0 2300035f 73687574 646f776e 00000036 #.._shutdown...6 + 62600 38022304 035f6372 65617465 5f706f6f 8.#.._create_poo + 62610 6c000000 36c70223 08035f61 6c6c6f63 l...6..#.._alloc + 62620 5f627566 00000036 d402230c 035f616c _buf...6..#.._al + 62630 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 62640 36e10223 10035f66 7265655f 62756600 6..#.._free_buf. + 62650 000036ea 02231403 70526573 65727665 ..6..#..pReserve + 62660 64000000 022f0223 1800025f 4854435f d..../.#..._HTC_ + 62670 53455256 49434500 1c000038 6903704e SERVICE....8i.pN + 62680 65787400 00003869 02230003 50726f63 ext...8i.#..Proc + 62690 65737352 6563764d 73670000 00391e02 essRecvMsg...9.. + 626a0 23040350 726f6365 73735365 6e644275 #..ProcessSendBu + 626b0 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 626c0 27022308 0350726f 63657373 436f6e6e '.#..ProcessConn + 626d0 65637400 0000393b 02230c03 53657276 ect...9;.#..Serv + 626e0 69636549 44000000 01c80223 10035365 iceID......#..Se + 626f0 72766963 65466c61 67730000 0001c802 rviceFlags...... + 62700 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 62710 00000001 c8022314 03547261 696c6572 ......#..Trailer + 62720 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 62730 01c80223 16035365 72766963 65437478 ...#..ServiceCtx + 62740 00000002 2f022318 00040000 378a0400 ..../.#.....7... + 62750 14040000 39071945 4e44504f 494e545f ....9..ENDPOINT_ + 62760 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP + 62770 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT + 62780 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... + 62790 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP + 627a0 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT + 627b0 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... + 627c0 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP + 627d0 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT + 627e0 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP + 627f0 4f494e54 5f494400 00003870 09010400 OINT_ID...8p.... + 62800 00391c04 00090104 00003925 04000400 .9........9%.... + 62810 0001ea04 000a0000 019e0104 00003935 ..............95 + 62820 04000400 00378a04 00025f48 54435f43 .....7...._HTC_C + 62830 4f4e4649 47001400 0039ba03 43726564 ONFIG....9..Cred + 62840 69745369 7a650000 0001ea02 23000343 itSize......#..C + 62850 72656469 744e756d 62657200 000001ea reditNumber..... + 62860 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... + 62870 33022308 03484946 48616e64 6c650000 3.#..HIFHandle.. + 62880 00289c02 230c0350 6f6f6c48 616e646c .(..#..PoolHandl + 62890 65000000 36160223 1000025f 4854435f e...6..#..._HTC_ + 628a0 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 + 628b0 f603656e 645f706f 696e7400 0000019e ..end_point..... + 628c0 02230003 6874635f 666c6167 73000000 .#..htc_flags... + 628d0 019e0223 01000668 74635f68 616e646c ...#...htc_handl + 628e0 655f7400 0000022f 06485443 5f534554 e_t..../.HTC_SET + 628f0 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 62900 0002a306 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 62910 00394904 00003a23 04000a00 0039f601 .9I...:#.....9.. + 62920 0400003a 3a040009 01040000 3a470400 ...::.......:G.. + 62930 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 62940 8a040000 3a500400 09010400 003a6804 ....:P.......:h. + 62950 00090104 00003a71 04000901 0400003a ......:q.......: + 62960 7a04000a 000001ea 01040000 3a830400 z...........:... + 62970 02687463 5f617069 73003400 003c0003 .htc_apis.4..<.. + 62980 5f485443 5f496e69 74000000 3a400223 _HTC_Init...:@.# + 62990 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 629a0 00003a49 02230403 5f485443 5f526567 ..:I.#.._HTC_Reg + 629b0 69737465 72536572 76696365 0000003a isterService...: + 629c0 6a022308 035f4854 435f5265 61647900 j.#.._HTC_Ready. + 629d0 00003a49 02230c03 5f485443 5f526574 ..:I.#.._HTC_Ret + 629e0 75726e42 75666665 72730000 003a7302 urnBuffers...:s. + 629f0 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 62a00 66666572 734c6973 74000000 3a7c0223 ffersList...:|.# + 62a10 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 62a20 003a7302 2318035f 4854435f 47657452 .:s.#.._HTC_GetR + 62a30 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 62a40 00003a89 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg + 62a50 52656376 48616e64 6c657200 00002849 RecvHandler...(I + 62a60 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 62a70 6548616e 646c6572 00000028 40022324 eHandler...(@.#$ + 62a80 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 62a90 50726f63 6573734d 73670000 00391e02 ProcessMsg...9.. + 62aa0 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 62ab0 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 62ac0 706c6574 65000000 39270223 2c037052 plete...9'.#,.pR + 62ad0 65736572 76656400 0000022f 02233000 eserved..../.#0. + 62ae0 02686f73 745f6170 705f6172 65615f73 .host_app_area_s + 62af0 00040000 3c300377 6d695f70 726f746f ....<0.wmi_proto + 62b00 636f6c5f 76657200 00001608 02230000 col_ver......#.. + 62b10 120e0000 3c670364 73744d61 63000000 ....c + 62cf0 03487463 48616e64 6c650000 0039f602 .HtcHandle...9.. + 62d00 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... + 62d10 36160223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl + 62d20 79457674 73000000 01ea0223 08034d61 yEvts......#..Ma + 62d30 78457665 6e744576 74730000 0001ea02 xEventEvts...... + 62d40 230c0009 01040000 3e630400 06574d49 #.......>c...WMI + 62d50 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 62d60 65025f57 4d495f44 49535041 5443485f e._WMI_DISPATCH_ + 62d70 454e5452 59000800 003ecc03 70436d64 ENTRY....>..pCmd + 62d80 48616e64 6c657200 00003e6c 02230003 Handler...>l.#.. + 62d90 436d6449 44000000 01c80223 0403466c CmdID......#..Fl + 62da0 61677300 000001c8 02230600 025f574d ags......#..._WM + 62db0 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 62dc0 00100000 3f2d0370 4e657874 0000003f ....?-.pNext...? + 62dd0 2d022300 0370436f 6e746578 74000000 -.#..pContext... + 62de0 022f0223 04034e75 6d626572 4f66456e ./.#..NumberOfEn + 62df0 74726965 73000000 01ea0223 08037054 tries......#..pT + 62e00 61626c65 0000003f 4c02230c 00040000 able...?L.#..... + 62e10 3ecc0400 06574d49 5f444953 50415443 >....WMI_DISPATC + 62e20 485f454e 54525900 00003e81 0400003f H_ENTRY...>....? + 62e30 34040004 00003ecc 04000648 54435f42 4.....>....HTC_B + 62e40 55465f43 4f4e5445 58540000 0039ba0f UF_CONTEXT...9.. + 62e50 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 62e60 003fe419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 62e70 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ + 62e80 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 62e90 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL + 62ea0 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... + 62eb0 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 62ec0 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 62ed0 53530000 003f6f02 5f574d49 5f425546 SS...?o._WMI_BUF + 62ee0 5f434f4e 54455854 000c0000 40420348 _CONTEXT....@B.H + 62ef0 74634275 66437478 0000003f 5a022300 tcBufCtx...?Z.#. + 62f00 03457665 6e74436c 61737300 00003fe4 .EventClass...?. + 62f10 02230403 466c6167 73000000 01c80223 .#..Flags......# + 62f20 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 62f30 0000022f 06574d49 5f535643 5f434f4e .../.WMI_SVC_CON + 62f40 46494700 00003dfa 04000040 5404000a FIG...=....@T... + 62f50 00004042 01040000 406f0400 06574d49 ..@B....@o...WMI + 62f60 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 62f70 00003ecc 04000040 7c040009 01040000 ..>....@|....... + 62f80 409b0400 0a000026 34010400 0040a404 @......&4....@.. + 62f90 00090104 000040b1 04000a00 0001ea01 ......@......... + 62fa0 04000040 ba040009 01040000 40c70400 ...@........@... + 62fb0 0a000001 9e010400 0040d004 00025f77 .........@...._w + 62fc0 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 62fd0 18035f57 4d495f49 6e697400 00004075 .._WMI_Init...@u + 62fe0 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe + 62ff0 72446973 70617463 68546162 6c650000 rDispatchTable.. + 63000 00409d02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo + 63010 63457665 6e740000 0040aa02 2308035f cEvent...@..#.._ + 63020 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 63030 40b30223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe + 63040 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 63050 00000040 c0022310 035f574d 495f5365 ...@..#.._WMI_Se + 63060 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 63070 72000000 39270223 14035f57 4d495f47 r...9'.#.._WMI_G + 63080 6574436f 6e74726f 6c457000 000040c0 etControlEp...@. + 63090 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 630a0 6e000000 40c90223 1c035f57 4d495f52 n...@..#.._WMI_R + 630b0 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 630c0 72000000 391e0223 20035f57 4d495f53 r...9..# ._WMI_S + 630d0 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 630e0 40d60223 24037052 65736572 76656400 @..#$.pReserved. + 630f0 0000022f 02232800 027a7344 6d614465 .../.#(..zsDmaDe + 63100 73630014 0000429a 03637472 6c000000 sc....B..ctrl... + 63110 01b20223 00037374 61747573 00000001 ...#..status.... + 63120 b2022302 03746f74 616c4c65 6e000000 ..#..totalLen... + 63130 01b20223 04036461 74615369 7a650000 ...#..dataSize.. + 63140 0001b202 2306036c 61737441 64647200 ....#..lastAddr. + 63150 0000429a 02230803 64617461 41646472 ..B..#..dataAddr + 63160 00000003 1502230c 036e6578 74416464 ......#..nextAdd + 63170 72000000 429a0223 10000400 00421804 r...B..#.....B.. + 63180 00040000 42180400 027a7344 6d615175 ....B....zsDmaQu + 63190 65756500 08000042 da036865 61640000 eue....B..head.. + 631a0 0042a102 23000374 65726d69 6e61746f .B..#..terminato + 631b0 72000000 42a10223 0400027a 73547844 r...B..#...zsTxD + 631c0 6d615175 65756500 10000043 3e036865 maQueue....C>.he + 631d0 61640000 0042a102 23000374 65726d69 ad...B..#..termi + 631e0 6e61746f 72000000 42a10223 0403786d nator...B..#..xm + 631f0 69746564 5f627566 5f686561 64000000 ited_buf_head... + 63200 14280223 0803786d 69746564 5f627566 .(.#..xmited_buf + 63210 5f746169 6c000000 14280223 0c000901 _tail....(.#.... + 63220 04000043 3e040004 000042a8 04000901 ...C>.....B..... + 63230 04000043 4e040004 000042da 04000901 ...CN.....B..... + 63240 04000043 5e040009 01040000 43670400 ...C^.......Cg.. + 63250 09010400 00437004 000a0000 14280104 .....Cp......(.. + 63260 00004379 04000901 04000043 8604000a ..Cy.......C.... + 63270 00001428 01040000 438f0400 09010400 ...(....C....... + 63280 00439c04 000a0000 01ea0104 000043a5 .C............C. + 63290 04000a00 0042a101 04000043 b2040009 .....B.....C.... + 632a0 01040000 43bf0400 02646d61 5f656e67 ....C....dma_eng + 632b0 696e655f 61706900 40000045 35035f69 ine_api.@..E5._i + 632c0 6e697400 00004340 02230003 5f696e69 nit...C@.#.._ini + 632d0 745f7278 5f717565 75650000 00435002 t_rx_queue...CP. + 632e0 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu + 632f0 65000000 43600223 08035f63 6f6e6669 e...C`.#.._confi + 63300 675f7278 5f717565 75650000 00436902 g_rx_queue...Ci. + 63310 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C + 63320 72022310 035f666c 7573685f 786d6974 r.#.._flush_xmit + 63330 00000043 50022314 035f7265 61705f72 ...CP.#.._reap_r + 63340 6563765f 62756600 0000437f 02231803 ecv_buf...C..#.. + 63350 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 63360 00000043 8802231c 035f7265 61705f78 ...C..#.._reap_x + 63370 6d697465 645f6275 66000000 43950223 mited_buf...C..# + 63380 20035f73 7761705f 64617461 00000043 ._swap_data...C + 63390 9e022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ + 633a0 7061636b 65747300 000043ab 02232803 packets...C..#(. + 633b0 5f646573 635f6475 6d700000 00435002 _desc_dump...CP. + 633c0 232c035f 6765745f 7061636b 65740000 #,._get_packet.. + 633d0 0043b802 2330035f 7265636c 61696d5f .C..#0._reclaim_ + 633e0 7061636b 65740000 0043c102 2334035f packet...C..#4._ + 633f0 7075745f 7061636b 65740000 0043c102 put_packet...C.. + 63400 23380370 52657365 72766564 00000002 #8.pReserved.... + 63410 2f02233c 00065f41 5f636d6e 6f735f69 /.#<.._A_cmnos_i + 63420 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 63430 5f740000 00307406 574d495f 5356435f _t...0t.WMI_SVC_ + 63440 41504953 00000040 dd175f41 5f6d6167 APIS...@.._A_mag + 63450 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 63460 7461626c 6500034c 00004663 03636d6e table..L..Fc.cmn + 63470 6f730000 00453502 23000364 62670000 os...E5.#..dbg.. + 63480 00053e03 23b80303 68696600 0000293f ..>.#...hif...)? + 63490 0323c003 03687463 0000003a 900323f8 .#...htc...:..#. + 634a0 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 634b0 45570323 ac040375 73626669 666f5f61 EW.#...usbfifo_a + 634c0 70690000 00324803 23d80403 6275665f pi...2H.#...buf_ + 634d0 706f6f6c 00000036 f10323e4 04037662 pool...6..#...vb + 634e0 75660000 00145203 23800503 76646573 uf....R.#...vdes + 634f0 63000000 02320323 94050361 6c6c6f63 c....2.#...alloc + 63500 72616d00 00000aa0 0323a805 03646d61 ram......#...dma + 63510 5f656e67 696e6500 000043c8 0323b405 _engine...C..#.. + 63520 03646d61 5f6c6962 0000002b d30323f4 .dma_lib...+..#. + 63530 05036869 665f7063 69000000 2e330323 ..hif_pci....3.# + 63540 a8060002 56444553 435f434f 4e544558 ....VDESC_CONTEX + 63550 54000800 0046a203 66726565 5f766465 T....F..free_vde + 63560 73635f68 65616400 00000205 02230003 sc_head......#.. + 63570 70526573 65727665 64000000 022f0223 pReserved..../.# + 63580 04001a67 5f766465 73634374 78000000 ...g_vdescCtx... + 63590 46630503 00500b04 01065f41 5f6d6167 Fc...P...._A_mag + 635a0 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 635b0 7461626c 655f7400 00004569 04000002 table_t...Ei.... + 635c0 32040009 01040000 020c0400 1b01225f 2............."_ + 635d0 76646573 635f696e 69740001 01039201 vdesc_init...... + 635e0 20029000 008e4f74 008e4faf 00004732 .....Ot..O...G2 + 635f0 1c01226e 44657363 00000001 ea01521d .."nDesc......R. + 63600 76646573 63000000 02051d69 00000001 vdesc......i.... + 63610 ea001e01 865f7664 6573635f 616c6c6f ....._vdesc_allo + 63620 635f6465 73630000 00020501 03920120 c_desc......... + 63630 02900000 8e4fb000 8e4fc800 00476f1d .....O...O...Go. + 63640 616c6c6f 63446573 63000000 0205001f allocDesc....... + 63650 01a15f76 64657363 5f676574 5f68775f .._vdesc_get_hw_ + 63660 64657363 00000001 ab010103 92012002 desc.......... . + 63670 9000008e 4fc8008e 4fd00000 47ad1c01 ....O...O...G... + 63680 a1646573 63000000 02050152 001b01b1 .desc......R.... + 63690 5f766465 73635f73 7761705f 76646573 _vdesc_swap_vdes + 636a0 63000101 03920120 02900000 8e4fd000 c...... .....O.. + 636b0 8e500d00 0048091c 01b16465 73740000 .P...H....dest.. + 636c0 00020501 521c01b1 73726300 00000205 ....R...src..... + 636d0 01531d74 6d700000 000a851d 746d7041 .S.tmp......tmpA + 636e0 64647200 000001ab 002001cd 76646573 ddr...... ..vdes + 636f0 635f6d6f 64756c65 5f696e73 74616c6c c_module_install + 63700 00010103 92012002 9000008e 5010008e ...... .....P... + 63710 50291c01 cd617069 73000000 46dc0152 P)...apis...F..R + 63720 00000000 00589c00 02000023 ff04012f .....X.....#.../ + 63730 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 63740 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 63750 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 63760 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 63770 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 63780 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + 63790 2f737263 2f687463 2e63002f 726f6f74 /src/htc.c./root + 637a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 637b0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 637c0 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 637d0 63007874 2d786363 20666f72 20372e31 c.xt-xcc for 7.1 + 637e0 2e30202d 4f50543a 616c6967 6e5f696e .0 -OPT:align_in + 637f0 73747275 6374696f 6e733d33 32202d4f structions=32 -O + 63800 32202d67 33202d4f 50543a73 70616365 2 -g3 -OPT:space + 63810 00010000 01611802 01030000 00f40400 .....a.......... + 63820 04696e74 00050404 63686172 00070105 .int....char.... + 63830 00000104 05000001 04030000 01110400 ................ + 63840 06000000 fd010300 00011d04 00077072 ..............pr + 63850 696e7466 5f617069 00080000 0161085f intf_api.....a._ + 63860 7072696e 74665f69 6e697400 000000f6 printf_init..... + 63870 02230008 5f707269 6e746600 00000123 .#.._printf....# + 63880 02230400 0473686f 72742075 6e736967 .#...short unsig + 63890 6e656420 696e7400 07020975 696e7431 ned int....uint1 + 638a0 365f7400 00000161 046c6f6e 6720756e 6_t....a.long un + 638b0 7369676e 65642069 6e740007 04097569 signed int....ui + 638c0 6e743332 5f740000 00018507 75617274 nt32_t......uart + 638d0 5f666966 6f000800 0001f308 73746172 _fifo.......star + 638e0 745f696e 64657800 00000177 02230008 t_index....w.#.. + 638f0 656e645f 696e6465 78000000 01770223 end_index....w.# + 63900 02086f76 65727275 6e5f6572 72000000 ..overrun_err... + 63910 019a0223 04000775 6172745f 61706900 ...#...uart_api. + 63920 20000002 ac085f75 6172745f 696e6974 ....._uart_init + 63930 00000003 03022300 085f7561 72745f63 ......#.._uart_c + 63940 6861725f 70757400 0000032a 02230408 har_put....*.#.. + 63950 5f756172 745f6368 61725f67 65740000 _uart_char_get.. + 63960 00033e02 2308085f 75617274 5f737472 ..>.#.._uart_str + 63970 5f6f7574 00000003 4702230c 085f7561 _out....G.#.._ua + 63980 72745f74 61736b00 000000f6 02231008 rt_task......#.. + 63990 5f756172 745f7374 61747573 00000003 _uart_status.... + 639a0 03022314 085f7561 72745f63 6f6e6669 ..#.._uart_confi + 639b0 67000000 03500223 18085f75 6172745f g....P.#.._uart_ + 639c0 6877696e 69740000 00035902 231c0003 hwinit....Y.#... + 639d0 000001f3 04000775 6172745f 626c6b00 .......uart_blk. + 639e0 10000002 fd086465 6275675f 6d6f6465 ......debug_mode + 639f0 00000001 77022300 08626175 64000000 ....w.#..baud... + 63a00 01770223 02085f75 61727400 000002ac .w.#.._uart..... + 63a10 02230408 5f747800 000001a8 02230800 .#.._tx......#.. + 63a20 06000001 9a010300 0002fd04 0004756e ..............un + 63a30 7369676e 65642063 68617200 07010975 signed char....u + 63a40 696e7438 5f740000 00030a02 01030000 int8_t.......... + 63a50 03280400 03000003 1b040006 00000177 .(.............w + 63a60 01030000 03380400 02010300 00034504 .....8........E. + 63a70 00020103 0000034e 04000201 03000003 .......N........ + 63a80 57040003 00000104 04000600 0000fd01 W............... + 63a90 03000003 67040007 44425f43 4f4d4d41 ....g...DB_COMMA + 63aa0 4e445f53 54525543 54000c00 0003bf08 ND_STRUCT....... + 63ab0 636d645f 73747200 00000360 02230008 cmd_str....`.#.. + 63ac0 68656c70 5f737472 00000003 60022304 help_str....`.#. + 63ad0 08636d64 5f66756e 63000000 036d0223 .cmd_func....m.# + 63ae0 08000764 62675f61 70690008 000003f2 ...dbg_api...... + 63af0 085f6462 675f696e 69740000 0000f602 ._dbg_init...... + 63b00 2300085f 6462675f 7461736b 00000000 #.._dbg_task.... + 63b10 f6022304 000a0400 04756e73 69676e65 ..#......unsigne + 63b20 6420696e 74000704 06000003 f2010300 d int........... + 63b30 00040504 000b0b03 00000413 04000600 ................ + 63b40 0003f201 03000004 1b040006 000000fd ................ + 63b50 01030000 04280400 076d656d 5f617069 .....(...mem_api + 63b60 00140000 0497085f 6d656d5f 696e6974 ......._mem_init + 63b70 00000000 f6022300 085f6d65 6d736574 ......#.._memset + 63b80 00000004 0b022304 085f6d65 6d637079 ......#.._memcpy + 63b90 00000004 21022308 085f6d65 6d6d6f76 ....!.#.._memmov + 63ba0 65000000 04210223 0c085f6d 656d636d e....!.#.._memcm + 63bb0 70000000 042e0223 10000c72 65676973 p......#...regis + 63bc0 7465725f 64756d70 5f730000 01030000 ter_dump_s...... + 63bd0 04970400 02010300 0004b104 00020103 ................ + 63be0 000004ba 04000600 0000fd01 03000004 ................ + 63bf0 c304000d 686f7374 69665f73 00040000 ....hostif_s.... + 63c00 051f0e48 49465f55 53420000 0e484946 ...HIF_USB...HIF + 63c10 5f504349 4500010e 4849465f 474d4143 _PCIE...HIF_GMAC + 63c20 00020e48 49465f50 43490003 0e484946 ...HIF_PCI...HIF + 63c30 5f4e554d 00040e48 49465f4e 4f4e4500 _NUM...HIF_NONE. + 63c40 05000941 5f484f53 54494600 000004d0 ...A_HOSTIF..... + 63c50 06000005 1f010300 00052d04 00060000 ..........-..... + 63c60 031b0103 0000053a 04000600 00017701 .......:......w. + 63c70 03000005 47040007 6d697363 5f617069 ....G...misc_api + 63c80 00240000 0637085f 73797374 656d5f72 .$...7._system_r + 63c90 65736574 00000000 f6022300 085f6d61 eset......#.._ma + 63ca0 635f7265 73657400 000000f6 02230408 c_reset......#.. + 63cb0 5f617373 6661696c 00000004 b3022308 _assfail......#. + 63cc0 085f6d69 73616c69 676e6564 5f6c6f61 ._misaligned_loa + 63cd0 645f6861 6e646c65 72000000 04b30223 d_handler......# + 63ce0 0c085f72 65706f72 745f6661 696c7572 .._report_failur + 63cf0 655f746f 5f686f73 74000000 04bc0223 e_to_host......# + 63d00 10085f74 61726765 745f6964 5f676574 .._target_id_get + 63d10 00000004 c9022314 085f6973 5f686f73 ......#.._is_hos + 63d20 745f7072 6573656e 74000000 05330223 t_present....3.# + 63d30 18085f6b 62686974 00000005 4002231c .._kbhit....@.#. + 63d40 085f726f 6d5f7665 7273696f 6e5f6765 ._rom_version_ge + 63d50 74000000 054d0223 20000600 00036001 t....M.# .....`. + 63d60 03000006 37040006 00000360 01030000 ....7......`.... + 63d70 06440400 06000000 fd010300 00065104 .D............Q. + 63d80 00060000 00fd0103 0000065e 04000600 ...........^.... + 63d90 0000fd01 03000006 6b040007 73747269 ........k...stri + 63da0 6e675f61 70690018 000006f1 085f7374 ng_api......._st + 63db0 72696e67 5f696e69 74000000 00f60223 ring_init......# + 63dc0 00085f73 74726370 79000000 063d0223 .._strcpy....=.# + 63dd0 04085f73 74726e63 70790000 00064a02 .._strncpy....J. + 63de0 2308085f 7374726c 656e0000 00065702 #.._strlen....W. + 63df0 230c085f 73747263 6d700000 00066402 #.._strcmp....d. + 63e00 2310085f 7374726e 636d7000 00000671 #.._strncmp....q + 63e10 02231400 0f000003 f5140000 06fe1004 .#.............. + 63e20 00095f41 5f54494d 45525f53 50414345 .._A_TIMER_SPACE + 63e30 00000006 f109415f 74696d65 725f7400 ......A_timer_t. + 63e40 000006fe 03000007 12040002 01030000 ................ + 63e50 07280400 02010300 00073104 0009415f .(........1...A_ + 63e60 48414e44 4c450000 0003f502 0109415f HANDLE........A_ + 63e70 54494d45 525f4655 4e430000 00074803 TIMER_FUNC....H. + 63e80 0000074a 04000201 03000007 63040007 ...J........c... + 63e90 74696d65 725f6170 69001400 0007e208 timer_api....... + 63ea0 5f74696d 65725f69 6e697400 000000f6 _timer_init..... + 63eb0 02230008 5f74696d 65725f61 726d0000 .#.._timer_arm.. + 63ec0 00072a02 2304085f 74696d65 725f6469 ..*.#.._timer_di + 63ed0 7361726d 00000007 33022308 085f7469 sarm....3.#.._ti + 63ee0 6d65725f 73657466 6e000000 07650223 mer_setfn....e.# + 63ef0 0c085f74 696d6572 5f72756e 00000000 .._timer_run.... + 63f00 f6022310 0009424f 4f4c4541 4e000000 ..#...BOOLEAN... + 63f10 01770600 0007e201 03000007 ef040006 .w.............. + 63f20 000007e2 01030000 07fc0400 06000007 ................ + 63f30 e2010300 00080904 0007726f 6d705f61 ..........romp_a + 63f40 70690010 0000087b 085f726f 6d705f69 pi.....{._romp_i + 63f50 6e697400 000000f6 02230008 5f726f6d nit......#.._rom + 63f60 705f646f 776e6c6f 61640000 0007f502 p_download...... + 63f70 2304085f 726f6d70 5f696e73 74616c6c #.._romp_install + 63f80 00000008 02022308 085f726f 6d705f64 ......#.._romp_d + 63f90 65636f64 65000000 080f0223 0c000772 ecode......#...r + 63fa0 6f6d5f70 61746368 5f737400 10000008 om_patch_st..... + 63fb0 d7086372 63313600 00000177 02230008 ..crc16....w.#.. + 63fc0 6c656e00 00000177 02230208 6c645f61 len....w.#..ld_a + 63fd0 64647200 0000019a 02230408 66756e5f ddr......#..fun_ + 63fe0 61646472 00000001 9a022308 08706675 addr......#..pfu + 63ff0 6e000000 03310223 0c000765 65705f72 n....1.#...eep_r + 64000 65646972 5f616464 72000400 00090908 edir_addr....... + 64010 6f666673 65740000 00017702 23000873 offset....w.#..s + 64020 697a6500 00000177 02230200 09415f55 ize....w.#...A_U + 64030 494e5433 32000000 03f50600 0003f201 INT32........... + 64040 03000009 17040007 616c6c6f 6372616d ........allocram + 64050 5f617069 000c0000 09880863 6d6e6f73 _api.......cmnos + 64060 5f616c6c 6f637261 6d5f696e 69740000 _allocram_init.. + 64070 00091d02 23000863 6d6e6f73 5f616c6c ....#..cmnos_all + 64080 6f637261 6d000000 091d0223 0408636d ocram......#..cm + 64090 6e6f735f 616c6c6f 6372616d 5f646562 nos_allocram_deb + 640a0 75670000 0000f602 23080002 01030000 ug......#....... + 640b0 09880400 09415f54 41534b4c 45545f46 .....A_TASKLET_F + 640c0 554e4300 0000098a 075f7461 736b6c65 UNC......_taskle + 640d0 74001000 0009e908 66756e63 00000009 t.......func.... + 640e0 91022300 08617267 00000003 f2022304 ..#..arg......#. + 640f0 08737461 74650000 0000fd02 2308086e .state......#..n + 64100 65787400 000009e9 02230c00 03000009 ext......#...... + 64110 a5040003 000009a5 04000941 5f746173 ...........A_tas + 64120 6b6c6574 5f740000 0009a503 000009f7 klet_t.......... + 64130 04000201 0300000a 0f040002 01030000 ................ + 64140 0a180400 07746173 6b6c6574 5f617069 .....tasklet_api + 64150 00140000 0aad085f 7461736b 6c65745f ......._tasklet_ + 64160 696e6974 00000000 f6022300 085f7461 init......#.._ta + 64170 736b6c65 745f696e 69745f74 61736b00 sklet_init_task. + 64180 00000a11 02230408 5f746173 6b6c6574 .....#.._tasklet + 64190 5f646973 61626c65 0000000a 1a022308 _disable......#. + 641a0 085f7461 736b6c65 745f7363 68656475 ._tasklet_schedu + 641b0 6c650000 000a1a02 230c085f 7461736b le......#.._task + 641c0 6c65745f 72756e00 000000f6 02231000 let_run......#.. + 641d0 02010300 000aad04 00060000 09090103 ................ + 641e0 00000ab6 04000201 0300000a c3040007 ................ + 641f0 636c6f63 6b5f6170 69002400 000ba508 clock_api.$..... + 64200 5f636c6f 636b5f69 6e697400 00000aaf _clock_init..... + 64210 02230008 5f636c6f 636b7265 67735f69 .#.._clockregs_i + 64220 6e697400 000000f6 02230408 5f756172 nit......#.._uar + 64230 745f6672 65717565 6e637900 00000abc t_frequency..... + 64240 02230808 5f64656c 61795f75 73000000 .#.._delay_us... + 64250 0ac50223 0c085f77 6c616e5f 62616e64 ...#.._wlan_band + 64260 5f736574 0000000a c5022310 085f7265 _set......#.._re + 64270 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 64280 000abc02 2314085f 6d696c6c 69736563 ....#.._millisec + 64290 6f6e6473 0000000a bc022318 085f7379 onds......#.._sy + 642a0 73636c6b 5f636861 6e676500 000000f6 sclk_change..... + 642b0 02231c08 5f636c6f 636b5f74 69636b00 .#.._clock_tick. + 642c0 000000f6 02232000 06000001 9a010300 .....# ......... + 642d0 000ba504 0009415f 6f6c645f 696e7472 ......A_old_intr + 642e0 5f740000 00019a06 00000bb2 01030000 _t.............. + 642f0 0bc40400 02010300 000bd104 00020103 ................ + 64300 00000bda 04000600 00019a01 0300000b ................ + 64310 e3040009 415f6973 725f7400 00000be9 ....A_isr_t..... + 64320 02010300 000bfd04 00060000 03f50103 ................ + 64330 00000c06 04000201 0300000c 13040007 ................ + 64340 696e7472 5f617069 002c0000 0d35085f intr_api.,...5._ + 64350 696e7472 5f696e69 74000000 00f60223 intr_init......# + 64360 00085f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i + 64370 73720000 000bab02 2304085f 696e7472 sr......#.._intr + 64380 5f646973 61626c65 0000000b ca022308 _disable......#. + 64390 085f696e 74725f72 6573746f 72650000 ._intr_restore.. + 643a0 000bd302 230c085f 696e7472 5f6d6173 ....#.._intr_mas + 643b0 6b5f696e 756d0000 000bdc02 2310085f k_inum......#.._ + 643c0 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum + 643d0 0000000b dc022314 085f696e 74725f61 ......#.._intr_a + 643e0 74746163 685f6973 72000000 0bff0223 ttach_isr......# + 643f0 18085f67 65745f69 6e747265 6e61626c .._get_intrenabl + 64400 65000000 0c0c0223 1c085f73 65745f69 e......#.._set_i + 64410 6e747265 6e61626c 65000000 0c150223 ntrenable......# + 64420 20085f67 65745f69 6e747270 656e6469 ._get_intrpendi + 64430 6e670000 000c0c02 2324085f 756e626c ng......#$._unbl + 64440 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. + 64450 000000f6 02232800 11040000 0d5b0874 .....#(......[.t + 64460 696d656f 75740000 00019a02 23000861 imeout......#..a + 64470 6374696f 6e000000 019a0223 00001208 ction......#.... + 64480 00000d76 08636d64 00000001 9a022300 ...v.cmd......#. + 64490 1300000d 35022304 0009545f 5744545f ....5.#...T_WDT_ + 644a0 434d4400 00000d5b 02010300 000d8504 CMD....[........ + 644b0 00140400 000ddb0e 454e554d 5f574454 ........ENUM_WDT + 644c0 5f424f4f 5400010e 454e554d 5f434f4c _BOOT...ENUM_COL + 644d0 445f424f 4f540002 0e454e55 4d5f5355 D_BOOT...ENUM_SU + 644e0 53505f42 4f4f5400 030e454e 554d5f55 SP_BOOT...ENUM_U + 644f0 4e4b4e4f 574e5f42 4f4f5400 04000954 NKNOWN_BOOT....T + 64500 5f424f4f 545f5459 50450000 000d8e06 _BOOT_TYPE...... + 64510 00000ddb 01030000 0dec0400 07776474 .............wdt + 64520 5f617069 001c0000 0e90085f 7764745f _api......._wdt_ + 64530 696e6974 00000000 f6022300 085f7764 init......#.._wd + 64540 745f656e 61626c65 00000000 f6022304 t_enable......#. + 64550 085f7764 745f6469 7361626c 65000000 ._wdt_disable... + 64560 00f60223 08085f77 64745f73 65740000 ...#.._wdt_set.. + 64570 000d8702 230c085f 7764745f 7461736b ....#.._wdt_task + 64580 00000000 f6022310 085f7764 745f7265 ......#.._wdt_re + 64590 73657400 000000f6 02231408 5f776474 set......#.._wdt + 645a0 5f6c6173 745f626f 6f740000 000df202 _last_boot...... + 645b0 23180014 0400000e f70e5245 545f5355 #.........RET_SU + 645c0 43434553 5300000e 5245545f 4e4f545f CCESS...RET_NOT_ + 645d0 494e4954 00010e52 45545f4e 4f545f45 INIT...RET_NOT_E + 645e0 58495354 00020e52 45545f45 45505f43 XIST...RET_EEP_C + 645f0 4f525255 50540003 0e524554 5f454550 ORRUPT...RET_EEP + 64600 5f4f5645 52464c4f 5700040e 5245545f _OVERFLOW...RET_ + 64610 554e4b4e 4f574e00 05000954 5f454550 UNKNOWN....T_EEP + 64620 5f524554 0000000e 90030000 01770400 _RET.........w.. + 64630 0600000e f7010300 000f0d04 00060000 ................ + 64640 0ef70103 00000f1a 04000765 65705f61 ...........eep_a + 64650 70690010 00000f83 085f6565 705f696e pi......._eep_in + 64660 69740000 0000f602 2300085f 6565705f it......#.._eep_ + 64670 72656164 0000000f 13022304 085f6565 read......#.._ee + 64680 705f7772 69746500 00000f13 02230808 p_write......#.. + 64690 5f656570 5f69735f 65786973 74000000 _eep_is_exist... + 646a0 0f200223 0c000775 73625f61 70690070 . .#...usb_api.p + 646b0 00001230 085f7573 625f696e 69740000 ...0._usb_init.. + 646c0 0000f602 2300085f 7573625f 726f6d5f ....#.._usb_rom_ + 646d0 7461736b 00000000 f6022304 085f7573 task......#.._us + 646e0 625f6677 5f746173 6b000000 00f60223 b_fw_task......# + 646f0 08085f75 73625f69 6e69745f 70687900 .._usb_init_phy. + 64700 000000f6 02230c08 5f757362 5f657030 .....#.._usb_ep0 + 64710 5f736574 75700000 0000f602 2310085f _setup......#.._ + 64720 7573625f 6570305f 74780000 0000f602 usb_ep0_tx...... + 64730 2314085f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. + 64740 0000f602 2318085f 7573625f 6765745f ....#.._usb_get_ + 64750 696e7465 72666163 65000000 08020223 interface......# + 64760 1c085f75 73625f73 65745f69 6e746572 .._usb_set_inter + 64770 66616365 00000008 02022320 085f7573 face......# ._us + 64780 625f6765 745f636f 6e666967 75726174 b_get_configurat + 64790 696f6e00 00000802 02232408 5f757362 ion......#$._usb + 647a0 5f736574 5f636f6e 66696775 72617469 _set_configurati + 647b0 6f6e0000 00080202 2328085f 7573625f on......#(._usb_ + 647c0 7374616e 64617264 5f636d64 00000008 standard_cmd.... + 647d0 0202232c 085f7573 625f7665 6e646f72 ..#,._usb_vendor + 647e0 5f636d64 00000000 f6022330 085f7573 _cmd......#0._us + 647f0 625f706f 7765725f 6f666600 000000f6 b_power_off..... + 64800 02233408 5f757362 5f726573 65745f66 .#4._usb_reset_f + 64810 69666f00 000000f6 02233808 5f757362 ifo......#8._usb + 64820 5f67656e 5f776474 00000000 f602233c _gen_wdt......#< + 64830 085f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. + 64840 000000f6 02234008 5f757362 5f636c72 .....#@._usb_clr + 64850 5f666561 74757265 00000008 02022344 _feature......#D + 64860 085f7573 625f7365 745f6665 61747572 ._usb_set_featur + 64870 65000000 08020223 48085f75 73625f73 e......#H._usb_s + 64880 65745f61 64647265 73730000 00080202 et_address...... + 64890 234c085f 7573625f 6765745f 64657363 #L._usb_get_desc + 648a0 72697074 6f720000 00080202 2350085f riptor......#P._ + 648b0 7573625f 6765745f 73746174 75730000 usb_get_status.. + 648c0 00080202 2354085f 7573625f 73657475 ....#T._usb_setu + 648d0 705f6465 73630000 0000f602 2358085f p_desc......#X._ + 648e0 7573625f 7265675f 6f757400 000000f6 usb_reg_out..... + 648f0 02235c08 5f757362 5f737461 7475735f .#\._usb_status_ + 64900 696e0000 0000f602 2360085f 7573625f in......#`._usb_ + 64910 6570305f 74785f64 61746100 000000f6 ep0_tx_data..... + 64920 02236408 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ + 64930 64617461 00000000 f6022368 085f7573 data......#h._us + 64940 625f636c 6b5f696e 69740000 0000f602 b_clk_init...... + 64950 236c0007 5f564445 53430024 000012bc #l.._VDESC.$.... + 64960 086e6578 745f6465 73630000 0012bc02 .next_desc...... + 64970 23000862 75665f61 64647200 000012d0 #..buf_addr..... + 64980 02230408 6275665f 73697a65 00000012 .#..buf_size.... + 64990 d7022308 08646174 615f6f66 66736574 ..#..data_offset + 649a0 00000012 d702230a 08646174 615f7369 ......#..data_si + 649b0 7a650000 0012d702 230c0863 6f6e7472 ze......#..contr + 649c0 6f6c0000 0012d702 230e0868 775f6465 ol......#..hw_de + 649d0 73635f62 75660000 0012e502 23100003 sc_buf......#... + 649e0 00001230 04000941 5f55494e 54380000 ...0...A_UINT8.. + 649f0 00030a03 000012c3 04000941 5f55494e ...........A_UIN + 64a00 54313600 00000161 0f000012 c3140000 T16....a........ + 64a10 12f21013 00030000 12300400 09564445 .........0...VDE + 64a20 53430000 00123003 000012f9 04000600 SC....0......... + 64a30 00130401 03000013 0b040006 000012d0 ................ + 64a40 01030000 13180400 02010300 00132504 ..............%. + 64a50 00077664 6573635f 61706900 14000013 ..vdesc_api..... + 64a60 9d085f69 6e697400 00000ac5 02230008 .._init......#.. + 64a70 5f616c6c 6f635f76 64657363 00000013 _alloc_vdesc.... + 64a80 11022304 085f6765 745f6877 5f646573 ..#.._get_hw_des + 64a90 63000000 131e0223 08085f73 7761705f c......#.._swap_ + 64aa0 76646573 63000000 13270223 0c087052 vdesc....'.#..pR + 64ab0 65736572 76656400 000003f2 02231000 eserved......#.. + 64ac0 075f5642 55460020 000013fd 08646573 ._VBUF. .....des + 64ad0 635f6c69 73740000 00130402 2300086e c_list......#..n + 64ae0 6578745f 62756600 000013fd 02230408 ext_buf......#.. + 64af0 6275665f 6c656e67 74680000 0012d702 buf_length...... + 64b00 23080872 65736572 76656400 00001404 #..reserved..... + 64b10 02230a08 63747800 000012e5 02230c00 .#..ctx......#.. + 64b20 03000013 9d04000f 000012c3 02000014 ................ + 64b30 11100100 03000013 9d040009 56425546 ............VBUF + 64b40 00000013 9d030000 14180400 06000014 ................ + 64b50 22010300 00142904 00060000 14220103 ".....)......".. + 64b60 00001436 04000201 03000014 43040007 ...6........C... + 64b70 76627566 5f617069 00140000 14c1085f vbuf_api......._ + 64b80 696e6974 0000000a c5022300 085f616c init......#.._al + 64b90 6c6f635f 76627566 00000014 2f022304 loc_vbuf..../.#. + 64ba0 085f616c 6c6f635f 76627566 5f776974 ._alloc_vbuf_wit + 64bb0 685f7369 7a650000 00143c02 2308085f h_size....<.#.._ + 64bc0 66726565 5f766275 66000000 14450223 free_vbuf....E.# + 64bd0 0c087052 65736572 76656400 000003f2 ..pReserved..... + 64be0 02231000 075f5f61 64665f64 65766963 .#...__adf_devic + 64bf0 65000400 0014e308 64756d6d 79000000 e.......dummy... + 64c00 00fd0223 00000300 00090904 00075f5f ...#..........__ + 64c10 6164665f 646d615f 6d617000 0c000015 adf_dma_map..... + 64c20 2a086275 66000000 14220223 00086473 *.buf....".#..ds + 64c30 5f616464 72000000 14e30223 04086473 _addr......#..ds + 64c40 5f6c656e 00000012 d7022308 00120c00 _len......#..... + 64c50 00156408 5f5f7661 5f73746b 00000003 ..d.__va_stk.... + 64c60 60022300 085f5f76 615f7265 67000000 `.#..__va_reg... + 64c70 03600223 04085f5f 76615f6e 64780000 .`.#..__va_ndx.. + 64c80 0000fd02 23080009 5f5f6164 665f6f73 ....#...__adf_os + 64c90 5f646d61 5f616464 725f7400 00000909 _dma_addr_t..... + 64ca0 09616466 5f6f735f 646d615f 61646472 .adf_os_dma_addr + 64cb0 5f740000 00156409 5f5f6164 665f6f73 _t....d.__adf_os + 64cc0 5f646d61 5f73697a 655f7400 00000909 _dma_size_t..... + 64cd0 09616466 5f6f735f 646d615f 73697a65 .adf_os_dma_size + 64ce0 5f740000 00159407 5f5f646d 615f7365 _t......__dma_se + 64cf0 67730008 000015f0 08706164 64720000 gs.......paddr.. + 64d00 00157d02 2300086c 656e0000 0015ad02 ..}.#..len...... + 64d10 23040009 5f5f615f 75696e74 33325f74 #...__a_uint32_t + 64d20 00000009 0909615f 75696e74 33325f74 ......a_uint32_t + 64d30 00000015 f00f0000 15c40800 00161f10 ................ + 64d40 00000761 64665f6f 735f646d 616d6170 ...adf_os_dmamap + 64d50 5f696e66 6f000c00 00165808 6e736567 _info.....X.nseg + 64d60 73000000 16020223 0008646d 615f7365 s......#..dma_se + 64d70 67730000 00161202 23040009 5f5f615f gs......#...__a_ + 64d80 75696e74 385f7400 000012c3 09615f75 uint8_t......a_u + 64d90 696e7438 5f740000 00165803 00001669 int8_t....X....i + 64da0 0400075f 5f73675f 73656773 00080000 ...__sg_segs.... + 64db0 16aa0876 61646472 00000016 78022300 ...vaddr....x.#. + 64dc0 086c656e 00000016 02022304 000f0000 .len......#..... + 64dd0 167f2000 0016b710 03000761 64665f6f .. ........adf_o + 64de0 735f7367 6c697374 00240000 16ea086e s_sglist.$.....n + 64df0 73656773 00000016 02022300 0873675f segs......#..sg_ + 64e00 73656773 00000016 aa022304 00121000 segs......#..... + 64e10 00173308 76656e64 6f720000 00160202 ..3.vendor...... + 64e20 23000864 65766963 65000000 16020223 #..device......# + 64e30 04087375 6276656e 646f7200 00001602 ..subvendor..... + 64e40 02230808 73756264 65766963 65000000 .#..subdevice... + 64e50 16020223 0c00046c 6f6e6720 6c6f6e67 ...#...long long + 64e60 20756e73 69676e65 6420696e 74000708 unsigned int... + 64e70 09415f55 494e5436 34000000 1733095f .A_UINT64....3._ + 64e80 5f615f75 696e7436 345f7400 0000174d _a_uint64_t....M + 64e90 09615f75 696e7436 345f7400 0000175b .a_uint64_t....[ + 64ea0 14040000 17b90e41 44465f4f 535f5245 .......ADF_OS_RE + 64eb0 534f5552 43455f54 5950455f 4d454d00 SOURCE_TYPE_MEM. + 64ec0 000e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC + 64ed0 455f5459 50455f49 4f000100 09616466 E_TYPE_IO....adf + 64ee0 5f6f735f 7265736f 75726365 5f747970 _os_resource_typ + 64ef0 655f7400 0000177d 12180000 18030873 e_t....}.......s + 64f00 74617274 00000017 6d022300 08656e64 tart....m.#..end + 64f10 00000017 6d022308 08747970 65000000 ....m.#..type... + 64f20 17b90223 10000961 64665f6f 735f7063 ...#...adf_os_pc + 64f30 695f6465 765f6964 5f740000 0016ea03 i_dev_id_t...... + 64f40 00001803 04001104 00001842 08706369 ...........B.pci + 64f50 00000018 1c022300 08726177 00000003 ......#..raw.... + 64f60 f2022300 00111000 00186108 70636900 ..#.......a.pci. + 64f70 00001803 02230008 72617700 000003f2 .....#..raw..... + 64f80 02230000 09616466 5f647276 5f68616e .#...adf_drv_han + 64f90 646c655f 74000000 03f20961 64665f6f dle_t......adf_o + 64fa0 735f7265 736f7572 63655f74 00000017 s_resource_t.... + 64fb0 d5030000 18770400 09616466 5f6f735f .....w...adf_os_ + 64fc0 61747461 63685f64 6174615f 74000000 attach_data_t... + 64fd0 18420300 00189504 00030000 14c10400 .B.............. + 64fe0 095f5f61 64665f6f 735f6465 76696365 .__adf_os_device + 64ff0 5f740000 0018b609 6164665f 6f735f64 _t......adf_os_d + 65000 65766963 655f7400 000018bd 06000018 evice_t......... + 65010 61010300 0018e904 00020103 000018f6 a............... + 65020 04000961 64665f6f 735f706d 5f740000 ...adf_os_pm_t.. + 65030 0003f202 01030000 19100400 14040000 ................ + 65040 19500e41 44465f4f 535f4255 535f5459 .P.ADF_OS_BUS_TY + 65050 50455f50 43490001 0e414446 5f4f535f PE_PCI...ADF_OS_ + 65060 4255535f 54595045 5f47454e 45524943 BUS_TYPE_GENERIC + 65070 00020009 6164665f 6f735f62 75735f74 ....adf_os_bus_t + 65080 7970655f 74000000 19190961 64665f6f ype_t......adf_o + 65090 735f6275 735f7265 675f6461 74615f74 s_bus_reg_data_t + 650a0 00000018 23030000 030a0400 075f6164 ....#........_ad + 650b0 665f6472 765f696e 666f0020 00001a2d f_drv_info. ...- + 650c0 08647276 5f617474 61636800 000018ef .drv_attach..... + 650d0 02230008 6472765f 64657461 63680000 .#..drv_detach.. + 650e0 0018f802 23040864 72765f73 75737065 ....#..drv_suspe + 650f0 6e640000 00191202 23080864 72765f72 nd......#..drv_r + 65100 6573756d 65000000 18f80223 0c086275 esume......#..bu + 65110 735f7479 70650000 00195002 23100862 s_type....P.#..b + 65120 75735f64 61746100 00001967 02231408 us_data....g.#.. + 65130 6d6f645f 6e616d65 00000019 82022318 mod_name......#. + 65140 0869666e 616d6500 00001982 02231c00 .ifname......#.. + 65150 09616466 5f6f735f 68616e64 6c655f74 .adf_os_handle_t + 65160 00000003 f2030000 16580400 02010201 .........X...... + 65170 095f5f61 64665f6f 735f7369 7a655f74 .__adf_os_size_t + 65180 00000003 f5140400 001a7c0e 415f4641 ..........|.A_FA + 65190 4c534500 000e415f 54525545 00010009 LSE...A_TRUE.... + 651a0 615f626f 6f6c5f74 0000001a 62030000 a_bool_t....b... + 651b0 14ea0400 095f5f61 64665f6f 735f646d .....__adf_os_dm + 651c0 615f6d61 705f7400 00001a8a 02010d61 a_map_t........a + 651d0 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 651e0 00040000 1b140e41 44465f53 594e435f .......ADF_SYNC_ + 651f0 50524552 45414400 000e4144 465f5359 PREREAD...ADF_SY + 65200 4e435f50 52455752 49544500 020e4144 NC_PREWRITE...AD + 65210 465f5359 4e435f50 4f535452 45414400 F_SYNC_POSTREAD. + 65220 010e4144 465f5359 4e435f50 4f535457 ..ADF_SYNC_POSTW + 65230 52495445 00030009 6164665f 6f735f63 RITE....adf_os_c + 65240 61636865 5f73796e 635f7400 00001aab ache_sync_t..... + 65250 02010961 64665f6f 735f7369 7a655f74 ...adf_os_size_t + 65260 0000001a 4d060000 1b2f0109 6164665f ....M..../..adf_ + 65270 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... + 65280 91030000 1b480400 06000003 f2010300 .....H.......... + 65290 001a9104 00060000 03f20102 01060000 ................ + 652a0 157d0102 01047368 6f727420 696e7400 .}....short int. + 652b0 05020941 5f494e54 31360000 001b8209 ...A_INT16...... + 652c0 5f5f615f 696e7431 365f7400 00001b8f __a_int16_t..... + 652d0 09615f69 6e743136 5f740000 001b9c04 .a_int16_t...... + 652e0 7369676e 65642063 68617200 05010941 signed char....A + 652f0 5f494e54 38000000 1bbc095f 5f615f69 _INT8......__a_i + 65300 6e74385f 74000000 1bcb0961 5f696e74 nt8_t......a_int + 65310 385f7400 00001bd7 120c0000 1c4e0873 8_t..........N.s + 65320 7570706f 72746564 00000016 02022300 upported......#. + 65330 08616476 65727469 7a656400 00001602 .advertized..... + 65340 02230408 73706565 64000000 1bad0223 .#..speed......# + 65350 08086475 706c6578 0000001b e702230a ..duplex......#. + 65360 08617574 6f6e6567 00000016 6902230b .autoneg....i.#. + 65370 000f0000 16690600 001c5b10 05000761 .....i....[....a + 65380 64665f6e 65745f65 74686164 64720006 df_net_ethaddr.. + 65390 00001c7f 08616464 72000000 1c4e0223 .....addr....N.# + 653a0 0000095f 5f615f75 696e7431 365f7400 ...__a_uint16_t. + 653b0 000012d7 09615f75 696e7431 365f7400 .....a_uint16_t. + 653c0 00001c7f 120e0000 1ce30865 74686572 ...........ether + 653d0 5f64686f 73740000 001c4e02 23000865 _dhost....N.#..e + 653e0 74686572 5f73686f 73740000 001c4e02 ther_shost....N. + 653f0 23060865 74686572 5f747970 65000000 #..ether_type... + 65400 1c910223 0c001214 00001da4 1569705f ...#.........ip_ + 65410 76657273 696f6e00 00001669 01000402 version....i.... + 65420 23001569 705f686c 00000016 69010404 #..ip_hl....i... + 65430 02230008 69705f74 6f730000 00166902 .#..ip_tos....i. + 65440 23010869 705f6c65 6e000000 1c910223 #..ip_len......# + 65450 02086970 5f696400 00001c91 02230408 ..ip_id......#.. + 65460 69705f66 7261675f 6f666600 00001c91 ip_frag_off..... + 65470 02230608 69705f74 746c0000 00166902 .#..ip_ttl....i. + 65480 23080869 705f7072 6f746f00 00001669 #..ip_proto....i + 65490 02230908 69705f63 6865636b 0000001c .#..ip_check.... + 654a0 9102230a 0869705f 73616464 72000000 ..#..ip_saddr... + 654b0 16020223 0c086970 5f646164 64720000 ...#..ip_daddr.. + 654c0 00160202 23100007 6164665f 6e65745f ....#...adf_net_ + 654d0 766c616e 68647200 0400001d f6087470 vlanhdr.......tp + 654e0 69640000 001c9102 23001570 72696f00 id......#..prio. + 654f0 00001669 01000302 23021563 66690000 ...i....#..cfi.. + 65500 00166901 03010223 02157669 64000000 ..i....#..vid... + 65510 1c910204 0c022302 00076164 665f6e65 ......#...adf_ne + 65520 745f7669 64000200 001e2715 72657300 t_vid.....'.res. + 65530 00001669 01000402 23001576 616c0000 ...i....#..val.. + 65540 001c9102 040c0223 0000120c 00001e63 .......#.......c + 65550 0872785f 62756673 697a6500 00001602 .rx_bufsize..... + 65560 02230008 72785f6e 64657363 00000016 .#..rx_ndesc.... + 65570 02022304 0874785f 6e646573 63000000 ..#..tx_ndesc... + 65580 16020223 08001208 00001e89 08706f6c ...#.........pol + 65590 6c656400 00001a7c 02230008 706f6c6c led....|.#..poll + 655a0 5f777400 00001602 02230400 0f000016 _wt......#...... + 655b0 69400000 1e96103f 00124600 001ebe08 i@.....?..F..... + 655c0 69665f6e 616d6500 00001e89 02230008 if_name......#.. + 655d0 6465765f 61646472 0000001c 4e022340 dev_addr....N.#@ + 655e0 00140400 001ef50e 4144465f 4f535f44 ........ADF_OS_D + 655f0 4d415f4d 41534b5f 33324249 5400000e MA_MASK_32BIT... + 65600 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 65610 36344249 54000100 09616466 5f6f735f 64BIT....adf_os_ + 65620 646d615f 6d61736b 5f740000 001ebe07 dma_mask_t...... + 65630 6164665f 646d615f 696e666f 00080000 adf_dma_info.... + 65640 1f420864 6d615f6d 61736b00 00001ef5 .B.dma_mask..... + 65650 02230008 73675f6e 73656773 00000016 .#..sg_nsegs.... + 65660 02022304 00140400 001f980e 4144465f ..#.........ADF_ + 65670 4e45545f 434b5355 4d5f4e4f 4e450000 NET_CKSUM_NONE.. + 65680 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 65690 43505f55 44505f49 50763400 010e4144 CP_UDP_IPv4...AD + 656a0 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 656b0 5544505f 49507636 00020009 6164665f UDP_IPv6....adf_ + 656c0 6e65745f 636b7375 6d5f7479 70655f74 net_cksum_type_t + 656d0 0000001f 42120800 001fdb08 74785f63 ....B.......tx_c + 656e0 6b73756d 0000001f 98022300 0872785f ksum......#..rx_ + 656f0 636b7375 6d000000 1f980223 04000961 cksum......#...a + 65700 64665f6e 65745f63 6b73756d 5f696e66 df_net_cksum_inf + 65710 6f5f7400 00001fb2 14040000 20340e41 o_t......... 4.A + 65720 44465f4e 45545f54 534f5f4e 4f4e4500 DF_NET_TSO_NONE. + 65730 000e4144 465f4e45 545f5453 4f5f4950 ..ADF_NET_TSO_IP + 65740 56340001 0e414446 5f4e4554 5f54534f V4...ADF_NET_TSO + 65750 5f414c4c 00020009 6164665f 6e65745f _ALL....adf_net_ + 65760 74736f5f 74797065 5f740000 001ff512 tso_type_t...... + 65770 10000020 8808636b 73756d5f 63617000 ... ..cksum_cap. + 65780 00001fdb 02230008 74736f00 00002034 .....#..tso... 4 + 65790 02230808 766c616e 5f737570 706f7274 .#..vlan_support + 657a0 65640000 00166902 230c0012 20000021 ed....i.#... ..! + 657b0 21087478 5f706163 6b657473 00000016 !.tx_packets.... + 657c0 02022300 0872785f 7061636b 65747300 ..#..rx_packets. + 657d0 00001602 02230408 74785f62 79746573 .....#..tx_bytes + 657e0 00000016 02022308 0872785f 62797465 ......#..rx_byte + 657f0 73000000 16020223 0c087478 5f64726f s......#..tx_dro + 65800 70706564 00000016 02022310 0872785f pped......#..rx_ + 65810 64726f70 70656400 00001602 02231408 dropped......#.. + 65820 72785f65 72726f72 73000000 16020223 rx_errors......# + 65830 18087478 5f657272 6f727300 00001602 ..tx_errors..... + 65840 02231c00 09616466 5f6e6574 5f657468 .#...adf_net_eth + 65850 61646472 5f740000 001c5b16 00002121 addr_t....[...!! + 65860 03000000 2146107f 00176164 665f6e65 ....!F....adf_ne + 65870 745f636d 645f6d63 61646472 00030400 t_cmd_mcaddr.... + 65880 00217d08 6e656c65 6d000000 16020223 .!}.nelem......# + 65890 00086d63 61737400 00002138 02230400 ..mcast...!8.#.. + 658a0 09616466 5f6e6574 5f636d64 5f6c696e .adf_net_cmd_lin + 658b0 6b5f696e 666f5f74 0000001b f5096164 k_info_t......ad + 658c0 665f6e65 745f636d 645f706f 6c6c5f69 f_net_cmd_poll_i + 658d0 6e666f5f 74000000 1e630961 64665f6e nfo_t....c.adf_n + 658e0 65745f63 6d645f63 6b73756d 5f696e66 et_cmd_cksum_inf + 658f0 6f5f7400 00001fdb 09616466 5f6e6574 o_t......adf_net + 65900 5f636d64 5f72696e 675f696e 666f5f74 _cmd_ring_info_t + 65910 0000001e 27096164 665f6e65 745f636d ....'.adf_net_cm + 65920 645f646d 615f696e 666f5f74 0000001f d_dma_info_t.... + 65930 0c096164 665f6e65 745f636d 645f7669 ..adf_net_cmd_vi + 65940 645f7400 00001c91 09616466 5f6e6574 d_t......adf_net + 65950 5f636d64 5f6f6666 6c6f6164 5f636170 _cmd_offload_cap + 65960 5f740000 00204c09 6164665f 6e65745f _t... L.adf_net_ + 65970 636d645f 73746174 735f7400 00002088 cmd_stats_t... . + 65980 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 65990 6464725f 74000000 21460d61 64665f6e ddr_t...!F.adf_n + 659a0 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 659b0 00040000 22bf0e41 44465f4e 45545f4d ...."..ADF_NET_M + 659c0 43415354 5f535550 00000e41 44465f4e CAST_SUP...ADF_N + 659d0 45545f4d 43415354 5f4e4f54 53555000 ET_MCAST_NOTSUP. + 659e0 01000961 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m + 659f0 63617374 5f636170 5f740000 00227718 cast_cap_t..."w. + 65a00 03040000 2391086c 696e6b5f 696e666f ....#..link_info + 65a10 00000021 7d022300 08706f6c 6c5f696e ...!}.#..poll_in + 65a20 666f0000 00219a02 23000863 6b73756d fo...!..#..cksum + 65a30 5f696e66 6f000000 21b70223 00087269 _info...!..#..ri + 65a40 6e675f69 6e666f00 000021d5 02230008 ng_info...!..#.. + 65a50 646d615f 696e666f 00000021 f2022300 dma_info...!..#. + 65a60 08766964 00000022 0e022300 086f6666 .vid..."..#..off + 65a70 6c6f6164 5f636170 00000022 25022300 load_cap..."%.#. + 65a80 08737461 74730000 00224402 2300086d .stats..."D.#..m + 65a90 63617374 5f696e66 6f000000 225d0223 cast_info..."].# + 65aa0 00086d63 6173745f 63617000 000022bf ..mcast_cap...". + 65ab0 02230000 14040000 23e80e41 44465f4e .#......#..ADF_N + 65ac0 4255465f 52585f43 4b53554d 5f4e4f4e BUF_RX_CKSUM_NON + 65ad0 4500000e 4144465f 4e425546 5f52585f E...ADF_NBUF_RX_ + 65ae0 434b5355 4d5f4857 00010e41 44465f4e CKSUM_HW...ADF_N + 65af0 4255465f 52585f43 4b53554d 5f554e4e BUF_RX_CKSUM_UNN + 65b00 45434553 53415259 00020009 6164665f ECESSARY....adf_ + 65b10 6e627566 5f72785f 636b7375 6d5f7479 nbuf_rx_cksum_ty + 65b20 70655f74 00000023 91120800 00242808 pe_t...#.....$(. + 65b30 72657375 6c740000 0023e802 23000876 result...#..#..v + 65b40 616c0000 00160202 23040012 08000024 al......#......$ + 65b50 58087479 70650000 00203402 2300086d X.type... 4.#..m + 65b60 73730000 001c9102 23040868 64725f6f ss......#..hdr_o + 65b70 66660000 00166902 23060007 5f5f6164 ff....i.#...__ad + 65b80 665f6e62 75665f71 68656164 000c0000 f_nbuf_qhead.... + 65b90 24970868 65616400 00001422 02230008 $..head....".#.. + 65ba0 7461696c 00000014 22022304 08716c65 tail....".#..qle + 65bb0 6e000000 16020223 0800095f 5f616466 n......#...__adf + 65bc0 5f6e6275 665f7400 00001422 03000016 _nbuf_t....".... + 65bd0 78040003 00001602 04000201 06000013 x............... + 65be0 04010600 00160201 06000016 78010600 ............x... + 65bf0 00167801 03000012 e5040009 5f5f6164 ..x.........__ad + 65c00 665f6e62 75665f71 68656164 5f740000 f_nbuf_qhead_t.. + 65c10 00245809 5f5f6164 665f6e62 75665f71 .$X.__adf_nbuf_q + 65c20 75657565 5f740000 0024d803 000024f0 ueue_t...$....$. + 65c30 04000600 00249701 06000024 97011404 .....$.....$.... + 65c40 00002610 0e415f53 54415455 535f4f4b ..&..A_STATUS_OK + 65c50 00000e41 5f535441 5455535f 4641494c ...A_STATUS_FAIL + 65c60 45440001 0e415f53 54415455 535f454e ED...A_STATUS_EN + 65c70 4f454e54 00020e41 5f535441 5455535f OENT...A_STATUS_ + 65c80 454e4f4d 454d0003 0e415f53 54415455 ENOMEM...A_STATU + 65c90 535f4549 4e56414c 00040e41 5f535441 S_EINVAL...A_STA + 65ca0 5455535f 45494e50 524f4752 45535300 TUS_EINPROGRESS. + 65cb0 050e415f 53544154 55535f45 4e4f5453 ..A_STATUS_ENOTS + 65cc0 55505000 060e415f 53544154 55535f45 UPP...A_STATUS_E + 65cd0 42555359 00070e41 5f535441 5455535f BUSY...A_STATUS_ + 65ce0 45324249 4700080e 415f5354 41545553 E2BIG...A_STATUS + 65cf0 5f454144 44524e4f 54415641 494c0009 _EADDRNOTAVAIL.. + 65d00 0e415f53 54415455 535f454e 58494f00 .A_STATUS_ENXIO. + 65d10 0a0e415f 53544154 55535f45 4641554c ..A_STATUS_EFAUL + 65d20 54000b0e 415f5354 41545553 5f45494f T...A_STATUS_EIO + 65d30 000c0009 615f7374 61747573 5f740000 ....a_status_t.. + 65d40 00251b06 00002610 01060000 00fd0102 .%....&......... + 65d50 01096164 665f6e62 75665f74 00000024 ..adf_nbuf_t...$ + 65d60 97140400 0026750e 4144465f 4f535f44 .....&u.ADF_OS_D + 65d70 4d415f54 4f5f4445 56494345 00000e41 MA_TO_DEVICE...A + 65d80 44465f4f 535f444d 415f4652 4f4d5f44 DF_OS_DMA_FROM_D + 65d90 45564943 45000100 09616466 5f6f735f EVICE....adf_os_ + 65da0 646d615f 6469725f 74000000 263e0600 dma_dir_t...&>.. + 65db0 00261001 02010961 64665f6f 735f646d .&.....adf_os_dm + 65dc0 616d6170 5f696e66 6f5f7400 0000161f amap_info_t..... + 65dd0 03000026 93040002 01020106 0000262e ...&..........&. + 65de0 01060000 24970102 01020106 0000262e ....$.........&. + 65df0 01060000 24970106 0000262e 01060000 ....$.....&..... + 65e00 24970106 0000262e 01020102 01060000 $.....&......... + 65e10 16020106 00001678 01020102 01060000 .......x........ + 65e20 1b2f0106 00001a7c 01060000 1a7c0109 ./.....|.....|.. + 65e30 6164665f 6f735f73 676c6973 745f7400 adf_os_sglist_t. + 65e40 000016b7 03000027 0c040002 01020102 .......'........ + 65e50 01060000 16780109 6164665f 6e627566 .....x..adf_nbuf + 65e60 5f717565 75655f74 00000024 f0030000 _queue_t...$.... + 65e70 27340400 02010300 0024d804 00020102 '4.......$...... + 65e80 01020106 0000262e 01060000 24970106 ......&.....$... + 65e90 00001602 01060000 16020106 00001a7c ...............| + 65ea0 01060000 1a7c0106 00001f98 01060000 .....|.......... + 65eb0 16020109 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 65ec0 636b7375 6d5f7400 00002406 03000027 cksum_t...$....' + 65ed0 90040002 01020109 6164665f 6e627566 ........adf_nbuf + 65ee0 5f74736f 5f740000 00242803 000027b4 _tso_t...$(...'. + 65ef0 04000201 02010961 64665f6e 65745f68 .......adf_net_h + 65f00 616e646c 655f7400 000003f2 09616466 andle_t......adf + 65f10 5f6e6574 5f766c61 6e686472 5f740000 _net_vlanhdr_t.. + 65f20 001da403 000027e9 04000600 00261001 ......'......&.. + 65f30 06000026 10010201 0201075f 4849465f ...&......._HIF_ + 65f40 434f4e46 49470004 00002838 0864756d CONFIG....(8.dum + 65f50 6d790000 0000fd02 23000002 01030000 my......#....... + 65f60 28380400 02010300 00284104 00075f48 (8.......(A..._H + 65f70 49465f43 414c4c42 41434b00 0c000028 IF_CALLBACK....( + 65f80 96087365 6e645f62 75665f64 6f6e6500 ..send_buf_done. + 65f90 0000283a 02230008 72656376 5f627566 ..(:.#..recv_buf + 65fa0 00000028 43022304 08636f6e 74657874 ...(C.#..context + 65fb0 00000003 f2022308 00096869 665f6861 ......#...hif_ha + 65fc0 6e646c65 5f740000 0003f209 4849465f ndle_t......HIF_ + 65fd0 434f4e46 49470000 00281703 000028a8 CONFIG...(....(. + 65fe0 04000600 00289601 03000028 bf040002 .....(.....(.... + 65ff0 01030000 28cc0400 09484946 5f43414c ....(....HIF_CAL + 66000 4c424143 4b000000 284a0300 0028d504 LBACK...(J...(.. + 66010 00020103 000028ee 04000600 0000fd01 ......(......... + 66020 03000028 f7040002 01030000 29040400 ...(........)... + 66030 06000000 fd010300 00290d04 00020103 .........)...... + 66040 0000291a 04000600 0000fd01 03000029 ..)............) + 66050 23040002 01030000 29300400 07686966 #.......)0...hif + 66060 5f617069 00380000 2a89085f 696e6974 _api.8..*.._init + 66070 00000028 c5022300 085f7368 7574646f ...(..#.._shutdo + 66080 776e0000 0028ce02 2304085f 72656769 wn...(..#.._regi + 66090 73746572 5f63616c 6c626163 6b000000 ster_callback... + 660a0 28f00223 08085f67 65745f74 6f74616c (..#.._get_total + 660b0 5f637265 6469745f 636f756e 74000000 _credit_count... + 660c0 28fd0223 0c085f73 74617274 00000028 (..#.._start...( + 660d0 ce022310 085f636f 6e666967 5f706970 ..#.._config_pip + 660e0 65000000 29060223 14085f73 656e645f e...)..#.._send_ + 660f0 62756666 65720000 00291302 2318085f buffer...)..#.._ + 66100 72657475 726e5f72 6563765f 62756600 return_recv_buf. + 66110 0000291c 02231c08 5f69735f 70697065 ..)..#.._is_pipe + 66120 5f737570 706f7274 65640000 00292902 _supported...)). + 66130 2320085f 6765745f 6d61785f 6d73675f # ._get_max_msg_ + 66140 6c656e00 00002929 02232408 5f676574 len...)).#$._get + 66150 5f726573 65727665 645f6865 6164726f _reserved_headro + 66160 6f6d0000 0028fd02 2328085f 6973725f om...(..#(._isr_ + 66170 68616e64 6c657200 000028ce 02232c08 handler...(..#,. + 66180 5f676574 5f646566 61756c74 5f706970 _get_default_pip + 66190 65000000 29320223 30087052 65736572 e...)2.#0.pReser + 661a0 76656400 000003f2 02233400 0d646d61 ved......#4..dma + 661b0 5f656e67 696e6500 0400002b 120e444d _engine....+..DM + 661c0 415f454e 47494e45 5f525830 00000e44 A_ENGINE_RX0...D + 661d0 4d415f45 4e47494e 455f5258 3100010e MA_ENGINE_RX1... + 661e0 444d415f 454e4749 4e455f52 58320002 DMA_ENGINE_RX2.. + 661f0 0e444d41 5f454e47 494e455f 52583300 .DMA_ENGINE_RX3. + 66200 030e444d 415f454e 47494e45 5f545830 ..DMA_ENGINE_TX0 + 66210 00040e44 4d415f45 4e47494e 455f5458 ...DMA_ENGINE_TX + 66220 3100050e 444d415f 454e4749 4e455f4d 1...DMA_ENGINE_M + 66230 41580006 0009646d 615f656e 67696e65 AX....dma_engine + 66240 5f740000 002a890d 646d615f 69667479 _t...*..dma_ifty + 66250 70650004 00002b5f 0e444d41 5f49465f pe....+_.DMA_IF_ + 66260 474d4143 00000e44 4d415f49 465f5043 GMAC...DMA_IF_PC + 66270 4900010e 444d415f 49465f50 43494500 I...DMA_IF_PCIE. + 66280 02000964 6d615f69 66747970 655f7400 ...dma_iftype_t. + 66290 00002b24 06000012 d7010300 002b7104 ..+$.........+q. + 662a0 00020103 00002b7e 04000201 0300002b ......+~.......+ + 662b0 87040006 00000909 01030000 2b900400 ............+... + 662c0 06000012 d7010300 002b9d04 00060000 .........+...... + 662d0 12d70103 00002baa 04000600 00142201 ......+.......". + 662e0 0300002b b7040002 01030000 2bc40400 ...+........+... + 662f0 07646d61 5f6c6962 5f617069 00340000 .dma_lib_api.4.. + 66300 2ccb0874 785f696e 69740000 002b7702 ,..tx_init...+w. + 66310 23000874 785f7374 61727400 00002b80 #..tx_start...+. + 66320 02230408 72785f69 6e697400 00002b77 .#..rx_init...+w + 66330 02230808 72785f63 6f6e6669 67000000 .#..rx_config... + 66340 2b890223 0c087278 5f737461 72740000 +..#..rx_start.. + 66350 002b8002 23100869 6e74725f 73746174 .+..#..intr_stat + 66360 75730000 002b9602 23140868 6172645f us...+..#..hard_ + 66370 786d6974 0000002b a3022318 08666c75 xmit...+..#..flu + 66380 73685f78 6d697400 00002b80 02231c08 sh_xmit...+..#.. + 66390 786d6974 5f646f6e 65000000 2bb00223 xmit_done...+..# + 663a0 20087265 61705f78 6d697474 65640000 .reap_xmitted.. + 663b0 002bbd02 23240872 6561705f 72656376 .+..#$.reap_recv + 663c0 0000002b bd022328 08726574 75726e5f ...+..#(.return_ + 663d0 72656376 0000002b c602232c 08726563 recv...+..#,.rec + 663e0 765f706b 74000000 2bb00223 3000075f v_pkt...+..#0.._ + 663f0 5f706369 5f736f66 7463000c 00002ce9 _pci_softc....,. + 66400 08737700 000028d5 02230000 095f5f70 .sw...(..#...__p + 66410 63695f73 6f667463 5f740000 002ccb03 ci_softc_t...,.. + 66420 00002ce9 04000201 0300002d 03040006 ..,........-.... + 66430 000012c3 01030000 2d0c0400 0d686966 ........-....hif + 66440 5f706369 5f706970 655f7478 00040000 _pci_pipe_tx.... + 66450 2d6c0e48 49465f50 43495f50 4950455f -l.HIF_PCI_PIPE_ + 66460 54583000 000e4849 465f5043 495f5049 TX0...HIF_PCI_PI + 66470 50455f54 58310001 0e484946 5f504349 PE_TX1...HIF_PCI + 66480 5f504950 455f5458 5f4d4158 00020009 _PIPE_TX_MAX.... + 66490 6869665f 7063695f 70697065 5f74785f hif_pci_pipe_tx_ + 664a0 74000000 2d190600 002b1201 0300002d t...-....+.....- + 664b0 8304000d 6869665f 7063695f 70697065 ....hif_pci_pipe + 664c0 5f727800 0400002e 090e4849 465f5043 _rx.......HIF_PC + 664d0 495f5049 50455f52 58300000 0e484946 I_PIPE_RX0...HIF + 664e0 5f504349 5f504950 455f5258 3100010e _PCI_PIPE_RX1... + 664f0 4849465f 5043495f 50495045 5f525832 HIF_PCI_PIPE_RX2 + 66500 00020e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 66510 52583300 030e4849 465f5043 495f5049 RX3...HIF_PCI_PI + 66520 50455f52 585f4d41 58000400 09686966 PE_RX_MAX....hif + 66530 5f706369 5f706970 655f7278 5f740000 _pci_pipe_rx_t.. + 66540 002d9006 00002b12 01030000 2e200400 .-....+...... .. + 66550 07686966 5f706369 5f617069 00240000 .hif_pci_api.$.. + 66560 2efe0870 63695f62 6f6f745f 696e6974 ...pci_boot_init + 66570 00000000 f6022300 08706369 5f696e69 ......#..pci_ini + 66580 74000000 28c50223 04087063 695f7265 t...(..#..pci_re + 66590 73657400 000000f6 02230808 7063695f set......#..pci_ + 665a0 656e6162 6c650000 0000f602 230c0870 enable......#..p + 665b0 63695f72 6561705f 786d6974 74656400 ci_reap_xmitted. + 665c0 00002d05 02231008 7063695f 72656170 ..-..#..pci_reap + 665d0 5f726563 76000000 2d050223 14087063 _recv...-..#..pc + 665e0 695f6765 745f7069 70650000 002d1202 i_get_pipe...-.. + 665f0 23180870 63695f67 65745f74 785f656e #..pci_get_tx_en + 66600 67000000 2d890223 1c087063 695f6765 g...-..#..pci_ge + 66610 745f7278 5f656e67 0000002e 26022320 t_rx_eng....&.# + 66620 0007676d 61635f61 70690004 00002f25 ..gmac_api..../% + 66630 08676d61 635f626f 6f745f69 6e697400 .gmac_boot_init. + 66640 000000f6 02230000 0f000003 0a060000 .....#.......... + 66650 2f321005 00075f5f 65746868 6472000e /2....__ethhdr.. + 66660 00002f68 08647374 0000002f 25022300 ../h.dst.../%.#. + 66670 08737263 0000002f 25022306 08657479 .src.../%.#..ety + 66680 70650000 0012d702 230c0007 5f5f6174 pe......#...__at + 66690 68686472 00040000 2fb61572 65730000 hhdr..../..res.. + 666a0 0012c301 00020223 00157072 6f746f00 .......#..proto. + 666b0 000012c3 01020602 23000872 65735f6c ........#..res_l + 666c0 6f000000 12c30223 01087265 735f6869 o......#..res_hi + 666d0 00000012 d7022302 00075f5f 676d6163 ......#...__gmac + 666e0 5f686472 00140000 2ff20865 74680000 _hdr..../..eth.. + 666f0 002f3202 23000861 74680000 002f6802 ./2.#..ath.../h. + 66700 230e0861 6c69676e 5f706164 00000012 #..align_pad.... + 66710 d7022312 00095f5f 676d6163 5f686472 ..#...__gmac_hdr + 66720 5f740000 002fb607 5f5f676d 61635f73 _t.../..__gmac_s + 66730 6f667463 00240000 303c0868 64720000 oftc.$..0<.hdr.. + 66740 002ff202 23000867 72616e00 000012d7 ./..#..gran..... + 66750 02231408 73770000 0028d502 2318000c .#..sw...(..#... + 66760 5f415f6f 735f6c69 6e6b6167 655f6368 _A_os_linkage_ch + 66770 65636b00 00010300 00303c04 00060000 eck......0<..... + 66780 00fd0103 0000305a 04000300 0003f504 ......0Z........ + 66790 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 667a0 65637469 6f6e5f74 61626c65 0001b800 ection_table.... + 667b0 0031aa08 68616c5f 6c696e6b 6167655f .1..hal_linkage_ + 667c0 63686563 6b000000 30600223 00087374 check...0`.#..st + 667d0 6172745f 62737300 00003067 02230408 art_bss...0g.#.. + 667e0 6170705f 73746172 74000000 00f60223 app_start......# + 667f0 08086d65 6d000000 04350223 0c086d69 ..mem....5.#..mi + 66800 73630000 00055402 23200870 72696e74 sc....T.# .print + 66810 66000000 012a0223 44087561 72740000 f....*.#D.uart.. + 66820 0001f302 234c0867 6d616300 00002efe ....#L.gmac..... + 66830 02236c08 75736200 00000f83 02237008 .#l.usb......#p. + 66840 636c6f63 6b000000 0acc0323 e0010874 clock......#...t + 66850 696d6572 00000007 6c032384 0208696e imer....l.#...in + 66860 74720000 000c1c03 23980208 616c6c6f tr......#...allo + 66870 6372616d 00000009 240323c4 0208726f cram....$.#...ro + 66880 6d700000 00081603 23d00208 7764745f mp......#...wdt_ + 66890 74696d65 72000000 0df90323 e0020865 timer......#...e + 668a0 65700000 000f2703 23fc0208 73747269 ep....'.#...stri + 668b0 6e670000 00067803 238c0308 7461736b ng....x.#...task + 668c0 6c657400 00000a21 0323a403 00075f55 let....!.#...._U + 668d0 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. + 668e0 0000321d 08676574 5f636f6d 6d616e64 ..2..get_command + 668f0 5f627566 00000014 2f022300 08726563 _buf..../.#..rec + 66900 765f636f 6d6d616e 64000000 14450223 v_command....E.# + 66910 04086765 745f6576 656e745f 62756600 ..get_event_buf. + 66920 0000142f 02230808 73656e64 5f657665 .../.#..send_eve + 66930 6e745f64 6f6e6500 00001445 02230c00 nt_done....E.#.. + 66940 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG + 66950 00000031 aa030000 321d0400 02010300 ...1....2....... + 66960 00323904 00077573 62666966 6f5f6170 .29...usbfifo_ap + 66970 69000c00 00328f08 5f696e69 74000000 i....2.._init... + 66980 323b0223 00085f65 6e61626c 655f6576 2;.#.._enable_ev + 66990 656e745f 69737200 000000f6 02230408 ent_isr......#.. + 669a0 70526573 65727665 64000000 03f20223 pReserved......# + 669b0 08000f00 00166902 0000329c 10010007 ......i...2..... + 669c0 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. + 669d0 0000330e 08456e64 706f696e 74494400 ..3..EndpointID. + 669e0 00001669 02230008 466c6167 73000000 ...i.#..Flags... + 669f0 16690223 01085061 796c6f61 644c656e .i.#..PayloadLen + 66a00 0000001c 91022302 08436f6e 74726f6c ......#..Control + 66a10 42797465 73000000 328f0223 0408486f Bytes...2..#..Ho + 66a20 73745365 714e756d 0000001c 91022306 stSeqNum......#. + 66a30 00120200 00332708 4d657373 61676549 .....3'.MessageI + 66a40 44000000 1c910223 00001208 0000338a D......#......3. + 66a50 084d6573 73616765 49440000 001c9102 .MessageID...... + 66a60 23000843 72656469 74436f75 6e740000 #..CreditCount.. + 66a70 001c9102 23020843 72656469 7453697a ....#..CreditSiz + 66a80 65000000 1c910223 04084d61 78456e64 e......#..MaxEnd + 66a90 706f696e 74730000 00166902 2306085f points....i.#.._ + 66aa0 50616431 00000016 69022307 00120a00 Pad1....i.#..... + 66ab0 00342108 4d657373 61676549 44000000 .4!.MessageID... + 66ac0 1c910223 00085365 72766963 65494400 ...#..ServiceID. + 66ad0 00001c91 02230208 436f6e6e 65637469 .....#..Connecti + 66ae0 6f6e466c 61677300 00001c91 02230408 onFlags......#.. + 66af0 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. + 66b00 00166902 23060855 704c696e 6b506970 ..i.#..UpLinkPip + 66b10 65494400 00001669 02230708 53657276 eID....i.#..Serv + 66b20 6963654d 6574614c 656e6774 68000000 iceMetaLength... + 66b30 16690223 08085f50 61643100 00001669 .i.#.._Pad1....i + 66b40 02230900 120a0000 34a9084d 65737361 .#......4..Messa + 66b50 67654944 0000001c 91022300 08536572 geID......#..Ser + 66b60 76696365 49440000 001c9102 23020853 viceID......#..S + 66b70 74617475 73000000 16690223 0408456e tatus....i.#..En + 66b80 64706f69 6e744944 00000016 69022305 dpointID....i.#. + 66b90 084d6178 4d736753 697a6500 00001c91 .MaxMsgSize..... + 66ba0 02230608 53657276 6963654d 6574614c .#..ServiceMetaL + 66bb0 656e6774 68000000 16690223 08085f50 ength....i.#.._P + 66bc0 61643100 00001669 02230900 12020000 ad1....i.#...... + 66bd0 34c2084d 65737361 67654944 0000001c 4..MessageID.... + 66be0 91022300 00120400 0034fe08 4d657373 ..#......4..Mess + 66bf0 61676549 44000000 1c910223 00085069 ageID......#..Pi + 66c00 70654944 00000016 69022302 08437265 peID....i.#..Cre + 66c10 64697443 6f756e74 00000016 69022303 ditCount....i.#. + 66c20 00120400 00353508 4d657373 61676549 .....55.MessageI + 66c30 44000000 1c910223 00085069 70654944 D......#..PipeID + 66c40 00000016 69022302 08537461 74757300 ....i.#..Status. + 66c50 00001669 02230300 12020000 355c0852 ...i.#......5\.R + 66c60 65636f72 64494400 00001669 02230008 ecordID....i.#.. + 66c70 4c656e67 74680000 00166902 23010012 Length....i.#... + 66c80 02000035 8608456e 64706f69 6e744944 ...5..EndpointID + 66c90 00000016 69022300 08437265 64697473 ....i.#..Credits + 66ca0 00000016 69022301 00120400 0035c708 ....i.#......5.. + 66cb0 456e6470 6f696e74 49440000 00166902 EndpointID....i. + 66cc0 23000843 72656469 74730000 00166902 #..Credits....i. + 66cd0 23010854 67744372 65646974 5365714e #..TgtCreditSeqN + 66ce0 6f000000 1c910223 02000f00 00166904 o......#......i. + 66cf0 000035d4 10030012 06000036 10085072 ..5........6..Pr + 66d00 6556616c 69640000 00166902 2300084c eValid....i.#..L + 66d10 6f6f6b41 68656164 00000035 c7022301 ookAhead...5..#. + 66d20 08506f73 7456616c 69640000 00166902 .PostValid....i. + 66d30 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ + 66d40 74000000 03f20600 00361001 03000036 t........6.....6 + 66d50 23040002 01030000 36300400 14040000 #.......60...... + 66d60 36ae0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C + 66d70 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID + 66d80 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP + 66d90 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI + 66da0 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO + 66db0 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF + 66dc0 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. + 66dd0 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... + 66de0 36390201 03000036 bf040006 0000262e 69.....6......&. + 66df0 01030000 36c80400 06000026 2e010300 ....6......&.... + 66e00 0036d504 00020103 000036e2 04000762 .6........6....b + 66e10 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 + 66e20 84085f69 6e697400 00003629 02230008 .._init...6).#.. + 66e30 5f736875 74646f77 6e000000 36320223 _shutdown...62.# + 66e40 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. + 66e50 0036c102 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu + 66e60 66000000 36ce0223 0c085f61 6c6c6f63 f...6..#.._alloc + 66e70 5f627566 5f616c69 676e0000 0036db02 _buf_align...6.. + 66e80 2310085f 66726565 5f627566 00000036 #.._free_buf...6 + 66e90 e4022314 08705265 73657276 65640000 ..#..pReserved.. + 66ea0 0003f202 23180007 5f485443 5f534552 ....#..._HTC_SER + 66eb0 56494345 001c0000 38630870 4e657874 VICE....8c.pNext + 66ec0 00000038 63022300 0850726f 63657373 ...8c.#..Process + 66ed0 52656376 4d736700 00003918 02230408 RecvMsg...9..#.. + 66ee0 50726f63 65737353 656e6442 75666665 ProcessSendBuffe + 66ef0 72436f6d 706c6574 65000000 39210223 rComplete...9!.# + 66f00 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect + 66f10 00000039 3502230c 08536572 76696365 ...95.#..Service + 66f20 49440000 0012d702 23100853 65727669 ID......#..Servi + 66f30 6365466c 61677300 000012d7 02231208 ceFlags......#.. + 66f40 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... + 66f50 12d70223 14085472 61696c65 72537063 ...#..TrailerSpc + 66f60 43686563 6b4c696d 69740000 0012d702 CheckLimit...... + 66f70 23160853 65727669 63654374 78000000 #..ServiceCtx... + 66f80 03f20223 18000300 00378404 00140400 ...#.....7...... + 66f90 00390119 454e4450 4f494e54 5f554e55 .9..ENDPOINT_UNU + 66fa0 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN + 66fb0 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. + 66fc0 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END + 66fd0 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN + 66fe0 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. + 66ff0 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END + 67000 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN + 67010 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA + 67020 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN + 67030 545f4944 00000038 6a020103 00003916 T_ID...8j.....9. + 67040 04000201 03000039 1f040003 000000fd .......9........ + 67050 04000600 0012c301 03000039 2f040003 ...........9/... + 67060 00003784 0400075f 4854435f 434f4e46 ..7...._HTC_CONF + 67070 49470014 000039b4 08437265 64697453 IG....9..CreditS + 67080 697a6500 000000fd 02230008 43726564 ize......#..Cred + 67090 69744e75 6d626572 00000000 fd022304 itNumber......#. + 670a0 084f5348 616e646c 65000000 1a2d0223 .OSHandle....-.# + 670b0 08084849 4648616e 646c6500 00002896 ..HIFHandle...(. + 670c0 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 670d0 00361002 23100007 5f485443 5f425546 .6..#..._HTC_BUF + 670e0 5f434f4e 54455854 00020000 39f00865 _CONTEXT....9..e + 670f0 6e645f70 6f696e74 00000012 c3022300 nd_point......#. + 67100 08687463 5f666c61 67730000 0012c302 .htc_flags...... + 67110 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t + 67120 00000003 f2094854 435f5345 5455505f ......HTC_SETUP_ + 67130 434f4d50 4c455445 5f434200 000000f6 COMPLETE_CB..... + 67140 09485443 5f434f4e 46494700 00003943 .HTC_CONFIG...9C + 67150 0300003a 1d040006 000039f0 01030000 ...:......9..... + 67160 3a340400 02010300 003a4104 00094854 :4.......:A...HT + 67170 435f5345 52564943 45000000 37840300 C_SERVICE...7... + 67180 003a4a04 00020103 00003a62 04000201 .:J.......:b.... + 67190 0300003a 6b040002 01030000 3a740400 ...:k.......:t.. + 671a0 06000000 fd010300 003a7d04 00076874 .........:}...ht + 671b0 635f6170 69730034 00003bfa 085f4854 c_apis.4..;.._HT + 671c0 435f496e 69740000 003a3a02 2300085f C_Init...::.#.._ + 671d0 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: + 671e0 43022304 085f4854 435f5265 67697374 C.#.._HTC_Regist + 671f0 65725365 72766963 65000000 3a640223 erService...:d.# + 67200 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: + 67210 4302230c 085f4854 435f5265 7475726e C.#.._HTC_Return + 67220 42756666 65727300 00003a6d 02231008 Buffers...:m.#.. + 67230 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 67240 72734c69 73740000 003a7602 2314085f rsList...:v.#.._ + 67250 4854435f 53656e64 4d736700 00003a6d HTC_SendMsg...:m + 67260 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese + 67270 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: + 67280 8302231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec + 67290 7648616e 646c6572 00000028 43022320 vHandler...(C.# + 672a0 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa + 672b0 6e646c65 72000000 283a0223 24085f48 ndler...(:.#$._H + 672c0 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro + 672d0 63657373 4d736700 00003918 02232808 cessMsg...9..#(. + 672e0 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP + 672f0 726f6365 73735365 6e64436f 6d706c65 rocessSendComple + 67300 74650000 00392102 232c0870 52657365 te...9!.#,.pRese + 67310 72766564 00000003 f2022330 0007686f rved......#0..ho + 67320 73745f61 70705f61 7265615f 73000400 st_app_area_s... + 67330 003c2a08 776d695f 70726f74 6f636f6c .<*.wmi_protocol + 67340 5f766572 00000016 02022300 00120e00 _ver......#..... + 67350 003c6108 6473744d 61630000 001c4e02 .].Ht + 67530 6348616e 646c6500 000039f0 02230008 cHandle...9..#.. + 67540 506f6f6c 48616e64 6c650000 00361002 PoolHandle...6.. + 67550 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv + 67560 74730000 0000fd02 2308084d 61784576 ts......#..MaxEv + 67570 656e7445 76747300 000000fd 02230c00 entEvts......#.. + 67580 02010300 003e5d04 0009574d 495f434d .....>]...WMI_CM + 67590 445f4841 4e444c45 52000000 3e5f075f D_HANDLER...>_._ + 675a0 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT + 675b0 52590008 00003ec6 0870436d 6448616e RY....>..pCmdHan + 675c0 646c6572 0000003e 66022300 08436d64 dler...>f.#..Cmd + 675d0 49440000 0012d702 23040846 6c616773 ID......#..Flags + 675e0 00000012 d7022306 00075f57 4d495f44 ......#..._WMI_D + 675f0 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... + 67600 003f2708 704e6578 74000000 3f270223 .?'.pNext...?'.# + 67610 00087043 6f6e7465 78740000 0003f202 ..pContext...... + 67620 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri + 67630 65730000 0000fd02 23080870 5461626c es......#..pTabl + 67640 65000000 3f460223 0c000300 003ec604 e...?F.#.....>.. + 67650 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E + 67660 4e545259 0000003e 7b030000 3f2e0400 NTRY...>{...?... + 67670 0300003e c6040009 4854435f 4255465f ...>....HTC_BUF_ + 67680 434f4e54 45585400 000039b4 0d574d49 CONTEXT...9..WMI + 67690 5f455654 5f434c41 53530004 00003fde _EVT_CLASS....?. + 676a0 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N + 676b0 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT + 676c0 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT + 676d0 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 676e0 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI + 676f0 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. + 67700 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. + 67710 00003f69 075f574d 495f4255 465f434f ..?i._WMI_BUF_CO + 67720 4e544558 54000c00 00403c08 48746342 NTEXT....@<.HtcB + 67730 75664374 78000000 3f540223 00084576 ufCtx...?T.#..Ev + 67740 656e7443 6c617373 0000003f de022304 entClass...?..#. + 67750 08466c61 67730000 0012d702 23080009 .Flags......#... + 67760 776d695f 68616e64 6c655f74 00000003 wmi_handle_t.... + 67770 f209574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG + 67780 0000003d f4030000 404e0400 06000040 ...=....@N.....@ + 67790 3c010300 00406904 0009574d 495f4449 <....@i...WMI_DI + 677a0 53504154 43485f54 41424c45 0000003e SPATCH_TABLE...> + 677b0 c6030000 40760400 02010300 00409504 ....@v.......@.. + 677c0 00060000 262e0103 0000409e 04000201 ....&.....@..... + 677d0 03000040 ab040006 000000fd 01030000 ...@............ + 677e0 40b40400 02010300 0040c104 00060000 @........@...... + 677f0 12c30103 000040ca 0400075f 776d695f ......@...._wmi_ + 67800 7376635f 61706973 002c0000 4212085f svc_apis.,..B.._ + 67810 574d495f 496e6974 00000040 6f022300 WMI_Init...@o.#. + 67820 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi + 67830 73706174 63685461 626c6500 00004097 spatchTable...@. + 67840 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv + 67850 656e7400 000040a4 02230808 5f574d49 ent...@..#.._WMI + 67860 5f53656e 64457665 6e740000 0040ad02 _SendEvent...@.. + 67870 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi + 67880 6e674576 656e7473 436f756e 74000000 ngEventsCount... + 67890 40ba0223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC + 678a0 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. + 678b0 00392102 2314085f 574d495f 47657443 .9!.#.._WMI_GetC + 678c0 6f6e7472 6f6c4570 00000040 ba022318 ontrolEp...@..#. + 678d0 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. + 678e0 0040c302 231c085f 574d495f 52656376 .@..#.._WMI_Recv + 678f0 4d657373 61676548 616e646c 65720000 MessageHandler.. + 67900 00391802 2320085f 574d495f 53657276 .9..# ._WMI_Serv + 67910 69636543 6f6e6e65 63740000 0040d002 iceConnect...@.. + 67920 23240870 52657365 72766564 00000003 #$.pReserved.... + 67930 f2022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. + 67940 14000042 94086374 726c0000 00016102 ...B..ctrl....a. + 67950 23000873 74617475 73000000 01610223 #..status....a.# + 67960 0208746f 74616c4c 656e0000 00016102 ..totalLen....a. + 67970 23040864 61746153 697a6500 00000161 #..dataSize....a + 67980 02230608 6c617374 41646472 00000042 .#..lastAddr...B + 67990 94022308 08646174 61416464 72000000 ..#..dataAddr... + 679a0 01850223 0c086e65 78744164 64720000 ...#..nextAddr.. + 679b0 00429402 23100003 00004212 04000300 .B..#.....B..... + 679c0 00421204 00077a73 446d6151 75657565 .B....zsDmaQueue + 679d0 00080000 42d40868 65616400 0000429b ....B..head...B. + 679e0 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 679f0 00429b02 23040007 7a735478 446d6151 .B..#...zsTxDmaQ + 67a00 75657565 00100000 43380868 65616400 ueue....C8.head. + 67a10 0000429b 02230008 7465726d 696e6174 ..B..#..terminat + 67a20 6f720000 00429b02 23040878 6d697465 or...B..#..xmite + 67a30 645f6275 665f6865 61640000 00142202 d_buf_head....". + 67a40 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta + 67a50 696c0000 00142202 230c0002 01030000 il....".#....... + 67a60 43380400 03000042 a2040002 01030000 C8.....B........ + 67a70 43480400 03000042 d4040002 01030000 CH.....B........ + 67a80 43580400 02010300 00436104 00020103 CX.......Ca..... + 67a90 0000436a 04000600 00142201 03000043 ..Cj......"....C + 67aa0 73040002 01030000 43800400 06000014 s.......C....... + 67ab0 22010300 00438904 00020103 00004396 "....C........C. + 67ac0 04000600 0000fd01 03000043 9f040006 ...........C.... + 67ad0 0000429b 01030000 43ac0400 02010300 ..B.....C....... + 67ae0 0043b904 0007646d 615f656e 67696e65 .C....dma_engine + 67af0 5f617069 00400000 452f085f 696e6974 _api.@..E/._init + 67b00 00000043 3a022300 085f696e 69745f72 ...C:.#.._init_r + 67b10 785f7175 65756500 0000434a 02230408 x_queue...CJ.#.. + 67b20 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. + 67b30 00435a02 2308085f 636f6e66 69675f72 .CZ.#.._config_r + 67b40 785f7175 65756500 00004363 02230c08 x_queue...Cc.#.. + 67b50 5f786d69 745f6275 66000000 436c0223 _xmit_buf...Cl.# + 67b60 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... + 67b70 434a0223 14085f72 6561705f 72656376 CJ.#.._reap_recv + 67b80 5f627566 00000043 79022318 085f7265 _buf...Cy.#.._re + 67b90 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 67ba0 43820223 1c085f72 6561705f 786d6974 C..#.._reap_xmit + 67bb0 65645f62 75660000 00438f02 2320085f ed_buf...C..# ._ + 67bc0 73776170 5f646174 61000000 43980223 swap_data...C..# + 67bd0 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac + 67be0 6b657473 00000043 a5022328 085f6465 kets...C..#(._de + 67bf0 73635f64 756d7000 0000434a 02232c08 sc_dump...CJ.#,. + 67c00 5f676574 5f706163 6b657400 000043b2 _get_packet...C. + 67c10 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac + 67c20 6b657400 000043bb 02233408 5f707574 ket...C..#4._put + 67c30 5f706163 6b657400 000043bb 02233808 _packet...C..#8. + 67c40 70526573 65727665 64000000 03f20223 pReserved......# + 67c50 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi + 67c60 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 67c70 0000306e 09574d49 5f535643 5f415049 ..0n.WMI_SVC_API + 67c80 53000000 40d7175f 415f6d61 67706965 S...@.._A_magpie + 67c90 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 67ca0 6c650003 4c000046 5d08636d 6e6f7300 le..L..F].cmnos. + 67cb0 0000452f 02230008 64626700 000003bf ..E/.#..dbg..... + 67cc0 0323b803 08686966 00000029 390323c0 .#...hif...)9.#. + 67cd0 03086874 63000000 3a8a0323 f8030877 ..htc...:..#...w + 67ce0 6d695f73 76635f61 70690000 00455103 mi_svc_api...EQ. + 67cf0 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. + 67d00 00003242 0323d804 08627566 5f706f6f ..2B.#...buf_poo + 67d10 6c000000 36eb0323 e4040876 62756600 l...6..#...vbuf. + 67d20 0000144c 03238005 08766465 73630000 ...L.#...vdesc.. + 67d30 00132e03 23940508 616c6c6f 6372616d ....#...allocram + 67d40 00000009 240323a8 0508646d 615f656e ....$.#...dma_en + 67d50 67696e65 00000043 c20323b4 0508646d gine...C..#...dm + 67d60 615f6c69 62000000 2bcd0323 f4050868 a_lib...+..#...h + 67d70 69665f70 63690000 002e2d03 23a80600 if_pci....-.#... + 67d80 06000003 f201095f 415f6d61 67706965 ......._A_magpie + 67d90 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 67da0 6c655f74 00000045 63020102 01020106 le_t...Ec....... + 67db0 000000fd 01075f48 54435f45 4e44504f ......_HTC_ENDPO + 67dc0 494e5400 1c000047 91084372 65646974 INT....G..Credit + 67dd0 73546f52 65747572 6e000000 1b8f0223 sToReturn......# + 67de0 00087053 65727669 63650000 003a5b02 ..pService...:[. + 67df0 23040843 72656469 74526574 75726e54 #..CreditReturnT + 67e00 68726573 68686f6c 64000000 1b8f0223 hreshhold......# + 67e10 08084372 65646974 73436f6e 73756d65 ..CreditsConsume + 67e20 64000000 1b8f0223 0a08436f 6e6e6563 d......#..Connec + 67e30 74696f6e 466c6167 73000000 12d70223 tionFlags......# + 67e40 0c085065 6e64696e 67437265 64697452 ..PendingCreditR + 67e50 65706f72 74730000 0000fd02 23100844 eports......#..D + 67e60 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 67e70 12c30223 14085570 4c696e6b 50697065 ...#..UpLinkPipe + 67e80 49440000 0012c302 2315084c 61737453 ID......#..LastS + 67e90 65714e75 6d000000 12d70223 16084e65 eqNum......#..Ne + 67ea0 78744372 65646974 53657100 000012d7 xtCreditSeq..... + 67eb0 02231800 09485443 5f454e44 504f494e .#...HTC_ENDPOIN + 67ec0 54000000 46921600 00479102 68000047 T...F....G..h..G + 67ed0 b1101500 175f4854 435f434f 4e544558 ....._HTC_CONTEX + 67ee0 540002cc 000049ab 084f5348 616e646c T.....I..OSHandl + 67ef0 65000000 1a2d0223 0008456e 64706f69 e....-.#..Endpoi + 67f00 6e747300 000047a3 02230408 4570486f nts...G..#..EpHo + 67f10 73744e65 65647343 72656469 744d6170 stNeedsCreditMap + 67f20 00000009 090323ec 04084570 43726564 ......#...EpCred + 67f30 69745065 6e64696e 674d6170 00000009 itPendingMap.... + 67f40 090323f0 04084570 52656376 50617573 ..#...EpRecvPaus + 67f50 65644d61 70000000 09090323 f4040843 edMap......#...C + 67f60 75727265 6e744570 496e6465 78000000 urrentEpIndex... + 67f70 39010323 f8040848 5443436f 6e74726f 9..#...HTCContro + 67f80 6c536572 76696365 0000003a 4a0323fc lService...:J.#. + 67f90 04087053 65727669 63654c69 73740000 ..pServiceList.. + 67fa0 003a5b03 23980508 52656376 42756666 .:[.#...RecvBuff + 67fb0 65725369 7a650000 0000fd03 239c0508 erSize......#... + 67fc0 53746174 65466c61 67730000 00090903 StateFlags...... + 67fd0 23a00508 53657475 70436f6d 706c6574 #...SetupComplet + 67fe0 65436200 00003a02 0323a405 08546f74 eCb...:..#...Tot + 67ff0 616c4372 65646974 73000000 00fd0323 alCredits......# + 68000 a8050854 6f74616c 43726564 69747341 ...TotalCreditsA + 68010 73736967 6e656400 000000fd 0323ac05 ssigned......#.. + 68020 084e756d 42756666 65727346 6f724372 .NumBuffersForCr + 68030 65646974 52707473 00000000 fd0323b0 editRpts......#. + 68040 05084374 726c4275 66666572 416c6c6f ..CtrlBufferAllo + 68050 6353697a 65000000 00fd0323 b4050870 cSize......#...p + 68060 4374726c 42756666 65720000 0012d003 CtrlBuffer...... + 68070 23b80508 4d617845 7050656e 64696e67 #...MaxEpPending + 68080 43726564 69745270 74730000 0000fd03 CreditRpts...... + 68090 23bc0508 68696648 616e646c 65000000 #...hifHandle... + 680a0 28960323 c0050850 6f6f6c48 616e646c (..#...PoolHandl + 680b0 65000000 36100323 c4050870 52657365 e...6..#...pRese + 680c0 72766564 00000003 f20323c8 05000948 rved......#....H + 680d0 54435f43 4f4e5445 58540000 0047b103 TC_CONTEXT...G.. + 680e0 000049ab 04000201 03000047 a3040006 ..I........G.... + 680f0 0000262e 01094854 435f5245 4144595f ..&...HTC_READY_ + 68100 4d534700 00003327 03000049 d2040009 MSG...3'...I.... + 68110 415f424f 4f4c0000 0000fd02 01030000 A_BOOL.......... + 68120 47910400 02010300 003f5404 00094854 G........?T...HT + 68130 435f4652 414d455f 48445200 0000329c C_FRAME_HDR...2. + 68140 0300004a 0a040009 4854435f 5245434f ...J....HTC_RECO + 68150 52445f48 44520000 00353503 00004a24 RD_HDR...55...J$ + 68160 04000948 54435f43 52454449 545f5245 ...HTC_CREDIT_RE + 68170 504f5254 5f315f31 00000035 86030000 PORT_1_1...5.... + 68180 4a3f0400 02010201 0300003a 8a040002 J?.........:.... + 68190 01020109 4854435f 434f4e4e 4543545f ....HTC_CONNECT_ + 681a0 53455256 4943455f 4d534700 0000338a SERVICE_MSG...3. + 681b0 0300004a 70040002 01094854 435f434f ...Jp.....HTC_CO + 681c0 4e4e4543 545f5345 52564943 455f5245 NNECT_SERVICE_RE + 681d0 53504f4e 53455f4d 53470000 00342103 SPONSE_MSG...4!. + 681e0 00004a96 04000948 54435f43 4f4e4649 ..J....HTC_CONFI + 681f0 475f5049 50455f4d 53470000 0034c203 G_PIPE_MSG...4.. + 68200 00004ac3 04000201 09485443 5f434f4e ..J......HTC_CON + 68210 4649475f 50495045 5f524553 504f4e53 FIG_PIPE_RESPONS + 68220 455f4d53 47000000 34fe0300 004ae504 E_MSG...4....J.. + 68230 00094854 435f554e 4b4e4f57 4e5f4d53 ..HTC_UNKNOWN_MS + 68240 47000000 330e0300 004b0e04 0002011a G...3....K...... + 68250 24013a61 64665f6e 6275665f 7461696c $.:adf_nbuf_tail + 68260 726f6f6d 00000016 02010103 92012002 room.......... . + 68270 9000008e 502c008e 504d0000 4b6a1b24 ....P,..PM..Kj.$ + 68280 013a6275 66000000 262e0152 001a2401 .:buf...&..R..$. + 68290 4a616466 5f6e6275 665f7075 73685f68 Jadf_nbuf_push_h + 682a0 65616400 00001678 01010392 01200290 ead....x..... .. + 682b0 00008e50 50008e50 7900004b b81b2401 ...PP..Py..K..$. + 682c0 4a627566 00000026 2e01521b 24014a73 Jbuf...&..R.$.Js + 682d0 697a6500 00001b2f 0153001a 24015a61 ize..../.S..$.Za + 682e0 64665f6e 6275665f 7075745f 7461696c df_nbuf_put_tail + 682f0 00000016 78010103 92012002 9000008e ....x..... ..... + 68300 507c008e 50a70000 4c051b24 015a6275 P|..P...L..$.Zbu + 68310 66000000 262e0152 1b24015a 73697a65 f...&..R.$.Zsize + 68320 0000001b 2f015300 1a24016a 6164665f ..../.S..$.jadf_ + 68330 6e627566 5f70756c 6c5f6865 61640000 nbuf_pull_head.. + 68340 00167801 01039201 20029000 008e50a8 ..x..... .....P. + 68350 008e50d2 00004c53 1b24016a 62756600 ..P...LS.$.jbuf. + 68360 0000262e 01521b24 016a7369 7a650000 ..&..R.$.jsize.. + 68370 001b2f01 53001a24 01886164 665f6e62 ../.S..$..adf_nb + 68380 75665f6c 656e0000 001b2f01 01039201 uf_len..../..... + 68390 20029000 008e50d4 008e50dc 00004c8c .....P...P...L. + 683a0 1b240188 62756600 0000262e 0152001c .$..buf...&..R.. + 683b0 2401b561 64665f6e 6275665f 7065656b $..adf_nbuf_peek + 683c0 5f686561 64657200 01010392 01200290 _header...... .. + 683d0 00008e50 dc008e50 f100004c e61b2401 ...P...P...L..$. + 683e0 b5627566 00000026 2e01521b 2401b561 .buf...&..R.$..a + 683f0 64647200 000024a9 01531b24 01b56c65 ddr...$..S.$..le + 68400 6e000000 24b00154 001a2401 c5616466 n...$..T..$..adf + 68410 5f6e6275 665f6765 745f7072 69760000 _nbuf_get_priv.. + 68420 00167801 01039201 20029000 008e50f4 ..x..... .....P. + 68430 008e50fb 00004d24 1b2401c5 62756600 ..P...M$.$..buf. + 68440 0000262e 0152001d 01504854 435f4173 ..&..R...PHTC_As + 68450 73656d62 6c654275 66666572 73000101 sembleBuffers... + 68460 03920120 02900000 8e50fc00 8e511300 ... .....P...Q.. + 68470 004d7c1e 01507048 54430000 0049bc01 .M|..PpHTC...I.. + 68480 521e0150 436f756e 74000000 00fd0153 R..PCount......S + 68490 1e015053 697a6500 000000fd 0154001f ..PSize......T.. + 684a0 01575f48 54435f49 6e697400 000039f0 .W_HTC_Init...9. + 684b0 01010103 92013002 9000008e 5114008e ......0.....Q... + 684c0 51f40000 4df71e01 57536574 7570436f Q...M...WSetupCo + 684d0 6d706c65 74650000 003a0201 521e0157 mplete...:..R..W + 684e0 70436f6e 66696700 00003a2d 01532070 pConfig...:-.S p + 684f0 48544300 000049bc 21686966 4342436f HTC...I.!hifCBCo + 68500 6e666967 00000028 d5029150 20686f73 nfig...(...P hos + 68510 745f6966 00000005 1f002201 b25f4854 t_if......".._HT + 68520 435f5368 7574646f 776e0001 01010392 C_Shutdown...... + 68530 01200290 00008e51 f4008e51 f900004e . .....Q...Q...N + 68540 3c1e01b2 68746348 616e646c 65000000 <...htcHandle... + 68550 39f00152 20704854 43000000 49bc001d 9..R pHTC...I... + 68560 01b95f48 54435f52 65676973 74657253 .._HTC_RegisterS + 68570 65727669 63650001 01039201 20029000 ervice...... ... + 68580 008e51fc 008e5209 00004e99 1e01b968 ..Q...R...N....h + 68590 74634861 6e646c65 00000039 f001521e tcHandle...9..R. + 685a0 01b97053 65727669 63650000 003a5b01 ..pService...:[. + 685b0 53207048 54430000 0049bc00 1d01c25f S pHTC...I....._ + 685c0 4854435f 52656164 79000101 03920120 HTC_Ready...... + 685d0 02900000 8e520c00 8e525e00 004efd1e .....R...R^..N.. + 685e0 01c26874 6348616e 646c6500 000039f0 ..htcHandle...9. + 685f0 01522070 48544300 000049bc 20704275 .R pHTC...I. pBu + 68600 66666572 00000026 2e206164 64720000 ffer...&. addr.. + 68610 00167820 70526561 64790000 0049e500 ..x pReady...I.. + 68620 1d01de52 65747572 6e427566 66657273 ...ReturnBuffers + 68630 00010103 92012002 9000008e 5260008e ...... .....R`.. + 68640 52dd0000 4f9c1e01 de687463 48616e64 R...O....htcHand + 68650 6c650000 0039f001 521e01de 456e6470 le...9..R...Endp + 68660 6f696e74 49440000 00390101 531e01de ointID...9..S... + 68670 70427566 66657273 00000026 2e01541e pBuffers...&..T. + 68680 01de7365 6e644372 65646974 466c6167 ..sendCreditFlag + 68690 00000049 ec015520 70485443 00000049 ...I..U pHTC...I + 686a0 bc206570 43726564 69744d61 736b0000 . epCreditMask.. + 686b0 00090920 6e627566 73000000 1b8f0023 ... nbufs......# + 686c0 0101085f 4854435f 52657475 726e4275 ..._HTC_ReturnBu + 686d0 66666572 734c6973 74000101 01049201 ffersList....... + 686e0 c0000290 00008e52 e0008e53 17000050 .......R...S...P + 686f0 2d1b0101 08687463 48616e64 6c650000 -....htcHandle.. + 68700 0039f001 521b0101 08456e64 706f696e .9..R....Endpoin + 68710 74494400 00003901 01531b01 01086275 tID...9..S....bu + 68720 66486561 64000000 27340154 20704854 fHead...'4.T pHT + 68730 43000000 49bc206e 65746275 66000000 C...I. netbuf... + 68740 262e2074 6d704e62 75660000 00262e00 &. tmpNbuf...&.. + 68750 2401011b 5f485443 5f526574 75726e42 $..._HTC_ReturnB + 68760 75666665 72730001 01039201 20029000 uffers...... ... + 68770 008e5318 008e5328 00005096 1b01011b ..S...S(..P..... + 68780 68746348 616e646c 65000000 39f00152 htcHandle...9..R + 68790 1b01011b 456e6470 6f696e74 49440000 ....EndpointID.. + 687a0 00390101 531b0101 1b704275 66666572 .9..S....pBuffer + 687b0 73000000 262e0154 00230101 205f4854 s...&..T.#.. _HT + 687c0 435f5365 6e644d73 67000101 01049201 C_SendMsg....... + 687d0 c0000290 00008e53 28008e54 82000051 .......S(..T...Q + 687e0 b91b0101 20687463 48616e64 6c650000 .... htcHandle.. + 687f0 0039f001 521b0101 20456e64 706f696e .9..R... Endpoin + 68800 74494400 00003901 01531b01 01207042 tID...9..S... pB + 68810 75666665 72730000 00262e01 54207048 uffers...&..T pH + 68820 54430000 0049bc20 63747800 00004a03 TC...I. ctx...J. + 68830 20746f74 737a0000 0000fd20 70485443 totsz..... pHTC + 68840 48647200 00004a1d 20706970 654d6178 Hdr...J. pipeMax + 68850 4c656e00 000000fd 20726f6f 6d466f72 Len..... roomFor + 68860 50697065 4d61784c 656e0000 00090920 PipeMaxLen..... + 68870 726f6f6d 00000000 fd20746f 74616c52 room..... totalR + 68880 65706f72 74427974 65730000 0000fd20 eportBytes..... + 68890 63726564 69747350 656e6469 6e674d61 creditsPendingMa + 688a0 70000000 09092070 52656348 64720000 p..... pRecHdr.. + 688b0 004a3820 69000000 00fd2063 6f6d7061 .J8 i..... compa + 688c0 72654d61 736b0000 00090920 70437265 reMask..... pCre + 688d0 64697452 70740000 004a5a00 240101ab ditRpt...JZ.$... + 688e0 5f485443 5f506175 73655265 63760001 _HTC_PauseRecv.. + 688f0 01039201 20029000 008e5484 008e5489 .... .....T...T. + 68900 000051f7 1b0101ab 456e6470 6f696e74 ..Q.....Endpoint + 68910 49440000 00390101 52002401 01b65f48 ID...9..R.$..._H + 68920 54435f52 6573756d 65526563 76000101 TC_ResumeRecv... + 68930 03920120 02900000 8e548c00 8e549100 ... .....T...T.. + 68940 0052361b 0101b645 6e64706f 696e7449 .R6....EndpointI + 68950 44000000 39010152 00250101 d45f4854 D...9..R.%..._HT + 68960 435f4765 74526573 65727665 64486561 C_GetReservedHea + 68970 64726f6f 6d000000 00fd0101 03920120 droom.......... + 68980 02900000 8e549400 8e54a700 00528b1b .....T...T...R.. + 68990 0101d468 74634861 6e646c65 00000039 ...htcHandle...9 + 689a0 f0015220 70485443 00000049 bc002401 ..R pHTC...I..$. + 689b0 01db6874 635f6d6f 64756c65 5f696e73 ..htc_module_ins + 689c0 74616c6c 00010103 92012002 9000008e tall...... ..... + 689d0 54a8008e 54e90000 52c81b01 01db7041 T...T...R.....pA + 689e0 50497300 00004a65 01520024 0101f648 PIs...Je.R.$...H + 689f0 54434672 65654d73 67427566 66657200 TCFreeMsgBuffer. + 68a00 01010392 01200290 00008e54 ec008e55 ..... .....T...U + 68a10 01000053 101b0101 f6704854 43000000 ...S.....pHTC... + 68a20 49bc0152 1b0101f6 62756600 0000262e I..R....buf...&. + 68a30 01530025 0101fd48 5443416c 6c6f634d .S.%...HTCAllocM + 68a40 73674275 66666572 00000026 2e010103 sgBuffer...&.... + 68a50 92012002 9000008e 5504008e 55230000 .. .....U...U#.. + 68a60 534f1b01 01fd7048 54430000 0049bc01 SO....pHTC...I.. + 68a70 52002401 02024854 43436865 636b416e R.$...HTCCheckAn + 68a80 6453656e 64437265 64697452 65706f72 dSendCreditRepor + 68a90 74000101 03920120 02900000 8e552400 t...... .....U$. + 68aa0 8e558200 0053e11b 01020270 48544300 .U...S.....pHTC. + 68ab0 000049bc 01521b01 02024570 4d61736b ..I..R....EpMask + 68ac0 00000009 0901531b 01020270 456e6470 ......S....pEndp + 68ad0 6f696e74 00000049 fa01541b 01020245 oint...I..T....E + 68ae0 69640000 00390101 55207043 72656442 id...9..U pCredB + 68af0 75666665 72000000 262e2063 74780000 uffer...&. ctx.. + 68b00 004a0300 24010244 48544350 726f6365 .J..$..DHTCProce + 68b10 7373436f 6e6e6563 744d7367 00010103 ssConnectMsg.... + 68b20 92013002 9000008e 5584008e 567c0000 ..0.....U...V|.. + 68b30 54b21b01 02447048 54430000 0049bc01 T....DpHTC...I.. + 68b40 521b0102 44704d73 67000000 4a8d0153 R...DpMsg...J..S + 68b50 20705365 72766963 65000000 3a5b2063 pService...:[ c + 68b60 6f6e6e65 63745374 61747573 00000012 onnectStatus.... + 68b70 c3216d65 74614461 74614f75 744c656e .!metaDataOutLen + 68b80 00000000 fd029150 20736572 76696365 .......P service + 68b90 49640000 0012d720 70427566 66657200 Id..... pBuffer. + 68ba0 0000262e 20705273 704d7367 0000004a ..&. pRspMsg...J + 68bb0 bc20704d 65746144 61746149 4e000000 . pMetaDataIN... + 68bc0 12d02070 4d657461 44617461 4f757400 .. pMetaDataOut. + 68bd0 000012d0 00240102 9c485443 50726f63 .....$...HTCProc + 68be0 65737343 6f6e6669 67506970 654d7367 essConfigPipeMsg + 68bf0 00010103 92012002 9000008e 567c008e ...... .....V|.. + 68c00 56f10000 551c1b01 029c7048 54430000 V...U.....pHTC.. + 68c10 0049bc01 521b0102 9c704d73 67000000 .I..R....pMsg... + 68c20 4adc0153 20704275 66666572 00000026 J..S pBuffer...& + 68c30 2e207052 73704d73 67000000 4b070024 . pRspMsg...K..$ + 68c40 0102c748 5443436f 6e74726f 6c537663 ...HTCControlSvc + 68c50 50726f63 6573734d 73670001 01039201 ProcessMsg...... + 68c60 30029000 008e56f4 008e577a 000055dc 0.....V...Wz..U. + 68c70 1b0102c7 456e6470 6f696e74 49440000 ....EndpointID.. + 68c80 00390101 521b0102 c7686472 5f627566 .9..R....hdr_buf + 68c90 00000026 2e01531b 0102c770 42756666 ...&..S....pBuff + 68ca0 65727300 0000262e 01541b01 02c76172 ers...&..T....ar + 68cb0 67000000 03f20155 20736574 7570436f g......U setupCo + 68cc0 6d706c65 74650000 0049ec20 70485443 mplete...I. pHTC + 68cd0 00000049 bc21616e 62646174 61000000 ...I.!anbdata... + 68ce0 16780291 5021616e 626c656e 00000016 .x..P!anblen.... + 68cf0 02029154 20704d73 67000000 4b230024 ...T pMsg...K#.$ + 68d00 01030448 5443436f 6e74726f 6c537663 ...HTCControlSvc + 68d10 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl + 68d20 65746500 01010392 01200290 00008e57 ete...... .....W + 68d30 7c008e57 bb000056 771b0103 04456e64 |..W...Vw....End + 68d40 706f696e 74494400 00003901 01521b01 pointID...9..R.. + 68d50 03047042 75666665 72730000 00262e01 ..pBuffers...&.. + 68d60 531b0103 04617267 00000003 f2015420 S....arg......T + 68d70 70485443 00000049 bc206374 78000000 pHTC...I. ctx... + 68d80 4a032063 72656469 74527074 456e6470 J. creditRptEndp + 68d90 6f696e74 00000039 01002401 03344854 oint...9..$..4HT + 68da0 4353656e 64446f6e 6548616e 646c6572 CSendDoneHandler + 68db0 00010103 92012002 9000008e 57bc008e ...... .....W... + 68dc0 57e30000 56e81b01 03346275 66000000 W...V....4buf... + 68dd0 262e0152 1b010334 636f6e74 65787400 &..R...4context. + 68de0 000003f2 01532070 48544300 000049bc .....S pHTC...I. + 68df0 20637478 0000004a 03206375 7272656e ctx...J. curren + 68e00 745f6569 64000000 12c30024 01038141 t_eid......$...A + 68e10 646a7573 74437265 64697454 68726573 djustCreditThres + 68e20 686f6c64 00010103 92012002 9000008e hold...... ..... + 68e30 57e4008e 58110000 57441b01 03817045 W...X...WD....pE + 68e40 6e64706f 696e7400 000049fa 01522063 ndpoint...I..R c + 68e50 72656469 74734f75 74737461 6e64696e reditsOutstandin + 68e60 67000000 1b8f0024 01039a52 65646973 g......$...Redis + 68e70 74726962 75746543 72656469 74000101 tributeCredit... + 68e80 03920120 02900000 8e581400 8e581900 ... .....X...X.. + 68e90 0057921b 01039a62 75660000 00262e01 .W.....buf...&.. + 68ea0 521b0103 9a746f50 69706549 64000000 R....toPipeId... + 68eb0 00fd0153 00260103 a0485443 4d736752 ...S.&...HTCMsgR + 68ec0 65637648 616e646c 65720001 01049201 ecvHandler...... + 68ed0 c0000290 00008e58 1c008e59 1b1b0103 .......X...Y.... + 68ee0 a0686472 5f627566 00000026 2e01521b .hdr_buf...&..R. + 68ef0 0103a062 75666665 72000000 262e0153 ...buffer...&..S + 68f00 1b0103a0 636f6e74 65787400 000003f2 ....context..... + 68f10 01542070 48544300 000049bc 20736571 .T pHTC...I. seq + 68f20 64696666 00000016 0220486f 73745365 diff..... HostSe + 68f30 714e756d 00000016 0220746d 705f6e62 qNum..... tmp_nb + 68f40 75660000 00262e21 616e6264 61746100 uf...&.!anbdata. + 68f50 00001678 02914021 616e626c 656e0000 ...x..@!anblen.. + 68f60 00160202 91442070 48544348 64720000 .....D pHTCHdr.. + 68f70 004a1d20 746f7473 7a000000 12d72065 .J. totsz..... e + 68f80 69640000 0000fd20 70456e64 706f696e id..... pEndpoin + 68f90 74000000 49fa2065 69644d61 736b0000 t...I. eidMask.. + 68fa0 00090920 6c656e00 00001602 20507265 ... len..... Pre + 68fb0 73656e74 63726564 69747300 00001602 sentcredits..... + 68fc0 00000000 004c2b00 02000026 1b04012f .....L+....&.../ + 68fd0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 68fe0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 68ff0 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 69000 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 69010 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 69020 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 69030 5f656e67 696e652f 7372632f 646d615f _engine/src/dma_ + 69040 656e6769 6e652e63 002f726f 6f742f57 engine.c./root/W + 69050 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 69060 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 69070 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 69080 656e6769 6e650078 742d7863 6320666f engine.xt-xcc fo + 69090 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali + 690a0 676e5f69 6e737472 75637469 6f6e733d gn_instructions= + 690b0 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: + 690c0 73706163 65000100 00017927 025f5644 space.....y'._VD + 690d0 45534300 24000001 95036e65 78745f64 ESC.$.....next_d + 690e0 65736300 00000195 02230003 6275665f esc......#..buf_ + 690f0 61646472 00000001 ba022304 03627566 addr......#..buf + 69100 5f73697a 65000000 01d70223 08036461 _size......#..da + 69110 74615f6f 66667365 74000000 01d70223 ta_offset......# + 69120 0a036461 74615f73 697a6500 000001d7 ..data_size..... + 69130 02230c03 636f6e74 726f6c00 000001d7 .#..control..... + 69140 02230e03 68775f64 6573635f 62756600 .#..hw_desc_buf. + 69150 000001e5 02231000 04000001 09040005 .....#.......... + 69160 756e7369 676e6564 20636861 72000701 unsigned char... + 69170 06415f55 494e5438 00000001 9c040000 .A_UINT8........ + 69180 01ad0400 0573686f 72742075 6e736967 .....short unsig + 69190 6e656420 696e7400 07020641 5f55494e ned int....A_UIN + 691a0 54313600 000001c1 07000001 ad140000 T16............. + 691b0 01f20813 00040000 01090400 05696e74 .............int + 691c0 00050409 01040000 02000400 06564445 .............VDE + 691d0 53430000 00010904 00000209 04000a00 SC.............. + 691e0 00021401 04000002 1b04000a 000001ba ................ + 691f0 01040000 02280400 09010400 00023504 .....(........5. + 69200 000b0400 02766465 73635f61 70690014 .....vdesc_api.. + 69210 000002b0 035f696e 69740000 00020202 ....._init...... + 69220 2300035f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 69230 00000221 02230403 5f676574 5f68775f ...!.#.._get_hw_ + 69240 64657363 00000002 2e022308 035f7377 desc......#.._sw + 69250 61705f76 64657363 00000002 3702230c ap_vdesc....7.#. + 69260 03705265 73657276 65640000 00023e02 .pReserved....>. + 69270 23100002 5f564255 46002000 00031003 #..._VBUF. ..... + 69280 64657363 5f6c6973 74000000 02140223 desc_list......# + 69290 00036e65 78745f62 75660000 00031002 ..next_buf...... + 692a0 23040362 75665f6c 656e6774 68000000 #..buf_length... + 692b0 01d70223 08037265 73657276 65640000 ...#..reserved.. + 692c0 00031702 230a0363 74780000 0001e502 ....#..ctx...... + 692d0 230c0004 000002b0 04000700 0001ad02 #............... + 692e0 00000324 08010004 000002b0 04000656 ...$...........V + 692f0 42554600 000002b0 04000003 2b04000a BUF.........+... + 69300 00000335 01040000 033c0400 0a000003 ...5.....<...... + 69310 35010400 00034904 00090104 00000356 5.....I........V + 69320 04000276 6275665f 61706900 14000003 ...vbuf_api..... + 69330 d4035f69 6e697400 00000202 02230003 .._init......#.. + 69340 5f616c6c 6f635f76 62756600 00000342 _alloc_vbuf....B + 69350 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 69360 77697468 5f73697a 65000000 034f0223 with_size....O.# + 69370 08035f66 7265655f 76627566 00000003 .._free_vbuf.... + 69380 5802230c 03705265 73657276 65640000 X.#..pReserved.. + 69390 00023e02 23100002 7a73446d 61446573 ..>.#...zsDmaDes + 693a0 63001400 00045603 6374726c 00000001 c.....V.ctrl.... + 693b0 c1022300 03737461 74757300 000001c1 ..#..status..... + 693c0 02230203 746f7461 6c4c656e 00000001 .#..totalLen.... + 693d0 c1022304 03646174 6153697a 65000000 ..#..dataSize... + 693e0 01c10223 06036c61 73744164 64720000 ...#..lastAddr.. + 693f0 00045602 23080364 61746141 64647200 ..V.#..dataAddr. + 69400 0000045d 02230c03 6e657874 41646472 ...].#..nextAddr + 69410 00000004 56022310 00040000 03d40400 ....V.#......... + 69420 056c6f6e 6720756e 7369676e 65642069 .long unsigned i + 69430 6e740007 04040000 03d40400 027a7344 nt...........zsD + 69440 6d615175 65756500 08000004 ab036865 maQueue.......he + 69450 61640000 00047202 23000374 65726d69 ad....r.#..termi + 69460 6e61746f 72000000 04720223 0400027a nator....r.#...z + 69470 73547844 6d615175 65756500 10000005 sTxDmaQueue..... + 69480 0f036865 61640000 00047202 23000374 ..head....r.#..t + 69490 65726d69 6e61746f 72000000 04720223 erminator....r.# + 694a0 0403786d 69746564 5f627566 5f686561 ..xmited_buf_hea + 694b0 64000000 03350223 0803786d 69746564 d....5.#..xmited + 694c0 5f627566 5f746169 6c000000 03350223 _buf_tail....5.# + 694d0 0c000901 04000005 0f040004 00000479 ...............y + 694e0 04000901 04000005 1f040004 000004ab ................ + 694f0 04000901 04000005 2f040009 01040000 ......../....... + 69500 05380400 09010400 00054104 000a0000 .8........A..... + 69510 03350104 0000054a 04000901 04000005 .5.....J........ + 69520 5704000a 00000335 01040000 05600400 W......5.....`.. + 69530 09010400 00056d04 000a0000 01f90104 ......m......... + 69540 00000576 04000a00 00047201 04000005 ...v......r..... + 69550 83040009 01040000 05900400 02646d61 .............dma + 69560 5f656e67 696e655f 61706900 40000007 _engine_api.@... + 69570 06035f69 6e697400 00000511 02230003 .._init......#.. + 69580 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. + 69590 00052102 2304035f 696e6974 5f74785f ..!.#.._init_tx_ + 695a0 71756575 65000000 05310223 08035f63 queue....1.#.._c + 695b0 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. + 695c0 00053a02 230c035f 786d6974 5f627566 ..:.#.._xmit_buf + 695d0 00000005 43022310 035f666c 7573685f ....C.#.._flush_ + 695e0 786d6974 00000005 21022314 035f7265 xmit....!.#.._re + 695f0 61705f72 6563765f 62756600 00000550 ap_recv_buf....P + 69600 02231803 5f726574 75726e5f 72656376 .#.._return_recv + 69610 5f627566 00000005 5902231c 035f7265 _buf....Y.#.._re + 69620 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... + 69630 05660223 20035f73 7761705f 64617461 .f.# ._swap_data + 69640 00000005 6f022324 035f6861 735f636f ....o.#$._has_co + 69650 6d706c5f 7061636b 65747300 0000057c mpl_packets....| + 69660 02232803 5f646573 635f6475 6d700000 .#(._desc_dump.. + 69670 00052102 232c035f 6765745f 7061636b ..!.#,._get_pack + 69680 65740000 00058902 2330035f 7265636c et......#0._recl + 69690 61696d5f 7061636b 65740000 00059202 aim_packet...... + 696a0 2334035f 7075745f 7061636b 65740000 #4._put_packet.. + 696b0 00059202 23380370 52657365 72766564 ....#8.pReserved + 696c0 00000002 3e02233c 00090104 00000706 ....>.#<........ + 696d0 04000563 68617200 07010c00 00070f0c ...char......... + 696e0 0000070f 04000007 1c04000a 000001f9 ................ + 696f0 01040000 07280400 02707269 6e74665f .....(...printf_ + 69700 61706900 08000007 6c035f70 72696e74 api.....l._print + 69710 665f696e 69740000 00070802 2300035f f_init......#.._ + 69720 7072696e 74660000 00072e02 23040006 printf......#... + 69730 75696e74 31365f74 00000001 c1067569 uint16_t......ui + 69740 6e743332 5f740000 00045d02 75617274 nt32_t....].uart + 69750 5f666966 6f000800 0007d303 73746172 _fifo.......star + 69760 745f696e 64657800 0000076c 02230003 t_index....l.#.. + 69770 656e645f 696e6465 78000000 076c0223 end_index....l.# + 69780 02036f76 65727275 6e5f6572 72000000 ..overrun_err... + 69790 077a0223 04000275 6172745f 61706900 .z.#...uart_api. + 697a0 20000008 8c035f75 6172745f 696e6974 ....._uart_init + 697b0 00000008 e3022300 035f7561 72745f63 ......#.._uart_c + 697c0 6861725f 70757400 000008f9 02230403 har_put......#.. + 697d0 5f756172 745f6368 61725f67 65740000 _uart_char_get.. + 697e0 00090d02 2308035f 75617274 5f737472 ....#.._uart_str + 697f0 5f6f7574 00000009 1602230c 035f7561 _out......#.._ua + 69800 72745f74 61736b00 00000708 02231003 rt_task......#.. + 69810 5f756172 745f7374 61747573 00000008 _uart_status.... + 69820 e3022314 035f7561 72745f63 6f6e6669 ..#.._uart_confi + 69830 67000000 091f0223 18035f75 6172745f g......#.._uart_ + 69840 6877696e 69740000 00092802 231c0004 hwinit....(.#... + 69850 000007d3 04000275 6172745f 626c6b00 .......uart_blk. + 69860 10000008 dd036465 6275675f 6d6f6465 ......debug_mode + 69870 00000007 6c022300 03626175 64000000 ....l.#..baud... + 69880 076c0223 02035f75 61727400 0000088c .l.#.._uart..... + 69890 02230403 5f747800 00000788 02230800 .#.._tx......#.. + 698a0 0a000007 7a010400 0008dd04 00067569 ....z.........ui + 698b0 6e74385f 74000000 019c0901 04000008 nt8_t........... + 698c0 f7040004 000008ea 04000a00 00076c01 ..............l. + 698d0 04000009 07040009 01040000 09140400 ................ + 698e0 09010400 00091d04 00090104 00000926 ...............& + 698f0 04000400 00070f04 000a0000 01f90104 ................ + 69900 00000936 04000244 425f434f 4d4d414e ...6...DB_COMMAN + 69910 445f5354 52554354 000c0000 098e0363 D_STRUCT.......c + 69920 6d645f73 74720000 00092f02 23000368 md_str..../.#..h + 69930 656c705f 73747200 0000092f 02230403 elp_str..../.#.. + 69940 636d645f 66756e63 00000009 3c022308 cmd_func....<.#. + 69950 00026462 675f6170 69000800 0009c103 ..dbg_api....... + 69960 5f646267 5f696e69 74000000 07080223 _dbg_init......# + 69970 00035f64 62675f74 61736b00 00000708 .._dbg_task..... + 69980 02230400 05756e73 69676e65 6420696e .#...unsigned in + 69990 74000704 0a000002 3e010400 0009d104 t.......>....... + 699a0 000d0d04 000009df 04000a00 00023e01 ..............>. + 699b0 04000009 e704000a 000001f9 01040000 ................ + 699c0 09f40400 026d656d 5f617069 00140000 .....mem_api.... + 699d0 0a63035f 6d656d5f 696e6974 00000007 .c._mem_init.... + 699e0 08022300 035f6d65 6d736574 00000009 ..#.._memset.... + 699f0 d7022304 035f6d65 6d637079 00000009 ..#.._memcpy.... + 69a00 ed022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... + 69a10 09ed0223 0c035f6d 656d636d 70000000 ...#.._memcmp... + 69a20 09fa0223 10000e72 65676973 7465725f ...#...register_ + 69a30 64756d70 5f730000 01040000 0a630400 dump_s.......c.. + 69a40 09010400 000a7d04 00090104 00000a86 ......}......... + 69a50 04000a00 0001f901 0400000a 8f04000f ................ + 69a60 686f7374 69665f73 00040000 0aeb1048 hostif_s.......H + 69a70 49465f55 53420000 10484946 5f504349 IF_USB...HIF_PCI + 69a80 45000110 4849465f 474d4143 00021048 E...HIF_GMAC...H + 69a90 49465f50 43490003 10484946 5f4e554d IF_PCI...HIF_NUM + 69aa0 00041048 49465f4e 4f4e4500 05000641 ...HIF_NONE....A + 69ab0 5f484f53 54494600 00000a9c 0a00000a _HOSTIF......... + 69ac0 eb010400 000af904 000a0000 08ea0104 ................ + 69ad0 00000b06 04000a00 00076c01 0400000b ..........l..... + 69ae0 13040002 6d697363 5f617069 00240000 ....misc_api.$.. + 69af0 0c03035f 73797374 656d5f72 65736574 ..._system_reset + 69b00 00000007 08022300 035f6d61 635f7265 ......#.._mac_re + 69b10 73657400 00000708 02230403 5f617373 set......#.._ass + 69b20 6661696c 0000000a 7f022308 035f6d69 fail......#.._mi + 69b30 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha + 69b40 6e646c65 72000000 0a7f0223 0c035f72 ndler......#.._r + 69b50 65706f72 745f6661 696c7572 655f746f eport_failure_to + 69b60 5f686f73 74000000 0a880223 10035f74 _host......#.._t + 69b70 61726765 745f6964 5f676574 0000000a arget_id_get.... + 69b80 95022314 035f6973 5f686f73 745f7072 ..#.._is_host_pr + 69b90 6573656e 74000000 0aff0223 18035f6b esent......#.._k + 69ba0 62686974 0000000b 0c02231c 035f726f bhit......#.._ro + 69bb0 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... + 69bc0 0b190223 20000a00 00092f01 0400000c ...# ...../..... + 69bd0 0304000a 0000092f 01040000 0c100400 ......./........ + 69be0 0a000001 f9010400 000c1d04 000a0000 ................ + 69bf0 01f90104 00000c2a 04000a00 0001f901 .......*........ + 69c00 0400000c 37040002 73747269 6e675f61 ....7...string_a + 69c10 70690018 00000cbd 035f7374 72696e67 pi......._string + 69c20 5f696e69 74000000 07080223 00035f73 _init......#.._s + 69c30 74726370 79000000 0c090223 04035f73 trcpy......#.._s + 69c40 74726e63 70790000 000c1602 2308035f trncpy......#.._ + 69c50 7374726c 656e0000 000c2302 230c035f strlen....#.#.._ + 69c60 73747263 6d700000 000c3002 2310035f strcmp....0.#.._ + 69c70 7374726e 636d7000 00000c3d 02231400 strncmp....=.#.. + 69c80 07000009 c1140000 0cca0804 00065f41 .............._A + 69c90 5f54494d 45525f53 50414345 0000000c _TIMER_SPACE.... + 69ca0 bd06415f 74696d65 725f7400 00000cca ..A_timer_t..... + 69cb0 0400000c de040009 01040000 0cf40400 ................ + 69cc0 09010400 000cfd04 0006415f 48414e44 ..........A_HAND + 69cd0 4c450000 0009c109 0106415f 54494d45 LE........A_TIME + 69ce0 525f4655 4e430000 000d1404 00000d16 R_FUNC.......... + 69cf0 04000901 0400000d 2f040002 74696d65 ......../...time + 69d00 725f6170 69001400 000dae03 5f74696d r_api......._tim + 69d10 65725f69 6e697400 00000708 02230003 er_init......#.. + 69d20 5f74696d 65725f61 726d0000 000cf602 _timer_arm...... + 69d30 2304035f 74696d65 725f6469 7361726d #.._timer_disarm + 69d40 0000000c ff022308 035f7469 6d65725f ......#.._timer_ + 69d50 73657466 6e000000 0d310223 0c035f74 setfn....1.#.._t + 69d60 696d6572 5f72756e 00000007 08022310 imer_run......#. + 69d70 0006424f 4f4c4541 4e000000 076c0a00 ..BOOLEAN....l.. + 69d80 000dae01 0400000d bb04000a 00000dae ................ + 69d90 01040000 0dc80400 0a00000d ae010400 ................ + 69da0 000dd504 0002726f 6d705f61 70690010 ......romp_api.. + 69db0 00000e47 035f726f 6d705f69 6e697400 ...G._romp_init. + 69dc0 00000708 02230003 5f726f6d 705f646f .....#.._romp_do + 69dd0 776e6c6f 61640000 000dc102 2304035f wnload......#.._ + 69de0 726f6d70 5f696e73 74616c6c 0000000d romp_install.... + 69df0 ce022308 035f726f 6d705f64 65636f64 ..#.._romp_decod + 69e00 65000000 0ddb0223 0c000272 6f6d5f70 e......#...rom_p + 69e10 61746368 5f737400 1000000e a3036372 atch_st.......cr + 69e20 63313600 0000076c 02230003 6c656e00 c16....l.#..len. + 69e30 0000076c 02230203 6c645f61 64647200 ...l.#..ld_addr. + 69e40 0000077a 02230403 66756e5f 61646472 ...z.#..fun_addr + 69e50 00000007 7a022308 03706675 6e000000 ....z.#..pfun... + 69e60 09000223 0c000265 65705f72 65646972 ...#...eep_redir + 69e70 5f616464 72000400 000ed503 6f666673 _addr.......offs + 69e80 65740000 00076c02 23000373 697a6500 et....l.#..size. + 69e90 0000076c 02230200 06415f55 494e5433 ...l.#...A_UINT3 + 69ea0 32000000 09c10a00 00023e01 0400000e 2.........>..... + 69eb0 e3040002 616c6c6f 6372616d 5f617069 ....allocram_api + 69ec0 000c0000 0f540363 6d6e6f73 5f616c6c .....T.cmnos_all + 69ed0 6f637261 6d5f696e 69740000 000ee902 ocram_init...... + 69ee0 23000363 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra + 69ef0 6d000000 0ee90223 0403636d 6e6f735f m......#..cmnos_ + 69f00 616c6c6f 6372616d 5f646562 75670000 allocram_debug.. + 69f10 00070802 23080009 01040000 0f540400 ....#........T.. + 69f20 06415f54 41534b4c 45545f46 554e4300 .A_TASKLET_FUNC. + 69f30 00000f56 025f7461 736b6c65 74001000 ...V._tasklet... + 69f40 000fb503 66756e63 0000000f 5d022300 ....func....].#. + 69f50 03617267 00000002 3e022304 03737461 .arg....>.#..sta + 69f60 74650000 0001f902 2308036e 65787400 te......#..next. + 69f70 00000fb5 02230c00 0400000f 71040004 .....#......q... + 69f80 00000f71 04000641 5f746173 6b6c6574 ...q...A_tasklet + 69f90 5f740000 000f7104 00000fc3 04000901 _t....q......... + 69fa0 0400000f db040009 01040000 0fe40400 ................ + 69fb0 02746173 6b6c6574 5f617069 00140000 .tasklet_api.... + 69fc0 1079035f 7461736b 6c65745f 696e6974 .y._tasklet_init + 69fd0 00000007 08022300 035f7461 736b6c65 ......#.._taskle + 69fe0 745f696e 69745f74 61736b00 00000fdd t_init_task..... + 69ff0 02230403 5f746173 6b6c6574 5f646973 .#.._tasklet_dis + 6a000 61626c65 0000000f e6022308 035f7461 able......#.._ta + 6a010 736b6c65 745f7363 68656475 6c650000 sklet_schedule.. + 6a020 000fe602 230c035f 7461736b 6c65745f ....#.._tasklet_ + 6a030 72756e00 00000708 02231000 09010400 run......#...... + 6a040 00107904 000a0000 0ed50104 00001082 ..y............. + 6a050 04000263 6c6f636b 5f617069 00240000 ...clock_api.$.. + 6a060 1168035f 636c6f63 6b5f696e 69740000 .h._clock_init.. + 6a070 00107b02 2300035f 636c6f63 6b726567 ..{.#.._clockreg + 6a080 735f696e 69740000 00070802 2304035f s_init......#.._ + 6a090 75617274 5f667265 7175656e 63790000 uart_frequency.. + 6a0a0 00108802 2308035f 64656c61 795f7573 ....#.._delay_us + 6a0b0 00000002 0202230c 035f776c 616e5f62 ......#.._wlan_b + 6a0c0 616e645f 73657400 00000202 02231003 and_set......#.. + 6a0d0 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 6a0e0 74000000 10880223 14035f6d 696c6c69 t......#.._milli + 6a0f0 7365636f 6e647300 00001088 02231803 seconds......#.. + 6a100 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 6a110 00070802 231c035f 636c6f63 6b5f7469 ....#.._clock_ti + 6a120 636b0000 00070802 2320000a 0000077a ck......# .....z + 6a130 01040000 11680400 06415f6f 6c645f69 .....h...A_old_i + 6a140 6e74725f 74000000 077a0a00 00117501 ntr_t....z....u. + 6a150 04000011 87040009 01040000 11940400 ................ + 6a160 09010400 00119d04 000a0000 077a0104 .............z.. + 6a170 000011a6 04000641 5f697372 5f740000 .......A_isr_t.. + 6a180 0011ac09 01040000 11c00400 0a000009 ................ + 6a190 c1010400 0011c904 00090104 000011d6 ................ + 6a1a0 04000269 6e74725f 61706900 2c000012 ...intr_api.,... + 6a1b0 f8035f69 6e74725f 696e6974 00000007 .._intr_init.... + 6a1c0 08022300 035f696e 74725f69 6e766f6b ..#.._intr_invok + 6a1d0 655f6973 72000000 116e0223 04035f69 e_isr....n.#.._i + 6a1e0 6e74725f 64697361 626c6500 0000118d ntr_disable..... + 6a1f0 02230803 5f696e74 725f7265 73746f72 .#.._intr_restor + 6a200 65000000 11960223 0c035f69 6e74725f e......#.._intr_ + 6a210 6d61736b 5f696e75 6d000000 119f0223 mask_inum......# + 6a220 10035f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 6a230 6e756d00 0000119f 02231403 5f696e74 num......#.._int + 6a240 725f6174 74616368 5f697372 00000011 r_attach_isr.... + 6a250 c2022318 035f6765 745f696e 7472656e ..#.._get_intren + 6a260 61626c65 00000011 cf02231c 035f7365 able......#.._se + 6a270 745f696e 7472656e 61626c65 00000011 t_intrenable.... + 6a280 d8022320 035f6765 745f696e 74727065 ..# ._get_intrpe + 6a290 6e64696e 67000000 11cf0223 24035f75 nding......#$._u + 6a2a0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 6a2b0 766c0000 00070802 23280011 04000013 vl......#(...... + 6a2c0 1e037469 6d656f75 74000000 077a0223 ..timeout....z.# + 6a2d0 00036163 74696f6e 00000007 7a022300 ..action....z.#. + 6a2e0 00120800 00133903 636d6400 0000077a ......9.cmd....z + 6a2f0 02230013 000012f8 02230400 06545f57 .#.......#...T_W + 6a300 44545f43 4d440000 00131e09 01040000 DT_CMD.......... + 6a310 13480400 14040000 139e1045 4e554d5f .H.........ENUM_ + 6a320 5744545f 424f4f54 00011045 4e554d5f WDT_BOOT...ENUM_ + 6a330 434f4c44 5f424f4f 54000210 454e554d COLD_BOOT...ENUM + 6a340 5f535553 505f424f 4f540003 10454e55 _SUSP_BOOT...ENU + 6a350 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 6a360 0006545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 6a370 13510a00 00139e01 04000013 af040002 .Q.............. + 6a380 7764745f 61706900 1c000014 53035f77 wdt_api.....S._w + 6a390 64745f69 6e697400 00000708 02230003 dt_init......#.. + 6a3a0 5f776474 5f656e61 626c6500 00000708 _wdt_enable..... + 6a3b0 02230403 5f776474 5f646973 61626c65 .#.._wdt_disable + 6a3c0 00000007 08022308 035f7764 745f7365 ......#.._wdt_se + 6a3d0 74000000 134a0223 0c035f77 64745f74 t....J.#.._wdt_t + 6a3e0 61736b00 00000708 02231003 5f776474 ask......#.._wdt + 6a3f0 5f726573 65740000 00070802 2314035f _reset......#.._ + 6a400 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 6a410 13b50223 18001404 000014ba 10524554 ...#.........RET + 6a420 5f535543 43455353 00001052 45545f4e _SUCCESS...RET_N + 6a430 4f545f49 4e495400 01105245 545f4e4f OT_INIT...RET_NO + 6a440 545f4558 49535400 02105245 545f4545 T_EXIST...RET_EE + 6a450 505f434f 52525550 54000310 5245545f P_CORRUPT...RET_ + 6a460 4545505f 4f564552 464c4f57 00041052 EEP_OVERFLOW...R + 6a470 45545f55 4e4b4e4f 574e0005 0006545f ET_UNKNOWN....T_ + 6a480 4545505f 52455400 00001453 04000007 EEP_RET....S.... + 6a490 6c04000a 000014ba 01040000 14d00400 l............... + 6a4a0 0a000014 ba010400 0014dd04 00026565 ..............ee + 6a4b0 705f6170 69001000 00154603 5f656570 p_api.....F._eep + 6a4c0 5f696e69 74000000 07080223 00035f65 _init......#.._e + 6a4d0 65705f72 65616400 000014d6 02230403 ep_read......#.. + 6a4e0 5f656570 5f777269 74650000 0014d602 _eep_write...... + 6a4f0 2308035f 6565705f 69735f65 78697374 #.._eep_is_exist + 6a500 00000014 e302230c 00027573 625f6170 ......#...usb_ap + 6a510 69007000 0017f303 5f757362 5f696e69 i.p....._usb_ini + 6a520 74000000 07080223 00035f75 73625f72 t......#.._usb_r + 6a530 6f6d5f74 61736b00 00000708 02230403 om_task......#.. + 6a540 5f757362 5f66775f 7461736b 00000007 _usb_fw_task.... + 6a550 08022308 035f7573 625f696e 69745f70 ..#.._usb_init_p + 6a560 68790000 00070802 230c035f 7573625f hy......#.._usb_ + 6a570 6570305f 73657475 70000000 07080223 ep0_setup......# + 6a580 10035f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 6a590 07080223 14035f75 73625f65 70305f72 ...#.._usb_ep0_r + 6a5a0 78000000 07080223 18035f75 73625f67 x......#.._usb_g + 6a5b0 65745f69 6e746572 66616365 0000000d et_interface.... + 6a5c0 ce02231c 035f7573 625f7365 745f696e ..#.._usb_set_in + 6a5d0 74657266 61636500 00000dce 02232003 terface......# . + 6a5e0 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 6a5f0 72617469 6f6e0000 000dce02 2324035f ration......#$._ + 6a600 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 6a610 6174696f 6e000000 0dce0223 28035f75 ation......#(._u + 6a620 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 6a630 00000dce 02232c03 5f757362 5f76656e .....#,._usb_ven + 6a640 646f725f 636d6400 00000708 02233003 dor_cmd......#0. + 6a650 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 6a660 00070802 2334035f 7573625f 72657365 ....#4._usb_rese + 6a670 745f6669 666f0000 00070802 2338035f t_fifo......#8._ + 6a680 7573625f 67656e5f 77647400 00000708 usb_gen_wdt..... + 6a690 02233c03 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 6a6a0 6f740000 00070802 2340035f 7573625f ot......#@._usb_ + 6a6b0 636c725f 66656174 75726500 00000dce clr_feature..... + 6a6c0 02234403 5f757362 5f736574 5f666561 .#D._usb_set_fea + 6a6d0 74757265 0000000d ce022348 035f7573 ture......#H._us + 6a6e0 625f7365 745f6164 64726573 73000000 b_set_address... + 6a6f0 0dce0223 4c035f75 73625f67 65745f64 ...#L._usb_get_d + 6a700 65736372 6970746f 72000000 0dce0223 escriptor......# + 6a710 50035f75 73625f67 65745f73 74617475 P._usb_get_statu + 6a720 73000000 0dce0223 54035f75 73625f73 s......#T._usb_s + 6a730 65747570 5f646573 63000000 07080223 etup_desc......# + 6a740 58035f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 6a750 00070802 235c035f 7573625f 73746174 ....#\._usb_stat + 6a760 75735f69 6e000000 07080223 60035f75 us_in......#`._u + 6a770 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 6a780 00070802 2364035f 7573625f 6570305f ....#d._usb_ep0_ + 6a790 72785f64 61746100 00000708 02236803 rx_data......#h. + 6a7a0 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 6a7b0 07080223 6c00025f 5f616466 5f646576 ...#l..__adf_dev + 6a7c0 69636500 04000018 15036475 6d6d7900 ice.......dummy. + 6a7d0 000001f9 02230000 0400000e d5040002 .....#.......... + 6a7e0 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... + 6a7f0 00185c03 62756600 00000335 02230003 ..\.buf....5.#.. + 6a800 64735f61 64647200 00001815 02230403 ds_addr......#.. + 6a810 64735f6c 656e0000 0001d702 23080012 ds_len......#... + 6a820 0c000018 96035f5f 76615f73 746b0000 ......__va_stk.. + 6a830 00092f02 2300035f 5f76615f 72656700 ../.#..__va_reg. + 6a840 0000092f 02230403 5f5f7661 5f6e6478 .../.#..__va_ndx + 6a850 00000001 f9022308 00065f5f 6164665f ......#...__adf_ + 6a860 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 6a870 0ed50661 64665f6f 735f646d 615f6164 ...adf_os_dma_ad + 6a880 64725f74 00000018 96065f5f 6164665f dr_t......__adf_ + 6a890 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 6a8a0 0ed50661 64665f6f 735f646d 615f7369 ...adf_os_dma_si + 6a8b0 7a655f74 00000018 c6025f5f 646d615f ze_t......__dma_ + 6a8c0 73656773 00080000 19220370 61646472 segs.....".paddr + 6a8d0 00000018 af022300 036c656e 00000018 ......#..len.... + 6a8e0 df022304 00065f5f 615f7569 6e743332 ..#...__a_uint32 + 6a8f0 5f740000 000ed506 615f7569 6e743332 _t......a_uint32 + 6a900 5f740000 00192207 000018f6 08000019 _t...."......... + 6a910 51080000 02616466 5f6f735f 646d616d Q....adf_os_dmam + 6a920 61705f69 6e666f00 0c000019 8a036e73 ap_info.......ns + 6a930 65677300 00001934 02230003 646d615f egs....4.#..dma_ + 6a940 73656773 00000019 44022304 00065f5f segs....D.#...__ + 6a950 615f7569 6e74385f 74000000 01ad0661 a_uint8_t......a + 6a960 5f75696e 74385f74 00000019 8a040000 _uint8_t........ + 6a970 199b0400 025f5f73 675f7365 67730008 .....__sg_segs.. + 6a980 000019dc 03766164 64720000 0019aa02 .....vaddr...... + 6a990 2300036c 656e0000 00193402 23040007 #..len....4.#... + 6a9a0 000019b1 20000019 e9080300 02616466 .... ........adf + 6a9b0 5f6f735f 73676c69 73740024 00001a1c _os_sglist.$.... + 6a9c0 036e7365 67730000 00193402 23000373 .nsegs....4.#..s + 6a9d0 675f7365 67730000 0019dc02 23040012 g_segs......#... + 6a9e0 1000001a 65037665 6e646f72 00000019 ....e.vendor.... + 6a9f0 34022300 03646576 69636500 00001934 4.#..device....4 + 6aa00 02230403 73756276 656e646f 72000000 .#..subvendor... + 6aa10 19340223 08037375 62646576 69636500 .4.#..subdevice. + 6aa20 00001934 02230c00 056c6f6e 67206c6f ...4.#...long lo + 6aa30 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 6aa40 07080641 5f55494e 54363400 00001a65 ...A_UINT64....e + 6aa50 065f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... + 6aa60 1a7f0661 5f75696e 7436345f 74000000 ...a_uint64_t... + 6aa70 1a8d1404 00001aeb 10414446 5f4f535f .........ADF_OS_ + 6aa80 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME + 6aa90 4d000010 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU + 6aaa0 5243455f 54595045 5f494f00 01000661 RCE_TYPE_IO....a + 6aab0 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 6aac0 7970655f 74000000 1aaf1218 00001b35 ype_t..........5 + 6aad0 03737461 72740000 001a9f02 23000365 .start......#..e + 6aae0 6e640000 001a9f02 23080374 79706500 nd......#..type. + 6aaf0 00001aeb 02231000 06616466 5f6f735f .....#...adf_os_ + 6ab00 7063695f 6465765f 69645f74 0000001a pci_dev_id_t.... + 6ab10 1c040000 1b350400 11040000 1b740370 .....5.......t.p + 6ab20 63690000 001b4e02 23000372 61770000 ci....N.#..raw.. + 6ab30 00023e02 23000011 1000001b 93037063 ..>.#.........pc + 6ab40 69000000 1b350223 00037261 77000000 i....5.#..raw... + 6ab50 023e0223 00000661 64665f64 72765f68 .>.#...adf_drv_h + 6ab60 616e646c 655f7400 0000023e 06616466 andle_t....>.adf + 6ab70 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. + 6ab80 001b0704 00001ba9 04000661 64665f6f ...........adf_o + 6ab90 735f6174 74616368 5f646174 615f7400 s_attach_data_t. + 6aba0 00001b74 0400001b c7040004 000017f3 ...t............ + 6abb0 0400065f 5f616466 5f6f735f 64657669 ...__adf_os_devi + 6abc0 63655f74 0000001b e8066164 665f6f73 ce_t......adf_os + 6abd0 5f646576 6963655f 74000000 1bef0a00 _device_t....... + 6abe0 001b9301 0400001c 1b040009 01040000 ................ + 6abf0 1c280400 06616466 5f6f735f 706d5f74 .(...adf_os_pm_t + 6ac00 00000002 3e090104 00001c42 04001404 ....>......B.... + 6ac10 00001c82 10414446 5f4f535f 4255535f .....ADF_OS_BUS_ + 6ac20 54595045 5f504349 00011041 44465f4f TYPE_PCI...ADF_O + 6ac30 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER + 6ac40 49430002 00066164 665f6f73 5f627573 IC....adf_os_bus + 6ac50 5f747970 655f7400 00001c4b 06616466 _type_t....K.adf + 6ac60 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data + 6ac70 5f740000 001b5504 0000019c 0400025f _t....U........_ + 6ac80 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. + 6ac90 1d5f0364 72765f61 74746163 68000000 ._.drv_attach... + 6aca0 1c210223 00036472 765f6465 74616368 .!.#..drv_detach + 6acb0 0000001c 2a022304 03647276 5f737573 ....*.#..drv_sus + 6acc0 70656e64 0000001c 44022308 03647276 pend....D.#..drv + 6acd0 5f726573 756d6500 00001c2a 02230c03 _resume....*.#.. + 6ace0 6275735f 74797065 0000001c 82022310 bus_type......#. + 6acf0 03627573 5f646174 61000000 1c990223 .bus_data......# + 6ad00 14036d6f 645f6e61 6d650000 001cb402 ..mod_name...... + 6ad10 23180369 666e616d 65000000 1cb40223 #..ifname......# + 6ad20 1c000661 64665f6f 735f6861 6e646c65 ...adf_os_handle + 6ad30 5f740000 00023e04 0000198a 04000901 _t....>......... + 6ad40 0901065f 5f616466 5f6f735f 73697a65 ...__adf_os_size + 6ad50 5f740000 0009c114 0400001d ae10415f _t............A_ + 6ad60 46414c53 45000010 415f5452 55450001 FALSE...A_TRUE.. + 6ad70 0006615f 626f6f6c 5f740000 001d9404 ..a_bool_t...... + 6ad80 0000181c 0400065f 5f616466 5f6f735f .......__adf_os_ + 6ad90 646d615f 6d61705f 74000000 1dbc0901 dma_map_t....... + 6ada0 0f616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 6adb0 6e630004 00001e46 10414446 5f53594e nc.....F.ADF_SYN + 6adc0 435f5052 45524541 44000010 4144465f C_PREREAD...ADF_ + 6add0 53594e43 5f505245 57524954 45000210 SYNC_PREWRITE... + 6ade0 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA + 6adf0 44000110 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS + 6ae00 54575249 54450003 00066164 665f6f73 TWRITE....adf_os + 6ae10 5f636163 68655f73 796e635f 74000000 _cache_sync_t... + 6ae20 1ddd0901 06616466 5f6f735f 73697a65 .....adf_os_size + 6ae30 5f740000 001d7f0a 00001e61 01066164 _t.........a..ad + 6ae40 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 6ae50 001dc304 00001e7a 04000a00 00023e01 .......z......>. + 6ae60 0400001d c304000a 0000023e 0109010a ...........>.... + 6ae70 000018af 01090105 73686f72 7420696e ........short in + 6ae80 74000502 06415f49 4e543136 0000001e t....A_INT16.... + 6ae90 b4065f5f 615f696e 7431365f 74000000 ..__a_int16_t... + 6aea0 1ec10661 5f696e74 31365f74 0000001e ...a_int16_t.... + 6aeb0 ce057369 676e6564 20636861 72000501 ..signed char... + 6aec0 06415f49 4e543800 00001eee 065f5f61 .A_INT8......__a + 6aed0 5f696e74 385f7400 00001efd 06615f69 _int8_t......a_i + 6aee0 6e74385f 74000000 1f09120c 00001f80 nt8_t........... + 6aef0 03737570 706f7274 65640000 00193402 .supported....4. + 6af00 23000361 64766572 74697a65 64000000 #..advertized... + 6af10 19340223 04037370 65656400 00001edf .4.#..speed..... + 6af20 02230803 6475706c 65780000 001f1902 .#..duplex...... + 6af30 230a0361 75746f6e 65670000 00199b02 #..autoneg...... + 6af40 230b0007 0000199b 0600001f 8d080500 #............... + 6af50 02616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr + 6af60 00060000 1fb10361 64647200 00001f80 .......addr..... + 6af70 02230000 065f5f61 5f75696e 7431365f .#...__a_uint16_ + 6af80 74000000 01d70661 5f75696e 7431365f t......a_uint16_ + 6af90 74000000 1fb1120e 00002015 03657468 t......... ..eth + 6afa0 65725f64 686f7374 0000001f 80022300 er_dhost......#. + 6afb0 03657468 65725f73 686f7374 0000001f .ether_shost.... + 6afc0 80022306 03657468 65725f74 79706500 ..#..ether_type. + 6afd0 00001fc3 02230c00 12140000 20d61569 .....#...... ..i + 6afe0 705f7665 7273696f 6e000000 199b0100 p_version....... + 6aff0 04022300 1569705f 686c0000 00199b01 ..#..ip_hl...... + 6b000 04040223 00036970 5f746f73 00000019 ...#..ip_tos.... + 6b010 9b022301 0369705f 6c656e00 00001fc3 ..#..ip_len..... + 6b020 02230203 69705f69 64000000 1fc30223 .#..ip_id......# + 6b030 04036970 5f667261 675f6f66 66000000 ..ip_frag_off... + 6b040 1fc30223 06036970 5f74746c 00000019 ...#..ip_ttl.... + 6b050 9b022308 0369705f 70726f74 6f000000 ..#..ip_proto... + 6b060 199b0223 09036970 5f636865 636b0000 ...#..ip_check.. + 6b070 001fc302 230a0369 705f7361 64647200 ....#..ip_saddr. + 6b080 00001934 02230c03 69705f64 61646472 ...4.#..ip_daddr + 6b090 00000019 34022310 00026164 665f6e65 ....4.#...adf_ne + 6b0a0 745f766c 616e6864 72000400 00212803 t_vlanhdr....!(. + 6b0b0 74706964 0000001f c3022300 15707269 tpid......#..pri + 6b0c0 6f000000 199b0100 03022302 15636669 o.........#..cfi + 6b0d0 00000019 9b010301 02230215 76696400 .........#..vid. + 6b0e0 00001fc3 02040c02 23020002 6164665f ........#...adf_ + 6b0f0 6e65745f 76696400 02000021 59157265 net_vid....!Y.re + 6b100 73000000 199b0100 04022300 1576616c s.........#..val + 6b110 0000001f c302040c 02230000 120c0000 .........#...... + 6b120 21950372 785f6275 6673697a 65000000 !..rx_bufsize... + 6b130 19340223 00037278 5f6e6465 73630000 .4.#..rx_ndesc.. + 6b140 00193402 23040374 785f6e64 65736300 ..4.#..tx_ndesc. + 6b150 00001934 02230800 12080000 21bb0370 ...4.#......!..p + 6b160 6f6c6c65 64000000 1dae0223 0003706f olled......#..po + 6b170 6c6c5f77 74000000 19340223 04000700 ll_wt....4.#.... + 6b180 00199b40 000021c8 083f0012 46000021 ...@..!..?..F..! + 6b190 f0036966 5f6e616d 65000000 21bb0223 ..if_name...!..# + 6b1a0 00036465 765f6164 64720000 001f8002 ..dev_addr...... + 6b1b0 23400014 04000022 27104144 465f4f53 #@....."'.ADF_OS + 6b1c0 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. + 6b1d0 00104144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 6b1e0 4b5f3634 42495400 01000661 64665f6f K_64BIT....adf_o + 6b1f0 735f646d 615f6d61 736b5f74 00000021 s_dma_mask_t...! + 6b200 f0026164 665f646d 615f696e 666f0008 ..adf_dma_info.. + 6b210 00002274 03646d61 5f6d6173 6b000000 .."t.dma_mask... + 6b220 22270223 00037367 5f6e7365 67730000 "'.#..sg_nsegs.. + 6b230 00193402 23040014 04000022 ca104144 ..4.#......"..AD + 6b240 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE + 6b250 00001041 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 6b260 5f544350 5f554450 5f495076 34000110 _TCP_UDP_IPv4... + 6b270 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 6b280 505f5544 505f4950 76360002 00066164 P_UDP_IPv6....ad + 6b290 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type + 6b2a0 5f740000 00227412 08000023 0d037478 _t..."t....#..tx + 6b2b0 5f636b73 756d0000 0022ca02 23000372 _cksum..."..#..r + 6b2c0 785f636b 73756d00 000022ca 02230400 x_cksum..."..#.. + 6b2d0 06616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i + 6b2e0 6e666f5f 74000000 22e41404 00002366 nfo_t...".....#f + 6b2f0 10414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON + 6b300 45000010 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ + 6b310 49505634 00011041 44465f4e 45545f54 IPV4...ADF_NET_T + 6b320 534f5f41 4c4c0002 00066164 665f6e65 SO_ALL....adf_ne + 6b330 745f7473 6f5f7479 70655f74 00000023 t_tso_type_t...# + 6b340 27121000 0023ba03 636b7375 6d5f6361 '....#..cksum_ca + 6b350 70000000 230d0223 00037473 6f000000 p...#..#..tso... + 6b360 23660223 0803766c 616e5f73 7570706f #f.#..vlan_suppo + 6b370 72746564 00000019 9b02230c 00122000 rted......#... . + 6b380 00245303 74785f70 61636b65 74730000 .$S.tx_packets.. + 6b390 00193402 23000372 785f7061 636b6574 ..4.#..rx_packet + 6b3a0 73000000 19340223 04037478 5f627974 s....4.#..tx_byt + 6b3b0 65730000 00193402 23080372 785f6279 es....4.#..rx_by + 6b3c0 74657300 00001934 02230c03 74785f64 tes....4.#..tx_d + 6b3d0 726f7070 65640000 00193402 23100372 ropped....4.#..r + 6b3e0 785f6472 6f707065 64000000 19340223 x_dropped....4.# + 6b3f0 14037278 5f657272 6f727300 00001934 ..rx_errors....4 + 6b400 02231803 74785f65 72726f72 73000000 .#..tx_errors... + 6b410 19340223 1c000661 64665f6e 65745f65 .4.#...adf_net_e + 6b420 74686164 64725f74 0000001f 8d160000 thaddr_t........ + 6b430 24530300 00002478 087f0017 6164665f $S....$x....adf_ + 6b440 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. + 6b450 04000024 af036e65 6c656d00 00001934 ...$..nelem....4 + 6b460 02230003 6d636173 74000000 246a0223 .#..mcast...$j.# + 6b470 04000661 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l + 6b480 696e6b5f 696e666f 5f740000 001f2706 ink_info_t....'. + 6b490 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll + 6b4a0 5f696e66 6f5f7400 00002195 06616466 _info_t...!..adf + 6b4b0 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i + 6b4c0 6e666f5f 74000000 230d0661 64665f6e nfo_t...#..adf_n + 6b4d0 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info + 6b4e0 5f740000 00215906 6164665f 6e65745f _t...!Y.adf_net_ + 6b4f0 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. + 6b500 00223e06 6164665f 6e65745f 636d645f .">.adf_net_cmd_ + 6b510 7669645f 74000000 1fc30661 64665f6e vid_t......adf_n + 6b520 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c + 6b530 61705f74 00000023 7e066164 665f6e65 ap_t...#~.adf_ne + 6b540 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... + 6b550 23ba0661 64665f6e 65745f63 6d645f6d #..adf_net_cmd_m + 6b560 63616464 725f7400 00002478 0f616466 caddr_t...$x.adf + 6b570 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 6b580 61700004 000025f1 10414446 5f4e4554 ap....%..ADF_NET + 6b590 5f4d4341 53545f53 55500000 10414446 _MCAST_SUP...ADF + 6b5a0 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU + 6b5b0 50000100 06616466 5f6e6574 5f636d64 P....adf_net_cmd + 6b5c0 5f6d6361 73745f63 61705f74 00000025 _mcast_cap_t...% + 6b5d0 a9180304 000026c3 036c696e 6b5f696e ......&..link_in + 6b5e0 666f0000 0024af02 23000370 6f6c6c5f fo...$..#..poll_ + 6b5f0 696e666f 00000024 cc022300 03636b73 info...$..#..cks + 6b600 756d5f69 6e666f00 000024e9 02230003 um_info...$..#.. + 6b610 72696e67 5f696e66 6f000000 25070223 ring_info...%..# + 6b620 0003646d 615f696e 666f0000 00252402 ..dma_info...%$. + 6b630 23000376 69640000 00254002 2300036f #..vid...%@.#..o + 6b640 66666c6f 61645f63 61700000 00255702 ffload_cap...%W. + 6b650 23000373 74617473 00000025 76022300 #..stats...%v.#. + 6b660 036d6361 73745f69 6e666f00 0000258f .mcast_info...%. + 6b670 02230003 6d636173 745f6361 70000000 .#..mcast_cap... + 6b680 25f10223 00001404 0000271a 10414446 %..#......'..ADF + 6b690 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N + 6b6a0 4f4e4500 00104144 465f4e42 55465f52 ONE...ADF_NBUF_R + 6b6b0 585f434b 53554d5f 48570001 10414446 X_CKSUM_HW...ADF + 6b6c0 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U + 6b6d0 4e4e4543 45535341 52590002 00066164 NNECESSARY....ad + 6b6e0 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 6b6f0 74797065 5f740000 0026c312 08000027 type_t...&.....' + 6b700 5a037265 73756c74 00000027 1a022300 Z.result...'..#. + 6b710 0376616c 00000019 34022304 00120800 .val....4.#..... + 6b720 00278a03 74797065 00000023 66022300 .'..type...#f.#. + 6b730 036d7373 0000001f c3022304 03686472 .mss......#..hdr + 6b740 5f6f6666 00000019 9b022306 00025f5f _off......#...__ + 6b750 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. + 6b760 000027c9 03686561 64000000 03350223 ..'..head....5.# + 6b770 00037461 696c0000 00033502 23040371 ..tail....5.#..q + 6b780 6c656e00 00001934 02230800 065f5f61 len....4.#...__a + 6b790 64665f6e 6275665f 74000000 03350400 df_nbuf_t....5.. + 6b7a0 0019aa04 00040000 19340400 09010a00 .........4...... + 6b7b0 00021401 0a000019 34010a00 0019aa01 ........4....... + 6b7c0 0a000019 aa010400 0001e504 00065f5f ..............__ + 6b7d0 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t + 6b7e0 00000027 8a065f5f 6164665f 6e627566 ...'..__adf_nbuf + 6b7f0 5f717565 75655f74 00000028 0a040000 _queue_t...(.... + 6b800 28220400 0a000027 c9010a00 0027c901 (".....'.....'.. + 6b810 14040000 29421041 5f535441 5455535f ....)B.A_STATUS_ + 6b820 4f4b0000 10415f53 54415455 535f4641 OK...A_STATUS_FA + 6b830 494c4544 00011041 5f535441 5455535f ILED...A_STATUS_ + 6b840 454e4f45 4e540002 10415f53 54415455 ENOENT...A_STATU + 6b850 535f454e 4f4d454d 00031041 5f535441 S_ENOMEM...A_STA + 6b860 5455535f 45494e56 414c0004 10415f53 TUS_EINVAL...A_S + 6b870 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES + 6b880 53000510 415f5354 41545553 5f454e4f S...A_STATUS_ENO + 6b890 54535550 50000610 415f5354 41545553 TSUPP...A_STATUS + 6b8a0 5f454255 53590007 10415f53 54415455 _EBUSY...A_STATU + 6b8b0 535f4532 42494700 0810415f 53544154 S_E2BIG...A_STAT + 6b8c0 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL + 6b8d0 00091041 5f535441 5455535f 454e5849 ...A_STATUS_ENXI + 6b8e0 4f000a10 415f5354 41545553 5f454641 O...A_STATUS_EFA + 6b8f0 554c5400 0b10415f 53544154 55535f45 ULT...A_STATUS_E + 6b900 494f000c 0006615f 73746174 75735f74 IO....a_status_t + 6b910 00000028 4d0a0000 2942010a 000001f9 ...(M...)B...... + 6b920 01090106 6164665f 6e627566 5f740000 ....adf_nbuf_t.. + 6b930 0027c914 04000029 a7104144 465f4f53 .'.....)..ADF_OS + 6b940 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. + 6b950 10414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM + 6b960 5f444556 49434500 01000661 64665f6f _DEVICE....adf_o + 6b970 735f646d 615f6469 725f7400 00002970 s_dma_dir_t...)p + 6b980 0a000029 42010901 06616466 5f6f735f ...)B....adf_os_ + 6b990 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... + 6b9a0 19510400 0029c504 00090109 010a0000 .Q...).......... + 6b9b0 2960010a 000027c9 01090109 010a0000 )`....'......... + 6b9c0 2960010a 000027c9 010a0000 2960010a )`....'.....)`.. + 6b9d0 000027c9 010a0000 29600109 0109010a ..'.....)`...... + 6b9e0 00001934 010a0000 19aa0109 0109010a ...4............ + 6b9f0 00001e61 010a0000 1dae010a 00001dae ...a............ + 6ba00 01066164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ + 6ba10 74000000 19e90400 002a3e04 00090109 t........*>..... + 6ba20 0109010a 000019aa 01066164 665f6e62 ..........adf_nb + 6ba30 75665f71 75657565 5f740000 00282204 uf_queue_t...(". + 6ba40 00002a66 04000901 04000028 0a040009 ..*f.......(.... + 6ba50 01090109 010a0000 2960010a 000027c9 ........)`....'. + 6ba60 010a0000 1934010a 00001934 010a0000 .....4.....4.... + 6ba70 1dae010a 00001dae 010a0000 22ca010a ............"... + 6ba80 00001934 01066164 665f6e62 75665f72 ...4..adf_nbuf_r + 6ba90 785f636b 73756d5f 74000000 27380400 x_cksum_t...'8.. + 6baa0 002ac204 00090109 01066164 665f6e62 .*........adf_nb + 6bab0 75665f74 736f5f74 00000027 5a040000 uf_tso_t...'Z... + 6bac0 2ae60400 09010901 06616466 5f6e6574 *........adf_net + 6bad0 5f68616e 646c655f 74000000 023e0661 _handle_t....>.a + 6bae0 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t + 6baf0 00000020 d6040000 2b1b0400 0a000029 ... ....+......) + 6bb00 42010a00 00294201 09010901 025f4849 B....)B......_HI + 6bb10 465f434f 4e464947 00040000 2b6a0364 F_CONFIG....+j.d + 6bb20 756d6d79 00000001 f9022300 00090104 ummy......#..... + 6bb30 00002b6a 04000901 0400002b 73040002 ..+j.......+s... + 6bb40 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... + 6bb50 002bc803 73656e64 5f627566 5f646f6e .+..send_buf_don + 6bb60 65000000 2b6c0223 00037265 63765f62 e...+l.#..recv_b + 6bb70 75660000 002b7502 23040363 6f6e7465 uf...+u.#..conte + 6bb80 78740000 00023e02 23080006 6869665f xt....>.#...hif_ + 6bb90 68616e64 6c655f74 00000002 3e064849 handle_t....>.HI + 6bba0 465f434f 4e464947 0000002b 49040000 F_CONFIG...+I... + 6bbb0 2bda0400 0a00002b c8010400 002bf104 +......+.....+.. + 6bbc0 00090104 00002bfe 04000648 49465f43 ......+....HIF_C + 6bbd0 414c4c42 41434b00 00002b7c 0400002c ALLBACK...+|..., + 6bbe0 07040009 01040000 2c200400 0a000001 ........, ...... + 6bbf0 f9010400 002c2904 00090104 00002c36 .....,).......,6 + 6bc00 04000a00 0001f901 0400002c 3f040009 ...........,?... + 6bc10 01040000 2c4c0400 0a000001 f9010400 ....,L.......... + 6bc20 002c5504 00090104 00002c62 04000268 .,U.......,b...h + 6bc30 69665f61 70690038 00002dbb 035f696e if_api.8..-.._in + 6bc40 69740000 002bf702 2300035f 73687574 it...+..#.._shut + 6bc50 646f776e 0000002c 00022304 035f7265 down...,..#.._re + 6bc60 67697374 65725f63 616c6c62 61636b00 gister_callback. + 6bc70 00002c22 02230803 5f676574 5f746f74 ..,".#.._get_tot + 6bc80 616c5f63 72656469 745f636f 756e7400 al_credit_count. + 6bc90 00002c2f 02230c03 5f737461 72740000 ..,/.#.._start.. + 6bca0 002c0002 2310035f 636f6e66 69675f70 .,..#.._config_p + 6bcb0 69706500 00002c38 02231403 5f73656e ipe...,8.#.._sen + 6bcc0 645f6275 66666572 0000002c 45022318 d_buffer...,E.#. + 6bcd0 035f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 6bce0 66000000 2c4e0223 1c035f69 735f7069 f...,N.#.._is_pi + 6bcf0 70655f73 7570706f 72746564 0000002c pe_supported..., + 6bd00 5b022320 035f6765 745f6d61 785f6d73 [.# ._get_max_ms + 6bd10 675f6c65 6e000000 2c5b0223 24035f67 g_len...,[.#$._g + 6bd20 65745f72 65736572 7665645f 68656164 et_reserved_head + 6bd30 726f6f6d 0000002c 2f022328 035f6973 room...,/.#(._is + 6bd40 725f6861 6e646c65 72000000 2c000223 r_handler...,..# + 6bd50 2c035f67 65745f64 65666175 6c745f70 ,._get_default_p + 6bd60 69706500 00002c64 02233003 70526573 ipe...,d.#0.pRes + 6bd70 65727665 64000000 023e0223 34000f64 erved....>.#4..d + 6bd80 6d615f65 6e67696e 65000400 002e4410 ma_engine.....D. + 6bd90 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. + 6bda0 10444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. + 6bdb0 0110444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 + 6bdc0 00021044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 6bdd0 33000310 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T + 6bde0 58300004 10444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 6bdf0 54583100 0510444d 415f454e 47494e45 TX1...DMA_ENGINE + 6be00 5f4d4158 00060006 646d615f 656e6769 _MAX....dma_engi + 6be10 6e655f74 0000002d bb0f646d 615f6966 ne_t...-..dma_if + 6be20 74797065 00040000 2e911044 4d415f49 type.......DMA_I + 6be30 465f474d 41430000 10444d41 5f49465f F_GMAC...DMA_IF_ + 6be40 50434900 0110444d 415f4946 5f504349 PCI...DMA_IF_PCI + 6be50 45000200 06646d61 5f696674 7970655f E....dma_iftype_ + 6be60 74000000 2e560a00 0001d701 0400002e t....V.......... + 6be70 a3040009 01040000 2eb00400 09010400 ................ + 6be80 002eb904 000a0000 0ed50104 00002ec2 ................ + 6be90 04000a00 0001d701 0400002e cf04000a ................ + 6bea0 000001d7 01040000 2edc0400 0a000003 ................ + 6beb0 35010400 002ee904 00090104 00002ef6 5............... + 6bec0 04000264 6d615f6c 69625f61 70690034 ...dma_lib_api.4 + 6bed0 00002ffd 0374785f 696e6974 0000002e ../..tx_init.... + 6bee0 a9022300 0374785f 73746172 74000000 ..#..tx_start... + 6bef0 2eb20223 04037278 5f696e69 74000000 ...#..rx_init... + 6bf00 2ea90223 08037278 5f636f6e 66696700 ...#..rx_config. + 6bf10 00002ebb 02230c03 72785f73 74617274 .....#..rx_start + 6bf20 0000002e b2022310 03696e74 725f7374 ......#..intr_st + 6bf30 61747573 0000002e c8022314 03686172 atus......#..har + 6bf40 645f786d 69740000 002ed502 23180366 d_xmit......#..f + 6bf50 6c757368 5f786d69 74000000 2eb20223 lush_xmit......# + 6bf60 1c03786d 69745f64 6f6e6500 00002ee2 ..xmit_done..... + 6bf70 02232003 72656170 5f786d69 74746564 .# .reap_xmitted + 6bf80 0000002e ef022324 03726561 705f7265 ......#$.reap_re + 6bf90 63760000 002eef02 23280372 65747572 cv......#(.retur + 6bfa0 6e5f7265 63760000 002ef802 232c0372 n_recv......#,.r + 6bfb0 6563765f 706b7400 00002ee2 02233000 ecv_pkt......#0. + 6bfc0 025f5f70 63695f73 6f667463 000c0000 .__pci_softc.... + 6bfd0 301b0373 77000000 2c070223 0000065f 0..sw...,..#..._ + 6bfe0 5f706369 5f736f66 74635f74 0000002f _pci_softc_t.../ + 6bff0 fd040000 301b0400 09010400 00303504 ....0........05. + 6c000 000a0000 01ad0104 0000303e 04000f68 ..........0>...h + 6c010 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. + 6c020 0000309e 10484946 5f504349 5f504950 ..0..HIF_PCI_PIP + 6c030 455f5458 30000010 4849465f 5043495f E_TX0...HIF_PCI_ + 6c040 50495045 5f545831 00011048 49465f50 PIPE_TX1...HIF_P + 6c050 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. + 6c060 00066869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 6c070 785f7400 0000304b 0a00002e 44010400 x_t...0K....D... + 6c080 0030b504 000f6869 665f7063 695f7069 .0....hif_pci_pi + 6c090 70655f72 78000400 00313b10 4849465f pe_rx....1;.HIF_ + 6c0a0 5043495f 50495045 5f525830 00001048 PCI_PIPE_RX0...H + 6c0b0 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. + 6c0c0 01104849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 6c0d0 58320002 10484946 5f504349 5f504950 X2...HIF_PCI_PIP + 6c0e0 455f5258 33000310 4849465f 5043495f E_RX3...HIF_PCI_ + 6c0f0 50495045 5f52585f 4d415800 04000668 PIPE_RX_MAX....h + 6c100 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t + 6c110 00000030 c20a0000 2e440104 00003152 ...0.....D....1R + 6c120 04000268 69665f70 63695f61 70690024 ...hif_pci_api.$ + 6c130 00003230 03706369 5f626f6f 745f696e ..20.pci_boot_in + 6c140 69740000 00070802 23000370 63695f69 it......#..pci_i + 6c150 6e697400 00002bf7 02230403 7063695f nit...+..#..pci_ + 6c160 72657365 74000000 07080223 08037063 reset......#..pc + 6c170 695f656e 61626c65 00000007 0802230c i_enable......#. + 6c180 03706369 5f726561 705f786d 69747465 .pci_reap_xmitte + 6c190 64000000 30370223 10037063 695f7265 d...07.#..pci_re + 6c1a0 61705f72 65637600 00003037 02231403 ap_recv...07.#.. + 6c1b0 7063695f 6765745f 70697065 00000030 pci_get_pipe...0 + 6c1c0 44022318 03706369 5f676574 5f74785f D.#..pci_get_tx_ + 6c1d0 656e6700 000030bb 02231c03 7063695f eng...0..#..pci_ + 6c1e0 6765745f 72785f65 6e670000 00315802 get_rx_eng...1X. + 6c1f0 23200002 676d6163 5f617069 00040000 # ..gmac_api.... + 6c200 32570367 6d61635f 626f6f74 5f696e69 2W.gmac_boot_ini + 6c210 74000000 07080223 00000700 00019c06 t......#........ + 6c220 00003264 08050002 5f5f6574 68686472 ..2d....__ethhdr + 6c230 000e0000 329a0364 73740000 00325702 ....2..dst...2W. + 6c240 23000373 72630000 00325702 23060365 #..src...2W.#..e + 6c250 74797065 00000001 d702230c 00025f5f type......#...__ + 6c260 61746868 64720004 000032e8 15726573 athhdr....2..res + 6c270 00000001 ad010002 02230015 70726f74 .........#..prot + 6c280 6f000000 01ad0102 06022300 03726573 o.........#..res + 6c290 5f6c6f00 000001ad 02230103 7265735f _lo......#..res_ + 6c2a0 68690000 0001d702 23020002 5f5f676d hi......#...__gm + 6c2b0 61635f68 64720014 00003324 03657468 ac_hdr....3$.eth + 6c2c0 00000032 64022300 03617468 00000032 ...2d.#..ath...2 + 6c2d0 9a02230e 03616c69 676e5f70 61640000 ..#..align_pad.. + 6c2e0 0001d702 23120006 5f5f676d 61635f68 ....#...__gmac_h + 6c2f0 64725f74 00000032 e8025f5f 676d6163 dr_t...2..__gmac + 6c300 5f736f66 74630024 0000336e 03686472 _softc.$..3n.hdr + 6c310 00000033 24022300 03677261 6e000000 ...3$.#..gran... + 6c320 01d70223 14037377 0000002c 07022318 ...#..sw...,..#. + 6c330 000e5f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ + 6c340 63686563 6b000001 04000033 6e04000a check......3n... + 6c350 000001f9 01040000 338c0400 04000009 ........3....... + 6c360 c1040017 5f415f63 6d6e6f73 5f696e64 ...._A_cmnos_ind + 6c370 69726563 74696f6e 5f746162 6c650001 irection_table.. + 6c380 b8000034 dc036861 6c5f6c69 6e6b6167 ...4..hal_linkag + 6c390 655f6368 65636b00 00003392 02230003 e_check...3..#.. + 6c3a0 73746172 745f6273 73000000 33990223 start_bss...3..# + 6c3b0 04036170 705f7374 61727400 00000708 ..app_start..... + 6c3c0 02230803 6d656d00 00000a01 02230c03 .#..mem......#.. + 6c3d0 6d697363 0000000b 20022320 03707269 misc.... .# .pri + 6c3e0 6e746600 00000735 02234403 75617274 ntf....5.#D.uart + 6c3f0 00000007 d302234c 03676d61 63000000 ......#L.gmac... + 6c400 32300223 6c037573 62000000 15460223 20.#l.usb....F.# + 6c410 7003636c 6f636b00 0000108f 0323e001 p.clock......#.. + 6c420 0374696d 65720000 000d3803 23840203 .timer....8.#... + 6c430 696e7472 00000011 df032398 0203616c intr......#...al + 6c440 6c6f6372 616d0000 000ef003 23c40203 locram......#... + 6c450 726f6d70 0000000d e20323d0 02037764 romp......#...wd + 6c460 745f7469 6d657200 000013bc 0323e002 t_timer......#.. + 6c470 03656570 00000014 ea0323fc 02037374 .eep......#...st + 6c480 72696e67 0000000c 4403238c 03037461 ring....D.#...ta + 6c490 736b6c65 74000000 0fed0323 a4030002 sklet......#.... + 6c4a0 5f555342 5f464946 4f5f434f 4e464947 _USB_FIFO_CONFIG + 6c4b0 00100000 354f0367 65745f63 6f6d6d61 ....5O.get_comma + 6c4c0 6e645f62 75660000 00034202 23000372 nd_buf....B.#..r + 6c4d0 6563765f 636f6d6d 616e6400 00000358 ecv_command....X + 6c4e0 02230403 6765745f 6576656e 745f6275 .#..get_event_bu + 6c4f0 66000000 03420223 08037365 6e645f65 f....B.#..send_e + 6c500 76656e74 5f646f6e 65000000 03580223 vent_done....X.# + 6c510 0c000655 53425f46 49464f5f 434f4e46 ...USB_FIFO_CONF + 6c520 49470000 0034dc04 0000354f 04000901 IG...4....5O.... + 6c530 04000035 6b040002 75736266 69666f5f ...5k...usbfifo_ + 6c540 61706900 0c000035 c1035f69 6e697400 api....5.._init. + 6c550 0000356d 02230003 5f656e61 626c655f ..5m.#.._enable_ + 6c560 6576656e 745f6973 72000000 07080223 event_isr......# + 6c570 04037052 65736572 76656400 0000023e ..pReserved....> + 6c580 02230800 07000019 9b020000 35ce0801 .#..........5... + 6c590 00025f48 54435f46 52414d45 5f484452 .._HTC_FRAME_HDR + 6c5a0 00080000 36400345 6e64706f 696e7449 ....6@.EndpointI + 6c5b0 44000000 199b0223 0003466c 61677300 D......#..Flags. + 6c5c0 0000199b 02230103 5061796c 6f61644c .....#..PayloadL + 6c5d0 656e0000 001fc302 23020343 6f6e7472 en......#..Contr + 6c5e0 6f6c4279 74657300 000035c1 02230403 olBytes...5..#.. + 6c5f0 486f7374 5365714e 756d0000 001fc302 HostSeqNum...... + 6c600 23060012 02000036 59034d65 73736167 #......6Y.Messag + 6c610 65494400 00001fc3 02230000 12080000 eID......#...... + 6c620 36bc034d 65737361 67654944 0000001f 6..MessageID.... + 6c630 c3022300 03437265 64697443 6f756e74 ..#..CreditCount + 6c640 0000001f c3022302 03437265 64697453 ......#..CreditS + 6c650 697a6500 00001fc3 02230403 4d617845 ize......#..MaxE + 6c660 6e64706f 696e7473 00000019 9b022306 ndpoints......#. + 6c670 035f5061 64310000 00199b02 23070012 ._Pad1......#... + 6c680 0a000037 53034d65 73736167 65494400 ...7S.MessageID. + 6c690 00001fc3 02230003 53657276 69636549 .....#..ServiceI + 6c6a0 44000000 1fc30223 0203436f 6e6e6563 D......#..Connec + 6c6b0 74696f6e 466c6167 73000000 1fc30223 tionFlags......# + 6c6c0 0403446f 776e4c69 6e6b5069 70654944 ..DownLinkPipeID + 6c6d0 00000019 9b022306 0355704c 696e6b50 ......#..UpLinkP + 6c6e0 69706549 44000000 199b0223 07035365 ipeID......#..Se + 6c6f0 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 6c700 0000199b 02230803 5f506164 31000000 .....#.._Pad1... + 6c710 199b0223 0900120a 000037db 034d6573 ...#......7..Mes + 6c720 73616765 49440000 001fc302 23000353 sageID......#..S + 6c730 65727669 63654944 0000001f c3022302 erviceID......#. + 6c740 03537461 74757300 0000199b 02230403 .Status......#.. + 6c750 456e6470 6f696e74 49440000 00199b02 EndpointID...... + 6c760 2305034d 61784d73 6753697a 65000000 #..MaxMsgSize... + 6c770 1fc30223 06035365 72766963 654d6574 ...#..ServiceMet + 6c780 614c656e 67746800 0000199b 02230803 aLength......#.. + 6c790 5f506164 31000000 199b0223 09001202 _Pad1......#.... + 6c7a0 000037f4 034d6573 73616765 49440000 ..7..MessageID.. + 6c7b0 001fc302 23000012 04000038 30034d65 ....#......80.Me + 6c7c0 73736167 65494400 00001fc3 02230003 ssageID......#.. + 6c7d0 50697065 49440000 00199b02 23020343 PipeID......#..C + 6c7e0 72656469 74436f75 6e740000 00199b02 reditCount...... + 6c7f0 23030012 04000038 67034d65 73736167 #......8g.Messag + 6c800 65494400 00001fc3 02230003 50697065 eID......#..Pipe + 6c810 49440000 00199b02 23020353 74617475 ID......#..Statu + 6c820 73000000 199b0223 03001202 0000388e s......#......8. + 6c830 03526563 6f726449 44000000 199b0223 .RecordID......# + 6c840 00034c65 6e677468 00000019 9b022301 ..Length......#. + 6c850 00120200 0038b803 456e6470 6f696e74 .....8..Endpoint + 6c860 49440000 00199b02 23000343 72656469 ID......#..Credi + 6c870 74730000 00199b02 23010012 04000038 ts......#......8 + 6c880 f903456e 64706f69 6e744944 00000019 ..EndpointID.... + 6c890 9b022300 03437265 64697473 00000019 ..#..Credits.... + 6c8a0 9b022301 03546774 43726564 69745365 ..#..TgtCreditSe + 6c8b0 714e6f00 00001fc3 02230200 07000019 qNo......#...... + 6c8c0 9b040000 39060803 00120600 00394203 ....9........9B. + 6c8d0 50726556 616c6964 00000019 9b022300 PreValid......#. + 6c8e0 034c6f6f 6b416865 61640000 0038f902 .LookAhead...8.. + 6c8f0 23010350 6f737456 616c6964 00000019 #..PostValid.... + 6c900 9b022305 0006706f 6f6c5f68 616e646c ..#...pool_handl + 6c910 655f7400 0000023e 0a000039 42010400 e_t....>...9B... + 6c920 00395504 00090104 00003962 04001404 .9U.......9b.... + 6c930 000039e0 10504f4f 4c5f4944 5f485443 ..9..POOL_ID_HTC + 6c940 5f434f4e 54524f4c 00001050 4f4f4c5f _CONTROL...POOL_ + 6c950 49445f57 4d495f53 56435f43 4d445f52 ID_WMI_SVC_CMD_R + 6c960 45504c59 00011050 4f4f4c5f 49445f57 EPLY...POOL_ID_W + 6c970 4d495f53 56435f45 56454e54 00021050 MI_SVC_EVENT...P + 6c980 4f4f4c5f 49445f57 4c414e5f 52585f42 OOL_ID_WLAN_RX_B + 6c990 55460003 10504f4f 4c5f4944 5f4d4158 UF...POOL_ID_MAX + 6c9a0 000a0006 4255465f 504f4f4c 5f494400 ....BUF_POOL_ID. + 6c9b0 0000396b 09010400 0039f104 000a0000 ..9k.....9...... + 6c9c0 29600104 000039fa 04000a00 00296001 )`....9......)`. + 6c9d0 0400003a 07040009 01040000 3a140400 ...:........:... + 6c9e0 02627566 5f706f6f 6c5f6170 69001c00 .buf_pool_api... + 6c9f0 003ab603 5f696e69 74000000 395b0223 .:.._init...9[.# + 6ca00 00035f73 68757464 6f776e00 00003964 .._shutdown...9d + 6ca10 02230403 5f637265 6174655f 706f6f6c .#.._create_pool + 6ca20 00000039 f3022308 035f616c 6c6f635f ...9..#.._alloc_ + 6ca30 62756600 00003a00 02230c03 5f616c6c buf...:..#.._all + 6ca40 6f635f62 75665f61 6c69676e 0000003a oc_buf_align...: + 6ca50 0d022310 035f6672 65655f62 75660000 ..#.._free_buf.. + 6ca60 003a1602 23140370 52657365 72766564 .:..#..pReserved + 6ca70 00000002 3e022318 00025f48 54435f53 ....>.#..._HTC_S + 6ca80 45525649 4345001c 00003b95 03704e65 ERVICE....;..pNe + 6ca90 78740000 003b9502 23000350 726f6365 xt...;..#..Proce + 6caa0 73735265 63764d73 67000000 3c4a0223 ssRecvMsg....#.....:.... + 6cb60 0400003c 3319454e 44504f49 4e545f55 ...<3.ENDPOINT_U + 6cb70 4e555345 4400ffff ffff1045 4e44504f NUSED......ENDPO + 6cb80 494e5430 00001045 4e44504f 494e5431 INT0...ENDPOINT1 + 6cb90 00011045 4e44504f 494e5432 00021045 ...ENDPOINT2...E + 6cba0 4e44504f 494e5433 00031045 4e44504f NDPOINT3...ENDPO + 6cbb0 494e5434 00041045 4e44504f 494e5435 INT4...ENDPOINT5 + 6cbc0 00051045 4e44504f 494e5436 00061045 ...ENDPOINT6...E + 6cbd0 4e44504f 494e5437 00071045 4e44504f NDPOINT7...ENDPO + 6cbe0 494e5438 00081045 4e44504f 494e545f INT8...ENDPOINT_ + 6cbf0 4d415800 16000648 54435f45 4e44504f MAX....HTC_ENDPO + 6cc00 494e545f 49440000 003b9c09 01040000 INT_ID...;...... + 6cc10 3c480400 09010400 003c5104 00040000 .#0.. + 6cef0 686f7374 5f617070 5f617265 615f7300 host_app_area_s. + 6cf00 0400003f 5c03776d 695f7072 6f746f63 ...?\.wmi_protoc + 6cf10 6f6c5f76 65720000 00193402 23000012 ol_ver....4.#... + 6cf20 0e00003f 93036473 744d6163 0000001f ...?..dstMac.... + 6cf30 80022300 03737263 4d616300 00001f80 ..#..srcMac..... + 6cf40 02230603 74797065 4f724c65 6e000000 .#..typeOrLen... + 6cf50 1fc30223 0c000700 00199b03 00003fa0 ...#..........?. + 6cf60 08020012 0800003f f0036473 61700000 .......?..dsap.. + 6cf70 00199b02 23000373 73617000 0000199b ....#..ssap..... + 6cf80 02230103 636e746c 00000019 9b022302 .#..cntl......#. + 6cf90 036f7267 436f6465 0000003f 93022303 .orgCode...?..#. + 6cfa0 03657468 65725479 70650000 001fc302 .etherType...... + 6cfb0 23060012 02000040 11037273 73690000 #......@..rssi.. + 6cfc0 001f1902 23000369 6e666f00 0000199b ....#..info..... + 6cfd0 02230100 12040000 40380363 6f6d6d61 .#......@8.comma + 6cfe0 6e644964 0000001f c3022300 03736571 ndId......#..seq + 6cff0 4e6f0000 001fc302 23020007 0000199b No......#....... + 6d000 01000040 45080000 12020000 406c036d ...@E.......@l.m + 6d010 73675369 7a650000 00199b02 2300036d sgSize......#..m + 6d020 73674461 74610000 00403802 23010012 sgData...@8.#... + 6d030 08000040 b3036164 64726573 734c0000 ...@..addressL.. + 6d040 001fc302 23000361 64647265 73734800 ....#..addressH. + 6d050 00001fc3 02230203 76616c75 654c0000 .....#..valueL.. + 6d060 001fc302 23040376 616c7565 48000000 ....#..valueH... + 6d070 1fc30223 06000657 4d495f41 56540000 ...#...WMI_AVT.. + 6d080 00406c07 000040b3 08000040 cd080000 .@l...@....@.... + 6d090 120c0000 41040374 75706c65 4e756d4c ....A..tupleNumL + 6d0a0 0000001f c3022300 03747570 6c654e75 ......#..tupleNu + 6d0b0 6d480000 001fc302 23020361 76740000 mH......#..avt.. + 6d0c0 0040c002 23040012 01000041 26036265 .@..#......A&.be + 6d0d0 61636f6e 50656e64 696e6743 6f756e74 aconPendingCount + 6d0e0 00000019 9b022300 00025f57 4d495f53 ......#..._WMI_S + 6d0f0 56435f43 4f4e4649 47001000 00418f03 VC_CONFIG....A.. + 6d100 48746348 616e646c 65000000 3d220223 HtcHandle...=".# + 6d110 0003506f 6f6c4861 6e646c65 00000039 ..PoolHandle...9 + 6d120 42022304 034d6178 436d6452 65706c79 B.#..MaxCmdReply + 6d130 45767473 00000001 f9022308 034d6178 Evts......#..Max + 6d140 4576656e 74457674 73000000 01f90223 EventEvts......# + 6d150 0c000901 04000041 8f040006 574d495f .......A....WMI_ + 6d160 434d445f 48414e44 4c455200 00004191 CMD_HANDLER...A. + 6d170 025f574d 495f4449 53504154 43485f45 ._WMI_DISPATCH_E + 6d180 4e545259 00080000 41f80370 436d6448 NTRY....A..pCmdH + 6d190 616e646c 65720000 00419802 23000343 andler...A..#..C + 6d1a0 6d644944 00000001 d7022304 03466c61 mdID......#..Fla + 6d1b0 67730000 0001d702 23060002 5f574d49 gs......#..._WMI + 6d1c0 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 6d1d0 10000042 5903704e 65787400 00004259 ...BY.pNext...BY + 6d1e0 02230003 70436f6e 74657874 00000002 .#..pContext.... + 6d1f0 3e022304 034e756d 6265724f 66456e74 >.#..NumberOfEnt + 6d200 72696573 00000001 f9022308 03705461 ries......#..pTa + 6d210 626c6500 00004278 02230c00 04000041 ble...Bx.#.....A + 6d220 f8040006 574d495f 44495350 41544348 ....WMI_DISPATCH + 6d230 5f454e54 52590000 0041ad04 00004260 _ENTRY...A....B` + 6d240 04000400 0041f804 00064854 435f4255 .....A....HTC_BU + 6d250 465f434f 4e544558 54000000 3ce60f57 F_CONTEXT...<..W + 6d260 4d495f45 56545f43 4c415353 00040000 MI_EVT_CLASS.... + 6d270 43101957 4d495f45 56545f43 4c415353 C..WMI_EVT_CLASS + 6d280 5f4e4f4e 4500ffff ffff1057 4d495f45 _NONE......WMI_E + 6d290 56545f43 4c415353 5f434d44 5f455645 VT_CLASS_CMD_EVE + 6d2a0 4e540000 10574d49 5f455654 5f434c41 NT...WMI_EVT_CLA + 6d2b0 53535f43 4d445f52 45504c59 00011057 SS_CMD_REPLY...W + 6d2c0 4d495f45 56545f43 4c415353 5f4d4158 MI_EVT_CLASS_MAX + 6d2d0 00020006 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 6d2e0 53000000 429b025f 574d495f 4255465f S...B.._WMI_BUF_ + 6d2f0 434f4e54 45585400 0c000043 6e034874 CONTEXT....Cn.Ht + 6d300 63427566 43747800 00004286 02230003 cBufCtx...B..#.. + 6d310 4576656e 74436c61 73730000 00431002 EventClass...C.. + 6d320 23040346 6c616773 00000001 d7022308 #..Flags......#. + 6d330 0006776d 695f6861 6e646c65 5f740000 ..wmi_handle_t.. + 6d340 00023e06 574d495f 5356435f 434f4e46 ..>.WMI_SVC_CONF + 6d350 49470000 00412604 00004380 04000a00 IG...A&...C..... + 6d360 00436e01 04000043 9b040006 574d495f .Cn....C....WMI_ + 6d370 44495350 41544348 5f544142 4c450000 DISPATCH_TABLE.. + 6d380 0041f804 000043a8 04000901 04000043 .A....C........C + 6d390 c704000a 00002960 01040000 43d00400 ......)`....C... + 6d3a0 09010400 0043dd04 000a0000 01f90104 .....C.......... + 6d3b0 000043e6 04000901 04000043 f304000a ..C........C.... + 6d3c0 000001ad 01040000 43fc0400 025f776d ........C...._wm + 6d3d0 695f7376 635f6170 6973002c 00004544 i_svc_apis.,..ED + 6d3e0 035f574d 495f496e 69740000 0043a102 ._WMI_Init...C.. + 6d3f0 2300035f 574d495f 52656769 73746572 #.._WMI_Register + 6d400 44697370 61746368 5461626c 65000000 DispatchTable... + 6d410 43c90223 04035f57 4d495f41 6c6c6f63 C..#.._WMI_Alloc + 6d420 4576656e 74000000 43d60223 08035f57 Event...C..#.._W + 6d430 4d495f53 656e6445 76656e74 00000043 MI_SendEvent...C + 6d440 df02230c 035f574d 495f4765 7450656e ..#.._WMI_GetPen + 6d450 64696e67 4576656e 7473436f 756e7400 dingEventsCount. + 6d460 000043ec 02231003 5f574d49 5f53656e ..C..#.._WMI_Sen + 6d470 64436f6d 706c6574 6548616e 646c6572 dCompleteHandler + 6d480 0000003c 53022314 035f574d 495f4765 ....#(.._A_cmnos + 6d510 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 6d520 6c655f74 00000033 a006574d 495f5356 le_t...3..WMI_SV + 6d530 435f4150 49530000 00440917 5f415f6d C_APIS...D.._A_m + 6d540 61677069 655f696e 64697265 6374696f agpie_indirectio + 6d550 6e5f7461 626c6500 034c0000 46720363 n_table..L..Fr.c + 6d560 6d6e6f73 00000045 44022300 03646267 mnos...ED.#..dbg + 6d570 00000009 8e0323b8 03036869 66000000 ......#...hif... + 6d580 2c6b0323 c0030368 74630000 003dbc03 ,k.#...htc...=.. + 6d590 23f80303 776d695f 7376635f 61706900 #...wmi_svc_api. + 6d5a0 00004566 0323ac04 03757362 6669666f ..Ef.#...usbfifo + 6d5b0 5f617069 00000035 740323d8 04036275 _api...5t.#...bu + 6d5c0 665f706f 6f6c0000 003a1d03 23e40403 f_pool...:..#... + 6d5d0 76627566 00000003 5f032380 05037664 vbuf...._.#...vd + 6d5e0 65736300 00000241 03239405 03616c6c esc....A.#...all + 6d5f0 6f637261 6d000000 0ef00323 a8050364 ocram......#...d + 6d600 6d615f65 6e67696e 65000000 05990323 ma_engine......# + 6d610 b4050364 6d615f6c 69620000 002eff03 ...dma_lib...... + 6d620 23f40503 6869665f 70636900 0000315f #...hif_pci...1_ + 6d630 0323a806 000a0000 023e0106 5f415f6d .#.......>.._A_m + 6d640 61677069 655f696e 64697265 6374696f agpie_indirectio + 6d650 6e5f7461 626c655f 74000000 45780901 n_table_t...Ex.. + 6d660 09010901 0a000001 f9010901 09010700 ................ + 6d670 00070f0b 000046b8 080a0004 000046ab ......F.......F. + 6d680 04000700 00070f0d 000046cc 080c0004 ..........F..... + 6d690 000046bf 04000700 00070f06 000046e0 ..F...........F. + 6d6a0 08050004 000046d3 04000700 00070f03 ......F......... + 6d6b0 000046f4 08020004 000046e7 04000400 ..F.......F..... + 6d6c0 00059904 00090104 0000050f 04001a01 ................ + 6d6d0 325f444d 41656e67 696e655f 696e6974 2_DMAengine_init + 6d6e0 00010392 01200290 00008e59 1c008e59 ..... .....Y...Y + 6d6f0 211b0137 5f444d41 656e6769 6e655f69 !..7_DMAengine_i + 6d700 6e69745f 72785f71 75657565 00010101 nit_rx_queue.... + 6d710 03920120 02900000 8e592400 8e596400 ... .....Y$..Yd. + 6d720 0047831c 01377100 00000518 01521d64 .G...7q......R.d + 6d730 65736300 00000214 1d757362 44657363 esc......usbDesc + 6d740 00000004 72001e01 455f444d 41656e67 ....r...E_DMAeng + 6d750 696e655f 696e6974 5f74785f 71756575 ine_init_tx_queu + 6d760 65000101 03920120 02900000 8e596400 e...... .....Yd. + 6d770 8e597400 0047c01c 01457100 00000528 .Yt..G...Eq....( + 6d780 0152001f 014e7377 61704461 74610001 .R...NswapData.. + 6d790 03920120 02900000 8e597400 8e59bf00 ... .....Yt..Y.. + 6d7a0 00481a1c 014e7573 62446573 63000000 .H...NusbDesc... + 6d7b0 04720152 1d6c656e 00000001 f91d6461 .r.R.len......da + 6d7c0 74614164 64720000 0018151d 69000000 taAddr......i... + 6d7d0 01f91d64 61746100 00000ed5 001e0162 ...data........b + 6d7e0 5f444d41 656e6769 6e655f72 65747572 _DMAengine_retur + 6d7f0 6e5f7265 63765f62 75660001 01039201 n_recv_buf...... + 6d800 20029000 008e59c0 008e59d7 00004866 .....Y...Y...Hf + 6d810 1c016271 00000005 1801521c 01626275 ..bq......R..bbu + 6d820 66000000 03350153 0020016b 636f6e66 f....5.S. .kconf + 6d830 69675f71 75657565 00010103 92012002 ig_queue...... . + 6d840 9000008e 59d8008e 5a500000 48e61c01 ....Y...ZP..H... + 6d850 6b710000 00051801 521c016b 64657363 kq......R..kdesc + 6d860 5f6c6973 74000000 02140153 1d707265 _list......S.pre + 6d870 76557362 44657363 00000004 721d7468 vUsbDesc....r.th + 6d880 65446573 63000000 02141d75 73624465 eDesc......usbDe + 6d890 73630000 0004721d 68656164 55736244 sc....r.headUsbD + 6d8a0 65736300 00000472 001b018f 5f444d41 esc....r...._DMA + 6d8b0 656e6769 6e655f63 6f6e6669 675f7278 engine_config_rx + 6d8c0 5f717565 75650001 01010392 01300290 _queue.......0.. + 6d8d0 00008e5a 50008e5a a7000049 651c018f ...ZP..Z...Ie... + 6d8e0 71000000 05180152 1c018f6e 756d5f64 q......R...num_d + 6d8f0 65736300 000001f9 01531c01 8f627566 esc......S...buf + 6d900 5f73697a 65000000 01f90154 1d686561 _size......T.hea + 6d910 64000000 02141d69 00000001 f91d6465 d......i......de + 6d920 73630000 00021400 1b01b05f 444d4165 sc........._DMAe + 6d930 6e67696e 655f786d 69745f62 75660001 ngine_xmit_buf.. + 6d940 01010392 01200290 00008e5a a8008e5b ..... .....Z...[ + 6d950 66000049 e91c01b0 71000000 05280152 f..I....q....(.R + 6d960 1c01b062 75660000 00033501 531d7072 ...buf....5.S.pr + 6d970 65765573 62446573 63000000 04721d63 evUsbDesc....r.c + 6d980 75727256 64657363 00000002 141d7573 urrVdesc......us + 6d990 62446573 63000000 04721d68 65616455 bDesc....r.headU + 6d9a0 73624465 73630000 00047200 1e01ea5f sbDesc....r...._ + 6d9b0 444d4165 6e67696e 655f666c 7573685f DMAengine_flush_ + 6d9c0 786d6974 00010103 92012002 9000008e xmit...... ..... + 6d9d0 5b68008e 5b6d0000 4a231c01 ea710000 [h..[m..J#...q.. + 6d9e0 00051801 52002101 ee5f444d 41656e67 ....R.!.._DMAeng + 6d9f0 696e655f 6861735f 636f6d70 6c5f7061 ine_has_compl_pa + 6da00 636b6574 73000000 01f90101 03920120 ckets.......... + 6da10 02900000 8e5b7000 8e5b8e00 004a7c1c .....[p..[...J|. + 6da20 01ee7100 00000518 01521d68 61735f63 ..q......R.has_c + 6da30 6f6d706c 5f706b74 73000000 01f90021 ompl_pkts......! + 6da40 01fa5f44 4d41656e 67696e65 5f726561 .._DMAengine_rea + 6da50 705f7265 63765f62 75660000 00033501 p_recv_buf....5. + 6da60 01039201 20029000 008e5b90 008e5bbf .... .....[...[. + 6da70 00004ad0 1c01fa71 00000005 1801521d ..J....q......R. + 6da80 64657363 00000004 721d6275 66000000 desc....r.buf... + 6da90 03350022 0101115f 444d4165 6e67696e .5."..._DMAengin + 6daa0 655f7265 61705f78 6d697465 645f6275 e_reap_xmited_bu + 6dab0 66000000 03350101 03920120 02900000 f....5..... .... + 6dac0 8e5bc000 8e5bf600 004b2c23 01011171 .[...[...K,#...q + 6dad0 00000005 2801521d 64657363 00000004 ....(.R.desc.... + 6dae0 721d7365 6e744275 66000000 03350024 r.sentBuf....5.$ + 6daf0 01012a5f 444d4165 6e67696e 655f6465 ..*_DMAengine_de + 6db00 73635f64 756d7000 01010392 01200290 sc_dump...... .. + 6db10 00008e5b f8008e5c 5600004b 7b230101 ...[...\V..K{#.. + 6db20 2a710000 00051801 521d6900 0000045d *q......R.i....] + 6db30 1d746d70 44657363 00000004 72002401 .tmpDesc....r.$. + 6db40 014e646d 615f656e 67696e65 5f6d6f64 .Ndma_engine_mod + 6db50 756c655f 696e7374 616c6c00 01010392 ule_install..... + 6db60 01200290 00008e5c 58008e5c a800004b . .....\X..\...K + 6db70 be230101 4e617069 73000000 46fb0152 .#..Napis...F..R + 6db80 00250101 6572656c 696e6b55 53424465 .%..erelinkUSBDe + 6db90 7363546f 56646573 63000103 92012002 scToVdesc..... . + 6dba0 9000008e 5ca8008e 5cef2301 01656275 ....\...\.#..ebu + 6dbb0 66000000 03350152 23010165 64657363 f....5.R#..edesc + 6dbc0 00000004 7201531d 70726576 56646573 ....r.S.prevVdes + 6dbd0 63000000 02141d63 75727244 65736300 c......currDesc. + 6dbe0 00000472 1d766465 73630000 00021400 ...r.vdesc...... + 6dbf0 00000000 50a40002 0000281b 04012f72 ....P.....(.../r + 6dc00 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 6dc10 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 6dc20 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 6dc30 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 6dc40 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 6dc50 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 6dc60 656e6769 6e652f73 72632f64 6573632e engine/src/desc. + 6dc70 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 6dc80 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 6dc90 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 6dca0 2f726f6d 2f646d61 5f656e67 696e6500 /rom/dma_engine. + 6dcb0 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 + 6dcc0 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst + 6dcd0 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 + 6dce0 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. + 6dcf0 0000018a f602756e 7369676e 65642069 ......unsigned i + 6dd00 6e740007 04037769 6e745f74 00000001 nt....wint_t.... + 6dd10 0302756e 7369676e 65642063 68617200 ..unsigned char. + 6dd20 07010400 00011f04 0000013d 05030006 ...........=.... + 6dd30 04000001 61075f5f 77636800 00000113 ....a.__wch..... + 6dd40 02230007 5f5f7763 68620000 00013002 .#..__wchb....0. + 6dd50 23000002 696e7400 05040808 0000018f #...int......... + 6dd60 075f5f63 6f756e74 00000001 61022300 .__count....a.#. + 6dd70 075f5f76 616c7565 00000001 3d022304 .__value....=.#. + 6dd80 00095f42 6967696e 74001800 0001ed07 .._Bigint....... + 6dd90 5f6e6578 74000000 01ed0223 00075f6b _next......#.._k + 6dda0 00000001 61022304 075f6d61 78776473 ....a.#.._maxwds + 6ddb0 00000001 61022308 075f7369 676e0000 ....a.#.._sign.. + 6ddc0 00016102 230c075f 77647300 00000161 ..a.#.._wds....a + 6ddd0 02231007 5f780000 00021602 2314000a .#.._x......#... + 6dde0 0000018f 0400026c 6f6e6720 756e7369 .......long unsi + 6ddf0 676e6564 20696e74 00070403 5f5f554c gned int....__UL + 6de00 6f6e6700 000001f4 04000002 09040000 ong............. + 6de10 02230500 000a0000 018f0400 095f5f74 .#...........__t + 6de20 6d002400 0002d607 5f5f746d 5f736563 m.$.....__tm_sec + 6de30 00000001 61022300 075f5f74 6d5f6d69 ....a.#..__tm_mi + 6de40 6e000000 01610223 04075f5f 746d5f68 n....a.#..__tm_h + 6de50 6f757200 00000161 02230807 5f5f746d our....a.#..__tm + 6de60 5f6d6461 79000000 01610223 0c075f5f _mday....a.#..__ + 6de70 746d5f6d 6f6e0000 00016102 2310075f tm_mon....a.#.._ + 6de80 5f746d5f 79656172 00000001 61022314 _tm_year....a.#. + 6de90 075f5f74 6d5f7764 61790000 00016102 .__tm_wday....a. + 6dea0 2318075f 5f746d5f 79646179 00000001 #..__tm_yday.... + 6deb0 6102231c 075f5f74 6d5f6973 64737400 a.#..__tm_isdst. + 6dec0 00000161 02232000 0b040004 000002d6 ...a.# ......... + 6ded0 80000002 e6051f00 0c5f6f6e 5f657869 ........._on_exi + 6dee0 745f6172 67730001 08000003 44075f66 t_args......D._f + 6def0 6e617267 73000000 02d90223 00075f64 nargs......#.._d + 6df00 736f5f68 616e646c 65000000 02d90323 so_handle......# + 6df10 8001075f 666e7479 70657300 00000209 ..._fntypes..... + 6df20 03238002 075f6973 5f637861 00000002 .#..._is_cxa.... + 6df30 09032384 02000c5f 61746578 69740001 ..#...._atexit.. + 6df40 90000003 93075f6e 65787400 00000393 ......_next..... + 6df50 02230007 5f696e64 00000001 61022304 .#.._ind....a.#. + 6df60 075f666e 73000000 03a30223 08075f6f ._fns......#.._o + 6df70 6e5f6578 69745f61 72677300 000002e6 n_exit_args..... + 6df80 03238801 000a0000 03440400 0d010a00 .#.......D...... + 6df90 00039a04 00040000 039c8000 0003b005 ................ + 6dfa0 1f000a00 00034404 000a0000 011f0400 ......D......... + 6dfb0 095f5f73 62756600 08000003 e8075f62 .__sbuf......._b + 6dfc0 61736500 000003b7 02230007 5f73697a ase......#.._siz + 6dfd0 65000000 01610223 04000273 686f7274 e....a.#...short + 6dfe0 20696e74 00050202 63686172 0007010a int....char.... + 6dff0 000003f5 04000e00 00016101 0a000004 ..........a..... + 6e000 0404000f 000003f5 0f000003 f50a0000 ................ + 6e010 04160400 0e000001 61010a00 00042204 ........a.....". + 6e020 00026c6f 6e672069 6e740005 04035f66 ..long int...._f + 6e030 706f735f 74000000 042f0e00 00043b01 pos_t..../....;. + 6e040 0a000004 4804000e 00000161 010a0000 ....H......a.... + 6e050 04550400 04000001 1f030000 046f0502 .U...........o.. + 6e060 00040000 011f0100 00047c05 00000c5f ..........|...._ + 6e070 7265656e 74000400 00000603 075f6572 reent........_er + 6e080 726e6f00 00000161 02230007 5f737464 rno....a.#.._std + 6e090 696e0000 0007b702 2304075f 7374646f in......#.._stdo + 6e0a0 75740000 0007b702 2308075f 73746465 ut......#.._stde + 6e0b0 72720000 0007b702 230c075f 696e6300 rr......#.._inc. + 6e0c0 00000161 02231007 5f656d65 7267656e ...a.#.._emergen + 6e0d0 63790000 000a6602 2314075f 63757272 cy....f.#.._curr + 6e0e0 656e745f 63617465 676f7279 00000001 ent_category.... + 6e0f0 61022330 075f6375 7272656e 745f6c6f a.#0._current_lo + 6e100 63616c65 00000004 1b022334 075f5f73 cale......#4.__s + 6e110 64696469 6e697400 00000161 02233807 didinit....a.#8. + 6e120 5f5f636c 65616e75 70000000 0a750223 __cleanup....u.# + 6e130 3c075f72 6573756c 74000000 02230223 <._result....#.# + 6e140 40075f72 6573756c 745f6b00 00000161 @._result_k....a + 6e150 02234407 5f703573 00000002 23022348 .#D._p5s....#.#H + 6e160 075f6672 65656c69 73740000 000a7c02 ._freelist....|. + 6e170 234c075f 6376746c 656e0000 00016102 #L._cvtlen....a. + 6e180 2350075f 63767462 75660000 0003fd02 #P._cvtbuf...... + 6e190 2354075f 6e657700 00000a40 02235807 #T._new....@.#X. + 6e1a0 5f617465 78697400 000003b0 0323c802 _atexit......#.. + 6e1b0 075f6174 65786974 30000000 03440323 ._atexit0....D.# + 6e1c0 cc02075f 7369675f 66756e63 0000000a ..._sig_func.... + 6e1d0 8c0323dc 05075f5f 73676c75 65000000 ..#...__sglue... + 6e1e0 076c0323 e005075f 5f736600 00000a93 .l.#...__sf..... + 6e1f0 0323ec05 000a0000 047c0400 035f4c4f .#.......|..._LO + 6e200 434b5f52 45435552 53495645 5f540000 CK_RECURSIVE_T.. + 6e210 00016103 5f666c6f 636b5f74 00000006 ..a._flock_t.... + 6e220 0a095f5f 7346494c 45005c00 00076c07 ..__sFILE.\...l. + 6e230 5f700000 0003b702 2300075f 72000000 _p......#.._r... + 6e240 01610223 04075f77 00000001 61022308 .a.#.._w....a.#. + 6e250 075f666c 61677300 000003e8 02230c07 ._flags......#.. + 6e260 5f66696c 65000000 03e80223 0e075f62 _file......#.._b + 6e270 66000000 03be0223 10075f6c 62667369 f......#.._lbfsi + 6e280 7a650000 00016102 2318075f 636f6f6b ze....a.#.._cook + 6e290 69650000 0002d602 231c075f 72656164 ie......#.._read + 6e2a0 00000004 0a022320 075f7772 69746500 ......# ._write. + 6e2b0 00000428 02232407 5f736565 6b000000 ...(.#$._seek... + 6e2c0 044e0223 28075f63 6c6f7365 00000004 .N.#(._close.... + 6e2d0 5b02232c 075f7562 00000003 be022330 [.#,._ub......#0 + 6e2e0 075f7570 00000003 b7022338 075f7572 ._up......#8._ur + 6e2f0 00000001 6102233c 075f7562 75660000 ....a.#<._ubuf.. + 6e300 00046202 2340075f 6e627566 00000004 ..b.#@._nbuf.... + 6e310 6f022343 075f6c62 00000003 be022344 o.#C._lb......#D + 6e320 075f626c 6b73697a 65000000 01610223 ._blksize....a.# + 6e330 4c075f6f 66667365 74000000 01610223 L._offset....a.# + 6e340 50075f64 61746100 00000603 02235407 P._data......#T. + 6e350 5f6c6f63 6b000000 06210223 5800095f _lock....!.#X.._ + 6e360 676c7565 000c0000 07a4075f 6e657874 glue......._next + 6e370 00000007 a4022300 075f6e69 6f627300 ......#.._niobs. + 6e380 00000161 02230407 5f696f62 73000000 ...a.#.._iobs... + 6e390 07b70223 08000a00 00076c04 00035f5f ...#......l...__ + 6e3a0 46494c45 00000006 2f0a0000 07ab0400 FILE..../....... + 6e3b0 0a000007 6c040002 73686f72 7420756e ....l...short un + 6e3c0 7369676e 65642069 6e740007 02040000 signed int...... + 6e3d0 07c50600 0007e805 0200095f 72616e64 ..........._rand + 6e3e0 3438000e 00000820 075f7365 65640000 48..... ._seed.. + 6e3f0 0007db02 2300075f 6d756c74 00000007 ....#.._mult.... + 6e400 db022306 075f6164 64000000 07c50223 ..#.._add......# + 6e410 0c000400 0003f51a 0000082d 05190002 ...........-.... + 6e420 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign + 6e430 65642069 6e740007 08035f6d 62737461 ed int...._mbsta + 6e440 74655f74 00000001 68040000 03f50800 te_t....h....... + 6e450 00086405 07000400 0003f518 00000871 ..d............q + 6e460 05170008 d0000009 ff075f75 6e757365 .........._unuse + 6e470 645f7261 6e640000 00010302 2300075f d_rand......#.._ + 6e480 73747274 6f6b5f6c 61737400 000003fd strtok_last..... + 6e490 02230407 5f617363 74696d65 5f627566 .#.._asctime_buf + 6e4a0 00000008 20022308 075f6c6f 63616c74 .... .#.._localt + 6e4b0 696d655f 62756600 0000022a 02232407 ime_buf....*.#$. + 6e4c0 5f67616d 6d615f73 69676e67 616d0000 _gamma_signgam.. + 6e4d0 00016102 2348075f 72616e64 5f6e6578 ..a.#H._rand_nex + 6e4e0 74000000 082d0223 50075f72 34380000 t....-.#P._r48.. + 6e4f0 0007e802 2358075f 6d626c65 6e5f7374 ....#X._mblen_st + 6e500 61746500 00000847 02236807 5f6d6274 ate....G.#h._mbt + 6e510 6f77635f 73746174 65000000 08470223 owc_state....G.# + 6e520 70075f77 63746f6d 625f7374 61746500 p._wctomb_state. + 6e530 00000847 02237807 5f6c3634 615f6275 ...G.#x._l64a_bu + 6e540 66000000 08570323 8001075f 7369676e f....W.#..._sign + 6e550 616c5f62 75660000 00086403 23880107 al_buf....d.#... + 6e560 5f676574 64617465 5f657272 00000001 _getdate_err.... + 6e570 610323a0 01075f6d 62726c65 6e5f7374 a.#..._mbrlen_st + 6e580 61746500 00000847 0323a401 075f6d62 ate....G.#..._mb + 6e590 72746f77 635f7374 61746500 00000847 rtowc_state....G + 6e5a0 0323ac01 075f6d62 7372746f 7763735f .#..._mbsrtowcs_ + 6e5b0 73746174 65000000 08470323 b401075f state....G.#..._ + 6e5c0 77637274 6f6d625f 73746174 65000000 wcrtomb_state... + 6e5d0 08470323 bc01075f 77637372 746f6d62 .G.#..._wcsrtomb + 6e5e0 735f7374 61746500 00000847 0323c401 s_state....G.#.. + 6e5f0 00040000 03b77800 000a0c05 1d000400 ......x......... + 6e600 00010378 00000a19 051d0008 f000000a ...x............ + 6e610 40075f6e 65787466 00000009 ff022300 @._nextf......#. + 6e620 075f6e6d 616c6c6f 63000000 0a0c0223 ._nmalloc......# + 6e630 780006f0 00000a66 075f7265 656e7400 x......f._reent. + 6e640 00000871 02230007 5f756e75 73656400 ...q.#.._unused. + 6e650 00000a19 02230000 04000003 f5190000 .....#.......... + 6e660 0a730518 000d010a 00000a73 04000a00 .s.........s.... + 6e670 00022304 000d010a 00000a83 04000a00 ..#............. + 6e680 000a8504 00100000 07ab0114 00000aa1 ................ + 6e690 0502000e 00000161 010a0000 0aa10400 .......a........ + 6e6a0 09707269 6e74665f 61706900 0800000a .printf_api..... + 6e6b0 e5075f70 72696e74 665f696e 69740000 .._printf_init.. + 6e6c0 00039c02 2300075f 7072696e 74660000 ....#.._printf.. + 6e6d0 000aa702 23040003 75696e74 31365f74 ....#...uint16_t + 6e6e0 00000007 c5037569 6e743332 5f740000 ......uint32_t.. + 6e6f0 0001f409 75617274 5f666966 6f000800 ....uart_fifo... + 6e700 000b4c07 73746172 745f696e 64657800 ..L.start_index. + 6e710 00000ae5 02230007 656e645f 696e6465 .....#..end_inde + 6e720 78000000 0ae50223 02076f76 65727275 x......#..overru + 6e730 6e5f6572 72000000 0af30223 04000975 n_err......#...u + 6e740 6172745f 61706900 2000000c 05075f75 art_api. ....._u + 6e750 6172745f 696e6974 0000000c 5c022300 art_init....\.#. + 6e760 075f7561 72745f63 6861725f 70757400 ._uart_char_put. + 6e770 00000c72 02230407 5f756172 745f6368 ...r.#.._uart_ch + 6e780 61725f67 65740000 000c8602 2308075f ar_get......#.._ + 6e790 75617274 5f737472 5f6f7574 0000000c uart_str_out.... + 6e7a0 8f02230c 075f7561 72745f74 61736b00 ..#.._uart_task. + 6e7b0 0000039c 02231007 5f756172 745f7374 .....#.._uart_st + 6e7c0 61747573 0000000c 5c022314 075f7561 atus....\.#.._ua + 6e7d0 72745f63 6f6e6669 67000000 0c980223 rt_config......# + 6e7e0 18075f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 6e7f0 000ca102 231c000a 00000b4c 04000975 ....#......L...u + 6e800 6172745f 626c6b00 1000000c 56076465 art_blk.....V.de + 6e810 6275675f 6d6f6465 0000000a e5022300 bug_mode......#. + 6e820 07626175 64000000 0ae50223 02075f75 .baud......#.._u + 6e830 61727400 00000c05 02230407 5f747800 art......#.._tx. + 6e840 00000b01 02230800 0e00000a f3010a00 .....#.......... + 6e850 000c5604 00037569 6e74385f 74000000 ..V...uint8_t... + 6e860 011f0d01 0a00000c 7004000a 00000c63 ........p......c + 6e870 04000e00 000ae501 0a00000c 8004000d ................ + 6e880 010a0000 0c8d0400 0d010a00 000c9604 ................ + 6e890 000d010a 00000c9f 04000e00 00016101 ..............a. + 6e8a0 0a00000c a8040009 44425f43 4f4d4d41 ........DB_COMMA + 6e8b0 4e445f53 54525543 54000c00 000d0007 ND_STRUCT....... + 6e8c0 636d645f 73747200 000003fd 02230007 cmd_str......#.. + 6e8d0 68656c70 5f737472 00000003 fd022304 help_str......#. + 6e8e0 07636d64 5f66756e 63000000 0cae0223 .cmd_func......# + 6e8f0 08000964 62675f61 70690008 00000d33 ...dbg_api.....3 + 6e900 075f6462 675f696e 69740000 00039c02 ._dbg_init...... + 6e910 2300075f 6462675f 7461736b 00000003 #.._dbg_task.... + 6e920 9c022304 000e0000 02d6010a 00000d33 ..#............3 + 6e930 04001111 0a00000d 4104000e 000002d6 ........A....... + 6e940 010a0000 0d490400 0e000001 61010a00 .....I......a... + 6e950 000d5604 00096d65 6d5f6170 69001400 ..V...mem_api... + 6e960 000dc507 5f6d656d 5f696e69 74000000 ...._mem_init... + 6e970 039c0223 00075f6d 656d7365 74000000 ...#.._memset... + 6e980 0d390223 04075f6d 656d6370 79000000 .9.#.._memcpy... + 6e990 0d4f0223 08075f6d 656d6d6f 76650000 .O.#.._memmove.. + 6e9a0 000d4f02 230c075f 6d656d63 6d700000 ..O.#.._memcmp.. + 6e9b0 000d5c02 23100012 72656769 73746572 ..\.#...register + 6e9c0 5f64756d 705f7300 00010a00 000dc504 _dump_s......... + 6e9d0 000d010a 00000ddf 04000d01 0a00000d ................ + 6e9e0 e804000e 00000161 010a0000 0df10400 .......a........ + 6e9f0 13686f73 7469665f 73000400 000e4d14 .hostif_s.....M. + 6ea00 4849465f 55534200 00144849 465f5043 HIF_USB...HIF_PC + 6ea10 49450001 14484946 5f474d41 43000214 IE...HIF_GMAC... + 6ea20 4849465f 50434900 03144849 465f4e55 HIF_PCI...HIF_NU + 6ea30 4d000414 4849465f 4e4f4e45 00050003 M...HIF_NONE.... + 6ea40 415f484f 53544946 0000000d fe0e0000 A_HOSTIF........ + 6ea50 0e4d010a 00000e5b 04000e00 000c6301 .M.....[......c. + 6ea60 0a00000e 6804000e 00000ae5 010a0000 ....h........... + 6ea70 0e750400 096d6973 635f6170 69002400 .u...misc_api.$. + 6ea80 000f6507 5f737973 74656d5f 72657365 ..e._system_rese + 6ea90 74000000 039c0223 00075f6d 61635f72 t......#.._mac_r + 6eaa0 65736574 00000003 9c022304 075f6173 eset......#.._as + 6eab0 73666169 6c000000 0de10223 08075f6d sfail......#.._m + 6eac0 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 6ead0 616e646c 65720000 000de102 230c075f andler......#.._ + 6eae0 7265706f 72745f66 61696c75 72655f74 report_failure_t + 6eaf0 6f5f686f 73740000 000dea02 2310075f o_host......#.._ + 6eb00 74617267 65745f69 645f6765 74000000 target_id_get... + 6eb10 0df70223 14075f69 735f686f 73745f70 ...#.._is_host_p + 6eb20 72657365 6e740000 000e6102 2318075f resent....a.#.._ + 6eb30 6b626869 74000000 0e6e0223 1c075f72 kbhit....n.#.._r + 6eb40 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 6eb50 000e7b02 2320000e 000003fd 010a0000 ..{.# .......... + 6eb60 0f650400 0e000003 fd010a00 000f7204 .e............r. + 6eb70 000e0000 0161010a 00000f7f 04000e00 .....a.......... + 6eb80 00016101 0a00000f 8c04000e 00000161 ..a............a + 6eb90 010a0000 0f990400 09737472 696e675f .........string_ + 6eba0 61706900 18000010 1f075f73 7472696e api......._strin + 6ebb0 675f696e 69740000 00039c02 2300075f g_init......#.._ + 6ebc0 73747263 70790000 000f6b02 2304075f strcpy....k.#.._ + 6ebd0 7374726e 63707900 00000f78 02230807 strncpy....x.#.. + 6ebe0 5f737472 6c656e00 00000f85 02230c07 _strlen......#.. + 6ebf0 5f737472 636d7000 00000f92 02231007 _strcmp......#.. + 6ec00 5f737472 6e636d70 0000000f 9f022314 _strncmp......#. + 6ec10 00040000 01031400 00102c05 0400035f ..........,...._ + 6ec20 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 6ec30 101f0341 5f74696d 65725f74 00000010 ...A_timer_t.... + 6ec40 2c0a0000 10400400 0d010a00 00105604 ,....@........V. + 6ec50 000d010a 0000105f 04000341 5f48414e ......._...A_HAN + 6ec60 444c4500 00000103 0d010341 5f54494d DLE........A_TIM + 6ec70 45525f46 554e4300 00001076 0a000010 ER_FUNC....v.... + 6ec80 7804000d 010a0000 10910400 0974696d x............tim + 6ec90 65725f61 70690014 00001110 075f7469 er_api......._ti + 6eca0 6d65725f 696e6974 00000003 9c022300 mer_init......#. + 6ecb0 075f7469 6d65725f 61726d00 00001058 ._timer_arm....X + 6ecc0 02230407 5f74696d 65725f64 69736172 .#.._timer_disar + 6ecd0 6d000000 10610223 08075f74 696d6572 m....a.#.._timer + 6ece0 5f736574 666e0000 00109302 230c075f _setfn......#.._ + 6ecf0 74696d65 725f7275 6e000000 039c0223 timer_run......# + 6ed00 10000342 4f4f4c45 414e0000 000ae50e ...BOOLEAN...... + 6ed10 00001110 010a0000 111d0400 0e000011 ................ + 6ed20 10010a00 00112a04 000e0000 1110010a ......*......... + 6ed30 00001137 04000972 6f6d705f 61706900 ...7...romp_api. + 6ed40 10000011 a9075f72 6f6d705f 696e6974 ......_romp_init + 6ed50 00000003 9c022300 075f726f 6d705f64 ......#.._romp_d + 6ed60 6f776e6c 6f616400 00001123 02230407 ownload....#.#.. + 6ed70 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 6ed80 11300223 08075f72 6f6d705f 6465636f .0.#.._romp_deco + 6ed90 64650000 00113d02 230c0009 726f6d5f de....=.#...rom_ + 6eda0 70617463 685f7374 00100000 12050763 patch_st.......c + 6edb0 72633136 0000000a e5022300 076c656e rc16......#..len + 6edc0 0000000a e5022302 076c645f 61646472 ......#..ld_addr + 6edd0 0000000a f3022304 0766756e 5f616464 ......#..fun_add + 6ede0 72000000 0af30223 08077066 756e0000 r......#..pfun.. + 6edf0 000c7902 230c0009 6565705f 72656469 ..y.#...eep_redi + 6ee00 725f6164 64720004 00001237 076f6666 r_addr.....7.off + 6ee10 73657400 00000ae5 02230007 73697a65 set......#..size + 6ee20 0000000a e5022302 0003415f 55494e54 ......#...A_UINT + 6ee30 33320000 0001030e 000002d6 010a0000 32.............. + 6ee40 12450400 09616c6c 6f637261 6d5f6170 .E...allocram_ap + 6ee50 69000c00 0012b607 636d6e6f 735f616c i.......cmnos_al + 6ee60 6c6f6372 616d5f69 6e697400 0000124b locram_init....K + 6ee70 02230007 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 6ee80 616d0000 00124b02 23040763 6d6e6f73 am....K.#..cmnos + 6ee90 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 6eea0 0000039c 02230800 0d010a00 0012b604 .....#.......... + 6eeb0 0003415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 6eec0 00000012 b8095f74 61736b6c 65740010 ......_tasklet.. + 6eed0 00001317 0766756e 63000000 12bf0223 .....func......# + 6eee0 00076172 67000000 02d60223 04077374 ..arg......#..st + 6eef0 61746500 00000161 02230807 6e657874 ate....a.#..next + 6ef00 00000013 1702230c 000a0000 12d30400 ......#......... + 6ef10 0a000012 d3040003 415f7461 736b6c65 ........A_taskle + 6ef20 745f7400 000012d3 0a000013 2504000d t_t.........%... + 6ef30 010a0000 133d0400 0d010a00 00134604 .....=........F. + 6ef40 00097461 736b6c65 745f6170 69001400 ..tasklet_api... + 6ef50 0013db07 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 6ef60 74000000 039c0223 00075f74 61736b6c t......#.._taskl + 6ef70 65745f69 6e69745f 7461736b 00000013 et_init_task.... + 6ef80 3f022304 075f7461 736b6c65 745f6469 ?.#.._tasklet_di + 6ef90 7361626c 65000000 13480223 08075f74 sable....H.#.._t + 6efa0 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 6efb0 00001348 02230c07 5f746173 6b6c6574 ...H.#.._tasklet + 6efc0 5f72756e 00000003 9c022310 000d010a _run......#..... + 6efd0 000013db 04000e00 00123701 0a000013 ..........7..... + 6efe0 e4040009 636c6f63 6b5f6170 69002400 ....clock_api.$. + 6eff0 0014ca07 5f636c6f 636b5f69 6e697400 ...._clock_init. + 6f000 000013dd 02230007 5f636c6f 636b7265 .....#.._clockre + 6f010 67735f69 6e697400 0000039c 02230407 gs_init......#.. + 6f020 5f756172 745f6672 65717565 6e637900 _uart_frequency. + 6f030 000013ea 02230807 5f64656c 61795f75 .....#.._delay_u + 6f040 73000000 0a850223 0c075f77 6c616e5f s......#.._wlan_ + 6f050 62616e64 5f736574 0000000a 85022310 band_set......#. + 6f060 075f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g + 6f070 65740000 0013ea02 2314075f 6d696c6c et......#.._mill + 6f080 69736563 6f6e6473 00000013 ea022318 iseconds......#. + 6f090 075f7379 73636c6b 5f636861 6e676500 ._sysclk_change. + 6f0a0 0000039c 02231c07 5f636c6f 636b5f74 .....#.._clock_t + 6f0b0 69636b00 0000039c 02232000 0e00000a ick......# ..... + 6f0c0 f3010a00 0014ca04 0003415f 6f6c645f ..........A_old_ + 6f0d0 696e7472 5f740000 000af30e 000014d7 intr_t.......... + 6f0e0 010a0000 14e90400 0d010a00 0014f604 ................ + 6f0f0 000d010a 000014ff 04000e00 000af301 ................ + 6f100 0a000015 08040003 415f6973 725f7400 ........A_isr_t. + 6f110 0000150e 0d010a00 00152204 000e0000 .........."..... + 6f120 0103010a 0000152b 04000d01 0a000015 .......+........ + 6f130 38040009 696e7472 5f617069 002c0000 8...intr_api.,.. + 6f140 165a075f 696e7472 5f696e69 74000000 .Z._intr_init... + 6f150 039c0223 00075f69 6e74725f 696e766f ...#.._intr_invo + 6f160 6b655f69 73720000 0014d002 2304075f ke_isr......#.._ + 6f170 696e7472 5f646973 61626c65 00000014 intr_disable.... + 6f180 ef022308 075f696e 74725f72 6573746f ..#.._intr_resto + 6f190 72650000 0014f802 230c075f 696e7472 re......#.._intr + 6f1a0 5f6d6173 6b5f696e 756d0000 00150102 _mask_inum...... + 6f1b0 2310075f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ + 6f1c0 696e756d 00000015 01022314 075f696e inum......#.._in + 6f1d0 74725f61 74746163 685f6973 72000000 tr_attach_isr... + 6f1e0 15240223 18075f67 65745f69 6e747265 .$.#.._get_intre + 6f1f0 6e61626c 65000000 15310223 1c075f73 nable....1.#.._s + 6f200 65745f69 6e747265 6e61626c 65000000 et_intrenable... + 6f210 153a0223 20075f67 65745f69 6e747270 .:.# ._get_intrp + 6f220 656e6469 6e670000 00153102 2324075f ending....1.#$._ + 6f230 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr + 6f240 6c766c00 0000039c 02232800 06040000 lvl......#(..... + 6f250 16800774 696d656f 75740000 000af302 ...timeout...... + 6f260 23000761 6374696f 6e000000 0af30223 #..action......# + 6f270 00000808 0000169b 07636d64 0000000a .........cmd.... + 6f280 f3022300 15000016 5a022304 0003545f ..#.....Z.#...T_ + 6f290 5744545f 434d4400 00001680 0d010a00 WDT_CMD......... + 6f2a0 0016aa04 00160400 00170014 454e554d ............ENUM + 6f2b0 5f574454 5f424f4f 54000114 454e554d _WDT_BOOT...ENUM + 6f2c0 5f434f4c 445f424f 4f540002 14454e55 _COLD_BOOT...ENU + 6f2d0 4d5f5355 53505f42 4f4f5400 0314454e M_SUSP_BOOT...EN + 6f2e0 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. + 6f2f0 04000354 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. + 6f300 0016b30e 00001700 010a0000 17110400 ................ + 6f310 09776474 5f617069 001c0000 17b5075f .wdt_api......._ + 6f320 7764745f 696e6974 00000003 9c022300 wdt_init......#. + 6f330 075f7764 745f656e 61626c65 00000003 ._wdt_enable.... + 6f340 9c022304 075f7764 745f6469 7361626c ..#.._wdt_disabl + 6f350 65000000 039c0223 08075f77 64745f73 e......#.._wdt_s + 6f360 65740000 0016ac02 230c075f 7764745f et......#.._wdt_ + 6f370 7461736b 00000003 9c022310 075f7764 task......#.._wd + 6f380 745f7265 73657400 0000039c 02231407 t_reset......#.. + 6f390 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 6f3a0 00171702 23180016 04000018 1c145245 ....#.........RE + 6f3b0 545f5355 43434553 53000014 5245545f T_SUCCESS...RET_ + 6f3c0 4e4f545f 494e4954 00011452 45545f4e NOT_INIT...RET_N + 6f3d0 4f545f45 58495354 00021452 45545f45 OT_EXIST...RET_E + 6f3e0 45505f43 4f525255 50540003 14524554 EP_CORRUPT...RET + 6f3f0 5f454550 5f4f5645 52464c4f 57000414 _EEP_OVERFLOW... + 6f400 5245545f 554e4b4e 4f574e00 05000354 RET_UNKNOWN....T + 6f410 5f454550 5f524554 00000017 b50a0000 _EEP_RET........ + 6f420 0ae50400 0e000018 1c010a00 00183204 ..............2. + 6f430 000e0000 181c010a 0000183f 04000965 ...........?...e + 6f440 65705f61 70690010 000018a8 075f6565 ep_api......._ee + 6f450 705f696e 69740000 00039c02 2300075f p_init......#.._ + 6f460 6565705f 72656164 00000018 38022304 eep_read....8.#. + 6f470 075f6565 705f7772 69746500 00001838 ._eep_write....8 + 6f480 02230807 5f656570 5f69735f 65786973 .#.._eep_is_exis + 6f490 74000000 18450223 0c000975 73625f61 t....E.#...usb_a + 6f4a0 70690070 00001b55 075f7573 625f696e pi.p...U._usb_in + 6f4b0 69740000 00039c02 2300075f 7573625f it......#.._usb_ + 6f4c0 726f6d5f 7461736b 00000003 9c022304 rom_task......#. + 6f4d0 075f7573 625f6677 5f746173 6b000000 ._usb_fw_task... + 6f4e0 039c0223 08075f75 73625f69 6e69745f ...#.._usb_init_ + 6f4f0 70687900 0000039c 02230c07 5f757362 phy......#.._usb + 6f500 5f657030 5f736574 75700000 00039c02 _ep0_setup...... + 6f510 2310075f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. + 6f520 00039c02 2314075f 7573625f 6570305f ....#.._usb_ep0_ + 6f530 72780000 00039c02 2318075f 7573625f rx......#.._usb_ + 6f540 6765745f 696e7465 72666163 65000000 get_interface... + 6f550 11300223 1c075f75 73625f73 65745f69 .0.#.._usb_set_i + 6f560 6e746572 66616365 00000011 30022320 nterface....0.# + 6f570 075f7573 625f6765 745f636f 6e666967 ._usb_get_config + 6f580 75726174 696f6e00 00001130 02232407 uration....0.#$. + 6f590 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu + 6f5a0 72617469 6f6e0000 00113002 2328075f ration....0.#(._ + 6f5b0 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd + 6f5c0 00000011 3002232c 075f7573 625f7665 ....0.#,._usb_ve + 6f5d0 6e646f72 5f636d64 00000003 9c022330 ndor_cmd......#0 + 6f5e0 075f7573 625f706f 7765725f 6f666600 ._usb_power_off. + 6f5f0 0000039c 02233407 5f757362 5f726573 .....#4._usb_res + 6f600 65745f66 69666f00 0000039c 02233807 et_fifo......#8. + 6f610 5f757362 5f67656e 5f776474 00000003 _usb_gen_wdt.... + 6f620 9c02233c 075f7573 625f6a75 6d705f62 ..#<._usb_jump_b + 6f630 6f6f7400 0000039c 02234007 5f757362 oot......#@._usb + 6f640 5f636c72 5f666561 74757265 00000011 _clr_feature.... + 6f650 30022344 075f7573 625f7365 745f6665 0.#D._usb_set_fe + 6f660 61747572 65000000 11300223 48075f75 ature....0.#H._u + 6f670 73625f73 65745f61 64647265 73730000 sb_set_address.. + 6f680 00113002 234c075f 7573625f 6765745f ..0.#L._usb_get_ + 6f690 64657363 72697074 6f720000 00113002 descriptor....0. + 6f6a0 2350075f 7573625f 6765745f 73746174 #P._usb_get_stat + 6f6b0 75730000 00113002 2354075f 7573625f us....0.#T._usb_ + 6f6c0 73657475 705f6465 73630000 00039c02 setup_desc...... + 6f6d0 2358075f 7573625f 7265675f 6f757400 #X._usb_reg_out. + 6f6e0 0000039c 02235c07 5f757362 5f737461 .....#\._usb_sta + 6f6f0 7475735f 696e0000 00039c02 2360075f tus_in......#`._ + 6f700 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. + 6f710 0000039c 02236407 5f757362 5f657030 .....#d._usb_ep0 + 6f720 5f72785f 64617461 00000003 9c022368 _rx_data......#h + 6f730 075f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. + 6f740 00039c02 236c0009 5f564445 53430024 ....#l.._VDESC.$ + 6f750 00001be1 076e6578 745f6465 73630000 .....next_desc.. + 6f760 001be102 23000762 75665f61 64647200 ....#..buf_addr. + 6f770 00001bf5 02230407 6275665f 73697a65 .....#..buf_size + 6f780 0000001b fc022308 07646174 615f6f66 ......#..data_of + 6f790 66736574 0000001b fc02230a 07646174 fset......#..dat + 6f7a0 615f7369 7a650000 001bfc02 230c0763 a_size......#..c + 6f7b0 6f6e7472 6f6c0000 001bfc02 230e0768 ontrol......#..h + 6f7c0 775f6465 73635f62 75660000 001c0a02 w_desc_buf...... + 6f7d0 2310000a 00001b55 04000341 5f55494e #......U...A_UIN + 6f7e0 54380000 00011f0a 00001be8 04000341 T8.............A + 6f7f0 5f55494e 54313600 000007c5 0400001b _UINT16......... + 6f800 e8140000 1c170513 000a0000 1b550400 .............U.. + 6f810 03564445 53430000 001b550a 00001c1e .VDESC....U..... + 6f820 04000e00 001c2901 0a00001c 3004000e ......).....0... + 6f830 00001bf5 010a0000 1c3d0400 0d010a00 .........=...... + 6f840 001c4a04 00097664 6573635f 61706900 ..J...vdesc_api. + 6f850 1400001c c2075f69 6e697400 00000a85 ......_init..... + 6f860 02230007 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc + 6f870 0000001c 36022304 075f6765 745f6877 ....6.#.._get_hw + 6f880 5f646573 63000000 1c430223 08075f73 _desc....C.#.._s + 6f890 7761705f 76646573 63000000 1c4c0223 wap_vdesc....L.# + 6f8a0 0c077052 65736572 76656400 000002d6 ..pReserved..... + 6f8b0 02231000 095f5642 55460020 00001d22 .#..._VBUF. ..." + 6f8c0 07646573 635f6c69 73740000 001c2902 .desc_list....). + 6f8d0 2300076e 6578745f 62756600 00001d22 #..next_buf...." + 6f8e0 02230407 6275665f 6c656e67 74680000 .#..buf_length.. + 6f8f0 001bfc02 23080772 65736572 76656400 ....#..reserved. + 6f900 00001d29 02230a07 63747800 00001c0a ...).#..ctx..... + 6f910 02230c00 0a00001c c2040004 00001be8 .#.............. + 6f920 0200001d 36050100 0a00001c c2040003 ....6........... + 6f930 56425546 0000001c c20a0000 1d3d0400 VBUF.........=.. + 6f940 0e00001d 47010a00 001d4e04 000e0000 ....G.....N..... + 6f950 1d47010a 00001d5b 04000d01 0a00001d .G.....[........ + 6f960 68040009 76627566 5f617069 00140000 h...vbuf_api.... + 6f970 1de6075f 696e6974 0000000a 85022300 ..._init......#. + 6f980 075f616c 6c6f635f 76627566 0000001d ._alloc_vbuf.... + 6f990 54022304 075f616c 6c6f635f 76627566 T.#.._alloc_vbuf + 6f9a0 5f776974 685f7369 7a650000 001d6102 _with_size....a. + 6f9b0 2308075f 66726565 5f766275 66000000 #.._free_vbuf... + 6f9c0 1d6a0223 0c077052 65736572 76656400 .j.#..pReserved. + 6f9d0 000002d6 02231000 095f5f61 64665f64 .....#...__adf_d + 6f9e0 65766963 65000400 001e0807 64756d6d evice.......dumm + 6f9f0 79000000 01610223 00000a00 00123704 y....a.#......7. + 6fa00 00095f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 6fa10 0c00001e 4f076275 66000000 1d470223 ....O.buf....G.# + 6fa20 00076473 5f616464 72000000 1e080223 ..ds_addr......# + 6fa30 04076473 5f6c656e 0000001b fc022308 ..ds_len......#. + 6fa40 00080c00 001e8907 5f5f7661 5f73746b ........__va_stk + 6fa50 00000003 fd022300 075f5f76 615f7265 ......#..__va_re + 6fa60 67000000 03fd0223 04075f5f 76615f6e g......#..__va_n + 6fa70 64780000 00016102 23080003 5f5f6164 dx....a.#...__ad + 6fa80 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 6fa90 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ + 6faa0 61646472 5f740000 001e8903 5f5f6164 addr_t......__ad + 6fab0 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 6fac0 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ + 6fad0 73697a65 5f740000 001eb909 5f5f646d size_t......__dm + 6fae0 615f7365 67730008 00001f15 07706164 a_segs.......pad + 6faf0 64720000 001ea202 2300076c 656e0000 dr......#..len.. + 6fb00 001ed202 23040003 5f5f615f 75696e74 ....#...__a_uint + 6fb10 33325f74 00000012 3703615f 75696e74 32_t....7.a_uint + 6fb20 33325f74 0000001f 15040000 1ee90800 32_t............ + 6fb30 001f4405 00000961 64665f6f 735f646d ..D....adf_os_dm + 6fb40 616d6170 5f696e66 6f000c00 001f7d07 amap_info.....}. + 6fb50 6e736567 73000000 1f270223 0007646d nsegs....'.#..dm + 6fb60 615f7365 67730000 001f3702 23040003 a_segs....7.#... + 6fb70 5f5f615f 75696e74 385f7400 00001be8 __a_uint8_t..... + 6fb80 03615f75 696e7438 5f740000 001f7d0a .a_uint8_t....}. + 6fb90 00001f8e 0400095f 5f73675f 73656773 .......__sg_segs + 6fba0 00080000 1fcf0776 61646472 0000001f .......vaddr.... + 6fbb0 9d022300 076c656e 0000001f 27022304 ..#..len....'.#. + 6fbc0 00040000 1fa42000 001fdc05 03000961 ...... ........a + 6fbd0 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 6fbe0 200f076e 73656773 0000001f 27022300 ..nsegs....'.#. + 6fbf0 0773675f 73656773 0000001f cf022304 .sg_segs......#. + 6fc00 00081000 00205807 76656e64 6f720000 ..... X.vendor.. + 6fc10 001f2702 23000764 65766963 65000000 ..'.#..device... + 6fc20 1f270223 04077375 6276656e 646f7200 .'.#..subvendor. + 6fc30 00001f27 02230807 73756264 65766963 ...'.#..subdevic + 6fc40 65000000 1f270223 0c000341 5f55494e e....'.#...A_UIN + 6fc50 54363400 0000082d 035f5f61 5f75696e T64....-.__a_uin + 6fc60 7436345f 74000000 20580361 5f75696e t64_t... X.a_uin + 6fc70 7436345f 74000000 20661604 000020c4 t64_t... f.... . + 6fc80 14414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 6fc90 5f545950 455f4d45 4d000014 4144465f _TYPE_MEM...ADF_ + 6fca0 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 6fcb0 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re + 6fcc0 736f7572 63655f74 7970655f 74000000 source_type_t... + 6fcd0 20880818 0000210e 07737461 72740000 .....!..start.. + 6fce0 00207802 23000765 6e640000 00207802 . x.#..end... x. + 6fcf0 23080774 79706500 000020c4 02231000 #..type... ..#.. + 6fd00 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 6fd10 69645f74 00000020 0f0a0000 210e0400 id_t... ....!... + 6fd20 06040000 214d0770 63690000 00212702 ....!M.pci...!'. + 6fd30 23000772 61770000 0002d602 23000006 #..raw......#... + 6fd40 10000021 6c077063 69000000 210e0223 ...!l.pci...!..# + 6fd50 00077261 77000000 02d60223 00000361 ..raw......#...a + 6fd60 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 6fd70 000002d6 03616466 5f6f735f 7265736f .....adf_os_reso + 6fd80 75726365 5f740000 0020e00a 00002182 urce_t... ....!. + 6fd90 04000361 64665f6f 735f6174 74616368 ...adf_os_attach + 6fda0 5f646174 615f7400 0000214d 0a000021 _data_t...!M...! + 6fdb0 a004000a 00001de6 0400035f 5f616466 ...........__adf + 6fdc0 5f6f735f 64657669 63655f74 00000021 _os_device_t...! + 6fdd0 c1036164 665f6f73 5f646576 6963655f ..adf_os_device_ + 6fde0 74000000 21c80e00 00216c01 0a000021 t...!....!l....! + 6fdf0 f404000d 010a0000 22010400 03616466 ........"....adf + 6fe00 5f6f735f 706d5f74 00000002 d60d010a _os_pm_t........ + 6fe10 0000221b 04001604 0000225b 14414446 .."......."[.ADF + 6fe20 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 6fe30 00011441 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 6fe40 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad + 6fe50 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 6fe60 00002224 03616466 5f6f735f 6275735f .."$.adf_os_bus_ + 6fe70 7265675f 64617461 5f740000 00212e09 reg_data_t...!.. + 6fe80 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 6fe90 00233107 6472765f 61747461 63680000 .#1.drv_attach.. + 6fea0 0021fa02 23000764 72765f64 65746163 .!..#..drv_detac + 6feb0 68000000 22030223 04076472 765f7375 h..."..#..drv_su + 6fec0 7370656e 64000000 221d0223 08076472 spend..."..#..dr + 6fed0 765f7265 73756d65 00000022 0302230c v_resume..."..#. + 6fee0 07627573 5f747970 65000000 225b0223 .bus_type..."[.# + 6fef0 10076275 735f6461 74610000 00227202 ..bus_data..."r. + 6ff00 2314076d 6f645f6e 616d6500 000003b7 #..mod_name..... + 6ff10 02231807 69666e61 6d650000 0003b702 .#..ifname...... + 6ff20 231c0003 6164665f 6f735f68 616e646c #...adf_os_handl + 6ff30 655f7400 000002d6 0a00001f 7d04000d e_t.........}... + 6ff40 010d0103 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 6ff50 655f7400 00000103 16040000 23801441 e_t.........#..A + 6ff60 5f46414c 53450000 14415f54 52554500 _FALSE...A_TRUE. + 6ff70 01000361 5f626f6f 6c5f7400 00002366 ...a_bool_t...#f + 6ff80 0a00001e 0f040003 5f5f6164 665f6f73 ........__adf_os + 6ff90 5f646d61 5f6d6170 5f740000 00238e0d _dma_map_t...#.. + 6ffa0 01136164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 6ffb0 796e6300 04000024 18144144 465f5359 ync....$..ADF_SY + 6ffc0 4e435f50 52455245 41440000 14414446 NC_PREREAD...ADF + 6ffd0 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 6ffe0 14414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 6fff0 41440001 14414446 5f53594e 435f504f AD...ADF_SYNC_PO + 70000 53545752 49544500 03000361 64665f6f STWRITE....adf_o + 70010 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 70020 0023af0d 01036164 665f6f73 5f73697a .#....adf_os_siz + 70030 655f7400 00002351 0e000024 33010361 e_t...#Q...$3..a + 70040 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 70050 00002395 0a000024 4c04000e 000002d6 ..#....$L....... + 70060 010a0000 23950400 0e000002 d6010d01 ....#........... + 70070 0e00001e a2010d01 03415f49 4e543136 .........A_INT16 + 70080 00000003 e8035f5f 615f696e 7431365f ......__a_int16_ + 70090 74000000 24860361 5f696e74 31365f74 t...$..a_int16_t + 700a0 00000024 93027369 676e6564 20636861 ...$..signed cha + 700b0 72000501 03415f49 4e543800 000024b3 r....A_INT8...$. + 700c0 035f5f61 5f696e74 385f7400 000024c2 .__a_int8_t...$. + 700d0 03615f69 6e74385f 74000000 24ce080c .a_int8_t...$... + 700e0 00002545 07737570 706f7274 65640000 ..%E.supported.. + 700f0 001f2702 23000761 64766572 74697a65 ..'.#..advertize + 70100 64000000 1f270223 04077370 65656400 d....'.#..speed. + 70110 000024a4 02230807 6475706c 65780000 ..$..#..duplex.. + 70120 0024de02 230a0761 75746f6e 65670000 .$..#..autoneg.. + 70130 001f8e02 230b0004 00001f8e 06000025 ....#..........% + 70140 52050500 09616466 5f6e6574 5f657468 R....adf_net_eth + 70150 61646472 00060000 25760761 64647200 addr....%v.addr. + 70160 00002545 02230000 035f5f61 5f75696e ..%E.#...__a_uin + 70170 7431365f 74000000 1bfc0361 5f75696e t16_t......a_uin + 70180 7431365f 74000000 2576080e 000025da t16_t...%v....%. + 70190 07657468 65725f64 686f7374 00000025 .ether_dhost...% + 701a0 45022300 07657468 65725f73 686f7374 E.#..ether_shost + 701b0 00000025 45022306 07657468 65725f74 ...%E.#..ether_t + 701c0 79706500 00002588 02230c00 08140000 ype...%..#...... + 701d0 269b1769 705f7665 7273696f 6e000000 &..ip_version... + 701e0 1f8e0100 04022300 1769705f 686c0000 ......#..ip_hl.. + 701f0 001f8e01 04040223 00076970 5f746f73 .......#..ip_tos + 70200 0000001f 8e022301 0769705f 6c656e00 ......#..ip_len. + 70210 00002588 02230207 69705f69 64000000 ..%..#..ip_id... + 70220 25880223 04076970 5f667261 675f6f66 %..#..ip_frag_of + 70230 66000000 25880223 06076970 5f74746c f...%..#..ip_ttl + 70240 0000001f 8e022308 0769705f 70726f74 ......#..ip_prot + 70250 6f000000 1f8e0223 09076970 5f636865 o......#..ip_che + 70260 636b0000 00258802 230a0769 705f7361 ck...%..#..ip_sa + 70270 64647200 00001f27 02230c07 69705f64 ddr....'.#..ip_d + 70280 61646472 0000001f 27022310 00096164 addr....'.#...ad + 70290 665f6e65 745f766c 616e6864 72000400 f_net_vlanhdr... + 702a0 0026ed07 74706964 00000025 88022300 .&..tpid...%..#. + 702b0 17707269 6f000000 1f8e0100 03022302 .prio.........#. + 702c0 17636669 0000001f 8e010301 02230217 .cfi.........#.. + 702d0 76696400 00002588 02040c02 23020009 vid...%.....#... + 702e0 6164665f 6e65745f 76696400 02000027 adf_net_vid....' + 702f0 1e177265 73000000 1f8e0100 04022300 ..res.........#. + 70300 1776616c 00000025 8802040c 02230000 .val...%.....#.. + 70310 080c0000 275a0772 785f6275 6673697a ....'Z.rx_bufsiz + 70320 65000000 1f270223 00077278 5f6e6465 e....'.#..rx_nde + 70330 73630000 001f2702 23040774 785f6e64 sc....'.#..tx_nd + 70340 65736300 00001f27 02230800 08080000 esc....'.#...... + 70350 27800770 6f6c6c65 64000000 23800223 '..polled...#..# + 70360 0007706f 6c6c5f77 74000000 1f270223 ..poll_wt....'.# + 70370 04000400 001f8e40 0000278d 053f0008 .......@..'..?.. + 70380 46000027 b5076966 5f6e616d 65000000 F..'..if_name... + 70390 27800223 00076465 765f6164 64720000 '..#..dev_addr.. + 703a0 00254502 23400016 04000027 ec144144 .%E.#@.....'..AD + 703b0 465f4f53 5f444d41 5f4d4153 4b5f3332 F_OS_DMA_MASK_32 + 703c0 42495400 00144144 465f4f53 5f444d41 BIT...ADF_OS_DMA + 703d0 5f4d4153 4b5f3634 42495400 01000361 _MASK_64BIT....a + 703e0 64665f6f 735f646d 615f6d61 736b5f74 df_os_dma_mask_t + 703f0 00000027 b5096164 665f646d 615f696e ...'..adf_dma_in + 70400 666f0008 00002839 07646d61 5f6d6173 fo....(9.dma_mas + 70410 6b000000 27ec0223 00077367 5f6e7365 k...'..#..sg_nse + 70420 67730000 001f2702 23040016 04000028 gs....'.#......( + 70430 8f144144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 70440 4e4f4e45 00001441 44465f4e 45545f43 NONE...ADF_NET_C + 70450 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv + 70460 34000114 4144465f 4e45545f 434b5355 4...ADF_NET_CKSU + 70470 4d5f5443 505f5544 505f4950 76360002 M_TCP_UDP_IPv6.. + 70480 00036164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 70490 74797065 5f740000 00283908 08000028 type_t...(9....( + 704a0 d2077478 5f636b73 756d0000 00288f02 ..tx_cksum...(.. + 704b0 23000772 785f636b 73756d00 0000288f #..rx_cksum...(. + 704c0 02230400 03616466 5f6e6574 5f636b73 .#...adf_net_cks + 704d0 756d5f69 6e666f5f 74000000 28a91604 um_info_t...(... + 704e0 0000292b 14414446 5f4e4554 5f54534f ..)+.ADF_NET_TSO + 704f0 5f4e4f4e 45000014 4144465f 4e45545f _NONE...ADF_NET_ + 70500 54534f5f 49505634 00011441 44465f4e TSO_IPV4...ADF_N + 70510 45545f54 534f5f41 4c4c0002 00036164 ET_TSO_ALL....ad + 70520 665f6e65 745f7473 6f5f7479 70655f74 f_net_tso_type_t + 70530 00000028 ec081000 00297f07 636b7375 ...(.....)..cksu + 70540 6d5f6361 70000000 28d20223 00077473 m_cap...(..#..ts + 70550 6f000000 292b0223 0807766c 616e5f73 o...)+.#..vlan_s + 70560 7570706f 72746564 0000001f 8e02230c upported......#. + 70570 00082000 002a1807 74785f70 61636b65 .. ..*..tx_packe + 70580 74730000 001f2702 23000772 785f7061 ts....'.#..rx_pa + 70590 636b6574 73000000 1f270223 04077478 ckets....'.#..tx + 705a0 5f627974 65730000 001f2702 23080772 _bytes....'.#..r + 705b0 785f6279 74657300 00001f27 02230c07 x_bytes....'.#.. + 705c0 74785f64 726f7070 65640000 001f2702 tx_dropped....'. + 705d0 23100772 785f6472 6f707065 64000000 #..rx_dropped... + 705e0 1f270223 14077278 5f657272 6f727300 .'.#..rx_errors. + 705f0 00001f27 02231807 74785f65 72726f72 ...'.#..tx_error + 70600 73000000 1f270223 1c000361 64665f6e s....'.#...adf_n + 70610 65745f65 74686164 64725f74 00000025 et_ethaddr_t...% + 70620 52100000 2a180300 00002a3d 057f000c R...*.....*=.... + 70630 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad + 70640 64720003 0400002a 74076e65 6c656d00 dr.....*t.nelem. + 70650 00001f27 02230007 6d636173 74000000 ...'.#..mcast... + 70660 2a2f0223 04000361 64665f6e 65745f63 */.#...adf_net_c + 70670 6d645f6c 696e6b5f 696e666f 5f740000 md_link_info_t.. + 70680 0024ec03 6164665f 6e65745f 636d645f .$..adf_net_cmd_ + 70690 706f6c6c 5f696e66 6f5f7400 0000275a poll_info_t...'Z + 706a0 03616466 5f6e6574 5f636d64 5f636b73 .adf_net_cmd_cks + 706b0 756d5f69 6e666f5f 74000000 28d20361 um_info_t...(..a + 706c0 64665f6e 65745f63 6d645f72 696e675f df_net_cmd_ring_ + 706d0 696e666f 5f740000 00271e03 6164665f info_t...'..adf_ + 706e0 6e65745f 636d645f 646d615f 696e666f net_cmd_dma_info + 706f0 5f740000 00280303 6164665f 6e65745f _t...(..adf_net_ + 70700 636d645f 7669645f 74000000 25880361 cmd_vid_t...%..a + 70710 64665f6e 65745f63 6d645f6f 66666c6f df_net_cmd_offlo + 70720 61645f63 61705f74 00000029 43036164 ad_cap_t...)C.ad + 70730 665f6e65 745f636d 645f7374 6174735f f_net_cmd_stats_ + 70740 74000000 297f0361 64665f6e 65745f63 t...)..adf_net_c + 70750 6d645f6d 63616464 725f7400 00002a3d md_mcaddr_t...*= + 70760 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 70770 73745f63 61700004 00002bb6 14414446 st_cap....+..ADF + 70780 5f4e4554 5f4d4341 53545f53 55500000 _NET_MCAST_SUP.. + 70790 14414446 5f4e4554 5f4d4341 53545f4e .ADF_NET_MCAST_N + 707a0 4f545355 50000100 03616466 5f6e6574 OTSUP....adf_net + 707b0 5f636d64 5f6d6361 73745f63 61705f74 _cmd_mcast_cap_t + 707c0 0000002b 6e180304 00002c88 076c696e ...+n.....,..lin + 707d0 6b5f696e 666f0000 002a7402 23000770 k_info...*t.#..p + 707e0 6f6c6c5f 696e666f 0000002a 91022300 oll_info...*..#. + 707f0 07636b73 756d5f69 6e666f00 00002aae .cksum_info...*. + 70800 02230007 72696e67 5f696e66 6f000000 .#..ring_info... + 70810 2acc0223 0007646d 615f696e 666f0000 *..#..dma_info.. + 70820 002ae902 23000776 69640000 002b0502 .*..#..vid...+.. + 70830 2300076f 66666c6f 61645f63 61700000 #..offload_cap.. + 70840 002b1c02 23000773 74617473 0000002b .+..#..stats...+ + 70850 3b022300 076d6361 73745f69 6e666f00 ;.#..mcast_info. + 70860 00002b54 02230007 6d636173 745f6361 ..+T.#..mcast_ca + 70870 70000000 2bb60223 00001604 00002cdf p...+..#......,. + 70880 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 70890 554d5f4e 4f4e4500 00144144 465f4e42 UM_NONE...ADF_NB + 708a0 55465f52 585f434b 53554d5f 48570001 UF_RX_CKSUM_HW.. + 708b0 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 708c0 554d5f55 4e4e4543 45535341 52590002 UM_UNNECESSARY.. + 708d0 00036164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck + 708e0 73756d5f 74797065 5f740000 002c8808 sum_type_t...,.. + 708f0 0800002d 1f077265 73756c74 0000002c ...-..result..., + 70900 df022300 0776616c 0000001f 27022304 ..#..val....'.#. + 70910 00080800 002d4f07 74797065 00000029 .....-O.type...) + 70920 2b022300 076d7373 00000025 88022304 +.#..mss...%..#. + 70930 07686472 5f6f6666 0000001f 8e022306 .hdr_off......#. + 70940 00095f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe + 70950 6164000c 00002d8e 07686561 64000000 ad....-..head... + 70960 1d470223 00077461 696c0000 001d4702 .G.#..tail....G. + 70970 23040771 6c656e00 00001f27 02230800 #..qlen....'.#.. + 70980 035f5f61 64665f6e 6275665f 74000000 .__adf_nbuf_t... + 70990 1d470a00 001f9d04 000a0000 1f270400 .G...........'.. + 709a0 0d010e00 001c2901 0e00001f 27010e00 ......).....'... + 709b0 001f9d01 0e00001f 9d010a00 001c0a04 ................ + 709c0 00035f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe + 709d0 61645f74 0000002d 4f035f5f 6164665f ad_t...-O.__adf_ + 709e0 6e627566 5f717565 75655f74 0000002d nbuf_queue_t...- + 709f0 cf0a0000 2de70400 0e00002d 8e010e00 ....-......-.... + 70a00 002d8e01 16040000 2f071441 5f535441 .-....../..A_STA + 70a10 5455535f 4f4b0000 14415f53 54415455 TUS_OK...A_STATU + 70a20 535f4641 494c4544 00011441 5f535441 S_FAILED...A_STA + 70a30 5455535f 454e4f45 4e540002 14415f53 TUS_ENOENT...A_S + 70a40 54415455 535f454e 4f4d454d 00031441 TATUS_ENOMEM...A + 70a50 5f535441 5455535f 45494e56 414c0004 _STATUS_EINVAL.. + 70a60 14415f53 54415455 535f4549 4e50524f .A_STATUS_EINPRO + 70a70 47524553 53000514 415f5354 41545553 GRESS...A_STATUS + 70a80 5f454e4f 54535550 50000614 415f5354 _ENOTSUPP...A_ST + 70a90 41545553 5f454255 53590007 14415f53 ATUS_EBUSY...A_S + 70aa0 54415455 535f4532 42494700 0814415f TATUS_E2BIG...A_ + 70ab0 53544154 55535f45 41444452 4e4f5441 STATUS_EADDRNOTA + 70ac0 5641494c 00091441 5f535441 5455535f VAIL...A_STATUS_ + 70ad0 454e5849 4f000a14 415f5354 41545553 ENXIO...A_STATUS + 70ae0 5f454641 554c5400 0b14415f 53544154 _EFAULT...A_STAT + 70af0 55535f45 494f000c 0003615f 73746174 US_EIO....a_stat + 70b00 75735f74 0000002e 120e0000 2f07010e us_t......../... + 70b10 00000161 010d0103 6164665f 6e627566 ...a....adf_nbuf + 70b20 5f740000 002d8e16 0400002f 6c144144 _t...-...../l.AD + 70b30 465f4f53 5f444d41 5f544f5f 44455649 F_OS_DMA_TO_DEVI + 70b40 43450000 14414446 5f4f535f 444d415f CE...ADF_OS_DMA_ + 70b50 46524f4d 5f444556 49434500 01000361 FROM_DEVICE....a + 70b60 64665f6f 735f646d 615f6469 725f7400 df_os_dma_dir_t. + 70b70 00002f35 0e00002f 07010d01 03616466 ../5.../.....adf + 70b80 5f6f735f 646d616d 61705f69 6e666f5f _os_dmamap_info_ + 70b90 74000000 1f440a00 002f8a04 000d010d t....D.../...... + 70ba0 010e0000 2f25010e 00002d8e 010d010d ..../%....-..... + 70bb0 010e0000 2f25010e 00002d8e 010e0000 ..../%....-..... + 70bc0 2f25010e 00002d8e 010e0000 2f25010d /%....-...../%.. + 70bd0 010d010e 00001f27 010e0000 1f9d010d .......'........ + 70be0 010d010e 00002433 010e0000 2380010e ......$3....#... + 70bf0 00002380 01036164 665f6f73 5f73676c ..#...adf_os_sgl + 70c00 6973745f 74000000 1fdc0a00 00300304 ist_t........0.. + 70c10 000d010d 010d010e 00001f9d 01036164 ..............ad + 70c20 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 70c30 002de70a 0000302b 04000d01 0a00002d .-....0+.......- + 70c40 cf04000d 010d010d 010e0000 2f25010e ............/%.. + 70c50 00002d8e 010e0000 1f27010e 00001f27 ..-......'.....' + 70c60 010e0000 2380010e 00002380 010e0000 ....#.....#..... + 70c70 288f010e 00001f27 01036164 665f6e62 (......'..adf_nb + 70c80 75665f72 785f636b 73756d5f 74000000 uf_rx_cksum_t... + 70c90 2cfd0a00 00308704 000d010d 01036164 ,....0........ad + 70ca0 665f6e62 75665f74 736f5f74 0000002d f_nbuf_tso_t...- + 70cb0 1f0a0000 30ab0400 0d010d01 03616466 ....0........adf + 70cc0 5f6e6574 5f68616e 646c655f 74000000 _net_handle_t... + 70cd0 02d60361 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 70ce0 64725f74 00000026 9b0a0000 30e00400 dr_t...&....0... + 70cf0 0e00002f 07010e00 002f0701 0d010d01 .../...../...... + 70d00 095f4849 465f434f 4e464947 00040000 ._HIF_CONFIG.... + 70d10 312f0764 756d6d79 00000001 61022300 1/.dummy....a.#. + 70d20 000d010a 0000312f 04000d01 0a000031 ......1/.......1 + 70d30 38040009 5f484946 5f43414c 4c424143 8..._HIF_CALLBAC + 70d40 4b000c00 00318d07 73656e64 5f627566 K....1..send_buf + 70d50 5f646f6e 65000000 31310223 00077265 _done...11.#..re + 70d60 63765f62 75660000 00313a02 23040763 cv_buf...1:.#..c + 70d70 6f6e7465 78740000 0002d602 23080003 ontext......#... + 70d80 6869665f 68616e64 6c655f74 00000002 hif_handle_t.... + 70d90 d6034849 465f434f 4e464947 00000031 ..HIF_CONFIG...1 + 70da0 0e0a0000 319f0400 0e000031 8d010a00 ....1......1.... + 70db0 0031b604 000d010a 000031c3 04000348 .1........1....H + 70dc0 49465f43 414c4c42 41434b00 00003141 IF_CALLBACK...1A + 70dd0 0a000031 cc04000d 010a0000 31e50400 ...1........1... + 70de0 0e000001 61010a00 0031ee04 000d010a ....a....1...... + 70df0 000031fb 04000e00 00016101 0a000032 ..1.......a....2 + 70e00 0404000d 010a0000 32110400 0e000001 ........2....... + 70e10 61010a00 00321a04 000d010a 00003227 a....2........2' + 70e20 04000968 69665f61 70690038 00003380 ...hif_api.8..3. + 70e30 075f696e 69740000 0031bc02 2300075f ._init...1..#.._ + 70e40 73687574 646f776e 00000031 c5022304 shutdown...1..#. + 70e50 075f7265 67697374 65725f63 616c6c62 ._register_callb + 70e60 61636b00 000031e7 02230807 5f676574 ack...1..#.._get + 70e70 5f746f74 616c5f63 72656469 745f636f _total_credit_co + 70e80 756e7400 000031f4 02230c07 5f737461 unt...1..#.._sta + 70e90 72740000 0031c502 2310075f 636f6e66 rt...1..#.._conf + 70ea0 69675f70 69706500 000031fd 02231407 ig_pipe...1..#.. + 70eb0 5f73656e 645f6275 66666572 00000032 _send_buffer...2 + 70ec0 0a022318 075f7265 7475726e 5f726563 ..#.._return_rec + 70ed0 765f6275 66000000 32130223 1c075f69 v_buf...2..#.._i + 70ee0 735f7069 70655f73 7570706f 72746564 s_pipe_supported + 70ef0 00000032 20022320 075f6765 745f6d61 ...2 .# ._get_ma + 70f00 785f6d73 675f6c65 6e000000 32200223 x_msg_len...2 .# + 70f10 24075f67 65745f72 65736572 7665645f $._get_reserved_ + 70f20 68656164 726f6f6d 00000031 f4022328 headroom...1..#( + 70f30 075f6973 725f6861 6e646c65 72000000 ._isr_handler... + 70f40 31c50223 2c075f67 65745f64 65666175 1..#,._get_defau + 70f50 6c745f70 69706500 00003229 02233007 lt_pipe...2).#0. + 70f60 70526573 65727665 64000000 02d60223 pReserved......# + 70f70 34001364 6d615f65 6e67696e 65000400 4..dma_engine... + 70f80 00340914 444d415f 454e4749 4e455f52 .4..DMA_ENGINE_R + 70f90 58300000 14444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 70fa0 52583100 0114444d 415f454e 47494e45 RX1...DMA_ENGINE + 70fb0 5f525832 00021444 4d415f45 4e47494e _RX2...DMA_ENGIN + 70fc0 455f5258 33000314 444d415f 454e4749 E_RX3...DMA_ENGI + 70fd0 4e455f54 58300004 14444d41 5f454e47 NE_TX0...DMA_ENG + 70fe0 494e455f 54583100 0514444d 415f454e INE_TX1...DMA_EN + 70ff0 47494e45 5f4d4158 00060003 646d615f GINE_MAX....dma_ + 71000 656e6769 6e655f74 00000033 8013646d engine_t...3..dm + 71010 615f6966 74797065 00040000 34561444 a_iftype....4V.D + 71020 4d415f49 465f474d 41430000 14444d41 MA_IF_GMAC...DMA + 71030 5f49465f 50434900 0114444d 415f4946 _IF_PCI...DMA_IF + 71040 5f504349 45000200 03646d61 5f696674 _PCIE....dma_ift + 71050 7970655f 74000000 341b0e00 001bfc01 ype_t...4....... + 71060 0a000034 6804000d 010a0000 34750400 ...4h.......4u.. + 71070 0d010a00 00347e04 000e0000 1237010a .....4~......7.. + 71080 00003487 04000e00 001bfc01 0a000034 ..4............4 + 71090 9404000e 00001bfc 010a0000 34a10400 ............4... + 710a0 0e00001d 47010a00 0034ae04 000d010a ....G....4...... + 710b0 000034bb 04000964 6d615f6c 69625f61 ..4....dma_lib_a + 710c0 70690034 000035c2 0774785f 696e6974 pi.4..5..tx_init + 710d0 00000034 6e022300 0774785f 73746172 ...4n.#..tx_star + 710e0 74000000 34770223 04077278 5f696e69 t...4w.#..rx_ini + 710f0 74000000 346e0223 08077278 5f636f6e t...4n.#..rx_con + 71100 66696700 00003480 02230c07 72785f73 fig...4..#..rx_s + 71110 74617274 00000034 77022310 07696e74 tart...4w.#..int + 71120 725f7374 61747573 00000034 8d022314 r_status...4..#. + 71130 07686172 645f786d 69740000 00349a02 .hard_xmit...4.. + 71140 23180766 6c757368 5f786d69 74000000 #..flush_xmit... + 71150 34770223 1c07786d 69745f64 6f6e6500 4w.#..xmit_done. + 71160 000034a7 02232007 72656170 5f786d69 ..4..# .reap_xmi + 71170 74746564 00000034 b4022324 07726561 tted...4..#$.rea + 71180 705f7265 63760000 0034b402 23280772 p_recv...4..#(.r + 71190 65747572 6e5f7265 63760000 0034bd02 eturn_recv...4.. + 711a0 232c0772 6563765f 706b7400 000034a7 #,.recv_pkt...4. + 711b0 02233000 095f5f70 63695f73 6f667463 .#0..__pci_softc + 711c0 000c0000 35e00773 77000000 31cc0223 ....5..sw...1..# + 711d0 0000035f 5f706369 5f736f66 74635f74 ...__pci_softc_t + 711e0 00000035 c20a0000 35e00400 0d010a00 ...5....5....... + 711f0 0035fa04 000e0000 1be8010a 00003603 .5............6. + 71200 04001368 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 71210 74780004 00003663 14484946 5f504349 tx....6c.HIF_PCI + 71220 5f504950 455f5458 30000014 4849465f _PIPE_TX0...HIF_ + 71230 5043495f 50495045 5f545831 00011448 PCI_PIPE_TX1...H + 71240 49465f50 43495f50 4950455f 54585f4d IF_PCI_PIPE_TX_M + 71250 41580002 00036869 665f7063 695f7069 AX....hif_pci_pi + 71260 70655f74 785f7400 00003610 0e000034 pe_tx_t...6....4 + 71270 09010a00 00367a04 00136869 665f7063 .....6z...hif_pc + 71280 695f7069 70655f72 78000400 00370014 i_pipe_rx....7.. + 71290 4849465f 5043495f 50495045 5f525830 HIF_PCI_PIPE_RX0 + 712a0 00001448 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 712b0 52583100 01144849 465f5043 495f5049 RX1...HIF_PCI_PI + 712c0 50455f52 58320002 14484946 5f504349 PE_RX2...HIF_PCI + 712d0 5f504950 455f5258 33000314 4849465f _PIPE_RX3...HIF_ + 712e0 5043495f 50495045 5f52585f 4d415800 PCI_PIPE_RX_MAX. + 712f0 04000368 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 71300 72785f74 00000036 870e0000 3409010a rx_t...6....4... + 71310 00003717 04000968 69665f70 63695f61 ..7....hif_pci_a + 71320 70690024 000037f5 07706369 5f626f6f pi.$..7..pci_boo + 71330 745f696e 69740000 00039c02 23000770 t_init......#..p + 71340 63695f69 6e697400 000031bc 02230407 ci_init...1..#.. + 71350 7063695f 72657365 74000000 039c0223 pci_reset......# + 71360 08077063 695f656e 61626c65 00000003 ..pci_enable.... + 71370 9c02230c 07706369 5f726561 705f786d ..#..pci_reap_xm + 71380 69747465 64000000 35fc0223 10077063 itted...5..#..pc + 71390 695f7265 61705f72 65637600 000035fc i_reap_recv...5. + 713a0 02231407 7063695f 6765745f 70697065 .#..pci_get_pipe + 713b0 00000036 09022318 07706369 5f676574 ...6..#..pci_get + 713c0 5f74785f 656e6700 00003680 02231c07 _tx_eng...6..#.. + 713d0 7063695f 6765745f 72785f65 6e670000 pci_get_rx_eng.. + 713e0 00371d02 23200009 676d6163 5f617069 .7..# ..gmac_api + 713f0 00040000 381c0767 6d61635f 626f6f74 ....8..gmac_boot + 71400 5f696e69 74000000 039c0223 00000400 _init......#.... + 71410 00011f06 00003829 05050009 5f5f6574 ......8)....__et + 71420 68686472 000e0000 385f0764 73740000 hhdr....8_.dst.. + 71430 00381c02 23000773 72630000 00381c02 .8..#..src...8.. + 71440 23060765 74797065 0000001b fc02230c #..etype......#. + 71450 00095f5f 61746868 64720004 000038ad ..__athhdr....8. + 71460 17726573 0000001b e8010002 02230017 .res.........#.. + 71470 70726f74 6f000000 1be80102 06022300 proto.........#. + 71480 07726573 5f6c6f00 00001be8 02230107 .res_lo......#.. + 71490 7265735f 68690000 001bfc02 23020009 res_hi......#... + 714a0 5f5f676d 61635f68 64720014 000038e9 __gmac_hdr....8. + 714b0 07657468 00000038 29022300 07617468 .eth...8).#..ath + 714c0 00000038 5f02230e 07616c69 676e5f70 ...8_.#..align_p + 714d0 61640000 001bfc02 23120003 5f5f676d ad......#...__gm + 714e0 61635f68 64725f74 00000038 ad095f5f ac_hdr_t...8..__ + 714f0 676d6163 5f736f66 74630024 00003933 gmac_softc.$..93 + 71500 07686472 00000038 e9022300 07677261 .hdr...8..#..gra + 71510 6e000000 1bfc0223 14077377 00000031 n......#..sw...1 + 71520 cc022318 00125f41 5f6f735f 6c696e6b ..#..._A_os_link + 71530 6167655f 63686563 6b000001 0a000039 age_check......9 + 71540 3304000e 00000161 010a0000 39510400 3......a....9Q.. + 71550 0a000001 0304000c 5f415f63 6d6e6f73 ........_A_cmnos + 71560 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 71570 6c650001 b800003a a1076861 6c5f6c69 le.....:..hal_li + 71580 6e6b6167 655f6368 65636b00 00003957 nkage_check...9W + 71590 02230007 73746172 745f6273 73000000 .#..start_bss... + 715a0 395e0223 04076170 705f7374 61727400 9^.#..app_start. + 715b0 0000039c 02230807 6d656d00 00000d63 .....#..mem....c + 715c0 02230c07 6d697363 0000000e 82022320 .#..misc......# + 715d0 07707269 6e746600 00000aae 02234407 .printf......#D. + 715e0 75617274 0000000b 4c02234c 07676d61 uart....L.#L.gma + 715f0 63000000 37f50223 6c077573 62000000 c...7..#l.usb... + 71600 18a80223 7007636c 6f636b00 000013f1 ...#p.clock..... + 71610 0323e001 0774696d 65720000 00109a03 .#...timer...... + 71620 23840207 696e7472 00000015 41032398 #...intr....A.#. + 71630 0207616c 6c6f6372 616d0000 00125203 ..allocram....R. + 71640 23c40207 726f6d70 00000011 440323d0 #...romp....D.#. + 71650 02077764 745f7469 6d657200 0000171e ..wdt_timer..... + 71660 0323e002 07656570 00000018 4c0323fc .#...eep....L.#. + 71670 02077374 72696e67 0000000f a603238c ..string......#. + 71680 03077461 736b6c65 74000000 134f0323 ..tasklet....O.# + 71690 a4030009 5f555342 5f464946 4f5f434f ...._USB_FIFO_CO + 716a0 4e464947 00100000 3b140767 65745f63 NFIG....;..get_c + 716b0 6f6d6d61 6e645f62 75660000 001d5402 ommand_buf....T. + 716c0 23000772 6563765f 636f6d6d 616e6400 #..recv_command. + 716d0 00001d6a 02230407 6765745f 6576656e ...j.#..get_even + 716e0 745f6275 66000000 1d540223 08077365 t_buf....T.#..se + 716f0 6e645f65 76656e74 5f646f6e 65000000 nd_event_done... + 71700 1d6a0223 0c000355 53425f46 49464f5f .j.#...USB_FIFO_ + 71710 434f4e46 49470000 003aa10a 00003b14 CONFIG...:....;. + 71720 04000d01 0a00003b 30040009 75736266 .......;0...usbf + 71730 69666f5f 61706900 0c00003b 86075f69 ifo_api....;.._i + 71740 6e697400 00003b32 02230007 5f656e61 nit...;2.#.._ena + 71750 626c655f 6576656e 745f6973 72000000 ble_event_isr... + 71760 039c0223 04077052 65736572 76656400 ...#..pReserved. + 71770 000002d6 02230800 0400001f 8e020000 .....#.......... + 71780 3b930501 00095f48 54435f46 52414d45 ;....._HTC_FRAME + 71790 5f484452 00080000 3c050745 6e64706f _HDR....<..Endpo + 717a0 696e7449 44000000 1f8e0223 0007466c intID......#..Fl + 717b0 61677300 00001f8e 02230107 5061796c ags......#..Payl + 717c0 6f61644c 656e0000 00258802 23020743 oadLen...%..#..C + 717d0 6f6e7472 6f6c4279 74657300 00003b86 ontrolBytes...;. + 717e0 02230407 486f7374 5365714e 756d0000 .#..HostSeqNum.. + 717f0 00258802 23060008 0200003c 1e074d65 .%..#......<..Me + 71800 73736167 65494400 00002588 02230000 ssageID...%..#.. + 71810 08080000 3c81074d 65737361 67654944 ....<..MessageID + 71820 00000025 88022300 07437265 64697443 ...%..#..CreditC + 71830 6f756e74 00000025 88022302 07437265 ount...%..#..Cre + 71840 64697453 697a6500 00002588 02230407 ditSize...%..#.. + 71850 4d617845 6e64706f 696e7473 0000001f MaxEndpoints.... + 71860 8e022306 075f5061 64310000 001f8e02 ..#.._Pad1...... + 71870 23070008 0a00003d 18074d65 73736167 #......=..Messag + 71880 65494400 00002588 02230007 53657276 eID...%..#..Serv + 71890 69636549 44000000 25880223 0207436f iceID...%..#..Co + 718a0 6e6e6563 74696f6e 466c6167 73000000 nnectionFlags... + 718b0 25880223 0407446f 776e4c69 6e6b5069 %..#..DownLinkPi + 718c0 70654944 0000001f 8e022306 0755704c peID......#..UpL + 718d0 696e6b50 69706549 44000000 1f8e0223 inkPipeID......# + 718e0 07075365 72766963 654d6574 614c656e ..ServiceMetaLen + 718f0 67746800 00001f8e 02230807 5f506164 gth......#.._Pad + 71900 31000000 1f8e0223 0900080a 00003da0 1......#......=. + 71910 074d6573 73616765 49440000 00258802 .MessageID...%.. + 71920 23000753 65727669 63654944 00000025 #..ServiceID...% + 71930 88022302 07537461 74757300 00001f8e ..#..Status..... + 71940 02230407 456e6470 6f696e74 49440000 .#..EndpointID.. + 71950 001f8e02 2305074d 61784d73 6753697a ....#..MaxMsgSiz + 71960 65000000 25880223 06075365 72766963 e...%..#..Servic + 71970 654d6574 614c656e 67746800 00001f8e eMetaLength..... + 71980 02230807 5f506164 31000000 1f8e0223 .#.._Pad1......# + 71990 09000802 00003db9 074d6573 73616765 ......=..Message + 719a0 49440000 00258802 23000008 0400003d ID...%..#......= + 719b0 f5074d65 73736167 65494400 00002588 ..MessageID...%. + 719c0 02230007 50697065 49440000 001f8e02 .#..PipeID...... + 719d0 23020743 72656469 74436f75 6e740000 #..CreditCount.. + 719e0 001f8e02 23030008 0400003e 2c074d65 ....#......>,.Me + 719f0 73736167 65494400 00002588 02230007 ssageID...%..#.. + 71a00 50697065 49440000 001f8e02 23020753 PipeID......#..S + 71a10 74617475 73000000 1f8e0223 03000802 tatus......#.... + 71a20 00003e53 07526563 6f726449 44000000 ..>S.RecordID... + 71a30 1f8e0223 00074c65 6e677468 0000001f ...#..Length.... + 71a40 8e022301 00080200 003e7d07 456e6470 ..#......>}.Endp + 71a50 6f696e74 49440000 001f8e02 23000743 ointID......#..C + 71a60 72656469 74730000 001f8e02 23010008 redits......#... + 71a70 0400003e be07456e 64706f69 6e744944 ...>..EndpointID + 71a80 0000001f 8e022300 07437265 64697473 ......#..Credits + 71a90 0000001f 8e022301 07546774 43726564 ......#..TgtCred + 71aa0 69745365 714e6f00 00002588 02230200 itSeqNo...%..#.. + 71ab0 0400001f 8e040000 3ecb0503 00080600 ........>....... + 71ac0 003f0707 50726556 616c6964 0000001f .?..PreValid.... + 71ad0 8e022300 074c6f6f 6b416865 61640000 ..#..LookAhead.. + 71ae0 003ebe02 23010750 6f737456 616c6964 .>..#..PostValid + 71af0 0000001f 8e022305 0003706f 6f6c5f68 ......#...pool_h + 71b00 616e646c 655f7400 000002d6 0e00003f andle_t........? + 71b10 07010a00 003f1a04 000d010a 00003f27 .....?........?' + 71b20 04001604 00003fa5 14504f4f 4c5f4944 ......?..POOL_ID + 71b30 5f485443 5f434f4e 54524f4c 00001450 _HTC_CONTROL...P + 71b40 4f4f4c5f 49445f57 4d495f53 56435f43 OOL_ID_WMI_SVC_C + 71b50 4d445f52 45504c59 00011450 4f4f4c5f MD_REPLY...POOL_ + 71b60 49445f57 4d495f53 56435f45 56454e54 ID_WMI_SVC_EVENT + 71b70 00021450 4f4f4c5f 49445f57 4c414e5f ...POOL_ID_WLAN_ + 71b80 52585f42 55460003 14504f4f 4c5f4944 RX_BUF...POOL_ID + 71b90 5f4d4158 000a0003 4255465f 504f4f4c _MAX....BUF_POOL + 71ba0 5f494400 00003f30 0d010a00 003fb604 _ID...?0.....?.. + 71bb0 000e0000 2f25010a 00003fbf 04000e00 ..../%....?..... + 71bc0 002f2501 0a00003f cc04000d 010a0000 ./%....?........ + 71bd0 3fd90400 09627566 5f706f6f 6c5f6170 ?....buf_pool_ap + 71be0 69001c00 00407b07 5f696e69 74000000 i....@{._init... + 71bf0 3f200223 00075f73 68757464 6f776e00 ? .#.._shutdown. + 71c00 00003f29 02230407 5f637265 6174655f ..?).#.._create_ + 71c10 706f6f6c 0000003f b8022308 075f616c pool...?..#.._al + 71c20 6c6f635f 62756600 00003fc5 02230c07 loc_buf...?..#.. + 71c30 5f616c6c 6f635f62 75665f61 6c69676e _alloc_buf_align + 71c40 0000003f d2022310 075f6672 65655f62 ...?..#.._free_b + 71c50 75660000 003fdb02 23140770 52657365 uf...?..#..pRese + 71c60 72766564 00000002 d6022318 00095f48 rved......#..._H + 71c70 54435f53 45525649 4345001c 0000415a TC_SERVICE....AZ + 71c80 07704e65 78740000 00415a02 23000750 .pNext...AZ.#..P + 71c90 726f6365 73735265 63764d73 67000000 rocessRecvMsg... + 71ca0 420f0223 04075072 6f636573 7353656e B..#..ProcessSen + 71cb0 64427566 66657243 6f6d706c 65746500 dBufferComplete. + 71cc0 00004218 02230807 50726f63 65737343 ..B..#..ProcessC + 71cd0 6f6e6e65 63740000 00422c02 230c0753 onnect...B,.#..S + 71ce0 65727669 63654944 0000001b fc022310 erviceID......#. + 71cf0 07536572 76696365 466c6167 73000000 .ServiceFlags... + 71d00 1bfc0223 12074d61 78537663 4d736753 ...#..MaxSvcMsgS + 71d10 697a6500 00001bfc 02231407 54726169 ize......#..Trai + 71d20 6c657253 70634368 65636b4c 696d6974 lerSpcCheckLimit + 71d30 0000001b fc022316 07536572 76696365 ......#..Service + 71d40 43747800 000002d6 02231800 0a000040 Ctx......#.....@ + 71d50 7b040016 04000041 f819454e 44504f49 {......A..ENDPOI + 71d60 4e545f55 4e555345 4400ffff ffff1445 NT_UNUSED......E + 71d70 4e44504f 494e5430 00001445 4e44504f NDPOINT0...ENDPO + 71d80 494e5431 00011445 4e44504f 494e5432 INT1...ENDPOINT2 + 71d90 00021445 4e44504f 494e5433 00031445 ...ENDPOINT3...E + 71da0 4e44504f 494e5434 00041445 4e44504f NDPOINT4...ENDPO + 71db0 494e5435 00051445 4e44504f 494e5436 INT5...ENDPOINT6 + 71dc0 00061445 4e44504f 494e5437 00071445 ...ENDPOINT7...E + 71dd0 4e44504f 494e5438 00081445 4e44504f NDPOINT8...ENDPO + 71de0 494e545f 4d415800 16000348 54435f45 INT_MAX....HTC_E + 71df0 4e44504f 494e545f 49440000 0041610d NDPOINT_ID...Aa. + 71e00 010a0000 420d0400 0d010a00 00421604 ....B........B.. + 71e10 000a0000 01610400 0e00001b e8010a00 .....a.......... + 71e20 00422604 000a0000 407b0400 095f4854 .B&.....@{..._HT + 71e30 435f434f 4e464947 00140000 42ab0743 C_CONFIG....B..C + 71e40 72656469 7453697a 65000000 01610223 reditSize....a.# + 71e50 00074372 65646974 4e756d62 65720000 ..CreditNumber.. + 71e60 00016102 2304074f 5348616e 646c6500 ..a.#..OSHandle. + 71e70 00002331 02230807 48494648 616e646c ..#1.#..HIFHandl + 71e80 65000000 318d0223 0c07506f 6f6c4861 e...1..#..PoolHa + 71e90 6e646c65 0000003f 07022310 00095f48 ndle...?..#..._H + 71ea0 54435f42 55465f43 4f4e5445 58540002 TC_BUF_CONTEXT.. + 71eb0 000042e7 07656e64 5f706f69 6e740000 ..B..end_point.. + 71ec0 001be802 23000768 74635f66 6c616773 ....#..htc_flags + 71ed0 0000001b e8022301 00036874 635f6861 ......#...htc_ha + 71ee0 6e646c65 5f740000 0002d603 4854435f ndle_t......HTC_ + 71ef0 53455455 505f434f 4d504c45 54455f43 SETUP_COMPLETE_C + 71f00 42000000 039c0348 54435f43 4f4e4649 B......HTC_CONFI + 71f10 47000000 423a0a00 00431404 000e0000 G...B:...C...... + 71f20 42e7010a 0000432b 04000d01 0a000043 B.....C+.......C + 71f30 38040003 4854435f 53455256 49434500 8...HTC_SERVICE. + 71f40 0000407b 0a000043 4104000d 010a0000 ..@{...CA....... + 71f50 43590400 0d010a00 00436204 000d010a CY.......Cb..... + 71f60 0000436b 04000e00 00016101 0a000043 ..Ck......a....C + 71f70 74040009 6874635f 61706973 00340000 t...htc_apis.4.. + 71f80 44f1075f 4854435f 496e6974 00000043 D.._HTC_Init...C + 71f90 31022300 075f4854 435f5368 7574646f 1.#.._HTC_Shutdo + 71fa0 776e0000 00433a02 2304075f 4854435f wn...C:.#.._HTC_ + 71fb0 52656769 73746572 53657276 69636500 RegisterService. + 71fc0 0000435b 02230807 5f485443 5f526561 ..C[.#.._HTC_Rea + 71fd0 64790000 00433a02 230c075f 4854435f dy...C:.#.._HTC_ + 71fe0 52657475 726e4275 66666572 73000000 ReturnBuffers... + 71ff0 43640223 10075f48 54435f52 65747572 Cd.#.._HTC_Retur + 72000 6e427566 66657273 4c697374 00000043 nBuffersList...C + 72010 6d022314 075f4854 435f5365 6e644d73 m.#.._HTC_SendMs + 72020 67000000 43640223 18075f48 54435f47 g...Cd.#.._HTC_G + 72030 65745265 73657276 65644865 6164726f etReservedHeadro + 72040 6f6d0000 00437a02 231c075f 4854435f om...Cz.#.._HTC_ + 72050 4d736752 65637648 616e646c 65720000 MsgRecvHandler.. + 72060 00313a02 2320075f 4854435f 53656e64 .1:.# ._HTC_Send + 72070 446f6e65 48616e64 6c657200 00003131 DoneHandler...11 + 72080 02232407 5f485443 5f436f6e 74726f6c .#$._HTC_Control + 72090 53766350 726f6365 73734d73 67000000 SvcProcessMsg... + 720a0 420f0223 28075f48 54435f43 6f6e7472 B..#(._HTC_Contr + 720b0 6f6c5376 6350726f 63657373 53656e64 olSvcProcessSend + 720c0 436f6d70 6c657465 00000042 1802232c Complete...B..#, + 720d0 07705265 73657276 65640000 0002d602 .pReserved...... + 720e0 23300009 686f7374 5f617070 5f617265 #0..host_app_are + 720f0 615f7300 04000045 2107776d 695f7072 a_s....E!.wmi_pr + 72100 6f746f63 6f6c5f76 65720000 001f2702 otocol_ver....'. + 72110 23000008 0e000045 58076473 744d6163 #......EX.dstMac + 72120 00000025 45022300 07737263 4d616300 ...%E.#..srcMac. + 72130 00002545 02230607 74797065 4f724c65 ..%E.#..typeOrLe + 72140 6e000000 25880223 0c000400 001f8e03 n...%..#........ + 72150 00004565 05020008 08000045 b5076473 ..Ee.......E..ds + 72160 61700000 001f8e02 23000773 73617000 ap......#..ssap. + 72170 00001f8e 02230107 636e746c 0000001f .....#..cntl.... + 72180 8e022302 076f7267 436f6465 00000045 ..#..orgCode...E + 72190 58022303 07657468 65725479 70650000 X.#..etherType.. + 721a0 00258802 23060008 02000045 d6077273 .%..#......E..rs + 721b0 73690000 0024de02 23000769 6e666f00 si...$..#..info. + 721c0 00001f8e 02230100 08040000 45fd0763 .....#......E..c + 721d0 6f6d6d61 6e644964 00000025 88022300 ommandId...%..#. + 721e0 07736571 4e6f0000 00258802 23020004 .seqNo...%..#... + 721f0 00001f8e 01000046 0a050000 08020000 .......F........ + 72200 4631076d 73675369 7a650000 001f8e02 F1.msgSize...... + 72210 2300076d 73674461 74610000 0045fd02 #..msgData...E.. + 72220 23010008 08000046 78076164 64726573 #......Fx.addres + 72230 734c0000 00258802 23000761 64647265 sL...%..#..addre + 72240 73734800 00002588 02230207 76616c75 ssH...%..#..valu + 72250 654c0000 00258802 23040776 616c7565 eL...%..#..value + 72260 48000000 25880223 06000357 4d495f41 H...%..#...WMI_A + 72270 56540000 00463104 00004678 08000046 VT...F1...Fx...F + 72280 92050000 080c0000 46c90774 75706c65 ........F..tuple + 72290 4e756d4c 00000025 88022300 07747570 NumL...%..#..tup + 722a0 6c654e75 6d480000 00258802 23020761 leNumH...%..#..a + 722b0 76740000 00468502 23040008 01000046 vt...F..#......F + 722c0 eb076265 61636f6e 50656e64 696e6743 ..beaconPendingC + 722d0 6f756e74 0000001f 8e022300 00095f57 ount......#..._W + 722e0 4d495f53 56435f43 4f4e4649 47001000 MI_SVC_CONFIG... + 722f0 00475407 48746348 616e646c 65000000 .GT.HtcHandle... + 72300 42e70223 0007506f 6f6c4861 6e646c65 B..#..PoolHandle + 72310 0000003f 07022304 074d6178 436d6452 ...?..#..MaxCmdR + 72320 65706c79 45767473 00000001 61022308 eplyEvts....a.#. + 72330 074d6178 4576656e 74457674 73000000 .MaxEventEvts... + 72340 01610223 0c000d01 0a000047 54040003 .a.#.......GT... + 72350 574d495f 434d445f 48414e44 4c455200 WMI_CMD_HANDLER. + 72360 00004756 095f574d 495f4449 53504154 ..GV._WMI_DISPAT + 72370 43485f45 4e545259 00080000 47bd0770 CH_ENTRY....G..p + 72380 436d6448 616e646c 65720000 00475d02 CmdHandler...G]. + 72390 23000743 6d644944 0000001b fc022304 #..CmdID......#. + 723a0 07466c61 67730000 001bfc02 23060009 .Flags......#... + 723b0 5f574d49 5f444953 50415443 485f5441 _WMI_DISPATCH_TA + 723c0 424c4500 10000048 1e07704e 65787400 BLE....H..pNext. + 723d0 0000481e 02230007 70436f6e 74657874 ..H..#..pContext + 723e0 00000002 d6022304 074e756d 6265724f ......#..NumberO + 723f0 66456e74 72696573 00000001 61022308 fEntries....a.#. + 72400 07705461 626c6500 0000483d 02230c00 .pTable...H=.#.. + 72410 0a000047 bd040003 574d495f 44495350 ...G....WMI_DISP + 72420 41544348 5f454e54 52590000 0047720a ATCH_ENTRY...Gr. + 72430 00004825 04000a00 0047bd04 00034854 ..H%.....G....HT + 72440 435f4255 465f434f 4e544558 54000000 C_BUF_CONTEXT... + 72450 42ab1357 4d495f45 56545f43 4c415353 B..WMI_EVT_CLASS + 72460 00040000 48d51957 4d495f45 56545f43 ....H..WMI_EVT_C + 72470 4c415353 5f4e4f4e 4500ffff ffff1457 LASS_NONE......W + 72480 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 72490 5f455645 4e540000 14574d49 5f455654 _EVENT...WMI_EVT + 724a0 5f434c41 53535f43 4d445f52 45504c59 _CLASS_CMD_REPLY + 724b0 00011457 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 724c0 5f4d4158 00020003 574d495f 4556545f _MAX....WMI_EVT_ + 724d0 434c4153 53000000 4860095f 574d495f CLASS...H`._WMI_ + 724e0 4255465f 434f4e54 45585400 0c000049 BUF_CONTEXT....I + 724f0 33074874 63427566 43747800 0000484b 3.HtcBufCtx...HK + 72500 02230007 4576656e 74436c61 73730000 .#..EventClass.. + 72510 0048d502 23040746 6c616773 0000001b .H..#..Flags.... + 72520 fc022308 0003776d 695f6861 6e646c65 ..#...wmi_handle + 72530 5f740000 0002d603 574d495f 5356435f _t......WMI_SVC_ + 72540 434f4e46 49470000 0046eb0a 00004945 CONFIG...F....IE + 72550 04000e00 00493301 0a000049 60040003 .....I3....I`... + 72560 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB + 72570 4c450000 0047bd0a 0000496d 04000d01 LE...G....Im.... + 72580 0a000049 8c04000e 00002f25 010a0000 ...I....../%.... + 72590 49950400 0d010a00 0049a204 000e0000 I........I...... + 725a0 0161010a 000049ab 04000d01 0a000049 .a....I........I + 725b0 b804000e 00001be8 010a0000 49c10400 ............I... + 725c0 095f776d 695f7376 635f6170 6973002c ._wmi_svc_apis., + 725d0 00004b09 075f574d 495f496e 69740000 ..K.._WMI_Init.. + 725e0 00496602 2300075f 574d495f 52656769 .If.#.._WMI_Regi + 725f0 73746572 44697370 61746368 5461626c sterDispatchTabl + 72600 65000000 498e0223 04075f57 4d495f41 e...I..#.._WMI_A + 72610 6c6c6f63 4576656e 74000000 499b0223 llocEvent...I..# + 72620 08075f57 4d495f53 656e6445 76656e74 .._WMI_SendEvent + 72630 00000049 a402230c 075f574d 495f4765 ...I..#.._WMI_Ge + 72640 7450656e 64696e67 4576656e 7473436f tPendingEventsCo + 72650 756e7400 000049b1 02231007 5f574d49 unt...I..#.._WMI + 72660 5f53656e 64436f6d 706c6574 6548616e _SendCompleteHan + 72670 646c6572 00000042 18022314 075f574d dler...B..#.._WM + 72680 495f4765 74436f6e 74726f6c 45700000 I_GetControlEp.. + 72690 0049b102 2318075f 574d495f 53687574 .I..#.._WMI_Shut + 726a0 646f776e 00000049 ba02231c 075f574d down...I..#.._WM + 726b0 495f5265 63764d65 73736167 6548616e I_RecvMessageHan + 726c0 646c6572 00000042 0f022320 075f574d dler...B..# ._WM + 726d0 495f5365 72766963 65436f6e 6e656374 I_ServiceConnect + 726e0 00000049 c7022324 07705265 73657276 ...I..#$.pReserv + 726f0 65640000 0002d602 23280009 7a73446d ed......#(..zsDm + 72700 61446573 63001400 004b8b07 6374726c aDesc....K..ctrl + 72710 00000007 c5022300 07737461 74757300 ......#..status. + 72720 000007c5 02230207 746f7461 6c4c656e .....#..totalLen + 72730 00000007 c5022304 07646174 6153697a ......#..dataSiz + 72740 65000000 07c50223 06076c61 73744164 e......#..lastAd + 72750 64720000 004b8b02 23080764 61746141 dr...K..#..dataA + 72760 64647200 000001f4 02230c07 6e657874 ddr......#..next + 72770 41646472 0000004b 8b022310 000a0000 Addr...K..#..... + 72780 4b090400 0a00004b 09040009 7a73446d K......K....zsDm + 72790 61517565 75650008 00004bcb 07686561 aQueue....K..hea + 727a0 64000000 4b920223 00077465 726d696e d...K..#..termin + 727b0 61746f72 0000004b 92022304 00097a73 ator...K..#...zs + 727c0 5478446d 61517565 75650010 00004c2f TxDmaQueue....L/ + 727d0 07686561 64000000 4b920223 00077465 .head...K..#..te + 727e0 726d696e 61746f72 0000004b 92022304 rminator...K..#. + 727f0 07786d69 7465645f 6275665f 68656164 .xmited_buf_head + 72800 0000001d 47022308 07786d69 7465645f ....G.#..xmited_ + 72810 6275665f 7461696c 0000001d 4702230c buf_tail....G.#. + 72820 000d010a 00004c2f 04000a00 004b9904 ......L/.....K.. + 72830 000d010a 00004c3f 04000a00 004bcb04 ......L?.....K.. + 72840 000d010a 00004c4f 04000d01 0a00004c ......LO.......L + 72850 5804000d 010a0000 4c610400 0e00001d X.......La...... + 72860 47010a00 004c6a04 000d010a 00004c77 G....Lj.......Lw + 72870 04000e00 001d4701 0a00004c 8004000d ......G....L.... + 72880 010a0000 4c8d0400 0e000001 61010a00 ....L.......a... + 72890 004c9604 000e0000 4b92010a 00004ca3 .L......K.....L. + 728a0 04000d01 0a00004c b0040009 646d615f .......L....dma_ + 728b0 656e6769 6e655f61 70690040 00004e26 engine_api.@..N& + 728c0 075f696e 69740000 004c3102 2300075f ._init...L1.#.._ + 728d0 696e6974 5f72785f 71756575 65000000 init_rx_queue... + 728e0 4c410223 04075f69 6e69745f 74785f71 LA.#.._init_tx_q + 728f0 75657565 0000004c 51022308 075f636f ueue...LQ.#.._co + 72900 6e666967 5f72785f 71756575 65000000 nfig_rx_queue... + 72910 4c5a0223 0c075f78 6d69745f 62756600 LZ.#.._xmit_buf. + 72920 00004c63 02231007 5f666c75 73685f78 ..Lc.#.._flush_x + 72930 6d697400 00004c41 02231407 5f726561 mit...LA.#.._rea + 72940 705f7265 63765f62 75660000 004c7002 p_recv_buf...Lp. + 72950 2318075f 72657475 726e5f72 6563765f #.._return_recv_ + 72960 62756600 00004c79 02231c07 5f726561 buf...Ly.#.._rea + 72970 705f786d 69746564 5f627566 0000004c p_xmited_buf...L + 72980 86022320 075f7377 61705f64 61746100 ..# ._swap_data. + 72990 00004c8f 02232407 5f686173 5f636f6d ..L..#$._has_com + 729a0 706c5f70 61636b65 74730000 004c9c02 pl_packets...L.. + 729b0 2328075f 64657363 5f64756d 70000000 #(._desc_dump... + 729c0 4c410223 2c075f67 65745f70 61636b65 LA.#,._get_packe + 729d0 74000000 4ca90223 30075f72 65636c61 t...L..#0._recla + 729e0 696d5f70 61636b65 74000000 4cb20223 im_packet...L..# + 729f0 34075f70 75745f70 61636b65 74000000 4._put_packet... + 72a00 4cb20223 38077052 65736572 76656400 L..#8.pReserved. + 72a10 000002d6 02233c00 035f415f 636d6e6f .....#<.._A_cmno + 72a20 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta + 72a30 626c655f 74000000 39650357 4d495f53 ble_t...9e.WMI_S + 72a40 56435f41 50495300 000049ce 0c5f415f VC_APIS...I.._A_ + 72a50 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 72a60 6f6e5f74 61626c65 00034c00 004f5407 on_table..L..OT. + 72a70 636d6e6f 73000000 4e260223 00076462 cmnos...N&.#..db + 72a80 67000000 0d000323 b8030768 69660000 g......#...hif.. + 72a90 00323003 23c00307 68746300 00004381 .20.#...htc...C. + 72aa0 0323f803 07776d69 5f737663 5f617069 .#...wmi_svc_api + 72ab0 0000004e 480323ac 04077573 62666966 ...NH.#...usbfif + 72ac0 6f5f6170 69000000 3b390323 d8040762 o_api...;9.#...b + 72ad0 75665f70 6f6f6c00 00003fe2 0323e404 uf_pool...?..#.. + 72ae0 07766275 66000000 1d710323 80050776 .vbuf....q.#...v + 72af0 64657363 0000001c 53032394 0507616c desc....S.#...al + 72b00 6c6f6372 616d0000 00125203 23a80507 locram....R.#... + 72b10 646d615f 656e6769 6e650000 004cb903 dma_engine...L.. + 72b20 23b40507 646d615f 6c696200 000034c4 #...dma_lib...4. + 72b30 0323f405 07686966 5f706369 00000037 .#...hif_pci...7 + 72b40 240323a8 0600035f 415f6d61 67706965 $.#...._A_magpie + 72b50 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 72b60 6c655f74 0000004e 5a1a013b 7a66446d le_t...NZ..;zfDm + 72b70 61476574 5061636b 65740000 004b9201 aGetPacket...K.. + 72b80 01039201 20029000 008e5cf0 008e5d29 .... .....\...]) + 72b90 00004fb8 1b013b71 0000004c 3801521c ..O...;q...L8.R. + 72ba0 64657363 0000004b 92001d01 657a6644 desc...K....ezfD + 72bb0 6d615265 636c6169 6d506163 6b657400 maReclaimPacket. + 72bc0 01010492 01c00002 9000008e 5d2c008e ............],.. + 72bd0 5dbe0000 50331b01 65710000 004c3801 ]...P3..eq...L8. + 72be0 521b0165 64657363 0000004b 9201531c R..edesc...K..S. + 72bf0 746d7044 65736300 00004b92 1e746465 tmpDesc...K..tde + 72c00 73630000 004b0902 91401c76 64657363 sc...K...@.vdesc + 72c10 0000001c 291c7674 65726d64 65736300 ....).vtermdesc. + 72c20 00001c29 001f01b7 7a66446d 61507574 ...)....zfDmaPut + 72c30 5061636b 65740001 01049201 c0000290 Packet.......... + 72c40 00008e5d c0008e5e 5f1b01b7 71000000 ...]...^_...q... + 72c50 4c380152 1b01b764 65736300 00004b92 L8.R...desc...K. + 72c60 01531c74 6d704465 73630000 004b921e .S.tmpDesc...K.. + 72c70 74646573 63000000 4b090291 401c7664 tdesc...K...@.vd + 72c80 65736300 00001c29 1c767465 726d6465 esc....).vtermde + 72c90 73630000 001c2900 00000000 4e220002 sc....).....N".. + 72ca0 00002983 04012f72 6f6f742f 576f726b ..).../root/Work + 72cb0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 72cc0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 72cd0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 72ce0 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 72cf0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 72d00 726f6d2f 6677642f 2f667764 2e63002f rom/fwd//fwd.c./ + 72d10 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 72d20 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 72d30 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 72d40 6d2f6677 64007874 2d786363 20666f72 m/fwd.xt-xcc for + 72d50 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig + 72d60 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 + 72d70 32202d4f 32202d67 33202d4f 50543a73 2 -O2 -g3 -OPT:s + 72d80 70616365 00010000 019aa702 01030000 pace............ + 72d90 00f10400 04696e74 00050404 63686172 .....int....char + 72da0 00070105 00000101 05000001 01030000 ................ + 72db0 010e0400 06000000 fa010300 00011a04 ................ + 72dc0 00077072 696e7466 5f617069 00080000 ..printf_api.... + 72dd0 015e085f 7072696e 74665f69 6e697400 .^._printf_init. + 72de0 000000f3 02230008 5f707269 6e746600 .....#.._printf. + 72df0 00000120 02230400 0473686f 72742075 ... .#...short u + 72e00 6e736967 6e656420 696e7400 07020975 nsigned int....u + 72e10 696e7431 365f7400 0000015e 046c6f6e int16_t....^.lon + 72e20 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 72e30 04097569 6e743332 5f740000 00018207 ..uint32_t...... + 72e40 75617274 5f666966 6f000800 0001f008 uart_fifo....... + 72e50 73746172 745f696e 64657800 00000174 start_index....t + 72e60 02230008 656e645f 696e6465 78000000 .#..end_index... + 72e70 01740223 02086f76 65727275 6e5f6572 .t.#..overrun_er + 72e80 72000000 01970223 04000775 6172745f r......#...uart_ + 72e90 61706900 20000002 a9085f75 6172745f api. ....._uart_ + 72ea0 696e6974 00000003 00022300 085f7561 init......#.._ua + 72eb0 72745f63 6861725f 70757400 00000327 rt_char_put....' + 72ec0 02230408 5f756172 745f6368 61725f67 .#.._uart_char_g + 72ed0 65740000 00033b02 2308085f 75617274 et....;.#.._uart + 72ee0 5f737472 5f6f7574 00000003 4402230c _str_out....D.#. + 72ef0 085f7561 72745f74 61736b00 000000f3 ._uart_task..... + 72f00 02231008 5f756172 745f7374 61747573 .#.._uart_status + 72f10 00000003 00022314 085f7561 72745f63 ......#.._uart_c + 72f20 6f6e6669 67000000 034d0223 18085f75 onfig....M.#.._u + 72f30 6172745f 6877696e 69740000 00035602 art_hwinit....V. + 72f40 231c0003 000001f0 04000775 6172745f #..........uart_ + 72f50 626c6b00 10000002 fa086465 6275675f blk.......debug_ + 72f60 6d6f6465 00000001 74022300 08626175 mode....t.#..bau + 72f70 64000000 01740223 02085f75 61727400 d....t.#.._uart. + 72f80 000002a9 02230408 5f747800 000001a5 .....#.._tx..... + 72f90 02230800 06000001 97010300 0002fa04 .#.............. + 72fa0 0004756e 7369676e 65642063 68617200 ..unsigned char. + 72fb0 07010975 696e7438 5f740000 00030702 ...uint8_t...... + 72fc0 01030000 03250400 03000003 18040006 .....%.......... + 72fd0 00000174 01030000 03350400 02010300 ...t.....5...... + 72fe0 00034204 00020103 0000034b 04000201 ..B........K.... + 72ff0 03000003 54040003 00000101 04000600 ....T........... + 73000 0000fa01 03000003 64040007 44425f43 ........d...DB_C + 73010 4f4d4d41 4e445f53 54525543 54000c00 OMMAND_STRUCT... + 73020 0003bc08 636d645f 73747200 0000035d ....cmd_str....] + 73030 02230008 68656c70 5f737472 00000003 .#..help_str.... + 73040 5d022304 08636d64 5f66756e 63000000 ].#..cmd_func... + 73050 036a0223 08000764 62675f61 70690008 .j.#...dbg_api.. + 73060 000003ef 085f6462 675f696e 69740000 ....._dbg_init.. + 73070 0000f302 2300085f 6462675f 7461736b ....#.._dbg_task + 73080 00000000 f3022304 000a0400 04756e73 ......#......uns + 73090 69676e65 6420696e 74000704 06000003 igned int....... + 730a0 ef010300 00040204 000b0b03 00000410 ................ + 730b0 04000600 0003ef01 03000004 18040006 ................ + 730c0 000000fa 01030000 04250400 076d656d .........%...mem + 730d0 5f617069 00140000 0494085f 6d656d5f _api......._mem_ + 730e0 696e6974 00000000 f3022300 085f6d65 init......#.._me + 730f0 6d736574 00000004 08022304 085f6d65 mset......#.._me + 73100 6d637079 00000004 1e022308 085f6d65 mcpy......#.._me + 73110 6d6d6f76 65000000 041e0223 0c085f6d mmove......#.._m + 73120 656d636d 70000000 042b0223 10000c72 emcmp....+.#...r + 73130 65676973 7465725f 64756d70 5f730000 egister_dump_s.. + 73140 01030000 04940400 02010300 0004ae04 ................ + 73150 00020103 000004b7 04000600 0000fa01 ................ + 73160 03000004 c004000d 686f7374 69665f73 ........hostif_s + 73170 00040000 051c0e48 49465f55 53420000 .......HIF_USB.. + 73180 0e484946 5f504349 4500010e 4849465f .HIF_PCIE...HIF_ + 73190 474d4143 00020e48 49465f50 43490003 GMAC...HIF_PCI.. + 731a0 0e484946 5f4e554d 00040e48 49465f4e .HIF_NUM...HIF_N + 731b0 4f4e4500 05000941 5f484f53 54494600 ONE....A_HOSTIF. + 731c0 000004cd 06000005 1c010300 00052a04 ..............*. + 731d0 00060000 03180103 00000537 04000600 ...........7.... + 731e0 00017401 03000005 44040007 6d697363 ..t.....D...misc + 731f0 5f617069 00240000 0634085f 73797374 _api.$...4._syst + 73200 656d5f72 65736574 00000000 f3022300 em_reset......#. + 73210 085f6d61 635f7265 73657400 000000f3 ._mac_reset..... + 73220 02230408 5f617373 6661696c 00000004 .#.._assfail.... + 73230 b0022308 085f6d69 73616c69 676e6564 ..#.._misaligned + 73240 5f6c6f61 645f6861 6e646c65 72000000 _load_handler... + 73250 04b00223 0c085f72 65706f72 745f6661 ...#.._report_fa + 73260 696c7572 655f746f 5f686f73 74000000 ilure_to_host... + 73270 04b90223 10085f74 61726765 745f6964 ...#.._target_id + 73280 5f676574 00000004 c6022314 085f6973 _get......#.._is + 73290 5f686f73 745f7072 6573656e 74000000 _host_present... + 732a0 05300223 18085f6b 62686974 00000005 .0.#.._kbhit.... + 732b0 3d02231c 085f726f 6d5f7665 7273696f =.#.._rom_versio + 732c0 6e5f6765 74000000 054a0223 20000600 n_get....J.# ... + 732d0 00035d01 03000006 34040006 0000035d ..].....4......] + 732e0 01030000 06410400 06000000 fa010300 .....A.......... + 732f0 00064e04 00060000 00fa0103 0000065b ..N............[ + 73300 04000600 0000fa01 03000006 68040007 ............h... + 73310 73747269 6e675f61 70690018 000006ee string_api...... + 73320 085f7374 72696e67 5f696e69 74000000 ._string_init... + 73330 00f30223 00085f73 74726370 79000000 ...#.._strcpy... + 73340 063a0223 04085f73 74726e63 70790000 .:.#.._strncpy.. + 73350 00064702 2308085f 7374726c 656e0000 ..G.#.._strlen.. + 73360 00065402 230c085f 73747263 6d700000 ..T.#.._strcmp.. + 73370 00066102 2310085f 7374726e 636d7000 ..a.#.._strncmp. + 73380 0000066e 02231400 0f000003 f2140000 ...n.#.......... + 73390 06fb1004 00095f41 5f54494d 45525f53 ......_A_TIMER_S + 733a0 50414345 00000006 ee09415f 74696d65 PACE......A_time + 733b0 725f7400 000006fb 03000007 0f040002 r_t............. + 733c0 01030000 07250400 02010300 00072e04 .....%.......... + 733d0 0009415f 48414e44 4c450000 0003f202 ..A_HANDLE...... + 733e0 0109415f 54494d45 525f4655 4e430000 ..A_TIMER_FUNC.. + 733f0 00074503 00000747 04000201 03000007 ..E....G........ + 73400 60040007 74696d65 725f6170 69001400 `...timer_api... + 73410 0007df08 5f74696d 65725f69 6e697400 ...._timer_init. + 73420 000000f3 02230008 5f74696d 65725f61 .....#.._timer_a + 73430 726d0000 00072702 2304085f 74696d65 rm....'.#.._time + 73440 725f6469 7361726d 00000007 30022308 r_disarm....0.#. + 73450 085f7469 6d65725f 73657466 6e000000 ._timer_setfn... + 73460 07620223 0c085f74 696d6572 5f72756e .b.#.._timer_run + 73470 00000000 f3022310 0009424f 4f4c4541 ......#...BOOLEA + 73480 4e000000 01740600 0007df01 03000007 N....t.......... + 73490 ec040006 000007df 01030000 07f90400 ................ + 734a0 06000007 df010300 00080604 0007726f ..............ro + 734b0 6d705f61 70690010 00000878 085f726f mp_api.....x._ro + 734c0 6d705f69 6e697400 000000f3 02230008 mp_init......#.. + 734d0 5f726f6d 705f646f 776e6c6f 61640000 _romp_download.. + 734e0 0007f202 2304085f 726f6d70 5f696e73 ....#.._romp_ins + 734f0 74616c6c 00000007 ff022308 085f726f tall......#.._ro + 73500 6d705f64 65636f64 65000000 080c0223 mp_decode......# + 73510 0c000772 6f6d5f70 61746368 5f737400 ...rom_patch_st. + 73520 10000008 d4086372 63313600 00000174 ......crc16....t + 73530 02230008 6c656e00 00000174 02230208 .#..len....t.#.. + 73540 6c645f61 64647200 00000197 02230408 ld_addr......#.. + 73550 66756e5f 61646472 00000001 97022308 fun_addr......#. + 73560 08706675 6e000000 032e0223 0c000765 .pfun......#...e + 73570 65705f72 65646972 5f616464 72000400 ep_redir_addr... + 73580 00090608 6f666673 65740000 00017402 ....offset....t. + 73590 23000873 697a6500 00000174 02230200 #..size....t.#.. + 735a0 09415f55 494e5433 32000000 03f20600 .A_UINT32....... + 735b0 0003ef01 03000009 14040007 616c6c6f ............allo + 735c0 6372616d 5f617069 000c0000 09850863 cram_api.......c + 735d0 6d6e6f73 5f616c6c 6f637261 6d5f696e mnos_allocram_in + 735e0 69740000 00091a02 23000863 6d6e6f73 it......#..cmnos + 735f0 5f616c6c 6f637261 6d000000 091a0223 _allocram......# + 73600 0408636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 73610 5f646562 75670000 0000f302 23080002 _debug......#... + 73620 01030000 09850400 09415f54 41534b4c .........A_TASKL + 73630 45545f46 554e4300 00000987 075f7461 ET_FUNC......_ta + 73640 736b6c65 74001000 0009e608 66756e63 sklet.......func + 73650 00000009 8e022300 08617267 00000003 ......#..arg.... + 73660 ef022304 08737461 74650000 0000fa02 ..#..state...... + 73670 2308086e 65787400 000009e6 02230c00 #..next......#.. + 73680 03000009 a2040003 000009a2 04000941 ...............A + 73690 5f746173 6b6c6574 5f740000 0009a203 _tasklet_t...... + 736a0 000009f4 04000201 0300000a 0c040002 ................ + 736b0 01030000 0a150400 07746173 6b6c6574 .........tasklet + 736c0 5f617069 00140000 0aaa085f 7461736b _api......._task + 736d0 6c65745f 696e6974 00000000 f3022300 let_init......#. + 736e0 085f7461 736b6c65 745f696e 69745f74 ._tasklet_init_t + 736f0 61736b00 00000a0e 02230408 5f746173 ask......#.._tas + 73700 6b6c6574 5f646973 61626c65 0000000a klet_disable.... + 73710 17022308 085f7461 736b6c65 745f7363 ..#.._tasklet_sc + 73720 68656475 6c650000 000a1702 230c085f hedule......#.._ + 73730 7461736b 6c65745f 72756e00 000000f3 tasklet_run..... + 73740 02231000 02010300 000aaa04 00060000 .#.............. + 73750 09060103 00000ab3 04000201 0300000a ................ + 73760 c0040007 636c6f63 6b5f6170 69002400 ....clock_api.$. + 73770 000ba208 5f636c6f 636b5f69 6e697400 ...._clock_init. + 73780 00000aac 02230008 5f636c6f 636b7265 .....#.._clockre + 73790 67735f69 6e697400 000000f3 02230408 gs_init......#.. + 737a0 5f756172 745f6672 65717565 6e637900 _uart_frequency. + 737b0 00000ab9 02230808 5f64656c 61795f75 .....#.._delay_u + 737c0 73000000 0ac20223 0c085f77 6c616e5f s......#.._wlan_ + 737d0 62616e64 5f736574 0000000a c2022310 band_set......#. + 737e0 085f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g + 737f0 65740000 000ab902 2314085f 6d696c6c et......#.._mill + 73800 69736563 6f6e6473 0000000a b9022318 iseconds......#. + 73810 085f7379 73636c6b 5f636861 6e676500 ._sysclk_change. + 73820 000000f3 02231c08 5f636c6f 636b5f74 .....#.._clock_t + 73830 69636b00 000000f3 02232000 06000001 ick......# ..... + 73840 97010300 000ba204 0009415f 6f6c645f ..........A_old_ + 73850 696e7472 5f740000 00019706 00000baf intr_t.......... + 73860 01030000 0bc10400 02010300 000bce04 ................ + 73870 00020103 00000bd7 04000600 00019701 ................ + 73880 0300000b e0040009 415f6973 725f7400 ........A_isr_t. + 73890 00000be6 02010300 000bfa04 00060000 ................ + 738a0 03f20103 00000c03 04000201 0300000c ................ + 738b0 10040007 696e7472 5f617069 002c0000 ....intr_api.,.. + 738c0 0d32085f 696e7472 5f696e69 74000000 .2._intr_init... + 738d0 00f30223 00085f69 6e74725f 696e766f ...#.._intr_invo + 738e0 6b655f69 73720000 000ba802 2304085f ke_isr......#.._ + 738f0 696e7472 5f646973 61626c65 0000000b intr_disable.... + 73900 c7022308 085f696e 74725f72 6573746f ..#.._intr_resto + 73910 72650000 000bd002 230c085f 696e7472 re......#.._intr + 73920 5f6d6173 6b5f696e 756d0000 000bd902 _mask_inum...... + 73930 2310085f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ + 73940 696e756d 0000000b d9022314 085f696e inum......#.._in + 73950 74725f61 74746163 685f6973 72000000 tr_attach_isr... + 73960 0bfc0223 18085f67 65745f69 6e747265 ...#.._get_intre + 73970 6e61626c 65000000 0c090223 1c085f73 nable......#.._s + 73980 65745f69 6e747265 6e61626c 65000000 et_intrenable... + 73990 0c120223 20085f67 65745f69 6e747270 ...# ._get_intrp + 739a0 656e6469 6e670000 000c0902 2324085f ending......#$._ + 739b0 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr + 739c0 6c766c00 000000f3 02232800 11040000 lvl......#(..... + 739d0 0d580874 696d656f 75740000 00019702 .X.timeout...... + 739e0 23000861 6374696f 6e000000 01970223 #..action......# + 739f0 00001208 00000d73 08636d64 00000001 .......s.cmd.... + 73a00 97022300 1300000d 32022304 0009545f ..#.....2.#...T_ + 73a10 5744545f 434d4400 00000d58 02010300 WDT_CMD....X.... + 73a20 000d8204 00140400 000dd80e 454e554d ............ENUM + 73a30 5f574454 5f424f4f 5400010e 454e554d _WDT_BOOT...ENUM + 73a40 5f434f4c 445f424f 4f540002 0e454e55 _COLD_BOOT...ENU + 73a50 4d5f5355 53505f42 4f4f5400 030e454e M_SUSP_BOOT...EN + 73a60 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. + 73a70 04000954 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. + 73a80 000d8b06 00000dd8 01030000 0de90400 ................ + 73a90 07776474 5f617069 001c0000 0e8d085f .wdt_api......._ + 73aa0 7764745f 696e6974 00000000 f3022300 wdt_init......#. + 73ab0 085f7764 745f656e 61626c65 00000000 ._wdt_enable.... + 73ac0 f3022304 085f7764 745f6469 7361626c ..#.._wdt_disabl + 73ad0 65000000 00f30223 08085f77 64745f73 e......#.._wdt_s + 73ae0 65740000 000d8402 230c085f 7764745f et......#.._wdt_ + 73af0 7461736b 00000000 f3022310 085f7764 task......#.._wd + 73b00 745f7265 73657400 000000f3 02231408 t_reset......#.. + 73b10 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 73b20 000def02 23180014 0400000e f40e5245 ....#.........RE + 73b30 545f5355 43434553 5300000e 5245545f T_SUCCESS...RET_ + 73b40 4e4f545f 494e4954 00010e52 45545f4e NOT_INIT...RET_N + 73b50 4f545f45 58495354 00020e52 45545f45 OT_EXIST...RET_E + 73b60 45505f43 4f525255 50540003 0e524554 EP_CORRUPT...RET + 73b70 5f454550 5f4f5645 52464c4f 5700040e _EEP_OVERFLOW... + 73b80 5245545f 554e4b4e 4f574e00 05000954 RET_UNKNOWN....T + 73b90 5f454550 5f524554 0000000e 8d030000 _EEP_RET........ + 73ba0 01740400 0600000e f4010300 000f0a04 .t.............. + 73bb0 00060000 0ef40103 00000f17 04000765 ...............e + 73bc0 65705f61 70690010 00000f80 085f6565 ep_api......._ee + 73bd0 705f696e 69740000 0000f302 2300085f p_init......#.._ + 73be0 6565705f 72656164 0000000f 10022304 eep_read......#. + 73bf0 085f6565 705f7772 69746500 00000f10 ._eep_write..... + 73c00 02230808 5f656570 5f69735f 65786973 .#.._eep_is_exis + 73c10 74000000 0f1d0223 0c000775 73625f61 t......#...usb_a + 73c20 70690070 0000122d 085f7573 625f696e pi.p...-._usb_in + 73c30 69740000 0000f302 2300085f 7573625f it......#.._usb_ + 73c40 726f6d5f 7461736b 00000000 f3022304 rom_task......#. + 73c50 085f7573 625f6677 5f746173 6b000000 ._usb_fw_task... + 73c60 00f30223 08085f75 73625f69 6e69745f ...#.._usb_init_ + 73c70 70687900 000000f3 02230c08 5f757362 phy......#.._usb + 73c80 5f657030 5f736574 75700000 0000f302 _ep0_setup...... + 73c90 2310085f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. + 73ca0 0000f302 2314085f 7573625f 6570305f ....#.._usb_ep0_ + 73cb0 72780000 0000f302 2318085f 7573625f rx......#.._usb_ + 73cc0 6765745f 696e7465 72666163 65000000 get_interface... + 73cd0 07ff0223 1c085f75 73625f73 65745f69 ...#.._usb_set_i + 73ce0 6e746572 66616365 00000007 ff022320 nterface......# + 73cf0 085f7573 625f6765 745f636f 6e666967 ._usb_get_config + 73d00 75726174 696f6e00 000007ff 02232408 uration......#$. + 73d10 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu + 73d20 72617469 6f6e0000 0007ff02 2328085f ration......#(._ + 73d30 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd + 73d40 00000007 ff02232c 085f7573 625f7665 ......#,._usb_ve + 73d50 6e646f72 5f636d64 00000000 f3022330 ndor_cmd......#0 + 73d60 085f7573 625f706f 7765725f 6f666600 ._usb_power_off. + 73d70 000000f3 02233408 5f757362 5f726573 .....#4._usb_res + 73d80 65745f66 69666f00 000000f3 02233808 et_fifo......#8. + 73d90 5f757362 5f67656e 5f776474 00000000 _usb_gen_wdt.... + 73da0 f302233c 085f7573 625f6a75 6d705f62 ..#<._usb_jump_b + 73db0 6f6f7400 000000f3 02234008 5f757362 oot......#@._usb + 73dc0 5f636c72 5f666561 74757265 00000007 _clr_feature.... + 73dd0 ff022344 085f7573 625f7365 745f6665 ..#D._usb_set_fe + 73de0 61747572 65000000 07ff0223 48085f75 ature......#H._u + 73df0 73625f73 65745f61 64647265 73730000 sb_set_address.. + 73e00 0007ff02 234c085f 7573625f 6765745f ....#L._usb_get_ + 73e10 64657363 72697074 6f720000 0007ff02 descriptor...... + 73e20 2350085f 7573625f 6765745f 73746174 #P._usb_get_stat + 73e30 75730000 0007ff02 2354085f 7573625f us......#T._usb_ + 73e40 73657475 705f6465 73630000 0000f302 setup_desc...... + 73e50 2358085f 7573625f 7265675f 6f757400 #X._usb_reg_out. + 73e60 000000f3 02235c08 5f757362 5f737461 .....#\._usb_sta + 73e70 7475735f 696e0000 0000f302 2360085f tus_in......#`._ + 73e80 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. + 73e90 000000f3 02236408 5f757362 5f657030 .....#d._usb_ep0 + 73ea0 5f72785f 64617461 00000000 f3022368 _rx_data......#h + 73eb0 085f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. + 73ec0 0000f302 236c0007 5f564445 53430024 ....#l.._VDESC.$ + 73ed0 000012b9 086e6578 745f6465 73630000 .....next_desc.. + 73ee0 0012b902 23000862 75665f61 64647200 ....#..buf_addr. + 73ef0 000012cd 02230408 6275665f 73697a65 .....#..buf_size + 73f00 00000012 d4022308 08646174 615f6f66 ......#..data_of + 73f10 66736574 00000012 d402230a 08646174 fset......#..dat + 73f20 615f7369 7a650000 0012d402 230c0863 a_size......#..c + 73f30 6f6e7472 6f6c0000 0012d402 230e0868 ontrol......#..h + 73f40 775f6465 73635f62 75660000 0012e202 w_desc_buf...... + 73f50 23100003 0000122d 04000941 5f55494e #......-...A_UIN + 73f60 54380000 00030703 000012c0 04000941 T8.............A + 73f70 5f55494e 54313600 0000015e 0f000012 _UINT16....^.... + 73f80 c0140000 12ef1013 00030000 122d0400 .............-.. + 73f90 09564445 53430000 00122d03 000012f6 .VDESC....-..... + 73fa0 04000600 00130101 03000013 08040006 ................ + 73fb0 000012cd 01030000 13150400 02010300 ................ + 73fc0 00132204 00077664 6573635f 61706900 .."...vdesc_api. + 73fd0 14000013 9a085f69 6e697400 00000ac2 ......_init..... + 73fe0 02230008 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc + 73ff0 00000013 0e022304 085f6765 745f6877 ......#.._get_hw + 74000 5f646573 63000000 131b0223 08085f73 _desc......#.._s + 74010 7761705f 76646573 63000000 13240223 wap_vdesc....$.# + 74020 0c087052 65736572 76656400 000003ef ..pReserved..... + 74030 02231000 075f5642 55460020 000013fa .#..._VBUF. .... + 74040 08646573 635f6c69 73740000 00130102 .desc_list...... + 74050 2300086e 6578745f 62756600 000013fa #..next_buf..... + 74060 02230408 6275665f 6c656e67 74680000 .#..buf_length.. + 74070 0012d402 23080872 65736572 76656400 ....#..reserved. + 74080 00001401 02230a08 63747800 000012e2 .....#..ctx..... + 74090 02230c00 03000013 9a04000f 000012c0 .#.............. + 740a0 02000014 0e100100 03000013 9a040009 ................ + 740b0 56425546 00000013 9a030000 14150400 VBUF............ + 740c0 06000014 1f010300 00142604 00060000 ..........&..... + 740d0 141f0103 00001433 04000201 03000014 .......3........ + 740e0 40040007 76627566 5f617069 00140000 @...vbuf_api.... + 740f0 14be085f 696e6974 0000000a c2022300 ..._init......#. + 74100 085f616c 6c6f635f 76627566 00000014 ._alloc_vbuf.... + 74110 2c022304 085f616c 6c6f635f 76627566 ,.#.._alloc_vbuf + 74120 5f776974 685f7369 7a650000 00143902 _with_size....9. + 74130 2308085f 66726565 5f766275 66000000 #.._free_vbuf... + 74140 14420223 0c087052 65736572 76656400 .B.#..pReserved. + 74150 000003ef 02231000 075f5f61 64665f64 .....#...__adf_d + 74160 65766963 65000400 0014e008 64756d6d evice.......dumm + 74170 79000000 00fa0223 00000300 00090604 y......#........ + 74180 00075f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 74190 0c000015 27086275 66000000 141f0223 ....'.buf......# + 741a0 00086473 5f616464 72000000 14e00223 ..ds_addr......# + 741b0 04086473 5f6c656e 00000012 d4022308 ..ds_len......#. + 741c0 00120c00 00156108 5f5f7661 5f73746b ......a.__va_stk + 741d0 00000003 5d022300 085f5f76 615f7265 ....].#..__va_re + 741e0 67000000 035d0223 04085f5f 76615f6e g....].#..__va_n + 741f0 64780000 0000fa02 23080009 5f5f6164 dx......#...__ad + 74200 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 74210 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ + 74220 61646472 5f740000 00156109 5f5f6164 addr_t....a.__ad + 74230 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 74240 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ + 74250 73697a65 5f740000 00159107 5f5f646d size_t......__dm + 74260 615f7365 67730008 000015ed 08706164 a_segs.......pad + 74270 64720000 00157a02 2300086c 656e0000 dr....z.#..len.. + 74280 0015aa02 23040009 5f5f615f 75696e74 ....#...__a_uint + 74290 33325f74 00000009 0609615f 75696e74 32_t......a_uint + 742a0 33325f74 00000015 ed0f0000 15c10800 32_t............ + 742b0 00161c10 00000761 64665f6f 735f646d .......adf_os_dm + 742c0 616d6170 5f696e66 6f000c00 00165508 amap_info.....U. + 742d0 6e736567 73000000 15ff0223 0008646d nsegs......#..dm + 742e0 615f7365 67730000 00160f02 23040009 a_segs......#... + 742f0 5f5f615f 75696e74 385f7400 000012c0 __a_uint8_t..... + 74300 09615f75 696e7438 5f740000 00165503 .a_uint8_t....U. + 74310 00001666 0400075f 5f73675f 73656773 ...f...__sg_segs + 74320 00080000 16a70876 61646472 00000016 .......vaddr.... + 74330 75022300 086c656e 00000015 ff022304 u.#..len......#. + 74340 000f0000 167c2000 0016b410 03000761 .....| ........a + 74350 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 74360 16e7086e 73656773 00000015 ff022300 ...nsegs......#. + 74370 0873675f 73656773 00000016 a7022304 .sg_segs......#. + 74380 00121000 00173008 76656e64 6f720000 ......0.vendor.. + 74390 0015ff02 23000864 65766963 65000000 ....#..device... + 743a0 15ff0223 04087375 6276656e 646f7200 ...#..subvendor. + 743b0 000015ff 02230808 73756264 65766963 .....#..subdevic + 743c0 65000000 15ff0223 0c00046c 6f6e6720 e......#...long + 743d0 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 743e0 74000708 09415f55 494e5436 34000000 t....A_UINT64... + 743f0 1730095f 5f615f75 696e7436 345f7400 .0.__a_uint64_t. + 74400 0000174a 09615f75 696e7436 345f7400 ...J.a_uint64_t. + 74410 00001758 14040000 17b60e41 44465f4f ...X.......ADF_O + 74420 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 74430 4d454d00 000e4144 465f4f53 5f524553 MEM...ADF_OS_RES + 74440 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... + 74450 09616466 5f6f735f 7265736f 75726365 .adf_os_resource + 74460 5f747970 655f7400 0000177a 12180000 _type_t....z.... + 74470 18000873 74617274 00000017 6a022300 ...start....j.#. + 74480 08656e64 00000017 6a022308 08747970 .end....j.#..typ + 74490 65000000 17b60223 10000961 64665f6f e......#...adf_o + 744a0 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. + 744b0 0016e703 00001800 04001104 0000183f ...............? + 744c0 08706369 00000018 19022300 08726177 .pci......#..raw + 744d0 00000003 ef022300 00111000 00185e08 ......#.......^. + 744e0 70636900 00001800 02230008 72617700 pci......#..raw. + 744f0 000003ef 02230000 09616466 5f647276 .....#...adf_drv + 74500 5f68616e 646c655f 74000000 03ef0961 _handle_t......a + 74510 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 74520 00000017 d2030000 18740400 09616466 .........t...adf + 74530 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ + 74540 74000000 183f0300 00189204 00030000 t....?.......... + 74550 14be0400 095f5f61 64665f6f 735f6465 .....__adf_os_de + 74560 76696365 5f740000 0018b309 6164665f vice_t......adf_ + 74570 6f735f64 65766963 655f7400 000018ba os_device_t..... + 74580 06000018 5e010300 0018e604 00020103 ....^........... + 74590 000018f3 04000961 64665f6f 735f706d .......adf_os_pm + 745a0 5f740000 0003ef02 01030000 190d0400 _t.............. + 745b0 14040000 194d0e41 44465f4f 535f4255 .....M.ADF_OS_BU + 745c0 535f5459 50455f50 43490001 0e414446 S_TYPE_PCI...ADF + 745d0 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN + 745e0 45524943 00020009 6164665f 6f735f62 ERIC....adf_os_b + 745f0 75735f74 7970655f 74000000 19160961 us_type_t......a + 74600 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da + 74610 74615f74 00000018 20030000 03070400 ta_t.... ....... + 74620 075f6164 665f6472 765f696e 666f0020 ._adf_drv_info. + 74630 00001a2a 08647276 5f617474 61636800 ...*.drv_attach. + 74640 000018ec 02230008 6472765f 64657461 .....#..drv_deta + 74650 63680000 0018f502 23040864 72765f73 ch......#..drv_s + 74660 75737065 6e640000 00190f02 23080864 uspend......#..d + 74670 72765f72 6573756d 65000000 18f50223 rv_resume......# + 74680 0c086275 735f7479 70650000 00194d02 ..bus_type....M. + 74690 23100862 75735f64 61746100 00001964 #..bus_data....d + 746a0 02231408 6d6f645f 6e616d65 00000019 .#..mod_name.... + 746b0 7f022318 0869666e 616d6500 0000197f ..#..ifname..... + 746c0 02231c00 09616466 5f6f735f 68616e64 .#...adf_os_hand + 746d0 6c655f74 00000003 ef030000 16550400 le_t.........U.. + 746e0 02010201 095f5f61 64665f6f 735f7369 .....__adf_os_si + 746f0 7a655f74 00000003 f2140400 001a790e ze_t..........y. + 74700 415f4641 4c534500 000e415f 54525545 A_FALSE...A_TRUE + 74710 00010009 615f626f 6f6c5f74 0000001a ....a_bool_t.... + 74720 5f030000 14e70400 095f5f61 64665f6f _........__adf_o + 74730 735f646d 615f6d61 705f7400 00001a87 s_dma_map_t..... + 74740 02010d61 64665f6f 735f6361 6368655f ...adf_os_cache_ + 74750 73796e63 00040000 1b110e41 44465f53 sync.......ADF_S + 74760 594e435f 50524552 45414400 000e4144 YNC_PREREAD...AD + 74770 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. + 74780 020e4144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR + 74790 45414400 010e4144 465f5359 4e435f50 EAD...ADF_SYNC_P + 747a0 4f535457 52495445 00030009 6164665f OSTWRITE....adf_ + 747b0 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. + 747c0 00001aa8 02010961 64665f6f 735f7369 .......adf_os_si + 747d0 7a655f74 0000001a 4a060000 1b2c0109 ze_t....J....,.. + 747e0 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 747f0 0000001a 8e030000 1b450400 06000003 .........E...... + 74800 ef010300 001a8e04 00060000 03ef0102 ................ + 74810 01060000 157a0102 01047368 6f727420 .....z....short + 74820 696e7400 05020941 5f494e54 31360000 int....A_INT16.. + 74830 001b7f09 5f5f615f 696e7431 365f7400 ....__a_int16_t. + 74840 00001b8c 09615f69 6e743136 5f740000 .....a_int16_t.. + 74850 001b9904 7369676e 65642063 68617200 ....signed char. + 74860 05010941 5f494e54 38000000 1bb9095f ...A_INT8......_ + 74870 5f615f69 6e74385f 74000000 1bc80961 _a_int8_t......a + 74880 5f696e74 385f7400 00001bd4 120c0000 _int8_t......... + 74890 1c4b0873 7570706f 72746564 00000015 .K.supported.... + 748a0 ff022300 08616476 65727469 7a656400 ..#..advertized. + 748b0 000015ff 02230408 73706565 64000000 .....#..speed... + 748c0 1baa0223 08086475 706c6578 0000001b ...#..duplex.... + 748d0 e402230a 08617574 6f6e6567 00000016 ..#..autoneg.... + 748e0 6602230b 000f0000 16660600 001c5810 f.#......f....X. + 748f0 05000761 64665f6e 65745f65 74686164 ...adf_net_ethad + 74900 64720006 00001c7c 08616464 72000000 dr.....|.addr... + 74910 1c4b0223 0000095f 5f615f75 696e7431 .K.#...__a_uint1 + 74920 365f7400 000012d4 09615f75 696e7431 6_t......a_uint1 + 74930 365f7400 00001c7c 120e0000 1ce00865 6_t....|.......e + 74940 74686572 5f64686f 73740000 001c4b02 ther_dhost....K. + 74950 23000865 74686572 5f73686f 73740000 #..ether_shost.. + 74960 001c4b02 23060865 74686572 5f747970 ..K.#..ether_typ + 74970 65000000 1c8e0223 0c001214 00001da1 e......#........ + 74980 1569705f 76657273 696f6e00 00001666 .ip_version....f + 74990 01000402 23001569 705f686c 00000016 ....#..ip_hl.... + 749a0 66010404 02230008 69705f74 6f730000 f....#..ip_tos.. + 749b0 00166602 23010869 705f6c65 6e000000 ..f.#..ip_len... + 749c0 1c8e0223 02086970 5f696400 00001c8e ...#..ip_id..... + 749d0 02230408 69705f66 7261675f 6f666600 .#..ip_frag_off. + 749e0 00001c8e 02230608 69705f74 746c0000 .....#..ip_ttl.. + 749f0 00166602 23080869 705f7072 6f746f00 ..f.#..ip_proto. + 74a00 00001666 02230908 69705f63 6865636b ...f.#..ip_check + 74a10 0000001c 8e02230a 0869705f 73616464 ......#..ip_sadd + 74a20 72000000 15ff0223 0c086970 5f646164 r......#..ip_dad + 74a30 64720000 0015ff02 23100007 6164665f dr......#...adf_ + 74a40 6e65745f 766c616e 68647200 0400001d net_vlanhdr..... + 74a50 f3087470 69640000 001c8e02 23001570 ..tpid......#..p + 74a60 72696f00 00001666 01000302 23021563 rio....f....#..c + 74a70 66690000 00166601 03010223 02157669 fi....f....#..vi + 74a80 64000000 1c8e0204 0c022302 00076164 d.........#...ad + 74a90 665f6e65 745f7669 64000200 001e2415 f_net_vid.....$. + 74aa0 72657300 00001666 01000402 23001576 res....f....#..v + 74ab0 616c0000 001c8e02 040c0223 0000120c al.........#.... + 74ac0 00001e60 0872785f 62756673 697a6500 ...`.rx_bufsize. + 74ad0 000015ff 02230008 72785f6e 64657363 .....#..rx_ndesc + 74ae0 00000015 ff022304 0874785f 6e646573 ......#..tx_ndes + 74af0 63000000 15ff0223 08001208 00001e86 c......#........ + 74b00 08706f6c 6c656400 00001a79 02230008 .polled....y.#.. + 74b10 706f6c6c 5f777400 000015ff 02230400 poll_wt......#.. + 74b20 0f000016 66400000 1e93103f 00124600 ....f@.....?..F. + 74b30 001ebb08 69665f6e 616d6500 00001e86 ....if_name..... + 74b40 02230008 6465765f 61646472 0000001c .#..dev_addr.... + 74b50 4b022340 00140400 001ef20e 4144465f K.#@........ADF_ + 74b60 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI + 74b70 5400000e 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M + 74b80 41534b5f 36344249 54000100 09616466 ASK_64BIT....adf + 74b90 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. + 74ba0 001ebb07 6164665f 646d615f 696e666f ....adf_dma_info + 74bb0 00080000 1f3f0864 6d615f6d 61736b00 .....?.dma_mask. + 74bc0 00001ef2 02230008 73675f6e 73656773 .....#..sg_nsegs + 74bd0 00000015 ff022304 00140400 001f950e ......#......... + 74be0 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO + 74bf0 4e450000 0e414446 5f4e4554 5f434b53 NE...ADF_NET_CKS + 74c00 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. + 74c10 010e4144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 74c20 5443505f 5544505f 49507636 00020009 TCP_UDP_IPv6.... + 74c30 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty + 74c40 70655f74 0000001f 3f120800 001fd808 pe_t....?....... + 74c50 74785f63 6b73756d 0000001f 95022300 tx_cksum......#. + 74c60 0872785f 636b7375 6d000000 1f950223 .rx_cksum......# + 74c70 04000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 74c80 5f696e66 6f5f7400 00001faf 14040000 _info_t......... + 74c90 20310e41 44465f4e 45545f54 534f5f4e 1.ADF_NET_TSO_N + 74ca0 4f4e4500 000e4144 465f4e45 545f5453 ONE...ADF_NET_TS + 74cb0 4f5f4950 56340001 0e414446 5f4e4554 O_IPV4...ADF_NET + 74cc0 5f54534f 5f414c4c 00020009 6164665f _TSO_ALL....adf_ + 74cd0 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. + 74ce0 001ff212 10000020 8508636b 73756d5f ....... ..cksum_ + 74cf0 63617000 00001fd8 02230008 74736f00 cap......#..tso. + 74d00 00002031 02230808 766c616e 5f737570 .. 1.#..vlan_sup + 74d10 706f7274 65640000 00166602 230c0012 ported....f.#... + 74d20 20000021 1e087478 5f706163 6b657473 ..!..tx_packets + 74d30 00000015 ff022300 0872785f 7061636b ......#..rx_pack + 74d40 65747300 000015ff 02230408 74785f62 ets......#..tx_b + 74d50 79746573 00000015 ff022308 0872785f ytes......#..rx_ + 74d60 62797465 73000000 15ff0223 0c087478 bytes......#..tx + 74d70 5f64726f 70706564 00000015 ff022310 _dropped......#. + 74d80 0872785f 64726f70 70656400 000015ff .rx_dropped..... + 74d90 02231408 72785f65 72726f72 73000000 .#..rx_errors... + 74da0 15ff0223 18087478 5f657272 6f727300 ...#..tx_errors. + 74db0 000015ff 02231c00 09616466 5f6e6574 .....#...adf_net + 74dc0 5f657468 61646472 5f740000 001c5816 _ethaddr_t....X. + 74dd0 0000211e 03000000 2143107f 00176164 ..!.....!C....ad + 74de0 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 74df0 00030400 00217a08 6e656c65 6d000000 .....!z.nelem... + 74e00 15ff0223 00086d63 61737400 00002135 ...#..mcast...!5 + 74e10 02230400 09616466 5f6e6574 5f636d64 .#...adf_net_cmd + 74e20 5f6c696e 6b5f696e 666f5f74 0000001b _link_info_t.... + 74e30 f2096164 665f6e65 745f636d 645f706f ..adf_net_cmd_po + 74e40 6c6c5f69 6e666f5f 74000000 1e600961 ll_info_t....`.a + 74e50 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum + 74e60 5f696e66 6f5f7400 00001fd8 09616466 _info_t......adf + 74e70 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in + 74e80 666f5f74 0000001e 24096164 665f6e65 fo_t....$.adf_ne + 74e90 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t + 74ea0 0000001f 09096164 665f6e65 745f636d ......adf_net_cm + 74eb0 645f7669 645f7400 00001c8e 09616466 d_vid_t......adf + 74ec0 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload + 74ed0 5f636170 5f740000 00204909 6164665f _cap_t... I.adf_ + 74ee0 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. + 74ef0 00002085 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd + 74f00 5f6d6361 6464725f 74000000 21430d61 _mcaddr_t...!C.a + 74f10 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast + 74f20 5f636170 00040000 22bc0e41 44465f4e _cap...."..ADF_N + 74f30 45545f4d 43415354 5f535550 00000e41 ET_MCAST_SUP...A + 74f40 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT + 74f50 53555000 01000961 64665f6e 65745f63 SUP....adf_net_c + 74f60 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. + 74f70 00227418 03040000 238e086c 696e6b5f ."t.....#..link_ + 74f80 696e666f 00000021 7a022300 08706f6c info...!z.#..pol + 74f90 6c5f696e 666f0000 00219702 23000863 l_info...!..#..c + 74fa0 6b73756d 5f696e66 6f000000 21b40223 ksum_info...!..# + 74fb0 00087269 6e675f69 6e666f00 000021d2 ..ring_info...!. + 74fc0 02230008 646d615f 696e666f 00000021 .#..dma_info...! + 74fd0 ef022300 08766964 00000022 0b022300 ..#..vid..."..#. + 74fe0 086f6666 6c6f6164 5f636170 00000022 .offload_cap..." + 74ff0 22022300 08737461 74730000 00224102 ".#..stats..."A. + 75000 2300086d 63617374 5f696e66 6f000000 #..mcast_info... + 75010 225a0223 00086d63 6173745f 63617000 "Z.#..mcast_cap. + 75020 000022bc 02230000 14040000 23e50e41 .."..#......#..A + 75030 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 75040 5f4e4f4e 4500000e 4144465f 4e425546 _NONE...ADF_NBUF + 75050 5f52585f 434b5355 4d5f4857 00010e41 _RX_CKSUM_HW...A + 75060 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 75070 5f554e4e 45434553 53415259 00020009 _UNNECESSARY.... + 75080 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu + 75090 6d5f7479 70655f74 00000023 8e120800 m_type_t...#.... + 750a0 00242508 72657375 6c740000 0023e502 .$%.result...#.. + 750b0 23000876 616c0000 0015ff02 23040012 #..val......#... + 750c0 08000024 55087479 70650000 00203102 ...$U.type... 1. + 750d0 2300086d 73730000 001c8e02 23040868 #..mss......#..h + 750e0 64725f6f 66660000 00166602 23060007 dr_off....f.#... + 750f0 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 75100 000c0000 24940868 65616400 0000141f ....$..head..... + 75110 02230008 7461696c 00000014 1f022304 .#..tail......#. + 75120 08716c65 6e000000 15ff0223 0800095f .qlen......#..._ + 75130 5f616466 5f6e6275 665f7400 0000141f _adf_nbuf_t..... + 75140 03000016 75040003 000015ff 04000201 ....u........... + 75150 06000013 01010600 0015ff01 06000016 ................ + 75160 75010600 00167501 03000012 e2040009 u.....u......... + 75170 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 75180 5f740000 00245509 5f5f6164 665f6e62 _t...$U.__adf_nb + 75190 75665f71 75657565 5f740000 0024d503 uf_queue_t...$.. + 751a0 000024ed 04000600 00249401 06000024 ..$......$.....$ + 751b0 94011404 0000260d 0e415f53 54415455 ......&..A_STATU + 751c0 535f4f4b 00000e41 5f535441 5455535f S_OK...A_STATUS_ + 751d0 4641494c 45440001 0e415f53 54415455 FAILED...A_STATU + 751e0 535f454e 4f454e54 00020e41 5f535441 S_ENOENT...A_STA + 751f0 5455535f 454e4f4d 454d0003 0e415f53 TUS_ENOMEM...A_S + 75200 54415455 535f4549 4e56414c 00040e41 TATUS_EINVAL...A + 75210 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR + 75220 45535300 050e415f 53544154 55535f45 ESS...A_STATUS_E + 75230 4e4f5453 55505000 060e415f 53544154 NOTSUPP...A_STAT + 75240 55535f45 42555359 00070e41 5f535441 US_EBUSY...A_STA + 75250 5455535f 45324249 4700080e 415f5354 TUS_E2BIG...A_ST + 75260 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA + 75270 494c0009 0e415f53 54415455 535f454e IL...A_STATUS_EN + 75280 58494f00 0a0e415f 53544154 55535f45 XIO...A_STATUS_E + 75290 4641554c 54000b0e 415f5354 41545553 FAULT...A_STATUS + 752a0 5f45494f 000c0009 615f7374 61747573 _EIO....a_status + 752b0 5f740000 00251806 0000260d 01060000 _t...%....&..... + 752c0 00fa0102 01096164 665f6e62 75665f74 ......adf_nbuf_t + 752d0 00000024 94140400 0026720e 4144465f ...$.....&r.ADF_ + 752e0 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE + 752f0 00000e41 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR + 75300 4f4d5f44 45564943 45000100 09616466 OM_DEVICE....adf + 75310 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... + 75320 263b0600 00260d01 02010961 64665f6f &;...&.....adf_o + 75330 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. + 75340 0000161c 03000026 90040002 01020106 .......&........ + 75350 0000262b 01060000 24940102 01020106 ..&+....$....... + 75360 0000262b 01060000 24940106 0000262b ..&+....$.....&+ + 75370 01060000 24940106 0000262b 01020102 ....$.....&+.... + 75380 01060000 15ff0106 00001675 01020102 ...........u.... + 75390 01060000 1b2c0106 00001a79 01060000 .....,.....y.... + 753a0 1a790109 6164665f 6f735f73 676c6973 .y..adf_os_sglis + 753b0 745f7400 000016b4 03000027 09040002 t_t........'.... + 753c0 01020102 01060000 16750109 6164665f .........u..adf_ + 753d0 6e627566 5f717565 75655f74 00000024 nbuf_queue_t...$ + 753e0 ed030000 27310400 02010300 0024d504 ....'1.......$.. + 753f0 00020102 01020106 0000262b 01060000 ..........&+.... + 75400 24940106 000015ff 01060000 15ff0106 $............... + 75410 00001a79 01060000 1a790106 00001f95 ...y.....y...... + 75420 01060000 15ff0109 6164665f 6e627566 ........adf_nbuf + 75430 5f72785f 636b7375 6d5f7400 00002403 _rx_cksum_t...$. + 75440 03000027 8d040002 01020109 6164665f ...'........adf_ + 75450 6e627566 5f74736f 5f740000 00242503 nbuf_tso_t...$%. + 75460 000027b1 04000201 02010961 64665f6e ..'........adf_n + 75470 65745f68 616e646c 655f7400 000003ef et_handle_t..... + 75480 09616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 75490 5f740000 001da103 000027e6 04000600 _t........'..... + 754a0 00260d01 06000026 0d010201 0201075f .&.....&......._ + 754b0 4849465f 434f4e46 49470004 00002835 HIF_CONFIG....(5 + 754c0 0864756d 6d790000 0000fa02 23000002 .dummy......#... + 754d0 01030000 28350400 02010300 00283e04 ....(5.......(>. + 754e0 00075f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. + 754f0 0c000028 93087365 6e645f62 75665f64 ...(..send_buf_d + 75500 6f6e6500 00002837 02230008 72656376 one...(7.#..recv + 75510 5f627566 00000028 40022304 08636f6e _buf...(@.#..con + 75520 74657874 00000003 ef022308 00096869 text......#...hi + 75530 665f6861 6e646c65 5f740000 0003ef09 f_handle_t...... + 75540 4849465f 434f4e46 49470000 00281403 HIF_CONFIG...(.. + 75550 000028a5 04000600 00289301 03000028 ..(......(.....( + 75560 bc040002 01030000 28c90400 09484946 ........(....HIF + 75570 5f43414c 4c424143 4b000000 28470300 _CALLBACK...(G.. + 75580 0028d204 00020103 000028eb 04000600 .(........(..... + 75590 0000fa01 03000028 f4040002 01030000 .......(........ + 755a0 29010400 06000000 fa010300 00290a04 )............).. + 755b0 00020103 00002917 04000600 0000fa01 ......)......... + 755c0 03000029 20040002 01030000 292d0400 ...) .......)-.. + 755d0 07686966 5f617069 00380000 2a86085f .hif_api.8..*.._ + 755e0 696e6974 00000028 c2022300 085f7368 init...(..#.._sh + 755f0 7574646f 776e0000 0028cb02 2304085f utdown...(..#.._ + 75600 72656769 73746572 5f63616c 6c626163 register_callbac + 75610 6b000000 28ed0223 08085f67 65745f74 k...(..#.._get_t + 75620 6f74616c 5f637265 6469745f 636f756e otal_credit_coun + 75630 74000000 28fa0223 0c085f73 74617274 t...(..#.._start + 75640 00000028 cb022310 085f636f 6e666967 ...(..#.._config + 75650 5f706970 65000000 29030223 14085f73 _pipe...)..#.._s + 75660 656e645f 62756666 65720000 00291002 end_buffer...).. + 75670 2318085f 72657475 726e5f72 6563765f #.._return_recv_ + 75680 62756600 00002919 02231c08 5f69735f buf...)..#.._is_ + 75690 70697065 5f737570 706f7274 65640000 pipe_supported.. + 756a0 00292602 2320085f 6765745f 6d61785f .)&.# ._get_max_ + 756b0 6d73675f 6c656e00 00002926 02232408 msg_len...)&.#$. + 756c0 5f676574 5f726573 65727665 645f6865 _get_reserved_he + 756d0 6164726f 6f6d0000 0028fa02 2328085f adroom...(..#(._ + 756e0 6973725f 68616e64 6c657200 000028cb isr_handler...(. + 756f0 02232c08 5f676574 5f646566 61756c74 .#,._get_default + 75700 5f706970 65000000 292f0223 30087052 _pipe...)/.#0.pR + 75710 65736572 76656400 000003ef 02233400 eserved......#4. + 75720 0d646d61 5f656e67 696e6500 0400002b .dma_engine....+ + 75730 0f0e444d 415f454e 47494e45 5f525830 ..DMA_ENGINE_RX0 + 75740 00000e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 75750 3100010e 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R + 75760 58320002 0e444d41 5f454e47 494e455f X2...DMA_ENGINE_ + 75770 52583300 030e444d 415f454e 47494e45 RX3...DMA_ENGINE + 75780 5f545830 00040e44 4d415f45 4e47494e _TX0...DMA_ENGIN + 75790 455f5458 3100050e 444d415f 454e4749 E_TX1...DMA_ENGI + 757a0 4e455f4d 41580006 0009646d 615f656e NE_MAX....dma_en + 757b0 67696e65 5f740000 002a860d 646d615f gine_t...*..dma_ + 757c0 69667479 70650004 00002b5c 0e444d41 iftype....+\.DMA + 757d0 5f49465f 474d4143 00000e44 4d415f49 _IF_GMAC...DMA_I + 757e0 465f5043 4900010e 444d415f 49465f50 F_PCI...DMA_IF_P + 757f0 43494500 02000964 6d615f69 66747970 CIE....dma_iftyp + 75800 655f7400 00002b21 06000012 d4010300 e_t...+!........ + 75810 002b6e04 00020103 00002b7b 04000201 .+n.......+{.... + 75820 0300002b 84040006 00000906 01030000 ...+............ + 75830 2b8d0400 06000012 d4010300 002b9a04 +............+.. + 75840 00060000 12d40103 00002ba7 04000600 ..........+..... + 75850 00141f01 0300002b b4040002 01030000 .......+........ + 75860 2bc10400 07646d61 5f6c6962 5f617069 +....dma_lib_api + 75870 00340000 2cc80874 785f696e 69740000 .4..,..tx_init.. + 75880 002b7402 23000874 785f7374 61727400 .+t.#..tx_start. + 75890 00002b7d 02230408 72785f69 6e697400 ..+}.#..rx_init. + 758a0 00002b74 02230808 72785f63 6f6e6669 ..+t.#..rx_confi + 758b0 67000000 2b860223 0c087278 5f737461 g...+..#..rx_sta + 758c0 72740000 002b7d02 23100869 6e74725f rt...+}.#..intr_ + 758d0 73746174 75730000 002b9302 23140868 status...+..#..h + 758e0 6172645f 786d6974 0000002b a0022318 ard_xmit...+..#. + 758f0 08666c75 73685f78 6d697400 00002b7d .flush_xmit...+} + 75900 02231c08 786d6974 5f646f6e 65000000 .#..xmit_done... + 75910 2bad0223 20087265 61705f78 6d697474 +..# .reap_xmitt + 75920 65640000 002bba02 23240872 6561705f ed...+..#$.reap_ + 75930 72656376 0000002b ba022328 08726574 recv...+..#(.ret + 75940 75726e5f 72656376 0000002b c302232c urn_recv...+..#, + 75950 08726563 765f706b 74000000 2bad0223 .recv_pkt...+..# + 75960 3000075f 5f706369 5f736f66 7463000c 0..__pci_softc.. + 75970 00002ce6 08737700 000028d2 02230000 ..,..sw...(..#.. + 75980 095f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. + 75990 002cc803 00002ce6 04000201 0300002d .,....,........- + 759a0 00040006 000012c0 01030000 2d090400 ............-... + 759b0 0d686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx + 759c0 00040000 2d690e48 49465f50 43495f50 ....-i.HIF_PCI_P + 759d0 4950455f 54583000 000e4849 465f5043 IPE_TX0...HIF_PC + 759e0 495f5049 50455f54 58310001 0e484946 I_PIPE_TX1...HIF + 759f0 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX + 75a00 00020009 6869665f 7063695f 70697065 ....hif_pci_pipe + 75a10 5f74785f 74000000 2d160600 002b0f01 _tx_t...-....+.. + 75a20 0300002d 8004000d 6869665f 7063695f ...-....hif_pci_ + 75a30 70697065 5f727800 0400002e 060e4849 pipe_rx.......HI + 75a40 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. + 75a50 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 75a60 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 75a70 5f525832 00020e48 49465f50 43495f50 _RX2...HIF_PCI_P + 75a80 4950455f 52583300 030e4849 465f5043 IPE_RX3...HIF_PC + 75a90 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... + 75aa0 09686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 75ab0 5f740000 002d8d06 00002b0f 01030000 _t...-....+..... + 75ac0 2e1d0400 07686966 5f706369 5f617069 .....hif_pci_api + 75ad0 00240000 2efb0870 63695f62 6f6f745f .$.....pci_boot_ + 75ae0 696e6974 00000000 f3022300 08706369 init......#..pci + 75af0 5f696e69 74000000 28c20223 04087063 _init...(..#..pc + 75b00 695f7265 73657400 000000f3 02230808 i_reset......#.. + 75b10 7063695f 656e6162 6c650000 0000f302 pci_enable...... + 75b20 230c0870 63695f72 6561705f 786d6974 #..pci_reap_xmit + 75b30 74656400 00002d02 02231008 7063695f ted...-..#..pci_ + 75b40 72656170 5f726563 76000000 2d020223 reap_recv...-..# + 75b50 14087063 695f6765 745f7069 70650000 ..pci_get_pipe.. + 75b60 002d0f02 23180870 63695f67 65745f74 .-..#..pci_get_t + 75b70 785f656e 67000000 2d860223 1c087063 x_eng...-..#..pc + 75b80 695f6765 745f7278 5f656e67 0000002e i_get_rx_eng.... + 75b90 23022320 0007676d 61635f61 70690004 #.# ..gmac_api.. + 75ba0 00002f22 08676d61 635f626f 6f745f69 ../".gmac_boot_i + 75bb0 6e697400 000000f3 02230000 0f000003 nit......#...... + 75bc0 07060000 2f2f1005 00075f5f 65746868 ....//....__ethh + 75bd0 6472000e 00002f65 08647374 0000002f dr..../e.dst.../ + 75be0 22022300 08737263 0000002f 22022306 ".#..src.../".#. + 75bf0 08657479 70650000 0012d402 230c0007 .etype......#... + 75c00 5f5f6174 68686472 00040000 2fb31572 __athhdr..../..r + 75c10 65730000 0012c001 00020223 00157072 es.........#..pr + 75c20 6f746f00 000012c0 01020602 23000872 oto.........#..r + 75c30 65735f6c 6f000000 12c00223 01087265 es_lo......#..re + 75c40 735f6869 00000012 d4022302 00075f5f s_hi......#...__ + 75c50 676d6163 5f686472 00140000 2fef0865 gmac_hdr..../..e + 75c60 74680000 002f2f02 23000861 74680000 th...//.#..ath.. + 75c70 002f6502 230e0861 6c69676e 5f706164 ./e.#..align_pad + 75c80 00000012 d4022312 00095f5f 676d6163 ......#...__gmac + 75c90 5f686472 5f740000 002fb307 5f5f676d _hdr_t.../..__gm + 75ca0 61635f73 6f667463 00240000 30390868 ac_softc.$..09.h + 75cb0 64720000 002fef02 23000867 72616e00 dr.../..#..gran. + 75cc0 000012d4 02231408 73770000 0028d202 .....#..sw...(.. + 75cd0 2318000c 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag + 75ce0 655f6368 65636b00 00010300 00303904 e_check......09. + 75cf0 00060000 00fa0103 00003057 04000300 ..........0W.... + 75d00 0003f204 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 75d10 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 75d20 0001b800 0031a708 68616c5f 6c696e6b .....1..hal_link + 75d30 6167655f 63686563 6b000000 305d0223 age_check...0].# + 75d40 00087374 6172745f 62737300 00003064 ..start_bss...0d + 75d50 02230408 6170705f 73746172 74000000 .#..app_start... + 75d60 00f30223 08086d65 6d000000 04320223 ...#..mem....2.# + 75d70 0c086d69 73630000 00055102 23200870 ..misc....Q.# .p + 75d80 72696e74 66000000 01270223 44087561 rintf....'.#D.ua + 75d90 72740000 0001f002 234c0867 6d616300 rt......#L.gmac. + 75da0 00002efb 02236c08 75736200 00000f80 .....#l.usb..... + 75db0 02237008 636c6f63 6b000000 0ac90323 .#p.clock......# + 75dc0 e0010874 696d6572 00000007 69032384 ...timer....i.#. + 75dd0 0208696e 74720000 000c1903 23980208 ..intr......#... + 75de0 616c6c6f 6372616d 00000009 210323c4 allocram....!.#. + 75df0 0208726f 6d700000 00081303 23d00208 ..romp......#... + 75e00 7764745f 74696d65 72000000 0df60323 wdt_timer......# + 75e10 e0020865 65700000 000f2403 23fc0208 ...eep....$.#... + 75e20 73747269 6e670000 00067503 238c0308 string....u.#... + 75e30 7461736b 6c657400 00000a1e 0323a403 tasklet......#.. + 75e40 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 75e50 49470010 0000321a 08676574 5f636f6d IG....2..get_com + 75e60 6d616e64 5f627566 00000014 2c022300 mand_buf....,.#. + 75e70 08726563 765f636f 6d6d616e 64000000 .recv_command... + 75e80 14420223 04086765 745f6576 656e745f .B.#..get_event_ + 75e90 62756600 0000142c 02230808 73656e64 buf....,.#..send + 75ea0 5f657665 6e745f64 6f6e6500 00001442 _event_done....B + 75eb0 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO + 75ec0 4e464947 00000031 a7030000 321a0400 NFIG...1....2... + 75ed0 02010300 00323604 00077573 62666966 .....26...usbfif + 75ee0 6f5f6170 69000c00 00328c08 5f696e69 o_api....2.._ini + 75ef0 74000000 32380223 00085f65 6e61626c t...28.#.._enabl + 75f00 655f6576 656e745f 69737200 000000f3 e_event_isr..... + 75f10 02230408 70526573 65727665 64000000 .#..pReserved... + 75f20 03ef0223 08000f00 00166602 00003299 ...#......f...2. + 75f30 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 75f40 44520008 0000330b 08456e64 706f696e DR....3..Endpoin + 75f50 74494400 00001666 02230008 466c6167 tID....f.#..Flag + 75f60 73000000 16660223 01085061 796c6f61 s....f.#..Payloa + 75f70 644c656e 0000001c 8e022302 08436f6e dLen......#..Con + 75f80 74726f6c 42797465 73000000 328c0223 trolBytes...2..# + 75f90 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... + 75fa0 8e022306 00120200 00332408 4d657373 ..#......3$.Mess + 75fb0 61676549 44000000 1c8e0223 00001208 ageID......#.... + 75fc0 00003387 084d6573 73616765 49440000 ..3..MessageID.. + 75fd0 001c8e02 23000843 72656469 74436f75 ....#..CreditCou + 75fe0 6e740000 001c8e02 23020843 72656469 nt......#..Credi + 75ff0 7453697a 65000000 1c8e0223 04084d61 tSize......#..Ma + 76000 78456e64 706f696e 74730000 00166602 xEndpoints....f. + 76010 2306085f 50616431 00000016 66022307 #.._Pad1....f.#. + 76020 00120a00 00341e08 4d657373 61676549 .....4..MessageI + 76030 44000000 1c8e0223 00085365 72766963 D......#..Servic + 76040 65494400 00001c8e 02230208 436f6e6e eID......#..Conn + 76050 65637469 6f6e466c 61677300 00001c8e ectionFlags..... + 76060 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 76070 49440000 00166602 23060855 704c696e ID....f.#..UpLin + 76080 6b506970 65494400 00001666 02230708 kPipeID....f.#.. + 76090 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 760a0 68000000 16660223 08085f50 61643100 h....f.#.._Pad1. + 760b0 00001666 02230900 120a0000 34a6084d ...f.#......4..M + 760c0 65737361 67654944 0000001c 8e022300 essageID......#. + 760d0 08536572 76696365 49440000 001c8e02 .ServiceID...... + 760e0 23020853 74617475 73000000 16660223 #..Status....f.# + 760f0 0408456e 64706f69 6e744944 00000016 ..EndpointID.... + 76100 66022305 084d6178 4d736753 697a6500 f.#..MaxMsgSize. + 76110 00001c8e 02230608 53657276 6963654d .....#..ServiceM + 76120 6574614c 656e6774 68000000 16660223 etaLength....f.# + 76130 08085f50 61643100 00001666 02230900 .._Pad1....f.#.. + 76140 12020000 34bf084d 65737361 67654944 ....4..MessageID + 76150 0000001c 8e022300 00120400 0034fb08 ......#......4.. + 76160 4d657373 61676549 44000000 1c8e0223 MessageID......# + 76170 00085069 70654944 00000016 66022302 ..PipeID....f.#. + 76180 08437265 64697443 6f756e74 00000016 .CreditCount.... + 76190 66022303 00120400 00353208 4d657373 f.#......52.Mess + 761a0 61676549 44000000 1c8e0223 00085069 ageID......#..Pi + 761b0 70654944 00000016 66022302 08537461 peID....f.#..Sta + 761c0 74757300 00001666 02230300 12020000 tus....f.#...... + 761d0 35590852 65636f72 64494400 00001666 5Y.RecordID....f + 761e0 02230008 4c656e67 74680000 00166602 .#..Length....f. + 761f0 23010012 02000035 8308456e 64706f69 #......5..Endpoi + 76200 6e744944 00000016 66022300 08437265 ntID....f.#..Cre + 76210 64697473 00000016 66022301 00120400 dits....f.#..... + 76220 0035c408 456e6470 6f696e74 49440000 .5..EndpointID.. + 76230 00166602 23000843 72656469 74730000 ..f.#..Credits.. + 76240 00166602 23010854 67744372 65646974 ..f.#..TgtCredit + 76250 5365714e 6f000000 1c8e0223 02000f00 SeqNo......#.... + 76260 00166604 000035d1 10030012 06000036 ..f...5........6 + 76270 0d085072 6556616c 69640000 00166602 ..PreValid....f. + 76280 2300084c 6f6f6b41 68656164 00000035 #..LookAhead...5 + 76290 c4022301 08506f73 7456616c 69640000 ..#..PostValid.. + 762a0 00166602 23050009 706f6f6c 5f68616e ..f.#...pool_han + 762b0 646c655f 74000000 03ef0600 00360d01 dle_t........6.. + 762c0 03000036 20040002 01030000 362d0400 ...6 .......6-.. + 762d0 14040000 36ab0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H + 762e0 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO + 762f0 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 76300 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID + 76310 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 76320 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 76330 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 76340 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I + 76350 44000000 36360201 03000036 bc040006 D...66.....6.... + 76360 0000262b 01030000 36c50400 06000026 ..&+....6......& + 76370 2b010300 0036d204 00020103 000036df +....6........6. + 76380 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 76390 1c000037 81085f69 6e697400 00003626 ...7.._init...6& + 763a0 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 763b0 362f0223 04085f63 72656174 655f706f 6/.#.._create_po + 763c0 6f6c0000 0036be02 2308085f 616c6c6f ol...6..#.._allo + 763d0 635f6275 66000000 36cb0223 0c085f61 c_buf...6..#.._a + 763e0 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 763f0 0036d802 2310085f 66726565 5f627566 .6..#.._free_buf + 76400 00000036 e1022314 08705265 73657276 ...6..#..pReserv + 76410 65640000 0003ef02 23180007 5f485443 ed......#..._HTC + 76420 5f534552 56494345 001c0000 38600870 _SERVICE....8`.p + 76430 4e657874 00000038 60022300 0850726f Next...8`.#..Pro + 76440 63657373 52656376 4d736700 00003915 cessRecvMsg...9. + 76450 02230408 50726f63 65737353 656e6442 .#..ProcessSendB + 76460 75666665 72436f6d 706c6574 65000000 ufferComplete... + 76470 391e0223 08085072 6f636573 73436f6e 9..#..ProcessCon + 76480 6e656374 00000039 3202230c 08536572 nect...92.#..Ser + 76490 76696365 49440000 0012d402 23100853 viceID......#..S + 764a0 65727669 6365466c 61677300 000012d4 erviceFlags..... + 764b0 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz + 764c0 65000000 12d40223 14085472 61696c65 e......#..Traile + 764d0 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. + 764e0 0012d402 23160853 65727669 63654374 ....#..ServiceCt + 764f0 78000000 03ef0223 18000300 00378104 x......#.....7.. + 76500 00140400 0038fe19 454e4450 4f494e54 .....8..ENDPOINT + 76510 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END + 76520 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN + 76530 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. + 76540 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END + 76550 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN + 76560 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. + 76570 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END + 76580 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN + 76590 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END + 765a0 504f494e 545f4944 00000038 67020103 POINT_ID...8g... + 765b0 00003913 04000201 03000039 1c040003 ..9........9.... + 765c0 000000fa 04000600 0012c001 03000039 ...............9 + 765d0 2c040003 00003781 0400075f 4854435f ,.....7...._HTC_ + 765e0 434f4e46 49470014 000039b1 08437265 CONFIG....9..Cre + 765f0 64697453 697a6500 000000fa 02230008 ditSize......#.. + 76600 43726564 69744e75 6d626572 00000000 CreditNumber.... + 76610 fa022304 084f5348 616e646c 65000000 ..#..OSHandle... + 76620 1a2a0223 08084849 4648616e 646c6500 .*.#..HIFHandle. + 76630 00002893 02230c08 506f6f6c 48616e64 ..(..#..PoolHand + 76640 6c650000 00360d02 23100007 5f485443 le...6..#..._HTC + 76650 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 76660 39ed0865 6e645f70 6f696e74 00000012 9..end_point.... + 76670 c0022300 08687463 5f666c61 67730000 ..#..htc_flags.. + 76680 0012c002 23010009 6874635f 68616e64 ....#...htc_hand + 76690 6c655f74 00000003 ef094854 435f5345 le_t......HTC_SE + 766a0 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 766b0 000000f3 09485443 5f434f4e 46494700 .....HTC_CONFIG. + 766c0 00003940 0300003a 1a040006 000039ed ..9@...:......9. + 766d0 01030000 3a310400 02010300 003a3e04 ....:1.......:>. + 766e0 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... + 766f0 37810300 003a4704 00020103 00003a5f 7....:G.......:_ + 76700 04000201 0300003a 68040002 01030000 .......:h....... + 76710 3a710400 06000000 fa010300 003a7a04 :q...........:z. + 76720 00076874 635f6170 69730034 00003bf7 ..htc_apis.4..;. + 76730 085f4854 435f496e 69740000 003a3702 ._HTC_Init...:7. + 76740 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown + 76750 0000003a 40022304 085f4854 435f5265 ...:@.#.._HTC_Re + 76760 67697374 65725365 72766963 65000000 gisterService... + 76770 3a610223 08085f48 54435f52 65616479 :a.#.._HTC_Ready + 76780 0000003a 4002230c 085f4854 435f5265 ...:@.#.._HTC_Re + 76790 7475726e 42756666 65727300 00003a6a turnBuffers...:j + 767a0 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 767b0 75666665 72734c69 73740000 003a7302 uffersList...:s. + 767c0 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. + 767d0 00003a6a 02231808 5f485443 5f476574 ..:j.#.._HTC_Get + 767e0 52657365 72766564 48656164 726f6f6d ReservedHeadroom + 767f0 0000003a 8002231c 085f4854 435f4d73 ...:..#.._HTC_Ms + 76800 67526563 7648616e 646c6572 00000028 gRecvHandler...( + 76810 40022320 085f4854 435f5365 6e64446f @.# ._HTC_SendDo + 76820 6e654861 6e646c65 72000000 28370223 neHandler...(7.# + 76830 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv + 76840 6350726f 63657373 4d736700 00003915 cProcessMsg...9. + 76850 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control + 76860 53766350 726f6365 73735365 6e64436f SvcProcessSendCo + 76870 6d706c65 74650000 00391e02 232c0870 mplete...9..#,.p + 76880 52657365 72766564 00000003 ef022330 Reserved......#0 + 76890 0007686f 73745f61 70705f61 7265615f ..host_app_area_ + 768a0 73000400 003c2708 776d695f 70726f74 s....<'.wmi_prot + 768b0 6f636f6c 5f766572 00000015 ff022300 ocol_ver......#. + 768c0 00120e00 003c5e08 6473744d 61630000 .....<^.dstMac.. + 768d0 001c4b02 23000873 72634d61 63000000 ..K.#..srcMac... + 768e0 1c4b0223 06087479 70654f72 4c656e00 .K.#..typeOrLen. + 768f0 00001c8e 02230c00 0f000016 66030000 .....#......f... + 76900 3c6b1002 00120800 003cbb08 64736170 + 76aa0 5a084874 6348616e 646c6500 000039ed Z.HtcHandle...9. + 76ab0 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 76ac0 00360d02 2304084d 6178436d 64526570 .6..#..MaxCmdRep + 76ad0 6c794576 74730000 0000fa02 2308084d lyEvts......#..M + 76ae0 61784576 656e7445 76747300 000000fa axEventEvts..... + 76af0 02230c00 02010300 003e5a04 0009574d .#.......>Z...WM + 76b00 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 76b10 3e5c075f 574d495f 44495350 41544348 >\._WMI_DISPATCH + 76b20 5f454e54 52590008 00003ec3 0870436d _ENTRY....>..pCm + 76b30 6448616e 646c6572 0000003e 63022300 dHandler...>c.#. + 76b40 08436d64 49440000 0012d402 23040846 .CmdID......#..F + 76b50 6c616773 00000012 d4022306 00075f57 lags......#..._W + 76b60 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 76b70 45001000 003f2408 704e6578 74000000 E....?$.pNext... + 76b80 3f240223 00087043 6f6e7465 78740000 ?$.#..pContext.. + 76b90 0003ef02 2304084e 756d6265 724f6645 ....#..NumberOfE + 76ba0 6e747269 65730000 0000fa02 23080870 ntries......#..p + 76bb0 5461626c 65000000 3f430223 0c000300 Table...?C.#.... + 76bc0 003ec304 0009574d 495f4449 53504154 .>....WMI_DISPAT + 76bd0 43485f45 4e545259 0000003e 78030000 CH_ENTRY...>x... + 76be0 3f2b0400 0300003e c3040009 4854435f ?+.....>....HTC_ + 76bf0 4255465f 434f4e54 45585400 000039b1 BUF_CONTEXT...9. + 76c00 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 76c10 00003fdb 19574d49 5f455654 5f434c41 ..?..WMI_EVT_CLA + 76c20 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI + 76c30 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 76c40 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C + 76c50 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 76c60 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 76c70 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL + 76c80 41535300 00003f66 075f574d 495f4255 ASS...?f._WMI_BU + 76c90 465f434f 4e544558 54000c00 00403908 F_CONTEXT....@9. + 76ca0 48746342 75664374 78000000 3f510223 HtcBufCtx...?Q.# + 76cb0 00084576 656e7443 6c617373 0000003f ..EventClass...? + 76cc0 db022304 08466c61 67730000 0012d402 ..#..Flags...... + 76cd0 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t + 76ce0 00000003 ef09574d 495f5356 435f434f ......WMI_SVC_CO + 76cf0 4e464947 0000003d f1030000 404b0400 NFIG...=....@K.. + 76d00 06000040 39010300 00406604 0009574d ...@9....@f...WM + 76d10 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 76d20 0000003e c3030000 40730400 02010300 ...>....@s...... + 76d30 00409204 00060000 262b0103 0000409b .@......&+....@. + 76d40 04000201 03000040 a8040006 000000fa .......@........ + 76d50 01030000 40b10400 02010300 0040be04 ....@........@.. + 76d60 00060000 12c00103 000040c7 0400075f ..........@...._ + 76d70 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 76d80 420f085f 574d495f 496e6974 00000040 B.._WMI_Init...@ + 76d90 6c022300 085f574d 495f5265 67697374 l.#.._WMI_Regist + 76da0 65724469 73706174 63685461 626c6500 erDispatchTable. + 76db0 00004094 02230408 5f574d49 5f416c6c ..@..#.._WMI_All + 76dc0 6f634576 656e7400 000040a1 02230808 ocEvent...@..#.. + 76dd0 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 76de0 0040aa02 230c085f 574d495f 47657450 .@..#.._WMI_GetP + 76df0 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 76e00 74000000 40b70223 10085f57 4d495f53 t...@..#.._WMI_S + 76e10 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 76e20 65720000 00391e02 2314085f 574d495f er...9..#.._WMI_ + 76e30 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ + 76e40 b7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo + 76e50 776e0000 0040c002 231c085f 574d495f wn...@..#.._WMI_ + 76e60 52656376 4d657373 61676548 616e646c RecvMessageHandl + 76e70 65720000 00391502 2320085f 574d495f er...9..# ._WMI_ + 76e80 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. + 76e90 0040cd02 23240870 52657365 72766564 .@..#$.pReserved + 76ea0 00000003 ef022328 00077a73 446d6144 ......#(..zsDmaD + 76eb0 65736300 14000042 91086374 726c0000 esc....B..ctrl.. + 76ec0 00015e02 23000873 74617475 73000000 ..^.#..status... + 76ed0 015e0223 0208746f 74616c4c 656e0000 .^.#..totalLen.. + 76ee0 00015e02 23040864 61746153 697a6500 ..^.#..dataSize. + 76ef0 0000015e 02230608 6c617374 41646472 ...^.#..lastAddr + 76f00 00000042 91022308 08646174 61416464 ...B..#..dataAdd + 76f10 72000000 01820223 0c086e65 78744164 r......#..nextAd + 76f20 64720000 00429102 23100003 0000420f dr...B..#.....B. + 76f30 04000300 00420f04 00077a73 446d6151 .....B....zsDmaQ + 76f40 75657565 00080000 42d10868 65616400 ueue....B..head. + 76f50 00004298 02230008 7465726d 696e6174 ..B..#..terminat + 76f60 6f720000 00429802 23040007 7a735478 or...B..#...zsTx + 76f70 446d6151 75657565 00100000 43350868 DmaQueue....C5.h + 76f80 65616400 00004298 02230008 7465726d ead...B..#..term + 76f90 696e6174 6f720000 00429802 23040878 inator...B..#..x + 76fa0 6d697465 645f6275 665f6865 61640000 mited_buf_head.. + 76fb0 00141f02 23080878 6d697465 645f6275 ....#..xmited_bu + 76fc0 665f7461 696c0000 00141f02 230c0002 f_tail......#... + 76fd0 01030000 43350400 03000042 9f040002 ....C5.....B.... + 76fe0 01030000 43450400 03000042 d1040002 ....CE.....B.... + 76ff0 01030000 43550400 02010300 00435e04 ....CU.......C^. + 77000 00020103 00004367 04000600 00141f01 ......Cg........ + 77010 03000043 70040002 01030000 437d0400 ...Cp.......C}.. + 77020 06000014 1f010300 00438604 00020103 .........C...... + 77030 00004393 04000600 0000fa01 03000043 ..C............C + 77040 9c040006 00004298 01030000 43a90400 ......B.....C... + 77050 02010300 0043b604 0007646d 615f656e .....C....dma_en + 77060 67696e65 5f617069 00400000 452c085f gine_api.@..E,._ + 77070 696e6974 00000043 37022300 085f696e init...C7.#.._in + 77080 69745f72 785f7175 65756500 00004347 it_rx_queue...CG + 77090 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que + 770a0 75650000 00435702 2308085f 636f6e66 ue...CW.#.._conf + 770b0 69675f72 785f7175 65756500 00004360 ig_rx_queue...C` + 770c0 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... + 770d0 43690223 10085f66 6c757368 5f786d69 Ci.#.._flush_xmi + 770e0 74000000 43470223 14085f72 6561705f t...CG.#.._reap_ + 770f0 72656376 5f627566 00000043 76022318 recv_buf...Cv.#. + 77100 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 77110 66000000 437f0223 1c085f72 6561705f f...C..#.._reap_ + 77120 786d6974 65645f62 75660000 00438c02 xmited_buf...C.. + 77130 2320085f 73776170 5f646174 61000000 # ._swap_data... + 77140 43950223 24085f68 61735f63 6f6d706c C..#$._has_compl + 77150 5f706163 6b657473 00000043 a2022328 _packets...C..#( + 77160 085f6465 73635f64 756d7000 00004347 ._desc_dump...CG + 77170 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. + 77180 000043af 02233008 5f726563 6c61696d ..C..#0._reclaim + 77190 5f706163 6b657400 000043b8 02233408 _packet...C..#4. + 771a0 5f707574 5f706163 6b657400 000043b8 _put_packet...C. + 771b0 02233808 70526573 65727665 64000000 .#8.pReserved... + 771c0 03ef0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ + 771d0 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 771e0 655f7400 0000306b 09574d49 5f535643 e_t...0k.WMI_SVC + 771f0 5f415049 53000000 40d4175f 415f6d61 _APIS...@.._A_ma + 77200 67706965 5f696e64 69726563 74696f6e gpie_indirection + 77210 5f746162 6c650003 4c000046 5a08636d _table..L..FZ.cm + 77220 6e6f7300 0000452c 02230008 64626700 nos...E,.#..dbg. + 77230 000003bc 0323b803 08686966 00000029 .....#...hif...) + 77240 360323c0 03086874 63000000 3a870323 6.#...htc...:..# + 77250 f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. + 77260 00454e03 23ac0408 75736266 69666f5f .EN.#...usbfifo_ + 77270 61706900 0000323f 0323d804 08627566 api...2?.#...buf + 77280 5f706f6f 6c000000 36e80323 e4040876 _pool...6..#...v + 77290 62756600 00001449 03238005 08766465 buf....I.#...vde + 772a0 73630000 00132b03 23940508 616c6c6f sc....+.#...allo + 772b0 6372616d 00000009 210323a8 0508646d cram....!.#...dm + 772c0 615f656e 67696e65 00000043 bf0323b4 a_engine...C..#. + 772d0 0508646d 615f6c69 62000000 2bca0323 ..dma_lib...+..# + 772e0 f4050868 69665f70 63690000 002e2a03 ...hif_pci....*. + 772f0 23a80600 12080000 468e086d 6f72655f #.......F..more_ + 77300 64617461 0000001c 8e022300 086c656e data......#..len + 77310 0000001c 8e022302 086f6666 73657400 ......#..offset. + 77320 000015ff 02230400 12080000 46b00872 .....#......F..r + 77330 73700000 0015ff02 2300086f 66667365 sp......#..offse + 77340 74000000 15ff0223 0400120c 000046f7 t......#......F. + 77350 08616464 72000000 15ff0223 00086869 .addr......#..hi + 77360 665f6861 6e646c65 00000028 93022304 f_handle...(..#. + 77370 0872785f 70697065 00000016 66022308 .rx_pipe....f.#. + 77380 0874785f 70697065 00000016 66022309 .tx_pipe....f.#. + 77390 0009415f 494e5433 32000000 00fa095f ..A_INT32......_ + 773a0 5f615f69 6e743332 5f740000 0046f709 _a_int32_t...F.. + 773b0 615f696e 7433325f 74000000 4704076d a_int32_t...G..m + 773c0 64696f5f 62775f65 78656300 18000047 dio_bw_exec....G + 773d0 ac087374 6172745f 61646472 65737300 ..start_address. + 773e0 000015ff 02230008 6c656e67 74680000 .....#..length.. + 773f0 0015ff02 23040865 7865635f 61646472 ....#..exec_addr + 77400 65737300 000015ff 02230808 63686563 ess......#..chec + 77410 6b73756d 00000015 ff02230c 08667764 ksum......#..fwd + 77420 5f737461 74650000 00471502 23100863 _state...G..#..c + 77430 75727265 6e745f77 725f7074 72000000 urrent_wr_ptr... + 77440 16750223 14000600 0000fa01 03000001 .u.#............ + 77450 97040009 5f415f6d 61677069 655f696e ...._A_magpie_in + 77460 64697265 6374696f 6e5f7461 626c655f direction_table_ + 77470 74000000 45600966 77645f74 67745f73 t...E`.fwd_tgt_s + 77480 6f667463 5f740000 0046b01a 6677645f oftc_t...F..fwd_ + 77490 73630000 0047dc05 0300500b 0c010600 sc...G....P..... + 774a0 00289301 02010300 00480a04 00020103 .(.......H...... + 774b0 00004813 04000300 0047dc04 00060000 ..H......G...... + 774c0 260d0103 00001666 04000966 77645f63 &......f...fwd_c + 774d0 6d645f74 00000046 5a030000 48300400 md_t...FZ...H0.. + 774e0 03000048 30040003 00000906 04000966 ...H0..........f + 774f0 77645f72 73705f74 00000046 8e030000 wd_rsp_t...F.... + 77500 48540400 03000048 54040009 6a6d705f HT.....HT...jmp_ + 77510 66756e63 00000000 f3030000 29360400 func........)6.. + 77520 02010f00 0001011b 00004895 101a0003 ..........H..... + 77530 00004888 04000f00 00010119 000048a9 ..H...........H. + 77540 10180003 0000489c 04000201 06000000 ......H......... + 77550 fa010f00 00010129 000048c5 10280003 .......)..H..(.. + 77560 000048b8 04000f00 0001012b 000048d9 ..H........+..H. + 77570 102a0003 000048cc 04000600 0003f201 .*....H......... + 77580 096d6469 6f5f6277 5f657865 635f7400 .mdio_bw_exec_t. + 77590 00004724 03000048 e6040006 000000fa ..G$...H........ + 775a0 010f0000 01011f00 00491410 1e000300 .........I...... + 775b0 00490704 000f0000 01011c00 00492810 .I...........I(. + 775c0 1b000300 00491b04 000f0000 01011800 .....I.......... + 775d0 00493c10 17000300 00492f04 000f0000 .I<......I/..... + 775e0 01012c00 00495010 2b000300 00494304 ..,..IP.+....IC. + 775f0 000f0000 01012500 00496410 24000300 ......%..Id.$... + 77600 00495704 001b3d36 6d69695f 7265675f .IW...=6mii_reg_ + 77610 77726974 655f3332 00000000 fa010392 write_32........ + 77620 01200290 00008e5e 60008e5e 75000049 . .....^`..^u..I + 77630 b31c3d36 61646472 00000001 9701521c ..=6addr......R. + 77640 3d367661 6c000000 01740153 001d011a =6val....t.S.... + 77650 6677645f 696e6974 00000028 93010392 fwd_init...(.... + 77660 01300290 00008e5e 78008e5e b000004a .0.....^x..^...J + 77670 061e6869 66636f6e 66696700 000028d2 ..hifconfig...(. + 77680 0291501f 7265735f 68656164 726f6f6d ..P.res_headroom + 77690 00000009 061f7369 7a650000 00090600 ......size...... + 776a0 20012f66 77645f72 65746275 665f6861 ./fwd_retbuf_ha + 776b0 6e646c65 72000101 03920120 02900000 ndler...... .... + 776c0 8e5eb000 8e5ec800 004a531c 012f6275 .^...^...JS../bu + 776d0 66000000 141f0152 1c012f53 65727669 f......R../Servi + 776e0 63654374 78000000 03ef0153 00210135 ceCtx......S.!.5 + 776f0 6677645f 7467745f 70726f63 6573735f fwd_tgt_process_ + 77700 6c617374 00000026 0d010103 92012002 last...&...... . + 77710 9000008e 5ec8008e 5ef60000 4ac21c01 ....^...^...J... + 77720 3573697a 65000000 09060152 1c013563 5size......R..5c + 77730 6b73756d 00000009 0601531f 63686563 ksum......S.chec + 77740 6b73756d 00000000 fa1f696d 61676500 ksum......image. + 77750 000014e0 1f690000 0000fa00 20014666 .....i...... .Ff + 77760 77645f74 67745f72 65637600 01010392 wd_tgt_recv..... + 77770 01200290 00008e5e f8008e5f d700004b . .....^..._...K + 77780 a01c0146 6864725f 62756600 0000141f ...Fhdr_buf..... + 77790 01521c01 46627566 00000014 1f01531c .R..Fbuf......S. + 777a0 01466374 78000000 03ef0154 1f646573 .Fctx......T.des + 777b0 63000000 13011f64 61746100 00004829 c......data...H) + 777c0 1f736567 6c656e00 00000906 1f630000 .seglen......c.. + 777d0 00483f1f 6c656e00 00000906 1f6f6666 .H?.len......off + 777e0 73657400 00000906 1f6d6f72 65000000 set......more... + 777f0 09061f69 6d616765 00000048 4d1f6461 ...image...HM.da + 77800 64647200 0000484d 1f690000 0009061f ddr...HM.i...... + 77810 72000000 48631f73 74617475 73000000 r...Hc.status... + 77820 260d1f65 6c6f6300 00000906 1f66756e &..eloc......fun + 77830 63707472 00000048 71002001 926d6167 cptr...Hq. ..mag + 77840 7069655f 6d64696f 5f6d6f64 756c655f pie_mdio_module_ + 77850 696e7374 616c6c00 01010392 01200290 install...... .. + 77860 00008e5f d8008e5f fa00004b e21c0192 ..._..._...K.... + 77870 61706973 00000048 7f015200 2001a46d apis...H..R. ..m + 77880 61677069 655f6d64 696f5f62 6f6f745f agpie_mdio_boot_ + 77890 696e6974 00010103 92012002 9000008e init...... ..... + 778a0 5ffc008e 60be0000 4c1a1f76 616c0000 _...`...L..val.. + 778b0 00019700 2101cf6d 61677069 655f6d64 ....!..magpie_md + 778c0 696f5f77 6169745f 666f725f 6c6f636b io_wait_for_lock + 778d0 00000000 fa010103 92013002 9000008e ..........0..... + 778e0 60c0008e 60f90000 4c5d1e76 616c0000 `...`...L].val.. + 778f0 00017402 91500020 01dd6d61 67706965 ..t..P. ..magpie + 77900 5f6d6469 6f5f7265 6c656173 655f6c6f _mdio_release_lo + 77910 636b0001 01039201 20029000 008e60fc ck...... .....`. + 77920 008e6112 00004ca4 1c01dd65 78747261 ..a...L....extra + 77930 5f666c61 67730000 00030701 52002101 _flags......R.!. + 77940 e36d6469 6f5f7265 61645f62 6c6f636b .mdio_read_block + 77950 00000000 fa010103 92012002 9000008e .......... ..... + 77960 6114008e 61590000 4d0e1c01 e3707472 a...aY..M....ptr + 77970 00000019 7f01521c 01e36c65 6e000000 ......R...len... + 77980 00fa0153 1f6a0000 0000fa1f 6e657874 ...S.j......next + 77990 5f726561 645f7265 67000000 00fa1f76 _read_reg......v + 779a0 616c0000 00017400 2101f96d 61677069 al....t.!..magpi + 779b0 655f6d64 696f5f63 6f70795f 62797465 e_mdio_copy_byte + 779c0 73000000 00fa0101 03920120 02900000 s.......... .... + 779d0 8e615c00 8e619900 004d751c 01f9746f .a\..a...Mu...to + 779e0 00000019 7f01521c 01f96c65 6e677468 ......R...length + 779f0 00000000 fa01531f 6377696e 64657800 ......S.cwindex. + 77a00 000000fa 1f696c65 6e000000 00fa0022 .....ilen......" + 77a10 01010a66 775f636f 6d707574 655f636b ...fw_compute_ck + 77a20 73756d00 000003f2 01010392 01200290 sum.......... .. + 77a30 00008e61 9c008e61 bb00004d d0230101 ...a...a...M.#.. + 77a40 0a707472 00000030 64015223 01010a6c .ptr...0d.R#...l + 77a50 656e0000 0000fa01 531f7375 6d000000 en......S.sum... + 77a60 03f21f69 00000000 fa002401 011a6d64 ...i......$...md + 77a70 696f5f67 65745f66 775f696d 61676500 io_get_fw_image. + 77a80 000000fa 01010392 01200290 00008e61 ......... .....a + 77a90 bc008e62 64230101 1a66775f 62775f73 ...bd#...fw_bw_s + 77aa0 74617465 00000048 fa01521f 6c000000 tate...H..R.l... + 77ab0 00fa1f72 646c656e 00000000 fa000000 ...rdlen........ + 77ac0 000049eb 00020000 2b560401 2f726f6f ..I.....+V../roo + 77ad0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 77ae0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 77af0 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 77b00 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 77b10 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 77b20 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 77b30 6f6c2f73 72632f62 75665f70 6f6f6c5f ol/src/buf_pool_ + 77b40 73746174 69632e63 002f726f 6f742f57 static.c./root/W + 77b50 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 77b60 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 77b70 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + 77b80 706f6f6c 0078742d 78636320 666f7220 pool.xt-xcc for + 77b90 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 77ba0 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 77bb0 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 77bc0 61636500 01000001 abf50201 03000001 ace............. + 77bd0 0a040004 696e7400 05040463 68617200 ....int....char. + 77be0 07010500 00011a05 0000011a 03000001 ................ + 77bf0 27040006 00000113 01030000 01330400 '............3.. + 77c00 07707269 6e74665f 61706900 08000001 .printf_api..... + 77c10 77085f70 72696e74 665f696e 69740000 w._printf_init.. + 77c20 00010c02 2300085f 7072696e 74660000 ....#.._printf.. + 77c30 00013902 23040004 73686f72 7420756e ..9.#...short un + 77c40 7369676e 65642069 6e740007 02097569 signed int....ui + 77c50 6e743136 5f740000 00017704 6c6f6e67 nt16_t....w.long + 77c60 20756e73 69676e65 6420696e 74000704 unsigned int... + 77c70 0975696e 7433325f 74000000 019b0775 .uint32_t......u + 77c80 6172745f 6669666f 00080000 02090873 art_fifo.......s + 77c90 74617274 5f696e64 65780000 00018d02 tart_index...... + 77ca0 23000865 6e645f69 6e646578 00000001 #..end_index.... + 77cb0 8d022302 086f7665 7272756e 5f657272 ..#..overrun_err + 77cc0 00000001 b0022304 00077561 72745f61 ......#...uart_a + 77cd0 70690020 000002c2 085f7561 72745f69 pi. ....._uart_i + 77ce0 6e697400 00000319 02230008 5f756172 nit......#.._uar + 77cf0 745f6368 61725f70 75740000 00034002 t_char_put....@. + 77d00 2304085f 75617274 5f636861 725f6765 #.._uart_char_ge + 77d10 74000000 03540223 08085f75 6172745f t....T.#.._uart_ + 77d20 7374725f 6f757400 0000035d 02230c08 str_out....].#.. + 77d30 5f756172 745f7461 736b0000 00010c02 _uart_task...... + 77d40 2310085f 75617274 5f737461 74757300 #.._uart_status. + 77d50 00000319 02231408 5f756172 745f636f .....#.._uart_co + 77d60 6e666967 00000003 66022318 085f7561 nfig....f.#.._ua + 77d70 72745f68 77696e69 74000000 036f0223 rt_hwinit....o.# + 77d80 1c000300 00020904 00077561 72745f62 ..........uart_b + 77d90 6c6b0010 00000313 08646562 75675f6d lk.......debug_m + 77da0 6f646500 0000018d 02230008 62617564 ode......#..baud + 77db0 00000001 8d022302 085f7561 72740000 ......#.._uart.. + 77dc0 0002c202 2304085f 74780000 0001be02 ....#.._tx...... + 77dd0 23080006 000001b0 01030000 03130400 #............... + 77de0 04756e73 69676e65 64206368 61720007 .unsigned char.. + 77df0 01097569 6e74385f 74000000 03200201 ..uint8_t.... .. + 77e00 03000003 3e040003 00000331 04000600 ....>......1.... + 77e10 00018d01 03000003 4e040002 01030000 ........N....... + 77e20 035b0400 02010300 00036404 00020103 .[........d..... + 77e30 0000036d 04000300 00011a04 00060000 ...m............ + 77e40 01130103 0000037d 04000744 425f434f .......}...DB_CO + 77e50 4d4d414e 445f5354 52554354 000c0000 MMAND_STRUCT.... + 77e60 03d50863 6d645f73 74720000 00037602 ...cmd_str....v. + 77e70 23000868 656c705f 73747200 00000376 #..help_str....v + 77e80 02230408 636d645f 66756e63 00000003 .#..cmd_func.... + 77e90 83022308 00076462 675f6170 69000800 ..#...dbg_api... + 77ea0 00040808 5f646267 5f696e69 74000000 ...._dbg_init... + 77eb0 010c0223 00085f64 62675f74 61736b00 ...#.._dbg_task. + 77ec0 0000010c 02230400 0a040004 756e7369 .....#......unsi + 77ed0 676e6564 20696e74 00070406 00000408 gned int........ + 77ee0 01030000 041b0400 0b0b0300 00042904 ..............). + 77ef0 00060000 04080103 00000431 04000600 ...........1.... + 77f00 00011301 03000004 3e040007 6d656d5f ........>...mem_ + 77f10 61706900 14000004 ad085f6d 656d5f69 api......._mem_i + 77f20 6e697400 0000010c 02230008 5f6d656d nit......#.._mem + 77f30 73657400 00000421 02230408 5f6d656d set....!.#.._mem + 77f40 63707900 00000437 02230808 5f6d656d cpy....7.#.._mem + 77f50 6d6f7665 00000004 3702230c 085f6d65 move....7.#.._me + 77f60 6d636d70 00000004 44022310 000c7265 mcmp....D.#...re + 77f70 67697374 65725f64 756d705f 73000001 gister_dump_s... + 77f80 03000004 ad040002 01030000 04c70400 ................ + 77f90 02010300 0004d004 00060000 01130103 ................ + 77fa0 000004d9 04000d68 6f737469 665f7300 .......hostif_s. + 77fb0 04000005 350e4849 465f5553 4200000e ....5.HIF_USB... + 77fc0 4849465f 50434945 00010e48 49465f47 HIF_PCIE...HIF_G + 77fd0 4d414300 020e4849 465f5043 4900030e MAC...HIF_PCI... + 77fe0 4849465f 4e554d00 040e4849 465f4e4f HIF_NUM...HIF_NO + 77ff0 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. + 78000 0004e606 00000535 01030000 05430400 .......5.....C.. + 78010 06000003 31010300 00055004 00060000 ....1.....P..... + 78020 018d0103 0000055d 0400076d 6973635f .......]...misc_ + 78030 61706900 24000006 4d085f73 79737465 api.$...M._syste + 78040 6d5f7265 73657400 0000010c 02230008 m_reset......#.. + 78050 5f6d6163 5f726573 65740000 00010c02 _mac_reset...... + 78060 2304085f 61737366 61696c00 000004c9 #.._assfail..... + 78070 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ + 78080 6c6f6164 5f68616e 646c6572 00000004 load_handler.... + 78090 c902230c 085f7265 706f7274 5f666169 ..#.._report_fai + 780a0 6c757265 5f746f5f 686f7374 00000004 lure_to_host.... + 780b0 d2022310 085f7461 72676574 5f69645f ..#.._target_id_ + 780c0 67657400 000004df 02231408 5f69735f get......#.._is_ + 780d0 686f7374 5f707265 73656e74 00000005 host_present.... + 780e0 49022318 085f6b62 68697400 00000556 I.#.._kbhit....V + 780f0 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version + 78100 5f676574 00000005 63022320 00060000 _get....c.# .... + 78110 03760103 0000064d 04000600 00037601 .v.....M......v. + 78120 03000006 5a040006 00000113 01030000 ....Z........... + 78130 06670400 06000001 13010300 00067404 .g............t. + 78140 00060000 01130103 00000681 04000773 ...............s + 78150 7472696e 675f6170 69001800 00070708 tring_api....... + 78160 5f737472 696e675f 696e6974 00000001 _string_init.... + 78170 0c022300 085f7374 72637079 00000006 ..#.._strcpy.... + 78180 53022304 085f7374 726e6370 79000000 S.#.._strncpy... + 78190 06600223 08085f73 74726c65 6e000000 .`.#.._strlen... + 781a0 066d0223 0c085f73 7472636d 70000000 .m.#.._strcmp... + 781b0 067a0223 10085f73 74726e63 6d700000 .z.#.._strncmp.. + 781c0 00068702 2314000f 0000040b 14000007 ....#........... + 781d0 14100400 095f415f 54494d45 525f5350 ....._A_TIMER_SP + 781e0 41434500 00000707 09415f74 696d6572 ACE......A_timer + 781f0 5f740000 00071403 00000728 04000201 _t.........(.... + 78200 03000007 3e040002 01030000 07470400 ....>........G.. + 78210 09415f48 414e444c 45000000 040b0201 .A_HANDLE....... + 78220 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... + 78230 075e0300 00076004 00020103 00000779 .^....`........y + 78240 04000774 696d6572 5f617069 00140000 ...timer_api.... + 78250 07f8085f 74696d65 725f696e 69740000 ..._timer_init.. + 78260 00010c02 2300085f 74696d65 725f6172 ....#.._timer_ar + 78270 6d000000 07400223 04085f74 696d6572 m....@.#.._timer + 78280 5f646973 61726d00 00000749 02230808 _disarm....I.#.. + 78290 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... + 782a0 7b02230c 085f7469 6d65725f 72756e00 {.#.._timer_run. + 782b0 0000010c 02231000 09424f4f 4c45414e .....#...BOOLEAN + 782c0 00000001 8d060000 07f80103 00000805 ................ + 782d0 04000600 0007f801 03000008 12040006 ................ + 782e0 000007f8 01030000 081f0400 07726f6d .............rom + 782f0 705f6170 69001000 00089108 5f726f6d p_api......._rom + 78300 705f696e 69740000 00010c02 2300085f p_init......#.._ + 78310 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... + 78320 080b0223 04085f72 6f6d705f 696e7374 ...#.._romp_inst + 78330 616c6c00 00000818 02230808 5f726f6d all......#.._rom + 78340 705f6465 636f6465 00000008 2502230c p_decode....%.#. + 78350 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. + 78360 000008ed 08637263 31360000 00018d02 .....crc16...... + 78370 2300086c 656e0000 00018d02 2302086c #..len......#..l + 78380 645f6164 64720000 0001b002 23040866 d_addr......#..f + 78390 756e5f61 64647200 000001b0 02230808 un_addr......#.. + 783a0 7066756e 00000003 4702230c 00076565 pfun....G.#...ee + 783b0 705f7265 6469725f 61646472 00040000 p_redir_addr.... + 783c0 091f086f 66667365 74000000 018d0223 ...offset......# + 783d0 00087369 7a650000 00018d02 23020009 ..size......#... + 783e0 415f5549 4e543332 00000004 0b060000 A_UINT32........ + 783f0 04080103 0000092d 04000761 6c6c6f63 .......-...alloc + 78400 72616d5f 61706900 0c000009 9e08636d ram_api.......cm + 78410 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini + 78420 74000000 09330223 0008636d 6e6f735f t....3.#..cmnos_ + 78430 616c6c6f 6372616d 00000009 33022304 allocram....3.#. + 78440 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ + 78450 64656275 67000000 010c0223 08000201 debug......#.... + 78460 03000009 9e040009 415f5441 534b4c45 ........A_TASKLE + 78470 545f4655 4e430000 0009a007 5f746173 T_FUNC......_tas + 78480 6b6c6574 00100000 09ff0866 756e6300 klet.......func. + 78490 000009a7 02230008 61726700 00000408 .....#..arg..... + 784a0 02230408 73746174 65000000 01130223 .#..state......# + 784b0 08086e65 78740000 0009ff02 230c0003 ..next......#... + 784c0 000009bb 04000300 0009bb04 0009415f ..............A_ + 784d0 7461736b 6c65745f 74000000 09bb0300 tasklet_t....... + 784e0 000a0d04 00020103 00000a25 04000201 ...........%.... + 784f0 0300000a 2e040007 7461736b 6c65745f ........tasklet_ + 78500 61706900 1400000a c3085f74 61736b6c api......._taskl + 78510 65745f69 6e697400 0000010c 02230008 et_init......#.. + 78520 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta + 78530 736b0000 000a2702 2304085f 7461736b sk....'.#.._task + 78540 6c65745f 64697361 626c6500 00000a30 let_disable....0 + 78550 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch + 78560 6564756c 65000000 0a300223 0c085f74 edule....0.#.._t + 78570 61736b6c 65745f72 756e0000 00010c02 asklet_run...... + 78580 23100002 01030000 0ac30400 06000009 #............... + 78590 1f010300 000acc04 00020103 00000ad9 ................ + 785a0 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. + 785b0 0bbb085f 636c6f63 6b5f696e 69740000 ..._clock_init.. + 785c0 000ac502 2300085f 636c6f63 6b726567 ....#.._clockreg + 785d0 735f696e 69740000 00010c02 2304085f s_init......#.._ + 785e0 75617274 5f667265 7175656e 63790000 uart_frequency.. + 785f0 000ad202 2308085f 64656c61 795f7573 ....#.._delay_us + 78600 0000000a db02230c 085f776c 616e5f62 ......#.._wlan_b + 78610 616e645f 73657400 00000adb 02231008 and_set......#.. + 78620 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 78630 74000000 0ad20223 14085f6d 696c6c69 t......#.._milli + 78640 7365636f 6e647300 00000ad2 02231808 seconds......#.. + 78650 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 78660 00010c02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti + 78670 636b0000 00010c02 23200006 000001b0 ck......# ...... + 78680 01030000 0bbb0400 09415f6f 6c645f69 .........A_old_i + 78690 6e74725f 74000000 01b00600 000bc801 ntr_t........... + 786a0 0300000b da040002 01030000 0be70400 ................ + 786b0 02010300 000bf004 00060000 01b00103 ................ + 786c0 00000bf9 04000941 5f697372 5f740000 .......A_isr_t.. + 786d0 000bff02 01030000 0c130400 06000004 ................ + 786e0 0b010300 000c1c04 00020103 00000c29 ...............) + 786f0 04000769 6e74725f 61706900 2c00000d ...intr_api.,... + 78700 4b085f69 6e74725f 696e6974 00000001 K._intr_init.... + 78710 0c022300 085f696e 74725f69 6e766f6b ..#.._intr_invok + 78720 655f6973 72000000 0bc10223 04085f69 e_isr......#.._i + 78730 6e74725f 64697361 626c6500 00000be0 ntr_disable..... + 78740 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor + 78750 65000000 0be90223 0c085f69 6e74725f e......#.._intr_ + 78760 6d61736b 5f696e75 6d000000 0bf20223 mask_inum......# + 78770 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 78780 6e756d00 00000bf2 02231408 5f696e74 num......#.._int + 78790 725f6174 74616368 5f697372 0000000c r_attach_isr.... + 787a0 15022318 085f6765 745f696e 7472656e ..#.._get_intren + 787b0 61626c65 0000000c 2202231c 085f7365 able....".#.._se + 787c0 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 787d0 2b022320 085f6765 745f696e 74727065 +.# ._get_intrpe + 787e0 6e64696e 67000000 0c220223 24085f75 nding....".#$._u + 787f0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 78800 766c0000 00010c02 23280011 0400000d vl......#(...... + 78810 71087469 6d656f75 74000000 01b00223 q.timeout......# + 78820 00086163 74696f6e 00000001 b0022300 ..action......#. + 78830 00120800 000d8c08 636d6400 000001b0 ........cmd..... + 78840 02230013 00000d4b 02230400 09545f57 .#.....K.#...T_W + 78850 44545f43 4d440000 000d7102 01030000 DT_CMD....q..... + 78860 0d9b0400 14040000 0df10e45 4e554d5f ...........ENUM_ + 78870 5744545f 424f4f54 00010e45 4e554d5f WDT_BOOT...ENUM_ + 78880 434f4c44 5f424f4f 5400020e 454e554d COLD_BOOT...ENUM + 78890 5f535553 505f424f 4f540003 0e454e55 _SUSP_BOOT...ENU + 788a0 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 788b0 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 788c0 0da40600 000df101 0300000e 02040007 ................ + 788d0 7764745f 61706900 1c00000e a6085f77 wdt_api......._w + 788e0 64745f69 6e697400 0000010c 02230008 dt_init......#.. + 788f0 5f776474 5f656e61 626c6500 0000010c _wdt_enable..... + 78900 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable + 78910 00000001 0c022308 085f7764 745f7365 ......#.._wdt_se + 78920 74000000 0d9d0223 0c085f77 64745f74 t......#.._wdt_t + 78930 61736b00 0000010c 02231008 5f776474 ask......#.._wdt + 78940 5f726573 65740000 00010c02 2314085f _reset......#.._ + 78950 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 78960 0e080223 18001404 00000f0d 0e524554 ...#.........RET + 78970 5f535543 43455353 00000e52 45545f4e _SUCCESS...RET_N + 78980 4f545f49 4e495400 010e5245 545f4e4f OT_INIT...RET_NO + 78990 545f4558 49535400 020e5245 545f4545 T_EXIST...RET_EE + 789a0 505f434f 52525550 5400030e 5245545f P_CORRUPT...RET_ + 789b0 4545505f 4f564552 464c4f57 00040e52 EEP_OVERFLOW...R + 789c0 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ + 789d0 4545505f 52455400 00000ea6 03000001 EEP_RET......... + 789e0 8d040006 00000f0d 01030000 0f230400 .............#.. + 789f0 0600000f 0d010300 000f3004 00076565 ..........0...ee + 78a00 705f6170 69001000 000f9908 5f656570 p_api......._eep + 78a10 5f696e69 74000000 010c0223 00085f65 _init......#.._e + 78a20 65705f72 65616400 00000f29 02230408 ep_read....).#.. + 78a30 5f656570 5f777269 74650000 000f2902 _eep_write....). + 78a40 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist + 78a50 0000000f 3602230c 00077573 625f6170 ....6.#...usb_ap + 78a60 69007000 00124608 5f757362 5f696e69 i.p...F._usb_ini + 78a70 74000000 010c0223 00085f75 73625f72 t......#.._usb_r + 78a80 6f6d5f74 61736b00 0000010c 02230408 om_task......#.. + 78a90 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... + 78aa0 0c022308 085f7573 625f696e 69745f70 ..#.._usb_init_p + 78ab0 68790000 00010c02 230c085f 7573625f hy......#.._usb_ + 78ac0 6570305f 73657475 70000000 010c0223 ep0_setup......# + 78ad0 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 78ae0 010c0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r + 78af0 78000000 010c0223 18085f75 73625f67 x......#.._usb_g + 78b00 65745f69 6e746572 66616365 00000008 et_interface.... + 78b10 1802231c 085f7573 625f7365 745f696e ..#.._usb_set_in + 78b20 74657266 61636500 00000818 02232008 terface......# . + 78b30 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 78b40 72617469 6f6e0000 00081802 2324085f ration......#$._ + 78b50 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 78b60 6174696f 6e000000 08180223 28085f75 ation......#(._u + 78b70 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 78b80 00000818 02232c08 5f757362 5f76656e .....#,._usb_ven + 78b90 646f725f 636d6400 0000010c 02233008 dor_cmd......#0. + 78ba0 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 78bb0 00010c02 2334085f 7573625f 72657365 ....#4._usb_rese + 78bc0 745f6669 666f0000 00010c02 2338085f t_fifo......#8._ + 78bd0 7573625f 67656e5f 77647400 0000010c usb_gen_wdt..... + 78be0 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 78bf0 6f740000 00010c02 2340085f 7573625f ot......#@._usb_ + 78c00 636c725f 66656174 75726500 00000818 clr_feature..... + 78c10 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea + 78c20 74757265 00000008 18022348 085f7573 ture......#H._us + 78c30 625f7365 745f6164 64726573 73000000 b_set_address... + 78c40 08180223 4c085f75 73625f67 65745f64 ...#L._usb_get_d + 78c50 65736372 6970746f 72000000 08180223 escriptor......# + 78c60 50085f75 73625f67 65745f73 74617475 P._usb_get_statu + 78c70 73000000 08180223 54085f75 73625f73 s......#T._usb_s + 78c80 65747570 5f646573 63000000 010c0223 etup_desc......# + 78c90 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 78ca0 00010c02 235c085f 7573625f 73746174 ....#\._usb_stat + 78cb0 75735f69 6e000000 010c0223 60085f75 us_in......#`._u + 78cc0 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 78cd0 00010c02 2364085f 7573625f 6570305f ....#d._usb_ep0_ + 78ce0 72785f64 61746100 0000010c 02236808 rx_data......#h. + 78cf0 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 78d00 010c0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. + 78d10 0012d208 6e657874 5f646573 63000000 ....next_desc... + 78d20 12d20223 00086275 665f6164 64720000 ...#..buf_addr.. + 78d30 0012e602 23040862 75665f73 697a6500 ....#..buf_size. + 78d40 000012ed 02230808 64617461 5f6f6666 .....#..data_off + 78d50 73657400 000012ed 02230a08 64617461 set......#..data + 78d60 5f73697a 65000000 12ed0223 0c08636f _size......#..co + 78d70 6e74726f 6c000000 12ed0223 0e086877 ntrol......#..hw + 78d80 5f646573 635f6275 66000000 12fb0223 _desc_buf......# + 78d90 10000300 00124604 0009415f 55494e54 ......F...A_UINT + 78da0 38000000 03200300 0012d904 0009415f 8.... ........A_ + 78db0 55494e54 31360000 0001770f 000012d9 UINT16....w..... + 78dc0 14000013 08101300 03000012 46040009 ............F... + 78dd0 56444553 43000000 12460300 00130f04 VDESC....F...... + 78de0 00060000 131a0103 00001321 04000600 ...........!.... + 78df0 0012e601 03000013 2e040002 01030000 ................ + 78e00 133b0400 07766465 73635f61 70690014 .;...vdesc_api.. + 78e10 000013b3 085f696e 69740000 000adb02 ....._init...... + 78e20 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 78e30 00001327 02230408 5f676574 5f68775f ...'.#.._get_hw_ + 78e40 64657363 00000013 34022308 085f7377 desc....4.#.._sw + 78e50 61705f76 64657363 00000013 3d02230c ap_vdesc....=.#. + 78e60 08705265 73657276 65640000 00040802 .pReserved...... + 78e70 23100007 5f564255 46002000 00141308 #..._VBUF. ..... + 78e80 64657363 5f6c6973 74000000 131a0223 desc_list......# + 78e90 00086e65 78745f62 75660000 00141302 ..next_buf...... + 78ea0 23040862 75665f6c 656e6774 68000000 #..buf_length... + 78eb0 12ed0223 08087265 73657276 65640000 ...#..reserved.. + 78ec0 00141a02 230a0863 74780000 0012fb02 ....#..ctx...... + 78ed0 230c0003 000013b3 04000f00 0012d902 #............... + 78ee0 00001427 10010003 000013b3 04000956 ...'...........V + 78ef0 42554600 000013b3 03000014 2e040006 BUF............. + 78f00 00001438 01030000 143f0400 06000014 ...8.....?...... + 78f10 38010300 00144c04 00020103 00001459 8.....L........Y + 78f20 04000776 6275665f 61706900 14000014 ...vbuf_api..... + 78f30 d7085f69 6e697400 00000adb 02230008 .._init......#.. + 78f40 5f616c6c 6f635f76 62756600 00001445 _alloc_vbuf....E + 78f50 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 78f60 77697468 5f73697a 65000000 14520223 with_size....R.# + 78f70 08085f66 7265655f 76627566 00000014 .._free_vbuf.... + 78f80 5b02230c 08705265 73657276 65640000 [.#..pReserved.. + 78f90 00040802 23100007 5f5f6164 665f6465 ....#...__adf_de + 78fa0 76696365 00040000 14f90864 756d6d79 vice.......dummy + 78fb0 00000001 13022300 00030000 091f0400 ......#......... + 78fc0 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 78fd0 00001540 08627566 00000014 38022300 ...@.buf....8.#. + 78fe0 0864735f 61646472 00000014 f9022304 .ds_addr......#. + 78ff0 0864735f 6c656e00 000012ed 02230800 .ds_len......#.. + 79000 120c0000 157a085f 5f76615f 73746b00 .....z.__va_stk. + 79010 00000376 02230008 5f5f7661 5f726567 ...v.#..__va_reg + 79020 00000003 76022304 085f5f76 615f6e64 ....v.#..__va_nd + 79030 78000000 01130223 0800095f 5f616466 x......#...__adf + 79040 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 79050 00091f09 6164665f 6f735f64 6d615f61 ....adf_os_dma_a + 79060 6464725f 74000000 157a095f 5f616466 ddr_t....z.__adf + 79070 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 79080 00091f09 6164665f 6f735f64 6d615f73 ....adf_os_dma_s + 79090 697a655f 74000000 15aa075f 5f646d61 ize_t......__dma + 790a0 5f736567 73000800 00160608 70616464 _segs.......padd + 790b0 72000000 15930223 00086c65 6e000000 r......#..len... + 790c0 15c30223 0400095f 5f615f75 696e7433 ...#...__a_uint3 + 790d0 325f7400 0000091f 09615f75 696e7433 2_t......a_uint3 + 790e0 325f7400 00001606 0f000015 da080000 2_t............. + 790f0 16351000 00076164 665f6f73 5f646d61 .5....adf_os_dma + 79100 6d61705f 696e666f 000c0000 166e086e map_info.....n.n + 79110 73656773 00000016 18022300 08646d61 segs......#..dma + 79120 5f736567 73000000 16280223 0400095f _segs....(.#..._ + 79130 5f615f75 696e7438 5f740000 0012d909 _a_uint8_t...... + 79140 615f7569 6e74385f 74000000 166e0300 a_uint8_t....n.. + 79150 00167f04 00075f5f 73675f73 65677300 ......__sg_segs. + 79160 08000016 c0087661 64647200 0000168e ......vaddr..... + 79170 02230008 6c656e00 00001618 02230400 .#..len......#.. + 79180 0f000016 95200000 16cd1003 00076164 ..... ........ad + 79190 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... + 791a0 00086e73 65677300 00001618 02230008 ..nsegs......#.. + 791b0 73675f73 65677300 000016c0 02230400 sg_segs......#.. + 791c0 12100000 17490876 656e646f 72000000 .....I.vendor... + 791d0 16180223 00086465 76696365 00000016 ...#..device.... + 791e0 18022304 08737562 76656e64 6f720000 ..#..subvendor.. + 791f0 00161802 23080873 75626465 76696365 ....#..subdevice + 79200 00000016 1802230c 00046c6f 6e67206c ......#...long l + 79210 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 79220 00070809 415f5549 4e543634 00000017 ....A_UINT64.... + 79230 49095f5f 615f7569 6e743634 5f740000 I.__a_uint64_t.. + 79240 00176309 615f7569 6e743634 5f740000 ..c.a_uint64_t.. + 79250 00177114 04000017 cf0e4144 465f4f53 ..q.......ADF_OS + 79260 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 79270 454d0000 0e414446 5f4f535f 5245534f EM...ADF_OS_RESO + 79280 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... + 79290 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 792a0 74797065 5f740000 00179312 18000018 type_t.......... + 792b0 19087374 61727400 00001783 02230008 ..start......#.. + 792c0 656e6400 00001783 02230808 74797065 end......#..type + 792d0 00000017 cf022310 00096164 665f6f73 ......#...adf_os + 792e0 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 792f0 17000300 00181904 00110400 00185808 ..............X. + 79300 70636900 00001832 02230008 72617700 pci....2.#..raw. + 79310 00000408 02230000 11100000 18770870 .....#.......w.p + 79320 63690000 00181902 23000872 61770000 ci......#..raw.. + 79330 00040802 23000009 6164665f 6472765f ....#...adf_drv_ + 79340 68616e64 6c655f74 00000004 08096164 handle_t......ad + 79350 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 79360 000017eb 03000018 8d040009 6164665f ............adf_ + 79370 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 79380 00000018 58030000 18ab0400 03000014 ....X........... + 79390 d7040009 5f5f6164 665f6f73 5f646576 ....__adf_os_dev + 793a0 6963655f 74000000 18cc0961 64665f6f ice_t......adf_o + 793b0 735f6465 76696365 5f740000 0018d306 s_device_t...... + 793c0 00001877 01030000 18ff0400 02010300 ...w............ + 793d0 00190c04 00096164 665f6f73 5f706d5f ......adf_os_pm_ + 793e0 74000000 04080201 03000019 26040014 t...........&... + 793f0 04000019 660e4144 465f4f53 5f425553 ....f.ADF_OS_BUS + 79400 5f545950 455f5043 4900010e 4144465f _TYPE_PCI...ADF_ + 79410 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 79420 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu + 79430 735f7479 70655f74 00000019 2f096164 s_type_t..../.ad + 79440 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 79450 615f7400 00001839 03000003 20040007 a_t....9.... ... + 79460 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 79470 001a4308 6472765f 61747461 63680000 ..C.drv_attach.. + 79480 00190502 23000864 72765f64 65746163 ....#..drv_detac + 79490 68000000 190e0223 04086472 765f7375 h......#..drv_su + 794a0 7370656e 64000000 19280223 08086472 spend....(.#..dr + 794b0 765f7265 73756d65 00000019 0e02230c v_resume......#. + 794c0 08627573 5f747970 65000000 19660223 .bus_type....f.# + 794d0 10086275 735f6461 74610000 00197d02 ..bus_data....}. + 794e0 2314086d 6f645f6e 616d6500 00001998 #..mod_name..... + 794f0 02231808 69666e61 6d650000 00199802 .#..ifname...... + 79500 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl + 79510 655f7400 00000408 03000016 6e040002 e_t.........n... + 79520 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 79530 655f7400 0000040b 14040000 1a920e41 e_t............A + 79540 5f46414c 53450000 0e415f54 52554500 _FALSE...A_TRUE. + 79550 01000961 5f626f6f 6c5f7400 00001a78 ...a_bool_t....x + 79560 03000015 00040009 5f5f6164 665f6f73 ........__adf_os + 79570 5f646d61 5f6d6170 5f740000 001aa002 _dma_map_t...... + 79580 010d6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 79590 796e6300 0400001b 2a0e4144 465f5359 ync.....*.ADF_SY + 795a0 4e435f50 52455245 41440000 0e414446 NC_PREREAD...ADF + 795b0 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 795c0 0e414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 795d0 41440001 0e414446 5f53594e 435f504f AD...ADF_SYNC_PO + 795e0 53545752 49544500 03000961 64665f6f STWRITE....adf_o + 795f0 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 79600 001ac102 01096164 665f6f73 5f73697a ......adf_os_siz + 79610 655f7400 00001a63 0600001b 45010961 e_t....c....E..a + 79620 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 79630 00001aa7 0300001b 5e040006 00000408 ........^....... + 79640 01030000 1aa70400 06000004 08010201 ................ + 79650 06000015 93010201 0473686f 72742069 .........short i + 79660 6e740005 0209415f 494e5431 36000000 nt....A_INT16... + 79670 1b98095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 79680 001ba509 615f696e 7431365f 74000000 ....a_int16_t... + 79690 1bb20473 69676e65 64206368 61720005 ...signed char.. + 796a0 0109415f 494e5438 0000001b d2095f5f ..A_INT8......__ + 796b0 615f696e 74385f74 0000001b e109615f a_int8_t......a_ + 796c0 696e7438 5f740000 001bed12 0c00001c int8_t.......... + 796d0 64087375 70706f72 74656400 00001618 d.supported..... + 796e0 02230008 61647665 7274697a 65640000 .#..advertized.. + 796f0 00161802 23040873 70656564 0000001b ....#..speed.... + 79700 c3022308 08647570 6c657800 00001bfd ..#..duplex..... + 79710 02230a08 6175746f 6e656700 0000167f .#..autoneg..... + 79720 02230b00 0f000016 7f060000 1c711005 .#...........q.. + 79730 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 79740 72000600 001c9508 61646472 0000001c r.......addr.... + 79750 64022300 00095f5f 615f7569 6e743136 d.#...__a_uint16 + 79760 5f740000 0012ed09 615f7569 6e743136 _t......a_uint16 + 79770 5f740000 001c9512 0e00001c f9086574 _t............et + 79780 6865725f 64686f73 74000000 1c640223 her_dhost....d.# + 79790 00086574 6865725f 73686f73 74000000 ..ether_shost... + 797a0 1c640223 06086574 6865725f 74797065 .d.#..ether_type + 797b0 0000001c a702230c 00121400 001dba15 ......#......... + 797c0 69705f76 65727369 6f6e0000 00167f01 ip_version...... + 797d0 00040223 00156970 5f686c00 0000167f ...#..ip_hl..... + 797e0 01040402 23000869 705f746f 73000000 ....#..ip_tos... + 797f0 167f0223 01086970 5f6c656e 0000001c ...#..ip_len.... + 79800 a7022302 0869705f 69640000 001ca702 ..#..ip_id...... + 79810 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. + 79820 001ca702 23060869 705f7474 6c000000 ....#..ip_ttl... + 79830 167f0223 08086970 5f70726f 746f0000 ...#..ip_proto.. + 79840 00167f02 23090869 705f6368 65636b00 ....#..ip_check. + 79850 00001ca7 02230a08 69705f73 61646472 .....#..ip_saddr + 79860 00000016 1802230c 0869705f 64616464 ......#..ip_dadd + 79870 72000000 16180223 10000761 64665f6e r......#...adf_n + 79880 65745f76 6c616e68 64720004 00001e0c et_vlanhdr...... + 79890 08747069 64000000 1ca70223 00157072 .tpid......#..pr + 798a0 696f0000 00167f01 00030223 02156366 io.........#..cf + 798b0 69000000 167f0103 01022302 15766964 i.........#..vid + 798c0 0000001c a702040c 02230200 07616466 .........#...adf + 798d0 5f6e6574 5f766964 00020000 1e3d1572 _net_vid.....=.r + 798e0 65730000 00167f01 00040223 00157661 es.........#..va + 798f0 6c000000 1ca70204 0c022300 00120c00 l.........#..... + 79900 001e7908 72785f62 75667369 7a650000 ..y.rx_bufsize.. + 79910 00161802 23000872 785f6e64 65736300 ....#..rx_ndesc. + 79920 00001618 02230408 74785f6e 64657363 .....#..tx_ndesc + 79930 00000016 18022308 00120800 001e9f08 ......#......... + 79940 706f6c6c 65640000 001a9202 23000870 polled......#..p + 79950 6f6c6c5f 77740000 00161802 2304000f oll_wt......#... + 79960 0000167f 4000001e ac103f00 12460000 ....@.....?..F.. + 79970 1ed40869 665f6e61 6d650000 001e9f02 ...if_name...... + 79980 23000864 65765f61 64647200 00001c64 #..dev_addr....d + 79990 02234000 14040000 1f0b0e41 44465f4f .#@........ADF_O + 799a0 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 799b0 00000e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 799c0 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ + 799d0 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 799e0 1ed40761 64665f64 6d615f69 6e666f00 ...adf_dma_info. + 799f0 0800001f 5808646d 615f6d61 736b0000 ....X.dma_mask.. + 79a00 001f0b02 23000873 675f6e73 65677300 ....#..sg_nsegs. + 79a10 00001618 02230400 14040000 1fae0e41 .....#.........A + 79a20 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 79a30 4500000e 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 79a40 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 79a50 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 79a60 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a + 79a70 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 79a80 655f7400 00001f58 12080000 1ff10874 e_t....X.......t + 79a90 785f636b 73756d00 00001fae 02230008 x_cksum......#.. + 79aa0 72785f63 6b73756d 0000001f ae022304 rx_cksum......#. + 79ab0 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 79ac0 696e666f 5f740000 001fc814 04000020 info_t......... + 79ad0 4a0e4144 465f4e45 545f5453 4f5f4e4f J.ADF_NET_TSO_NO + 79ae0 4e450000 0e414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 79af0 5f495056 3400010e 4144465f 4e45545f _IPV4...ADF_NET_ + 79b00 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n + 79b10 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 79b20 200b1210 0000209e 08636b73 756d5f63 ..... ..cksum_c + 79b30 61700000 001ff102 23000874 736f0000 ap......#..tso.. + 79b40 00204a02 23080876 6c616e5f 73757070 . J.#..vlan_supp + 79b50 6f727465 64000000 167f0223 0c001220 orted......#... + 79b60 00002137 0874785f 7061636b 65747300 ..!7.tx_packets. + 79b70 00001618 02230008 72785f70 61636b65 .....#..rx_packe + 79b80 74730000 00161802 23040874 785f6279 ts......#..tx_by + 79b90 74657300 00001618 02230808 72785f62 tes......#..rx_b + 79ba0 79746573 00000016 1802230c 0874785f ytes......#..tx_ + 79bb0 64726f70 70656400 00001618 02231008 dropped......#.. + 79bc0 72785f64 726f7070 65640000 00161802 rx_dropped...... + 79bd0 23140872 785f6572 726f7273 00000016 #..rx_errors.... + 79be0 18022318 0874785f 6572726f 72730000 ..#..tx_errors.. + 79bf0 00161802 231c0009 6164665f 6e65745f ....#...adf_net_ + 79c00 65746861 6464725f 74000000 1c711600 ethaddr_t....q.. + 79c10 00213703 00000021 5c107f00 17616466 .!7....!\....adf + 79c20 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 79c30 03040000 2193086e 656c656d 00000016 ....!..nelem.... + 79c40 18022300 086d6361 73740000 00214e02 ..#..mcast...!N. + 79c50 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 79c60 6c696e6b 5f696e66 6f5f7400 00001c0b link_info_t..... + 79c70 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 79c80 6c5f696e 666f5f74 0000001e 79096164 l_info_t....y.ad + 79c90 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 79ca0 696e666f 5f740000 001ff109 6164665f info_t......adf_ + 79cb0 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 79cc0 6f5f7400 00001e3d 09616466 5f6e6574 o_t....=.adf_net + 79cd0 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 79ce0 00001f22 09616466 5f6e6574 5f636d64 ...".adf_net_cmd + 79cf0 5f766964 5f740000 001ca709 6164665f _vid_t......adf_ + 79d00 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 79d10 6361705f 74000000 20620961 64665f6e cap_t... b.adf_n + 79d20 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 79d30 00209e09 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 79d40 6d636164 64725f74 00000021 5c0d6164 mcaddr_t...!\.ad + 79d50 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 79d60 63617000 04000022 d50e4144 465f4e45 cap...."..ADF_NE + 79d70 545f4d43 4153545f 53555000 000e4144 T_MCAST_SUP...AD + 79d80 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 79d90 55500001 00096164 665f6e65 745f636d UP....adf_net_cm + 79da0 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 79db0 228d1803 04000023 a7086c69 6e6b5f69 "......#..link_i + 79dc0 6e666f00 00002193 02230008 706f6c6c nfo...!..#..poll + 79dd0 5f696e66 6f000000 21b00223 0008636b _info...!..#..ck + 79de0 73756d5f 696e666f 00000021 cd022300 sum_info...!..#. + 79df0 0872696e 675f696e 666f0000 0021eb02 .ring_info...!.. + 79e00 23000864 6d615f69 6e666f00 00002208 #..dma_info...". + 79e10 02230008 76696400 00002224 02230008 .#..vid..."$.#.. + 79e20 6f66666c 6f61645f 63617000 0000223b offload_cap..."; + 79e30 02230008 73746174 73000000 225a0223 .#..stats..."Z.# + 79e40 00086d63 6173745f 696e666f 00000022 ..mcast_info..." + 79e50 73022300 086d6361 73745f63 61700000 s.#..mcast_cap.. + 79e60 0022d502 23000014 04000023 fe0e4144 ."..#......#..AD + 79e70 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 79e80 4e4f4e45 00000e41 44465f4e 4255465f NONE...ADF_NBUF_ + 79e90 52585f43 4b53554d 5f485700 010e4144 RX_CKSUM_HW...AD + 79ea0 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 79eb0 554e4e45 43455353 41525900 02000961 UNNECESSARY....a + 79ec0 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 79ed0 5f747970 655f7400 000023a7 12080000 _type_t...#..... + 79ee0 243e0872 6573756c 74000000 23fe0223 $>.result...#..# + 79ef0 00087661 6c000000 16180223 04001208 ..val......#.... + 79f00 0000246e 08747970 65000000 204a0223 ..$n.type... J.# + 79f10 00086d73 73000000 1ca70223 04086864 ..mss......#..hd + 79f20 725f6f66 66000000 167f0223 0600075f r_off......#..._ + 79f30 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 79f40 0c000024 ad086865 61640000 00143802 ...$..head....8. + 79f50 23000874 61696c00 00001438 02230408 #..tail....8.#.. + 79f60 716c656e 00000016 18022308 00095f5f qlen......#...__ + 79f70 6164665f 6e627566 5f740000 00143803 adf_nbuf_t....8. + 79f80 0000168e 04000300 00161804 00020106 ................ + 79f90 0000131a 01060000 16180106 0000168e ................ + 79fa0 01060000 168e0103 000012fb 0400095f ..............._ + 79fb0 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 79fc0 74000000 246e095f 5f616466 5f6e6275 t...$n.__adf_nbu + 79fd0 665f7175 6575655f 74000000 24ee0300 f_queue_t...$... + 79fe0 00250604 00060000 24ad0106 000024ad .%......$.....$. + 79ff0 01140400 0026260e 415f5354 41545553 .....&&.A_STATUS + 7a000 5f4f4b00 000e415f 53544154 55535f46 _OK...A_STATUS_F + 7a010 41494c45 4400010e 415f5354 41545553 AILED...A_STATUS + 7a020 5f454e4f 454e5400 020e415f 53544154 _ENOENT...A_STAT + 7a030 55535f45 4e4f4d45 4d00030e 415f5354 US_ENOMEM...A_ST + 7a040 41545553 5f45494e 56414c00 040e415f ATUS_EINVAL...A_ + 7a050 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 7a060 53530005 0e415f53 54415455 535f454e SS...A_STATUS_EN + 7a070 4f545355 50500006 0e415f53 54415455 OTSUPP...A_STATU + 7a080 535f4542 55535900 070e415f 53544154 S_EBUSY...A_STAT + 7a090 55535f45 32424947 00080e41 5f535441 US_E2BIG...A_STA + 7a0a0 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 7a0b0 4c00090e 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 7a0c0 494f000a 0e415f53 54415455 535f4546 IO...A_STATUS_EF + 7a0d0 41554c54 000b0e41 5f535441 5455535f AULT...A_STATUS_ + 7a0e0 45494f00 0c000961 5f737461 7475735f EIO....a_status_ + 7a0f0 74000000 25310600 00262601 06000001 t...%1...&&..... + 7a100 13010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. + 7a110 000024ad 14040000 268b0e41 44465f4f ..$.....&..ADF_O + 7a120 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 7a130 000e4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 7a140 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ + 7a150 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 7a160 54060000 26260102 01096164 665f6f73 T...&&....adf_os + 7a170 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 7a180 00163503 000026a9 04000201 02010600 ..5...&......... + 7a190 00264401 06000024 ad010201 02010600 .&D....$........ + 7a1a0 00264401 06000024 ad010600 00264401 .&D....$.....&D. + 7a1b0 06000024 ad010600 00264401 02010201 ...$.....&D..... + 7a1c0 06000016 18010600 00168e01 02010201 ................ + 7a1d0 0600001b 45010600 001a9201 0600001a ....E........... + 7a1e0 92010961 64665f6f 735f7367 6c697374 ...adf_os_sglist + 7a1f0 5f740000 0016cd03 00002722 04000201 _t........'".... + 7a200 02010201 06000016 8e010961 64665f6e ...........adf_n + 7a210 6275665f 71756575 655f7400 00002506 buf_queue_t...%. + 7a220 03000027 4a040002 01030000 24ee0400 ...'J.......$... + 7a230 02010201 02010600 00264401 06000024 .........&D....$ + 7a240 ad010600 00161801 06000016 18010600 ................ + 7a250 001a9201 0600001a 92010600 001fae01 ................ + 7a260 06000016 18010961 64665f6e 6275665f .......adf_nbuf_ + 7a270 72785f63 6b73756d 5f740000 00241c03 rx_cksum_t...$.. + 7a280 000027a6 04000201 02010961 64665f6e ..'........adf_n + 7a290 6275665f 74736f5f 74000000 243e0300 buf_tso_t...$>.. + 7a2a0 0027ca04 00020102 01096164 665f6e65 .'........adf_ne + 7a2b0 745f6861 6e646c65 5f740000 00040809 t_handle_t...... + 7a2c0 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 7a2d0 74000000 1dba0300 0027ff04 00060000 t........'...... + 7a2e0 26260106 00002626 01020102 01075f48 &&....&&......_H + 7a2f0 49465f43 4f4e4649 47000400 00284e08 IF_CONFIG....(N. + 7a300 64756d6d 79000000 01130223 00000201 dummy......#.... + 7a310 03000028 4e040002 01030000 28570400 ...(N.......(W.. + 7a320 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 7a330 000028ac 0873656e 645f6275 665f646f ..(..send_buf_do + 7a340 6e650000 00285002 23000872 6563765f ne...(P.#..recv_ + 7a350 62756600 00002859 02230408 636f6e74 buf...(Y.#..cont + 7a360 65787400 00000408 02230800 09686966 ext......#...hif + 7a370 5f68616e 646c655f 74000000 04080948 _handle_t......H + 7a380 49465f43 4f4e4649 47000000 282d0300 IF_CONFIG...(-.. + 7a390 0028be04 00060000 28ac0103 000028d5 .(......(.....(. + 7a3a0 04000201 03000028 e2040009 4849465f .......(....HIF_ + 7a3b0 43414c4c 4241434b 00000028 60030000 CALLBACK...(`... + 7a3c0 28eb0400 02010300 00290404 00060000 (........)...... + 7a3d0 01130103 0000290d 04000201 03000029 ......)........) + 7a3e0 1a040006 00000113 01030000 29230400 ............)#.. + 7a3f0 02010300 00293004 00060000 01130103 .....)0......... + 7a400 00002939 04000201 03000029 46040007 ..)9.......)F... + 7a410 6869665f 61706900 3800002a 9f085f69 hif_api.8..*.._i + 7a420 6e697400 000028db 02230008 5f736875 nit...(..#.._shu + 7a430 74646f77 6e000000 28e40223 04085f72 tdown...(..#.._r + 7a440 65676973 7465725f 63616c6c 6261636b egister_callback + 7a450 00000029 06022308 085f6765 745f746f ...)..#.._get_to + 7a460 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 7a470 00000029 1302230c 085f7374 61727400 ...)..#.._start. + 7a480 000028e4 02231008 5f636f6e 6669675f ..(..#.._config_ + 7a490 70697065 00000029 1c022314 085f7365 pipe...)..#.._se + 7a4a0 6e645f62 75666665 72000000 29290223 nd_buffer...)).# + 7a4b0 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 7a4c0 75660000 00293202 231c085f 69735f70 uf...)2.#.._is_p + 7a4d0 6970655f 73757070 6f727465 64000000 ipe_supported... + 7a4e0 293f0223 20085f67 65745f6d 61785f6d )?.# ._get_max_m + 7a4f0 73675f6c 656e0000 00293f02 2324085f sg_len...)?.#$._ + 7a500 6765745f 72657365 72766564 5f686561 get_reserved_hea + 7a510 64726f6f 6d000000 29130223 28085f69 droom...)..#(._i + 7a520 73725f68 616e646c 65720000 0028e402 sr_handler...(.. + 7a530 232c085f 6765745f 64656661 756c745f #,._get_default_ + 7a540 70697065 00000029 48022330 08705265 pipe...)H.#0.pRe + 7a550 73657276 65640000 00040802 2334000d served......#4.. + 7a560 646d615f 656e6769 6e650004 00002b28 dma_engine....+( + 7a570 0e444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 7a580 000e444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 7a590 00010e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 7a5a0 3200020e 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 7a5b0 58330003 0e444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 7a5c0 54583000 040e444d 415f454e 47494e45 TX0...DMA_ENGINE + 7a5d0 5f545831 00050e44 4d415f45 4e47494e _TX1...DMA_ENGIN + 7a5e0 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng + 7a5f0 696e655f 74000000 2a9f0d64 6d615f69 ine_t...*..dma_i + 7a600 66747970 65000400 002b750e 444d415f ftype....+u.DMA_ + 7a610 49465f47 4d414300 000e444d 415f4946 IF_GMAC...DMA_IF + 7a620 5f504349 00010e44 4d415f49 465f5043 _PCI...DMA_IF_PC + 7a630 49450002 0009646d 615f6966 74797065 IE....dma_iftype + 7a640 5f740000 002b3a06 000012ed 01030000 _t...+:......... + 7a650 2b870400 02010300 002b9404 00020103 +........+...... + 7a660 00002b9d 04000600 00091f01 0300002b ..+............+ + 7a670 a6040006 000012ed 01030000 2bb30400 ............+... + 7a680 06000012 ed010300 002bc004 00060000 .........+...... + 7a690 14380103 00002bcd 04000201 0300002b .8....+........+ + 7a6a0 da040007 646d615f 6c69625f 61706900 ....dma_lib_api. + 7a6b0 3400002c e1087478 5f696e69 74000000 4..,..tx_init... + 7a6c0 2b8d0223 00087478 5f737461 72740000 +..#..tx_start.. + 7a6d0 002b9602 23040872 785f696e 69740000 .+..#..rx_init.. + 7a6e0 002b8d02 23080872 785f636f 6e666967 .+..#..rx_config + 7a6f0 0000002b 9f02230c 0872785f 73746172 ...+..#..rx_star + 7a700 74000000 2b960223 1008696e 74725f73 t...+..#..intr_s + 7a710 74617475 73000000 2bac0223 14086861 tatus...+..#..ha + 7a720 72645f78 6d697400 00002bb9 02231808 rd_xmit...+..#.. + 7a730 666c7573 685f786d 69740000 002b9602 flush_xmit...+.. + 7a740 231c0878 6d69745f 646f6e65 0000002b #..xmit_done...+ + 7a750 c6022320 08726561 705f786d 69747465 ..# .reap_xmitte + 7a760 64000000 2bd30223 24087265 61705f72 d...+..#$.reap_r + 7a770 65637600 00002bd3 02232808 72657475 ecv...+..#(.retu + 7a780 726e5f72 65637600 00002bdc 02232c08 rn_recv...+..#,. + 7a790 72656376 5f706b74 0000002b c6022330 recv_pkt...+..#0 + 7a7a0 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 7a7b0 002cff08 73770000 0028eb02 23000009 .,..sw...(..#... + 7a7c0 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 7a7d0 2ce10300 002cff04 00020103 00002d19 ,....,........-. + 7a7e0 04000600 0012d901 0300002d 2204000d ...........-"... + 7a7f0 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 7a800 0400002d 820e4849 465f5043 495f5049 ...-..HIF_PCI_PI + 7a810 50455f54 58300000 0e484946 5f504349 PE_TX0...HIF_PCI + 7a820 5f504950 455f5458 3100010e 4849465f _PIPE_TX1...HIF_ + 7a830 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 7a840 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 7a850 74785f74 0000002d 2f060000 2b280103 tx_t...-/...+(.. + 7a860 00002d99 04000d68 69665f70 63695f70 ..-....hif_pci_p + 7a870 6970655f 72780004 00002e1f 0e484946 ipe_rx.......HIF + 7a880 5f504349 5f504950 455f5258 3000000e _PCI_PIPE_RX0... + 7a890 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 7a8a0 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 7a8b0 52583200 020e4849 465f5043 495f5049 RX2...HIF_PCI_PI + 7a8c0 50455f52 58330003 0e484946 5f504349 PE_RX3...HIF_PCI + 7a8d0 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... + 7a8e0 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 7a8f0 74000000 2da60600 002b2801 0300002e t...-....+(..... + 7a900 36040007 6869665f 7063695f 61706900 6...hif_pci_api. + 7a910 2400002f 14087063 695f626f 6f745f69 $../..pci_boot_i + 7a920 6e697400 0000010c 02230008 7063695f nit......#..pci_ + 7a930 696e6974 00000028 db022304 08706369 init...(..#..pci + 7a940 5f726573 65740000 00010c02 23080870 _reset......#..p + 7a950 63695f65 6e61626c 65000000 010c0223 ci_enable......# + 7a960 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 7a970 65640000 002d1b02 23100870 63695f72 ed...-..#..pci_r + 7a980 6561705f 72656376 0000002d 1b022314 eap_recv...-..#. + 7a990 08706369 5f676574 5f706970 65000000 .pci_get_pipe... + 7a9a0 2d280223 18087063 695f6765 745f7478 -(.#..pci_get_tx + 7a9b0 5f656e67 0000002d 9f02231c 08706369 _eng...-..#..pci + 7a9c0 5f676574 5f72785f 656e6700 00002e3c _get_rx_eng....< + 7a9d0 02232000 07676d61 635f6170 69000400 .# ..gmac_api... + 7a9e0 002f3b08 676d6163 5f626f6f 745f696e ./;.gmac_boot_in + 7a9f0 69740000 00010c02 2300000f 00000320 it......#...... + 7aa00 0600002f 48100500 075f5f65 74686864 .../H....__ethhd + 7aa10 72000e00 002f7e08 64737400 00002f3b r..../~.dst.../; + 7aa20 02230008 73726300 00002f3b 02230608 .#..src.../;.#.. + 7aa30 65747970 65000000 12ed0223 0c00075f etype......#..._ + 7aa40 5f617468 68647200 0400002f cc157265 _athhdr..../..re + 7aa50 73000000 12d90100 02022300 1570726f s.........#..pro + 7aa60 746f0000 0012d901 02060223 00087265 to.........#..re + 7aa70 735f6c6f 00000012 d9022301 08726573 s_lo......#..res + 7aa80 5f686900 000012ed 02230200 075f5f67 _hi......#...__g + 7aa90 6d61635f 68647200 14000030 08086574 mac_hdr....0..et + 7aaa0 68000000 2f480223 00086174 68000000 h.../H.#..ath... + 7aab0 2f7e0223 0e08616c 69676e5f 70616400 /~.#..align_pad. + 7aac0 000012ed 02231200 095f5f67 6d61635f .....#...__gmac_ + 7aad0 6864725f 74000000 2fcc075f 5f676d61 hdr_t.../..__gma + 7aae0 635f736f 66746300 24000030 52086864 c_softc.$..0R.hd + 7aaf0 72000000 30080223 00086772 616e0000 r...0..#..gran.. + 7ab00 0012ed02 23140873 77000000 28eb0223 ....#..sw...(..# + 7ab10 18000c5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 7ab20 5f636865 636b0000 01030000 30520400 _check......0R.. + 7ab30 06000001 13010300 00307004 00030000 .........0p..... + 7ab40 040b0400 175f415f 636d6e6f 735f696e ....._A_cmnos_in + 7ab50 64697265 6374696f 6e5f7461 626c6500 direction_table. + 7ab60 01b80000 31c00868 616c5f6c 696e6b61 ....1..hal_linka + 7ab70 67655f63 6865636b 00000030 76022300 ge_check...0v.#. + 7ab80 08737461 72745f62 73730000 00307d02 .start_bss...0}. + 7ab90 23040861 70705f73 74617274 00000001 #..app_start.... + 7aba0 0c022308 086d656d 00000004 4b02230c ..#..mem....K.#. + 7abb0 086d6973 63000000 056a0223 20087072 .misc....j.# .pr + 7abc0 696e7466 00000001 40022344 08756172 intf....@.#D.uar + 7abd0 74000000 02090223 4c08676d 61630000 t......#L.gmac.. + 7abe0 002f1402 236c0875 73620000 000f9902 ./..#l.usb...... + 7abf0 23700863 6c6f636b 0000000a e20323e0 #p.clock......#. + 7ac00 01087469 6d657200 00000782 03238402 ..timer......#.. + 7ac10 08696e74 72000000 0c320323 98020861 .intr....2.#...a + 7ac20 6c6c6f63 72616d00 0000093a 0323c402 llocram....:.#.. + 7ac30 08726f6d 70000000 082c0323 d0020877 .romp....,.#...w + 7ac40 64745f74 696d6572 0000000e 0f0323e0 dt_timer......#. + 7ac50 02086565 70000000 0f3d0323 fc020873 ..eep....=.#...s + 7ac60 7472696e 67000000 068e0323 8c030874 tring......#...t + 7ac70 61736b6c 65740000 000a3703 23a40300 asklet....7.#... + 7ac80 075f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI + 7ac90 47001000 00323308 6765745f 636f6d6d G....23.get_comm + 7aca0 616e645f 62756600 00001445 02230008 and_buf....E.#.. + 7acb0 72656376 5f636f6d 6d616e64 00000014 recv_command.... + 7acc0 5b022304 08676574 5f657665 6e745f62 [.#..get_event_b + 7acd0 75660000 00144502 23080873 656e645f uf....E.#..send_ + 7ace0 6576656e 745f646f 6e650000 00145b02 event_done....[. + 7acf0 230c0009 5553425f 4649464f 5f434f4e #...USB_FIFO_CON + 7ad00 46494700 000031c0 03000032 33040002 FIG...1....23... + 7ad10 01030000 324f0400 07757362 6669666f ....2O...usbfifo + 7ad20 5f617069 000c0000 32a5085f 696e6974 _api....2.._init + 7ad30 00000032 51022300 085f656e 61626c65 ...2Q.#.._enable + 7ad40 5f657665 6e745f69 73720000 00010c02 _event_isr...... + 7ad50 23040870 52657365 72766564 00000004 #..pReserved.... + 7ad60 08022308 000f0000 167f0200 0032b210 ..#..........2.. + 7ad70 0100075f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 7ad80 52000800 00332408 456e6470 6f696e74 R....3$.Endpoint + 7ad90 49440000 00167f02 23000846 6c616773 ID......#..Flags + 7ada0 00000016 7f022301 08506179 6c6f6164 ......#..Payload + 7adb0 4c656e00 00001ca7 02230208 436f6e74 Len......#..Cont + 7adc0 726f6c42 79746573 00000032 a5022304 rolBytes...2..#. + 7add0 08486f73 74536571 4e756d00 00001ca7 .HostSeqNum..... + 7ade0 02230600 12020000 333d084d 65737361 .#......3=.Messa + 7adf0 67654944 0000001c a7022300 00120800 geID......#..... + 7ae00 0033a008 4d657373 61676549 44000000 .3..MessageID... + 7ae10 1ca70223 00084372 65646974 436f756e ...#..CreditCoun + 7ae20 74000000 1ca70223 02084372 65646974 t......#..Credit + 7ae30 53697a65 0000001c a7022304 084d6178 Size......#..Max + 7ae40 456e6470 6f696e74 73000000 167f0223 Endpoints......# + 7ae50 06085f50 61643100 0000167f 02230700 .._Pad1......#.. + 7ae60 120a0000 3437084d 65737361 67654944 ....47.MessageID + 7ae70 0000001c a7022300 08536572 76696365 ......#..Service + 7ae80 49440000 001ca702 23020843 6f6e6e65 ID......#..Conne + 7ae90 6374696f 6e466c61 67730000 001ca702 ctionFlags...... + 7aea0 23040844 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 7aeb0 44000000 167f0223 06085570 4c696e6b D......#..UpLink + 7aec0 50697065 49440000 00167f02 23070853 PipeID......#..S + 7aed0 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 7aee0 00000016 7f022308 085f5061 64310000 ......#.._Pad1.. + 7aef0 00167f02 23090012 0a000034 bf084d65 ....#......4..Me + 7af00 73736167 65494400 00001ca7 02230008 ssageID......#.. + 7af10 53657276 69636549 44000000 1ca70223 ServiceID......# + 7af20 02085374 61747573 00000016 7f022304 ..Status......#. + 7af30 08456e64 706f696e 74494400 0000167f .EndpointID..... + 7af40 02230508 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 7af50 001ca702 23060853 65727669 63654d65 ....#..ServiceMe + 7af60 74614c65 6e677468 00000016 7f022308 taLength......#. + 7af70 085f5061 64310000 00167f02 23090012 ._Pad1......#... + 7af80 02000034 d8084d65 73736167 65494400 ...4..MessageID. + 7af90 00001ca7 02230000 12040000 3514084d .....#......5..M + 7afa0 65737361 67654944 0000001c a7022300 essageID......#. + 7afb0 08506970 65494400 0000167f 02230208 .PipeID......#.. + 7afc0 43726564 6974436f 756e7400 0000167f CreditCount..... + 7afd0 02230300 12040000 354b084d 65737361 .#......5K.Messa + 7afe0 67654944 0000001c a7022300 08506970 geID......#..Pip + 7aff0 65494400 0000167f 02230208 53746174 eID......#..Stat + 7b000 75730000 00167f02 23030012 02000035 us......#......5 + 7b010 72085265 636f7264 49440000 00167f02 r.RecordID...... + 7b020 2300084c 656e6774 68000000 167f0223 #..Length......# + 7b030 01001202 0000359c 08456e64 706f696e ......5..Endpoin + 7b040 74494400 0000167f 02230008 43726564 tID......#..Cred + 7b050 69747300 0000167f 02230100 12040000 its......#...... + 7b060 35dd0845 6e64706f 696e7449 44000000 5..EndpointID... + 7b070 167f0223 00084372 65646974 73000000 ...#..Credits... + 7b080 167f0223 01085467 74437265 64697453 ...#..TgtCreditS + 7b090 65714e6f 0000001c a7022302 000f0000 eqNo......#..... + 7b0a0 167f0400 0035ea10 03001206 00003626 .....5........6& + 7b0b0 08507265 56616c69 64000000 167f0223 .PreValid......# + 7b0c0 00084c6f 6f6b4168 65616400 000035dd ..LookAhead...5. + 7b0d0 02230108 506f7374 56616c69 64000000 .#..PostValid... + 7b0e0 167f0223 05000970 6f6f6c5f 68616e64 ...#...pool_hand + 7b0f0 6c655f74 00000004 08060000 36260103 le_t........6&.. + 7b100 00003639 04000201 03000036 46040014 ..69.......6F... + 7b110 04000036 c40e504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 7b120 435f434f 4e54524f 4c00000e 504f4f4c C_CONTROL...POOL + 7b130 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 7b140 5245504c 5900010e 504f4f4c 5f49445f REPLY...POOL_ID_ + 7b150 574d495f 5356435f 4556454e 5400020e WMI_SVC_EVENT... + 7b160 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 7b170 42554600 030e504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 7b180 58000a00 09425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 7b190 00000036 4f020103 000036d5 04000600 ...6O.....6..... + 7b1a0 00264401 03000036 de040006 00002644 .&D....6......&D + 7b1b0 01030000 36eb0400 02010300 0036f804 ....6........6.. + 7b1c0 00076275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 7b1d0 0000379a 085f696e 69740000 00363f02 ..7.._init...6?. + 7b1e0 2300085f 73687574 646f776e 00000036 #.._shutdown...6 + 7b1f0 48022304 085f6372 65617465 5f706f6f H.#.._create_poo + 7b200 6c000000 36d70223 08085f61 6c6c6f63 l...6..#.._alloc + 7b210 5f627566 00000036 e402230c 085f616c _buf...6..#.._al + 7b220 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 7b230 36f10223 10085f66 7265655f 62756600 6..#.._free_buf. + 7b240 000036fa 02231408 70526573 65727665 ..6..#..pReserve + 7b250 64000000 04080223 1800075f 4854435f d......#..._HTC_ + 7b260 53455256 49434500 1c000038 7908704e SERVICE....8y.pN + 7b270 65787400 00003879 02230008 50726f63 ext...8y.#..Proc + 7b280 65737352 6563764d 73670000 00392e02 essRecvMsg...9.. + 7b290 23040850 726f6365 73735365 6e644275 #..ProcessSendBu + 7b2a0 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 7b2b0 37022308 0850726f 63657373 436f6e6e 7.#..ProcessConn + 7b2c0 65637400 0000394b 02230c08 53657276 ect...9K.#..Serv + 7b2d0 69636549 44000000 12ed0223 10085365 iceID......#..Se + 7b2e0 72766963 65466c61 67730000 0012ed02 rviceFlags...... + 7b2f0 2312084d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 7b300 00000012 ed022314 08547261 696c6572 ......#..Trailer + 7b310 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 7b320 12ed0223 16085365 72766963 65437478 ...#..ServiceCtx + 7b330 00000004 08022318 00030000 379a0400 ......#.....7... + 7b340 14040000 39171945 4e44504f 494e545f ....9..ENDPOINT_ + 7b350 554e5553 454400ff ffffff0e 454e4450 UNUSED......ENDP + 7b360 4f494e54 3000000e 454e4450 4f494e54 OINT0...ENDPOINT + 7b370 3100010e 454e4450 4f494e54 3200020e 1...ENDPOINT2... + 7b380 454e4450 4f494e54 3300030e 454e4450 ENDPOINT3...ENDP + 7b390 4f494e54 3400040e 454e4450 4f494e54 OINT4...ENDPOINT + 7b3a0 3500050e 454e4450 4f494e54 3600060e 5...ENDPOINT6... + 7b3b0 454e4450 4f494e54 3700070e 454e4450 ENDPOINT7...ENDP + 7b3c0 4f494e54 3800080e 454e4450 4f494e54 OINT8...ENDPOINT + 7b3d0 5f4d4158 00160009 4854435f 454e4450 _MAX....HTC_ENDP + 7b3e0 4f494e54 5f494400 00003880 02010300 OINT_ID...8..... + 7b3f0 00392c04 00020103 00003935 04000300 .9,.......95.... + 7b400 00011304 00060000 12d90103 00003945 ..............9E + 7b410 04000300 00379a04 00075f48 54435f43 .....7...._HTC_C + 7b420 4f4e4649 47001400 0039ca08 43726564 ONFIG....9..Cred + 7b430 69745369 7a650000 00011302 23000843 itSize......#..C + 7b440 72656469 744e756d 62657200 00000113 reditNumber..... + 7b450 02230408 4f534861 6e646c65 0000001a .#..OSHandle.... + 7b460 43022308 08484946 48616e64 6c650000 C.#..HIFHandle.. + 7b470 0028ac02 230c0850 6f6f6c48 616e646c .(..#..PoolHandl + 7b480 65000000 36260223 1000075f 4854435f e...6&.#..._HTC_ + 7b490 4255465f 434f4e54 45585400 0200003a BUF_CONTEXT....: + 7b4a0 0608656e 645f706f 696e7400 000012d9 ..end_point..... + 7b4b0 02230008 6874635f 666c6167 73000000 .#..htc_flags... + 7b4c0 12d90223 01000968 74635f68 616e646c ...#...htc_handl + 7b4d0 655f7400 00000408 09485443 5f534554 e_t......HTC_SET + 7b4e0 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 7b4f0 00010c09 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 7b500 00395903 00003a33 04000600 003a0601 .9Y...:3.....:.. + 7b510 0300003a 4a040002 01030000 3a570400 ...:J.......:W.. + 7b520 09485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 7b530 9a030000 3a600400 02010300 003a7804 ....:`.......:x. + 7b540 00020103 00003a81 04000201 0300003a ......:........: + 7b550 8a040006 00000113 01030000 3a930400 ............:... + 7b560 07687463 5f617069 73003400 003c1008 .htc_apis.4..<.. + 7b570 5f485443 5f496e69 74000000 3a500223 _HTC_Init...:P.# + 7b580 00085f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 7b590 00003a59 02230408 5f485443 5f526567 ..:Y.#.._HTC_Reg + 7b5a0 69737465 72536572 76696365 0000003a isterService...: + 7b5b0 7a022308 085f4854 435f5265 61647900 z.#.._HTC_Ready. + 7b5c0 00003a59 02230c08 5f485443 5f526574 ..:Y.#.._HTC_Ret + 7b5d0 75726e42 75666665 72730000 003a8302 urnBuffers...:.. + 7b5e0 2310085f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 7b5f0 66666572 734c6973 74000000 3a8c0223 ffersList...:..# + 7b600 14085f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 7b610 003a8302 2318085f 4854435f 47657452 .:..#.._HTC_GetR + 7b620 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 7b630 00003a99 02231c08 5f485443 5f4d7367 ..:..#.._HTC_Msg + 7b640 52656376 48616e64 6c657200 00002859 RecvHandler...(Y + 7b650 02232008 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 7b660 6548616e 646c6572 00000028 50022324 eHandler...(P.#$ + 7b670 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 7b680 50726f63 6573734d 73670000 00392e02 ProcessMsg...9.. + 7b690 2328085f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 7b6a0 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 7b6b0 706c6574 65000000 39370223 2c087052 plete...97.#,.pR + 7b6c0 65736572 76656400 00000408 02233000 eserved......#0. + 7b6d0 07686f73 745f6170 705f6172 65615f73 .host_app_area_s + 7b6e0 00040000 3c400877 6d695f70 726f746f ....<@.wmi_proto + 7b6f0 636f6c5f 76657200 00001618 02230000 col_ver......#.. + 7b700 120e0000 3c770864 73744d61 63000000 ......b + 7b8b0 6561636f 6e50656e 64696e67 436f756e eaconPendingCoun + 7b8c0 74000000 167f0223 0000075f 574d495f t......#..._WMI_ + 7b8d0 5356435f 434f4e46 49470010 00003e73 SVC_CONFIG....>s + 7b8e0 08487463 48616e64 6c650000 003a0602 .HtcHandle...:.. + 7b8f0 23000850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 7b900 36260223 04084d61 78436d64 5265706c 6&.#..MaxCmdRepl + 7b910 79457674 73000000 01130223 08084d61 yEvts......#..Ma + 7b920 78457665 6e744576 74730000 00011302 xEventEvts...... + 7b930 230c0002 01030000 3e730400 09574d49 #.......>s...WMI + 7b940 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 7b950 75075f57 4d495f44 49535041 5443485f u._WMI_DISPATCH_ + 7b960 454e5452 59000800 003edc08 70436d64 ENTRY....>..pCmd + 7b970 48616e64 6c657200 00003e7c 02230008 Handler...>|.#.. + 7b980 436d6449 44000000 12ed0223 0408466c CmdID......#..Fl + 7b990 61677300 000012ed 02230600 075f574d ags......#..._WM + 7b9a0 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 7b9b0 00100000 3f3d0870 4e657874 0000003f ....?=.pNext...? + 7b9c0 3d022300 0870436f 6e746578 74000000 =.#..pContext... + 7b9d0 04080223 04084e75 6d626572 4f66456e ...#..NumberOfEn + 7b9e0 74726965 73000000 01130223 08087054 tries......#..pT + 7b9f0 61626c65 0000003f 5c02230c 00030000 able...?\.#..... + 7ba00 3edc0400 09574d49 5f444953 50415443 >....WMI_DISPATC + 7ba10 485f454e 54525900 00003e91 0300003f H_ENTRY...>....? + 7ba20 44040003 00003edc 04000948 54435f42 D.....>....HTC_B + 7ba30 55465f43 4f4e5445 58540000 0039ca0d UF_CONTEXT...9.. + 7ba40 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 7ba50 003ff419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 7ba60 535f4e4f 4e4500ff ffffff0e 574d495f S_NONE......WMI_ + 7ba70 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 7ba80 454e5400 000e574d 495f4556 545f434c ENT...WMI_EVT_CL + 7ba90 4153535f 434d445f 5245504c 5900010e ASS_CMD_REPLY... + 7baa0 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 7bab0 58000200 09574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 7bac0 53530000 003f7f07 5f574d49 5f425546 SS...?.._WMI_BUF + 7bad0 5f434f4e 54455854 000c0000 40520848 _CONTEXT....@R.H + 7bae0 74634275 66437478 0000003f 6a022300 tcBufCtx...?j.#. + 7baf0 08457665 6e74436c 61737300 00003ff4 .EventClass...?. + 7bb00 02230408 466c6167 73000000 12ed0223 .#..Flags......# + 7bb10 08000977 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 7bb20 00000408 09574d49 5f535643 5f434f4e .....WMI_SVC_CON + 7bb30 46494700 00003e0a 03000040 64040006 FIG...>....@d... + 7bb40 00004052 01030000 407f0400 09574d49 ..@R....@....WMI + 7bb50 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 7bb60 00003edc 03000040 8c040002 01030000 ..>....@........ + 7bb70 40ab0400 06000026 44010300 0040b404 @......&D....@.. + 7bb80 00020103 000040c1 04000600 00011301 ......@......... + 7bb90 03000040 ca040002 01030000 40d70400 ...@........@... + 7bba0 06000012 d9010300 0040e004 00075f77 .........@...._w + 7bbb0 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 7bbc0 28085f57 4d495f49 6e697400 00004085 (._WMI_Init...@. + 7bbd0 02230008 5f574d49 5f526567 69737465 .#.._WMI_Registe + 7bbe0 72446973 70617463 68546162 6c650000 rDispatchTable.. + 7bbf0 0040ad02 2304085f 574d495f 416c6c6f .@..#.._WMI_Allo + 7bc00 63457665 6e740000 0040ba02 2308085f cEvent...@..#.._ + 7bc10 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 7bc20 40c30223 0c085f57 4d495f47 65745065 @..#.._WMI_GetPe + 7bc30 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 7bc40 00000040 d0022310 085f574d 495f5365 ...@..#.._WMI_Se + 7bc50 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 7bc60 72000000 39370223 14085f57 4d495f47 r...97.#.._WMI_G + 7bc70 6574436f 6e74726f 6c457000 000040d0 etControlEp...@. + 7bc80 02231808 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 7bc90 6e000000 40d90223 1c085f57 4d495f52 n...@..#.._WMI_R + 7bca0 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 7bcb0 72000000 392e0223 20085f57 4d495f53 r...9..# ._WMI_S + 7bcc0 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 7bcd0 40e60223 24087052 65736572 76656400 @..#$.pReserved. + 7bce0 00000408 02232800 077a7344 6d614465 .....#(..zsDmaDe + 7bcf0 73630014 000042aa 08637472 6c000000 sc....B..ctrl... + 7bd00 01770223 00087374 61747573 00000001 .w.#..status.... + 7bd10 77022302 08746f74 616c4c65 6e000000 w.#..totalLen... + 7bd20 01770223 04086461 74615369 7a650000 .w.#..dataSize.. + 7bd30 00017702 2306086c 61737441 64647200 ..w.#..lastAddr. + 7bd40 000042aa 02230808 64617461 41646472 ..B..#..dataAddr + 7bd50 00000001 9b02230c 086e6578 74416464 ......#..nextAdd + 7bd60 72000000 42aa0223 10000300 00422804 r...B..#.....B(. + 7bd70 00030000 42280400 077a7344 6d615175 ....B(...zsDmaQu + 7bd80 65756500 08000042 ea086865 61640000 eue....B..head.. + 7bd90 0042b102 23000874 65726d69 6e61746f .B..#..terminato + 7bda0 72000000 42b10223 0400077a 73547844 r...B..#...zsTxD + 7bdb0 6d615175 65756500 10000043 4e086865 maQueue....CN.he + 7bdc0 61640000 0042b102 23000874 65726d69 ad...B..#..termi + 7bdd0 6e61746f 72000000 42b10223 0408786d nator...B..#..xm + 7bde0 69746564 5f627566 5f686561 64000000 ited_buf_head... + 7bdf0 14380223 0808786d 69746564 5f627566 .8.#..xmited_buf + 7be00 5f746169 6c000000 14380223 0c000201 _tail....8.#.... + 7be10 03000043 4e040003 000042b8 04000201 ...CN.....B..... + 7be20 03000043 5e040003 000042ea 04000201 ...C^.....B..... + 7be30 03000043 6e040002 01030000 43770400 ...Cn.......Cw.. + 7be40 02010300 00438004 00060000 14380103 .....C.......8.. + 7be50 00004389 04000201 03000043 96040006 ..C........C.... + 7be60 00001438 01030000 439f0400 02010300 ...8....C....... + 7be70 0043ac04 00060000 01130103 000043b5 .C............C. + 7be80 04000600 0042b101 03000043 c2040002 .....B.....C.... + 7be90 01030000 43cf0400 07646d61 5f656e67 ....C....dma_eng + 7bea0 696e655f 61706900 40000045 45085f69 ine_api.@..EE._i + 7beb0 6e697400 00004350 02230008 5f696e69 nit...CP.#.._ini + 7bec0 745f7278 5f717565 75650000 00436002 t_rx_queue...C`. + 7bed0 2304085f 696e6974 5f74785f 71756575 #.._init_tx_queu + 7bee0 65000000 43700223 08085f63 6f6e6669 e...Cp.#.._confi + 7bef0 675f7278 5f717565 75650000 00437902 g_rx_queue...Cy. + 7bf00 230c085f 786d6974 5f627566 00000043 #.._xmit_buf...C + 7bf10 82022310 085f666c 7573685f 786d6974 ..#.._flush_xmit + 7bf20 00000043 60022314 085f7265 61705f72 ...C`.#.._reap_r + 7bf30 6563765f 62756600 0000438f 02231808 ecv_buf...C..#.. + 7bf40 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 7bf50 00000043 9802231c 085f7265 61705f78 ...C..#.._reap_x + 7bf60 6d697465 645f6275 66000000 43a50223 mited_buf...C..# + 7bf70 20085f73 7761705f 64617461 00000043 ._swap_data...C + 7bf80 ae022324 085f6861 735f636f 6d706c5f ..#$._has_compl_ + 7bf90 7061636b 65747300 000043bb 02232808 packets...C..#(. + 7bfa0 5f646573 635f6475 6d700000 00436002 _desc_dump...C`. + 7bfb0 232c085f 6765745f 7061636b 65740000 #,._get_packet.. + 7bfc0 0043c802 2330085f 7265636c 61696d5f .C..#0._reclaim_ + 7bfd0 7061636b 65740000 0043d102 2334085f packet...C..#4._ + 7bfe0 7075745f 7061636b 65740000 0043d102 put_packet...C.. + 7bff0 23380870 52657365 72766564 00000004 #8.pReserved.... + 7c000 0802233c 00095f41 5f636d6e 6f735f69 ..#<.._A_cmnos_i + 7c010 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 7c020 5f740000 00308409 574d495f 5356435f _t...0..WMI_SVC_ + 7c030 41504953 00000040 ed175f41 5f6d6167 APIS...@.._A_mag + 7c040 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 7c050 7461626c 6500034c 00004673 08636d6e table..L..Fs.cmn + 7c060 6f730000 00454502 23000864 62670000 os...EE.#..dbg.. + 7c070 0003d503 23b80308 68696600 0000294f ....#...hif...)O + 7c080 0323c003 08687463 0000003a a00323f8 .#...htc...:..#. + 7c090 0308776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 7c0a0 45670323 ac040875 73626669 666f5f61 Eg.#...usbfifo_a + 7c0b0 70690000 00325803 23d80408 6275665f pi...2X.#...buf_ + 7c0c0 706f6f6c 00000037 010323e4 04087662 pool...7..#...vb + 7c0d0 75660000 00146203 23800508 76646573 uf....b.#...vdes + 7c0e0 63000000 13440323 94050861 6c6c6f63 c....D.#...alloc + 7c0f0 72616d00 0000093a 0323a805 08646d61 ram....:.#...dma + 7c100 5f656e67 696e6500 000043d8 0323b405 _engine...C..#.. + 7c110 08646d61 5f6c6962 0000002b e30323f4 .dma_lib...+..#. + 7c120 05086869 665f7063 69000000 2e430323 ..hif_pci....C.# + 7c130 a8060006 00000408 01095f41 5f6d6167 .........._A_mag + 7c140 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 7c150 7461626c 655f7400 00004579 02010201 table_t...Ey.... + 7c160 02010600 00011301 0f000014 38280000 ............8(.. + 7c170 46b51009 00075f42 55465f50 4f4f4c5f F....._BUF_POOL_ + 7c180 53544154 49435f43 4f4e5445 5854002c STATIC_CONTEXT., + 7c190 000046f4 08627566 51000000 46a80223 ..F..bufQ...F..# + 7c1a0 00087052 65736572 76656400 00000408 ..pReserved..... + 7c1b0 02232800 09425546 5f504f4f 4c5f5354 .#(..BUF_POOL_ST + 7c1c0 41544943 5f434f4e 54455854 00000046 ATIC_CONTEXT...F + 7c1d0 b51a675f 706f6f6c 43747800 000046f4 ..g_poolCtx...F. + 7c1e0 05030050 0b180103 00003701 04000201 ...P......7..... + 7c1f0 0600003a 06010300 00473004 00030000 ...:.....G0..... + 7c200 46f40400 1b012262 75665f70 6f6f6c5f F....."buf_pool_ + 7c210 6d6f6475 6c655f69 6e737461 6c6c0001 module_install.. + 7c220 01039201 20029000 008e6264 008e6287 .... .....bd..b. + 7c230 00004784 1c012270 41504973 00000047 ..G..."pAPIs...G + 7c240 27015200 1d012c5f 6275665f 706f6f6c '.R...,_buf_pool + 7c250 5f737461 7469635f 696e6974 00000004 _static_init.... + 7c260 08010103 92012002 9000008e 6288008e ...... .....b... + 7c270 629e0000 47ce1c01 2c68616e 646c6500 b...G...,handle. + 7c280 00001a43 01521e69 00000001 13001b01 ...C.R.i........ + 7c290 415f6275 665f706f 6f6c5f73 74617469 A_buf_pool_stati + 7c2a0 635f7368 7574646f 776e0001 01039201 c_shutdown...... + 7c2b0 20029000 008e62a0 008e62a5 00004811 .....b...b...H. + 7c2c0 1c014168 616e646c 65000000 36260152 ..Ahandle...6&.R + 7c2d0 001f0146 5f627566 5f706f6f 6c5f7374 ...F_buf_pool_st + 7c2e0 61746963 5f637265 6174655f 706f6f6c atic_create_pool + 7c2f0 00010101 03920130 02900000 8e62a800 .......0.....b.. + 7c300 8e62fd00 0048a11c 01466861 6e646c65 .b...H...Fhandle + 7c310 00000036 2601521c 0146706f 6f6c4964 ...6&.R..FpoolId + 7c320 00000036 c401531c 01466e49 74656d73 ...6..S..FnItems + 7c330 00000001 1301541c 01466e53 697a6500 ......T..FnSize. + 7c340 00000113 01551e69 00000001 131e6275 .....U.i......bu + 7c350 66000000 14381e64 65736300 0000131a f....8.desc..... + 7c360 001d0163 5f627566 5f706f6f 6c5f7374 ...c_buf_pool_st + 7c370 61746963 5f616c6c 6f635f62 75660000 atic_alloc_buf.. + 7c380 00264401 01039201 20029000 008e6300 .&D..... .....c. + 7c390 008e6322 00004913 1c016368 616e646c ..c"..I...chandl + 7c3a0 65000000 36260152 1c016370 6f6f6c49 e...6&.R..cpoolI + 7c3b0 64000000 36c40153 1c016372 65736572 d...6..S..creser + 7c3c0 76650000 00011301 541e6275 66000000 ve......T.buf... + 7c3d0 1438001d 01745f62 75665f70 6f6f6c5f .8...t_buf_pool_ + 7c3e0 73746174 69635f61 6c6c6f63 5f627566 static_alloc_buf + 7c3f0 5f616c69 676e0000 00264401 01039201 _align...&D..... + 7c400 20029000 008e6324 008e6334 00004991 .....c$..c4..I. + 7c410 1c017468 616e646c 65000000 36260152 ..thandle...6&.R + 7c420 1c017470 6f6f6c49 64000000 36c40153 ..tpoolId...6..S + 7c430 1c017472 65736572 76650000 00011301 ..treserve...... + 7c440 541c0174 616c6967 6e000000 01130155 T..talign......U + 7c450 00200179 5f627566 5f706f6f 6c5f7374 . .y_buf_pool_st + 7c460 61746963 5f667265 655f6275 66000101 atic_free_buf... + 7c470 03920120 02900000 8e633400 8e63471c ... .....c4..cG. + 7c480 01796861 6e646c65 00000036 2601521c .yhandle...6&.R. + 7c490 0179706f 6f6c4964 00000036 c401531c .ypoolId...6..S. + 7c4a0 01796275 66000000 26440154 00000000 .ybuf...&D.T.... + 7c4b0 0002eb00 0200002c dc040165 78632d73 .......,...exc-s + 7c4c0 65746861 6e646c65 722e6300 2f666f6c ethandler.c./fol + 7c4d0 6b732f72 6873752f 70726f6a 6563742f ks/rhsu/project/ + 7c4e0 7034762f 70657266 6f726365 2f70305f p4v/perforce/p0_ + 7c4f0 636f7265 2f70726f 6a656374 2f737263 core/project/src + 7c500 2f726f6d 2f6f732f 7372632f 78746f73 /rom/os/src/xtos + 7c510 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7c520 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7c530 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7c540 202d6733 00010000 01bab602 6c6f6e67 -g3........long + 7c550 20696e74 00050403 0000009c 10000000 int............ + 7c560 b5040300 05280000 01140670 63000000 .....(.....pc... + 7c570 009c0223 00067073 00000000 9c022304 ...#..ps......#. + 7c580 06617265 67000000 00a80223 08067361 .areg......#..sa + 7c590 72000000 009c0223 18066c63 6f756e74 r......#..lcount + 7c5a0 00000000 9c02231c 066c6265 67000000 ......#..lbeg... + 7c5b0 009c0223 20066c65 6e640000 00009c02 ...# .lend...... + 7c5c0 23240005 30000001 b0067063 00000000 #$..0.....pc.... + 7c5d0 9c022300 06707300 0000009c 02230406 ..#..ps......#.. + 7c5e0 73617200 0000009c 02230806 76707269 sar......#..vpri + 7c5f0 00000000 9c02230c 06613200 0000009c ......#..a2..... + 7c600 02231006 61330000 00009c02 23140661 .#..a3......#..a + 7c610 34000000 009c0223 18066135 00000000 4......#..a5.... + 7c620 9c02231c 06657863 63617573 65000000 ..#..exccause... + 7c630 009c0223 20066c63 6f756e74 00000000 ...# .lcount.... + 7c640 9c022324 066c6265 67000000 009c0223 ..#$.lbeg......# + 7c650 28066c65 6e640000 00009c02 232c0007 (.lend......#,.. + 7c660 01085f78 746f735f 68616e64 6c65725f .._xtos_handler_ + 7c670 66756e63 00000001 b0090000 01b20400 func............ + 7c680 085f7874 6f735f68 616e646c 65720000 ._xtos_handler.. + 7c690 0001ca0a 04000b58 746f7349 6e744861 .......XtosIntHa + 7c6a0 6e646c65 72456e74 72790008 0000021e ndlerEntry...... + 7c6b0 0668616e 646c6572 00000001 d1022300 .handler......#. + 7c6c0 06617267 00000001 e4022304 0002756e .arg......#...un + 7c6d0 7369676e 65642069 6e740007 040b5874 signed int....Xt + 7c6e0 6f73496e 744d6173 6b456e74 72790008 osIntMaskEntry.. + 7c6f0 0000026b 06767072 695f6d61 736b0000 ...k.vpri_mask.. + 7c700 00021e02 2300066c 6576656c 5f6d6173 ....#..level_mas + 7c710 6b000000 021e0223 04000269 6e740005 k......#...int.. + 7c720 040c0000 01d10107 01090000 02780400 .............x.. + 7c730 0d000001 d1010000 028d0e00 0d000001 ................ + 7c740 d1010000 02990e00 0f01215f 78746f73 ..........!_xtos + 7c750 5f736574 5f657863 65707469 6f6e5f68 _set_exception_h + 7c760 616e646c 65720000 0001d101 01039201 andler.......... + 7c770 20029000 008e6348 008e63a9 1001216e .....cH..c...!n + 7c780 00000002 6b015210 01216600 000001d1 ....k.R..!f..... + 7c790 01531172 65740000 0001d100 00000000 .S.ret.......... + 7c7a0 00750002 00002d98 04010001 bd70008e .u....-......p.. + 7c7b0 6950008e 69556578 632d7461 626c652e iP..iUexc-table. + 7c7c0 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr + 7c7d0 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor + 7c7e0 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje + 7c7f0 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr + 7c800 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. + 7c810 31362e31 00800100 00008100 0200002d 16.1...........- + 7c820 ac040100 01bdaf00 8e63ac00 8e642565 .........c...d%e + 7c830 78632d63 2d777261 70706572 2d68616e xc-c-wrapper-han + 7c840 646c6572 2e53002f 666f6c6b 732f7268 dler.S./folks/rh + 7c850 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p + 7c860 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ + 7c870 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ + 7c880 6f732f73 72632f78 746f7300 474e5520 os/src/xtos.GNU + 7c890 41532032 2e31362e 31008001 000000c5 AS 2.16.1....... + 7c8a0 00020000 2dc00401 0001bec2 008e6958 ....-.........iX + 7c8b0 008e697e 2f686f6d 652f6375 73746f6d ..i~/home/custom + 7c8c0 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 7c8d0 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- + 7c8e0 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa + 7c8f0 2d656c66 2f737263 2f68616c 2f636c6f -elf/src/hal/clo + 7c900 636b2e53 002f7072 6f6a6563 742f6375 ck.S./project/cu + 7c910 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 + 7c920 372e322f 6275696c 642f6174 6865726f 7.2/build/athero + 7c930 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 + 7c940 2f383337 34332f78 6275696c 642f4f53 /83743/xbuild/OS + 7c950 2f68616c 00474e55 20415320 322e3136 /hal.GNU AS 2.16 + 7c960 2e310080 0100000c 1c000200 002dd404 .1...........-.. + 7c970 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 7c980 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 7c990 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 7c9a0 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 7c9b0 662f7372 632f6861 6c2f6d69 73632e63 f/src/hal/misc.c + 7c9c0 002f7072 6f6a6563 742f6375 73742f67 ./project/cust/g + 7c9d0 656e6170 702f5242 2d323030 372e322f enapp/RB-2007.2/ + 7c9e0 6275696c 642f6174 6865726f 732f7072 build/atheros/pr + 7c9f0 6f642f4d 61677069 655f5030 2f383337 od/Magpie_P0/837 + 7ca00 34332f78 6275696c 642f4f53 2f68616c 43/xbuild/OS/hal + 7ca10 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7ca20 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7ca30 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7ca40 202d6733 00010002 756e7369 676e6564 -g3....unsigned + 7ca50 20696e74 00070403 000000e2 04587468 int.........Xth + 7ca60 616c5f72 656c6561 73655f6d 616a6f72 al_release_major + 7ca70 00000000 f2050300 4e87a001 04587468 ........N....Xth + 7ca80 616c5f72 656c6561 73655f6d 696e6f72 al_release_minor + 7ca90 00000000 f2050300 500b4401 02636861 ........P.D..cha + 7caa0 72000701 03000001 37030000 01370500 r.......7....7.. + 7cab0 00014404 00030000 01490300 00013705 ..D......I....7. + 7cac0 00000155 04000458 7468616c 5f72656c ...U...Xthal_rel + 7cad0 65617365 5f6e616d 65000000 01500503 ease_name....P.. + 7cae0 004e87a4 01060000 01370600 00018d07 .N.......7...... + 7caf0 05000458 7468616c 5f72656c 65617365 ...Xthal_release + 7cb00 5f696e74 65726e61 6c000000 01500503 _internal....P.. + 7cb10 00500b48 01045874 68616c5f 7265765f .P.H..Xthal_rev_ + 7cb20 6e6f0000 0000f205 03004e87 a8010458 no........N....X + 7cb30 7468616c 5f6e756d 5f617265 67730000 thal_num_aregs.. + 7cb40 0000f205 03004e87 ac010275 6e736967 ......N....unsig + 7cb50 6e656420 63686172 00070103 000001e5 ned char........ + 7cb60 04587468 616c5f6e 756d5f61 72656773 .Xthal_num_aregs + 7cb70 5f6c6f67 32000000 01f60503 004e87b0 _log2........N.. + 7cb80 01045874 68616c5f 6d656d6f 72795f6f ..Xthal_memory_o + 7cb90 72646572 00000001 f6050300 4e87b101 rder........N... + 7cba0 04587468 616c5f68 6176655f 77696e64 .Xthal_have_wind + 7cbb0 6f776564 00000001 f6050300 4e87b201 owed........N... + 7cbc0 04587468 616c5f68 6176655f 64656e73 .Xthal_have_dens + 7cbd0 69747900 000001f6 0503004e 87b30104 ity........N.... + 7cbe0 58746861 6c5f6861 76655f62 6f6f6c65 Xthal_have_boole + 7cbf0 616e7300 000001f6 05030050 0b4c0104 ans........P.L.. + 7cc00 58746861 6c5f6861 76655f6c 6f6f7073 Xthal_have_loops + 7cc10 00000001 f6050300 4e87b401 04587468 ........N....Xth + 7cc20 616c5f68 6176655f 6e736100 000001f6 al_have_nsa..... + 7cc30 0503004e 87b50104 58746861 6c5f6861 ...N....Xthal_ha + 7cc40 76655f6d 696e6d61 78000000 01f60503 ve_minmax....... + 7cc50 004e87b6 01045874 68616c5f 68617665 .N....Xthal_have + 7cc60 5f736578 74000000 01f60503 004e87b7 _sext........N.. + 7cc70 01045874 68616c5f 68617665 5f636c61 ..Xthal_have_cla + 7cc80 6d707300 000001f6 05030050 0b4d0104 mps........P.M.. + 7cc90 58746861 6c5f6861 76655f6d 61633136 Xthal_have_mac16 + 7cca0 00000001 f6050300 500b4e01 04587468 ........P.N..Xth + 7ccb0 616c5f68 6176655f 6d756c31 36000000 al_have_mul16... + 7ccc0 01f60503 004e87b8 01045874 68616c5f .....N....Xthal_ + 7ccd0 68617665 5f667000 000001f6 05030050 have_fp........P + 7cce0 0b4f0104 58746861 6c5f6861 76655f73 .O..Xthal_have_s + 7ccf0 70656375 6c617469 6f6e0000 0001f605 peculation...... + 7cd00 0300500b 50010458 7468616c 5f686176 ..P.P..Xthal_hav + 7cd10 655f6578 63657074 696f6e73 00000001 e_exceptions.... + 7cd20 f6050300 4e87b901 04587468 616c5f78 ....N....Xthal_x + 7cd30 65615f76 65727369 6f6e0000 0001f605 ea_version...... + 7cd40 03004e87 ba010458 7468616c 5f686176 ..N....Xthal_hav + 7cd50 655f696e 74657272 75707473 00000001 e_interrupts.... + 7cd60 f6050300 4e87bb01 04587468 616c5f68 ....N....Xthal_h + 7cd70 6176655f 68696768 6c657665 6c5f696e ave_highlevel_in + 7cd80 74657272 75707473 00000001 f6050300 terrupts........ + 7cd90 4e87bc01 04587468 616c5f68 6176655f N....Xthal_have_ + 7cda0 6e6d6900 000001f6 0503004e 87bd0104 nmi........N.... + 7cdb0 58746861 6c5f6861 76655f70 72696400 Xthal_have_prid. + 7cdc0 000001f6 0503004e 87be0104 58746861 .......N....Xtha + 7cdd0 6c5f6861 76655f72 656c6561 73655f73 l_have_release_s + 7cde0 796e6300 000001f6 0503004e 87bf0104 ync........N.... + 7cdf0 58746861 6c5f6861 76655f73 33326331 Xthal_have_s32c1 + 7ce00 69000000 01f60503 004e87c0 01045874 i........N....Xt + 7ce10 68616c5f 68617665 5f746872 65616470 hal_have_threadp + 7ce20 74720000 0001f605 03004e87 c1010458 tr........N....X + 7ce30 7468616c 5f686176 655f7069 66000000 thal_have_pif... + 7ce40 01f60503 004e87c2 01027368 6f727420 .....N....short + 7ce50 756e7369 676e6564 20696e74 00070203 unsigned int.... + 7ce60 000004e4 04587468 616c5f6e 756d5f77 .....Xthal_num_w + 7ce70 72697465 62756666 65725f65 6e747269 ritebuffer_entri + 7ce80 65730000 0004fa05 03004e87 c4010458 es........N....X + 7ce90 7468616c 5f627569 6c645f75 6e697175 thal_build_uniqu + 7cea0 655f6964 00000000 f2050300 4e87c801 e_id........N... + 7ceb0 04587468 616c5f68 775f636f 6e666967 .Xthal_hw_config + 7cec0 69643000 000000f2 0503004e 87cc0104 id0........N.... + 7ced0 58746861 6c5f6877 5f636f6e 66696769 Xthal_hw_configi + 7cee0 64310000 0000f205 03004e87 d0010458 d1........N....X + 7cef0 7468616c 5f68775f 72656c65 6173655f thal_hw_release_ + 7cf00 6d616a6f 72000000 00f20503 004e87d4 major........N.. + 7cf10 01045874 68616c5f 68775f72 656c6561 ..Xthal_hw_relea + 7cf20 73655f6d 696e6f72 00000000 f2050300 se_minor........ + 7cf30 500b5401 04587468 616c5f68 775f7265 P.T..Xthal_hw_re + 7cf40 6c656173 655f6e61 6d650000 00015005 lease_name....P. + 7cf50 03004e87 d8010600 00013708 000005fe ..N.......7..... + 7cf60 07070004 58746861 6c5f6877 5f6d696e ....Xthal_hw_min + 7cf70 5f766572 73696f6e 5f6d616a 6f720000 _version_major.. + 7cf80 0000f205 03004e87 dc010458 7468616c ......N....Xthal + 7cf90 5f68775f 6d696e5f 76657273 696f6e5f _hw_min_version_ + 7cfa0 6d696e6f 72000000 00f20503 00500b58 minor........P.X + 7cfb0 01045874 68616c5f 68775f6d 61785f76 ..Xthal_hw_max_v + 7cfc0 65727369 6f6e5f6d 616a6f72 00000000 ersion_major.... + 7cfd0 f2050300 4e87e001 04587468 616c5f68 ....N....Xthal_h + 7cfe0 775f6d61 785f7665 7273696f 6e5f6d69 w_max_version_mi + 7cff0 6e6f7200 000000f2 05030050 0b5c0104 nor........P.\.. + 7d000 58746861 6c5f6877 5f72656c 65617365 Xthal_hw_release + 7d010 5f696e74 65726e61 6c000000 01500503 _internal....P.. + 7d020 00500b60 01045874 68616c5f 68617665 .P.`..Xthal_have + 7d030 5f737061 6e6e696e 675f7761 79000000 _spanning_way... + 7d040 01f60503 004e87e4 01045874 68616c5f .....N....Xthal_ + 7d050 68617665 5f696465 6e746974 795f6d61 have_identity_ma + 7d060 70000000 01f60503 004e87e5 01045874 p........N....Xt + 7d070 68616c5f 68617665 5f6d696d 69635f63 hal_have_mimic_c + 7d080 61636865 61747472 00000001 f6050300 acheattr........ + 7d090 4e87e601 04587468 616c5f68 6176655f N....Xthal_have_ + 7d0a0 786c745f 63616368 65617474 72000000 xlt_cacheattr... + 7d0b0 01f60503 00500b64 01045874 68616c5f .....P.d..Xthal_ + 7d0c0 68617665 5f636163 68656174 74720000 have_cacheattr.. + 7d0d0 0001f605 0300500b 65010458 7468616c ......P.e..Xthal + 7d0e0 5f686176 655f746c 62730000 0001f605 _have_tlbs...... + 7d0f0 03004e87 e7010458 7468616c 5f6d6d75 ..N....Xthal_mmu + 7d100 5f617369 645f6269 74730000 0001f605 _asid_bits...... + 7d110 0300500b 66010458 7468616c 5f6d6d75 ..P.f..Xthal_mmu + 7d120 5f617369 645f6b65 726e656c 00000001 _asid_kernel.... + 7d130 f6050300 500b6701 04587468 616c5f6d ....P.g..Xthal_m + 7d140 6d755f72 696e6773 00000001 f6050300 mu_rings........ + 7d150 4e87e801 04587468 616c5f6d 6d755f72 N....Xthal_mmu_r + 7d160 696e675f 62697473 00000001 f6050300 ing_bits........ + 7d170 500b6801 04587468 616c5f6d 6d755f73 P.h..Xthal_mmu_s + 7d180 725f6269 74730000 0001f605 0300500b r_bits........P. + 7d190 69010458 7468616c 5f6d6d75 5f63615f i..Xthal_mmu_ca_ + 7d1a0 62697473 00000001 f6050300 4e87e901 bits........N... + 7d1b0 04587468 616c5f6d 6d755f6d 61785f70 .Xthal_mmu_max_p + 7d1c0 74655f70 6167655f 73697a65 00000000 te_page_size.... + 7d1d0 f2050300 4e87ec01 04587468 616c5f6d ....N....Xthal_m + 7d1e0 6d755f6d 696e5f70 74655f70 6167655f mu_min_pte_page_ + 7d1f0 73697a65 00000000 f2050300 4e87f001 size........N... + 7d200 04587468 616c5f69 746c625f 7761795f .Xthal_itlb_way_ + 7d210 62697473 00000001 f6050300 500b6a01 bits........P.j. + 7d220 04587468 616c5f69 746c625f 77617973 .Xthal_itlb_ways + 7d230 00000001 f6050300 4e87f401 04587468 ........N....Xth + 7d240 616c5f69 746c625f 6172665f 77617973 al_itlb_arf_ways + 7d250 00000001 f6050300 500b6b01 04587468 ........P.k..Xth + 7d260 616c5f64 746c625f 7761795f 62697473 al_dtlb_way_bits + 7d270 00000001 f6050300 500b6c01 04587468 ........P.l..Xth + 7d280 616c5f64 746c625f 77617973 00000001 al_dtlb_ways.... + 7d290 f6050300 4e87f501 04587468 616c5f64 ....N....Xthal_d + 7d2a0 746c625f 6172665f 77617973 00000001 tlb_arf_ways.... + 7d2b0 f6050300 500b6d01 04587468 616c5f6e ....P.m..Xthal_n + 7d2c0 756d5f69 6e737472 6f6d0000 0001f605 um_instrom...... + 7d2d0 0300500b 6e010458 7468616c 5f6e756d ..P.n..Xthal_num + 7d2e0 5f696e73 7472616d 00000001 f6050300 _instram........ + 7d2f0 4e87f601 04587468 616c5f6e 756d5f64 N....Xthal_num_d + 7d300 61746172 6f6d0000 0001f605 0300500b atarom........P. + 7d310 6f010458 7468616c 5f6e756d 5f646174 o..Xthal_num_dat + 7d320 6172616d 00000001 f6050300 4e87f701 aram........N... + 7d330 04587468 616c5f6e 756d5f78 6c6d6900 .Xthal_num_xlmi. + 7d340 000001f6 05030050 0b700103 000000e2 .......P.p...... + 7d350 06000009 e6040000 09f80700 00030000 ................ + 7d360 09eb0300 0000e206 000009fd 0400000a ................ + 7d370 0f070000 04587468 616c5f69 6e737472 .....Xthal_instr + 7d380 6f6d5f76 61646472 00000009 f8050300 om_vaddr........ + 7d390 4e87fc01 04587468 616c5f69 6e737472 N....Xthal_instr + 7d3a0 6f6d5f70 61646472 00000009 f8050300 om_paddr........ + 7d3b0 4e880001 04587468 616c5f69 6e737472 N....Xthal_instr + 7d3c0 6f6d5f73 697a6500 000009f8 0503004e om_size........N + 7d3d0 88040104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d3e0 6d5f7661 64647200 000009f8 0503004e m_vaddr........N + 7d3f0 88080104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d400 6d5f7061 64647200 000009f8 0503004e m_paddr........N + 7d410 880c0104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d420 6d5f7369 7a650000 0009f805 03004e88 m_size........N. + 7d430 10010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d440 5f766164 64720000 0009f805 03004e88 _vaddr........N. + 7d450 14010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d460 5f706164 64720000 0009f805 03004e88 _paddr........N. + 7d470 18010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d480 5f73697a 65000000 09f80503 004e881c _size........N.. + 7d490 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4a0 76616464 72000000 09f80503 004e8820 vaddr........N. + 7d4b0 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4c0 70616464 72000000 09f80503 004e8824 paddr........N.$ + 7d4d0 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4e0 73697a65 00000009 f8050300 4e882801 size........N.(. + 7d4f0 04587468 616c5f78 6c6d695f 76616464 .Xthal_xlmi_vadd + 7d500 72000000 09f80503 004e882c 01045874 r........N.,..Xt + 7d510 68616c5f 786c6d69 5f706164 64720000 hal_xlmi_paddr.. + 7d520 0009f805 03004e88 30010458 7468616c ......N.0..Xthal + 7d530 5f786c6d 695f7369 7a650000 0009f805 _xlmi_size...... + 7d540 03004e88 34010458 7468616c 5f686176 ..N.4..Xthal_hav + 7d550 655f6363 6f756e74 00000001 f6050300 e_ccount........ + 7d560 4e87f801 04587468 616c5f6e 756d5f63 N....Xthal_num_c + 7d570 636f6d70 61726500 000001f6 0503004e compare........N + 7d580 87f90100 00000000 cf000200 002e2004 .............. . + 7d590 010001c1 59008e64 28008e65 5f2f686f ....Y..d(..e_/ho + 7d5a0 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 7d5b0 2f52422d 32303037 2e322f74 6f6f6c73 /RB-2007.2/tools + 7d5c0 2f737774 6f6f6c73 2d783836 2d6c696e /swtools-x86-lin + 7d5d0 75782f78 74656e73 612d656c 662f7372 ux/xtensa-elf/sr + 7d5e0 632f6861 6c2f7769 6e646f77 7370696c c/hal/windowspil + 7d5f0 6c5f6173 6d2e5300 2f70726f 6a656374 l_asm.S./project + 7d600 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- + 7d610 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath + 7d620 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie + 7d630 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild + 7d640 2f4f532f 68616c00 474e5520 41532032 /OS/hal.GNU AS 2 + 7d650 2e31362e 31008001 000000c7 00020000 .16.1........... + 7d660 2e340401 0001c48e 008e6980 008e6988 .4........i...i. + 7d670 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t + 7d680 7265652f 52422d32 3030372e 322f746f ree/RB-2007.2/to + 7d690 6f6c732f 7377746f 6f6c732d 7838362d ols/swtools-x86- + 7d6a0 6c696e75 782f7874 656e7361 2d656c66 linux/xtensa-elf + 7d6b0 2f737263 2f68616c 2f696e74 5f61736d /src/hal/int_asm + 7d6c0 2e53002f 70726f6a 6563742f 63757374 .S./project/cust + 7d6d0 2f67656e 6170702f 52422d32 3030372e /genapp/RB-2007. + 7d6e0 322f6275 696c642f 61746865 726f732f 2/build/atheros/ + 7d6f0 70726f64 2f4d6167 7069655f 50302f38 prod/Magpie_P0/8 + 7d700 33373433 2f786275 696c642f 4f532f68 3743/xbuild/OS/h + 7d710 616c0047 4e552041 5320322e 31362e31 al.GNU AS 2.16.1 + 7d720 00800100 0000c700 0200002e 48040100 ............H... + 7d730 01c51a00 8e698800 8e69902f 686f6d65 .....i...i./home + 7d740 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 7d750 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s + 7d760 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux + 7d770 2f787465 6e73612d 656c662f 7372632f /xtensa-elf/src/ + 7d780 68616c2f 696e745f 61736d2e 53002f70 hal/int_asm.S./p + 7d790 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 7d7a0 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 7d7b0 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 7d7c0 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 7d7d0 78627569 6c642f4f 532f6861 6c00474e xbuild/OS/hal.GN + 7d7e0 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 7d7f0 00c70002 00002e5c 04010001 c5a7008e .......\........ + 7d800 6990008e 69982f68 6f6d652f 63757374 i...i./home/cust + 7d810 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 7d820 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 7d830 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 7d840 73612d65 6c662f73 72632f68 616c2f69 sa-elf/src/hal/i + 7d850 6e745f61 736d2e53 002f7072 6f6a6563 nt_asm.S./projec + 7d860 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 7d870 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 7d880 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 7d890 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 7d8a0 642f4f53 2f68616c 00474e55 20415320 d/OS/hal.GNU AS + 7d8b0 322e3136 2e310080 01000002 25000200 2.16.1......%... + 7d8c0 002e7004 012f686f 6d652f63 7573746f ..p../home/custo + 7d8d0 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 7d8e0 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 7d8f0 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 7d900 612d656c 662f7372 632f6861 6c2f696e a-elf/src/hal/in + 7d910 74657272 75707473 2e63002f 70726f6a terrupts.c./proj + 7d920 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 7d930 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 7d940 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 7d950 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 7d960 696c642f 4f532f68 616c0078 742d7863 ild/OS/hal.xt-xc + 7d970 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 7d980 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 7d990 6f6e733d 3332202d 4f32202d 67330001 ons=32 -O2 -g3.. + 7d9a0 0002756e 7369676e 65642063 68617200 ..unsigned char. + 7d9b0 07010275 6e736967 6e656420 696e7400 ...unsigned int. + 7d9c0 07040300 0000f940 00000116 040f0005 .......@........ + 7d9d0 000000f9 01400000 01260404 040f0005 .....@...&...... + 7d9e0 000000f9 01000000 01360403 040f0006 .........6...... + 7d9f0 58744861 6c565072 69537461 74650002 XtHalVPriState.. + 7da00 50000001 de077670 72690000 0000e802 P.....vpri...... + 7da10 2300076c 6f636b6c 6576656c 00000000 #..locklevel.... + 7da20 e8022301 076c6f63 6b767072 69000000 ..#..lockvpri... + 7da30 00e80223 02077061 64300000 0000e802 ...#..pad0...... + 7da40 23030765 6e61626c 65640000 0000f902 #..enabled...... + 7da50 2304076c 6f636b6d 61736b00 000000f9 #..lockmask..... + 7da60 02230807 70616431 00000000 f902230c .#..pad1......#. + 7da70 07656e61 626c656d 61700000 00011602 .enablemap...... + 7da80 23100772 65736f6c 76656d61 70000000 #..resolvemap... + 7da90 01260323 d0020008 000000e8 08000000 .&.#............ + 7daa0 e8030000 01e32000 0001f504 1f000800 ...... ......... + 7dab0 0001e808 000000e8 03000001 fa200000 ............. .. + 7dac0 020c041f 00095874 68616c5f 696e746c ......Xthal_intl + 7dad0 6576656c 00000001 f5050300 4e884001 evel........N.@. + 7dae0 00000000 00f00002 00002ed2 04010001 ................ + 7daf0 c820008e 6998008e 6a002f68 6f6d652f . ..i...j./home/ + 7db00 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 7db10 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw + 7db20 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ + 7db30 7874656e 73612d65 6c662f73 72632f6c xtensa-elf/src/l + 7db40 69626763 632d7863 632f636f 6e666967 ibgcc-xcc/config + 7db50 2f787465 6e73612f 6c696231 66756e63 /xtensa/lib1func + 7db60 732e6173 6d002f70 726f6a65 63742f63 s.asm./project/c + 7db70 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 + 7db80 30372e32 2f627569 6c642f61 74686572 07.2/build/ather + 7db90 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P + 7dba0 302f3833 3734332f 78627569 6c642f54 0/83743/xbuild/T + 7dbb0 61726765 742d6c69 62732f6c 69626763 arget-libs/libgc + 7dbc0 632d7863 6300474e 55204153 20322e31 c-xcc.GNU AS 2.1 + 7dbd0 362e3100 80010000 00f00002 00002ee6 6.1............. + 7dbe0 04010001 c98c008e 6a00008e 6a492f68 ........j...jI/h + 7dbf0 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 7dc00 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool + 7dc10 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li + 7dc20 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s + 7dc30 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co + 7dc40 6e666967 2f787465 6e73612f 6c696231 nfig/xtensa/lib1 + 7dc50 66756e63 732e6173 6d002f70 726f6a65 funcs.asm./proje + 7dc60 63742f63 7573742f 67656e61 70702f52 ct/cust/genapp/R + 7dc70 422d3230 30372e32 2f627569 6c642f61 B-2007.2/build/a + 7dc80 74686572 6f732f70 726f642f 4d616770 theros/prod/Magp + 7dc90 69655f50 302f3833 3734332f 78627569 ie_P0/83743/xbui + 7dca0 6c642f54 61726765 742d6c69 62732f6c ld/Target-libs/l + 7dcb0 69626763 632d7863 6300474e 55204153 ibgcc-xcc.GNU AS + 7dcc0 20322e31 362e3100 80010000 00f00002 2.16.1......... + 7dcd0 00002efa 04010001 cab0008e 6a4c008e ............jL.. + 7dce0 6a9c2f68 6f6d652f 63757374 6f6d6572 j./home/customer + 7dcf0 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 7dd00 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 + 7dd10 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e + 7dd20 6c662f73 72632f6c 69626763 632d7863 lf/src/libgcc-xc + 7dd30 632f636f 6e666967 2f787465 6e73612f c/config/xtensa/ + 7dd40 6c696231 66756e63 732e6173 6d002f70 lib1funcs.asm./p + 7dd50 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 7dd60 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 7dd70 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 7dd80 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 7dd90 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li + 7dda0 62732f6c 69626763 632d7863 6300474e bs/libgcc-xcc.GN + 7ddb0 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 7ddc0 00f00002 00002f0e 04010001 cbe6008e ....../......... + 7ddd0 6a9c008e 6ad92f68 6f6d652f 63757374 j...j./home/cust + 7dde0 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 7ddf0 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 7de00 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 7de10 73612d65 6c662f73 72632f6c 69626763 sa-elf/src/libgc + 7de20 632d7863 632f636f 6e666967 2f787465 c-xcc/config/xte + 7de30 6e73612f 6c696231 66756e63 732e6173 nsa/lib1funcs.as + 7de40 6d002f70 726f6a65 63742f63 7573742f m./project/cust/ + 7de50 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 + 7de60 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p + 7de70 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 + 7de80 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe + 7de90 742d6c69 62732f6c 69626763 632d7863 t-libs/libgcc-xc + 7dea0 6300474e 55204153 20322e31 362e3100 c.GNU AS 2.16.1. + 7deb0 80010000 0b3c0002 00002f22 04012f68 .....<..../"../h + 7dec0 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 7ded0 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro + 7dee0 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target + 7def0 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new + 7df00 6c69622f 6c696263 2f737472 696e672f lib/libc/string/ + 7df10 6d656d63 6d702e63 002f7072 6f6a6563 memcmp.c./projec + 7df20 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 7df30 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 7df40 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 7df50 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 7df60 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne + 7df70 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ + 7df80 6e65776c 69622f6c 6962632f 73747269 newlib/libc/stri + 7df90 6e670078 742d7863 6320666f 7220372e ng.xt-xcc for 7. + 7dfa0 312e3020 2d4f5054 3a616c69 676e5f69 1.0 -OPT:align_i + 7dfb0 6e737472 75637469 6f6e733d 3332202d nstructions=32 - + 7dfc0 4f32202d 67330001 000001cc ec02756e O2 -g3........un + 7dfd0 7369676e 65642069 6e740007 04037769 signed int....wi + 7dfe0 6e745f74 00000001 1b02756e 7369676e nt_t......unsign + 7dff0 65642063 68617200 07010400 00013704 ed char.......7. + 7e000 00000155 05030006 04000001 79075f5f ...U........y.__ + 7e010 77636800 0000012b 02230007 5f5f7763 wch....+.#..__wc + 7e020 68620000 00014802 23000002 696e7400 hb....H.#...int. + 7e030 05040808 000001a7 075f5f63 6f756e74 .........__count + 7e040 00000001 79022300 075f5f76 616c7565 ....y.#..__value + 7e050 00000001 55022304 00095f42 6967696e ....U.#..._Bigin + 7e060 74001800 00020507 5f6e6578 74000000 t......._next... + 7e070 02050223 00075f6b 00000001 79022304 ...#.._k....y.#. + 7e080 075f6d61 78776473 00000001 79022308 ._maxwds....y.#. + 7e090 075f7369 676e0000 00017902 230c075f ._sign....y.#.._ + 7e0a0 77647300 00000179 02231007 5f780000 wds....y.#.._x.. + 7e0b0 00022e02 2314000a 000001a7 0400026c ....#..........l + 7e0c0 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 7e0d0 00070403 5f5f554c 6f6e6700 0000020c ....__ULong..... + 7e0e0 04000002 21040000 023b0500 000a0000 ....!....;...... + 7e0f0 01a70400 095f5f74 6d002400 0002ee07 .....__tm.$..... + 7e100 5f5f746d 5f736563 00000001 79022300 __tm_sec....y.#. + 7e110 075f5f74 6d5f6d69 6e000000 01790223 .__tm_min....y.# + 7e120 04075f5f 746d5f68 6f757200 00000179 ..__tm_hour....y + 7e130 02230807 5f5f746d 5f6d6461 79000000 .#..__tm_mday... + 7e140 01790223 0c075f5f 746d5f6d 6f6e0000 .y.#..__tm_mon.. + 7e150 00017902 2310075f 5f746d5f 79656172 ..y.#..__tm_year + 7e160 00000001 79022314 075f5f74 6d5f7764 ....y.#..__tm_wd + 7e170 61790000 00017902 2318075f 5f746d5f ay....y.#..__tm_ + 7e180 79646179 00000001 7902231c 075f5f74 yday....y.#..__t + 7e190 6d5f6973 64737400 00000179 02232000 m_isdst....y.# . + 7e1a0 0b040004 000002ee 80000002 fe051f00 ................ + 7e1b0 0c5f6f6e 5f657869 745f6172 67730001 ._on_exit_args.. + 7e1c0 08000003 5c075f66 6e617267 73000000 ....\._fnargs... + 7e1d0 02f10223 00075f64 736f5f68 616e646c ...#.._dso_handl + 7e1e0 65000000 02f10323 8001075f 666e7479 e......#..._fnty + 7e1f0 70657300 00000221 03238002 075f6973 pes....!.#..._is + 7e200 5f637861 00000002 21032384 02000c5f _cxa....!.#...._ + 7e210 61746578 69740001 90000003 ab075f6e atexit........_n + 7e220 65787400 000003ab 02230007 5f696e64 ext......#.._ind + 7e230 00000001 79022304 075f666e 73000000 ....y.#.._fns... + 7e240 03bb0223 08075f6f 6e5f6578 69745f61 ...#.._on_exit_a + 7e250 72677300 000002fe 03238801 000a0000 rgs......#...... + 7e260 035c0400 0d010a00 0003b204 00040000 .\.............. + 7e270 03b48000 0003c805 1f000a00 00035c04 ..............\. + 7e280 000a0000 01370400 095f5f73 62756600 .....7...__sbuf. + 7e290 08000004 00075f62 61736500 000003cf ......_base..... + 7e2a0 02230007 5f73697a 65000000 01790223 .#.._size....y.# + 7e2b0 04000273 686f7274 20696e74 00050202 ...short int.... + 7e2c0 63686172 0007010a 0000040d 04000e00 char............ + 7e2d0 00017901 0a000004 1c04000f 0000040d ..y............. + 7e2e0 0f000004 0d0a0000 042e0400 0e000001 ................ + 7e2f0 79010a00 00043a04 00026c6f 6e672069 y.....:...long i + 7e300 6e740005 04035f66 706f735f 74000000 nt...._fpos_t... + 7e310 04470e00 00045301 0a000004 6004000e .G....S.....`... + 7e320 00000179 010a0000 046d0400 04000001 ...y.....m...... + 7e330 37030000 04870502 00040000 01370100 7............7.. + 7e340 00049405 00000c5f 7265656e 74000400 ......._reent... + 7e350 0000061b 075f6572 726e6f00 00000179 ....._errno....y + 7e360 02230007 5f737464 696e0000 0007cf02 .#.._stdin...... + 7e370 2304075f 7374646f 75740000 0007cf02 #.._stdout...... + 7e380 2308075f 73746465 72720000 0007cf02 #.._stderr...... + 7e390 230c075f 696e6300 00000179 02231007 #.._inc....y.#.. + 7e3a0 5f656d65 7267656e 63790000 000a7e02 _emergency....~. + 7e3b0 2314075f 63757272 656e745f 63617465 #.._current_cate + 7e3c0 676f7279 00000001 79022330 075f6375 gory....y.#0._cu + 7e3d0 7272656e 745f6c6f 63616c65 00000004 rrent_locale.... + 7e3e0 33022334 075f5f73 64696469 6e697400 3.#4.__sdidinit. + 7e3f0 00000179 02233807 5f5f636c 65616e75 ...y.#8.__cleanu + 7e400 70000000 0a8d0223 3c075f72 6573756c p......#<._resul + 7e410 74000000 023b0223 40075f72 6573756c t....;.#@._resul + 7e420 745f6b00 00000179 02234407 5f703573 t_k....y.#D._p5s + 7e430 00000002 3b022348 075f6672 65656c69 ....;.#H._freeli + 7e440 73740000 000a9402 234c075f 6376746c st......#L._cvtl + 7e450 656e0000 00017902 2350075f 63767462 en....y.#P._cvtb + 7e460 75660000 00041502 2354075f 6e657700 uf......#T._new. + 7e470 00000a58 02235807 5f617465 78697400 ...X.#X._atexit. + 7e480 000003c8 0323c802 075f6174 65786974 .....#..._atexit + 7e490 30000000 035c0323 cc02075f 7369675f 0....\.#..._sig_ + 7e4a0 66756e63 0000000a a40323dc 05075f5f func......#...__ + 7e4b0 73676c75 65000000 07840323 e005075f sglue......#..._ + 7e4c0 5f736600 00000aab 0323ec05 000a0000 _sf......#...... + 7e4d0 04940400 035f4c4f 434b5f52 45435552 ....._LOCK_RECUR + 7e4e0 53495645 5f540000 00017903 5f666c6f SIVE_T....y._flo + 7e4f0 636b5f74 00000006 22095f5f 7346494c ck_t....".__sFIL + 7e500 45005c00 00078407 5f700000 0003cf02 E.\....._p...... + 7e510 2300075f 72000000 01790223 04075f77 #.._r....y.#.._w + 7e520 00000001 79022308 075f666c 61677300 ....y.#.._flags. + 7e530 00000400 02230c07 5f66696c 65000000 .....#.._file... + 7e540 04000223 0e075f62 66000000 03d60223 ...#.._bf......# + 7e550 10075f6c 62667369 7a650000 00017902 .._lbfsize....y. + 7e560 2318075f 636f6f6b 69650000 0002ee02 #.._cookie...... + 7e570 231c075f 72656164 00000004 22022320 #.._read....".# + 7e580 075f7772 69746500 00000440 02232407 ._write....@.#$. + 7e590 5f736565 6b000000 04660223 28075f63 _seek....f.#(._c + 7e5a0 6c6f7365 00000004 7302232c 075f7562 lose....s.#,._ub + 7e5b0 00000003 d6022330 075f7570 00000003 ......#0._up.... + 7e5c0 cf022338 075f7572 00000001 7902233c ..#8._ur....y.#< + 7e5d0 075f7562 75660000 00047a02 2340075f ._ubuf....z.#@._ + 7e5e0 6e627566 00000004 87022343 075f6c62 nbuf......#C._lb + 7e5f0 00000003 d6022344 075f626c 6b73697a ......#D._blksiz + 7e600 65000000 01790223 4c075f6f 66667365 e....y.#L._offse + 7e610 74000000 01790223 50075f64 61746100 t....y.#P._data. + 7e620 0000061b 02235407 5f6c6f63 6b000000 .....#T._lock... + 7e630 06390223 5800095f 676c7565 000c0000 .9.#X.._glue.... + 7e640 07bc075f 6e657874 00000007 bc022300 ..._next......#. + 7e650 075f6e69 6f627300 00000179 02230407 ._niobs....y.#.. + 7e660 5f696f62 73000000 07cf0223 08000a00 _iobs......#.... + 7e670 00078404 00035f5f 46494c45 00000006 ......__FILE.... + 7e680 470a0000 07c30400 0a000007 84040002 G............... + 7e690 73686f72 7420756e 7369676e 65642069 short unsigned i + 7e6a0 6e740007 02040000 07dd0600 00080005 nt.............. + 7e6b0 0200095f 72616e64 3438000e 00000838 ..._rand48.....8 + 7e6c0 075f7365 65640000 0007f302 2300075f ._seed......#.._ + 7e6d0 6d756c74 00000007 f3022306 075f6164 mult......#.._ad + 7e6e0 64000000 07dd0223 0c000400 00040d1a d......#........ + 7e6f0 00000845 05190002 6c6f6e67 206c6f6e ...E....long lon + 7e700 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 7e710 08035f6d 62737461 74655f74 00000001 .._mbstate_t.... + 7e720 80040000 040d0800 00087c05 07000400 ..........|..... + 7e730 00040d18 00000889 05170008 d000000a ................ + 7e740 17075f75 6e757365 645f7261 6e640000 .._unused_rand.. + 7e750 00011b02 2300075f 73747274 6f6b5f6c ....#.._strtok_l + 7e760 61737400 00000415 02230407 5f617363 ast......#.._asc + 7e770 74696d65 5f627566 00000008 38022308 time_buf....8.#. + 7e780 075f6c6f 63616c74 696d655f 62756600 ._localtime_buf. + 7e790 00000242 02232407 5f67616d 6d615f73 ...B.#$._gamma_s + 7e7a0 69676e67 616d0000 00017902 2348075f igngam....y.#H._ + 7e7b0 72616e64 5f6e6578 74000000 08450223 rand_next....E.# + 7e7c0 50075f72 34380000 00080002 2358075f P._r48......#X._ + 7e7d0 6d626c65 6e5f7374 61746500 0000085f mblen_state...._ + 7e7e0 02236807 5f6d6274 6f77635f 73746174 .#h._mbtowc_stat + 7e7f0 65000000 085f0223 70075f77 63746f6d e...._.#p._wctom + 7e800 625f7374 61746500 0000085f 02237807 b_state...._.#x. + 7e810 5f6c3634 615f6275 66000000 086f0323 _l64a_buf....o.# + 7e820 8001075f 7369676e 616c5f62 75660000 ..._signal_buf.. + 7e830 00087c03 23880107 5f676574 64617465 ..|.#..._getdate + 7e840 5f657272 00000001 790323a0 01075f6d _err....y.#..._m + 7e850 62726c65 6e5f7374 61746500 0000085f brlen_state...._ + 7e860 0323a401 075f6d62 72746f77 635f7374 .#..._mbrtowc_st + 7e870 61746500 0000085f 0323ac01 075f6d62 ate...._.#..._mb + 7e880 7372746f 7763735f 73746174 65000000 srtowcs_state... + 7e890 085f0323 b401075f 77637274 6f6d625f ._.#..._wcrtomb_ + 7e8a0 73746174 65000000 085f0323 bc01075f state...._.#..._ + 7e8b0 77637372 746f6d62 735f7374 61746500 wcsrtombs_state. + 7e8c0 0000085f 0323c401 00040000 03cf7800 ..._.#........x. + 7e8d0 000a2405 1d000400 00011b78 00000a31 ..$........x...1 + 7e8e0 051d0008 f000000a 58075f6e 65787466 ........X._nextf + 7e8f0 0000000a 17022300 075f6e6d 616c6c6f ......#.._nmallo + 7e900 63000000 0a240223 780006f0 00000a7e c....$.#x......~ + 7e910 075f7265 656e7400 00000889 02230007 ._reent......#.. + 7e920 5f756e75 73656400 00000a31 02230000 _unused....1.#.. + 7e930 04000004 0d190000 0a8b0518 000d010a ................ + 7e940 00000a8b 04000a00 00023b04 000d010a ..........;..... + 7e950 00000a9b 04000a00 000a9d04 00100000 ................ + 7e960 07c30114 00000ab9 05020011 110a0000 ................ + 7e970 0aba0400 0373697a 655f7400 0000011b .....size_t..... + 7e980 0e000001 79010a00 00020c04 00120139 ....y..........9 + 7e990 6d656d63 6d700000 00017901 01039201 memcmp....y..... + 7e9a0 20029000 008e6adc 008e6b21 1301396d .....j...k!..9m + 7e9b0 31000000 0abb0152 1301396d 32000000 1......R..9m2... + 7e9c0 0abb0153 1301396e 0000000a c2015414 ...S..9n......T. + 7e9d0 73310000 0003cf14 73320000 0003cf14 s1......s2...... + 7e9e0 61310000 000ad414 61320000 000ad400 a1......a2...... + 7e9f0 00000000 01090002 00002ff9 04010001 ........../..... + 7ea00 cfdc008e 6b24008e 6c592f68 6f6d652f ....k$..lY/home/ + 7ea10 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 7ea20 2d323030 372e322f 7034726f 6f742f58 -2007.2/p4root/X + 7ea30 74656e73 612f5461 72676574 2d6c6962 tensa/Target-lib + 7ea40 732f6e65 776c6962 2f6e6577 6c69622f s/newlib/newlib/ + 7ea50 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte + 7ea60 6e73612f 6d656d63 70792e53 002f7072 nsa/memcpy.S./pr + 7ea70 6f6a6563 742f6375 73742f67 656e6170 oject/cust/genap + 7ea80 702f5242 2d323030 372e322f 6275696c p/RB-2007.2/buil + 7ea90 642f6174 6865726f 732f7072 6f642f4d d/atheros/prod/M + 7eaa0 61677069 655f5030 2f383337 34332f78 agpie_P0/83743/x + 7eab0 6275696c 642f5461 72676574 2d6c6962 build/Target-lib + 7eac0 732f6e65 776c6962 2f787465 6e73612d s/newlib/xtensa- + 7ead0 656c662f 6e65776c 69622f6c 6962632f elf/newlib/libc/ + 7eae0 6d616368 696e652f 7874656e 73610047 machine/xtensa.G + 7eaf0 4e552041 5320322e 31362e31 00800100 NU AS 2.16.1.... + 7eb00 000b7d00 02000030 0d04012f 686f6d65 ..}....0.../home + 7eb10 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 7eb20 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 7eb30 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 7eb40 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 7eb50 2f6c6962 632f7374 72696e67 2f6d656d /libc/string/mem + 7eb60 6d6f7665 2e63002f 70726f6a 6563742f move.c./project/ + 7eb70 63757374 2f67656e 6170702f 52422d32 cust/genapp/RB-2 + 7eb80 3030372e 322f6275 696c642f 61746865 007.2/build/athe + 7eb90 726f732f 70726f64 2f4d6167 7069655f ros/prod/Magpie_ + 7eba0 50302f38 33373433 2f786275 696c642f P0/83743/xbuild/ + 7ebb0 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 7ebc0 69622f78 74656e73 612d656c 662f6e65 ib/xtensa-elf/ne + 7ebd0 776c6962 2f6c6962 632f7374 72696e67 wlib/libc/string + 7ebe0 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7ebf0 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7ec00 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7ec10 202d6733 00010000 01d33102 756e7369 -g3......1.unsi + 7ec20 676e6564 20696e74 00070403 77696e74 gned int....wint + 7ec30 5f740000 00011c02 756e7369 676e6564 _t......unsigned + 7ec40 20636861 72000701 04000001 38040000 char.......8... + 7ec50 01560503 00060400 00017a07 5f5f7763 .V........z.__wc + 7ec60 68000000 012c0223 00075f5f 77636862 h....,.#..__wchb + 7ec70 00000001 49022300 0002696e 74000504 ....I.#...int... + 7ec80 08080000 01a8075f 5f636f75 6e740000 .......__count.. + 7ec90 00017a02 2300075f 5f76616c 75650000 ..z.#..__value.. + 7eca0 00015602 23040009 5f426967 696e7400 ..V.#..._Bigint. + 7ecb0 18000002 06075f6e 65787400 00000206 ......_next..... + 7ecc0 02230007 5f6b0000 00017a02 2304075f .#.._k....z.#.._ + 7ecd0 6d617877 64730000 00017a02 2308075f maxwds....z.#.._ + 7ece0 7369676e 00000001 7a02230c 075f7764 sign....z.#.._wd + 7ecf0 73000000 017a0223 10075f78 00000002 s....z.#.._x.... + 7ed00 2f022314 000a0000 01a80400 026c6f6e /.#..........lon + 7ed10 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 7ed20 04035f5f 554c6f6e 67000000 020d0400 ..__ULong....... + 7ed30 00022204 0000023c 0500000a 000001a8 .."....<........ + 7ed40 0400095f 5f746d00 24000002 ef075f5f ...__tm.$.....__ + 7ed50 746d5f73 65630000 00017a02 2300075f tm_sec....z.#.._ + 7ed60 5f746d5f 6d696e00 0000017a 02230407 _tm_min....z.#.. + 7ed70 5f5f746d 5f686f75 72000000 017a0223 __tm_hour....z.# + 7ed80 08075f5f 746d5f6d 64617900 0000017a ..__tm_mday....z + 7ed90 02230c07 5f5f746d 5f6d6f6e 00000001 .#..__tm_mon.... + 7eda0 7a022310 075f5f74 6d5f7965 61720000 z.#..__tm_year.. + 7edb0 00017a02 2314075f 5f746d5f 77646179 ..z.#..__tm_wday + 7edc0 00000001 7a022318 075f5f74 6d5f7964 ....z.#..__tm_yd + 7edd0 61790000 00017a02 231c075f 5f746d5f ay....z.#..__tm_ + 7ede0 69736473 74000000 017a0223 20000b04 isdst....z.# ... + 7edf0 00040000 02ef8000 0002ff05 1f000c5f ..............._ + 7ee00 6f6e5f65 7869745f 61726773 00010800 on_exit_args.... + 7ee10 00035d07 5f666e61 72677300 000002f2 ..]._fnargs..... + 7ee20 02230007 5f64736f 5f68616e 646c6500 .#.._dso_handle. + 7ee30 000002f2 03238001 075f666e 74797065 .....#..._fntype + 7ee40 73000000 02220323 8002075f 69735f63 s....".#..._is_c + 7ee50 78610000 00022203 23840200 0c5f6174 xa....".#...._at + 7ee60 65786974 00019000 0003ac07 5f6e6578 exit........_nex + 7ee70 74000000 03ac0223 00075f69 6e640000 t......#.._ind.. + 7ee80 00017a02 2304075f 666e7300 000003bc ..z.#.._fns..... + 7ee90 02230807 5f6f6e5f 65786974 5f617267 .#.._on_exit_arg + 7eea0 73000000 02ff0323 8801000a 0000035d s......#.......] + 7eeb0 04000d01 0a000003 b3040004 000003b5 ................ + 7eec0 80000003 c9051f00 0a000003 5d04000a ............]... + 7eed0 00000138 0400095f 5f736275 66000800 ...8...__sbuf... + 7eee0 00040107 5f626173 65000000 03d00223 ...._base......# + 7eef0 00075f73 697a6500 0000017a 02230400 .._size....z.#.. + 7ef00 0273686f 72742069 6e740005 02026368 .short int....ch + 7ef10 61720007 010a0000 040e0400 0e000001 ar.............. + 7ef20 7a010a00 00041d04 000f0000 040e0f00 z............... + 7ef30 00040e0a 0000042f 04000e00 00017a01 ......./......z. + 7ef40 0a000004 3b040002 6c6f6e67 20696e74 ....;...long int + 7ef50 00050403 5f66706f 735f7400 00000448 ...._fpos_t....H + 7ef60 0e000004 54010a00 00046104 000e0000 ....T.....a..... + 7ef70 017a010a 0000046e 04000400 00013803 .z.....n......8. + 7ef80 00000488 05020004 00000138 01000004 ...........8.... + 7ef90 95050000 0c5f7265 656e7400 04000000 ....._reent..... + 7efa0 061c075f 6572726e 6f000000 017a0223 ..._errno....z.# + 7efb0 00075f73 7464696e 00000007 d0022304 .._stdin......#. + 7efc0 075f7374 646f7574 00000007 d0022308 ._stdout......#. + 7efd0 075f7374 64657272 00000007 d002230c ._stderr......#. + 7efe0 075f696e 63000000 017a0223 10075f65 ._inc....z.#.._e + 7eff0 6d657267 656e6379 0000000a 7f022314 mergency......#. + 7f000 075f6375 7272656e 745f6361 7465676f ._current_catego + 7f010 72790000 00017a02 2330075f 63757272 ry....z.#0._curr + 7f020 656e745f 6c6f6361 6c650000 00043402 ent_locale....4. + 7f030 2334075f 5f736469 64696e69 74000000 #4.__sdidinit... + 7f040 017a0223 38075f5f 636c6561 6e757000 .z.#8.__cleanup. + 7f050 00000a8e 02233c07 5f726573 756c7400 .....#<._result. + 7f060 0000023c 02234007 5f726573 756c745f ...<.#@._result_ + 7f070 6b000000 017a0223 44075f70 35730000 k....z.#D._p5s.. + 7f080 00023c02 2348075f 66726565 6c697374 ..<.#H._freelist + 7f090 0000000a 9502234c 075f6376 746c656e ......#L._cvtlen + 7f0a0 00000001 7a022350 075f6376 74627566 ....z.#P._cvtbuf + 7f0b0 00000004 16022354 075f6e65 77000000 ......#T._new... + 7f0c0 0a590223 58075f61 74657869 74000000 .Y.#X._atexit... + 7f0d0 03c90323 c802075f 61746578 69743000 ...#..._atexit0. + 7f0e0 0000035d 0323cc02 075f7369 675f6675 ...].#..._sig_fu + 7f0f0 6e630000 000aa503 23dc0507 5f5f7367 nc......#...__sg + 7f100 6c756500 00000785 0323e005 075f5f73 lue......#...__s + 7f110 66000000 0aac0323 ec05000a 00000495 f......#........ + 7f120 0400035f 4c4f434b 5f524543 55525349 ..._LOCK_RECURSI + 7f130 56455f54 00000001 7a035f66 6c6f636b VE_T....z._flock + 7f140 5f740000 00062309 5f5f7346 494c4500 _t....#.__sFILE. + 7f150 5c000007 85075f70 00000003 d0022300 \....._p......#. + 7f160 075f7200 0000017a 02230407 5f770000 ._r....z.#.._w.. + 7f170 00017a02 2308075f 666c6167 73000000 ..z.#.._flags... + 7f180 04010223 0c075f66 696c6500 00000401 ...#.._file..... + 7f190 02230e07 5f626600 000003d7 02231007 .#.._bf......#.. + 7f1a0 5f6c6266 73697a65 00000001 7a022318 _lbfsize....z.#. + 7f1b0 075f636f 6f6b6965 00000002 ef02231c ._cookie......#. + 7f1c0 075f7265 61640000 00042302 2320075f ._read....#.# ._ + 7f1d0 77726974 65000000 04410223 24075f73 write....A.#$._s + 7f1e0 65656b00 00000467 02232807 5f636c6f eek....g.#(._clo + 7f1f0 73650000 00047402 232c075f 75620000 se....t.#,._ub.. + 7f200 0003d702 2330075f 75700000 0003d002 ....#0._up...... + 7f210 2338075f 75720000 00017a02 233c075f #8._ur....z.#<._ + 7f220 75627566 00000004 7b022340 075f6e62 ubuf....{.#@._nb + 7f230 75660000 00048802 2343075f 6c620000 uf......#C._lb.. + 7f240 0003d702 2344075f 626c6b73 697a6500 ....#D._blksize. + 7f250 0000017a 02234c07 5f6f6666 73657400 ...z.#L._offset. + 7f260 0000017a 02235007 5f646174 61000000 ...z.#P._data... + 7f270 061c0223 54075f6c 6f636b00 0000063a ...#T._lock....: + 7f280 02235800 095f676c 7565000c 000007bd .#X.._glue...... + 7f290 075f6e65 78740000 0007bd02 2300075f ._next......#.._ + 7f2a0 6e696f62 73000000 017a0223 04075f69 niobs....z.#.._i + 7f2b0 6f627300 000007d0 02230800 0a000007 obs......#...... + 7f2c0 85040003 5f5f4649 4c450000 0006480a ....__FILE....H. + 7f2d0 000007c4 04000a00 00078504 00027368 ..............sh + 7f2e0 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 7f2f0 00070204 000007de 06000008 01050200 ................ + 7f300 095f7261 6e643438 000e0000 0839075f ._rand48.....9._ + 7f310 73656564 00000007 f4022300 075f6d75 seed......#.._mu + 7f320 6c740000 0007f402 2306075f 61646400 lt......#.._add. + 7f330 000007de 02230c00 04000004 0e1a0000 .....#.......... + 7f340 08460519 00026c6f 6e67206c 6f6e6720 .F....long long + 7f350 756e7369 676e6564 20696e74 00070803 unsigned int.... + 7f360 5f6d6273 74617465 5f740000 00018104 _mbstate_t...... + 7f370 0000040e 08000008 7d050700 04000004 ........}....... + 7f380 0e180000 088a0517 0008d000 000a1807 ................ + 7f390 5f756e75 7365645f 72616e64 00000001 _unused_rand.... + 7f3a0 1c022300 075f7374 72746f6b 5f6c6173 ..#.._strtok_las + 7f3b0 74000000 04160223 04075f61 73637469 t......#.._ascti + 7f3c0 6d655f62 75660000 00083902 2308075f me_buf....9.#.._ + 7f3d0 6c6f6361 6c74696d 655f6275 66000000 localtime_buf... + 7f3e0 02430223 24075f67 616d6d61 5f736967 .C.#$._gamma_sig + 7f3f0 6e67616d 00000001 7a022348 075f7261 ngam....z.#H._ra + 7f400 6e645f6e 65787400 00000846 02235007 nd_next....F.#P. + 7f410 5f723438 00000008 01022358 075f6d62 _r48......#X._mb + 7f420 6c656e5f 73746174 65000000 08600223 len_state....`.# + 7f430 68075f6d 62746f77 635f7374 61746500 h._mbtowc_state. + 7f440 00000860 02237007 5f776374 6f6d625f ...`.#p._wctomb_ + 7f450 73746174 65000000 08600223 78075f6c state....`.#x._l + 7f460 3634615f 62756600 00000870 03238001 64a_buf....p.#.. + 7f470 075f7369 676e616c 5f627566 00000008 ._signal_buf.... + 7f480 7d032388 01075f67 65746461 74655f65 }.#..._getdate_e + 7f490 72720000 00017a03 23a00107 5f6d6272 rr....z.#..._mbr + 7f4a0 6c656e5f 73746174 65000000 08600323 len_state....`.# + 7f4b0 a401075f 6d627274 6f77635f 73746174 ..._mbrtowc_stat + 7f4c0 65000000 08600323 ac01075f 6d627372 e....`.#..._mbsr + 7f4d0 746f7763 735f7374 61746500 00000860 towcs_state....` + 7f4e0 0323b401 075f7763 72746f6d 625f7374 .#..._wcrtomb_st + 7f4f0 61746500 00000860 0323bc01 075f7763 ate....`.#..._wc + 7f500 7372746f 6d62735f 73746174 65000000 srtombs_state... + 7f510 08600323 c4010004 000003d0 7800000a .`.#........x... + 7f520 25051d00 04000001 1c780000 0a32051d %........x...2.. + 7f530 0008f000 000a5907 5f6e6578 74660000 ......Y._nextf.. + 7f540 000a1802 2300075f 6e6d616c 6c6f6300 ....#.._nmalloc. + 7f550 00000a25 02237800 06f00000 0a7f075f ...%.#x........_ + 7f560 7265656e 74000000 088a0223 00075f75 reent......#.._u + 7f570 6e757365 64000000 0a320223 00000400 nused....2.#.... + 7f580 00040e19 00000a8c 0518000d 010a0000 ................ + 7f590 0a8c0400 0a000002 3c04000d 010a0000 ........<....... + 7f5a0 0a9c0400 0a00000a 9e040010 000007c4 ................ + 7f5b0 01140000 0aba0502 0011110a 00000abb ................ + 7f5c0 04000373 697a655f 74000000 011c0e00 ...size_t....... + 7f5d0 0002ef01 0a000004 4804000f 00000448 ........H......H + 7f5e0 0f000004 480a0000 0ae10400 12013e6d ....H.........>m + 7f5f0 656d6d6f 76650000 0002ef01 01039201 emmove.......... + 7f600 20029000 008e6c5c 008e6e1e 13013e64 .....l\..n...>d + 7f610 73745f76 6f696400 000002ef 01521301 st_void......R.. + 7f620 3e737263 5f766f69 64000000 0abc0153 >src_void......S + 7f630 13013e6c 656e6774 68000000 0ac30154 ..>length......T + 7f640 14647374 00000004 16147372 63000000 .dst......src... + 7f650 0434146c 656e0000 00017a14 616c6967 .4.len....z.alig + 7f660 6e65645f 64737400 00000ad5 14616c69 ned_dst......ali + 7f670 676e6564 5f737263 0000000a e6000000 gned_src........ + 7f680 00000109 00020000 30e40401 0001d65e ........0......^ + 7f690 008e6e20 008e6e94 2f686f6d 652f6375 ..n ..n./home/cu + 7f6a0 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 7f6b0 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte + 7f6c0 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ + 7f6d0 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li + 7f6e0 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens + 7f6f0 612f6d65 6d736574 2e53002f 70726f6a a/memset.S./proj + 7f700 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 7f710 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 7f720 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 7f730 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 7f740 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ + 7f750 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el + 7f760 662f6e65 776c6962 2f6c6962 632f6d61 f/newlib/libc/ma + 7f770 6368696e 652f7874 656e7361 00474e55 chine/xtensa.GNU + 7f780 20415320 322e3136 2e310080 01000001 AS 2.16.1...... + 7f790 09000200 0030f804 010001d7 ea008e65 .....0.........e + 7f7a0 60008e66 782f686f 6d652f63 7573746f `..fx/home/custo + 7f7b0 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 7f7c0 2e322f70 34726f6f 742f5874 656e7361 .2/p4root/Xtensa + 7f7d0 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new + 7f7e0 6c69622f 6e65776c 69622f6c 6962632f lib/newlib/libc/ + 7f7f0 6d616368 696e652f 7874656e 73612f73 machine/xtensa/s + 7f800 7472636d 702e5300 2f70726f 6a656374 trcmp.S./project + 7f810 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- + 7f820 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath + 7f830 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie + 7f840 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild + 7f850 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new + 7f860 6c69622f 7874656e 73612d65 6c662f6e lib/xtensa-elf/n + 7f870 65776c69 622f6c69 62632f6d 61636869 ewlib/libc/machi + 7f880 6e652f78 74656e73 6100474e 55204153 ne/xtensa.GNU AS + 7f890 20322e31 362e3100 80010000 01090002 2.16.1......... + 7f8a0 0000310c 04010001 dacc008e 6678008e ..1.........fx.. + 7f8b0 67102f68 6f6d652f 63757374 6f6d6572 g./home/customer + 7f8c0 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 7f8d0 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta + 7f8e0 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 7f8f0 2f6e6577 6c69622f 6c696263 2f6d6163 /newlib/libc/mac + 7f900 68696e65 2f787465 6e73612f 73747263 hine/xtensa/strc + 7f910 70792e53 002f7072 6f6a6563 742f6375 py.S./project/cu + 7f920 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 + 7f930 372e322f 6275696c 642f6174 6865726f 7.2/build/athero + 7f940 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 + 7f950 2f383337 34332f78 6275696c 642f5461 /83743/xbuild/Ta + 7f960 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 7f970 2f787465 6e73612d 656c662f 6e65776c /xtensa-elf/newl + 7f980 69622f6c 6962632f 6d616368 696e652f ib/libc/machine/ + 7f990 7874656e 73610047 4e552041 5320322e xtensa.GNU AS 2. + 7f9a0 31362e31 00800100 00010900 02000031 16.1...........1 + 7f9b0 20040100 01dca600 8e671000 8e67732f ........g...gs/ + 7f9c0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 7f9d0 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 7f9e0 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 7f9f0 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 7fa00 776c6962 2f6c6962 632f6d61 6368696e wlib/libc/machin + 7fa10 652f7874 656e7361 2f737472 6c656e2e e/xtensa/strlen. + 7fa20 53002f70 726f6a65 63742f63 7573742f S./project/cust/ + 7fa30 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 + 7fa40 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p + 7fa50 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 + 7fa60 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe + 7fa70 742d6c69 62732f6e 65776c69 622f7874 t-libs/newlib/xt + 7fa80 656e7361 2d656c66 2f6e6577 6c69622f ensa-elf/newlib/ + 7fa90 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte + 7faa0 6e736100 474e5520 41532032 2e31362e nsa.GNU AS 2.16. + 7fab0 31008001 00000b25 00020000 31340401 1......%....14.. + 7fac0 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t + 7fad0 7265652f 52422d32 3030372e 322f7034 ree/RB-2007.2/p4 + 7fae0 726f6f74 2f587465 6e73612f 54617267 root/Xtensa/Targ + 7faf0 65742d6c 6962732f 6e65776c 69622f6e et-libs/newlib/n + 7fb00 65776c69 622f6c69 62632f73 7472696e ewlib/libc/strin + 7fb10 672f7374 726e636d 702e6300 2f70726f g/strncmp.c./pro + 7fb20 6a656374 2f637573 742f6765 6e617070 ject/cust/genapp + 7fb30 2f52422d 32303037 2e322f62 75696c64 /RB-2007.2/build + 7fb40 2f617468 65726f73 2f70726f 642f4d61 /atheros/prod/Ma + 7fb50 67706965 5f50302f 38333734 332f7862 gpie_P0/83743/xb + 7fb60 75696c64 2f546172 6765742d 6c696273 uild/Target-libs + 7fb70 2f6e6577 6c69622f 7874656e 73612d65 /newlib/xtensa-e + 7fb80 6c662f6e 65776c69 622f6c69 62632f73 lf/newlib/libc/s + 7fb90 7472696e 67007874 2d786363 20666f72 tring.xt-xcc for + 7fba0 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig + 7fbb0 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 + 7fbc0 32202d4f 32202d67 33000100 0001de0e 2 -O2 -g3....... + 7fbd0 02756e73 69676e65 6420696e 74000704 .unsigned int... + 7fbe0 0377696e 745f7400 0000011c 02756e73 .wint_t......uns + 7fbf0 69676e65 64206368 61720007 01040000 igned char...... + 7fc00 01380400 00015605 03000604 0000017a .8....V........z + 7fc10 075f5f77 63680000 00012c02 2300075f .__wch....,.#.._ + 7fc20 5f776368 62000000 01490223 00000269 _wchb....I.#...i + 7fc30 6e740005 04080800 0001a807 5f5f636f nt..........__co + 7fc40 756e7400 0000017a 02230007 5f5f7661 unt....z.#..__va + 7fc50 6c756500 00000156 02230400 095f4269 lue....V.#..._Bi + 7fc60 67696e74 00180000 0206075f 6e657874 gint......._next + 7fc70 00000002 06022300 075f6b00 0000017a ......#.._k....z + 7fc80 02230407 5f6d6178 77647300 0000017a .#.._maxwds....z + 7fc90 02230807 5f736967 6e000000 017a0223 .#.._sign....z.# + 7fca0 0c075f77 64730000 00017a02 2310075f .._wds....z.#.._ + 7fcb0 78000000 022f0223 14000a00 0001a804 x..../.#........ + 7fcc0 00026c6f 6e672075 6e736967 6e656420 ..long unsigned + 7fcd0 696e7400 0704035f 5f554c6f 6e670000 int....__ULong.. + 7fce0 00020d04 00000222 04000002 3c050000 ......."....<... + 7fcf0 0a000001 a8040009 5f5f746d 00240000 ........__tm.$.. + 7fd00 02ef075f 5f746d5f 73656300 0000017a ...__tm_sec....z + 7fd10 02230007 5f5f746d 5f6d696e 00000001 .#..__tm_min.... + 7fd20 7a022304 075f5f74 6d5f686f 75720000 z.#..__tm_hour.. + 7fd30 00017a02 2308075f 5f746d5f 6d646179 ..z.#..__tm_mday + 7fd40 00000001 7a02230c 075f5f74 6d5f6d6f ....z.#..__tm_mo + 7fd50 6e000000 017a0223 10075f5f 746d5f79 n....z.#..__tm_y + 7fd60 65617200 0000017a 02231407 5f5f746d ear....z.#..__tm + 7fd70 5f776461 79000000 017a0223 18075f5f _wday....z.#..__ + 7fd80 746d5f79 64617900 0000017a 02231c07 tm_yday....z.#.. + 7fd90 5f5f746d 5f697364 73740000 00017a02 __tm_isdst....z. + 7fda0 2320000b 04000400 0002ef80 000002ff # .............. + 7fdb0 051f000c 5f6f6e5f 65786974 5f617267 ...._on_exit_arg + 7fdc0 73000108 0000035d 075f666e 61726773 s......]._fnargs + 7fdd0 00000002 f2022300 075f6473 6f5f6861 ......#.._dso_ha + 7fde0 6e646c65 00000002 f2032380 01075f66 ndle......#..._f + 7fdf0 6e747970 65730000 00022203 23800207 ntypes....".#... + 7fe00 5f69735f 63786100 00000222 03238402 _is_cxa....".#.. + 7fe10 000c5f61 74657869 74000190 000003ac .._atexit....... + 7fe20 075f6e65 78740000 0003ac02 2300075f ._next......#.._ + 7fe30 696e6400 0000017a 02230407 5f666e73 ind....z.#.._fns + 7fe40 00000003 bc022308 075f6f6e 5f657869 ......#.._on_exi + 7fe50 745f6172 67730000 0002ff03 23880100 t_args......#... + 7fe60 0a000003 5d04000d 010a0000 03b30400 ....]........... + 7fe70 04000003 b5800000 03c9051f 000a0000 ................ + 7fe80 035d0400 0a000001 38040009 5f5f7362 .]......8...__sb + 7fe90 75660008 00000401 075f6261 73650000 uf......._base.. + 7fea0 0003d002 2300075f 73697a65 00000001 ....#.._size.... + 7feb0 7a022304 00027368 6f727420 696e7400 z.#...short int. + 7fec0 05020263 68617200 07010a00 00040e04 ...char......... + 7fed0 000e0000 017a010a 0000041d 04000f00 .....z.......... + 7fee0 00040e0f 0000040e 0a000004 2f04000e ............/... + 7fef0 0000017a 010a0000 043b0400 026c6f6e ...z.....;...lon + 7ff00 6720696e 74000504 035f6670 6f735f74 g int...._fpos_t + 7ff10 00000004 480e0000 0454010a 00000461 ....H....T.....a + 7ff20 04000e00 00017a01 0a000004 6e040004 ......z.....n... + 7ff30 00000138 03000004 88050200 04000001 ...8............ + 7ff40 38010000 04950500 000c5f72 65656e74 8........._reent + 7ff50 00040000 00061c07 5f657272 6e6f0000 ........_errno.. + 7ff60 00017a02 2300075f 73746469 6e000000 ..z.#.._stdin... + 7ff70 07d00223 04075f73 74646f75 74000000 ...#.._stdout... + 7ff80 07d00223 08075f73 74646572 72000000 ...#.._stderr... + 7ff90 07d00223 0c075f69 6e630000 00017a02 ...#.._inc....z. + 7ffa0 2310075f 656d6572 67656e63 79000000 #.._emergency... + 7ffb0 0a7f0223 14075f63 75727265 6e745f63 ...#.._current_c + 7ffc0 61746567 6f727900 0000017a 02233007 ategory....z.#0. + 7ffd0 5f637572 72656e74 5f6c6f63 616c6500 _current_locale. + 7ffe0 00000434 02233407 5f5f7364 6964696e ...4.#4.__sdidin + 7fff0 69740000 00017a02 2338075f 5f636c65 it....z.#8.__cle + 80000 616e7570 0000000a 8e02233c 075f7265 anup......#<._re + 80010 73756c74 00000002 3c022340 075f7265 sult....<.#@._re + 80020 73756c74 5f6b0000 00017a02 2344075f sult_k....z.#D._ + 80030 70357300 0000023c 02234807 5f667265 p5s....<.#H._fre + 80040 656c6973 74000000 0a950223 4c075f63 elist......#L._c + 80050 76746c65 6e000000 017a0223 50075f63 vtlen....z.#P._c + 80060 76746275 66000000 04160223 54075f6e vtbuf......#T._n + 80070 65770000 000a5902 2358075f 61746578 ew....Y.#X._atex + 80080 69740000 0003c903 23c80207 5f617465 it......#..._ate + 80090 78697430 00000003 5d0323cc 02075f73 xit0....].#..._s + 800a0 69675f66 756e6300 00000aa5 0323dc05 ig_func......#.. + 800b0 075f5f73 676c7565 00000007 850323e0 .__sglue......#. + 800c0 05075f5f 73660000 000aac03 23ec0500 ..__sf......#... + 800d0 0a000004 95040003 5f4c4f43 4b5f5245 ........_LOCK_RE + 800e0 43555253 4956455f 54000000 017a035f CURSIVE_T....z._ + 800f0 666c6f63 6b5f7400 00000623 095f5f73 flock_t....#.__s + 80100 46494c45 005c0000 0785075f 70000000 FILE.\....._p... + 80110 03d00223 00075f72 00000001 7a022304 ...#.._r....z.#. + 80120 075f7700 0000017a 02230807 5f666c61 ._w....z.#.._fla + 80130 67730000 00040102 230c075f 66696c65 gs......#.._file + 80140 00000004 0102230e 075f6266 00000003 ......#.._bf.... + 80150 d7022310 075f6c62 6673697a 65000000 ..#.._lbfsize... + 80160 017a0223 18075f63 6f6f6b69 65000000 .z.#.._cookie... + 80170 02ef0223 1c075f72 65616400 00000423 ...#.._read....# + 80180 02232007 5f777269 74650000 00044102 .# ._write....A. + 80190 2324075f 7365656b 00000004 67022328 #$._seek....g.#( + 801a0 075f636c 6f736500 00000474 02232c07 ._close....t.#,. + 801b0 5f756200 000003d7 02233007 5f757000 _ub......#0._up. + 801c0 000003d0 02233807 5f757200 0000017a .....#8._ur....z + 801d0 02233c07 5f756275 66000000 047b0223 .#<._ubuf....{.# + 801e0 40075f6e 62756600 00000488 02234307 @._nbuf......#C. + 801f0 5f6c6200 000003d7 02234407 5f626c6b _lb......#D._blk + 80200 73697a65 00000001 7a02234c 075f6f66 size....z.#L._of + 80210 66736574 00000001 7a022350 075f6461 fset....z.#P._da + 80220 74610000 00061c02 2354075f 6c6f636b ta......#T._lock + 80230 00000006 3a022358 00095f67 6c756500 ....:.#X.._glue. + 80240 0c000007 bd075f6e 65787400 000007bd ......_next..... + 80250 02230007 5f6e696f 62730000 00017a02 .#.._niobs....z. + 80260 2304075f 696f6273 00000007 d0022308 #.._iobs......#. + 80270 000a0000 07850400 035f5f46 494c4500 .........__FILE. + 80280 00000648 0a000007 c404000a 00000785 ...H............ + 80290 04000273 686f7274 20756e73 69676e65 ...short unsigne + 802a0 6420696e 74000702 04000007 de060000 d int........... + 802b0 08010502 00095f72 616e6434 38000e00 ......_rand48... + 802c0 00083907 5f736565 64000000 07f40223 ..9._seed......# + 802d0 00075f6d 756c7400 000007f4 02230607 .._mult......#.. + 802e0 5f616464 00000007 de02230c 00040000 _add......#..... + 802f0 040e1a00 00084605 1900026c 6f6e6720 ......F....long + 80300 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 80310 74000708 035f6d62 73746174 655f7400 t...._mbstate_t. + 80320 00000181 04000004 0e080000 087d0507 .............}.. + 80330 00040000 040e1800 00088a05 170008d0 ................ + 80340 00000a18 075f756e 75736564 5f72616e ....._unused_ran + 80350 64000000 011c0223 00075f73 7472746f d......#.._strto + 80360 6b5f6c61 73740000 00041602 2304075f k_last......#.._ + 80370 61736374 696d655f 62756600 00000839 asctime_buf....9 + 80380 02230807 5f6c6f63 616c7469 6d655f62 .#.._localtime_b + 80390 75660000 00024302 2324075f 67616d6d uf....C.#$._gamm + 803a0 615f7369 676e6761 6d000000 017a0223 a_signgam....z.# + 803b0 48075f72 616e645f 6e657874 00000008 H._rand_next.... + 803c0 46022350 075f7234 38000000 08010223 F.#P._r48......# + 803d0 58075f6d 626c656e 5f737461 74650000 X._mblen_state.. + 803e0 00086002 2368075f 6d62746f 77635f73 ..`.#h._mbtowc_s + 803f0 74617465 00000008 60022370 075f7763 tate....`.#p._wc + 80400 746f6d62 5f737461 74650000 00086002 tomb_state....`. + 80410 2378075f 6c363461 5f627566 00000008 #x._l64a_buf.... + 80420 70032380 01075f73 69676e61 6c5f6275 p.#..._signal_bu + 80430 66000000 087d0323 8801075f 67657464 f....}.#..._getd + 80440 6174655f 65727200 0000017a 0323a001 ate_err....z.#.. + 80450 075f6d62 726c656e 5f737461 74650000 ._mbrlen_state.. + 80460 00086003 23a40107 5f6d6272 746f7763 ..`.#..._mbrtowc + 80470 5f737461 74650000 00086003 23ac0107 _state....`.#... + 80480 5f6d6273 72746f77 63735f73 74617465 _mbsrtowcs_state + 80490 00000008 600323b4 01075f77 6372746f ....`.#..._wcrto + 804a0 6d625f73 74617465 00000008 600323bc mb_state....`.#. + 804b0 01075f77 63737274 6f6d6273 5f737461 .._wcsrtombs_sta + 804c0 74650000 00086003 23c40100 04000003 te....`.#....... + 804d0 d0780000 0a25051d 00040000 011c7800 .x...%........x. + 804e0 000a3205 1d0008f0 00000a59 075f6e65 ..2........Y._ne + 804f0 78746600 00000a18 02230007 5f6e6d61 xtf......#.._nma + 80500 6c6c6f63 0000000a 25022378 0006f000 lloc....%.#x.... + 80510 000a7f07 5f726565 6e740000 00088a02 ...._reent...... + 80520 2300075f 756e7573 65640000 000a3202 #.._unused....2. + 80530 23000004 0000040e 1900000a 8c051800 #............... + 80540 0d010a00 000a8c04 000a0000 023c0400 .............<.. + 80550 0d010a00 000a9c04 000a0000 0a9e0400 ................ + 80560 10000007 c4011400 000aba05 02000373 ...............s + 80570 697a655f 74000000 011c0e00 00017a01 ize_t.........z. + 80580 0a000002 0d040011 01427374 726e636d .........Bstrncm + 80590 70000000 017a0101 03920120 02900000 p....z..... .... + 805a0 8e677400 8e680212 01427331 00000004 .gt..h...Bs1.... + 805b0 34015212 01427332 00000004 34015312 4.R..Bs2....4.S. + 805c0 01426e00 00000aba 01541361 31000000 .Bn......T.a1... + 805d0 0acc1361 32000000 0acc0000 00000001 ...a2........... + 805e0 0a000200 00320604 010001e1 30008e68 .....2......0..h + 805f0 04008e69 1f2f686f 6d652f63 7573746f ...i./home/custo + 80600 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 80610 2e322f70 34726f6f 742f5874 656e7361 .2/p4root/Xtensa + 80620 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new + 80630 6c69622f 6e65776c 69622f6c 6962632f lib/newlib/libc/ + 80640 6d616368 696e652f 7874656e 73612f73 machine/xtensa/s + 80650 74726e63 70792e53 002f7072 6f6a6563 trncpy.S./projec + 80660 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 80670 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 80680 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 80690 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 806a0 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne + 806b0 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ + 806c0 6e65776c 69622f6c 6962632f 6d616368 newlib/libc/mach + 806d0 696e652f 7874656e 73610047 4e552041 ine/xtensa.GNU A + 806e0 5320322e 31362e31 008001 S 2.16.1... +Contents of section .debug_abbrev: + 0000 01110010 06110112 0103081b 08250813 .............%.. + 0010 05000000 01110010 06110112 0103081b ................ + 0020 08250813 05000000 01110010 06110112 .%.............. + 0030 0103081b 08250813 05000000 01110010 .....%.......... + 0040 06110112 0103081b 08250813 05000000 .........%...... + 0050 01110010 06110112 0103081b 08250813 .............%.. + 0060 05000000 01110010 06110112 0103081b ................ + 0070 08250813 05000000 01110010 06110112 .%.............. + 0080 0103081b 08250813 05000000 01110010 .....%.......... + 0090 06110112 0103081b 08250813 05000000 .........%...... + 00a0 01110010 06110112 0103081b 08250813 .............%.. + 00b0 05000000 01110010 06110112 0103081b ................ + 00c0 08250813 05000000 01110010 06110112 .%.............. + 00d0 0103081b 08250813 05000000 01110010 .....%.......... + 00e0 06110112 0103081b 08250813 05000000 .........%...... + 00f0 01110010 06110112 0103081b 08250813 .............%.. + 0100 05000000 01110103 081b0825 08130b42 ...........%...B + 0110 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... + 0120 03010149 130b0b01 13000004 21002f0b ...I........!./. + 0130 00000513 010b0b01 13000006 0d000308 ................ + 0140 4913380a 00000715 00270c00 00081600 I.8......'...... + 0150 03084913 0000090f 0049130b 0b330b00 ..I......I...3.. + 0160 000a0f00 0b0b330b 00000b13 0103080b ......3......... + 0170 0b011300 000c1500 4913270c 00000d26 ........I.'....& + 0180 00491300 000e2e01 3a0b3b0b 03084913 .I......:.;...I. + 0190 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 01a0 0f05003a 0b3b0b03 08491302 0a000010 ...:.;...I...... + 01b0 34000308 49130000 112e013a 0b3b0b03 4...I......:.;.. + 01c0 0849133f 0c270c40 0a2a0a11 01120100 .I.?.'.@.*...... + 01d0 00000111 00100611 01120103 081b0825 ...............% + 01e0 08130500 00000111 00100611 01120103 ................ + 01f0 081b0825 08130500 00000111 00100611 ...%............ + 0200 01120103 081b0825 08130500 00000111 .......%........ + 0210 00100611 01120103 081b0825 08130500 ...........%.... + 0220 00000111 00100611 01120103 081b0825 ...............% + 0230 08130500 00000111 0103081b 08250813 .............%.. + 0240 0b420b10 06000002 1500270c 0000030f .B........'..... + 0250 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. + 0260 0b0b0000 05260049 13000006 15004913 .....&.I......I. + 0270 270c0000 07130103 080b0b01 13000008 '............... + 0280 0d000308 4913380a 00000916 00030849 ....I.8........I + 0290 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. + 02a0 000c0401 03080b0b 01130000 0d280003 .............(.. + 02b0 081c0b00 000e0101 49130b0b 01130000 ........I....... + 02c0 0f21002f 0b000010 17010b0b 01130000 .!./............ + 02d0 1113010b 0b011300 00120d00 4913380a ............I.8. + 02e0 00001304 010b0b01 13000014 0d000308 ................ + 02f0 49130b0b 0c0b0d0b 380a0000 15010149 I.......8......I + 0300 130b0501 13000016 13010308 0b050113 ................ + 0310 00001717 010b0501 13000018 28000308 ............(... + 0320 1c060000 19010149 133c0c01 1300001a .......I.<...... + 0330 21000000 1b340003 08491302 0a3f0c00 !....4...I...?.. + 0340 001c3500 49130000 1d2e013a 0b3b0b03 ..5.I......:.;.. + 0350 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 0360 001e3400 03084913 020a0000 1f340003 ..4...I......4.. + 0370 08491300 00200500 3a0b3b0b 03084913 .I... ..:.;...I. + 0380 020a0000 212e013a 0b3b0b03 08491327 ....!..:.;...I.' + 0390 0c400a2a 0a110112 01011300 00222e01 .@.*.........".. + 03a0 3a0b3b0b 03084913 3f0c270c 400a2a0a :.;...I.?.'.@.*. + 03b0 11011201 01130000 232e013a 0b3b0503 ........#..:.;.. + 03c0 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 03d0 00240500 3a0b3b05 03084913 020a0000 .$..:.;...I..... + 03e0 252e013a 0b3b0503 08270c40 0a2a0a11 %..:.;...'.@.*.. + 03f0 01120101 13000026 2e013a0b 3b050308 .......&..:.;... + 0400 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 0410 0000272e 003a0b3b 0503083f 0c400a2a ..'..:.;...?.@.* + 0420 0a110112 01000028 2e003a0b 3b050308 .......(..:.;... + 0430 49133f0c 270c400a 360b2a0a 11011201 I.?.'.@.6.*..... + 0440 00000001 11010308 1b082508 130b420b ..........%...B. + 0450 10060000 02150027 0c000003 0f004913 .......'......I. + 0460 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... + 0470 00052600 49130000 06150049 13270c00 ..&.I......I.'.. + 0480 00071301 03080b0b 01130000 080d0003 ................ + 0490 08491338 0a000009 16000308 49130000 .I.8........I... + 04a0 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... + 04b0 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... + 04c0 01130000 0e280003 081c0b00 000f0101 .....(.......... + 04d0 49130b0b 01130000 1021002f 0b000011 I........!./.... + 04e0 17010b0b 01130000 1213010b 0b011300 ................ + 04f0 00130d00 4913380a 00001404 010b0b01 ....I.8......... + 0500 13000015 0d000308 49130b0b 0c0b0d0b ........I....... + 0510 380a0000 16010149 130b0501 13000017 8......I........ + 0520 13010308 0b050113 00001817 010b0501 ................ + 0530 13000019 28000308 1c060000 1a340003 ....(........4.. + 0540 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. + 0550 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... + 0560 01130000 1c05003a 0b3b0b03 08491302 .......:.;...I.. + 0570 0a00001d 34000308 49130000 1e2e003a ....4...I......: + 0580 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 0590 0100001f 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 05a0 400a2a0a 11011201 00000001 11010308 @.*............. + 05b0 1b082508 130b420b 10060000 02150027 ..%...B........' + 05c0 0c000003 0f004913 0b0b330b 00000424 ......I...3....$ + 05d0 0003083e 0b0b0b00 00052600 49130000 ...>......&.I... + 05e0 06150049 13270c00 00071301 03080b0b ...I.'.......... + 05f0 01130000 080d0003 08491338 0a000009 .........I.8.... + 0600 16000308 49130000 0a0f000b 0b330b00 ....I........3.. + 0610 000b2600 00000c13 0003080b 0b3c0c00 ..&..........<.. + 0620 000d0401 03080b0b 01130000 0e280003 .............(.. + 0630 081c0b00 000f0101 49130b0b 01130000 ........I....... + 0640 1021002f 0b000011 17010b0b 01130000 .!./............ + 0650 1213010b 0b011300 00130d00 4913380a ............I.8. + 0660 00001404 010b0b01 13000015 0d000308 ................ + 0670 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I + 0680 130b0501 13000017 13010308 0b050113 ................ + 0690 00001817 010b0501 13000019 28000308 ............(... + 06a0 1c060000 1a340003 08491302 0a3f0c00 .....4...I...?.. + 06b0 001b0101 49133c0c 01130000 1c210000 ....I.<......!.. + 06c0 001d2e01 3a0b3b0b 03083f0c 270c400a ....:.;...?.'.@. + 06d0 2a0a1101 12010113 00001e05 003a0b3b *............:.; + 06e0 0b030849 13020a00 001f3400 03084913 ...I......4...I. + 06f0 0000202e 003a0b3b 0b030849 133f0c27 .. ..:.;...I.?.' + 0700 0c400a2a 0a110112 01000021 2e003a0b .@.*.......!..:. + 0710 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 0720 00002234 00030849 13020a00 00232e01 .."4...I.....#.. + 0730 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 0740 12010000 2405003a 0b3b0503 08491302 ....$..:.;...I.. + 0750 0a000000 01110103 081b0825 08130b42 ...........%...B + 0760 0b100600 00021500 270c0000 030f0049 ........'......I + 0770 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 0780 00000526 00491300 00061500 4913270c ...&.I......I.'. + 0790 00000713 0103080b 0b011300 00080d00 ................ + 07a0 03084913 380a0000 09160003 08491300 ..I.8........I.. + 07b0 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 07c0 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 07d0 0b011300 000e2800 03081c0b 00000f01 ......(......... + 07e0 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 07f0 1117010b 0b011300 00121301 0b0b0113 ................ + 0800 0000130d 00491338 0a000014 04010b0b .....I.8........ + 0810 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 0820 0b380a00 00160101 49130b05 01130000 .8......I....... + 0830 17130103 080b0501 13000018 17010b05 ................ + 0840 01130000 19280003 081c0600 001a3400 .....(........4. + 0850 03084913 020a3f0c 00001b35 00491300 ..I...?....5.I.. + 0860 001c2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. + 0870 400a2a0a 11011201 01130000 1d05003a @.*............: + 0880 0b3b0b03 08491302 0a00001e 34000308 .;...I......4... + 0890 49130000 1f2e013a 0b3b0b03 083f0c27 I......:.;...?.' + 08a0 0c400a2a 0a110112 01011300 00203400 .@.*......... 4. + 08b0 03084913 020a0000 212e013a 0b3b0503 ..I.....!..:.;.. + 08c0 083f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." + 08d0 05003a0b 3b050308 4913020a 00000001 ..:.;...I....... + 08e0 11010308 1b082508 130b420b 10060000 ......%...B..... + 08f0 02150027 0c000003 0f004913 0b0b330b ...'......I...3. + 0900 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. + 0910 49130000 06150049 13270c00 00071301 I......I.'...... + 0920 03080b0b 01130000 080d0003 08491338 .............I.8 + 0930 0a000009 16000308 49130000 0a0f000b ........I....... + 0940 0b330b00 000b2600 00000c04 0103080b .3....&......... + 0950 0b011300 000d2800 03081c0b 00000e01 ......(......... + 0960 0149130b 0b011300 000f2100 2f0b0000 .I........!./... + 0970 1017010b 0b011300 00111301 0b0b0113 ................ + 0980 0000120d 00491338 0a000013 04010b0b .....I.8........ + 0990 01130000 140d0003 0849130b 0b0c0b0d .........I...... + 09a0 0b380a00 00150101 49130b05 01130000 .8......I....... + 09b0 16130103 080b0501 13000017 17010b05 ................ + 09c0 01130000 18280003 081c0600 00193400 .....(........4. + 09d0 03084913 020a3f0c 00001a35 00491300 ..I...?....5.I.. + 09e0 001b2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. + 09f0 400a2a0a 11011201 01130000 1c05003a @.*............: + 0a00 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. + 0a10 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 0a20 01130000 1e340003 08491300 001f2e01 .....4...I...... + 0a30 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 0a40 12010000 00011101 03081b08 2508130b ............%... + 0a50 420b1006 00000215 00270c00 00030f00 B........'...... + 0a60 49130b0b 330b0000 04240003 083e0b0b I...3....$...>.. + 0a70 0b000005 26004913 00000615 00491327 ....&.I......I.' + 0a80 0c000007 13010308 0b0b0113 0000080d ................ + 0a90 00030849 13380a00 00091600 03084913 ...I.8........I. + 0aa0 00000a0f 000b0b33 0b00000b 26000000 .......3....&... + 0ab0 0c130003 080b0b3c 0c00000d 04010308 .......<........ + 0ac0 0b0b0113 00000e28 0003081c 0b00000f .......(........ + 0ad0 01014913 0b0b0113 00001021 002f0b00 ..I........!./.. + 0ae0 00111701 0b0b0113 00001213 010b0b01 ................ + 0af0 13000013 0d004913 380a0000 1404010b ......I.8....... + 0b00 0b011300 00150d00 03084913 0b0b0c0b ..........I..... + 0b10 0d0b380a 00001601 0149130b 05011300 ..8......I...... + 0b20 00171301 03080b05 01130000 1817010b ................ + 0b30 05011300 00192800 03081c06 00001a2e ......(......... + 0b40 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 0b50 01120100 001b2e01 3a0b3b0b 03083f0c ........:.;...?. + 0b60 270c400a 2a0a1101 12010000 1c05003a '.@.*..........: + 0b70 0b3b0b03 08491302 0a000000 01110103 .;...I.......... + 0b80 081b0825 08130b42 0b100600 00021500 ...%...B........ + 0b90 270c0000 030f0049 130b0b33 0b000004 '......I...3.... + 0ba0 24000308 3e0b0b0b 00000526 00491300 $...>......&.I.. + 0bb0 00061500 4913270c 00000713 0103080b ....I.'......... + 0bc0 0b011300 00080d00 03084913 380a0000 ..........I.8... + 0bd0 09160003 08491300 000a0f00 0b0b330b .....I........3. + 0be0 00000b26 0000000c 04010308 0b0b0113 ...&............ + 0bf0 00000d28 0003081c 0b00000e 01014913 ...(..........I. + 0c00 0b0b0113 00000f21 002f0b00 00101701 .......!./...... + 0c10 0b0b0113 00001113 010b0b01 13000012 ................ + 0c20 0d004913 380a0000 1304010b 0b011300 ..I.8........... + 0c30 00140d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + 0c40 00001501 0149130b 05011300 00161301 .....I.......... + 0c50 03080b05 01130000 1717010b 05011300 ................ + 0c60 00182800 03081c06 00001935 00491300 ..(........5.I.. + 0c70 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... + 0c80 0149133c 0c011300 001c2100 00001d2e .I.<......!..... + 0c90 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 0ca0 01120100 001e2e01 3a0b3b0b 03083f0c ........:.;...?. + 0cb0 270c400a 2a0a1101 12010113 00001f05 '.@.*........... + 0cc0 003a0b3b 0b030849 13020a00 00203400 .:.;...I..... 4. + 0cd0 03084913 0000212e 003a0b3b 0b030849 ..I...!..:.;...I + 0ce0 133f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." + 0cf0 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. + 0d00 2a0a1101 12010113 00002334 00030849 *.........#4...I + 0d10 13020a00 00242e01 3a0b3b0b 03083f0c .....$..:.;...?. + 0d20 270c400a 2a0a1101 12010000 00011101 '.@.*........... + 0d30 03081b08 2508130b 420b1006 00000215 ....%...B....... + 0d40 00270c00 00030f00 49130b0b 330b0000 .'......I...3... + 0d50 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. + 0d60 00000615 00491327 0c000007 13010308 .....I.'........ + 0d70 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. + 0d80 00091600 03084913 00000a0f 000b0b33 ......I........3 + 0d90 0b00000b 26000000 0c130003 080b0b3c ....&..........< + 0da0 0c00000d 04010308 0b0b0113 00000e28 ...............( + 0db0 0003081c 0b00000f 01014913 0b0b0113 ..........I..... + 0dc0 00001021 002f0b00 00111701 0b0b0113 ...!./.......... + 0dd0 00001213 010b0b01 13000013 0d004913 ..............I. + 0de0 380a0000 1404010b 0b011300 00150d00 8............... + 0df0 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... + 0e00 0149130b 05011300 00171301 03080b05 .I.............. + 0e10 01130000 1817010b 05011300 00192800 ..............(. + 0e20 03081c06 00001a34 00030849 13020a3f .......4...I...? + 0e30 0c00001b 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 0e40 400a2a0a 11011201 01130000 1c05003a @.*............: + 0e50 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. + 0e60 3b0b0308 4913270c 400a2a0a 11011201 ;...I.'.@.*..... + 0e70 01130000 1e340003 08491300 001f3400 .....4...I....4. + 0e80 03084913 020a0000 202e013a 0b3b0503 ..I..... ..:.;.. + 0e90 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 0ea0 13000021 05003a0b 3b050308 4913020a ...!..:.;...I... + 0eb0 0000222e 003a0b3b 0503083f 0c270c40 .."..:.;...?.'.@ + 0ec0 0a2a0a11 01120100 00232e01 3a0b3b05 .*.......#..:.;. + 0ed0 03083f0c 270c400a 2a0a1101 12010000 ..?.'.@.*....... + 0ee0 00011101 03081b08 2508130b 420b1006 ........%...B... + 0ef0 00000215 00270c00 00030f00 49130b0b .....'......I... + 0f00 330b0000 04240003 083e0b0b 0b000005 3....$...>...... + 0f10 26004913 00000615 00491327 0c000007 &.I......I.'.... + 0f20 13010308 0b0b0113 0000080d 00030849 ...............I + 0f30 13380a00 00091600 03084913 00000a0f .8........I..... + 0f40 000b0b33 0b00000b 26000000 0c130003 ...3....&....... + 0f50 080b0b3c 0c00000d 04010308 0b0b0113 ...<............ + 0f60 00000e28 0003081c 0b00000f 01014913 ...(..........I. + 0f70 0b0b0113 00001021 002f0b00 00111701 .......!./...... + 0f80 0b0b0113 00001213 010b0b01 13000013 ................ + 0f90 0d004913 380a0000 1404010b 0b011300 ..I.8........... + 0fa0 00150d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + 0fb0 00001601 0149130b 05011300 00171301 .....I.......... + 0fc0 03080b05 01130000 1817010b 05011300 ................ + 0fd0 00192800 03081c06 00001a34 00030849 ..(........4...I + 0fe0 13020a3f 0c00001b 2e013a0b 3b0b0308 ...?......:.;... + 0ff0 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 1000 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... + 1010 001d3400 03084913 00001e2e 003a0b3b ..4...I......:.; + 1020 0b030849 133f0c27 0c400a2a 0a110112 ...I.?.'.@.*.... + 1030 0100001f 34000308 4913020a 0000202e ....4...I..... . + 1040 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 1050 01120100 00212e01 3a0b3b0b 03083f0c .....!..:.;...?. + 1060 270c400a 2a0a1101 12010000 00011101 '.@.*........... + 1070 03081b08 2508130b 420b1006 00000215 ....%...B....... + 1080 00270c00 00030f00 49130b0b 330b0000 .'......I...3... + 1090 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. + 10a0 00000615 00491327 0c000007 13010308 .....I.'........ + 10b0 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. + 10c0 00091600 03084913 00000a0f 000b0b33 ......I........3 + 10d0 0b00000b 26000000 0c130003 080b0b3c ....&..........< + 10e0 0c00000d 04010308 0b0b0113 00000e28 ...............( + 10f0 0003081c 0b00000f 01014913 0b0b0113 ..........I..... + 1100 00001021 002f0b00 00111701 0b0b0113 ...!./.......... + 1110 00001213 010b0b01 13000013 0d004913 ..............I. + 1120 380a0000 1404010b 0b011300 00150d00 8............... + 1130 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... + 1140 0149130b 05011300 00171301 03080b05 .I.............. + 1150 01130000 1817010b 05011300 00192800 ..............(. + 1160 03081c06 00001a2e 003a0b3b 0b03083f .........:.;...? + 1170 0c270c40 0a2a0a11 01120100 001b2e01 .'.@.*.......... + 1180 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1190 12010000 1c05003a 0b3b0b03 08491302 .......:.;...I.. + 11a0 0a000000 01110103 081b0825 08130b42 ...........%...B + 11b0 0b100600 00021500 270c0000 030f0049 ........'......I + 11c0 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 11d0 00000526 00491300 00061500 4913270c ...&.I......I.'. + 11e0 00000713 0103080b 0b011300 00080d00 ................ + 11f0 03084913 380a0000 09160003 08491300 ..I.8........I.. + 1200 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 1210 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 1220 0b011300 000e2800 03081c0b 00000f01 ......(......... + 1230 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 1240 1117010b 0b011300 00121301 0b0b0113 ................ + 1250 0000130d 00491338 0a000014 04010b0b .....I.8........ + 1260 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 1270 0b380a00 00160101 49130b05 01130000 .8......I....... + 1280 17130103 080b0501 13000018 17010b05 ................ + 1290 01130000 19280003 081c0600 001a3400 .....(........4. + 12a0 03084913 020a0000 1b2e003a 0b3b0b03 ..I........:.;.. + 12b0 083f0c27 0c400a2a 0a110112 0100001c .?.'.@.*........ + 12c0 2e013a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 12d0 11011201 01130000 1d05003a 0b3b0b03 ...........:.;.. + 12e0 08491302 0a00001e 34000308 49130000 .I......4...I... + 12f0 1f2e013a 0b3b0b03 083f0c27 0c400a2a ...:.;...?.'.@.* + 1300 0a110112 01000000 01110103 081b0825 ...............% + 1310 08130b42 0b100600 00021500 270c0000 ...B........'... + 1320 030f0049 130b0b33 0b000004 24000308 ...I...3....$... + 1330 3e0b0b0b 00000526 00491300 00061500 >......&.I...... + 1340 4913270c 00000713 0103080b 0b011300 I.'............. + 1350 00080d00 03084913 380a0000 09160003 ......I.8....... + 1360 08491300 000a0f00 0b0b330b 00000b26 .I........3....& + 1370 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1380 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1390 00000f01 0149130b 0b011300 00102100 .....I........!. + 13a0 2f0b0000 1117010b 0b011300 00121301 /............... + 13b0 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 13c0 04010b0b 01130000 150d0003 0849130b .............I.. + 13d0 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 13e0 01130000 17130103 080b0501 13000018 ................ + 13f0 17010b05 01130000 19280003 081c0600 .........(...... + 1400 001a3400 03084913 020a3f0c 00001b2e ..4...I...?..... + 1410 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 1420 01120101 1300001c 05003a0b 3b0b0308 ..........:.;... + 1430 4913020a 00001d34 00030849 1300001e I......4...I.... + 1440 2e003a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 1450 11011201 00001f2e 013a0b3b 0b03083f .........:.;...? + 1460 0c270c40 0a2a0a11 01120100 00000111 .'.@.*.......... + 1470 0103081b 08250813 0b420b10 06000002 .....%...B...... + 1480 1500270c 0000030f 0049130b 0b330b00 ..'......I...3.. + 1490 00042400 03083e0b 0b0b0000 05260049 ..$...>......&.I + 14a0 13000006 15004913 270c0000 07130103 ......I.'....... + 14b0 080b0b01 13000008 0d000308 4913380a ............I.8. + 14c0 00000916 00030849 1300000a 0f000b0b .......I........ + 14d0 330b0000 0b260000 000c1300 03080b0b 3....&.......... + 14e0 3c0c0000 0d040103 080b0b01 1300000e <............... + 14f0 28000308 1c0b0000 0f010149 130b0b01 (..........I.... + 1500 13000010 21002f0b 00001117 010b0b01 ....!./......... + 1510 13000012 13010b0b 01130000 130d0049 ...............I + 1520 13380a00 00140401 0b0b0113 0000150d .8.............. + 1530 00030849 130b0b0c 0b0d0b38 0a000016 ...I.......8.... + 1540 01014913 0b050113 00001713 0103080b ..I............. + 1550 05011300 00181701 0b050113 00001928 ...............( + 1560 0003081c 0600001a 34000308 4913020a ........4...I... + 1570 3f0c0000 1b2e003a 0b3b0b03 0849133f ?......:.;...I.? + 1580 0c270c40 0a2a0a11 01120100 001c2e00 .'.@.*.......... + 1590 3a0b3b0b 03083f0c 400a2a0a 11011201 :.;...?.@.*..... + 15a0 00001d2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ + 15b0 0a2a0a11 01120101 1300001e 05003a0b .*............:. + 15c0 3b0b0308 4913020a 00001f2e 013a0b3b ;...I........:.; + 15d0 0b03083f 0c400a2a 0a110112 01011300 ...?.@.*........ + 15e0 00203400 03084913 020a0000 212e013a . 4...I.....!..: + 15f0 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1600 01000000 01110103 081b0825 08130b42 ...........%...B + 1610 0b100600 00021500 270c0000 030f0049 ........'......I + 1620 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 1630 00000526 00491300 00061500 4913270c ...&.I......I.'. + 1640 00000713 0103080b 0b011300 00080d00 ................ + 1650 03084913 380a0000 09160003 08491300 ..I.8........I.. + 1660 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 1670 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 1680 0b011300 000e2800 03081c0b 00000f01 ......(......... + 1690 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 16a0 1117010b 0b011300 00121301 0b0b0113 ................ + 16b0 0000130d 00491338 0a000014 04010b0b .....I.8........ + 16c0 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 16d0 0b380a00 00160101 49130b05 01130000 .8......I....... + 16e0 17130103 080b0501 13000018 17010b05 ................ + 16f0 01130000 19280003 081c0600 001a3400 .....(........4. + 1700 03084913 020a0000 1b2e013a 0b3b0b03 ..I........:.;.. + 1710 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 1720 1300001c 34000308 49130000 1d2e013a ....4...I......: + 1730 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1740 01011300 001e0500 3a0b3b0b 03084913 ........:.;...I. + 1750 020a0000 1f2e003a 0b3b0b03 083f0c27 .......:.;...?.' + 1760 0c400a2a 0a110112 01000020 2e003a0b .@.*....... ..:. + 1770 3b0b0308 49133f0c 400a2a0a 11011201 ;...I.?.@.*..... + 1780 0000212e 013a0b3b 0503083f 0c270c40 ..!..:.;...?.'.@ + 1790 0a2a0a11 01120101 13000022 05003a0b .*........."..:. + 17a0 3b050308 4913020a 0000232e 013a0b3b ;...I.....#..:.; + 17b0 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ + 17c0 00242e01 3a0b3b05 03083f0c 270c400a .$..:.;...?.'.@. + 17d0 2a0a1101 12010000 00011101 03081b08 *............... + 17e0 2508130b 420b1006 00000213 0103080b %...B........... + 17f0 0b011300 00030d00 03084913 380a0000 ..........I.8... + 1800 040f0049 130b0b33 0b000005 24000308 ...I...3....$... + 1810 3e0b0b0b 00000616 00030849 13000007 >..........I.... + 1820 01014913 0b0b0113 00000821 002f0b00 ..I........!./.. + 1830 00091500 270c0000 0a150049 13270c00 ....'......I.'.. + 1840 000b0f00 0b0b330b 00000c13 010b0b01 ......3......... + 1850 1300000d 04010b0b 01130000 0e280003 .............(.. + 1860 081c0b00 000f1701 0b0b0113 00001004 ................ + 1870 0103080b 0b011300 00110d00 03084913 ..............I. + 1880 0b0b0c0b 0d0b380a 00001201 0149130b ......8......I.. + 1890 05011300 00131301 03080b05 01130000 ................ + 18a0 1417010b 05011300 00152600 49130000 ..........&.I... + 18b0 16260000 00171300 03080b0b 3c0c0000 .&..........<... + 18c0 180d0049 13380a00 00192800 03081c06 ...I.8....(..... + 18d0 00001a34 00030849 13020a3f 0c00001b ...4...I...?.... + 18e0 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. + 18f0 2a0a1101 12010113 00001c05 003a0b3b *............:.; + 1900 0b030849 13020a00 001d2e01 3a0b3b0b ...I........:.;. + 1910 03083f0c 270c400a 2a0a1101 12010113 ..?.'.@.*....... + 1920 00001e34 00030849 1300001f 34000308 ...4...I....4... + 1930 4913020a 0000202e 003a0b3b 0b03083f I..... ..:.;...? + 1940 0c270c40 0a2a0a11 01120100 00212e01 .'.@.*.......!.. + 1950 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1960 12010113 00002205 003a0b3b 05030849 ......"..:.;...I + 1970 13020a00 00232e01 3a0b3b05 03084913 .....#..:.;...I. + 1980 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1990 242e013a 0b3b0503 083f0c27 0c400a2a $..:.;...?.'.@.* + 19a0 0a110112 01000000 01110103 081b0825 ...............% + 19b0 08130b42 0b100600 00021500 270c0000 ...B........'... + 19c0 030f0049 130b0b33 0b000004 24000308 ...I...3....$... + 19d0 3e0b0b0b 00000526 00491300 00061500 >......&.I...... + 19e0 4913270c 00000713 0103080b 0b011300 I.'............. + 19f0 00080d00 03084913 380a0000 09160003 ......I.8....... + 1a00 08491300 000a0f00 0b0b330b 00000b26 .I........3....& + 1a10 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1a20 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1a30 00000f01 0149130b 0b011300 00102100 .....I........!. + 1a40 2f0b0000 1117010b 0b011300 00121301 /............... + 1a50 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 1a60 04010b0b 01130000 150d0003 0849130b .............I.. + 1a70 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 1a80 01130000 17130103 080b0501 13000018 ................ + 1a90 17010b05 01130000 19280003 081c0600 .........(...... + 1aa0 001a3400 03084913 020a3f0c 00001b35 ..4...I...?....5 + 1ab0 00491300 001c2e01 3a0b3b0b 03084913 .I......:.;...I. + 1ac0 400a2a0a 11011201 01130000 1d340003 @.*..........4.. + 1ad0 08491300 001e2e01 3a0b3b0b 0308270c .I......:.;...'. + 1ae0 400a2a0a 11011201 01130000 1f05003a @.*............: + 1af0 0b3b0b03 08491302 0a000020 2e013a0b .;...I..... ..:. + 1b00 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... + 1b10 12010113 00002134 00030849 13020a00 ......!4...I.... + 1b20 00222e01 3a0b3b0b 03083f0c 270c400a ."..:.;...?.'.@. + 1b30 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; + 1b40 0b030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... + 1b50 13000024 2e013a0b 3b050308 3f0c270c ...$..:.;...?.'. + 1b60 8b400c40 0a2a0a11 01120101 13000025 .@.@.*.........% + 1b70 05003a0b 3b050308 4913020a 0000262e ..:.;...I.....&. + 1b80 013a0b3b 05030849 133f0c27 0c8b400c .:.;...I.?.'..@. + 1b90 400a2a0a 11011201 01130000 272e013a @.*.........'..: + 1ba0 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1bb0 01011300 00282e01 3a0b3b05 03084913 .....(..:.;...I. + 1bc0 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1bd0 292e003a 0b3b0503 083f0c27 0c400a2a )..:.;...?.'.@.* + 1be0 0a110112 01000000 01110103 081b0825 ...............% + 1bf0 08130b42 0b100600 00022400 03083e0b ...B......$...>. + 1c00 0b0b0000 03160003 08491300 00041301 .........I...... + 1c10 03080b0b 01130000 050d0003 08491338 .............I.8 + 1c20 0a000006 1500270c 0000070f 0049130b ......'......I.. + 1c30 0b330b00 00082600 49130000 09150049 .3....&.I......I + 1c40 13270c00 000a0f00 0b0b330b 00000b26 .'........3....& + 1c50 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1c60 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1c70 00000f01 0149130b 0b011300 00102100 .....I........!. + 1c80 2f0b0000 1117010b 0b011300 00121301 /............... + 1c90 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 1ca0 04010b0b 01130000 150d0003 0849130b .............I.. + 1cb0 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 1cc0 01130000 17130103 080b0501 13000018 ................ + 1cd0 17010b05 01130000 19280003 081c0600 .........(...... + 1ce0 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... + 1cf0 0149133c 0c011300 001c2100 00001d35 .I.<......!....5 + 1d00 00491300 001e2e00 3a0b3b05 0308270c .I......:.;...'. + 1d10 400a2a0a 11011201 00001f2e 013a0b3b @.*..........:.; + 1d20 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ + 1d30 00203400 03084913 0000212e 013a0b3b . 4...I...!..:.; + 1d40 05030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... + 1d50 13000022 2e003a0b 3b050308 4913270c ..."..:.;...I.'. + 1d60 400a2a0a 11011201 00002334 00030849 @.*.......#4...I + 1d70 13020a00 00240500 3a0b3b05 03084913 .....$..:.;...I. + 1d80 020a0000 252e013a 0b3b0503 083f0c27 ....%..:.;...?.' + 1d90 0c400a2a 0a110112 01011300 00262e01 .@.*.........&.. + 1da0 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1db0 12010000 00011101 03081b08 2508130b ............%... + 1dc0 420b0000 02240003 083e0b0b 0b000003 B....$...>...... + 1dd0 16000308 49130000 04130103 080b0b01 ....I........... + 1de0 13000005 0d000308 4913380a 00000601 ........I.8..... + 1df0 0149133c 0c011300 00072100 00000826 .I.<......!....& + 1e00 00491300 00093400 03084913 020a3f0c .I....4...I...?. + 1e10 00000a21 002f0b00 00000111 0103081b ...!./.......... + 1e20 08250813 0b420b10 06000002 24000308 .%...B......$... + 1e30 3e0b0b0b 00000316 00030849 13000004 >..........I.... + 1e40 13010308 0b0b0113 0000050d 00030849 ...............I + 1e50 13380a00 00061500 270c0000 070f0049 .8......'......I + 1e60 130b0b33 0b000008 26004913 00000915 ...3....&.I..... + 1e70 00491327 0c00000a 0f000b0b 330b0000 .I.'........3... + 1e80 0b260000 000c1300 03080b0b 3c0c0000 .&..........<... + 1e90 0d040103 080b0b01 1300000e 28000308 ............(... + 1ea0 1c0b0000 0f010149 130b0b01 13000010 .......I........ + 1eb0 21002f0b 00001117 010b0b01 13000012 !./............. + 1ec0 13010b0b 01130000 130d0049 13380a00 ...........I.8.. + 1ed0 00140401 0b0b0113 0000150d 00030849 ...............I + 1ee0 130b0b0c 0b0d0b38 0a000016 01014913 .......8......I. + 1ef0 0b050113 00001713 0103080b 05011300 ................ + 1f00 00181701 0b050113 00001928 0003081c ...........(.... + 1f10 0600001a 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 1f20 400a2a0a 11011201 01130000 1b05003a @.*............: + 1f30 0b3b0b03 08491302 0a00001c 34000308 .;...I......4... + 1f40 49130000 1d2e013a 0b3b0503 083f0c27 I......:.;...?.' + 1f50 0c400a2a 0a110112 01000000 01110103 .@.*............ + 1f60 081b0825 08130b42 0b100600 00021301 ...%...B........ + 1f70 03080b0b 01130000 030d0003 08491338 .............I.8 + 1f80 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ + 1f90 0003083e 0b0b0b00 00061600 03084913 ...>..........I. + 1fa0 00000701 0149130b 0b011300 00082100 .....I........!. + 1fb0 2f0b0000 09150027 0c00000a 15004913 /......'......I. + 1fc0 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. + 1fd0 49130000 0d260000 000e1300 03080b0b I....&.......... + 1fe0 3c0c0000 0f040103 080b0b01 13000010 <............... + 1ff0 28000308 1c0b0000 1117010b 0b011300 (............... + 2000 00121301 0b0b0113 0000130d 00491338 .............I.8 + 2010 0a000014 04010b0b 01130000 150d0003 ................ + 2020 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... + 2030 49130b05 01130000 17130103 080b0501 I............... + 2040 13000018 17010b05 01130000 19280003 .............(.. + 2050 081c0600 001a2800 03081c05 00001b34 ......(........4 + 2060 00030849 13020a3f 0c00001c 2e013a0b ...I...?......:. + 2070 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... + 2080 12010113 00001d05 003a0b3b 0b030849 .........:.;...I + 2090 13020a00 001e2e01 3a0b3b0b 03083f0c ........:.;...?. + 20a0 270c400a 2a0a1101 12010113 00001f34 '.@.*..........4 + 20b0 00030849 13000020 2e013a0b 3b050308 ...I... ..:.;... + 20c0 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 20d0 00002105 003a0b3b 05030849 13020a00 ..!..:.;...I.... + 20e0 00222e01 3a0b3b05 03083f0c 270c400a ."..:.;...?.'.@. + 20f0 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; + 2100 0503083f 0c270c40 0a2a0a11 01120100 ...?.'.@.*...... + 2110 00000111 0103081b 08250813 0b420b10 .........%...B.. + 2120 06000002 13010308 0b0b0113 0000030d ................ + 2130 00030849 13380a00 00040f00 49130b0b ...I.8......I... + 2140 330b0000 05240003 083e0b0b 0b000006 3....$...>...... + 2150 16000308 49130000 07010149 130b0b01 ....I......I.... + 2160 13000008 21002f0b 00000915 00270c00 ....!./......'.. + 2170 000a1500 4913270c 00000b0f 000b0b33 ....I.'........3 + 2180 0b00000c 26004913 00000d26 0000000e ....&.I....&.... + 2190 13000308 0b0b3c0c 00000f04 0103080b ......<......... + 21a0 0b011300 00102800 03081c0b 00001117 ......(......... + 21b0 010b0b01 13000012 13010b0b 01130000 ................ + 21c0 130d0049 13380a00 00140401 0b0b0113 ...I.8.......... + 21d0 0000150d 00030849 130b0b0c 0b0d0b38 .......I.......8 + 21e0 0a000016 01014913 0b050113 00001713 ......I......... + 21f0 0103080b 05011300 00181701 0b050113 ................ + 2200 00001928 0003081c 0600001a 34000308 ...(........4... + 2210 4913020a 3f0c0000 1b2e013a 0b3b0b03 I...?......:.;.. + 2220 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 2230 001c0500 3a0b3b0b 03084913 020a0000 ....:.;...I..... + 2240 1d340003 08491300 001e2e01 3a0b3b0b .4...I......:.;. + 2250 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... + 2260 01130000 1f2e013a 0b3b0b03 083f0c27 .......:.;...?.' + 2270 0c400a2a 0a110112 01000000 01110103 .@.*............ + 2280 081b0825 08130b42 0b100600 00021301 ...%...B........ + 2290 03080b0b 01130000 030d0003 08491338 .............I.8 + 22a0 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ + 22b0 0003083e 0b0b0b00 00061600 03084913 ...>..........I. + 22c0 00000701 0149130b 0b011300 00082100 .....I........!. + 22d0 2f0b0000 09150027 0c00000a 15004913 /......'......I. + 22e0 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. + 22f0 49130000 0d260000 000e1300 03080b0b I....&.......... + 2300 3c0c0000 0f040103 080b0b01 13000010 <............... + 2310 28000308 1c0b0000 1117010b 0b011300 (............... + 2320 00121301 0b0b0113 0000130d 00491338 .............I.8 + 2330 0a000014 04010b0b 01130000 150d0003 ................ + 2340 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... + 2350 49130b05 01130000 17130103 080b0501 I............... + 2360 13000018 17010b05 01130000 19280003 .............(.. + 2370 081c0600 001a3400 03084913 020a3f0c ......4...I...?. + 2380 00001b2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ + 2390 0a2a0a11 01120101 1300001c 05003a0b .*............:. + 23a0 3b0b0308 4913020a 00001d34 00030849 ;...I......4...I + 23b0 1300001e 2e013a0b 3b0b0308 49133f0c ......:.;...I.?. + 23c0 400a2a0a 11011201 01130000 1f2e013a @.*............: + 23d0 0b3b0b03 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. + 23e0 01120101 13000020 2e013a0b 3b0b0308 ....... ..:.;... + 23f0 3f0c270c 400a2a0a 11011201 00000001 ?.'.@.*......... + 2400 11010308 1b082508 130b420b 10060000 ......%...B..... + 2410 02150027 0c000003 0f004913 0b0b330b ...'......I...3. + 2420 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. + 2430 49130000 06150049 13270c00 00071301 I......I.'...... + 2440 03080b0b 01130000 080d0003 08491338 .............I.8 + 2450 0a000009 16000308 49130000 0a0f000b ........I....... + 2460 0b330b00 000b2600 00000c13 0003080b .3....&......... + 2470 0b3c0c00 000d0401 03080b0b 01130000 .<.............. + 2480 0e280003 081c0b00 000f0101 49130b0b .(..........I... + 2490 01130000 1021002f 0b000011 17010b0b .....!./........ + 24a0 01130000 1213010b 0b011300 00130d00 ................ + 24b0 4913380a 00001404 010b0b01 13000015 I.8............. + 24c0 0d000308 49130b0b 0c0b0d0b 380a0000 ....I.......8... + 24d0 16010149 130b0501 13000017 13010308 ...I............ + 24e0 0b050113 00001817 010b0501 13000019 ................ + 24f0 28000308 1c060000 1a2e013a 0b3b0503 (..........:.;.. + 2500 08491327 0c8b400c 400a2a0a 11011201 .I.'..@.@.*..... + 2510 01130000 1b05003a 0b3b0503 08491302 .......:.;...I.. + 2520 0a00001c 2e013a0b 3b050308 270c8b40 ......:.;...'..@ + 2530 0c400a2a 0a110112 01011300 001d2e01 .@.*............ + 2540 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 2550 12010113 00001e05 003a0b3b 0b030849 .........:.;...I + 2560 13020a00 001f2e01 3a0b3b0b 03084913 ........:.;...I. + 2570 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... + 2580 13000020 34000308 49130000 21340003 ... 4...I...!4.. + 2590 08491302 0a000022 2e013a0b 3b0b0308 .I....."..:.;... + 25a0 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... + 25b0 13000023 2e013a0b 3b050308 3f0c270c ...#..:.;...?.'. + 25c0 8b400c40 0a2a0a11 01120101 13000024 .@.@.*.........$ + 25d0 2e013a0b 3b050308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 25e0 11011201 01130000 252e013a 0b3b0503 ........%..:.;.. + 25f0 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 2600 13000026 2e013a0b 3b050308 3f0c270c ...&..:.;...?.'. + 2610 400a2a0a 11011201 00000001 11010308 @.*............. + 2620 1b082508 130b420b 10060000 02130103 ..%...B......... + 2630 080b0b01 13000003 0d000308 4913380a ............I.8. + 2640 0000040f 0049130b 0b330b00 00052400 .....I...3....$. + 2650 03083e0b 0b0b0000 06160003 08491300 ..>..........I.. + 2660 00070101 49130b0b 01130000 0821002f ....I........!./ + 2670 0b000009 1500270c 00000a15 00491327 ......'......I.' + 2680 0c00000b 0f000b0b 330b0000 0c260049 ........3....&.I + 2690 1300000d 26000000 0e130003 080b0b3c ....&..........< + 26a0 0c00000f 04010308 0b0b0113 00001028 ...............( + 26b0 0003081c 0b000011 17010b0b 01130000 ................ + 26c0 1213010b 0b011300 00130d00 4913380a ............I.8. + 26d0 00001404 010b0b01 13000015 0d000308 ................ + 26e0 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I + 26f0 130b0501 13000017 13010308 0b050113 ................ + 2700 00001817 010b0501 13000019 28000308 ............(... + 2710 1c060000 1a2e003a 0b3b0b03 083f0c40 .......:.;...?.@ + 2720 0a2a0a11 01120100 001b2e01 3a0b3b0b .*..........:.;. + 2730 03083f0c 270c8b40 0c400a2a 0a110112 ..?.'..@.@.*.... + 2740 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. + 2750 020a0000 1d340003 08491300 001e2e01 .....4...I...... + 2760 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 2770 12010113 00001f2e 013a0b3b 0b030827 .........:.;...' + 2780 0c400a2a 0a110112 01011300 00202e01 .@.*......... .. + 2790 3a0b3b0b 0308270c 8b400c40 0a2a0a11 :.;...'..@.@.*.. + 27a0 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... + 27b0 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 27c0 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' + 27d0 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. + 27e0 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: + 27f0 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 2800 01011300 00252e01 3a0b3b05 0308270c .....%..:.;...'. + 2810 400a2a0a 11011201 00000001 11010308 @.*............. + 2820 1b082508 130b420b 10060000 02240003 ..%...B......$.. + 2830 083e0b0b 0b000003 16000308 49130000 .>..........I... + 2840 04010149 130b0b01 13000005 21002f0b ...I........!./. + 2850 00000617 010b0b01 13000007 0d000308 ................ + 2860 4913380a 00000813 010b0b01 13000009 I.8............. + 2870 13010308 0b0b0113 00000a0f 0049130b .............I.. + 2880 0b330b00 000b0f00 0b0b330b 00000c13 .3........3..... + 2890 0103080b 05011300 000d1500 270c0000 ............'... + 28a0 0e150049 13270c00 000f2600 49130000 ...I.'....&.I... + 28b0 10010149 130b0501 13000011 26000000 ...I........&... + 28c0 12130003 080b0b3c 0c000013 04010308 .......<........ + 28d0 0b0b0113 00001428 0003081c 0b000015 .......(........ + 28e0 0d004913 380a0000 1604010b 0b011300 ..I.8........... + 28f0 00170d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + 2900 00001817 010b0501 13000019 28000308 ............(... + 2910 1c060000 1a2e013a 0b3b0b03 0849133f .......:.;...I.? + 2920 0c270c40 0a2a0a11 01120101 1300001b .'.@.*.......... + 2930 05003a0b 3b0b0308 4913020a 00001c34 ..:.;...I......4 + 2940 00030849 1300001d 2e013a0b 3b0b0308 ...I......:.;... + 2950 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 2960 1e340003 08491302 0a00001f 2e013a0b .4...I........:. + 2970 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 2980 00000001 11010308 1b082508 130b420b ..........%...B. + 2990 10060000 02150027 0c000003 0f004913 .......'......I. + 29a0 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... + 29b0 00052600 49130000 06150049 13270c00 ..&.I......I.'.. + 29c0 00071301 03080b0b 01130000 080d0003 ................ + 29d0 08491338 0a000009 16000308 49130000 .I.8........I... + 29e0 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... + 29f0 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... + 2a00 01130000 0e280003 081c0b00 000f0101 .....(.......... + 2a10 49130b0b 01130000 1021002f 0b000011 I........!./.... + 2a20 17010b0b 01130000 1213010b 0b011300 ................ + 2a30 00130d00 4913380a 00001404 010b0b01 ....I.8......... + 2a40 13000015 0d000308 49130b0b 0c0b0d0b ........I....... + 2a50 380a0000 16010149 130b0501 13000017 8......I........ + 2a60 13010308 0b050113 00001817 010b0501 ................ + 2a70 13000019 28000308 1c060000 1a340003 ....(........4.. + 2a80 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. + 2a90 03084913 270c400a 2a0a1101 12010113 ..I.'.@.*....... + 2aa0 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... + 2ab0 001d2e01 3a0b3b0b 03084913 3f0c400a ....:.;...I.?.@. + 2ac0 2a0a1101 12010113 00001e34 00030849 *..........4...I + 2ad0 13020a00 001f3400 03084913 0000202e ......4...I... . + 2ae0 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 2af0 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... + 2b00 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 2b10 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' + 2b20 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. + 2b30 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: + 2b40 0b3b0503 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. + 2b50 01120100 00000111 0103081b 08250813 .............%.. + 2b60 0b420b10 06000002 1500270c 0000030f .B........'..... + 2b70 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. + 2b80 0b0b0000 05260049 13000006 15004913 .....&.I......I. + 2b90 270c0000 07130103 080b0b01 13000008 '............... + 2ba0 0d000308 4913380a 00000916 00030849 ....I.8........I + 2bb0 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. + 2bc0 000c1300 03080b0b 3c0c0000 0d040103 ........<....... + 2bd0 080b0b01 1300000e 28000308 1c0b0000 ........(....... + 2be0 0f010149 130b0b01 13000010 21002f0b ...I........!./. + 2bf0 00001117 010b0b01 13000012 13010b0b ................ + 2c00 01130000 130d0049 13380a00 00140401 .......I.8...... + 2c10 0b0b0113 0000150d 00030849 130b0b0c ...........I.... + 2c20 0b0d0b38 0a000016 01014913 0b050113 ...8......I..... + 2c30 00001713 0103080b 05011300 00181701 ................ + 2c40 0b050113 00001928 0003081c 0600001a .......(........ + 2c50 34000308 4913020a 3f0c0000 1b2e013a 4...I...?......: + 2c60 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 2c70 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. + 2c80 020a0000 1d2e013a 0b3b0b03 0849133f .......:.;...I.? + 2c90 0c270c40 0a2a0a11 01120101 1300001e .'.@.*.......... + 2ca0 34000308 49130000 1f2e013a 0b3b0b03 4...I......:.;.. + 2cb0 083f0c27 0c8b400c 400a2a0a 11011201 .?.'..@.@.*..... + 2cc0 01130000 202e013a 0b3b0b03 083f0c27 .... ..:.;...?.' + 2cd0 0c400a2a 0a110112 01000000 01110103 .@.*............ + 2ce0 081b0825 08130b42 0b100600 00022400 ...%...B......$. + 2cf0 03083e0b 0b0b0000 03010149 130b0b01 ..>........I.... + 2d00 13000004 21002f0b 00000513 010b0b01 ....!./......... + 2d10 13000006 0d000308 4913380a 00000715 ........I.8..... + 2d20 00270c00 00081600 03084913 0000090f .'........I..... + 2d30 0049130b 0b330b00 000a0f00 0b0b330b .I...3........3. + 2d40 00000b13 0103080b 0b011300 000c1500 ................ + 2d50 4913270c 00000d01 0149133c 0c011300 I.'......I.<.... + 2d60 000e2100 00000f2e 013a0b3b 0b030849 ..!......:.;...I + 2d70 133f0c27 0c400a2a 0a110112 01000010 .?.'.@.*........ + 2d80 05003a0b 3b0b0308 4913020a 00001134 ..:.;...I......4 + 2d90 00030849 13000000 01110010 06110112 ...I............ + 2da0 0103081b 08250813 05000000 01110010 .....%.......... + 2db0 06110112 0103081b 08250813 05000000 .........%...... + 2dc0 01110010 06110112 0103081b 08250813 .............%.. + 2dd0 05000000 01110103 081b0825 08130b42 ...........%...B + 2de0 0b000002 24000308 3e0b0b0b 00000326 ....$...>......& + 2df0 00491300 00043400 03084913 020a3f0c .I....4...I...?. + 2e00 0000050f 0049130b 0b330b00 00060101 .....I...3...... + 2e10 49130b0b 01130000 0721002f 0b000000 I........!./.... + 2e20 01110010 06110112 0103081b 08250813 .............%.. + 2e30 05000000 01110010 06110112 0103081b ................ + 2e40 08250813 05000000 01110010 06110112 .%.............. + 2e50 0103081b 08250813 05000000 01110010 .....%.......... + 2e60 06110112 0103081b 08250813 05000000 .........%...... + 2e70 01110103 081b0825 08130b42 0b000002 .......%...B.... + 2e80 24000308 3e0b0b0b 00000301 0149130b $...>........I.. + 2e90 0b011300 00042100 2f0b0000 05010149 ......!./......I + 2ea0 130b0501 13000006 13010308 0b050113 ................ + 2eb0 0000070d 00030849 13380a00 00082600 .......I.8....&. + 2ec0 49130000 09340003 08491302 0a3f0c00 I....4...I...?.. + 2ed0 00000111 00100611 01120103 081b0825 ...............% + 2ee0 08130500 00000111 00100611 01120103 ................ + 2ef0 081b0825 08130500 00000111 00100611 ...%............ + 2f00 01120103 081b0825 08130500 00000111 .......%........ + 2f10 00100611 01120103 081b0825 08130500 ...........%.... + 2f20 00000111 0103081b 08250813 0b420b10 .........%...B.. + 2f30 06000002 24000308 3e0b0b0b 00000316 ....$...>....... + 2f40 00030849 13000004 01014913 0b0b0113 ...I......I..... + 2f50 00000521 002f0b00 00061701 0b0b0113 ...!./.......... + 2f60 0000070d 00030849 13380a00 00081301 .......I.8...... + 2f70 0b0b0113 00000913 0103080b 0b011300 ................ + 2f80 000a0f00 49130b0b 330b0000 0b0f000b ....I...3....... + 2f90 0b330b00 000c1301 03080b05 01130000 .3.............. + 2fa0 0d150027 0c00000e 15004913 270c0000 ...'......I.'... + 2fb0 0f260049 13000010 01014913 0b050113 .&.I......I..... + 2fc0 00001126 00000012 2e013a0b 3b0b0308 ...&......:.;... + 2fd0 49133f0c 270c400a 2a0a1101 12010000 I.?.'.@.*....... + 2fe0 1305003a 0b3b0b03 08491302 0a000014 ...:.;...I...... + 2ff0 34000308 49130000 00011100 10061101 4...I........... + 3000 12010308 1b082508 13050000 00011101 ......%......... + 3010 03081b08 2508130b 420b1006 00000224 ....%...B......$ + 3020 0003083e 0b0b0b00 00031600 03084913 ...>..........I. + 3030 00000401 0149130b 0b011300 00052100 .....I........!. + 3040 2f0b0000 0617010b 0b011300 00070d00 /............... + 3050 03084913 380a0000 0813010b 0b011300 ..I.8........... + 3060 00091301 03080b0b 01130000 0a0f0049 ...............I + 3070 130b0b33 0b00000b 0f000b0b 330b0000 ...3........3... + 3080 0c130103 080b0501 1300000d 1500270c ..............'. + 3090 00000e15 00491327 0c00000f 26004913 .....I.'....&.I. + 30a0 00001001 0149130b 05011300 00112600 .....I........&. + 30b0 0000122e 013a0b3b 0b030849 133f0c27 .....:.;...I.?.' + 30c0 0c400a2a 0a110112 01000013 05003a0b .@.*..........:. + 30d0 3b0b0308 4913020a 00001434 00030849 ;...I......4...I + 30e0 13000000 01110010 06110112 0103081b ................ + 30f0 08250813 05000000 01110010 06110112 .%.............. + 3100 0103081b 08250813 05000000 01110010 .....%.......... + 3110 06110112 0103081b 08250813 05000000 .........%...... + 3120 01110010 06110112 0103081b 08250813 .............%.. + 3130 05000000 01110103 081b0825 08130b42 ...........%...B + 3140 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... + 3150 03160003 08491300 00040101 49130b0b .....I......I... + 3160 01130000 0521002f 0b000006 17010b0b .....!./........ + 3170 01130000 070d0003 08491338 0a000008 .........I.8.... + 3180 13010b0b 01130000 09130103 080b0b01 ................ + 3190 1300000a 0f004913 0b0b330b 00000b0f ......I...3..... + 31a0 000b0b33 0b00000c 13010308 0b050113 ...3............ + 31b0 00000d15 00270c00 000e1500 4913270c .....'......I.'. + 31c0 00000f26 00491300 00100101 49130b05 ...&.I......I... + 31d0 01130000 112e013a 0b3b0b03 0849133f .......:.;...I.? + 31e0 0c270c40 0a2a0a11 01120100 00120500 .'.@.*.......... + 31f0 3a0b3b0b 03084913 020a0000 13340003 :.;...I......4.. + 3200 08491300 00000111 00100611 01120103 .I.............. + 3210 081b0825 08130500 0000 ...%...... +Contents of section .debug_line: + 00000 00000083 00020000 001f0101 fb0e0a00 ................ + 00010 01010101 00000001 00637274 312d7469 .........crt1-ti + 00020 6e792e53 00000000 00000502 008e1000 ny.S............ + 00030 03300103 01090003 01030609 00030103 .0.............. + 00040 02090003 01030109 00030103 09090003 ................ + 00050 01030109 00030103 01090005 01030109 ................ + 00060 00030103 01090002 01030109 00020103 ................ + 00070 07090003 01030a09 00030103 02090003 ................ + 00080 01090003 00010100 00003e00 02000000 ..........>..... + 00090 220101fb 0e0a0001 01010100 00000100 "............... + 000a0 64656275 672d7665 63746f72 2e530000 debug-vector.S.. + 000b0 00000000 0502008e 0c200329 01030209 ......... .).... + 000c0 00030109 00030001 01000000 3f000200 ............?... + 000d0 00002301 01fb0e0a 00010101 01000000 ..#............. + 000e0 0100646f 75626c65 2d766563 746f722e ..double-vector. + 000f0 53000000 00000005 02008e0f 20032e01 S........... ... + 00100 03080900 03010900 03000101 0000003f ...............? + 00110 00020000 00230101 fb0e0a00 01010101 .....#.......... + 00120 00000001 006b6572 6e656c2d 76656374 .....kernel-vect + 00130 6f722e53 00000000 00000502 008e0d40 or.S...........@ + 00140 032a0103 08090003 01090003 00010100 .*.............. + 00150 0001a600 02000000 a20101fb 0e0a0001 ................ + 00160 01010100 0000012f 6361642f 74656e73 ......./cad/tens + 00170 696c6963 612f746f 6f6c732f 52422d32 ilica/tools/RB-2 + 00180 3030372e 322f5874 44657654 6f6f6c73 007.2/XtDevTools + 00190 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 001a0 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 001b0 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 001c0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 001d0 656e7361 00007265 7365742d 76656374 ensa..reset-vect + 001e0 6f722e53 00000000 63616368 65617474 or.S....cacheatt + 001f0 7261736d 2e680001 00000000 0502008e rasm.h.......... + 00200 00000337 01031109 00200103 03090002 ...7..... ...... + 00210 01033409 00030103 01090003 01030409 ..4............. + 00220 00030104 02031c09 00030103 eb010900 ................ + 00230 0301038d 7f09001f 01031709 00130103 ................ + 00240 dc000900 0f01034b 09001101 040103d5 .......K........ + 00250 7e09000c 01030109 00030103 01090002 ~............... + 00260 01030109 00020103 01090002 01030109 ................ + 00270 00020103 01090003 01030109 00030103 ................ + 00280 01090002 01030109 00020103 01090002 ................ + 00290 01030109 00020103 01090003 01030109 ................ + 002a0 00050103 01090003 0103c400 09000301 ................ + 002b0 03010900 03010301 09000301 030a0900 ................ + 002c0 0301030f 09000301 03010900 02010304 ................ + 002d0 09000301 03010900 03010301 09000301 ................ + 002e0 03c10009 00020103 01090006 01031209 ................ + 002f0 00060109 00030001 01000000 67000200 ............g... + 00300 00002101 01fb0e0a 00010101 01000000 ..!............. + 00310 01007573 65722d76 6563746f 722e5300 ..user-vector.S. + 00320 00000000 00050200 8e0e2003 18010301 .......... ..... + 00330 09000301 03010900 02010301 09000201 ................ + 00340 03010900 03010302 09000301 03010900 ................ + 00350 03010301 09000201 03010900 02010900 ................ + 00360 03000101 00000036 00020000 00200101 .......6..... .. + 00370 fb0e0a00 01010101 00000001 006e6d69 .............nmi + 00380 2d766563 746f722e 53000000 00000005 -vector.S....... + 00390 02008e0c e4032701 09000300 01010000 ......'......... + 003a0 01910002 00000024 0101fb0e 0a000101 .......$........ + 003b0 01010000 00010077 696e646f 772d7665 .......window-ve + 003c0 63746f72 732e5300 00000000 00050200 ctors.S......... + 003d0 8e080003 e8000103 01090003 01030109 ................ + 003e0 00030103 01090003 01030209 00030103 ................ + 003f0 17090034 01030109 00030103 01090003 ...4............ + 00400 01030109 00030103 02090003 01031f09 ................ + 00410 00340103 01090003 01030109 00030103 .4.............. + 00420 01090003 01030109 00030103 01090003 ................ + 00430 01030109 00030103 01090003 01030109 ................ + 00440 00030103 02090003 01031f09 00250103 .............%.. + 00450 01090003 01030109 00030103 01090003 ................ + 00460 01030109 00030103 01090003 01030109 ................ + 00470 00030103 01090003 01030109 00030103 ................ + 00480 02090003 01032309 00250103 01090003 ......#..%...... + 00490 01030109 00030103 01090003 01030109 ................ + 004a0 00030103 01090003 01030109 00030103 ................ + 004b0 01090003 01030109 00030103 01090003 ................ + 004c0 01030109 00030103 01090003 01030109 ................ + 004d0 00030103 02090003 01032309 00190103 ..........#..... + 004e0 01090003 01030109 00030103 01090003 ................ + 004f0 01030109 00030103 01090003 01030109 ................ + 00500 00030103 01090003 01030109 00030103 ................ + 00510 01090003 01030109 00030103 01090003 ................ + 00520 01030109 00030103 02090003 01090003 ................ + 00530 00010100 00003c00 02000000 200101fb ......<..... ... + 00540 0e0a0001 01010100 00000100 696e742d ............int- + 00550 76656374 6f722e53 00000000 00000502 vector.S........ + 00560 008e0a20 03240103 01090003 01090003 ... .$.......... + 00570 00010100 00003c00 02000000 200101fb ......<..... ... + 00580 0e0a0001 01010100 00000100 696e742d ............int- + 00590 76656374 6f722e53 00000000 00000502 vector.S........ + 005a0 008e0b20 03240103 01090003 01090003 ... .$.......... + 005b0 00010100 0001e300 02000000 280101fb ............(... + 005c0 0e0a0001 01010100 00000100 6578632d ............exc- + 005d0 616c6c6f 63612d68 616e646c 65722e53 alloca-handler.S + 005e0 00000000 00000502 008e102c 03e40001 ...........,.... + 005f0 03010900 03010301 09000201 03070900 ................ + 00600 02010301 09000301 03010900 03010301 ................ + 00610 09000301 03010900 03010301 09000301 ................ + 00620 03010900 03010302 09000301 03080900 ................ + 00630 03010301 09000201 03010900 03010301 ................ + 00640 09000301 03010900 02010303 09000301 ................ + 00650 03010900 03010301 09000301 03060900 ................ + 00660 03010303 09000301 03010900 03010301 ................ + 00670 09000201 03030900 0301030d 09000301 ................ + 00680 03030900 05010301 09000401 03010900 ................ + 00690 04010301 09000401 03010900 04010301 ................ + 006a0 09000401 03010900 04010301 09000401 ................ + 006b0 03010900 04010301 09000401 03010900 ................ + 006c0 04010301 09000401 03010900 04010301 ................ + 006d0 09000401 03010900 04010301 09000401 ................ + 006e0 03070900 02010301 09000301 03050900 ................ + 006f0 02010302 09000301 03050900 03010305 ................ + 00700 09000301 03010900 03010301 09000301 ................ + 00710 03030900 03010301 09000301 03010900 ................ + 00720 03010301 09000301 03010900 02010301 ................ + 00730 09000201 03010900 03010301 09000301 ................ + 00740 03010900 02010305 09000201 03010900 ................ + 00750 03010301 09000301 03010900 03010301 ................ + 00760 09000301 03010900 03010301 09000301 ................ + 00770 03010900 03010310 09000301 03010900 ................ + 00780 02010301 09000201 03010900 02010301 ................ + 00790 09000201 09000300 01010000 01360002 .............6.. + 007a0 00000029 0101fb0e 0a000101 01010000 ...)............ + 007b0 00010065 78632d73 79736361 6c6c2d68 ...exc-syscall-h + 007c0 616e646c 65722e53 00000000 00000502 andler.S........ + 007d0 008e1104 03c90001 03060900 03010301 ................ + 007e0 09000301 03010900 02010301 09000301 ................ + 007f0 03010900 03010301 09000201 03010900 ................ + 00800 02010301 09000301 03010900 03010306 ................ + 00810 09000201 03010900 03010304 09000201 ................ + 00820 03070900 03010301 09000301 03010900 ................ + 00830 03010302 09000301 03010900 03010301 ................ + 00840 09000301 03020900 03010301 09000301 ................ + 00850 03010900 03010307 09000301 03060900 ................ + 00860 02010302 09000301 03010900 03010301 ................ + 00870 09000301 03010900 02010303 09000201 ................ + 00880 03010900 03010301 09000201 03010900 ................ + 00890 02010301 09000301 03010900 03010301 ................ + 008a0 09000201 03010900 02010306 09000301 ................ + 008b0 03020900 0301030b 09000201 03050900 ................ + 008c0 02010301 09000201 03010900 03010900 ................ + 008d0 03000101 00000100 00020000 002b0101 .............+.. + 008e0 fb0e0a00 01010101 00000001 00696e74 .............int + 008f0 2d6c6f77 7072692d 64697370 61746368 -lowpri-dispatch + 00900 65722e53 00000000 00000502 008e1174 er.S...........t + 00910 03c40001 03100900 02010302 09000301 ................ + 00920 03010900 0301030a 09000301 03010900 ................ + 00930 020103c7 00090002 01030209 00030103 ................ + 00940 02090003 0103d300 09000301 03010900 ................ + 00950 03010308 09000301 03310900 0301031e .........1...... + 00960 09000301 03030900 02010302 09000301 ................ + 00970 03cc0109 00030103 0209000f 01030509 ................ + 00980 00030103 01090002 01030809 00020103 ................ + 00990 01090002 01030109 00020103 04090003 ................ + 009a0 01030209 000f0103 ea000900 02010308 ................ + 009b0 09000301 03010900 03010301 09000301 ................ + 009c0 03010900 03010303 09000301 03030900 ................ + 009d0 03010900 02000101 000002d4 00020000 ................ + 009e0 02880101 fb0e0a00 01010101 00000001 ................ + 009f0 2f666f6c 6b732f72 6873752f 70726f6a /folks/rhsu/proj + 00a00 6563742f 7034762f 70657266 6f726365 ect/p4v/perforce + 00a10 2f70305f 636f7265 2f70726f 6a656374 /p0_core/project + 00a20 2f737263 2f726f6d 2f6f732f 7372632f /src/rom/os/src/ + 00a30 78746f73 002f6361 642f7465 6e73696c xtos./cad/tensil + 00a40 6963612f 746f6f6c 732f5242 2d323030 ica/tools/RB-200 + 00a50 372e322f 58744465 76546f6f 6c732f69 7.2/XtDevTools/i + 00a60 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 00a70 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 00a80 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 00a90 656c662f 696e636c 7564652f 7874656e elf/include/xten + 00aa0 73612f63 6f6e6669 67002f63 61642f74 sa/config./cad/t + 00ab0 656e7369 6c696361 2f746f6f 6c732f52 ensilica/tools/R + 00ac0 422d3230 30372e32 2f587444 6576546f B-2007.2/XtDevTo + 00ad0 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 00ae0 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 00af0 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 00b00 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 00b10 2f787465 6e736100 2f747265 65732f62 /xtensa./trees/b + 00b20 79616e67 2f4d6167 7069655f 50302f63 yang/Magpie_P0/c + 00b30 61642f61 7468722f 69702f74 656e7369 ad/athr/ip/tensi + 00b40 6c696361 2f6d6167 7069655f 76315f30 lica/magpie_v1_0 + 00b50 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + 00b60 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 00b70 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + 00b80 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + 00b90 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 00ba0 00696e74 2d736574 68616e64 6c65722e .int-sethandler. + 00bb0 63000100 00636f72 652e6800 02000068 c....core.h....h + 00bc0 616c2e68 00030000 636f7265 2d697361 al.h....core-isa + 00bd0 2e680004 0000636f 72652d6d 61746d61 .h....core-matma + 00be0 702e6800 04000074 69652e68 00040000 p.h....tie.h.... + 00bf0 73706563 7265672e 68000400 00636f72 specreg.h....cor + 00c00 65626974 732e6800 03000078 746f732d ebits.h....xtos- + 00c10 696e7465 726e616c 2e680001 00007874 internal.h....xt + 00c20 72756e74 696d652e 68000300 00787472 runtime.h....xtr + 00c30 756e7469 6d652d66 72616d65 732e6800 untime-frames.h. + 00c40 03000078 74656e73 612d7665 7273696f ...xtensa-versio + 00c50 6e732e68 00030000 78746f73 2d706172 ns.h....xtos-par + 00c60 616d732e 68000100 00000005 02008e11 ams.h........... + 00c70 e4031e01 03050900 03010302 09000501 ................ + 00c80 03030900 0e010308 09000e01 03000900 ................ + 00c90 10010300 09001001 03000900 04010308 ................ + 00ca0 09000401 03010900 03010900 0d000101 ................ + 00cb0 0000016d 00020000 002b0101 fb0e0a00 ...m.....+...... + 00cc0 01010101 00000001 00696e74 2d6d6564 .........int-med + 00cd0 7072692d 64697370 61746368 65722e53 pri-dispatcher.S + 00ce0 00000000 00000502 008e1240 03340103 ...........@.4.. + 00cf0 04090003 01030109 00030103 04090002 ................ + 00d00 01030209 00030103 01090002 01030109 ................ + 00d10 00020103 01090003 01031109 00030103 ................ + 00d20 01090002 01030109 00030103 07090003 ................ + 00d30 01032409 00030103 01090003 01030109 ..$............. + 00d40 00030103 01090003 01030109 00030103 ................ + 00d50 01090003 01030109 00030103 01090003 ................ + 00d60 01030109 00020103 05090011 01030109 ................ + 00d70 00030103 01090003 01030109 00030103 ................ + 00d80 01090003 01030109 00080103 01090006 ................ + 00d90 01030109 00030103 06090002 01030109 ................ + 00da0 00030103 01090003 01030209 00030103 ................ + 00db0 01090003 01030109 00030103 01090003 ................ + 00dc0 01030109 00030103 01090003 01030609 ................ + 00dd0 00030103 0109000f 01031909 00030103 ................ + 00de0 01090003 01030109 00030103 01090003 ................ + 00df0 01030109 00030103 06090003 01030209 ................ + 00e00 00030103 02090002 01030109 00020103 ................ + 00e10 01090002 01030109 00020109 00030001 ................ + 00e20 01000001 67000200 00002b01 01fb0e0a ....g.....+..... + 00e30 00010101 01000000 0100696e 742d6d65 ..........int-me + 00e40 64707269 2d646973 70617463 6865722e dpri-dispatcher. + 00e50 53000000 00000005 02008e12 f4033401 S.............4. + 00e60 03040900 03010301 09000301 03040900 ................ + 00e70 02010302 09000301 03010900 02010301 ................ + 00e80 09000201 03010900 03010311 09000301 ................ + 00e90 03010900 02010301 09000301 03070900 ................ + 00ea0 03010324 09000301 03010900 03010301 ...$............ + 00eb0 09000301 03010900 03010301 09000301 ................ + 00ec0 03010900 03010301 09000301 03010900 ................ + 00ed0 03010301 09000201 03050900 11010301 ................ + 00ee0 09000301 03010900 03010301 09000301 ................ + 00ef0 03010900 03010301 09000801 03010900 ................ + 00f00 06010301 09000301 03060900 02010301 ................ + 00f10 09000301 03010900 03010302 09000301 ................ + 00f20 03010900 03010301 09000301 03010900 ................ + 00f30 03010301 09000301 03010900 03010306 ................ + 00f40 09000301 03010900 0f010319 09000301 ................ + 00f50 03010900 03010301 09000301 03010900 ................ + 00f60 03010301 09000301 03080900 03010302 ................ + 00f70 09000201 03010900 02010301 09000201 ................ + 00f80 03010900 02010900 03000101 00000048 ...............H + 00f90 00020000 00250101 fb0e0a00 01010101 .....%.......... + 00fa0 00000001 00696e74 65727275 70742d74 .....interrupt-t + 00fb0 61626c65 2e530000 00000000 0502008e able.S.......... + 00fc0 692003e5 00010306 09000301 03070900 i .............. + 00fd0 03010900 02000101 00000051 00020000 ...........Q.... + 00fe0 00230101 fb0e0a00 01010101 00000001 .#.............. + 00ff0 00657863 2d756e68 616e646c 65642e53 .exc-unhandled.S + 01000 00000000 00000502 008e6928 03300103 ..........i(.0.. + 01010 01090002 01030209 00020103 02090003 ................ + 01020 01030709 00030109 00030001 01000000 ................ + 01030 6c000200 00002001 01fb0e0a 00010101 l..... ......... + 01040 01000000 01006578 632d7265 7475726e ......exc-return + 01050 2e530000 00000000 0502008e 6938033c .S..........i8.< + 01060 01030109 00020103 01090002 01030909 ................ + 01070 00030103 01090002 01030109 00020103 ................ + 01080 0e090003 01030809 00030103 01090002 ................ + 01090 01030209 00020109 00030001 01000015 ................ + 010a0 5e000200 000da401 01fb0e0a 00010101 ^............... + 010b0 01000000 012f726f 6f742f57 6f726b73 ...../root/Works + 010c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 010d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 010e0 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 010f0 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 01100 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 01110 6f6d2f61 74686f73 2f737263 002f726f om/athos/src./ro + 01120 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 01130 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 01140 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 01150 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 01160 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 01170 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 01180 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ + 01190 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 011a0 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 011b0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 011c0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 011d0 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 011e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 011f0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 01200 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 01210 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o + 01220 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 01230 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 01240 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 01250 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 01260 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 01270 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 01280 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 01290 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 012a0 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 012b0 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 012c0 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 012d0 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 012e0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 012f0 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 01300 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 01310 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 01320 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 01330 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 01340 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 01350 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 01360 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 01370 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 01380 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 01390 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 013a0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 013b0 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 013c0 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 013d0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 013e0 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 013f0 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 01400 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 01410 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 01420 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 01430 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 01440 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 01450 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 01460 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 01470 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 01480 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 01490 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 014a0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 014b0 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 014c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 014d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 014e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 014f0 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 01500 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 01510 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 01520 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 01530 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 01540 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 01550 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 01560 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 01570 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 01580 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 01590 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 015a0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 015b0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 015c0 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 015d0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 015e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 015f0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 01600 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 01610 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 01620 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 01630 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 01640 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 01650 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 01660 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 01670 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 01680 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 01690 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 016a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 016b0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 016c0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 016d0 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 016e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 016f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 01700 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 01710 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 01720 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 01730 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 01740 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 01750 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 01760 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 01770 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 01780 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 01790 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 017a0 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 017b0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 017c0 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 017d0 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 017e0 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 017f0 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 01800 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 01810 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 01820 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 01830 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 01840 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 01850 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 01860 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 01870 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 01880 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 01890 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 018a0 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 018b0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 018c0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 018d0 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 018e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 018f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 01900 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 01910 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 01920 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 01930 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 01940 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 01950 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 01960 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 01970 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 01980 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 01990 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 019a0 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 019b0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 019c0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 019d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 019e0 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 019f0 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + 01a00 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 01a10 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 01a20 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 01a30 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 01a40 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 01a50 612f7469 65002f72 6f6f742f 576f726b a/tie./root/Work + 01a60 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 01a70 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 01a80 5f315f31 2f726f6d 2f667764 00006174 _1_1/rom/fwd..at + 01a90 686f735f 6d61696e 2e630001 00007379 hos_main.c....sy + 01aa0 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c + 01ab0 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m + 01ac0 656d2e68 00020000 6174686f 735f6170 em.h....athos_ap + 01ad0 692e6800 0200006f 73617069 2e680004 i.h....osapi.h.. + 01ae0 00004f54 55535f73 6f632e68 00050000 ..OTUS_soc.h.... + 01af0 64745f64 6566732e 68000400 00636d6e dt_defs.h....cmn + 01b00 6f735f61 70692e68 00060000 636f7265 os_api.h....core + 01b10 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 01b20 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 01b30 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 01b40 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 01b50 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 01b60 09000063 6f726562 6974732e 68000800 ...corebits.h... + 01b70 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 01b80 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 01b90 65675f64 6566732e 68000300 00646267 eg_defs.h....dbg + 01ba0 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 01bb0 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 01bc0 68000e00 00737472 696e675f 6170692e h....string_api. + 01bd0 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 01be0 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 01bf0 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 01c00 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 01c10 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 01c20 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 01c30 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 01c40 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 01c50 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 01c60 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 01c70 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 01c80 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 01c90 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 01ca0 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 01cb0 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 01cc0 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 01cd0 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 01ce0 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 01cf0 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 01d00 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 01d10 73746461 72672e68 00040000 76612d78 stdarg.h....va-x + 01d20 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 01d30 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 01d40 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 01d50 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 01d60 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 01d70 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 01d80 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M + 01d90 61677069 655f6170 692e6800 02000075 agpie_api.h....u + 01da0 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 01db0 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 01dc0 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 01dd0 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 01de0 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 01df0 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 01e00 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 01e10 25000072 65676475 6d702e68 00020000 %..regdump.h.... + 01e20 6d616770 69655f72 65676475 6d702e68 magpie_regdump.h + 01e30 00020000 78745f63 6f72652e 68002600 ....xt_core.h.&. + 01e40 00667764 2e680027 00000000 0502008e .fwd.h.'........ + 01e50 13a403f6 00010302 09000301 031b0900 ................ + 01e60 0a010311 09001001 03010900 0b010301 ................ + 01e70 09000601 03030900 03010301 09000901 ................ + 01e80 03020900 0f010367 09000501 03200900 .......g..... .. + 01e90 03010308 09000301 03030900 03010301 ................ + 01ea0 09000b01 03010900 05010305 09000601 ................ + 01eb0 037d0900 0201037e 09000501 03010900 .}.....~........ + 01ec0 02010304 09000201 037c0900 03010301 .........|...... + 01ed0 09000201 03030900 02010304 09000301 ................ + 01ee0 03070900 02010301 09000301 03040900 ................ + 01ef0 03010305 09000201 037b0900 05010305 .........{...... + 01f00 09000301 03080900 08010301 09000901 ................ + 01f10 037b0900 02010310 09000901 03080900 .{.............. + 01f20 03010302 09000301 03050900 06010303 ................ + 01f30 09000301 03010900 03010304 09000201 ................ + 01f40 03000900 03010302 09000201 03020900 ................ + 01f50 03010306 09000401 03000900 03010305 ................ + 01f60 09000301 03050900 09010301 09000b01 ................ + 01f70 03010900 05010302 09000401 03030900 ................ + 01f80 02010308 09000301 03010900 0c010301 ................ + 01f90 09000801 03010900 06010301 09000601 ................ + 01fa0 03050900 0801037f 09000301 03040900 ................ + 01fb0 02010302 09000701 03040900 04010304 ................ + 01fc0 09000301 03010900 0b010301 09000501 ................ + 01fd0 03060900 0601037c 09000201 037e0900 .......|.....~.. + 01fe0 05010301 09000201 03050900 0201037b ...............{ + 01ff0 09000301 03010900 02010304 09000201 ................ + 02000 03010900 03010300 09000301 03000900 ................ + 02010 03010300 09000301 03050900 2a010308 ............*... + 02020 09000701 03030900 060103e6 00090006 ................ + 02030 01030009 00030103 03090003 01031209 ................ + 02040 00070103 77090009 01030a09 00050103 ....w........... + 02050 71090002 01030f09 00050103 7c090002 q...........|... + 02060 01030409 00050103 04090003 01030909 ................ + 02070 00030103 01090011 01030109 00030103 ................ + 02080 09090005 01030109 00040103 01090005 ................ + 02090 01037d09 00020103 0c09001b 01030609 ..}............. + 020a0 00020103 01090002 01030109 00020103 ................ + 020b0 01090002 01030209 00030103 7f090002 ................ + 020c0 01030509 00020103 0109000b 01030109 ................ + 020d0 00070103 01090007 01030109 00070103 ................ + 020e0 01090007 01030109 00070103 01090007 ................ + 020f0 01030109 00070103 0e090003 01030009 ................ + 02100 00030103 01090003 01037f09 00030103 ................ + 02110 01090002 01030109 00030103 05090002 ................ + 02120 01030309 00030103 7d090005 01030309 ........}....... + 02130 00030103 0209000f 01037e09 00050103 ..........~..... + 02140 02090003 01030109 00020103 01090007 ................ + 02150 01037e09 00020103 04090003 01030109 ..~............. + 02160 00140103 7f090003 01030109 00030103 ................ + 02170 03090003 01030109 00140103 02090003 ................ + 02180 0103ec02 09000401 03000900 03010304 ................ + 02190 09000301 03030900 09010301 09000601 ................ + 021a0 03060900 09010302 09000c01 03020900 ................ + 021b0 06010304 09000401 03000900 03010305 ................ + 021c0 09000301 03080900 0c01037d 09000201 ...........}.... + 021d0 03030900 0301037d 09000501 03030900 .......}........ + 021e0 0c010301 09000301 03020900 09010303 ................ + 021f0 09000401 03010900 0301037f 09000701 ................ + 02200 03030900 03010301 09001701 03020900 ................ + 02210 10010302 09000501 03010900 17010304 ................ + 02220 09001201 03010900 0b010301 09000b01 ................ + 02230 03010900 0b010301 09000b01 03010900 ................ + 02240 0b010302 09000801 03040900 03010300 ................ + 02250 09000301 03050900 0b010301 09000601 ................ + 02260 03030900 09010301 09000901 03020900 ................ + 02270 09010302 09000601 03010900 06010303 ................ + 02280 09000601 03020900 14010301 09000901 ................ + 02290 03020900 03010303 09001101 03010900 ................ + 022a0 08010301 09000d01 03010900 10010302 ................ + 022b0 09000c01 03050900 0b010301 09000901 ................ + 022c0 03060900 0901037c 09000501 03020900 .......|........ + 022d0 03010302 09000801 03050900 04010300 ................ + 022e0 09000301 03010900 06010301 09001a01 ................ + 022f0 03010900 0301037f 09000301 03010900 ................ + 02300 06010307 09000301 037a0900 0601030e .........z...... + 02310 09000401 03000900 03010301 09000901 ................ + 02320 03010900 0301037f 09000301 03010900 ................ + 02330 06010302 09000301 03010900 0801037e ...............~ + 02340 09000601 030f0900 03010300 09000301 ................ + 02350 03070900 0601037d 09000301 03030900 .......}........ + 02360 05010303 09000f01 03010900 11010304 ................ + 02370 09000301 03080900 03010302 09000301 ................ + 02380 03010900 1d010302 09000601 03020900 ................ + 02390 03010302 09000601 03030900 06010324 ...............$ + 023a0 09000801 031a0900 11010366 09000201 ...........f.... + 023b0 031a0900 0301030f 09000301 03710900 .............q.. + 023c0 0601030f 09000201 03010900 0f010306 ................ + 023d0 09000601 03020900 0f010305 09001401 ................ + 023e0 03080900 15010319 09001101 03010900 ................ + 023f0 1101037f 09000601 03010900 03010301 ................ + 02400 09000301 030b0900 03010301 09001101 ................ + 02410 037f0900 06010301 09000301 03020900 ................ + 02420 03010303 09000a01 03020900 1101037e ...............~ + 02430 09000601 03020900 0301030b 09000801 ................ + 02440 03060900 09010304 09000301 03030900 ................ + 02450 09010301 09000b01 03690900 0901031b .........i...... + 02460 09001201 03010900 03010302 09001101 ................ + 02470 037e0900 06010302 09000301 03040900 .~.............. + 02480 03010305 09001401 03050900 09010303 ................ + 02490 09000601 03050900 06010304 09000901 ................ + 024a0 03090900 03010301 09001101 037f0900 ................ + 024b0 02010301 09000301 03020900 05010302 ................ + 024c0 09000b01 03020900 02010304 09001601 ................ + 024d0 03030900 06010303 09000301 03030900 ................ + 024e0 03010302 09000601 03010900 140103c1 ................ + 024f0 00090003 01034209 00020103 1209000c ......B......... + 02500 01030209 00030103 0109000c 01030109 ................ + 02510 00090103 02090009 01030609 00090103 ................ + 02520 01090009 01030109 00030103 03090005 ................ + 02530 01030909 00080103 02090005 01031009 ................ + 02540 00030103 75090002 01030209 00030103 ....u........... + 02550 01090011 01037f09 00060103 01090003 ................ + 02560 01030309 00060103 01090008 01030209 ................ + 02570 00060103 46090003 01030109 000c0103 ....F........... + 02580 01090009 01030209 00090103 02090009 ................ + 02590 01030209 00030103 02090003 01030109 ................ + 025a0 00060103 31090003 0103f27e 09000201 ....1......~.... + 025b0 03010900 03010301 09001101 037f0900 ................ + 025c0 06010301 09000301 03770900 06010302 .........w...... + 025d0 09000301 039a0109 000c0103 00090003 ................ + 025e0 01030309 00030103 0109000b 01030309 ................ + 025f0 00060103 04090003 01090004 00010100 ................ + 02600 000d9200 0200000c e00101fb 0e0a0001 ................ + 02610 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor + 02620 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 02630 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 02640 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp + 02650 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// + 02660 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 02670 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 02680 72616d2f 73726300 2f726f6f 742f576f ram/src./root/Wo + 02690 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 026a0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 026b0 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 026c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 026d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 026e0 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma + 026f0 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works + 02700 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 02710 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 02720 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 02730 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 02740 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo + 02750 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 02760 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 02770 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 02780 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt + 02790 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 027a0 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 027b0 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 027c0 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 027d0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 027e0 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 027f0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 02800 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 02810 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 02820 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 02830 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 02840 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 02850 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 02860 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 02870 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 02880 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 02890 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 028a0 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 028b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 028c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 028d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 028e0 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 028f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 02900 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 02910 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 02920 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 02930 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 02940 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 02950 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 02960 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 02970 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 02980 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 02990 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 029a0 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 029b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 029c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 029d0 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 029e0 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 029f0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 02a00 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 02a10 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 02a20 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 02a30 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 02a40 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 02a50 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 02a60 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 02a70 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 02a80 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 02a90 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 02aa0 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 02ab0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 02ac0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 02ad0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 02ae0 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 02af0 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 02b00 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 02b10 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 02b20 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 02b30 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 02b40 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 02b50 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 02b60 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 02b70 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 02b80 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 02b90 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 02ba0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 02bb0 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 02bc0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 02bd0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 02be0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 02bf0 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 02c00 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 02c10 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 02c20 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 02c30 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 02c40 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 02c50 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 02c60 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 02c70 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 02c80 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 02c90 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 02ca0 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 02cb0 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 02cc0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 02cd0 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 02ce0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 02cf0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 02d00 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 02d10 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 02d20 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 02d30 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 02d40 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 02d50 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 02d60 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 02d70 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 02d80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 02d90 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 02da0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 02db0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 02dc0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 02dd0 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 02de0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 02df0 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 02e00 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 02e10 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 02e20 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 02e30 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 02e40 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 02e50 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 02e60 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 02e70 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 02e80 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 02e90 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 02ea0 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 02eb0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 02ec0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 02ed0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 02ee0 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 02ef0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 02f00 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 02f10 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 02f20 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 02f30 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 02f40 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 02f50 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c + 02f60 6d6e6f73 5f616c6c 6f637261 6d2e6300 mnos_allocram.c. + 02f70 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + 02f80 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag + 02f90 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath + 02fa0 6f735f61 70692e68 00020000 6f736170 os_api.h....osap + 02fb0 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. + 02fc0 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. + 02fd0 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + 02fe0 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h + 02ff0 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. + 03000 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + 03010 09000074 69652e68 00090000 78747275 ...tie.h....xtru + 03020 6e74696d 652e6800 08000073 70656372 ntime.h....specr + 03030 65672e68 00090000 636f7265 62697473 eg.h....corebits + 03040 2e680008 00007072 696e7466 5f617069 .h....printf_api + 03050 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h + 03060 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. + 03070 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m + 03080 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc + 03090 5f617069 2e68000e 00007374 72696e67 _api.h....string + 030a0 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ + 030b0 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap + 030c0 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ + 030d0 6170692e 68001200 00746173 6b6c6574 api.h....tasklet + 030e0 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ + 030f0 6170692e 68001400 00696e74 725f6170 api.h....intr_ap + 03100 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h + 03110 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h + 03120 00170000 7573625f 6170692e 68001800 ....usb_api.h... + 03130 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi + 03140 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n + 03150 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ + 03160 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os + 03170 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a + 03180 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... + 03190 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes + 031a0 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ + 031b0 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd + 031c0 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api + 031d0 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + 031e0 68001e00 00737464 6172672e 68000400 h....stdarg.h... + 031f0 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + 03200 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + 03210 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 03220 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + 03230 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + 03240 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + 03250 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + 03260 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + 03270 00020000 75736266 69666f5f 6170692e ....usbfifo_api. + 03280 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + 03290 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + 032a0 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + 032b0 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + 032c0 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + 032d0 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + 032e0 70692e68 00250000 00000502 008e1c34 pi.h.%.........4 + 032f0 031a0103 00090003 01031e09 00050103 ................ + 03300 7e090002 01030909 00020103 0a090004 ~............... + 03310 01030009 00030103 04090003 01037d09 ..............}. + 03320 00070103 03090002 01030209 00060103 ................ + 03330 7f090003 01030109 00050103 07090002 ................ + 03340 01037b09 00050103 02090009 01030809 ..{............. + 03350 00030103 00090003 01030109 00060103 ................ + 03360 0109000b 01030109 000b0103 04090005 ................ + 03370 01030009 00030103 03090009 01037f09 ................ + 03380 00020103 7f090002 01030309 00020109 ................ + 03390 00020001 0100000e 17000200 000cda01 ................ + 033a0 01fb0e0a 00010101 01000000 012f726f ............./ro + 033b0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 033c0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 033d0 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 033e0 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 033f0 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 03400 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 03410 2f636c6f 636b2f73 7263002f 726f6f74 /clock/src./root + 03420 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 03430 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 03440 61677069 655f315f 312f696e 63002f72 agpie_1_1/inc./r + 03450 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 03460 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 03470 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 03480 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo + 03490 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 034a0 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 034b0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 034c0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 034d0 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ + 034e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 034f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 03500 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 03510 6d2f636d 6e6f732f 696e6300 2f6f7074 m/cmnos/inc./opt + 03520 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 03530 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 03540 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 03550 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + 03560 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + 03570 7874656e 73612f63 6f6e6669 67002f6f xtensa/config./o + 03580 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 03590 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 035a0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 035b0 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 035c0 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 035d0 652f7874 656e7361 002f6f70 742f7874 e/xtensa./opt/xt + 035e0 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 035f0 696e7374 616c6c2f 6275696c 64732f52 install/builds/R + 03600 422d3230 30372e32 2d6c696e 75782f4d B-2007.2-linux/M + 03610 61677069 655f5030 2f787465 6e73612d agpie_P0/xtensa- + 03620 656c662f 61726368 2f696e63 6c756465 elf/arch/include + 03630 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 03640 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 03650 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 03660 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 03670 6d2f636d 6e6f732f 7072696e 74662f69 m/cmnos/printf/i + 03680 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 03690 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 036a0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 036b0 312f726f 6d2f636d 6e6f732f 75617274 1/rom/cmnos/uart + 036c0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 036d0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 036e0 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ + 036f0 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo + 03700 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 03710 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 03720 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 03730 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W + 03740 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 03750 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 03760 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 03770 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root + 03780 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 03790 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 037a0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 037b0 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ + 037c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 037d0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 037e0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 037f0 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in + 03800 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 03810 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 03820 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 03830 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa + 03840 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo + 03850 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 03860 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 03870 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 03880 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r + 03890 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 038a0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 038b0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 038c0 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i + 038d0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 038e0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 038f0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 03900 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc + 03910 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work + 03920 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 03930 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 03940 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 03950 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo + 03960 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 03970 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 03980 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 03990 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W + 039a0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 039b0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 039c0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 039d0 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro + 039e0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 039f0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 03a00 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 03a10 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo + 03a20 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 03a30 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 03a40 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor + 03a50 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 03a60 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 03a70 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp + 03a80 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 03a90 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ + 03aa0 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + 03ab0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 03ac0 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 03ad0 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 03ae0 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib + 03af0 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 03b00 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 03b10 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 03b20 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf + 03b30 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 03b40 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 03b50 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 03b60 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in + 03b70 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 03b80 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 03b90 6164662f 696e636c 7564652f 6e657400 adf/include/net. + 03ba0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 03bb0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 03bc0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 03bd0 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + 03be0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 03bf0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 03c00 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 03c10 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root + 03c20 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 03c30 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ + 03c40 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 03c50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 03c60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 03c70 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in + 03c80 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 03c90 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 03ca0 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ + 03cb0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 03cc0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 03cd0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 03ce0 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc + 03cf0 0000636d 6e6f735f 636c6f63 6b2e6300 ..cmnos_clock.c. + 03d00 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + 03d10 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag + 03d20 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath + 03d30 6f735f61 70692e68 00020000 6f736170 os_api.h....osap + 03d40 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. + 03d50 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. + 03d60 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + 03d70 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h + 03d80 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. + 03d90 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + 03da0 09000074 69652e68 00090000 78747275 ...tie.h....xtru + 03db0 6e74696d 652e6800 08000073 70656372 ntime.h....specr + 03dc0 65672e68 00090000 636f7265 62697473 eg.h....corebits + 03dd0 2e680008 00007072 696e7466 5f617069 .h....printf_api + 03de0 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h + 03df0 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. + 03e00 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m + 03e10 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc + 03e20 5f617069 2e68000e 00007374 72696e67 _api.h....string + 03e30 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ + 03e40 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap + 03e50 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ + 03e60 6170692e 68001200 00746173 6b6c6574 api.h....tasklet + 03e70 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ + 03e80 6170692e 68001400 00696e74 725f6170 api.h....intr_ap + 03e90 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h + 03ea0 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h + 03eb0 00170000 7573625f 6170692e 68001800 ....usb_api.h... + 03ec0 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi + 03ed0 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n + 03ee0 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ + 03ef0 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os + 03f00 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a + 03f10 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... + 03f20 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes + 03f30 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ + 03f40 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd + 03f50 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api + 03f60 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + 03f70 68001e00 00737464 6172672e 68000400 h....stdarg.h... + 03f80 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + 03f90 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + 03fa0 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 03fb0 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + 03fc0 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + 03fd0 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + 03fe0 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + 03ff0 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + 04000 00020000 75736266 69666f5f 6170692e ....usbfifo_api. + 04010 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + 04020 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + 04030 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + 04040 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + 04050 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + 04060 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + 04070 70692e68 00250000 00000502 008e1cac pi.h.%.......... + 04080 03ec0001 03000900 03010304 09000301 ................ + 04090 03010900 07010303 09000801 03030900 ................ + 040a0 09010309 09000201 030e0900 03010302 ................ + 040b0 09000601 03090900 07010300 09000301 ................ + 040c0 03010900 03010300 09000201 03060900 ................ + 040d0 0401030b 09000301 03090900 05010302 ................ + 040e0 09000301 03050900 05010303 09000301 ................ + 040f0 030a0900 05010303 09000301 03040900 ................ + 04100 05010303 09000301 03040900 05010307 ................ + 04110 09000301 03060900 12010304 09000201 ................ + 04120 037c0900 02010304 09000a01 03760900 .|...........v.. + 04130 0301030c 09000901 03040900 02010306 ................ + 04140 09000301 03090900 03010310 09001601 ................ + 04150 037d0900 02010303 09000501 03020900 .}.............. + 04160 04010306 09000401 03000900 0301030a ................ + 04170 09001b01 037e0900 0201037f 09000201 .....~.......... + 04180 037f0900 0201037f 09000201 037f0900 ................ + 04190 0201037f 09000201 037f0900 0201037f ................ + 041a0 09000201 030a0900 02010900 02000101 ................ + 041b0 00001028 00020000 0cdc0101 fb0e0a00 ...(............ + 041c0 01010101 00000001 2f726f6f 742f576f ......../root/Wo + 041d0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 041e0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 041f0 69655f31 5f312f69 6d616765 2f6d6167 ie_1_1/image/mag + 04200 7069652f 2e2e2f2e 2e2f2e2e 2f2e2e2f pie/../../../../ + 04210 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 04220 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 04230 6f6d2f73 7263002f 726f6f74 2f576f72 om/src./root/Wor + 04240 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 04250 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 04260 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ + 04270 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 04280 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 04290 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 042a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 042b0 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 042c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 042d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 042e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 042f0 726f6d2f 636d6e6f 732f696e 63002f72 rom/cmnos/inc./r + 04300 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 04310 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 04320 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 04330 2f6d6167 70696500 2f6f7074 2f787465 /magpie./opt/xte + 04340 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 04350 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 04360 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 04370 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 04380 656c662f 696e636c 7564652f 7874656e elf/include/xten + 04390 73612f63 6f6e6669 67002f6f 70742f78 sa/config./opt/x + 043a0 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 043b0 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 043c0 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 043d0 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 043e0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 043f0 656e7361 002f6f70 742f7874 656e7361 ensa./opt/xtensa + 04400 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 04410 616c6c2f 6275696c 64732f52 422d3230 all/builds/RB-20 + 04420 30372e32 2d6c696e 75782f4d 61677069 07.2-linux/Magpi + 04430 655f5030 2f787465 6e73612d 656c662f e_P0/xtensa-elf/ + 04440 61726368 2f696e63 6c756465 2f787465 arch/include/xte + 04450 6e73612f 636f6e66 6967002f 726f6f74 nsa/config./root + 04460 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 04470 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 04480 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 04490 6e6f732f 7072696e 74662f69 6e63002f nos/printf/inc./ + 044a0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 044b0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 044c0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 044d0 6d2f636d 6e6f732f 75617274 2f696e63 m/cmnos/uart/inc + 044e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 044f0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 04500 61726765 742f636d 6e6f732f 6462672f arget/cmnos/dbg/ + 04510 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 04520 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 04530 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 04540 5f312f72 6f6d2f63 6d6e6f73 2f6d656d _1/rom/cmnos/mem + 04550 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 04560 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 04570 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 04580 315f312f 726f6d2f 636d6e6f 732f6d69 1_1/rom/cmnos/mi + 04590 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor + 045a0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 045b0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 045c0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 045d0 73747269 6e672f69 6e63002f 726f6f74 string/inc./root + 045e0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 045f0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 04600 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 04610 6e6f732f 74696d65 722f696e 63002f72 nos/timer/inc./r + 04620 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 04630 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 04640 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 04650 2f636d6e 6f732f72 6f6d7061 7463682f /cmnos/rompatch/ + 04660 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 04670 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 04680 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 04690 5f312f72 6f6d2f63 6d6e6f73 2f616c6c _1/rom/cmnos/all + 046a0 6f637261 6d2f696e 63002f72 6f6f742f ocram/inc./root/ + 046b0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 046c0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 046d0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 046e0 6f732f74 61736b6c 65742f69 6e63002f os/tasklet/inc./ + 046f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 04700 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 04710 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 04720 6d2f636d 6e6f732f 636c6f63 6b2f696e m/cmnos/clock/in + 04730 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 04740 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 04750 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 04760 2f726f6d 2f636d6e 6f732f69 6e74722f /rom/cmnos/intr/ + 04770 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 04780 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 04790 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 047a0 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt + 047b0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 047c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 047d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 047e0 315f312f 726f6d2f 636d6e6f 732f6565 1_1/rom/cmnos/ee + 047f0 70726f6d 2f696e63 002f726f 6f742f57 prom/inc./root/W + 04800 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 04810 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 04820 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ + 04830 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 04840 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 04850 742f6164 662f696e 636c7564 652f6e62 t/adf/include/nb + 04860 7566002f 726f6f74 2f576f72 6b737061 uf./root/Workspa + 04870 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 04880 2f616466 2f696e63 6c756465 2f6f7300 /adf/include/os. + 04890 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 048a0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 048b0 72676574 2f616466 2f6f732f 696e6300 rget/adf/os/inc. + 048c0 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 048d0 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 048e0 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 048f0 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 04900 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc + 04910 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works + 04920 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 04930 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 04940 315f312f 726f6d2f 76627566 2f696e63 1_1/rom/vbuf/inc + 04950 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 04960 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 04970 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 04980 726f6d2f 76646573 632f696e 63002f72 rom/vdesc/inc./r + 04990 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 049a0 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 049b0 696e636c 7564652f 6e657400 2f726f6f include/net./roo + 049c0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 049d0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 049e0 6d616770 69655f31 5f312f72 6f6d2f61 magpie_1_1/rom/a + 049f0 64662f6e 6275662f 696e6300 2f726f6f df/nbuf/inc./roo + 04a00 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 04a10 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 04a20 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 04a30 74632f69 6e63002f 726f6f74 2f576f72 tc/inc./root/Wor + 04a40 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 04a50 2f776c61 6e2f696e 636c7564 65002f72 /wlan/include./r + 04a60 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 04a70 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 04a80 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 04a90 2f627566 5f706f6f 6c2f696e 63002f72 /buf_pool/inc./r + 04aa0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 04ab0 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 04ac0 65742f77 6d692f69 6e63002f 726f6f74 et/wmi/inc./root + 04ad0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 04ae0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 04af0 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm + 04b00 615f656e 67696e65 2f696e63 0000636d a_engine/inc..cm + 04b10 6e6f735f 65657072 6f6d2e63 00010000 nos_eeprom.c.... + 04b20 6174686f 735f6170 692e6800 0200006f athos_api.h....o + 04b30 73617069 2e680003 00004f54 55535f73 sapi.h....OTUS_s + 04b40 6f632e68 00040000 64745f64 6566732e oc.h....dt_defs. + 04b50 68000300 00636d6e 6f735f61 70692e68 h....cmnos_api.h + 04b60 00050000 7379735f 6366672e 68000200 ....sys_cfg.h... + 04b70 00726f6d 5f636667 2e680006 00006d61 .rom_cfg.h....ma + 04b80 67706965 5f6d656d 2e680002 0000636f gpie_mem.h....co + 04b90 72652e68 00070000 68616c2e 68000800 re.h....hal.h... + 04ba0 00636f72 652d6973 612e6800 09000063 .core-isa.h....c + 04bb0 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... + 04bc0 7469652e 68000900 00787472 756e7469 tie.h....xtrunti + 04bd0 6d652e68 00080000 73706563 7265672e me.h....specreg. + 04be0 68000900 00636f72 65626974 732e6800 h....corebits.h. + 04bf0 08000070 72696e74 665f6170 692e6800 ...printf_api.h. + 04c00 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... + 04c10 00726567 5f646566 732e6800 06000064 .reg_defs.h....d + 04c20 62675f61 70692e68 000c0000 6d656d5f bg_api.h....mem_ + 04c30 6170692e 68000d00 006d6973 635f6170 api.h....misc_ap + 04c40 692e6800 0e000073 7472696e 675f6170 i.h....string_ap + 04c50 692e6800 0f000074 696d6572 5f617069 i.h....timer_api + 04c60 2e680010 0000726f 6d705f61 70692e68 .h....romp_api.h + 04c70 00110000 616c6c6f 6372616d 5f617069 ....allocram_api + 04c80 2e680012 00007461 736b6c65 745f6170 .h....tasklet_ap + 04c90 692e6800 13000063 6c6f636b 5f617069 i.h....clock_api + 04ca0 2e680014 0000696e 74725f61 70692e68 .h....intr_api.h + 04cb0 00150000 7764745f 6170692e 68001600 ....wdt_api.h... + 04cc0 00656570 726f6d5f 6170692e 68001700 .eeprom_api.h... + 04cd0 00757362 5f617069 2e680018 00006869 .usb_api.h....hi + 04ce0 665f7063 692e6800 18000068 69665f61 f_pci.h....hif_a + 04cf0 70692e68 00180000 6164665f 6e627566 pi.h....adf_nbuf + 04d00 2e680019 00006164 665f6f73 5f757469 .h....adf_os_uti + 04d10 6c2e6800 1a000061 64665f6f 735f7574 l.h....adf_os_ut + 04d20 696c5f70 76742e68 001b0000 6164665f il_pvt.h....adf_ + 04d30 6f735f74 79706573 2e68001a 00006164 os_types.h....ad + 04d40 665f6f73 5f737464 74797065 732e6800 f_os_stdtypes.h. + 04d50 1a000061 64665f6f 735f7479 7065735f ...adf_os_types_ + 04d60 7076742e 68001b00 00737464 6465662e pvt.h....stddef. + 04d70 68001c00 00766275 665f6170 692e6800 h....vbuf_api.h. + 04d80 1d000076 64657363 5f617069 2e68001e ...vdesc_api.h.. + 04d90 00007374 64617267 2e680003 00007661 ..stdarg.h....va + 04da0 2d787465 6e73612e 68001c00 00616466 -xtensa.h....adf + 04db0 5f6f735f 646d612e 68001a00 00616466 _os_dma.h....adf + 04dc0 5f6f735f 646d615f 7076742e 68001b00 _os_dma_pvt.h... + 04dd0 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h + 04de0 001f0000 6164665f 6e627566 5f707674 ....adf_nbuf_pvt + 04df0 2e680020 0000646d 615f6c69 622e6800 .h. ..dma_lib.h. + 04e00 18000068 69665f67 6d61632e 68001800 ...hif_gmac.h... + 04e10 004d6167 7069655f 6170692e 68000200 .Magpie_api.h... + 04e20 00757362 6669666f 5f617069 2e680018 .usbfifo_api.h.. + 04e30 00006874 635f6170 692e6800 21000068 ..htc_api.h.!..h + 04e40 74632e68 00220000 6275665f 706f6f6c tc.h."..buf_pool + 04e50 5f617069 2e680023 0000776d 695f7376 _api.h.#..wmi_sv + 04e60 635f6170 692e6800 24000077 6d692e68 c_api.h.$..wmi.h + 04e70 00220000 61746864 6566732e 68002200 ."..athdefs.h.". + 04e80 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. + 04e90 68002500 00000005 02008e1d a0032c01 h.%...........,. + 04ea0 03000900 0301030c 09000801 03010900 ................ + 04eb0 0f010301 09000601 03030900 06010303 ................ + 04ec0 09000901 03030900 09010305 09000f01 ................ + 04ed0 03020900 0c01030f 09000501 03000900 ................ + 04ee0 03010309 09001501 03020900 06010302 ................ + 04ef0 09000601 03010900 03010312 09000501 ................ + 04f00 03000900 03010301 09000301 03020900 ................ + 04f10 03010302 09000a01 03020900 09010301 ................ + 04f20 09000301 030c0900 02010378 09000201 ...........x.... + 04f30 03010900 05010307 09000201 03750900 .............u.. + 04f40 06010301 09000301 030a0900 0201030b ................ + 04f50 09000301 03000900 03010309 09000301 ................ + 04f60 03020900 0b010307 09000801 03020900 ................ + 04f70 05010305 09000e01 03010900 09010378 ...............x + 04f80 09000a01 03110900 0501037c 09000201 ...........|.... + 04f90 03010900 09010303 09000201 036a0900 .............j.. + 04fa0 02010301 09000901 03150900 0201030b ................ + 04fb0 09000201 03000900 03010308 09000301 ................ + 04fc0 03020900 08010307 09000801 03020900 ................ + 04fd0 03010304 09000701 037e0900 0201037e .........~.....~ + 04fe0 09000201 03040900 0301037a 09000301 ...........z.... + 04ff0 03080900 03010306 09000201 037e0900 .............~.. + 05000 02010302 09000201 036d0900 05010301 .........m...... + 05010 09000901 03120900 02010313 09000501 ................ + 05020 03020900 0301037e 09000901 03020900 .......~........ + 05030 02010301 09000501 03030900 0301037d ...............} + 05040 09000301 03050900 07010325 09001d01 ...........%.... + 05050 03010900 14010301 09000f01 037f0900 ................ + 05060 05010301 09000301 03030900 03010301 ................ + 05070 09001401 03010900 0f01037f 09000601 ................ + 05080 03010900 0301030b 09000301 03010900 ................ + 05090 14010302 09000e01 037e0900 05010302 .........~...... + 050a0 09000301 03040900 03010305 09001701 ................ + 050b0 03010900 17010304 09000c01 037c0900 .............|.. + 050c0 03010304 09000301 03040900 06010301 ................ + 050d0 09001701 03010900 0e01037f 09000301 ................ + 050e0 03010900 03010303 09000501 03010900 ................ + 050f0 0e01037f 09000501 03010900 03010303 ................ + 05100 09000301 03010900 1701030a 09000f01 ................ + 05110 03020900 12010301 09000b01 03020900 ................ + 05120 0b01037b 09000801 030f0900 03010301 ...{............ + 05130 09001701 03010900 0f01037f 09000501 ................ + 05140 03010900 03010302 09000301 03010900 ................ + 05150 17010301 09000f01 03080900 08010307 ................ + 05160 09000c01 03030900 06010302 09000301 ................ + 05170 03140900 03010370 09000201 03080900 .......p........ + 05180 0501036d 09000901 030d0900 03010301 ...m............ + 05190 09000501 030d0900 0701034c 09000201 ...........L.... + 051a0 03020900 0e010332 09000f01 03020900 .......2........ + 051b0 04010300 09000301 03040900 0c01037f ................ + 051c0 09000201 037f0900 0201037f 09000201 ................ + 051d0 03040900 02010900 02000101 00000e7d ...............} + 051e0 00020000 0cf90101 fb0e0a00 01010101 ................ + 051f0 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp + 05200 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 05210 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 05220 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 05230 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 05240 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 05250 6d2f636d 6e6f732f 696e7472 2f737263 m/cmnos/intr/src + 05260 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 05270 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 05280 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 05290 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 052a0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 052b0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 052c0 5f312f69 6e632f6d 61677069 65002f72 _1/inc/magpie./r + 052d0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 052e0 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 052f0 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 05300 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 05310 2f746774 2f746172 6765742f 696e632f /tgt/target/inc/ + 05320 4f545553 002f726f 6f742f57 6f726b73 OTUS./root/Works + 05330 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 05340 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 05350 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in + 05360 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt + 05370 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 05380 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 05390 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 053a0 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 053b0 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + 053c0 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ + 053d0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 053e0 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 053f0 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 05400 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + 05410 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 05420 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 05430 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu + 05440 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l + 05450 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x + 05460 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i + 05470 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 05480 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works + 05490 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 054a0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 054b0 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr + 054c0 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W + 054d0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 054e0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 054f0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 05500 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root + 05510 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 05520 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 05530 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r + 05540 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 05550 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 05560 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 05570 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ + 05580 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 05590 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 055a0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 055b0 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc + 055c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 055d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 055e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 055f0 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string + 05600 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 05610 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 05620 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 05630 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti + 05640 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo + 05650 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 05660 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 05670 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 05680 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r + 05690 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 056a0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 056b0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 056c0 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ + 056d0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 056e0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 056f0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 05700 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas + 05710 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W + 05720 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 05730 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 05740 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 05750 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo + 05760 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 05770 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 05780 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 05790 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r + 057a0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 057b0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 057c0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 057d0 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ + 057e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 057f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 05800 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 05810 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i + 05820 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 05830 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 05840 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 05850 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r + 05860 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 05870 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 05880 696e636c 7564652f 6e627566 002f726f include/nbuf./ro + 05890 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 058a0 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 058b0 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ + 058c0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 058d0 3230322f 7467742f 74617267 65742f61 202/tgt/target/a + 058e0 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x + 058f0 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 05900 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 05910 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 05920 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x + 05930 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ + 05940 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 05950 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 05960 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 05970 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root + 05980 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 05990 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 059a0 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd + 059b0 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo + 059c0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 059d0 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 059e0 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work + 059f0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 05a00 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 05a10 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu + 05a20 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 05a30 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 05a40 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 05a50 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc + 05a60 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 05a70 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ + 05a80 696e636c 75646500 2f726f6f 742f576f include./root/Wo + 05a90 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 05aa0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 05ab0 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p + 05ac0 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo + 05ad0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 05ae0 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi + 05af0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 05b00 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 05b10 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 05b20 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi + 05b30 6e652f69 6e630000 636d6e6f 735f696e ne/inc..cmnos_in + 05b40 74722e63 00010000 7379735f 6366672e tr.c....sys_cfg. + 05b50 68000200 00726f6d 5f636667 2e680003 h....rom_cfg.h.. + 05b60 00006d61 67706965 5f6d656d 2e680002 ..magpie_mem.h.. + 05b70 00006174 686f735f 6170692e 68000200 ..athos_api.h... + 05b80 006f7361 70692e68 00040000 4f545553 .osapi.h....OTUS + 05b90 5f736f63 2e680005 00006474 5f646566 _soc.h....dt_def + 05ba0 732e6800 04000063 6d6e6f73 5f617069 s.h....cmnos_api + 05bb0 2e680006 0000636f 72652e68 00070000 .h....core.h.... + 05bc0 68616c2e 68000800 00636f72 652d6973 hal.h....core-is + 05bd0 612e6800 09000063 6f72652d 6d61746d a.h....core-matm + 05be0 61702e68 00090000 7469652e 68000900 ap.h....tie.h... + 05bf0 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... + 05c00 73706563 7265672e 68000900 00636f72 specreg.h....cor + 05c10 65626974 732e6800 08000070 72696e74 ebits.h....print + 05c20 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ + 05c30 6170692e 68000b00 00726567 5f646566 api.h....reg_def + 05c40 732e6800 03000064 62675f61 70692e68 s.h....dbg_api.h + 05c50 000c0000 6d656d5f 6170692e 68000d00 ....mem_api.h... + 05c60 006d6973 635f6170 692e6800 0e000073 .misc_api.h....s + 05c70 7472696e 675f6170 692e6800 0f000074 tring_api.h....t + 05c80 696d6572 5f617069 2e680010 0000726f imer_api.h....ro + 05c90 6d705f61 70692e68 00110000 616c6c6f mp_api.h....allo + 05ca0 6372616d 5f617069 2e680012 00007461 cram_api.h....ta + 05cb0 736b6c65 745f6170 692e6800 13000063 sklet_api.h....c + 05cc0 6c6f636b 5f617069 2e680014 0000696e lock_api.h....in + 05cd0 74725f61 70692e68 00150000 7764745f tr_api.h....wdt_ + 05ce0 6170692e 68001600 00656570 726f6d5f api.h....eeprom_ + 05cf0 6170692e 68001700 00757362 5f617069 api.h....usb_api + 05d00 2e680018 00006869 665f7063 692e6800 .h....hif_pci.h. + 05d10 18000068 69665f61 70692e68 00180000 ...hif_api.h.... + 05d20 6164665f 6e627566 2e680019 00006164 adf_nbuf.h....ad + 05d30 665f6f73 5f757469 6c2e6800 1a000061 f_os_util.h....a + 05d40 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h + 05d50 001b0000 6164665f 6f735f74 79706573 ....adf_os_types + 05d60 2e68001a 00006164 665f6f73 5f737464 .h....adf_os_std + 05d70 74797065 732e6800 1a000061 64665f6f types.h....adf_o + 05d80 735f7479 7065735f 7076742e 68001b00 s_types_pvt.h... + 05d90 00737464 6465662e 68001c00 00766275 .stddef.h....vbu + 05da0 665f6170 692e6800 1d000076 64657363 f_api.h....vdesc + 05db0 5f617069 2e68001e 00007374 64617267 _api.h....stdarg + 05dc0 2e680004 00007661 2d787465 6e73612e .h....va-xtensa. + 05dd0 68001c00 00616466 5f6f735f 646d612e h....adf_os_dma. + 05de0 68001a00 00616466 5f6f735f 646d615f h....adf_os_dma_ + 05df0 7076742e 68001b00 00616466 5f6e6574 pvt.h....adf_net + 05e00 5f747970 65732e68 001f0000 6164665f _types.h....adf_ + 05e10 6e627566 5f707674 2e680020 0000646d nbuf_pvt.h. ..dm + 05e20 615f6c69 622e6800 18000068 69665f67 a_lib.h....hif_g + 05e30 6d61632e 68001800 004d6167 7069655f mac.h....Magpie_ + 05e40 6170692e 68000200 00757362 6669666f api.h....usbfifo + 05e50 5f617069 2e680018 00006874 635f6170 _api.h....htc_ap + 05e60 692e6800 21000068 74632e68 00220000 i.h.!..htc.h.".. + 05e70 6275665f 706f6f6c 5f617069 2e680023 buf_pool_api.h.# + 05e80 0000776d 695f7376 635f6170 692e6800 ..wmi_svc_api.h. + 05e90 24000077 6d692e68 00220000 61746864 $..wmi.h."..athd + 05ea0 6566732e 68002200 00646d61 5f656e67 efs.h."..dma_eng + 05eb0 696e655f 6170692e 68002500 00726567 ine_api.h.%..reg + 05ec0 64756d70 2e680002 00006d61 67706965 dump.h....magpie + 05ed0 5f726567 64756d70 2e680002 00000000 _regdump.h...... + 05ee0 0502008e 2188031d 01030209 00030103 ....!........... + 05ef0 0a090005 01030009 00030103 04090003 ................ + 05f00 01030309 00060103 01090009 01030309 ................ + 05f10 00070103 02090008 01030509 00040103 ................ + 05f20 00090003 01030509 00030103 01090006 ................ + 05f30 01037f09 00030103 01090002 01030309 ................ + 05f40 00030103 7e090003 01030209 00120103 ....~........... + 05f50 7e090003 01030209 00050103 01090003 ~............... + 05f60 01030109 00080103 04090004 01030009 ................ + 05f70 00030103 05090003 01030109 00060103 ................ + 05f80 7f090003 01030109 00020103 05090003 ................ + 05f90 01037c09 00060103 0409000a 01037c09 ..|...........|. + 05fa0 00030103 04090005 01030109 00030103 ................ + 05fb0 01090008 01030509 00050103 00090003 ................ + 05fc0 01030309 00030103 00090020 01030109 ........... .... + 05fd0 00050103 0009001b 01030209 00060103 ................ + 05fe0 05090009 01037d09 00030103 01090005 ......}......... + 05ff0 01030209 00020103 01090003 01030d09 ................ + 06000 00020103 00090003 01030109 00030103 ................ + 06010 00090020 01030109 00060103 00090027 ... ...........' + 06020 01030409 00030103 0809000a 01030009 ................ + 06030 00030103 0509000f 01037f09 00020103 ................ + 06040 7f090002 01037f09 00020103 7f090002 ................ + 06050 01030f09 00020109 00020001 0100000d ................ + 06060 22000200 000cd601 01fb0e0a 00010101 "............... + 06070 01000000 012f726f 6f742f57 6f726b73 ...../root/Works + 06080 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 06090 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 060a0 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 060b0 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 060c0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 060d0 6f6d2f63 6d6e6f73 2f6d656d 2f737263 om/cmnos/mem/src + 060e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 060f0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 06100 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 06110 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 06120 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 06130 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 06140 5f312f69 6e632f6d 61677069 65002f72 _1/inc/magpie./r + 06150 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 06160 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 06170 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 06180 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 06190 2f746774 2f746172 6765742f 696e632f /tgt/target/inc/ + 061a0 4f545553 002f726f 6f742f57 6f726b73 OTUS./root/Works + 061b0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 061c0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 061d0 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in + 061e0 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt + 061f0 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 06200 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 06210 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 06220 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 06230 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + 06240 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ + 06250 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 06260 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 06270 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 06280 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + 06290 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 062a0 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 062b0 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu + 062c0 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l + 062d0 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x + 062e0 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i + 062f0 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 06300 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works + 06310 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 06320 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 06330 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr + 06340 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W + 06350 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 06360 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 06370 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 06380 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root + 06390 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 063a0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 063b0 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r + 063c0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 063d0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 063e0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 063f0 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ + 06400 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 06410 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 06420 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 06430 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc + 06440 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 06450 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 06460 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 06470 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string + 06480 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 06490 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 064a0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 064b0 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti + 064c0 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo + 064d0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 064e0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 064f0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 06500 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r + 06510 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 06520 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 06530 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 06540 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ + 06550 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 06560 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 06570 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 06580 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas + 06590 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W + 065a0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 065b0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 065c0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 065d0 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo + 065e0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 065f0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 06600 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 06610 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r + 06620 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 06630 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 06640 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 06650 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ + 06660 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 06670 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 06680 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 06690 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i + 066a0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 066b0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 066c0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 066d0 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r + 066e0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 066f0 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 06700 696e636c 7564652f 6e627566 002f726f include/nbuf./ro + 06710 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 06720 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 06730 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ + 06740 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 06750 3230322f 7467742f 74617267 65742f61 202/tgt/target/a + 06760 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x + 06770 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 06780 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 06790 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 067a0 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x + 067b0 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ + 067c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 067d0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 067e0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 067f0 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root + 06800 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 06810 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 06820 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd + 06830 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo + 06840 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 06850 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 06860 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work + 06870 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 06880 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 06890 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu + 068a0 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 068b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 068c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 068d0 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc + 068e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 068f0 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ + 06900 696e636c 75646500 2f726f6f 742f576f include./root/Wo + 06910 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 06920 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 06930 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p + 06940 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo + 06950 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 06960 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi + 06970 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 06980 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 06990 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 069a0 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi + 069b0 6e652f69 6e630000 636d6e6f 735f6d65 ne/inc..cmnos_me + 069c0 6d2e6300 01000073 79735f63 66672e68 m.c....sys_cfg.h + 069d0 00020000 726f6d5f 6366672e 68000300 ....rom_cfg.h... + 069e0 006d6167 7069655f 6d656d2e 68000200 .magpie_mem.h... + 069f0 00617468 6f735f61 70692e68 00020000 .athos_api.h.... + 06a00 6f736170 692e6800 0400004f 5455535f osapi.h....OTUS_ + 06a10 736f632e 68000500 0064745f 64656673 soc.h....dt_defs + 06a20 2e680004 0000636d 6e6f735f 6170692e .h....cmnos_api. + 06a30 68000600 00636f72 652e6800 07000068 h....core.h....h + 06a40 616c2e68 00080000 636f7265 2d697361 al.h....core-isa + 06a50 2e680009 0000636f 72652d6d 61746d61 .h....core-matma + 06a60 702e6800 09000074 69652e68 00090000 p.h....tie.h.... + 06a70 78747275 6e74696d 652e6800 08000073 xtruntime.h....s + 06a80 70656372 65672e68 00090000 636f7265 pecreg.h....core + 06a90 62697473 2e680008 00007072 696e7466 bits.h....printf + 06aa0 5f617069 2e68000a 00007561 72745f61 _api.h....uart_a + 06ab0 70692e68 000b0000 7265675f 64656673 pi.h....reg_defs + 06ac0 2e680003 00006462 675f6170 692e6800 .h....dbg_api.h. + 06ad0 0c00006d 656d5f61 70692e68 000d0000 ...mem_api.h.... + 06ae0 6d697363 5f617069 2e68000e 00007374 misc_api.h....st + 06af0 72696e67 5f617069 2e68000f 00007469 ring_api.h....ti + 06b00 6d65725f 6170692e 68001000 00726f6d mer_api.h....rom + 06b10 705f6170 692e6800 11000061 6c6c6f63 p_api.h....alloc + 06b20 72616d5f 6170692e 68001200 00746173 ram_api.h....tas + 06b30 6b6c6574 5f617069 2e680013 0000636c klet_api.h....cl + 06b40 6f636b5f 6170692e 68001400 00696e74 ock_api.h....int + 06b50 725f6170 692e6800 15000077 64745f61 r_api.h....wdt_a + 06b60 70692e68 00160000 65657072 6f6d5f61 pi.h....eeprom_a + 06b70 70692e68 00170000 7573625f 6170692e pi.h....usb_api. + 06b80 68001800 00686966 5f706369 2e680018 h....hif_pci.h.. + 06b90 00006869 665f6170 692e6800 18000061 ..hif_api.h....a + 06ba0 64665f6e 6275662e 68001900 00616466 df_nbuf.h....adf + 06bb0 5f6f735f 7574696c 2e68001a 00006164 _os_util.h....ad + 06bc0 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. + 06bd0 1b000061 64665f6f 735f7479 7065732e ...adf_os_types. + 06be0 68001a00 00616466 5f6f735f 73746474 h....adf_os_stdt + 06bf0 79706573 2e68001a 00006164 665f6f73 ypes.h....adf_os + 06c00 5f747970 65735f70 76742e68 001b0000 _types_pvt.h.... + 06c10 73746464 65662e68 001c0000 76627566 stddef.h....vbuf + 06c20 5f617069 2e68001d 00007664 6573635f _api.h....vdesc_ + 06c30 6170692e 68001e00 00737464 6172672e api.h....stdarg. + 06c40 68000400 0076612d 7874656e 73612e68 h....va-xtensa.h + 06c50 001c0000 6164665f 6f735f64 6d612e68 ....adf_os_dma.h + 06c60 001a0000 6164665f 6f735f64 6d615f70 ....adf_os_dma_p + 06c70 76742e68 001b0000 6164665f 6e65745f vt.h....adf_net_ + 06c80 74797065 732e6800 1f000061 64665f6e types.h....adf_n + 06c90 6275665f 7076742e 68002000 00646d61 buf_pvt.h. ..dma + 06ca0 5f6c6962 2e680018 00006869 665f676d _lib.h....hif_gm + 06cb0 61632e68 00180000 4d616770 69655f61 ac.h....Magpie_a + 06cc0 70692e68 00020000 75736266 69666f5f pi.h....usbfifo_ + 06cd0 6170692e 68001800 00687463 5f617069 api.h....htc_api + 06ce0 2e680021 00006874 632e6800 22000062 .h.!..htc.h."..b + 06cf0 75665f70 6f6f6c5f 6170692e 68002300 uf_pool_api.h.#. + 06d00 00776d69 5f737663 5f617069 2e680024 .wmi_svc_api.h.$ + 06d10 0000776d 692e6800 22000061 74686465 ..wmi.h."..athde + 06d20 66732e68 00220000 646d615f 656e6769 fs.h."..dma_engi + 06d30 6e655f61 70692e68 00250000 00000502 ne_api.h.%...... + 06d40 008e2318 03090103 01090003 01030b09 ..#............. + 06d50 00050103 00090003 01030509 000f0103 ................ + 06d60 7f090002 01037f09 00020103 7f090002 ................ + 06d70 01037f09 00020103 05090002 01090002 ................ + 06d80 00010100 000ea700 0200000c f90101fb ................ + 06d90 0e0a0001 01010100 0000012f 726f6f74 .........../root + 06da0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 06db0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 06dc0 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + 06dd0 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + 06de0 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + 06df0 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 06e00 6973632f 73726300 2f726f6f 742f576f isc/src./root/Wo + 06e10 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 06e20 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 06e30 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 06e40 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 06e50 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 06e60 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma + 06e70 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works + 06e80 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 06e90 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 06ea0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 06eb0 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 06ec0 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo + 06ed0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 06ee0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 06ef0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 06f00 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt + 06f10 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 06f20 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 06f30 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 06f40 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 06f50 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 06f60 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 06f70 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 06f80 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 06f90 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 06fa0 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 06fb0 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 06fc0 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 06fd0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 06fe0 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 06ff0 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 07000 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 07010 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 07020 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 07030 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 07040 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 07050 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 07060 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 07070 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 07080 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 07090 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 070a0 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 070b0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 070c0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 070d0 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 070e0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 070f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 07100 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 07110 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 07120 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 07130 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 07140 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 07150 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 07160 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 07170 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 07180 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 07190 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 071a0 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 071b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 071c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 071d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 071e0 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 071f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 07200 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 07210 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 07220 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 07230 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 07240 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 07250 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 07260 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 07270 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 07280 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 07290 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 072a0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 072b0 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 072c0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 072d0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 072e0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 072f0 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 07300 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 07310 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 07320 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 07330 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 07340 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 07350 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 07360 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 07370 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 07380 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 07390 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 073a0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 073b0 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 073c0 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 073d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 073e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 073f0 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 07400 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 07410 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 07420 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 07430 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 07440 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 07450 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 07460 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 07470 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 07480 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 07490 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 074a0 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 074b0 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 074c0 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 074d0 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 074e0 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 074f0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 07500 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 07510 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 07520 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 07530 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 07540 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 07550 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 07560 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 07570 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 07580 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 07590 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 075a0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 075b0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 075c0 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 075d0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 075e0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 075f0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 07600 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 07610 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 07620 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 07630 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 07640 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 07650 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 07660 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 07670 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 07680 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 07690 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 076a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 076b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 076c0 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 076d0 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c + 076e0 6d6e6f73 5f6d6973 632e6300 01000073 mnos_misc.c....s + 076f0 79735f63 66672e68 00020000 726f6d5f ys_cfg.h....rom_ + 07700 6366672e 68000300 006d6167 7069655f cfg.h....magpie_ + 07710 6d656d2e 68000200 00617468 6f735f61 mem.h....athos_a + 07720 70692e68 00020000 6f736170 692e6800 pi.h....osapi.h. + 07730 0400004f 5455535f 736f632e 68000500 ...OTUS_soc.h... + 07740 0064745f 64656673 2e680004 0000636d .dt_defs.h....cm + 07750 6e6f735f 6170692e 68000600 00636f72 nos_api.h....cor + 07760 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... + 07770 636f7265 2d697361 2e680009 0000636f core-isa.h....co + 07780 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t + 07790 69652e68 00090000 78747275 6e74696d ie.h....xtruntim + 077a0 652e6800 08000073 70656372 65672e68 e.h....specreg.h + 077b0 00090000 636f7265 62697473 2e680008 ....corebits.h.. + 077c0 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. + 077d0 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... + 077e0 7265675f 64656673 2e680003 00006462 reg_defs.h....db + 077f0 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + 07800 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + 07810 2e68000e 00007374 72696e67 5f617069 .h....string_api + 07820 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + 07830 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + 07840 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 07850 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + 07860 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + 07870 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + 07880 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + 07890 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + 078a0 7573625f 6170692e 68001800 00686966 usb_api.h....hif + 078b0 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + 078c0 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + 078d0 68001900 00616466 5f6f735f 7574696c h....adf_os_util + 078e0 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + 078f0 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + 07900 735f7479 7065732e 68001a00 00616466 s_types.h....adf + 07910 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + 07920 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 07930 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + 07940 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. + 07950 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... + 07960 00737464 6172672e 68000400 0076612d .stdarg.h....va- + 07970 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ + 07980 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ + 07990 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... + 079a0 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + 079b0 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + 079c0 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. + 079d0 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... + 079e0 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... + 079f0 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... + 07a00 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht + 07a10 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ + 07a20 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc + 07a30 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. + 07a40 22000061 74686465 66732e68 00220000 "..athdefs.h.".. + 07a50 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h + 07a60 00250000 72656764 756d702e 68000200 .%..regdump.h... + 07a70 006d6167 7069655f 72656764 756d702e .magpie_regdump. + 07a80 68000200 00000005 02008e23 40031401 h..........#@... + 07a90 03000900 03010305 09000601 03010900 ................ + 07aa0 06010311 09000501 03050900 03010309 ................ + 07ab0 09000501 03000900 03010302 09000301 ................ + 07ac0 03060900 0e01037f 09000401 03010900 ................ + 07ad0 0501037f 09000301 03050900 06010302 ................ + 07ae0 09000a01 037f0900 04010301 09000401 ................ + 07af0 03040900 06010301 09000801 03020900 ................ + 07b00 0801030b 09000601 03000900 03010301 ................ + 07b10 09000301 03030900 08010302 09000201 ................ + 07b20 03020900 02010304 09000b01 03050900 ................ + 07b30 0c010303 09000b01 03010900 09010301 ................ + 07b40 09000401 03010900 05010302 09000901 ................ + 07b50 03020900 0b01037a 09000401 03070900 .......z........ + 07b60 03010309 09000901 03070900 02010302 ................ + 07b70 09000301 03070900 05010302 09000301 ................ + 07b80 03070900 05010304 09000301 03060900 ................ + 07b90 1101037d 09000901 03090900 0b010308 ...}............ + 07ba0 09000801 03000900 0301030b 09000301 ................ + 07bb0 030e0900 0601037e 09000401 03020900 .......~........ + 07bc0 0601030e 09000301 03790900 02010307 .........y...... + 07bd0 09000201 03090900 03010300 09000301 ................ + 07be0 03030900 03010308 09000601 03000900 ................ + 07bf0 03010309 09001801 037f0900 0201037f ................ + 07c00 09000201 037e0900 0201037f 09000201 .....~.......... + 07c10 037f0900 0201037f 09000201 037f0900 ................ + 07c20 02010309 09000201 09000200 01010000 ................ + 07c30 10c30002 00000cdc 0101fb0e 0a000101 ................ + 07c40 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 07c50 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 07c60 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 07c70 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 07c80 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 07c90 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 07ca0 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 07cb0 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + 07cc0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 07cd0 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 07ce0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 07cf0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 07d00 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 07d10 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 07d20 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 07d30 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 07d40 696e632f 6d616770 6965002f 726f6f74 inc/magpie./root + 07d50 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 07d60 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 07d70 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 07d80 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 07d90 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 07da0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 07db0 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 07dc0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 07dd0 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 07de0 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 07df0 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 07e00 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 07e10 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + 07e20 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 07e30 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 07e40 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 07e50 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 07e60 656c662f 696e636c 7564652f 7874656e elf/include/xten + 07e70 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + 07e80 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 07e90 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 07ea0 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 07eb0 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 07ec0 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 07ed0 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + 07ee0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 07ef0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 07f00 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 07f10 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + 07f20 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 07f30 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 07f40 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 07f50 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + 07f60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 07f70 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 07f80 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + 07f90 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 07fa0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 07fb0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 07fc0 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + 07fd0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 07fe0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 07ff0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 08000 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 08010 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 08020 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 08030 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 08040 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + 08050 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + 08060 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 08070 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 08080 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 08090 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + 080a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 080b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 080c0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 080d0 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + 080e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 080f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 08100 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 08110 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 08120 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + 08130 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 08140 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 08150 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 08160 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + 08170 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 08180 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 08190 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 081a0 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + 081b0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 081c0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 081d0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 081e0 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + 081f0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 08200 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 08210 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 08220 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + 08230 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 08240 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 08250 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 08260 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 08270 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + 08280 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 08290 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 082a0 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + 082b0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 082c0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 082d0 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + 082e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 082f0 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 08300 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + 08310 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 08320 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 08330 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o + 08340 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 08350 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 08360 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 08370 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l + 08380 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu + 08390 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 083a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 083b0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 083c0 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ + 083d0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 083e0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 083f0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 08400 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo + 08410 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 08420 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 08430 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ + 08440 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 08450 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 08460 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf + 08470 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ + 08480 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 08490 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 084a0 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + 084b0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 084c0 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w + 084d0 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo + 084e0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 084f0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 08500 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b + 08510 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo + 08520 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 08530 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 08540 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W + 08550 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 08560 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 08570 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 08580 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno + 08590 735f7072 696e7466 2e630001 00006474 s_printf.c....dt + 085a0 5f646566 732e6800 02000073 79735f63 _defs.h....sys_c + 085b0 66672e68 00030000 726f6d5f 6366672e fg.h....rom_cfg. + 085c0 68000400 006d6167 7069655f 6d656d2e h....magpie_mem. + 085d0 68000300 00617468 6f735f61 70692e68 h....athos_api.h + 085e0 00030000 6f736170 692e6800 0200004f ....osapi.h....O + 085f0 5455535f 736f632e 68000500 00636d6e TUS_soc.h....cmn + 08600 6f735f61 70692e68 00060000 636f7265 os_api.h....core + 08610 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 08620 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 08630 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 08640 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 08650 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 08660 09000063 6f726562 6974732e 68000800 ...corebits.h... + 08670 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 08680 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 08690 65675f64 6566732e 68000400 00646267 eg_defs.h....dbg + 086a0 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 086b0 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 086c0 68000e00 00737472 696e675f 6170692e h....string_api. + 086d0 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 086e0 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 086f0 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 08700 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 08710 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 08720 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 08730 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 08740 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 08750 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 08760 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 08770 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 08780 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 08790 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 087a0 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 087b0 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 087c0 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 087d0 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 087e0 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 087f0 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 08800 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 08810 73746461 72672e68 00020000 76612d78 stdarg.h....va-x + 08820 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 08830 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 08840 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 08850 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 08860 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 08870 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 08880 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M + 08890 61677069 655f6170 692e6800 03000075 agpie_api.h....u + 088a0 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 088b0 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 088c0 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 088d0 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 088e0 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 088f0 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 08900 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 08910 25000000 00050200 8e24b803 3a010300 %........$..:... + 08920 09000301 03010900 03010301 09000301 ................ + 08930 03010900 08010305 09000801 037c0900 .............|.. + 08940 02010302 09000501 03020900 08010305 ................ + 08950 09000401 03000900 03010307 09000201 ................ + 08960 03020900 0c010301 09000201 03010900 ................ + 08970 0701037f 09000201 03010900 0501037f ................ + 08980 09000201 03010900 0501037e 09000501 ...........~.... + 08990 03090900 03010377 09000201 03050900 .......w........ + 089a0 02010301 09000c01 037f0900 0d010304 ................ + 089b0 09000201 03010900 03010305 09000201 ................ + 089c0 03000900 03010306 09000201 037a0900 .............z.. + 089d0 02010306 09000801 03020900 0f010301 ................ + 089e0 09000801 037f0900 02010301 09000301 ................ + 089f0 03010900 03010302 09000301 037e0900 .............~.. + 08a00 02010302 09000201 03010900 030103af ................ + 08a10 0109000c 01034009 00080103 0109000c ......@......... + 08a20 01030109 000e0103 01090002 01038e7f ................ + 08a30 09000301 03030900 04010302 09000501 ................ + 08a40 03020900 0c010302 09000401 03010900 ................ + 08a50 0d010301 09000b01 037f0900 0501037f ................ + 08a60 09000201 030f0900 12010375 09000301 ...........u.... + 08a70 03010900 05010301 09000301 037f0900 ................ + 08a80 02010302 09000201 037f0900 03010301 ................ + 08a90 09000401 03020900 0601037e 09000501 ...........~.... + 08aa0 03350900 09010353 09000201 03020900 .5.....S........ + 08ab0 03010304 09000301 037c0900 02010304 .........|...... + 08ac0 09000801 03270900 0e01036a 09005301 .....'.....j..S. + 08ad0 03060900 0f01037a 09000501 03060900 .......z........ + 08ae0 08010301 09000601 03020900 030103d2 ................ + 08af0 00090008 01035709 004e0103 7b090015 ......W..N..{... + 08b00 01030109 000e0103 68090003 01036909 ........h.....i. + 08b10 00020103 01090003 0103db00 09001701 ................ + 08b20 036b0900 36010309 09000201 03780900 .k..6........x.. + 08b30 0201037f 09000201 03010900 02010308 ................ + 08b40 09000301 03010900 08010301 09000801 ................ + 08b50 037f0900 02010301 09000901 03630900 .............c.. + 08b60 02010328 09000401 03040900 0201037d ...(...........} + 08b70 09000201 037f0900 03010302 09000301 ................ + 08b80 037f0900 02010305 09000701 03010900 ................ + 08b90 07010301 09000301 03040900 0c010302 ................ + 08ba0 09000201 03020900 0b010301 09000701 ................ + 08bb0 037e0900 02010305 09000d01 03010900 .~.............. + 08bc0 09010301 09000301 03030900 07010301 ................ + 08bd0 09000501 03010900 0601037d 09000201 ...........}.... + 08be0 03050900 0a0103f6 7e090010 01031209 ........~....... + 08bf0 00050103 01090003 0103d900 09001601 ................ + 08c00 03bf7f09 00150103 01090005 01030209 ................ + 08c10 00060103 3e09000b 01035c09 00050103 ....>.....\..... + 08c20 24090014 01037d09 00060103 01090005 $.....}......... + 08c30 01030109 00050103 01090005 01037a09 ..............z. + 08c40 00050103 01090005 01032509 00030103 ..........%..... + 08c50 01090005 01037e09 00020103 887f0900 ......~......... + 08c60 0c010335 09000d01 03050900 2001031f ...5........ ... + 08c70 09001901 03650900 050103c4 00090017 .....e.......... + 08c80 0103a57f 09000201 035b0900 1a01032c .........[....., + 08c90 09002301 03010900 0e01030b 09000301 ..#............. + 08ca0 03cc0009 00080103 00090003 01030809 ................ + 08cb0 000c0103 78090005 01030809 00100103 ....x........... + 08cc0 0709000b 01030509 00050103 01090003 ................ + 08cd0 01030309 00050103 00090003 01030209 ................ + 08ce0 00060103 7f090002 01030209 00020109 ................ + 08cf0 00020001 0100000e 76000200 000ce001 ........v....... + 08d00 01fb0e0a 00010101 01000000 012f726f ............./ro + 08d10 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 08d20 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 08d30 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 08d40 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 08d50 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 08d60 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 08d70 2f726f6d 70617463 682f7372 63002f72 /rompatch/src./r + 08d80 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 08d90 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 08da0 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 08db0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 08dc0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 08dd0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 08de0 696e632f 6d616770 6965002f 726f6f74 inc/magpie./root + 08df0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 08e00 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 08e10 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 08e20 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 08e30 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU + 08e40 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac + 08e50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 08e60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 08e70 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ + 08e80 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 08e90 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 08ea0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 08eb0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 08ec0 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 08ed0 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 08ee0 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 08ef0 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 08f00 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 08f10 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 08f20 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc + 08f30 6c756465 2f787465 6e736100 2f6f7074 lude/xtensa./opt + 08f40 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 08f50 6c732f69 6e737461 6c6c2f62 75696c64 ls/install/build + 08f60 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 08f70 782f4d61 67706965 5f50302f 7874656e x/Magpie_P0/xten + 08f80 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl + 08f90 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 08fa0 67002f72 6f6f742f 576f726b 73706163 g./root/Workspac + 08fb0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 08fc0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 08fd0 2f726f6d 2f636d6e 6f732f70 72696e74 /rom/cmnos/print + 08fe0 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 08ff0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 09000 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 09010 5f315f31 2f726f6d 2f636d6e 6f732f75 _1_1/rom/cmnos/u + 09020 6172742f 696e6300 2f726f6f 742f576f art/inc./root/Wo + 09030 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 09040 322f7467 742f7461 72676574 2f636d6e 2/tgt/target/cmn + 09050 6f732f64 62672f69 6e63002f 726f6f74 os/dbg/inc./root + 09060 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 09070 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 09080 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 09090 6e6f732f 6d656d2f 696e6300 2f726f6f nos/mem/inc./roo + 090a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 090b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 090c0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 090d0 6d6e6f73 2f6d6973 632f696e 63002f72 mnos/misc/inc./r + 090e0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 090f0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 09100 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 09110 2f636d6e 6f732f73 7472696e 672f696e /cmnos/string/in + 09120 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 09130 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 09140 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 09150 2f726f6d 2f636d6e 6f732f74 696d6572 /rom/cmnos/timer + 09160 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 09170 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 09180 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 09190 315f312f 726f6d2f 636d6e6f 732f726f 1_1/rom/cmnos/ro + 091a0 6d706174 63682f69 6e63002f 726f6f74 mpatch/inc./root + 091b0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 091c0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 091d0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 091e0 6e6f732f 616c6c6f 6372616d 2f696e63 nos/allocram/inc + 091f0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 09200 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 09210 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 09220 726f6d2f 636d6e6f 732f7461 736b6c65 rom/cmnos/taskle + 09230 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 09240 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 09250 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 09260 5f315f31 2f726f6d 2f636d6e 6f732f63 _1_1/rom/cmnos/c + 09270 6c6f636b 2f696e63 002f726f 6f742f57 lock/inc./root/W + 09280 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 09290 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 092a0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 092b0 732f696e 74722f69 6e63002f 726f6f74 s/intr/inc./root + 092c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 092d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 092e0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 092f0 6e6f732f 7764742f 696e6300 2f726f6f nos/wdt/inc./roo + 09300 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 09310 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 09320 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 09330 6d6e6f73 2f656570 726f6d2f 696e6300 mnos/eeprom/inc. + 09340 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 09350 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 09360 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 09370 6f6d2f68 69662f69 6e63002f 726f6f74 om/hif/inc./root + 09380 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 09390 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 093a0 6c756465 2f6e6275 66002f72 6f6f742f lude/nbuf./root/ + 093b0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 093c0 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 093d0 7564652f 6f73002f 726f6f74 2f576f72 ude/os./root/Wor + 093e0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 093f0 2f746774 2f746172 6765742f 6164662f /tgt/target/adf/ + 09400 6f732f69 6e63002f 6f70742f 7874656e os/inc./opt/xten + 09410 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 09420 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 09430 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 09440 7361546f 6f6c732f 6c69622f 7863632d saTools/lib/xcc- + 09450 6c69622f 696e636c 75646500 2f726f6f lib/include./roo + 09460 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 09470 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 09480 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 09490 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo + 094a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 094b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 094c0 69655f31 5f312f72 6f6d2f76 64657363 ie_1_1/rom/vdesc + 094d0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 094e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 094f0 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 09500 6574002f 726f6f74 2f576f72 6b737061 et./root/Workspa + 09510 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 09520 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 09530 312f726f 6d2f6164 662f6e62 75662f69 1/rom/adf/nbuf/i + 09540 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 09550 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 09560 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 09570 312f726f 6d2f6874 632f696e 63002f72 1/rom/htc/inc./r + 09580 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 09590 31325f76 3230322f 776c616e 2f696e63 12_v202/wlan/inc + 095a0 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works + 095b0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 095c0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 095d0 315f312f 726f6d2f 6275665f 706f6f6c 1_1/rom/buf_pool + 095e0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 095f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 09600 67742f74 61726765 742f776d 692f696e gt/target/wmi/in + 09610 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 09620 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 09630 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 09640 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ + 09650 696e6300 00636d6e 6f735f72 6f6d7061 inc..cmnos_rompa + 09660 7463682e 63000100 00737973 5f636667 tch.c....sys_cfg + 09670 2e680002 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. + 09680 0300006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. + 09690 02000061 74686f73 5f617069 2e680002 ...athos_api.h.. + 096a0 00006f73 6170692e 68000400 004f5455 ..osapi.h....OTU + 096b0 535f736f 632e6800 05000064 745f6465 S_soc.h....dt_de + 096c0 66732e68 00040000 636d6e6f 735f6170 fs.h....cmnos_ap + 096d0 692e6800 06000063 6f72652e 68000700 i.h....core.h... + 096e0 0068616c 2e680008 0000636f 72652d69 .hal.h....core-i + 096f0 73612e68 00090000 636f7265 2d6d6174 sa.h....core-mat + 09700 6d61702e 68000900 00746965 2e680009 map.h....tie.h.. + 09710 00007874 72756e74 696d652e 68000800 ..xtruntime.h... + 09720 00737065 63726567 2e680009 0000636f .specreg.h....co + 09730 72656269 74732e68 00080000 7072696e rebits.h....prin + 09740 74665f61 70692e68 000a0000 75617274 tf_api.h....uart + 09750 5f617069 2e68000b 00007265 675f6465 _api.h....reg_de + 09760 66732e68 00030000 6462675f 6170692e fs.h....dbg_api. + 09770 68000c00 006d656d 5f617069 2e68000d h....mem_api.h.. + 09780 00006d69 73635f61 70692e68 000e0000 ..misc_api.h.... + 09790 73747269 6e675f61 70692e68 000f0000 string_api.h.... + 097a0 74696d65 725f6170 692e6800 10000072 timer_api.h....r + 097b0 6f6d705f 6170692e 68001100 00616c6c omp_api.h....all + 097c0 6f637261 6d5f6170 692e6800 12000074 ocram_api.h....t + 097d0 61736b6c 65745f61 70692e68 00130000 asklet_api.h.... + 097e0 636c6f63 6b5f6170 692e6800 14000069 clock_api.h....i + 097f0 6e74725f 6170692e 68001500 00776474 ntr_api.h....wdt + 09800 5f617069 2e680016 00006565 70726f6d _api.h....eeprom + 09810 5f617069 2e680017 00007573 625f6170 _api.h....usb_ap + 09820 692e6800 18000068 69665f70 63692e68 i.h....hif_pci.h + 09830 00180000 6869665f 6170692e 68001800 ....hif_api.h... + 09840 00616466 5f6e6275 662e6800 19000061 .adf_nbuf.h....a + 09850 64665f6f 735f7574 696c2e68 001a0000 df_os_util.h.... + 09860 6164665f 6f735f75 74696c5f 7076742e adf_os_util_pvt. + 09870 68001b00 00616466 5f6f735f 74797065 h....adf_os_type + 09880 732e6800 1a000061 64665f6f 735f7374 s.h....adf_os_st + 09890 64747970 65732e68 001a0000 6164665f dtypes.h....adf_ + 098a0 6f735f74 79706573 5f707674 2e68001b os_types_pvt.h.. + 098b0 00007374 64646566 2e68001c 00007662 ..stddef.h....vb + 098c0 75665f61 70692e68 001d0000 76646573 uf_api.h....vdes + 098d0 635f6170 692e6800 1e000073 74646172 c_api.h....stdar + 098e0 672e6800 04000076 612d7874 656e7361 g.h....va-xtensa + 098f0 2e68001c 00006164 665f6f73 5f646d61 .h....adf_os_dma + 09900 2e68001a 00006164 665f6f73 5f646d61 .h....adf_os_dma + 09910 5f707674 2e68001b 00006164 665f6e65 _pvt.h....adf_ne + 09920 745f7479 7065732e 68001f00 00616466 t_types.h....adf + 09930 5f6e6275 665f7076 742e6800 20000064 _nbuf_pvt.h. ..d + 09940 6d615f6c 69622e68 00180000 6869665f ma_lib.h....hif_ + 09950 676d6163 2e680018 00004d61 67706965 gmac.h....Magpie + 09960 5f617069 2e680002 00007573 62666966 _api.h....usbfif + 09970 6f5f6170 692e6800 18000068 74635f61 o_api.h....htc_a + 09980 70692e68 00210000 6874632e 68002200 pi.h.!..htc.h.". + 09990 00627566 5f706f6f 6c5f6170 692e6800 .buf_pool_api.h. + 099a0 23000077 6d695f73 76635f61 70692e68 #..wmi_svc_api.h + 099b0 00240000 776d692e 68002200 00617468 .$..wmi.h."..ath + 099c0 64656673 2e680022 0000646d 615f656e defs.h."..dma_en + 099d0 67696e65 5f617069 2e680025 00000000 gine_api.h.%.... + 099e0 0502008e 29cc0323 01030009 00030103 ....)..#........ + 099f0 06090003 01030109 00100103 06090002 ................ + 09a00 01030009 00030103 0b090003 01030109 ................ + 09a10 00080103 03090005 01030109 000b0103 ................ + 09a20 10090002 01037309 00020103 02090008 ......s......... + 09a30 01030109 000d0103 02090007 01030109 ................ + 09a40 000a0103 01090006 01030109 00060103 ................ + 09a50 7b090006 01030609 00030103 7a090005 {...........z... + 09a60 01030809 00030103 02090002 01030c09 ................ + 09a70 00050103 00090003 01030f09 00030103 ................ + 09a80 7c090003 01030409 00030103 0109000c |............... + 09a90 01037f09 000a0103 0e090004 01037e09 ..............~. + 09aa0 00020103 02090002 01030509 00080103 ................ + 09ab0 11090004 01036209 00020103 1e090002 ......b......... + 09ac0 01030c09 00020103 00090003 01030b09 ................ + 09ad0 00030103 02090005 01030909 00050103 ................ + 09ae0 00090003 01031809 00050103 0309000f ................ + 09af0 01030209 000f0103 01090003 01037f09 ................ + 09b00 00030103 01090003 01030409 00080103 ................ + 09b10 05090008 01030209 00090103 7009000b ............p... + 09b20 01031a09 00020103 78090002 01030809 ........x....... + 09b30 00080103 08090005 01030309 00030103 ................ + 09b40 04090005 01030009 00030103 0409000c ................ + 09b50 01037f09 00020103 7f090002 01037f09 ................ + 09b60 00020103 05090002 01090002 00010100 ................ + 09b70 000d2e00 0200000c dc0101fb 0e0a0001 ................ + 09b80 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor + 09b90 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 09ba0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 09bb0 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp + 09bc0 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// + 09bd0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 09be0 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin + 09bf0 672f7372 63002f72 6f6f742f 576f726b g/src./root/Work + 09c00 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 09c10 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 09c20 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 09c30 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 09c40 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 09c50 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 09c60 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 09c70 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 09c80 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 09c90 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 09ca0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 09cb0 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 09cc0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 09cd0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 09ce0 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 09cf0 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten + 09d00 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 09d10 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 09d20 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 09d30 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 09d40 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 09d50 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 09d60 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 09d70 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 09d80 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 09d90 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 09da0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 09db0 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 09dc0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 09dd0 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 09de0 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 09df0 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 09e00 7263682f 696e636c 7564652f 7874656e rch/include/xten + 09e10 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 09e20 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 09e30 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 09e40 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 09e50 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 09e60 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 09e70 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 09e80 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 09e90 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 09ea0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 09eb0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 09ec0 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 09ed0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 09ee0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 09ef0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 09f00 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 09f10 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 09f20 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 09f30 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 09f40 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 09f50 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 09f60 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 09f70 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 09f80 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 09f90 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 09fa0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 09fb0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 09fc0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 09fd0 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 09fe0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 09ff0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0a000 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0a010 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + 0a020 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0a030 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0a040 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0a050 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + 0a060 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + 0a070 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0a080 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0a090 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0a0a0 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + 0a0b0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0a0c0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0a0d0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0a0e0 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + 0a0f0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0a100 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0a110 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0a120 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + 0a130 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0a140 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0a150 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0a160 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + 0a170 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0a180 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0a190 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0a1a0 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + 0a1b0 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + 0a1c0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0a1d0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0a1e0 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + 0a1f0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0a200 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0a210 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + 0a220 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + 0a230 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0a240 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + 0a250 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0a260 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 0a270 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + 0a280 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 0a290 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 0a2a0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 0a2b0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 0a2c0 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + 0a2d0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 0a2e0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0a2f0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0a300 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 0a310 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0a320 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0a330 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0a340 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 0a350 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0a360 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 0a370 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root + 0a380 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0a390 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0a3a0 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad + 0a3b0 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root + 0a3c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0a3d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0a3e0 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 0a3f0 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 0a400 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0a410 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + 0a420 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0a430 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0a440 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0a450 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + 0a460 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0a470 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 0a480 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + 0a490 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0a4a0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0a4b0 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 0a4c0 5f656e67 696e652f 696e6300 00636d6e _engine/inc..cmn + 0a4d0 6f735f73 7472696e 672e6300 01000061 os_string.c....a + 0a4e0 74686f73 5f617069 2e680002 00006f73 thos_api.h....os + 0a4f0 6170692e 68000300 004f5455 535f736f api.h....OTUS_so + 0a500 632e6800 04000064 745f6465 66732e68 c.h....dt_defs.h + 0a510 00030000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. + 0a520 05000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + 0a530 726f6d5f 6366672e 68000600 006d6167 rom_cfg.h....mag + 0a540 7069655f 6d656d2e 68000200 00636f72 pie_mem.h....cor + 0a550 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... + 0a560 636f7265 2d697361 2e680009 0000636f core-isa.h....co + 0a570 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t + 0a580 69652e68 00090000 78747275 6e74696d ie.h....xtruntim + 0a590 652e6800 08000073 70656372 65672e68 e.h....specreg.h + 0a5a0 00090000 636f7265 62697473 2e680008 ....corebits.h.. + 0a5b0 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. + 0a5c0 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... + 0a5d0 7265675f 64656673 2e680006 00006462 reg_defs.h....db + 0a5e0 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + 0a5f0 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + 0a600 2e68000e 00007374 72696e67 5f617069 .h....string_api + 0a610 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + 0a620 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + 0a630 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 0a640 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + 0a650 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + 0a660 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + 0a670 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + 0a680 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + 0a690 7573625f 6170692e 68001800 00686966 usb_api.h....hif + 0a6a0 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + 0a6b0 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + 0a6c0 68001900 00616466 5f6f735f 7574696c h....adf_os_util + 0a6d0 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + 0a6e0 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + 0a6f0 735f7479 7065732e 68001a00 00616466 s_types.h....adf + 0a700 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + 0a710 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 0a720 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + 0a730 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. + 0a740 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... + 0a750 00737464 6172672e 68000300 0076612d .stdarg.h....va- + 0a760 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ + 0a770 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ + 0a780 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... + 0a790 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + 0a7a0 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + 0a7b0 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. + 0a7c0 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... + 0a7d0 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... + 0a7e0 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... + 0a7f0 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht + 0a800 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ + 0a810 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc + 0a820 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. + 0a830 22000061 74686465 66732e68 00220000 "..athdefs.h.".. + 0a840 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h + 0a850 00250000 00000502 008e2b24 030c0103 .%........+$.... + 0a860 01090003 01030409 00050103 00090003 ................ + 0a870 01030609 00120103 7f090002 01037f09 ................ + 0a880 00020103 7f090002 01037f09 00020103 ................ + 0a890 7f090002 01030609 00020109 00020001 ................ + 0a8a0 0100000e 50000200 000cde01 01fb0e0a ....P........... + 0a8b0 00010101 01000000 012f726f 6f742f57 ........./root/W + 0a8c0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0a8d0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0a8e0 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + 0a8f0 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + 0a900 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + 0a910 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas + 0a920 6b6c6574 2f737263 002f726f 6f742f57 klet/src./root/W + 0a930 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0a940 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0a950 7069655f 315f312f 696e6300 2f726f6f pie_1_1/inc./roo + 0a960 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0a970 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0a980 6d616770 69655f31 5f312f69 6e632f6d magpie_1_1/inc/m + 0a990 61677069 65002f72 6f6f742f 576f726b agpie./root/Work + 0a9a0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0a9b0 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ + 0a9c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0a9d0 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 0a9e0 6765742f 696e632f 4f545553 002f726f get/inc/OTUS./ro + 0a9f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0aa00 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0aa10 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0aa20 636d6e6f 732f696e 63002f6f 70742f78 cmnos/inc./opt/x + 0aa30 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 0aa40 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 0aa50 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 0aa60 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 0aa70 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 0aa80 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt + 0aa90 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 0aaa0 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 0aab0 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 0aac0 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + 0aad0 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + 0aae0 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten + 0aaf0 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 0ab00 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 0ab10 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 0ab20 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 0ab30 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x + 0ab40 74656e73 612f636f 6e666967 002f726f tensa/config./ro + 0ab50 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0ab60 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0ab70 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0ab80 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc + 0ab90 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0aba0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0abb0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0abc0 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i + 0abd0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0abe0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0abf0 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db + 0ac00 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + 0ac10 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0ac20 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0ac30 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 0ac40 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor + 0ac50 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0ac60 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0ac70 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0ac80 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W + 0ac90 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0aca0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0acb0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0acc0 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro + 0acd0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0ace0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0acf0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0ad00 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. + 0ad10 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0ad20 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0ad30 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0ad40 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc + 0ad50 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work + 0ad60 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0ad70 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0ad80 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a + 0ad90 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo + 0ada0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0adb0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0adc0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 0add0 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc + 0ade0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0adf0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0ae00 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0ae10 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ + 0ae20 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0ae30 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0ae40 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0ae50 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int + 0ae60 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 0ae70 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0ae80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0ae90 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w + 0aea0 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor + 0aeb0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0aec0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0aed0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0aee0 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root + 0aef0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0af00 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0af10 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi + 0af20 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 0af30 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0af40 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 0af50 6e627566 002f726f 6f742f57 6f726b73 nbuf./root/Works + 0af60 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0af70 67742f61 64662f69 6e636c75 64652f6f gt/adf/include/o + 0af80 73002f72 6f6f742f 576f726b 73706163 s./root/Workspac + 0af90 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0afa0 74617267 65742f61 64662f6f 732f696e target/adf/os/in + 0afb0 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt + 0afc0 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 0afd0 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 0afe0 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 0aff0 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i + 0b000 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 0b010 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0b020 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0b030 655f315f 312f726f 6d2f7662 75662f69 e_1_1/rom/vbuf/i + 0b040 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0b050 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0b060 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0b070 312f726f 6d2f7664 6573632f 696e6300 1/rom/vdesc/inc. + 0b080 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0b090 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 0b0a0 662f696e 636c7564 652f6e65 74002f72 f/include/net./r + 0b0b0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0b0c0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0b0d0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0b0e0 2f616466 2f6e6275 662f696e 63002f72 /adf/nbuf/inc./r + 0b0f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0b100 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0b110 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0b120 2f687463 2f696e63 002f726f 6f742f57 /htc/inc./root/W + 0b130 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0b140 30322f77 6c616e2f 696e636c 75646500 02/wlan/include. + 0b150 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0b160 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0b170 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0b180 6f6d2f62 75665f70 6f6f6c2f 696e6300 om/buf_pool/inc. + 0b190 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0b1a0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 0b1b0 72676574 2f776d69 2f696e63 002f726f rget/wmi/inc./ro + 0b1c0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0b1d0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0b1e0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0b1f0 646d615f 656e6769 6e652f69 6e630000 dma_engine/inc.. + 0b200 636d6e6f 735f7461 736b6c65 742e6300 cmnos_tasklet.c. + 0b210 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + 0b220 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag + 0b230 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath + 0b240 6f735f61 70692e68 00020000 6f736170 os_api.h....osap + 0b250 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. + 0b260 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. + 0b270 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + 0b280 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h + 0b290 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. + 0b2a0 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + 0b2b0 09000074 69652e68 00090000 78747275 ...tie.h....xtru + 0b2c0 6e74696d 652e6800 08000073 70656372 ntime.h....specr + 0b2d0 65672e68 00090000 636f7265 62697473 eg.h....corebits + 0b2e0 2e680008 00007072 696e7466 5f617069 .h....printf_api + 0b2f0 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h + 0b300 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. + 0b310 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m + 0b320 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc + 0b330 5f617069 2e68000e 00007374 72696e67 _api.h....string + 0b340 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ + 0b350 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap + 0b360 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ + 0b370 6170692e 68001200 00746173 6b6c6574 api.h....tasklet + 0b380 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ + 0b390 6170692e 68001400 00696e74 725f6170 api.h....intr_ap + 0b3a0 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h + 0b3b0 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h + 0b3c0 00170000 7573625f 6170692e 68001800 ....usb_api.h... + 0b3d0 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi + 0b3e0 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n + 0b3f0 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ + 0b400 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os + 0b410 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a + 0b420 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... + 0b430 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes + 0b440 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ + 0b450 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd + 0b460 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api + 0b470 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + 0b480 68001e00 00737464 6172672e 68000400 h....stdarg.h... + 0b490 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + 0b4a0 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + 0b4b0 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 0b4c0 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + 0b4d0 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + 0b4e0 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + 0b4f0 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + 0b500 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + 0b510 00020000 75736266 69666f5f 6170692e ....usbfifo_api. + 0b520 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + 0b530 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + 0b540 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + 0b550 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + 0b560 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + 0b570 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + 0b580 70692e68 00250000 00000502 008e2b50 pi.h.%........+P + 0b590 03100103 00090003 01030209 00030103 ................ + 0b5a0 00090002 01030109 00020103 04090002 ................ + 0b5b0 01030109 00030103 7f090002 01030209 ................ + 0b5c0 00020103 01090002 01030109 00020103 ................ + 0b5d0 01090002 01030409 00030103 01090003 ................ + 0b5e0 01030409 00050103 7c090002 01030509 ........|....... + 0b5f0 00030103 7f090002 01030409 00020103 ................ + 0b600 03090006 01030409 00050103 04090003 ................ + 0b610 01030409 00080103 01090002 01030209 ................ + 0b620 00020103 0d090004 01037209 00020103 ..........r..... + 0b630 02090005 01030809 00050103 01090002 ................ + 0b640 01037409 00020103 05090006 01030309 ..t............. + 0b650 00040103 07090002 01030409 00050103 ................ + 0b660 00090003 01030309 00030103 7d090002 ............}... + 0b670 01030409 00020103 04090004 01030109 ................ + 0b680 00020103 7c090002 01030409 00020103 ....|........... + 0b690 7c090002 01030109 00020103 03090002 |............... + 0b6a0 01030109 00030103 02090002 01037809 ..............x. + 0b6b0 00020103 0b090003 01030109 00020103 ................ + 0b6c0 04090002 01030009 00030103 0509000f ................ + 0b6d0 01037f09 00020103 7f090002 01037f09 ................ + 0b6e0 00020103 7f090002 01030509 00020109 ................ + 0b6f0 00020001 0100000e 28000200 000cda01 ........(....... + 0b700 01fb0e0a 00010101 01000000 012f726f ............./ro + 0b710 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0b720 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0b730 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 0b740 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 0b750 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 0b760 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0b770 2f74696d 65722f73 7263002f 726f6f74 /timer/src./root + 0b780 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0b790 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0b7a0 61677069 655f315f 312f696e 63002f72 agpie_1_1/inc./r + 0b7b0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0b7c0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0b7d0 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 0b7e0 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo + 0b7f0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0b800 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 0b810 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0b820 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 0b830 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ + 0b840 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0b850 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0b860 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0b870 6d2f636d 6e6f732f 696e6300 2f6f7074 m/cmnos/inc./opt + 0b880 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 0b890 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 0b8a0 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 0b8b0 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + 0b8c0 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + 0b8d0 7874656e 73612f63 6f6e6669 67002f6f xtensa/config./o + 0b8e0 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 0b8f0 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 0b900 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 0b910 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 0b920 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 0b930 652f7874 656e7361 002f6f70 742f7874 e/xtensa./opt/xt + 0b940 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 0b950 696e7374 616c6c2f 6275696c 64732f52 install/builds/R + 0b960 422d3230 30372e32 2d6c696e 75782f4d B-2007.2-linux/M + 0b970 61677069 655f5030 2f787465 6e73612d agpie_P0/xtensa- + 0b980 656c662f 61726368 2f696e63 6c756465 elf/arch/include + 0b990 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 0b9a0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0b9b0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0b9c0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0b9d0 6d2f636d 6e6f732f 7072696e 74662f69 m/cmnos/printf/i + 0b9e0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0b9f0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0ba00 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0ba10 312f726f 6d2f636d 6e6f732f 75617274 1/rom/cmnos/uart + 0ba20 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0ba30 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0ba40 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ + 0ba50 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo + 0ba60 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0ba70 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0ba80 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0ba90 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W + 0baa0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0bab0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0bac0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0bad0 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root + 0bae0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0baf0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0bb00 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0bb10 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ + 0bb20 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0bb30 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0bb40 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0bb50 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in + 0bb60 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0bb70 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0bb80 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0bb90 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa + 0bba0 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo + 0bbb0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0bbc0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0bbd0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0bbe0 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r + 0bbf0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0bc00 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0bc10 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0bc20 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i + 0bc30 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0bc40 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0bc50 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0bc60 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc + 0bc70 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work + 0bc80 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0bc90 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0bca0 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 0bcb0 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo + 0bcc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0bcd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0bce0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0bcf0 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W + 0bd00 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0bd10 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0bd20 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0bd30 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro + 0bd40 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0bd50 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0bd60 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0bd70 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo + 0bd80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0bd90 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 0bda0 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor + 0bdb0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0bdc0 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 0bdd0 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp + 0bde0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0bdf0 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ + 0be00 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + 0be10 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 0be20 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 0be30 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 0be40 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib + 0be50 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 0be60 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0be70 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0be80 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf + 0be90 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0bea0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0beb0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0bec0 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in + 0bed0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0bee0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0bef0 6164662f 696e636c 7564652f 6e657400 adf/include/net. + 0bf00 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0bf10 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0bf20 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0bf30 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + 0bf40 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0bf50 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0bf60 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0bf70 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root + 0bf80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0bf90 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ + 0bfa0 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 0bfb0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0bfc0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0bfd0 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in + 0bfe0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0bff0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0c000 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ + 0c010 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0c020 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0c030 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0c040 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc + 0c050 0000636d 6e6f735f 74696d65 722e6300 ..cmnos_timer.c. + 0c060 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + 0c070 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag + 0c080 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath + 0c090 6f735f61 70692e68 00020000 6f736170 os_api.h....osap + 0c0a0 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. + 0c0b0 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. + 0c0c0 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + 0c0d0 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h + 0c0e0 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. + 0c0f0 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + 0c100 09000074 69652e68 00090000 78747275 ...tie.h....xtru + 0c110 6e74696d 652e6800 08000073 70656372 ntime.h....specr + 0c120 65672e68 00090000 636f7265 62697473 eg.h....corebits + 0c130 2e680008 00007072 696e7466 5f617069 .h....printf_api + 0c140 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h + 0c150 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. + 0c160 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m + 0c170 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc + 0c180 5f617069 2e68000e 00007374 72696e67 _api.h....string + 0c190 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ + 0c1a0 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap + 0c1b0 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ + 0c1c0 6170692e 68001200 00746173 6b6c6574 api.h....tasklet + 0c1d0 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ + 0c1e0 6170692e 68001400 00696e74 725f6170 api.h....intr_ap + 0c1f0 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h + 0c200 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h + 0c210 00170000 7573625f 6170692e 68001800 ....usb_api.h... + 0c220 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi + 0c230 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n + 0c240 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ + 0c250 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os + 0c260 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a + 0c270 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... + 0c280 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes + 0c290 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ + 0c2a0 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd + 0c2b0 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api + 0c2c0 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + 0c2d0 68001e00 00737464 6172672e 68000400 h....stdarg.h... + 0c2e0 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + 0c2f0 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + 0c300 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 0c310 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + 0c320 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + 0c330 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + 0c340 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + 0c350 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + 0c360 00020000 75736266 69666f5f 6170692e ....usbfifo_api. + 0c370 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + 0c380 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + 0c390 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + 0c3a0 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + 0c3b0 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + 0c3c0 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + 0c3d0 70692e68 00250000 00000502 008e2c04 pi.h.%........,. + 0c3e0 03200103 05090003 01037b09 00020103 . ........{..... + 0c3f0 06090002 01037d09 00020103 01090002 ......}......... + 0c400 01030309 00020103 06090003 01030a09 ................ + 0c410 00030103 03090009 01030209 00020103 ................ + 0c420 02090009 01030209 00080103 7c090004 ............|... + 0c430 01030709 00100103 01090002 01030209 ................ + 0c440 00040103 06090002 01030609 00090103 ................ + 0c450 00090003 01030509 00030103 0e090002 ................ + 0c460 01037409 00020103 02090004 01030309 ..t............. + 0c470 00030103 7b090004 01030909 000a0103 ....{........... + 0c480 01090004 01030709 00020103 01090002 ................ + 0c490 01030109 00020103 05090007 01030009 ................ + 0c4a0 00030103 01090003 01030009 00020103 ................ + 0c4b0 01090002 01030509 00020103 00090003 ................ + 0c4c0 01030409 00050103 05090011 01037e09 ..............~. + 0c4d0 00040103 01090004 01030109 00020103 ................ + 0c4e0 7b090005 01030709 00030103 05090005 {............... + 0c4f0 01030009 00030103 0509000f 01037f09 ................ + 0c500 00020103 7f090002 01037f09 00020103 ................ + 0c510 7f090002 01030509 00020109 00020001 ................ + 0c520 0100000e a2000200 000cd601 01fb0e0a ................ + 0c530 00010101 01000000 012f726f 6f742f57 ........./root/W + 0c540 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0c550 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0c560 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + 0c570 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + 0c580 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + 0c590 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt + 0c5a0 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + 0c5b0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0c5c0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0c5d0 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo + 0c5e0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0c5f0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0c600 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 0c610 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 0c620 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0c630 74617267 65742f69 6e63002f 726f6f74 target/inc./root + 0c640 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0c650 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 0c660 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 0c670 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0c680 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0c690 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0c6a0 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 0c6b0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 0c6c0 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 0c6d0 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 0c6e0 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 0c6f0 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 0c700 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + 0c710 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 0c720 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 0c730 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 0c740 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 0c750 656c662f 696e636c 7564652f 7874656e elf/include/xten + 0c760 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + 0c770 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 0c780 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 0c790 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 0c7a0 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 0c7b0 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 0c7c0 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + 0c7d0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0c7e0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0c7f0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0c800 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + 0c810 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0c820 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0c830 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0c840 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + 0c850 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0c860 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 0c870 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + 0c880 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0c890 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0c8a0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0c8b0 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + 0c8c0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0c8d0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0c8e0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0c8f0 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 0c900 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0c910 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0c920 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0c930 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + 0c940 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + 0c950 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0c960 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0c970 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0c980 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + 0c990 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0c9a0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0c9b0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 0c9c0 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + 0c9d0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0c9e0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0c9f0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0ca00 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 0ca10 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + 0ca20 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0ca30 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0ca40 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0ca50 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + 0ca60 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0ca70 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0ca80 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0ca90 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + 0caa0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0cab0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0cac0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0cad0 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + 0cae0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0caf0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0cb00 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0cb10 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + 0cb20 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0cb30 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0cb40 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0cb50 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 0cb60 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + 0cb70 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0cb80 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0cb90 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + 0cba0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0cbb0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0cbc0 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + 0cbd0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0cbe0 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 0cbf0 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + 0cc00 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0cc10 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 0cc20 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o + 0cc30 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 0cc40 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 0cc50 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 0cc60 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l + 0cc70 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu + 0cc80 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 0cc90 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0cca0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0ccb0 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ + 0ccc0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0ccd0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0cce0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0ccf0 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo + 0cd00 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0cd10 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 0cd20 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ + 0cd30 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0cd40 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0cd50 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf + 0cd60 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ + 0cd70 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0cd80 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0cd90 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + 0cda0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0cdb0 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w + 0cdc0 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo + 0cdd0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0cde0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0cdf0 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b + 0ce00 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo + 0ce10 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0ce20 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 0ce30 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W + 0ce40 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0ce50 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0ce60 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 0ce70 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno + 0ce80 735f7764 742e6300 01000073 79735f63 s_wdt.c....sys_c + 0ce90 66672e68 00020000 726f6d5f 6366672e fg.h....rom_cfg. + 0cea0 68000300 006d6167 7069655f 6d656d2e h....magpie_mem. + 0ceb0 68000200 00617468 6f735f61 70692e68 h....athos_api.h + 0cec0 00020000 6f736170 692e6800 0400004f ....osapi.h....O + 0ced0 5455535f 736f632e 68000500 0064745f TUS_soc.h....dt_ + 0cee0 64656673 2e680004 0000636d 6e6f735f defs.h....cmnos_ + 0cef0 6170692e 68000600 00636f72 652e6800 api.h....core.h. + 0cf00 07000068 616c2e68 00080000 636f7265 ...hal.h....core + 0cf10 2d697361 2e680009 0000636f 72652d6d -isa.h....core-m + 0cf20 61746d61 702e6800 09000074 69652e68 atmap.h....tie.h + 0cf30 00090000 78747275 6e74696d 652e6800 ....xtruntime.h. + 0cf40 08000073 70656372 65672e68 00090000 ...specreg.h.... + 0cf50 636f7265 62697473 2e680008 00007072 corebits.h....pr + 0cf60 696e7466 5f617069 2e68000a 00007561 intf_api.h....ua + 0cf70 72745f61 70692e68 000b0000 7265675f rt_api.h....reg_ + 0cf80 64656673 2e680003 00006462 675f6170 defs.h....dbg_ap + 0cf90 692e6800 0c00006d 656d5f61 70692e68 i.h....mem_api.h + 0cfa0 000d0000 6d697363 5f617069 2e68000e ....misc_api.h.. + 0cfb0 00007374 72696e67 5f617069 2e68000f ..string_api.h.. + 0cfc0 00007469 6d65725f 6170692e 68001000 ..timer_api.h... + 0cfd0 00726f6d 705f6170 692e6800 11000061 .romp_api.h....a + 0cfe0 6c6c6f63 72616d5f 6170692e 68001200 llocram_api.h... + 0cff0 00746173 6b6c6574 5f617069 2e680013 .tasklet_api.h.. + 0d000 0000636c 6f636b5f 6170692e 68001400 ..clock_api.h... + 0d010 00696e74 725f6170 692e6800 15000077 .intr_api.h....w + 0d020 64745f61 70692e68 00160000 65657072 dt_api.h....eepr + 0d030 6f6d5f61 70692e68 00170000 7573625f om_api.h....usb_ + 0d040 6170692e 68001800 00686966 5f706369 api.h....hif_pci + 0d050 2e680018 00006869 665f6170 692e6800 .h....hif_api.h. + 0d060 18000061 64665f6e 6275662e 68001900 ...adf_nbuf.h... + 0d070 00616466 5f6f735f 7574696c 2e68001a .adf_os_util.h.. + 0d080 00006164 665f6f73 5f757469 6c5f7076 ..adf_os_util_pv + 0d090 742e6800 1b000061 64665f6f 735f7479 t.h....adf_os_ty + 0d0a0 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ + 0d0b0 73746474 79706573 2e68001a 00006164 stdtypes.h....ad + 0d0c0 665f6f73 5f747970 65735f70 76742e68 f_os_types_pvt.h + 0d0d0 001b0000 73746464 65662e68 001c0000 ....stddef.h.... + 0d0e0 76627566 5f617069 2e68001d 00007664 vbuf_api.h....vd + 0d0f0 6573635f 6170692e 68001e00 00737464 esc_api.h....std + 0d100 6172672e 68000400 0076612d 7874656e arg.h....va-xten + 0d110 73612e68 001c0000 6164665f 6f735f64 sa.h....adf_os_d + 0d120 6d612e68 001a0000 6164665f 6f735f64 ma.h....adf_os_d + 0d130 6d615f70 76742e68 001b0000 6164665f ma_pvt.h....adf_ + 0d140 6e65745f 74797065 732e6800 1f000061 net_types.h....a + 0d150 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . + 0d160 00646d61 5f6c6962 2e680018 00006869 .dma_lib.h....hi + 0d170 665f676d 61632e68 00180000 4d616770 f_gmac.h....Magp + 0d180 69655f61 70692e68 00020000 75736266 ie_api.h....usbf + 0d190 69666f5f 6170692e 68001800 00687463 ifo_api.h....htc + 0d1a0 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + 0d1b0 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + 0d1c0 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + 0d1d0 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + 0d1e0 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + 0d1f0 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + 0d200 00000502 008e2ce4 03160103 00090003 ......,......... + 0d210 01030909 00060103 0209000c 01030309 ................ + 0d220 00060103 7e090002 01037f09 00020103 ....~........... + 0d230 03090006 01037c09 00020103 04090002 ......|......... + 0d240 01031209 00030103 00090003 01030109 ................ + 0d250 00030103 01090008 01030109 00090103 ................ + 0d260 09090005 01030009 00030103 11090006 ................ + 0d270 01037b09 00060103 02090003 01030109 ..{............. + 0d280 00020103 03090006 01037409 00040103 ..........t..... + 0d290 01090002 01030b09 00060103 08090002 ................ + 0d2a0 01030009 00030103 02090003 01030409 ................ + 0d2b0 00020103 7e090002 01030109 00020103 ....~........... + 0d2c0 7f090004 01030209 00020103 7c090002 ............|... + 0d2d0 01030409 00030103 02090005 01030709 ................ + 0d2e0 00040103 00090003 01030709 00030103 ................ + 0d2f0 7e090002 01037b09 00020103 06090002 ~.....{......... + 0d300 01037f09 00020103 02090002 01037c09 ..............|. + 0d310 00020103 04090003 01030309 00050103 ................ + 0d320 08090002 01030009 00030103 04090008 ................ + 0d330 01030109 00030103 01090002 01030309 ................ + 0d340 00020103 04090003 01037e09 00020103 ..........~..... + 0d350 01090002 01037f09 00040103 02090002 ................ + 0d360 01030109 00070103 08090002 01030009 ................ + 0d370 00030103 02090003 01030209 00060103 ................ + 0d380 0309000a 01030409 00020103 00090003 ................ + 0d390 01030709 00150103 7f090002 01037f09 ................ + 0d3a0 00020103 7f090002 01037f09 00020103 ................ + 0d3b0 7f090002 01037f09 00020103 07090002 ................ + 0d3c0 01090002 00010100 000efc00 0200000c ................ + 0d3d0 d60101fb 0e0a0001 01010100 0000012f .............../ + 0d3e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0d3f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0d400 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 0d410 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 0d420 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 0d430 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0d440 6f732f75 6172742f 73726300 2f726f6f os/uart/src./roo + 0d450 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0d460 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0d470 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + 0d480 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0d490 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0d4a0 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 0d4b0 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W + 0d4c0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0d4d0 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 0d4e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0d4f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0d500 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 0d510 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0d520 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0d530 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0d540 6f6d2f63 6d6e6f73 2f696e63 002f6f70 om/cmnos/inc./op + 0d550 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 0d560 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 0d570 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 0d580 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 0d590 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 0d5a0 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 0d5b0 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 0d5c0 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 0d5d0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 0d5e0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 0d5f0 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 0d600 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x + 0d610 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 0d620 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + 0d630 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 0d640 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + 0d650 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + 0d660 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 0d670 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0d680 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0d690 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0d6a0 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ + 0d6b0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0d6c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0d6d0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0d6e0 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar + 0d6f0 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 0d700 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0d710 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos + 0d720 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W + 0d730 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0d740 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0d750 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0d760 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ + 0d770 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0d780 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0d790 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0d7a0 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo + 0d7b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0d7c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0d7d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 0d7e0 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. + 0d7f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0d800 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0d810 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0d820 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i + 0d830 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0d840 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0d850 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0d860 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp + 0d870 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W + 0d880 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0d890 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0d8a0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0d8b0 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ + 0d8c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0d8d0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0d8e0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0d8f0 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ + 0d900 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0d910 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0d920 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0d930 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo + 0d940 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor + 0d950 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0d960 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0d970 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0d980 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W + 0d990 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0d9a0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0d9b0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0d9c0 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ + 0d9d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0d9e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0d9f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0da00 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r + 0da10 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0da20 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0da30 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0da40 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W + 0da50 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0da60 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 0da70 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo + 0da80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0da90 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 0daa0 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works + 0dab0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0dac0 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os + 0dad0 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + 0dae0 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 0daf0 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 0db00 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 0db10 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li + 0db20 622f696e 636c7564 65002f72 6f6f742f b/include./root/ + 0db30 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0db40 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0db50 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + 0db60 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 0db70 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0db80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0db90 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i + 0dba0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0dbb0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0dbc0 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net + 0dbd0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0dbe0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0dbf0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0dc00 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc + 0dc10 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0dc20 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0dc30 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0dc40 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo + 0dc50 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0dc60 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu + 0dc70 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 0dc80 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0dc90 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0dca0 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i + 0dcb0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0dcc0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0dcd0 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. + 0dce0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0dcf0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0dd00 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0dd10 6f6d2f64 6d615f65 6e67696e 652f696e om/dma_engine/in + 0dd20 63000075 6172745f 6170692e 63000100 c..uart_api.c... + 0dd30 00737973 5f636667 2e680002 0000726f .sys_cfg.h....ro + 0dd40 6d5f6366 672e6800 0300006d 61677069 m_cfg.h....magpi + 0dd50 655f6d65 6d2e6800 02000061 74686f73 e_mem.h....athos + 0dd60 5f617069 2e680002 00006f73 6170692e _api.h....osapi. + 0dd70 68000400 004f5455 535f736f 632e6800 h....OTUS_soc.h. + 0dd80 05000064 745f6465 66732e68 00040000 ...dt_defs.h.... + 0dd90 636d6e6f 735f6170 692e6800 06000063 cmnos_api.h....c + 0dda0 6f72652e 68000700 0068616c 2e680008 ore.h....hal.h.. + 0ddb0 0000636f 72652d69 73612e68 00090000 ..core-isa.h.... + 0ddc0 636f7265 2d6d6174 6d61702e 68000900 core-matmap.h... + 0ddd0 00746965 2e680009 00007874 72756e74 .tie.h....xtrunt + 0dde0 696d652e 68000800 00737065 63726567 ime.h....specreg + 0ddf0 2e680009 0000636f 72656269 74732e68 .h....corebits.h + 0de00 00080000 7072696e 74665f61 70692e68 ....printf_api.h + 0de10 000a0000 75617274 5f617069 2e68000b ....uart_api.h.. + 0de20 00007265 675f6465 66732e68 00030000 ..reg_defs.h.... + 0de30 6462675f 6170692e 68000c00 006d656d dbg_api.h....mem + 0de40 5f617069 2e68000d 00006d69 73635f61 _api.h....misc_a + 0de50 70692e68 000e0000 73747269 6e675f61 pi.h....string_a + 0de60 70692e68 000f0000 74696d65 725f6170 pi.h....timer_ap + 0de70 692e6800 10000072 6f6d705f 6170692e i.h....romp_api. + 0de80 68001100 00616c6c 6f637261 6d5f6170 h....allocram_ap + 0de90 692e6800 12000074 61736b6c 65745f61 i.h....tasklet_a + 0dea0 70692e68 00130000 636c6f63 6b5f6170 pi.h....clock_ap + 0deb0 692e6800 14000069 6e74725f 6170692e i.h....intr_api. + 0dec0 68001500 00776474 5f617069 2e680016 h....wdt_api.h.. + 0ded0 00006565 70726f6d 5f617069 2e680017 ..eeprom_api.h.. + 0dee0 00007573 625f6170 692e6800 18000068 ..usb_api.h....h + 0def0 69665f70 63692e68 00180000 6869665f if_pci.h....hif_ + 0df00 6170692e 68001800 00616466 5f6e6275 api.h....adf_nbu + 0df10 662e6800 19000061 64665f6f 735f7574 f.h....adf_os_ut + 0df20 696c2e68 001a0000 6164665f 6f735f75 il.h....adf_os_u + 0df30 74696c5f 7076742e 68001b00 00616466 til_pvt.h....adf + 0df40 5f6f735f 74797065 732e6800 1a000061 _os_types.h....a + 0df50 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h + 0df60 001a0000 6164665f 6f735f74 79706573 ....adf_os_types + 0df70 5f707674 2e68001b 00007374 64646566 _pvt.h....stddef + 0df80 2e68001c 00007662 75665f61 70692e68 .h....vbuf_api.h + 0df90 001d0000 76646573 635f6170 692e6800 ....vdesc_api.h. + 0dfa0 1e000073 74646172 672e6800 04000076 ...stdarg.h....v + 0dfb0 612d7874 656e7361 2e68001c 00006164 a-xtensa.h....ad + 0dfc0 665f6f73 5f646d61 2e68001a 00006164 f_os_dma.h....ad + 0dfd0 665f6f73 5f646d61 5f707674 2e68001b f_os_dma_pvt.h.. + 0dfe0 00006164 665f6e65 745f7479 7065732e ..adf_net_types. + 0dff0 68001f00 00616466 5f6e6275 665f7076 h....adf_nbuf_pv + 0e000 742e6800 20000064 6d615f6c 69622e68 t.h. ..dma_lib.h + 0e010 00180000 6869665f 676d6163 2e680018 ....hif_gmac.h.. + 0e020 00004d61 67706965 5f617069 2e680002 ..Magpie_api.h.. + 0e030 00007573 62666966 6f5f6170 692e6800 ..usbfifo_api.h. + 0e040 18000068 74635f61 70692e68 00210000 ...htc_api.h.!.. + 0e050 6874632e 68002200 00627566 5f706f6f htc.h."..buf_poo + 0e060 6c5f6170 692e6800 23000077 6d695f73 l_api.h.#..wmi_s + 0e070 76635f61 70692e68 00240000 776d692e vc_api.h.$..wmi. + 0e080 68002200 00617468 64656673 2e680022 h."..athdefs.h." + 0e090 0000646d 615f656e 67696e65 5f617069 ..dma_engine_api + 0e0a0 2e680025 00000000 0502008e 2df80318 .h.%........-... + 0e0b0 01030009 00030103 05090005 01030409 ................ + 0e0c0 00060103 03090008 01037d09 00030103 ..........}..... + 0e0d0 03090003 01030409 000c0103 07090006 ................ + 0e0e0 01030609 00080103 01090003 01030109 ................ + 0e0f0 00030103 08090004 01030209 00030103 ................ + 0e100 08090005 01030109 00030103 00090002 ................ + 0e110 01030909 00030103 00090003 01031c09 ................ + 0e120 00090103 01090006 01030609 00030103 ................ + 0e130 0909000c 01030109 00060103 06090003 ................ + 0e140 01030709 00020103 00090003 01030709 ................ + 0e150 00090103 01090006 01030609 00030103 ................ + 0e160 0909000c 01030109 00060103 05090003 ................ + 0e170 01030809 00020103 00090003 01030309 ................ + 0e180 00030103 08090009 01037a09 00040103 ..........z..... + 0e190 01090009 01030509 00020103 09090002 ................ + 0e1a0 01031709 00030103 07090005 01030009 ................ + 0e1b0 00030103 01090003 01030809 00060103 ................ + 0e1c0 00090003 01030309 00030103 03090005 ................ + 0e1d0 01030109 00050103 7f090003 01030209 ................ + 0e1e0 00030103 7e090002 01030409 00030103 ....~........... + 0e1f0 08090005 01030009 00030103 03090006 ................ + 0e200 01037e09 00020103 02090003 01030309 ..~............. + 0e210 00020103 7b090002 01030209 00060103 ....{........... + 0e220 03090006 01030109 00030103 05090002 ................ + 0e230 01030709 00050103 00090003 01030409 ................ + 0e240 00050103 02090006 01030209 00090103 ................ + 0e250 7e090003 01030209 00030103 0109000c ~............... + 0e260 01030309 00090103 0109000c 01030809 ................ + 0e270 00020103 00090003 01030c09 00030103 ................ + 0e280 7d09001a 01030309 00020103 7f090003 }............... + 0e290 01037d09 00020103 7f090002 01037f09 ..}............. + 0e2a0 00020103 7f090002 01037f09 00020103 ................ + 0e2b0 7f090002 01037f09 00020103 0b090002 ................ + 0e2c0 01090002 00010100 00115000 0200000c ..........P..... + 0e2d0 c00101fb 0e0a0001 01010100 0000012f .............../ + 0e2e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0e2f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0e300 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 0e310 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 0e320 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 0e330 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 0e340 2f706369 2f002f72 6f6f742f 576f726b /pci/./root/Work + 0e350 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0e360 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0e370 5f315f31 2f726f6d 2f686966 2f696e63 _1_1/rom/hif/inc + 0e380 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0e390 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 0e3a0 64662f69 6e636c75 64652f6e 62756600 df/include/nbuf. + 0e3b0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0e3c0 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 0e3d0 662f696e 636c7564 652f6f73 002f726f f/include/os./ro + 0e3e0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0e3f0 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 0e400 742f6164 662f6f73 2f696e63 002f6f70 t/adf/os/inc./op + 0e410 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 0e420 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 0e430 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 0e440 782f5874 656e7361 546f6f6c 732f6c69 x/XtensaTools/li + 0e450 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ + 0e460 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 0e470 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0e480 74617267 65742f69 6e63002f 726f6f74 target/inc./root + 0e490 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0e4a0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 0e4b0 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 0e4c0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0e4d0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0e4e0 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf + 0e4f0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0e500 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0e510 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0e520 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in + 0e530 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0e540 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0e550 6164662f 696e636c 7564652f 6e657400 adf/include/net. + 0e560 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0e570 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0e580 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0e590 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + 0e5a0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0e5b0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0e5c0 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 0e5d0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0e5e0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0e5f0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0e600 312f726f 6d2f636d 6e6f732f 696e6300 1/rom/cmnos/inc. + 0e610 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0e620 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0e630 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 0e640 6e632f6d 61677069 65002f6f 70742f78 nc/magpie./opt/x + 0e650 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 0e660 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 0e670 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 0e680 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 0e690 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 0e6a0 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt + 0e6b0 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 0e6c0 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 0e6d0 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 0e6e0 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + 0e6f0 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + 0e700 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten + 0e710 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 0e720 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 0e730 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 0e740 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 0e750 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x + 0e760 74656e73 612f636f 6e666967 002f726f tensa/config./ro + 0e770 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0e780 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0e790 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0e7a0 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc + 0e7b0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0e7c0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0e7d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0e7e0 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i + 0e7f0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0e800 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0e810 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db + 0e820 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + 0e830 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0e840 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0e850 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 0e860 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor + 0e870 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0e880 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0e890 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0e8a0 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W + 0e8b0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0e8c0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0e8d0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0e8e0 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro + 0e8f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0e900 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0e910 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0e920 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. + 0e930 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0e940 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0e950 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0e960 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc + 0e970 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work + 0e980 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0e990 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0e9a0 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a + 0e9b0 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo + 0e9c0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0e9d0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0e9e0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 0e9f0 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc + 0ea00 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0ea10 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0ea20 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0ea30 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ + 0ea40 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0ea50 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0ea60 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0ea70 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int + 0ea80 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 0ea90 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0eaa0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0eab0 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w + 0eac0 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor + 0ead0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0eae0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0eaf0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0eb00 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root + 0eb10 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0eb20 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0eb30 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 0eb40 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 0eb50 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0eb60 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + 0eb70 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0eb80 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0eb90 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0eba0 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + 0ebb0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0ebc0 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 0ebd0 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + 0ebe0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0ebf0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0ec00 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 0ec10 5f656e67 696e652f 696e6300 00686966 _engine/inc..hif + 0ec20 5f706369 2e630001 00006869 665f6170 _pci.c....hif_ap + 0ec30 692e6800 02000061 64665f6e 6275662e i.h....adf_nbuf. + 0ec40 68000300 00616466 5f6f735f 7574696c h....adf_os_util + 0ec50 2e680004 00006164 665f6f73 5f757469 .h....adf_os_uti + 0ec60 6c5f7076 742e6800 05000061 64665f6f l_pvt.h....adf_o + 0ec70 735f7479 7065732e 68000400 00616466 s_types.h....adf + 0ec80 5f6f735f 73746474 79706573 2e680004 _os_stdtypes.h.. + 0ec90 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 0eca0 76742e68 00050000 73746464 65662e68 vt.h....stddef.h + 0ecb0 00060000 6f736170 692e6800 0700004f ....osapi.h....O + 0ecc0 5455535f 736f632e 68000800 00766275 TUS_soc.h....vbu + 0ecd0 665f6170 692e6800 09000076 64657363 f_api.h....vdesc + 0ece0 5f617069 2e68000a 00007374 64617267 _api.h....stdarg + 0ecf0 2e680007 00007661 2d787465 6e73612e .h....va-xtensa. + 0ed00 68000600 00616466 5f6f735f 646d612e h....adf_os_dma. + 0ed10 68000400 00616466 5f6f735f 646d615f h....adf_os_dma_ + 0ed20 7076742e 68000500 00616466 5f6e6574 pvt.h....adf_net + 0ed30 5f747970 65732e68 000b0000 6164665f _types.h....adf_ + 0ed40 6e627566 5f707674 2e68000c 00004d61 nbuf_pvt.h....Ma + 0ed50 67706965 5f617069 2e68000d 0000636d gpie_api.h....cm + 0ed60 6e6f735f 6170692e 68000e00 00737973 nos_api.h....sys + 0ed70 5f636667 2e68000d 0000726f 6d5f6366 _cfg.h....rom_cf + 0ed80 672e6800 0f00006d 61677069 655f6d65 g.h....magpie_me + 0ed90 6d2e6800 0d000063 6f72652e 68001000 m.h....core.h... + 0eda0 0068616c 2e680011 0000636f 72652d69 .hal.h....core-i + 0edb0 73612e68 00120000 636f7265 2d6d6174 sa.h....core-mat + 0edc0 6d61702e 68001200 00746965 2e680012 map.h....tie.h.. + 0edd0 00007874 72756e74 696d652e 68001100 ..xtruntime.h... + 0ede0 00737065 63726567 2e680012 0000636f .specreg.h....co + 0edf0 72656269 74732e68 00110000 7072696e rebits.h....prin + 0ee00 74665f61 70692e68 00130000 75617274 tf_api.h....uart + 0ee10 5f617069 2e680014 00007265 675f6465 _api.h....reg_de + 0ee20 66732e68 000f0000 64745f64 6566732e fs.h....dt_defs. + 0ee30 68000700 00646267 5f617069 2e680015 h....dbg_api.h.. + 0ee40 00006d65 6d5f6170 692e6800 1600006d ..mem_api.h....m + 0ee50 6973635f 6170692e 68001700 00737472 isc_api.h....str + 0ee60 696e675f 6170692e 68001800 0074696d ing_api.h....tim + 0ee70 65725f61 70692e68 00190000 726f6d70 er_api.h....romp + 0ee80 5f617069 2e68001a 0000616c 6c6f6372 _api.h....allocr + 0ee90 616d5f61 70692e68 001b0000 7461736b am_api.h....task + 0eea0 6c65745f 6170692e 68001c00 00636c6f let_api.h....clo + 0eeb0 636b5f61 70692e68 001d0000 696e7472 ck_api.h....intr + 0eec0 5f617069 2e68001e 00007764 745f6170 _api.h....wdt_ap + 0eed0 692e6800 1f000065 6570726f 6d5f6170 i.h....eeprom_ap + 0eee0 692e6800 20000075 73625f61 70692e68 i.h. ..usb_api.h + 0eef0 00020000 6869665f 7063692e 68000200 ....hif_pci.h... + 0ef00 00646d61 5f6c6962 2e680002 00006869 .dma_lib.h....hi + 0ef10 665f676d 61632e68 00020000 75736266 f_gmac.h....usbf + 0ef20 69666f5f 6170692e 68000200 00687463 ifo_api.h....htc + 0ef30 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + 0ef40 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + 0ef50 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + 0ef60 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + 0ef70 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + 0ef80 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + 0ef90 00000502 008e2f94 03300103 01090003 ....../..0...... + 0efa0 01030509 00090103 01090003 01030109 ................ + 0efb0 00050103 04090004 01030009 00030103 ................ + 0efc0 10090002 01037f09 000f0103 7c090003 ............|... + 0efd0 01037809 00020103 04090002 01037c09 ..x...........|. + 0efe0 00020103 0a090002 01037609 00020103 ..........v..... + 0eff0 06090002 01037a09 00020103 12090003 ......z......... + 0f000 01030009 00030103 0a090002 01037c09 ..............|. + 0f010 00020103 03090002 01030109 00020103 ................ + 0f020 79090003 01030b09 00060103 00090003 y............... + 0f030 01031009 00020103 7c090009 01030309 ........|....... + 0f040 00020103 01090002 01037309 00030103 ..........s..... + 0f050 03090006 01037d09 00020103 06090002 ......}......... + 0f060 01037a09 00020103 13090003 01030009 ..z............. + 0f070 00030103 06090003 01030209 00030103 ................ + 0f080 0109000b 01030809 00040103 00090003 ................ + 0f090 01030609 00030103 0209000c 01030209 ................ + 0f0a0 00080103 03090008 01030609 000c0103 ................ + 0f0b0 0109000b 01030109 00100103 02090008 ................ + 0f0c0 01030609 000b0103 0109000b 01030109 ................ + 0f0d0 00100103 02090008 01030609 000b0103 ................ + 0f0e0 01090008 01030109 00100103 02090008 ................ + 0f0f0 01030109 00080103 06090002 01030009 ................ + 0f100 00030103 01090003 01030109 00060103 ................ + 0f110 02090006 01030109 000a0103 0209000a ................ + 0f120 01030309 000d0103 0a090005 01030009 ................ + 0f130 00030103 01090003 01030109 00060103 ................ + 0f140 05090006 01030109 000a0103 0509000a ................ + 0f150 01030109 000a0103 0109000a 01030109 ................ + 0f160 000a0103 0209000d 01030b09 00030103 ................ + 0f170 00090003 01030409 00030103 0209000a ................ + 0f180 01030109 00030103 07090009 01037d09 ..............}. + 0f190 00020103 0209000a 01037e09 00030103 ..........~..... + 0f1a0 02090002 01030109 000b0103 08090004 ................ + 0f1b0 01030109 00030103 0a090005 01030009 ................ + 0f1c0 00030103 03090003 01030009 00020103 ................ + 0f1d0 01090002 01030109 00040103 01090004 ................ + 0f1e0 01030a09 00020103 00090003 01030409 ................ + 0f1f0 00030103 02090008 01030309 000a0103 ................ + 0f200 05090007 01037d09 00020103 03090009 ......}......... + 0f210 01030b09 00020103 00090003 01030309 ................ + 0f220 00050103 0309000a 01030309 00090103 ................ + 0f230 7f090002 01030109 00090103 08090002 ................ + 0f240 01030009 00030103 05090009 01037f09 ................ + 0f250 000a0103 0409000e 01037f09 000a0103 ................ + 0f260 0409000e 01037f09 000a0103 0409000e ................ + 0f270 01037f09 000a0103 0709000b 01030109 ................ + 0f280 000a0103 04090011 01030109 000a0103 ................ + 0f290 0409000c 01030209 00030103 0c090003 ................ + 0f2a0 01030009 00030103 03090003 01037d09 ..............}. + 0f2b0 00030103 03090002 01030209 00030103 ................ + 0f2c0 01090003 01030109 00090103 03090002 ................ + 0f2d0 01030b09 00100103 00090003 01030309 ................ + 0f2e0 00030103 7d090003 01030309 00020103 ....}........... + 0f2f0 02090003 01030309 00030103 01090008 ................ + 0f300 01030b09 00030103 01090003 01030109 ................ + 0f310 00030103 02090002 01030c09 00080103 ................ + 0f320 04090003 01037e09 00030103 7f090003 ......~......... + 0f330 01030309 00030103 0b090004 01030109 ................ + 0f340 00030103 00090002 01030909 00030103 ................ + 0f350 01090003 01030509 00050103 00090003 ................ + 0f360 01030109 00020103 01090003 01030109 ................ + 0f370 00030103 08090005 01030009 00030103 ................ + 0f380 0309001b 01030709 00020103 7f090002 ................ + 0f390 01037f09 00020103 7f090002 01037f09 ................ + 0f3a0 00020103 7f090002 01037d09 00020103 ..........}..... + 0f3b0 02090002 01030809 00050103 7f090008 ................ + 0f3c0 01030209 00020103 01090002 01030409 ................ + 0f3d0 00050103 00090003 01030909 001b0103 ................ + 0f3e0 7f090002 01037f09 00020103 7f090002 ................ + 0f3f0 01037f09 00020103 7f090002 01037f09 ................ + 0f400 00020103 7f090002 01037f09 00020103 ................ + 0f410 09090002 01090002 00010100 00129d00 ................ + 0f420 0200000d 160101fb 0e0a0001 01010100 ................ + 0f430 0000012f 726f6f74 2f576f72 6b737061 .../root/Workspa + 0f440 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0f450 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0f460 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. + 0f470 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil + 0f480 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0f490 2f686966 2f757362 2f737263 002f726f /hif/usb/src./ro + 0f4a0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0f4b0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0f4c0 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 0f4d0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0f4e0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0f4f0 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 0f500 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ + 0f510 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0f520 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 0f530 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0f540 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0f550 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 0f560 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0f570 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0f580 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0f590 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o + 0f5a0 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 0f5b0 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 0f5c0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 0f5d0 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 0f5e0 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 0f5f0 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 0f600 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 0f610 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 0f620 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 0f630 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 0f640 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 0f650 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 0f660 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 0f670 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 0f680 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 0f690 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 0f6a0 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 0f6b0 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 0f6c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0f6d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0f6e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0f6f0 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 0f700 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0f710 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0f720 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0f730 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 0f740 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 0f750 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0f760 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 0f770 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 0f780 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0f790 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0f7a0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0f7b0 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 0f7c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0f7d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0f7e0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0f7f0 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 0f800 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0f810 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0f820 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0f830 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 0f840 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0f850 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0f860 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0f870 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 0f880 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0f890 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0f8a0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0f8b0 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 0f8c0 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 0f8d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0f8e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0f8f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0f900 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 0f910 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0f920 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0f930 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0f940 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 0f950 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0f960 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0f970 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0f980 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 0f990 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 0f9a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0f9b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0f9c0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0f9d0 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 0f9e0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0f9f0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0fa00 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0fa10 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 0fa20 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0fa30 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0fa40 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0fa50 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 0fa60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0fa70 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0fa80 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0fa90 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 0faa0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0fab0 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 0fac0 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 0fad0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0fae0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 0faf0 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 0fb00 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0fb10 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 0fb20 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 0fb30 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 0fb40 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 0fb50 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 0fb60 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 0fb70 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 0fb80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0fb90 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0fba0 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 0fbb0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 0fbc0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0fbd0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0fbe0 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 0fbf0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0fc00 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0fc10 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 0fc20 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 0fc30 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0fc40 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0fc50 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 0fc60 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0fc70 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0fc80 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0fc90 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 0fca0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0fcb0 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 0fcc0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 0fcd0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0fce0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0fcf0 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 0fd00 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0fd10 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0fd20 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 0fd30 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0fd40 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0fd50 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0fd60 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 0fd70 6e630000 4849465f 7573622e 63000100 nc..HIF_usb.c... + 0fd80 00737973 5f636667 2e680002 0000726f .sys_cfg.h....ro + 0fd90 6d5f6366 672e6800 0300006d 61677069 m_cfg.h....magpi + 0fda0 655f6d65 6d2e6800 02000064 745f6465 e_mem.h....dt_de + 0fdb0 66732e68 00040000 7265675f 64656673 fs.h....reg_defs + 0fdc0 2e680003 00006f73 6170692e 68000400 .h....osapi.h... + 0fdd0 004f5455 535f736f 632e6800 0500004d .OTUS_soc.h....M + 0fde0 61677069 655f6170 692e6800 02000063 agpie_api.h....c + 0fdf0 6d6e6f73 5f617069 2e680006 0000636f mnos_api.h....co + 0fe00 72652e68 00070000 68616c2e 68000800 re.h....hal.h... + 0fe10 00636f72 652d6973 612e6800 09000063 .core-isa.h....c + 0fe20 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... + 0fe30 7469652e 68000900 00787472 756e7469 tie.h....xtrunti + 0fe40 6d652e68 00080000 73706563 7265672e me.h....specreg. + 0fe50 68000900 00636f72 65626974 732e6800 h....corebits.h. + 0fe60 08000070 72696e74 665f6170 692e6800 ...printf_api.h. + 0fe70 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... + 0fe80 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + 0fe90 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + 0fea0 6170692e 68000e00 00737472 696e675f api.h....string_ + 0feb0 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + 0fec0 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + 0fed0 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + 0fee0 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + 0fef0 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + 0ff00 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + 0ff10 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + 0ff20 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + 0ff30 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + 0ff40 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + 0ff50 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + 0ff60 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + 0ff70 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + 0ff80 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + 0ff90 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + 0ffa0 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + 0ffb0 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + 0ffc0 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + 0ffd0 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + 0ffe0 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + 0fff0 001e0000 73746461 72672e68 00040000 ....stdarg.h.... + 10000 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + 10010 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + 10020 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 10030 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + 10040 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 10050 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 10060 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + 10070 18000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 10080 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + 10090 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 100a0 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 100b0 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 100c0 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 100d0 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 100e0 692e6800 25000061 64665f6f 735f6d65 i.h.%..adf_os_me + 100f0 6d2e6800 1a000061 64665f6f 735f6d65 m.h....adf_os_me + 10100 6d5f7076 742e6800 1b000061 64665f6f m_pvt.h....adf_o + 10110 735f696f 2e68001a 00006164 665f6f73 s_io.h....adf_os + 10120 5f696f5f 7076742e 68001b00 00686966 _io_pvt.h....hif + 10130 5f757362 2e680018 00000000 0502008e _usb.h.......... + 10140 33b00326 01030009 00030103 03090003 3..&............ + 10150 01030209 00020103 7f090002 01030109 ................ + 10160 00040103 01090002 01030409 00040103 ................ + 10170 0b090003 01030209 00020103 01090009 ................ + 10180 01030209 000d0103 7e090003 01030209 ........~....... + 10190 00020103 02090009 01030209 000b0103 ................ + 101a0 03090004 01030009 00030103 03090003 ................ + 101b0 01030109 00020103 7c090002 01030409 ........|....... + 101c0 00020103 01090003 01030109 00020103 ................ + 101d0 02090005 01030309 00040103 01090002 ................ + 101e0 01030409 00040103 00090003 01030509 ................ + 101f0 00030103 7b090002 01030509 00020103 ....{........... + 10200 02090005 01030509 00050103 00090003 ................ + 10210 01030409 000f0103 01090002 01030109 ................ + 10220 00020103 02090002 01037f09 00030103 ................ + 10230 01090002 01030409 00050103 01090009 ................ + 10240 01030309 00090103 04090009 01030309 ................ + 10250 000c0103 01090004 01030109 00020103 ................ + 10260 02090002 01030409 00030103 02090003 ................ + 10270 01030309 00050103 00090003 01030309 ................ + 10280 00030103 00090002 01030109 00020103 ................ + 10290 01090004 01030209 00040103 05090002 ................ + 102a0 01030009 00030103 08090006 01030109 ................ + 102b0 000b0103 02090011 01030109 00080103 ................ + 102c0 02090008 01030109 000b0103 04090008 ................ + 102d0 01030109 000b0103 0309000c 01030109 ................ + 102e0 00080103 03090006 01030409 00060103 ................ + 102f0 03090005 01030309 00040103 01090003 ................ + 10300 01030009 00020103 1a090003 01030009 ................ + 10310 00030103 01090005 01030409 00030103 ................ + 10320 0d090006 01037709 00020103 01090003 ......w......... + 10330 01030809 00060103 7c090002 01030109 ........|....... + 10340 00060103 03090005 01030309 00030103 ................ + 10350 03090003 01031309 00060103 71090002 ............q... + 10360 01030f09 00060103 77090002 01030609 ........w....... + 10370 00030103 03090006 01030809 00040103 ................ + 10380 00090003 01030609 00050103 21090003 ............!... + 10390 01030109 00070103 01090016 01037b09 ..............{. + 103a0 00050103 67090002 01030209 00090103 ....g........... + 103b0 0209000a 01030209 00030104 3e03f27d ............>..} + 103c0 09000a01 0401038e 02090003 01043e03 ..............>. + 103d0 f27d0900 02010401 038e0209 00050103 .}.............. + 103e0 01090002 01037909 000d0103 16090002 ......y......... + 103f0 01037209 00020103 01090004 01030109 ..r............. + 10400 00030103 01090003 01030809 00050103 ................ + 10410 6d090004 0103b27f 09000d01 03040900 m............... + 10420 0601037e 09000201 03040900 0401037d ...~...........} + 10430 09000201 03010900 02010305 09000401 ................ + 10440 03010900 02010375 09000201 03e80009 .......u........ + 10450 00030103 24090005 01030009 00030103 ....$........... + 10460 01090003 01036b09 00030103 02090002 ......k......... + 10470 01030109 00060103 7f090002 01030109 ................ + 10480 00030103 02090008 01037e09 00030103 ..........~..... + 10490 02090002 01030309 00090103 04090002 ................ + 104a0 01037d09 00040103 07090002 01037d09 ..}...........}. + 104b0 00030103 03090002 01030609 00030103 ................ + 104c0 02090003 01030409 000e0103 04090008 ................ + 104d0 01036c09 00040103 19090007 01030309 ..l............. + 104e0 00030103 01090006 01030309 00040103 ................ + 104f0 08090004 01037c09 00020103 01090008 ......|......... + 10500 01030109 00080103 02090005 01030309 ................ + 10510 00040103 03090003 01030309 00050103 ................ + 10520 02090003 01030309 00050103 02090003 ................ + 10530 01030309 00050103 01090003 01030109 ................ + 10540 00050103 02090002 01030509 00060103 ................ + 10550 08090003 01037c09 00060103 03090002 ......|......... + 10560 0103f400 09000901 03000900 03010307 ................ + 10570 09000301 03070900 0f010354 09000c01 ...........T.... + 10580 03010900 0a010331 09000901 03650900 .......1.....e.. + 10590 11010301 09000a01 03290900 09010302 .........)...... + 105a0 09000e01 030f0900 030103fd 7e09000a ............~... + 105b0 01030109 00080103 84010900 07010305 ................ + 105c0 09000701 03917f09 000a0103 0109000a ................ + 105d0 0103f000 09000901 03040900 02010307 ................ + 105e0 09000301 03030900 05010302 09000301 ................ + 105f0 037f0900 02010301 09000501 03010900 ................ + 10600 03010305 09000301 03000900 03010303 ................ + 10610 09001b01 03070900 0201037f 09000201 ................ + 10620 037f0900 0201037f 09000201 037f0900 ................ + 10630 0201037f 09000201 037d0900 02010302 .........}...... + 10640 09000201 03080900 0501037f 09000801 ................ + 10650 03020900 02010304 09000201 03030900 ................ + 10660 05010300 09000301 03020900 06010301 ................ + 10670 09001101 03020900 0c010304 09000901 ................ + 10680 03010900 11010302 09000b01 03030900 ................ + 10690 09010301 09000e01 03010900 0c010302 ................ + 106a0 09000901 03010900 0e010301 09000c01 ................ + 106b0 03010900 09010900 02000101 00001cc9 ................ + 106c0 00020000 0d640101 fb0e0a00 01010101 .....d.......... + 106d0 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp + 106e0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 106f0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 10700 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 10710 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 10720 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 10730 6d2f6869 662f7573 622f7372 63002f72 m/hif/usb/src./r + 10740 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 10750 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 10760 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 10770 2f686966 2f757362 2f737263 002f726f /hif/usb/src./ro + 10780 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10790 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 107a0 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 107b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 107c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 107d0 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + 107e0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 107f0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 10800 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 10810 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 10820 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 10830 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 10840 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10850 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10860 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10870 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o + 10880 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 10890 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 108a0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 108b0 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 108c0 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 108d0 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 108e0 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 108f0 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 10900 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 10910 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 10920 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 10930 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 10940 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 10950 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 10960 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 10970 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 10980 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 10990 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 109a0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 109b0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 109c0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 109d0 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 109e0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 109f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 10a00 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 10a10 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 10a20 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 10a30 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 10a40 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 10a50 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 10a60 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 10a70 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 10a80 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 10a90 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 10aa0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10ab0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10ac0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 10ad0 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 10ae0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10af0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 10b00 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 10b10 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 10b20 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10b30 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10b40 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10b50 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 10b60 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10b70 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10b80 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 10b90 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 10ba0 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 10bb0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 10bc0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 10bd0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 10be0 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 10bf0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 10c00 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 10c10 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 10c20 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 10c30 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 10c40 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 10c50 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 10c60 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 10c70 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 10c80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10c90 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10ca0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 10cb0 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 10cc0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 10cd0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 10ce0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 10cf0 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 10d00 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10d10 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10d20 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 10d30 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 10d40 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 10d50 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 10d60 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 10d70 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 10d80 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 10d90 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 10da0 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 10db0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 10dc0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 10dd0 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 10de0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 10df0 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 10e00 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 10e10 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 10e20 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 10e30 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 10e40 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 10e50 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 10e60 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10e70 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10e80 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 10e90 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 10ea0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 10eb0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 10ec0 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 10ed0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10ee0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10ef0 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 10f00 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 10f10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10f20 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10f30 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 10f40 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 10f50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10f60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10f70 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 10f80 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10f90 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 10fa0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 10fb0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10fc0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 10fd0 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 10fe0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10ff0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 11000 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 11010 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 11020 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 11030 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 11040 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 11050 6e630000 7573625f 6170692e 63000100 nc..usb_api.c... + 11060 00757362 5f646566 732e6800 02000075 .usb_defs.h....u + 11070 73625f74 61626c65 2e680002 00006474 sb_table.h....dt + 11080 5f646566 732e6800 03000072 65675f64 _defs.h....reg_d + 11090 6566732e 68000400 00757362 5f747970 efs.h....usb_typ + 110a0 652e6800 02000075 73625f70 72652e68 e.h....usb_pre.h + 110b0 00020000 7573625f 65787472 2e680002 ....usb_extr.h.. + 110c0 00007573 625f7374 642e6800 02000061 ..usb_std.h....a + 110d0 74686f73 5f617069 2e680005 00006f73 thos_api.h....os + 110e0 6170692e 68000300 004f5455 535f736f api.h....OTUS_so + 110f0 632e6800 06000063 6d6e6f73 5f617069 c.h....cmnos_api + 11100 2e680007 00007379 735f6366 672e6800 .h....sys_cfg.h. + 11110 05000072 6f6d5f63 66672e68 00040000 ...rom_cfg.h.... + 11120 6d616770 69655f6d 656d2e68 00050000 magpie_mem.h.... + 11130 636f7265 2e680008 00006861 6c2e6800 core.h....hal.h. + 11140 09000063 6f72652d 6973612e 68000a00 ...core-isa.h... + 11150 00636f72 652d6d61 746d6170 2e68000a .core-matmap.h.. + 11160 00007469 652e6800 0a000078 7472756e ..tie.h....xtrun + 11170 74696d65 2e680009 00007370 65637265 time.h....specre + 11180 672e6800 0a000063 6f726562 6974732e g.h....corebits. + 11190 68000900 00707269 6e74665f 6170692e h....printf_api. + 111a0 68000b00 00756172 745f6170 692e6800 h....uart_api.h. + 111b0 0c000064 62675f61 70692e68 000d0000 ...dbg_api.h.... + 111c0 6d656d5f 6170692e 68000e00 006d6973 mem_api.h....mis + 111d0 635f6170 692e6800 0f000073 7472696e c_api.h....strin + 111e0 675f6170 692e6800 10000074 696d6572 g_api.h....timer + 111f0 5f617069 2e680011 0000726f 6d705f61 _api.h....romp_a + 11200 70692e68 00120000 616c6c6f 6372616d pi.h....allocram + 11210 5f617069 2e680013 00007461 736b6c65 _api.h....taskle + 11220 745f6170 692e6800 14000063 6c6f636b t_api.h....clock + 11230 5f617069 2e680015 0000696e 74725f61 _api.h....intr_a + 11240 70692e68 00160000 7764745f 6170692e pi.h....wdt_api. + 11250 68001700 00656570 726f6d5f 6170692e h....eeprom_api. + 11260 68001800 00757362 5f617069 2e680019 h....usb_api.h.. + 11270 00006869 665f7063 692e6800 19000068 ..hif_pci.h....h + 11280 69665f61 70692e68 00190000 6164665f if_api.h....adf_ + 11290 6e627566 2e68001a 00006164 665f6f73 nbuf.h....adf_os + 112a0 5f757469 6c2e6800 1b000061 64665f6f _util.h....adf_o + 112b0 735f7574 696c5f70 76742e68 001c0000 s_util_pvt.h.... + 112c0 6164665f 6f735f74 79706573 2e68001b adf_os_types.h.. + 112d0 00006164 665f6f73 5f737464 74797065 ..adf_os_stdtype + 112e0 732e6800 1b000061 64665f6f 735f7479 s.h....adf_os_ty + 112f0 7065735f 7076742e 68001c00 00737464 pes_pvt.h....std + 11300 6465662e 68001d00 00766275 665f6170 def.h....vbuf_ap + 11310 692e6800 1e000076 64657363 5f617069 i.h....vdesc_api + 11320 2e68001f 00007374 64617267 2e680003 .h....stdarg.h.. + 11330 00007661 2d787465 6e73612e 68001d00 ..va-xtensa.h... + 11340 00616466 5f6f735f 646d612e 68001b00 .adf_os_dma.h... + 11350 00616466 5f6f735f 646d615f 7076742e .adf_os_dma_pvt. + 11360 68001c00 00616466 5f6e6574 5f747970 h....adf_net_typ + 11370 65732e68 00200000 6164665f 6e627566 es.h. ..adf_nbuf + 11380 5f707674 2e680021 0000646d 615f6c69 _pvt.h.!..dma_li + 11390 622e6800 19000068 69665f67 6d61632e b.h....hif_gmac. + 113a0 68001900 004d6167 7069655f 6170692e h....Magpie_api. + 113b0 68000500 00757362 6669666f 5f617069 h....usbfifo_api + 113c0 2e680019 00006874 635f6170 692e6800 .h....htc_api.h. + 113d0 22000068 74632e68 00230000 6275665f "..htc.h.#..buf_ + 113e0 706f6f6c 5f617069 2e680024 0000776d pool_api.h.$..wm + 113f0 695f7376 635f6170 692e6800 25000077 i_svc_api.h.%..w + 11400 6d692e68 00230000 61746864 6566732e mi.h.#..athdefs. + 11410 68002300 00646d61 5f656e67 696e655f h.#..dma_engine_ + 11420 6170692e 68002600 00000005 02008e38 api.h.&........8 + 11430 8403be02 01030009 00030103 0c090003 ................ + 11440 01037d09 00050103 03090002 01037d09 ..}...........}. + 11450 00030103 7b09000c 01030a09 00060103 ....{........... + 11460 01090004 01030b09 00020103 00090003 ................ + 11470 01030a09 00030103 7b090008 01030709 ........{....... + 11480 00060103 0409000c 01030409 00040103 ................ + 11490 04090003 01030209 00110103 0109001e ................ + 114a0 01030109 000f0103 01090005 01030209 ................ + 114b0 00070103 0109001e 01030109 000f0103 ................ + 114c0 01090005 01030b09 00050103 00090003 ................ + 114d0 01030309 00060103 7d090003 01030309 ........}....... + 114e0 00020103 05090009 01037b09 00020103 ..........{..... + 114f0 05090003 01030109 00060103 04090006 ................ + 11500 01030209 00060103 01090004 01030b09 ................ + 11510 00020103 00090003 01030109 00030103 ................ + 11520 03090008 01037e09 00060103 08090002 ......~......... + 11530 01037e09 00020103 7f090002 01030109 ..~............. + 11540 00050103 02090002 01031b09 00030103 ................ + 11550 00090003 0103f100 09000501 03987f09 ................ + 11560 00060103 e8000900 0501037b 09000601 ...........{.... + 11570 039d7f09 00150103 e7000900 0301039f ................ + 11580 7f090002 01030709 00020103 0209000f ................ + 11590 0103de00 09000401 037d0900 02010301 .........}...... + 115a0 09000301 039b7f09 00030103 18090005 ................ + 115b0 01037f09 00020103 0109000c 01030109 ................ + 115c0 00020103 07090005 01030109 00080103 ................ + 115d0 07090006 01030b09 00060103 0809000c ................ + 115e0 01030209 00080103 0309000a 01030109 ................ + 115f0 000b0103 05090005 01030109 000b0103 ................ + 11600 04090006 01030909 00060103 05090008 ................ + 11610 01031a09 00050103 00090003 01030409 ................ + 11620 00030103 01090009 01030809 00020103 ................ + 11630 7d090002 01030209 00060103 01090004 }............... + 11640 01030d09 00030103 00090003 0103d400 ................ + 11650 09000301 03780900 18010301 09000201 .....x.......... + 11660 03090900 06010304 09000301 037c0900 .............|.. + 11670 03010304 09000601 03010900 03010346 ...............F + 11680 09000401 03320900 05010308 09000201 .....2.......... + 11690 03b57f09 00020103 01090005 01032d09 ..............-. + 116a0 00060103 7b090010 01030609 00050103 ....{........... + 116b0 05090009 01030109 00020103 56090006 ............V... + 116c0 01030109 00040103 0e090006 01030509 ................ + 116d0 00060103 05090006 01033309 00070103 ..........3..... + 116e0 00090003 01030609 00080103 01090006 ................ + 116f0 01030409 00090103 02090008 01030209 ................ + 11700 00020103 0f090004 01030009 00030103 ................ + 11710 05090006 01030509 00030103 7d090007 ............}... + 11720 01030309 00050103 04090012 01030209 ................ + 11730 00090103 01090003 01032609 00020103 ..........&..... + 11740 67090002 01030309 00030103 01090003 g............... + 11750 01030409 00030103 06090011 01030309 ................ + 11760 00120103 02090003 01030109 000f0103 ................ + 11770 0409000f 01030109 00040103 5c090002 ............\... + 11780 01030109 00030103 04090003 01032b09 ..............+. + 11790 00150103 00090003 01030309 00030103 ................ + 117a0 17090009 01036a09 00030103 7f090002 ......j......... + 117b0 01031709 00030103 73090003 01031a09 ........s....... + 117c0 00090103 77090002 01030109 00080103 ....w........... + 117d0 04090009 01030209 00080103 01090003 ................ + 117e0 01030109 00020103 0e090005 01030009 ................ + 117f0 00030103 05090003 01032a09 00090103 ..........*..... + 11800 7e090002 01035809 00030103 09090005 ~.....X......... + 11810 01030209 00080103 7e090005 01030209 ........~....... + 11820 00030103 01090003 01030109 00060103 ................ + 11830 02090009 01030309 00030103 18090012 ................ + 11840 01036d09 00020103 03090003 01030309 ..m............. + 11850 00110103 01090003 01030209 00040103 ................ + 11860 0109000f 01030909 000f0103 0b090005 ................ + 11870 01030009 00030103 05090006 01037b09 ..............{. + 11880 00050103 0a090002 01037b09 00020103 ..........{..... + 11890 05090008 01037b09 00030103 0b090003 ......{......... + 118a0 01037a09 00030103 06090003 01037a09 ..z...........z. + 118b0 00020103 7b090006 01031309 00060103 ....{........... + 118c0 7f090003 01030109 00070103 05090004 ................ + 118d0 01037309 000b0103 13090002 01036d09 ..s...........m. + 118e0 00030103 13090002 01030709 00030103 ................ + 118f0 01090002 01037f09 00030103 01090003 ................ + 11900 01030409 00040103 01090008 01030209 ................ + 11910 000e0103 02090003 01030109 00060103 ................ + 11920 0209000e 01030209 00030103 01090006 ................ + 11930 01030409 000b0103 0709000a 01037f09 ................ + 11940 00020103 03090004 01030a09 00040103 ................ + 11950 00090003 01030309 00030103 7d090002 ............}... + 11960 01030309 00020103 05090003 01030509 ................ + 11970 00020103 76090002 01030509 000b0103 ....v........... + 11980 0709000c 01030409 00100103 0c090006 ................ + 11990 01037909 00020103 01090003 01030109 ..y............. + 119a0 00030103 01090003 01037f09 00060103 ................ + 119b0 7f090003 01030409 00030103 02090002 ................ + 119c0 01037c09 00030103 02090002 01037209 ..|...........r. + 119d0 00050103 1209000a 01030709 00070103 ................ + 119e0 7f090002 01030109 00040103 02090002 ................ + 119f0 01030a09 00020103 00090003 01030709 ................ + 11a00 00090103 0209000e 01030209 000c0103 ................ + 11a10 04090003 01037f09 00030103 7f090003 ................ + 11a20 01030109 00060103 7e090003 01030309 ........~....... + 11a30 00060103 10090003 01037809 00050103 ..........x..... + 11a40 7f090003 01037f09 00090103 7f090003 ................ + 11a50 01031609 00070103 00090003 01038901 ................ + 11a60 09000301 03010900 240103fa 7e090002 ........$...~... + 11a70 01038301 09000c01 03010900 060103fc ................ + 11a80 7e090002 01030309 00020103 7d090008 ~...........}... + 11a90 01030609 00020103 7a090008 01030909 ........z....... + 11aa0 00020103 77090008 01030c09 00020103 ....w........... + 11ab0 74090008 01031509 00020103 6b090008 t...........k... + 11ac0 0103fc00 09000201 03847f09 00080103 ................ + 11ad0 1d090002 01030509 00060103 01090011 ................ + 11ae0 01030309 00060103 04090006 01030709 ................ + 11af0 00050103 07090011 01030109 00110103 ................ + 11b00 0309000f 01030409 00120103 40090012 ............@... + 11b10 01038b01 09000501 03000900 03010309 ................ + 11b20 09000c01 033a0900 03010346 09000501 .....:.....F.... + 11b30 033a0900 03010354 09000801 03010900 .:.....T........ + 11b40 0601037f 09000301 03010900 03010302 ................ + 11b50 09000501 03020900 11010301 09000b01 ................ + 11b60 03020900 07010302 09000f01 03010900 ................ + 11b70 08010301 09000601 03010900 06010320 ............... + 11b80 09000601 034c0900 0501037f 09000301 .....L.......... + 11b90 037f0900 03010301 09000601 03010900 ................ + 11ba0 05010302 09000301 03320900 04010366 .........2.....f + 11bb0 09000201 03010900 02010301 09000601 ................ + 11bc0 03010900 06010301 09000601 03020900 ................ + 11bd0 06010302 09000c01 03010900 0f010308 ................ + 11be0 09001701 03090900 0601030f 09000401 ................ + 11bf0 03000900 03010308 09000301 03060900 ................ + 11c00 0e01037c 09000301 03040900 05010302 ...|............ + 11c10 09000601 03020900 0f010305 09000601 ................ + 11c20 037b0900 05010302 09000301 03030900 .{.............. + 11c30 03010302 09000601 03010900 0601037f ................ + 11c40 09000201 03010900 06010304 09000301 ................ + 11c50 03030900 0301037d 09000401 03050900 .......}........ + 11c60 09010301 09000c01 03150900 08010303 ................ + 11c70 09000201 03020900 0a010301 09000c01 ................ + 11c80 03040900 05010303 09000301 037d0900 .............}.. + 11c90 06010305 09000901 03010900 0c010314 ................ + 11ca0 09000501 03030900 06010306 09000b01 ................ + 11cb0 03020900 0b010303 09000501 037f0900 ................ + 11cc0 03010302 09000301 037e0900 06010301 .........~...... + 11cd0 09000301 037f0900 06010304 09000301 ................ + 11ce0 03010900 0b010303 09001801 03020900 ................ + 11cf0 0b010301 09001801 03030900 18010303 ................ + 11d00 09000301 03110900 0d010374 09000201 ...........t.... + 11d10 03030900 03010309 09000601 03070900 ................ + 11d20 09010300 09000301 03020900 0201037e ...............~ + 11d30 09000601 03020900 03010304 09000f01 ................ + 11d40 03010900 06010301 09000601 03030900 ................ + 11d50 06010301 09000601 03070900 06010301 ................ + 11d60 09000801 03030900 06010301 09000801 ................ + 11d70 03030900 08010303 09000601 03030900 ................ + 11d80 06010302 09000801 03010900 06010301 ................ + 11d90 09000601 03040900 06010304 09000501 ................ + 11da0 03000900 03010301 09000301 03000900 ................ + 11db0 03010301 09000f01 03030900 04010300 ................ + 11dc0 09000301 03010900 03010300 09000201 ................ + 11dd0 03010900 02010301 09000401 03010900 ................ + 11de0 04010301 09000401 03030900 02010300 ................ + 11df0 09000301 030a0900 03010302 09000801 ................ + 11e00 03010900 03010305 09000701 03040900 ................ + 11e10 06010301 09000801 03020900 08010304 ................ + 11e20 09000701 03010900 06010301 09000501 ................ + 11e30 037c0900 02010312 09000201 036e0900 .|...........n.. + 11e40 0201030e 09000201 037e0900 0301037f .........~...... + 11e50 09000301 03020900 02010302 09000301 ................ + 11e60 03010900 02010302 09000301 03110900 ................ + 11e70 03010378 09000501 03080900 06010301 ...x............ + 11e80 09000201 03000900 0301030b 09000301 ................ + 11e90 03010900 05010304 09000301 03010900 ................ + 11ea0 0201037f 09000301 030d0900 08010373 ...............s + 11eb0 09000301 03100900 06010301 09000b01 ................ + 11ec0 037c0900 02010309 09000201 030d0900 .|.............. + 11ed0 05010378 09000901 03060900 0b010304 ...x............ + 11ee0 09000801 03040900 0b010302 09000b01 ................ + 11ef0 03040900 1101030f 09000501 03490900 .............I.. + 11f00 05010337 09001201 03600900 02010321 ...7.....`.....! + 11f10 09000b01 03000900 03010301 09000301 ................ + 11f20 03020900 0901030a 09000501 03000900 ................ + 11f30 03010301 09000301 030b0900 0c010302 ................ + 11f40 09000e01 037e0900 06010302 09000301 .....~.......... + 11f50 03020900 06010303 09000f01 03030900 ................ + 11f60 12010303 09000f01 03040900 11010304 ................ + 11f70 09000401 03000900 03010303 09000301 ................ + 11f80 03000900 09010303 09000f01 03030900 ................ + 11f90 0b010302 09000601 03010900 11010303 ................ + 11fa0 09000401 03040900 03010301 09000301 ................ + 11fb0 03030900 02010300 09000301 03050900 ................ + 11fc0 06010302 09000601 03020900 03010301 ................ + 11fd0 09000601 03030900 0e010302 09000301 ................ + 11fe0 03030900 06010302 09000301 03030900 ................ + 11ff0 0f010303 09000301 03020900 06010303 ................ + 12000 09000301 03020900 06010303 09000301 ................ + 12010 03030900 06010302 09000501 03030900 ................ + 12020 0b010303 09000301 03020900 08010303 ................ + 12030 09000201 03030900 03010304 09000601 ................ + 12040 037e0900 03010302 09000301 03020900 .~.............. + 12050 12010302 09000301 03020900 12010302 ................ + 12060 09000301 03040900 12010304 09000401 ................ + 12070 03000900 03010305 09000601 03080900 ................ + 12080 06010302 09000301 03010900 06010301 ................ + 12090 09000301 03030900 06010303 09000301 ................ + 120a0 03010900 06010301 09000301 03030900 ................ + 120b0 0b010303 09000301 03030900 06010303 ................ + 120c0 09000301 03010900 0f010303 09000901 ................ + 120d0 03030900 03010302 09000601 03030900 ................ + 120e0 03010302 09000601 03030900 03010304 ................ + 120f0 09000601 03020900 03010303 09000a01 ................ + 12100 03020900 03010303 09000801 03030900 ................ + 12110 05010303 09000b01 03030900 03010302 ................ + 12120 09000801 03030900 02010303 09000601 ................ + 12130 030f0900 06010302 09000301 03020900 ................ + 12140 1201037e 09000601 03020900 03010303 ...~............ + 12150 09000301 03080900 03010301 09001201 ................ + 12160 03040900 0f010306 09000301 037a0900 .............z.. + 12170 03010306 09000301 03030900 03010305 ................ + 12180 09000601 03040900 06010302 09000301 ................ + 12190 03010900 12010304 09000901 038b0109 ................ + 121a0 00050103 03090003 01037d09 00050103 ..........}..... + 121b0 03090006 01030209 000f0103 7e090003 ............~... + 121c0 01030209 00030103 04090008 01030909 ................ + 121d0 00030103 0109000f 01030209 00190103 ................ + 121e0 02090003 01030409 00210103 0a090074 .........!.....t + 121f0 01030309 00140103 04090002 01030009 ................ + 12200 00030103 05090003 01030809 00080103 ................ + 12210 78090005 01030809 00080103 1109000f x............... + 12220 01036f09 00030103 05090003 01030109 ..o............. + 12230 00030103 04090003 01030709 00030103 ................ + 12240 1b090003 01030709 000c0103 06090009 ................ + 12250 01030109 00110103 7f090006 01030109 ................ + 12260 00030103 0c090006 01030609 00030103 ................ + 12270 01090011 01037f09 00030103 01090003 ................ + 12280 01030209 00030103 02090014 01030709 ................ + 12290 00060103 03090009 01030209 00120103 ................ + 122a0 7c090002 01030409 00120103 06090005 |............... + 122b0 01030009 00030103 03090006 01037f09 ................ + 122c0 00020103 02090002 01030409 00030103 ................ + 122d0 00090003 01030b09 001b0103 7f090002 ................ + 122e0 01037e09 00020103 7f090003 01037f09 ..~............. + 122f0 00030103 7e090002 01037f09 00020103 ....~........... + 12300 7e090002 01030109 00020103 16090005 ~............... + 12310 01037e09 001b0103 7f090003 01037f09 ..~............. + 12320 00020103 7f090002 01037e09 00020103 ..........~..... + 12330 7f090002 01037d09 00020103 01090002 ......}......... + 12340 01031609 00050103 7f09001a 01037e09 ..............~. + 12350 00020103 7f090003 01037e09 00030103 ..........~..... + 12360 7f090003 01037e09 00030103 7e090003 ......~.....~... + 12370 01030109 00030103 0c090006 01030109 ................ + 12380 00030109 00020001 01000001 b8000200 ................ + 12390 0001b201 01fb0e0a 00010101 01000000 ................ + 123a0 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 123b0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 123c0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 123d0 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ + 123e0 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ + 123f0 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 12400 69662f75 73622f73 7263002f 726f6f74 if/usb/src./root + 12410 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 12420 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 12430 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi + 12440 662f7573 622f7372 63002f72 6f6f742f f/usb/src./root/ + 12450 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12460 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 12470 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12480 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12490 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 124a0 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work + 124b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 124c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 124d0 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + 124e0 00757362 5f746162 6c652e63 00010000 .usb_table.c.... + 124f0 7573625f 74797065 2e680002 00006474 usb_type.h....dt + 12500 5f646566 732e6800 03000075 73625f74 _defs.h....usb_t + 12510 61626c65 2e680002 00007379 735f6366 able.h....sys_cf + 12520 672e6800 04000072 6f6d5f63 66672e68 g.h....rom_cfg.h + 12530 00050000 6d616770 69655f6d 656d2e68 ....magpie_mem.h + 12540 00040000 00000010 25000200 000d6501 ........%.....e. + 12550 01fb0e0a 00010101 01000000 012f726f ............./ro + 12560 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12570 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12580 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 12590 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 125a0 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 125b0 69655f31 5f312f72 6f6d2f68 69662f75 ie_1_1/rom/hif/u + 125c0 73622f73 7263002f 726f6f74 2f576f72 sb/src./root/Wor + 125d0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 125e0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 125f0 655f315f 312f726f 6d2f6869 662f7573 e_1_1/rom/hif/us + 12600 622f7372 63002f72 6f6f742f 576f726b b/src./root/Work + 12610 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12620 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ + 12630 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12640 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 12650 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 12660 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W + 12670 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 12680 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 12690 7069655f 315f312f 696e6300 2f726f6f pie_1_1/inc./roo + 126a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 126b0 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 126c0 2f696e63 2f4f5455 53002f72 6f6f742f /inc/OTUS./root/ + 126d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 126e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 126f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 12700 6f732f69 6e63002f 6f70742f 7874656e os/inc./opt/xten + 12710 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 12720 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 12730 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 12740 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 12750 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 12760 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 12770 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 12780 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 12790 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 127a0 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 127b0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 127c0 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 127d0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 127e0 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 127f0 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 12800 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 12810 7263682f 696e636c 7564652f 7874656e rch/include/xten + 12820 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 12830 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12840 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 12850 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 12860 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 12870 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 12880 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 12890 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 128a0 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 128b0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 128c0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 128d0 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 128e0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 128f0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12900 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12910 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 12920 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 12930 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12940 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12950 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 12960 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 12970 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12980 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 12990 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 129a0 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 129b0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 129c0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 129d0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 129e0 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 129f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12a00 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12a10 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 12a20 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + 12a30 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12a40 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12a50 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12a60 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + 12a70 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + 12a80 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 12a90 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 12aa0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 12ab0 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + 12ac0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 12ad0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 12ae0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 12af0 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + 12b00 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 12b10 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 12b20 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 12b30 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + 12b40 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12b50 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12b60 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12b70 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + 12b80 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 12b90 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12ba0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12bb0 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + 12bc0 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + 12bd0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 12be0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 12bf0 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + 12c00 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12c10 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12c20 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + 12c30 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + 12c40 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 12c50 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + 12c60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12c70 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 12c80 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + 12c90 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 12ca0 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 12cb0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 12cc0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 12cd0 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + 12ce0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 12cf0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12d00 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12d10 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 12d20 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 12d30 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 12d40 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 12d50 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 12d60 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12d70 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 12d80 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root + 12d90 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 12da0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 12db0 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad + 12dc0 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root + 12dd0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 12de0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 12df0 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 12e00 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 12e10 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12e20 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + 12e30 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12e40 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12e50 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 12e60 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + 12e70 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12e80 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 12e90 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + 12ea0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12eb0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 12ec0 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 12ed0 5f656e67 696e652f 696e6300 00757362 _engine/inc..usb + 12ee0 5f666966 6f2e6300 01000075 73625f64 _fifo.c....usb_d + 12ef0 6566732e 68000200 00757362 5f746162 efs.h....usb_tab + 12f00 6c652e68 00020000 64745f64 6566732e le.h....dt_defs. + 12f10 68000300 00726567 5f646566 732e6800 h....reg_defs.h. + 12f20 04000075 73625f74 7970652e 68000200 ...usb_type.h... + 12f30 00757362 5f707265 2e680002 00007573 .usb_pre.h....us + 12f40 625f6578 74722e68 00020000 7573625f b_extr.h....usb_ + 12f50 7374642e 68000200 00737973 5f636667 std.h....sys_cfg + 12f60 2e680005 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. + 12f70 0400006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. + 12f80 05000061 74686f73 5f617069 2e680005 ...athos_api.h.. + 12f90 00006f73 6170692e 68000300 004f5455 ..osapi.h....OTU + 12fa0 535f736f 632e6800 06000063 6d6e6f73 S_soc.h....cmnos + 12fb0 5f617069 2e680007 0000636f 72652e68 _api.h....core.h + 12fc0 00080000 68616c2e 68000900 00636f72 ....hal.h....cor + 12fd0 652d6973 612e6800 0a000063 6f72652d e-isa.h....core- + 12fe0 6d61746d 61702e68 000a0000 7469652e matmap.h....tie. + 12ff0 68000a00 00787472 756e7469 6d652e68 h....xtruntime.h + 13000 00090000 73706563 7265672e 68000a00 ....specreg.h... + 13010 00636f72 65626974 732e6800 09000070 .corebits.h....p + 13020 72696e74 665f6170 692e6800 0b000075 rintf_api.h....u + 13030 6172745f 6170692e 68000c00 00646267 art_api.h....dbg + 13040 5f617069 2e68000d 00006d65 6d5f6170 _api.h....mem_ap + 13050 692e6800 0e00006d 6973635f 6170692e i.h....misc_api. + 13060 68000f00 00737472 696e675f 6170692e h....string_api. + 13070 68001000 0074696d 65725f61 70692e68 h....timer_api.h + 13080 00110000 726f6d70 5f617069 2e680012 ....romp_api.h.. + 13090 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 130a0 00130000 7461736b 6c65745f 6170692e ....tasklet_api. + 130b0 68001400 00636c6f 636b5f61 70692e68 h....clock_api.h + 130c0 00150000 696e7472 5f617069 2e680016 ....intr_api.h.. + 130d0 00007764 745f6170 692e6800 17000065 ..wdt_api.h....e + 130e0 6570726f 6d5f6170 692e6800 18000075 eprom_api.h....u + 130f0 73625f61 70692e68 00190000 6869665f sb_api.h....hif_ + 13100 7063692e 68001900 00686966 5f617069 pci.h....hif_api + 13110 2e680019 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 13120 001a0000 6164665f 6f735f75 74696c2e ....adf_os_util. + 13130 68001b00 00616466 5f6f735f 7574696c h....adf_os_util + 13140 5f707674 2e68001c 00006164 665f6f73 _pvt.h....adf_os + 13150 5f747970 65732e68 001b0000 6164665f _types.h....adf_ + 13160 6f735f73 74647479 7065732e 68001b00 os_stdtypes.h... + 13170 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 13180 742e6800 1c000073 74646465 662e6800 t.h....stddef.h. + 13190 1d000076 6275665f 6170692e 68001e00 ...vbuf_api.h... + 131a0 00766465 73635f61 70692e68 001f0000 .vdesc_api.h.... + 131b0 73746461 72672e68 00030000 76612d78 stdarg.h....va-x + 131c0 74656e73 612e6800 1d000061 64665f6f tensa.h....adf_o + 131d0 735f646d 612e6800 1b000061 64665f6f s_dma.h....adf_o + 131e0 735f646d 615f7076 742e6800 1c000061 s_dma_pvt.h....a + 131f0 64665f6e 65745f74 79706573 2e680020 df_net_types.h. + 13200 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 13210 00210000 646d615f 6c69622e 68001900 .!..dma_lib.h... + 13220 00686966 5f676d61 632e6800 1900004d .hif_gmac.h....M + 13230 61677069 655f6170 692e6800 05000075 agpie_api.h....u + 13240 73626669 666f5f61 70692e68 00190000 sbfifo_api.h.... + 13250 6874635f 6170692e 68002200 00687463 htc_api.h."..htc + 13260 2e680023 00006275 665f706f 6f6c5f61 .h.#..buf_pool_a + 13270 70692e68 00240000 776d695f 7376635f pi.h.$..wmi_svc_ + 13280 6170692e 68002500 00776d69 2e680023 api.h.%..wmi.h.# + 13290 00006174 68646566 732e6800 23000064 ..athdefs.h.#..d + 132a0 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 132b0 26000000 00050200 8e48f803 13010306 &........H...... + 132c0 09000301 03000900 11010307 09000601 ................ + 132d0 03030900 02010309 09000301 03000900 ................ + 132e0 13010306 09000601 03030900 04010306 ................ + 132f0 09000301 03000900 13010306 09000601 ................ + 13300 03030900 04010306 09000301 03000900 ................ + 13310 19010304 09000901 03030900 03010306 ................ + 13320 09000301 03000900 19010303 09000601 ................ + 13330 03030900 0201030c 09000301 03000900 ................ + 13340 14010301 09001201 03040900 1f010320 ............... + 13350 09000401 03000900 0301039f 01090006 ................ + 13360 01037b09 00030103 7b09000e 0103807f ..{.....{....... + 13370 09000601 03010900 07010302 09000701 ................ + 13380 03020900 07010306 09000801 03030900 ................ + 13390 07010301 09000a01 03010900 0a010305 ................ + 133a0 09000a01 03010900 08010302 09000701 ................ + 133b0 03020900 07010306 09000801 03030900 ................ + 133c0 07010301 09000a01 03010900 0a010305 ................ + 133d0 09000a01 03010900 08010301 09000701 ................ + 133e0 03090900 08010301 09000901 03010900 ................ + 133f0 09010305 09000901 03010900 08010301 ................ + 13400 09000701 03090900 08010301 09000901 ................ + 13410 03010900 09010307 09000901 03010900 ................ + 13420 07010302 09000701 03020900 07010306 ................ + 13430 09000801 03030900 07010301 09000a01 ................ + 13440 03010900 0a010308 09000a01 03010900 ................ + 13450 08010302 09000701 03020900 07010306 ................ + 13460 09000801 03030900 07010301 09000a01 ................ + 13470 03010900 0a010315 09000a01 03030900 ................ + 13480 02010300 09000301 03fb0009 00060103 ................ + 13490 7b090003 01037b09 000e0103 a47f0900 {.....{......... + 134a0 06010301 09000701 03010900 07010306 ................ + 134b0 09000801 03030900 07010301 09000901 ................ + 134c0 03020900 09010305 09000901 03010900 ................ + 134d0 08010301 09000701 03060900 08010303 ................ + 134e0 09000701 03010900 09010301 09000901 ................ + 134f0 03050900 09010301 09000801 03010900 ................ + 13500 07010309 09000801 03010900 09010301 ................ + 13510 09000901 03050900 09010301 09000801 ................ + 13520 03010900 07010309 09000801 03010900 ................ + 13530 09010301 09000901 03050900 09010301 ................ + 13540 09000701 03010900 07010306 09000801 ................ + 13550 03030900 07010301 09000901 03010900 ................ + 13560 09010312 09000901 09000200 01010000 ................ + 13570 0f330002 00000cc4 0101fb0e 0a000101 .3.............. + 13580 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 13590 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 135a0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 135b0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 135c0 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 135d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 135e0 726f6d2f 6869662f 646d615f 6c69622f rom/hif/dma_lib/ + 135f0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 13600 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 13610 61726765 742f696e 63002f72 6f6f742f arget/inc./root/ + 13620 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13630 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 13640 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo + 13650 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13660 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 13670 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e + 13680 6e67696e 652f696e 63002f72 6f6f742f ngine/inc./root/ + 13690 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 136a0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 136b0 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + 136c0 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 136d0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 136e0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 136f0 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i + 13700 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13710 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13720 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13730 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work + 13740 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 13750 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 13760 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 13770 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13780 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13790 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 137a0 312f696e 632f6d61 67706965 002f6f70 1/inc/magpie./op + 137b0 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 137c0 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 137d0 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 137e0 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 137f0 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 13800 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 13810 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 13820 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 13830 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 13840 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 13850 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 13860 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x + 13870 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 13880 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + 13890 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 138a0 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + 138b0 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + 138c0 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 138d0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 138e0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 138f0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 13900 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ + 13910 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 13920 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 13930 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 13940 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar + 13950 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 13960 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 13970 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos + 13980 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W + 13990 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 139a0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 139b0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 139c0 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ + 139d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 139e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 139f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 13a00 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo + 13a10 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 13a20 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 13a30 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 13a40 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. + 13a50 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 13a60 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 13a70 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 13a80 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i + 13a90 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13aa0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13ab0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13ac0 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp + 13ad0 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W + 13ae0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 13af0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 13b00 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 13b10 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ + 13b20 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 13b30 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 13b40 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 13b50 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ + 13b60 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 13b70 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 13b80 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 13b90 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo + 13ba0 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor + 13bb0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 13bc0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 13bd0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 13be0 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W + 13bf0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 13c00 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 13c10 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 13c20 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ + 13c30 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13c40 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 13c50 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 13c60 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r + 13c70 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 13c80 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 13c90 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 13ca0 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W + 13cb0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 13cc0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 13cd0 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo + 13ce0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13cf0 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 13d00 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works + 13d10 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 13d20 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os + 13d30 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + 13d40 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 13d50 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 13d60 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 13d70 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li + 13d80 622f696e 636c7564 65002f72 6f6f742f b/include./root/ + 13d90 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13da0 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 13db0 7564652f 6e657400 2f726f6f 742f576f ude/net./root/Wo + 13dc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13dd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 13de0 69655f31 5f312f72 6f6d2f61 64662f6e ie_1_1/rom/adf/n + 13df0 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo + 13e00 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13e10 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 13e20 69655f31 5f312f72 6f6d2f68 74632f69 ie_1_1/rom/htc/i + 13e30 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13e40 63652f30 3431325f 76323032 2f776c61 ce/0412_v202/wla + 13e50 6e2f696e 636c7564 65002f72 6f6f742f n/include./root/ + 13e60 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13e70 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 13e80 67706965 5f315f31 2f726f6d 2f627566 gpie_1_1/rom/buf + 13e90 5f706f6f 6c2f696e 63002f72 6f6f742f _pool/inc./root/ + 13ea0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13eb0 3230322f 7467742f 74617267 65742f77 202/tgt/target/w + 13ec0 6d692f69 6e630000 646d615f 6c69622e mi/inc..dma_lib. + 13ed0 63000100 0064745f 64656673 2e680002 c....dt_defs.h.. + 13ee0 00006f73 6170692e 68000200 004f5455 ..osapi.h....OTU + 13ef0 535f736f 632e6800 03000064 6d615f65 S_soc.h....dma_e + 13f00 6e67696e 655f6170 692e6800 04000076 ngine_api.h....v + 13f10 6275665f 6170692e 68000500 00766465 buf_api.h....vde + 13f20 73635f61 70692e68 00060000 4d616770 sc_api.h....Magp + 13f30 69655f61 70692e68 00070000 636d6e6f ie_api.h....cmno + 13f40 735f6170 692e6800 08000073 79735f63 s_api.h....sys_c + 13f50 66672e68 00070000 726f6d5f 6366672e fg.h....rom_cfg. + 13f60 68000900 006d6167 7069655f 6d656d2e h....magpie_mem. + 13f70 68000700 00636f72 652e6800 0a000068 h....core.h....h + 13f80 616c2e68 000b0000 636f7265 2d697361 al.h....core-isa + 13f90 2e68000c 0000636f 72652d6d 61746d61 .h....core-matma + 13fa0 702e6800 0c000074 69652e68 000c0000 p.h....tie.h.... + 13fb0 78747275 6e74696d 652e6800 0b000073 xtruntime.h....s + 13fc0 70656372 65672e68 000c0000 636f7265 pecreg.h....core + 13fd0 62697473 2e68000b 00007072 696e7466 bits.h....printf + 13fe0 5f617069 2e68000d 00007561 72745f61 _api.h....uart_a + 13ff0 70692e68 000e0000 7265675f 64656673 pi.h....reg_defs + 14000 2e680009 00006462 675f6170 692e6800 .h....dbg_api.h. + 14010 0f00006d 656d5f61 70692e68 00100000 ...mem_api.h.... + 14020 6d697363 5f617069 2e680011 00007374 misc_api.h....st + 14030 72696e67 5f617069 2e680012 00007469 ring_api.h....ti + 14040 6d65725f 6170692e 68001300 00726f6d mer_api.h....rom + 14050 705f6170 692e6800 14000061 6c6c6f63 p_api.h....alloc + 14060 72616d5f 6170692e 68001500 00746173 ram_api.h....tas + 14070 6b6c6574 5f617069 2e680016 0000636c klet_api.h....cl + 14080 6f636b5f 6170692e 68001700 00696e74 ock_api.h....int + 14090 725f6170 692e6800 18000077 64745f61 r_api.h....wdt_a + 140a0 70692e68 00190000 65657072 6f6d5f61 pi.h....eeprom_a + 140b0 70692e68 001a0000 7573625f 6170692e pi.h....usb_api. + 140c0 68001b00 00686966 5f706369 2e68001b h....hif_pci.h.. + 140d0 00006869 665f6170 692e6800 1b000061 ..hif_api.h....a + 140e0 64665f6e 6275662e 68001c00 00616466 df_nbuf.h....adf + 140f0 5f6f735f 7574696c 2e68001d 00006164 _os_util.h....ad + 14100 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. + 14110 1e000061 64665f6f 735f7479 7065732e ...adf_os_types. + 14120 68001d00 00616466 5f6f735f 73746474 h....adf_os_stdt + 14130 79706573 2e68001d 00006164 665f6f73 ypes.h....adf_os + 14140 5f747970 65735f70 76742e68 001e0000 _types_pvt.h.... + 14150 73746464 65662e68 001f0000 73746461 stddef.h....stda + 14160 72672e68 00020000 76612d78 74656e73 rg.h....va-xtens + 14170 612e6800 1f000061 64665f6f 735f646d a.h....adf_os_dm + 14180 612e6800 1d000061 64665f6f 735f646d a.h....adf_os_dm + 14190 615f7076 742e6800 1e000061 64665f6e a_pvt.h....adf_n + 141a0 65745f74 79706573 2e680020 00006164 et_types.h. ..ad + 141b0 665f6e62 75665f70 76742e68 00210000 f_nbuf_pvt.h.!.. + 141c0 646d615f 6c69622e 68001b00 00686966 dma_lib.h....hif + 141d0 5f676d61 632e6800 1b000075 73626669 _gmac.h....usbfi + 141e0 666f5f61 70692e68 001b0000 6874635f fo_api.h....htc_ + 141f0 6170692e 68002200 00687463 2e680023 api.h."..htc.h.# + 14200 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h + 14210 00240000 776d695f 7376635f 6170692e .$..wmi_svc_api. + 14220 68002500 00776d69 2e680023 00006174 h.%..wmi.h.#..at + 14230 68646566 732e6800 23000000 00050200 hdefs.h.#....... + 14240 8e4cac03 a5010103 01090003 01030a09 .L.............. + 14250 00090103 01090003 01030109 00050103 ................ + 14260 09090004 01030009 00030103 0d090008 ................ + 14270 01030109 00060103 7b090005 01030509 ........{....... + 14280 00080103 0b090002 01030409 00030103 ................ + 14290 01090006 01030e09 00020103 74090008 ............t... + 142a0 01030209 000e0103 02090007 01030409 ................ + 142b0 00040103 7c090002 01030409 00020103 ....|........... + 142c0 01090005 01030109 00070103 02090008 ................ + 142d0 01030609 00040103 00090003 01030809 ................ + 142e0 000a0103 0509000e 01037e09 00040103 ..........~..... + 142f0 08090004 01037809 00050103 08090002 ......x......... + 14300 01037a09 00020103 06090006 01030109 ..z............. + 14310 00030103 01090007 01030109 00080103 ................ + 14320 01090007 01030b09 00050103 01090003 ................ + 14330 01030109 00030103 0a090002 01037c09 ..............|. + 14340 00080103 0209000e 01030209 00070103 ................ + 14350 0b090007 01030009 00030103 03090008 ................ + 14360 01030209 000a0103 7e090005 01030209 ........~....... + 14370 00020103 04090003 01030109 00070103 ................ + 14380 0a090002 01030009 00030103 01090006 ................ + 14390 01030a09 00130103 00090003 01030d09 ................ + 143a0 00030103 77090002 01030209 000a0103 ....w........... + 143b0 01090002 01037d09 00020103 09090002 ......}......... + 143c0 01030109 00050103 05090007 01030109 ................ + 143d0 00050103 7f09000b 01030409 00030103 ................ + 143e0 0b090005 01030109 00030103 01090006 ................ + 143f0 01030209 00020103 0b09001d 01030009 ................ + 14400 00030103 01090006 01030b09 00130103 ................ + 14410 00090003 01030309 00080103 0209000a ................ + 14420 01037e09 00050103 02090002 01030209 ..~............. + 14430 00030103 01090007 01030a09 00020103 ................ + 14440 01090003 01030109 00030103 02090002 ................ + 14450 01030509 001c0103 00090003 01030909 ................ + 14460 001b0103 7f090002 01037f09 00020103 ................ + 14470 7f090002 01037f09 00020103 7f090002 ................ + 14480 01037f09 00020103 7e090002 01030109 ........~....... + 14490 00020103 08090005 01030109 00020109 ................ + 144a0 00020001 0100000e 09000200 000d0301 ................ + 144b0 01fb0e0a 00010101 01000000 012f726f ............./ro + 144c0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 144d0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 144e0 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 144f0 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 14500 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 14510 69655f31 5f312f72 6f6d2f76 6275662f ie_1_1/rom/vbuf/ + 14520 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 14530 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14540 742f7461 72676574 2f696e63 002f726f t/target/inc./ro + 14550 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14560 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 14570 742f696e 632f4f54 5553002f 726f6f74 t/inc/OTUS./root + 14580 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14590 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 145a0 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 145b0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 145c0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 145d0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 145e0 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 145f0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14600 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14610 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14620 5f312f69 6e63002f 726f6f74 2f576f72 _1/inc./root/Wor + 14630 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 14640 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 14650 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 14660 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14670 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14680 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14690 5f312f69 6e632f6d 61677069 65002f6f _1/inc/magpie./o + 146a0 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 146b0 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 146c0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 146d0 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 146e0 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 146f0 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 14700 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 14710 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 14720 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 14730 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 14740 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 14750 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 14760 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 14770 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 14780 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 14790 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 147a0 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 147b0 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 147c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 147d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 147e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 147f0 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 14800 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 14810 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 14820 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 14830 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 14840 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 14850 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 14860 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 14870 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 14880 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14890 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 148a0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 148b0 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 148c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 148d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 148e0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 148f0 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 14900 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14910 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 14920 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 14930 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 14940 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 14950 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 14960 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14970 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 14980 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14990 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 149a0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 149b0 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 149c0 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 149d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 149e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 149f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 14a00 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 14a10 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 14a20 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 14a30 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 14a40 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 14a50 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 14a60 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 14a70 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 14a80 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 14a90 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 14aa0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 14ab0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 14ac0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 14ad0 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 14ae0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14af0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 14b00 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 14b10 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 14b20 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14b30 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 14b40 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 14b50 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 14b60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 14b70 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 14b80 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 14b90 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 14ba0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14bb0 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 14bc0 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 14bd0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 14be0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 14bf0 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 14c00 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 14c10 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 14c20 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 14c30 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 14c40 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 14c50 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 14c60 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 14c70 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 14c80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14c90 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 14ca0 6c756465 2f6e6574 002f726f 6f742f57 lude/net./root/W + 14cb0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 14cc0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 14cd0 7069655f 315f312f 726f6d2f 6164662f pie_1_1/rom/adf/ + 14ce0 6e627566 2f696e63 002f726f 6f742f57 nbuf/inc./root/W + 14cf0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 14d00 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 14d10 7069655f 315f312f 726f6d2f 6874632f pie_1_1/rom/htc/ + 14d20 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14d30 6163652f 30343132 5f763230 322f776c ace/0412_v202/wl + 14d40 616e2f69 6e636c75 6465002f 726f6f74 an/include./root + 14d50 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14d60 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 14d70 61677069 655f315f 312f726f 6d2f6275 agpie_1_1/rom/bu + 14d80 665f706f 6f6c2f69 6e63002f 726f6f74 f_pool/inc./root + 14d90 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14da0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 14db0 776d692f 696e6300 2f726f6f 742f576f wmi/inc./root/Wo + 14dc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 14dd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 14de0 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e + 14df0 6e67696e 652f696e 63002f72 6f6f742f ngine/inc./root/ + 14e00 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14e10 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 14e20 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + 14e30 662f7372 63000076 6275662e 63000100 f/src..vbuf.c... + 14e40 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS + 14e50 5f736f63 2e680003 00007662 75665f61 _soc.h....vbuf_a + 14e60 70692e68 00040000 76646573 635f6170 pi.h....vdesc_ap + 14e70 692e6800 0500004d 61677069 655f6170 i.h....Magpie_ap + 14e80 692e6800 06000063 6d6e6f73 5f617069 i.h....cmnos_api + 14e90 2e680007 00007379 735f6366 672e6800 .h....sys_cfg.h. + 14ea0 06000072 6f6d5f63 66672e68 00080000 ...rom_cfg.h.... + 14eb0 6d616770 69655f6d 656d2e68 00060000 magpie_mem.h.... + 14ec0 636f7265 2e680009 00006861 6c2e6800 core.h....hal.h. + 14ed0 0a000063 6f72652d 6973612e 68000b00 ...core-isa.h... + 14ee0 00636f72 652d6d61 746d6170 2e68000b .core-matmap.h.. + 14ef0 00007469 652e6800 0b000078 7472756e ..tie.h....xtrun + 14f00 74696d65 2e68000a 00007370 65637265 time.h....specre + 14f10 672e6800 0b000063 6f726562 6974732e g.h....corebits. + 14f20 68000a00 00707269 6e74665f 6170692e h....printf_api. + 14f30 68000c00 00756172 745f6170 692e6800 h....uart_api.h. + 14f40 0d000072 65675f64 6566732e 68000800 ...reg_defs.h... + 14f50 0064745f 64656673 2e680002 00006462 .dt_defs.h....db + 14f60 675f6170 692e6800 0e00006d 656d5f61 g_api.h....mem_a + 14f70 70692e68 000f0000 6d697363 5f617069 pi.h....misc_api + 14f80 2e680010 00007374 72696e67 5f617069 .h....string_api + 14f90 2e680011 00007469 6d65725f 6170692e .h....timer_api. + 14fa0 68001200 00726f6d 705f6170 692e6800 h....romp_api.h. + 14fb0 13000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 14fc0 68001400 00746173 6b6c6574 5f617069 h....tasklet_api + 14fd0 2e680015 0000636c 6f636b5f 6170692e .h....clock_api. + 14fe0 68001600 00696e74 725f6170 692e6800 h....intr_api.h. + 14ff0 17000077 64745f61 70692e68 00180000 ...wdt_api.h.... + 15000 65657072 6f6d5f61 70692e68 00190000 eeprom_api.h.... + 15010 7573625f 6170692e 68001a00 00686966 usb_api.h....hif + 15020 5f706369 2e68001a 00006869 665f6170 _pci.h....hif_ap + 15030 692e6800 1a000061 64665f6e 6275662e i.h....adf_nbuf. + 15040 68001b00 00616466 5f6f735f 7574696c h....adf_os_util + 15050 2e68001c 00006164 665f6f73 5f757469 .h....adf_os_uti + 15060 6c5f7076 742e6800 1d000061 64665f6f l_pvt.h....adf_o + 15070 735f7479 7065732e 68001c00 00616466 s_types.h....adf + 15080 5f6f735f 73746474 79706573 2e68001c _os_stdtypes.h.. + 15090 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 150a0 76742e68 001d0000 73746464 65662e68 vt.h....stddef.h + 150b0 001e0000 73746461 72672e68 00020000 ....stdarg.h.... + 150c0 76612d78 74656e73 612e6800 1e000061 va-xtensa.h....a + 150d0 64665f6f 735f646d 612e6800 1c000061 df_os_dma.h....a + 150e0 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 150f0 1d000061 64665f6e 65745f74 79706573 ...adf_net_types + 15100 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 15110 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 15120 68001a00 00686966 5f676d61 632e6800 h....hif_gmac.h. + 15130 1a000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 15140 001a0000 6874635f 6170692e 68002100 ....htc_api.h.!. + 15150 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 15160 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 15170 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 15180 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 15190 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 151a0 692e6800 25000076 6275662e 68002600 i.h.%..vbuf.h.&. + 151b0 00000005 02008e4e f0031c01 03000900 .......N........ + 151c0 03010305 09000301 03020900 0f01037f ................ + 151d0 09000201 03030900 02010302 09000201 ................ + 151e0 03030900 05010305 09000a01 037e0900 .............~.. + 151f0 0201037f 09000401 03020900 02010379 ...............y + 15200 09000201 030a0900 03010302 09000201 ................ + 15210 03040900 03010300 09000301 03030900 ................ + 15220 03010306 09000201 037d0900 02010302 .........}...... + 15230 09000401 037e0900 02010302 09000201 .....~.......... + 15240 037e0900 02010303 09000201 03030900 .~.............. + 15250 0201037d 09000201 03030900 02010304 ...}............ + 15260 09000401 03000900 03010306 09000301 ................ + 15270 037d0900 02010301 09000401 03020900 .}.............. + 15280 02010301 09000401 03050900 02010300 ................ + 15290 09000301 03040900 0901037f 09000201 ................ + 152a0 037f0900 02010306 09000201 09000200 ................ + 152b0 01010000 0e620002 00000d07 0101fb0e .....b.......... + 152c0 0a000101 01010000 00012f72 6f6f742f ........../root/ + 152d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 152e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 152f0 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m + 15300 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. + 15310 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ + 15320 315f312f 726f6d2f 76646573 632f7372 1_1/rom/vdesc/sr + 15330 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15340 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15350 74617267 65742f69 6e63002f 726f6f74 target/inc./root + 15360 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 15370 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 15380 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 15390 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 153a0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 153b0 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 153c0 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 153d0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 153e0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 153f0 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 15400 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15410 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 15420 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 15430 732f696e 63002f72 6f6f742f 576f726b s/inc./root/Work + 15440 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15450 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15460 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + 15470 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 15480 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 15490 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 154a0 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 154b0 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 154c0 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 154d0 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt + 154e0 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 154f0 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 15500 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 15510 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 15520 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op + 15530 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 15540 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 15550 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 15560 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 15570 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc + 15580 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 15590 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa + 155a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 155b0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 155c0 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin + 155d0 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor + 155e0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 155f0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 15600 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 15610 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W + 15620 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15630 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm + 15640 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo + 15650 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 15660 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 15670 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 15680 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro + 15690 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 156a0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 156b0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 156c0 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ + 156d0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 156e0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 156f0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 15700 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i + 15710 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 15720 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 15730 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 15740 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time + 15750 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 15760 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15770 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15780 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r + 15790 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo + 157a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 157b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 157c0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 157d0 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in + 157e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 157f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15800 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 15810 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl + 15820 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 15830 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 15840 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 15850 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 15860 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ + 15870 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 15880 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 15890 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 158a0 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo + 158b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 158c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 158d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 158e0 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro + 158f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 15900 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 15910 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 15920 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc + 15930 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 15940 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 15950 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 15960 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo + 15970 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 15980 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 15990 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root + 159a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 159b0 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 159c0 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo + 159d0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 159e0 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf + 159f0 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte + 15a00 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 15a10 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 15a20 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 15a30 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc + 15a40 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro + 15a50 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 15a60 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 15a70 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 15a80 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 15a90 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15aa0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 15ab0 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor + 15ac0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 15ad0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 15ae0 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb + 15af0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 15b00 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 15b10 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 15b20 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in + 15b30 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15b40 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan + 15b50 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 15b60 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15b70 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 15b80 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + 15b90 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W + 15ba0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15bb0 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm + 15bc0 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work + 15bd0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15be0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15bf0 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng + 15c00 696e652f 696e6300 2f726f6f 742f576f ine/inc./root/Wo + 15c10 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 15c20 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 15c30 69655f31 5f312f72 6f6d2f76 64657363 ie_1_1/rom/vdesc + 15c40 2f737263 00007664 6573632e 63000100 /src..vdesc.c... + 15c50 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS + 15c60 5f736f63 2e680003 00007664 6573635f _soc.h....vdesc_ + 15c70 6170692e 68000400 004d6167 7069655f api.h....Magpie_ + 15c80 6170692e 68000500 00636d6e 6f735f61 api.h....cmnos_a + 15c90 70692e68 00060000 7379735f 6366672e pi.h....sys_cfg. + 15ca0 68000500 00726f6d 5f636667 2e680007 h....rom_cfg.h.. + 15cb0 00006d61 67706965 5f6d656d 2e680005 ..magpie_mem.h.. + 15cc0 0000636f 72652e68 00080000 68616c2e ..core.h....hal. + 15cd0 68000900 00636f72 652d6973 612e6800 h....core-isa.h. + 15ce0 0a000063 6f72652d 6d61746d 61702e68 ...core-matmap.h + 15cf0 000a0000 7469652e 68000a00 00787472 ....tie.h....xtr + 15d00 756e7469 6d652e68 00090000 73706563 untime.h....spec + 15d10 7265672e 68000a00 00636f72 65626974 reg.h....corebit + 15d20 732e6800 09000070 72696e74 665f6170 s.h....printf_ap + 15d30 692e6800 0b000075 6172745f 6170692e i.h....uart_api. + 15d40 68000c00 00726567 5f646566 732e6800 h....reg_defs.h. + 15d50 07000064 745f6465 66732e68 00020000 ...dt_defs.h.... + 15d60 6462675f 6170692e 68000d00 006d656d dbg_api.h....mem + 15d70 5f617069 2e68000e 00006d69 73635f61 _api.h....misc_a + 15d80 70692e68 000f0000 73747269 6e675f61 pi.h....string_a + 15d90 70692e68 00100000 74696d65 725f6170 pi.h....timer_ap + 15da0 692e6800 11000072 6f6d705f 6170692e i.h....romp_api. + 15db0 68001200 00616c6c 6f637261 6d5f6170 h....allocram_ap + 15dc0 692e6800 13000074 61736b6c 65745f61 i.h....tasklet_a + 15dd0 70692e68 00140000 636c6f63 6b5f6170 pi.h....clock_ap + 15de0 692e6800 15000069 6e74725f 6170692e i.h....intr_api. + 15df0 68001600 00776474 5f617069 2e680017 h....wdt_api.h.. + 15e00 00006565 70726f6d 5f617069 2e680018 ..eeprom_api.h.. + 15e10 00007573 625f6170 692e6800 19000068 ..usb_api.h....h + 15e20 69665f70 63692e68 00190000 6869665f if_pci.h....hif_ + 15e30 6170692e 68001900 00616466 5f6e6275 api.h....adf_nbu + 15e40 662e6800 1a000061 64665f6f 735f7574 f.h....adf_os_ut + 15e50 696c2e68 001b0000 6164665f 6f735f75 il.h....adf_os_u + 15e60 74696c5f 7076742e 68001c00 00616466 til_pvt.h....adf + 15e70 5f6f735f 74797065 732e6800 1b000061 _os_types.h....a + 15e80 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h + 15e90 001b0000 6164665f 6f735f74 79706573 ....adf_os_types + 15ea0 5f707674 2e68001c 00007374 64646566 _pvt.h....stddef + 15eb0 2e68001d 00007662 75665f61 70692e68 .h....vbuf_api.h + 15ec0 001e0000 73746461 72672e68 00020000 ....stdarg.h.... + 15ed0 76612d78 74656e73 612e6800 1d000061 va-xtensa.h....a + 15ee0 64665f6f 735f646d 612e6800 1b000061 df_os_dma.h....a + 15ef0 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 15f00 1c000061 64665f6e 65745f74 79706573 ...adf_net_types + 15f10 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 15f20 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 15f30 68001900 00686966 5f676d61 632e6800 h....hif_gmac.h. + 15f40 19000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 15f50 00190000 6874635f 6170692e 68002100 ....htc_api.h.!. + 15f60 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 15f70 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 15f80 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 15f90 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 15fa0 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 15fb0 692e6800 25000076 64657363 2e680026 i.h.%..vdesc.h.& + 15fc0 00000000 0502008e 4f740321 01030009 ........Ot.!.... + 15fd0 00030103 08090003 01030209 000f0103 ................ + 15fe0 7f090003 01030409 00020103 02090002 ................ + 15ff0 01030409 00050103 0609000a 01037e09 ..............~. + 16000 00020103 7e090004 01030309 00030103 ....~........... + 16010 77090002 01030e09 00030103 c7000900 w............... + 16020 03010300 09000301 03040900 03010306 ................ + 16030 09000201 037f0900 04010301 09000401 ................ + 16040 03030900 0201037d 09000201 03030900 .......}........ + 16050 0201030e 09000201 03020900 03010300 ................ + 16060 09000301 030e0900 02010314 09000301 ................ + 16070 03740900 02010308 09000301 037c0900 .t...........|.. + 16080 03010309 09000301 036f0900 0201030d .........o...... + 16090 09000301 03780900 03010304 09000301 .....x.......... + 160a0 03780900 03010300 09000301 03010900 .x.............. + 160b0 03010303 09000301 03010900 03010303 ................ + 160c0 09000301 03010900 03010303 09000301 ................ + 160d0 03010900 03010303 09000301 03010900 ................ + 160e0 02010301 09000201 03050900 05010300 ................ + 160f0 09000301 03050900 0c01037f 09000201 ................ + 16100 037f0900 0201037f 09000201 03090900 ................ + 16110 02010900 02000101 0000180b 00020000 ................ + 16120 0d4e0101 fb0e0a00 01010101 00000001 .N.............. + 16130 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 16140 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 16150 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 16160 6d616765 2f6d6167 7069652f 2e2e2f2e mage/magpie/../. + 16170 2e2f2e2e 2f2e2e2f 2f627569 6c642f6d ./../..//build/m + 16180 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 16190 632f7372 63002f72 6f6f742f 576f726b c/src./root/Work + 161a0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 161b0 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ + 161c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 161d0 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 161e0 6765742f 696e632f 4f545553 002f726f get/inc/OTUS./ro + 161f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16200 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 16210 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 16220 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 16230 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 16240 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 16250 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 16260 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16270 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 16280 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 16290 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten + 162a0 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 162b0 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 162c0 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 162d0 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 162e0 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 162f0 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 16300 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 16310 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 16320 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 16330 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 16340 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 16350 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 16360 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 16370 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 16380 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 16390 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 163a0 7263682f 696e636c 7564652f 7874656e rch/include/xten + 163b0 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 163c0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 163d0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 163e0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 163f0 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 16400 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 16410 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 16420 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 16430 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 16440 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 16450 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 16460 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 16470 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 16480 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 16490 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 164a0 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 164b0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 164c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 164d0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 164e0 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 164f0 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 16500 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16510 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 16520 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 16530 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 16540 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 16550 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 16560 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 16570 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 16580 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16590 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 165a0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 165b0 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + 165c0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 165d0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 165e0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 165f0 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + 16600 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + 16610 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 16620 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 16630 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 16640 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + 16650 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 16660 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 16670 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 16680 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + 16690 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 166a0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 166b0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 166c0 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + 166d0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 166e0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 166f0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 16700 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + 16710 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 16720 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 16730 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 16740 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + 16750 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + 16760 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 16770 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 16780 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + 16790 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 167a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 167b0 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + 167c0 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + 167d0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 167e0 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + 167f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16800 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 16810 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + 16820 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 16830 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 16840 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 16850 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 16860 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + 16870 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 16880 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 16890 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 168a0 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 168b0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 168c0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 168d0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 168e0 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 168f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16900 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 16910 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root + 16920 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 16930 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 16940 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad + 16950 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root + 16960 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 16970 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 16980 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 16990 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 169a0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 169b0 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + 169c0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 169d0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 169e0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 169f0 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + 16a00 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16a10 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 16a20 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + 16a30 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 16a40 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 16a50 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 16a60 5f656e67 696e652f 696e6300 2f726f6f _engine/inc./roo + 16a70 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 16a80 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 16a90 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 16aa0 74632f73 72630000 6874632e 63000100 tc/src..htc.c... + 16ab0 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS + 16ac0 5f736f63 2e680003 00004d61 67706965 _soc.h....Magpie + 16ad0 5f617069 2e680004 0000636d 6e6f735f _api.h....cmnos_ + 16ae0 6170692e 68000500 00737973 5f636667 api.h....sys_cfg + 16af0 2e680004 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. + 16b00 0600006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. + 16b10 04000063 6f72652e 68000700 0068616c ...core.h....hal + 16b20 2e680008 0000636f 72652d69 73612e68 .h....core-isa.h + 16b30 00090000 636f7265 2d6d6174 6d61702e ....core-matmap. + 16b40 68000900 00746965 2e680009 00007874 h....tie.h....xt + 16b50 72756e74 696d652e 68000800 00737065 runtime.h....spe + 16b60 63726567 2e680009 0000636f 72656269 creg.h....corebi + 16b70 74732e68 00080000 7072696e 74665f61 ts.h....printf_a + 16b80 70692e68 000a0000 75617274 5f617069 pi.h....uart_api + 16b90 2e68000b 00007265 675f6465 66732e68 .h....reg_defs.h + 16ba0 00060000 64745f64 6566732e 68000200 ....dt_defs.h... + 16bb0 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + 16bc0 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + 16bd0 6170692e 68000e00 00737472 696e675f api.h....string_ + 16be0 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + 16bf0 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + 16c00 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + 16c10 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + 16c20 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + 16c30 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + 16c40 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + 16c50 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + 16c60 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + 16c70 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + 16c80 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + 16c90 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + 16ca0 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + 16cb0 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + 16cc0 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + 16cd0 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + 16ce0 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + 16cf0 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + 16d00 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + 16d10 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + 16d20 001e0000 73746461 72672e68 00020000 ....stdarg.h.... + 16d30 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + 16d40 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + 16d50 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 16d60 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + 16d70 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 16d80 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 16d90 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + 16da0 18000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 16db0 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + 16dc0 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 16dd0 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 16de0 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 16df0 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 16e00 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 16e10 692e6800 25000061 64665f6f 735f6d65 i.h.%..adf_os_me + 16e20 6d2e6800 1a000061 64665f6f 735f6d65 m.h....adf_os_me + 16e30 6d5f7076 742e6800 1b000061 64665f6f m_pvt.h....adf_o + 16e40 735f696f 2e68001a 00006164 665f6f73 s_io.h....adf_os + 16e50 5f696f5f 7076742e 68001b00 00687463 _io_pvt.h....htc + 16e60 5f696e74 65726e61 6c2e6800 26000000 _internal.h.&... + 16e70 04240005 02008e50 2c03b902 01043203 .$.....P,.....2. + 16e80 4b090003 01030409 00020103 02090004 K............... + 16e90 01037e09 00020104 24033209 00050103 ..~.....$.2..... + 16ea0 0f090014 01030009 00030104 32037f09 ............2... + 16eb0 00020103 03090002 01037f09 00030103 ................ + 16ec0 01090003 01037f09 00020103 01090006 ................ + 16ed0 01030109 00030104 24037e09 00080103 ........$.~..... + 16ee0 0f09000c 01043203 ab7f0900 03010304 ......2......... + 16ef0 09000201 03020900 0401037e 09000201 ...........~.... + 16f00 03d90009 00050103 01090003 01030109 ................ + 16f10 00050103 7e090003 01030209 00050103 ....~........... + 16f20 7e090005 01042403 79090004 01030f09 ~.....$.y....... + 16f30 00030103 00090003 01043203 0b090002 ..........2..... + 16f40 01030309 00020103 7f090003 01030109 ................ + 16f50 00030103 7f090003 01030109 00050103 ................ + 16f60 01090003 01042403 72090009 01031d09 ......$.r....... + 16f70 000b0103 01090003 01030009 00030103 ................ + 16f80 2c090002 01043203 c07e0900 03010302 ,.....2..~...... + 16f90 09000201 03010900 0501037f 09000301 ................ + 16fa0 03010900 04010424 03bf0109 00020103 .......$........ + 16fb0 0e090005 01030109 00030103 00090002 ................ + 16fc0 01040103 8a7d0900 03010300 09000301 .....}.......... + 16fd0 03010900 07010301 09000b01 03050900 ................ + 16fe0 03010300 09000301 043e03b3 7f090005 .........>...... + 16ff0 01031e09 000d0104 01033c09 000a0103 ..........<..... + 17000 01090002 01037f09 00050103 01090002 ................ + 17010 01030109 00050103 05090005 01030309 ................ + 17020 00020103 7c090003 01037f09 00030103 ....|........... + 17030 01090005 01030409 00020103 04090005 ................ + 17040 01030e09 00020103 7d090002 01037909 ........}.....y. + 17050 00020103 18090002 01036409 00030103 ..........d..... + 17060 04090005 01030a09 00030103 7a090003 ............z... + 17070 01030309 00030103 03090003 01031009 ................ + 17080 00030103 72090002 01030609 00060103 ....r........... + 17090 0d090002 01037309 00050103 01090003 ......s......... + 170a0 01030509 00020103 01090003 01030209 ................ + 170b0 00030103 7e090003 01037c09 00030103 ....~.....|..... + 170c0 05090003 01037909 00030103 0d090003 ......y......... + 170d0 01037c09 00060103 04090003 01037f09 ..|............. + 170e0 00060103 01090002 01030509 00030103 ................ + 170f0 01090005 01030109 000c0103 0209000a ................ + 17100 01030609 00070103 04090003 01037b09 ..............{. + 17110 00060103 05090005 01030309 00030103 ................ + 17120 02090009 01030409 00020103 04090003 ................ + 17130 01030309 00050103 04090003 01030009 ................ + 17140 00030103 01090002 01030109 00030103 ................ + 17150 03090005 01030609 00030103 06090007 ................ + 17160 01030209 000a0103 09090009 01037c09 ..............|. + 17170 00060103 7c090002 01030109 00040103 ....|........... + 17180 02090003 01037e09 00060103 7f090003 ......~......... + 17190 01030109 00030103 02090003 01037d09 ..............}. + 171a0 00030103 04090003 01030409 00030103 ................ + 171b0 7b090003 01037e09 00030103 07090003 {.....~......... + 171c0 01030209 00030103 03090004 01030709 ................ + 171d0 00030103 04090007 01030309 00170103 ................ + 171e0 17090003 01036909 00030103 02090003 ......i......... + 171f0 01030109 000d0103 0609000d 01037f09 ................ + 17200 00030103 7c090003 01037f09 00020103 ....|........... + 17210 05090003 01030109 00020103 7f090006 ................ + 17220 01030409 00030103 03090011 01030a09 ................ + 17230 00090103 7e090002 01030209 00050103 ....~........... + 17240 03090005 01030009 00030103 0c09000b ................ + 17250 01037d09 00040104 24038c02 09000201 ..}.....$....... + 17260 040103f7 7d090002 01037b09 00050103 ....}.....{..... + 17270 08090003 01030109 00170103 03090003 ................ + 17280 01030009 00030103 01090006 01030109 ................ + 17290 00050103 03090002 01030609 00030103 ................ + 172a0 03090007 01030709 00090103 05090010 ................ + 172b0 01037e09 00030103 15090005 01036b09 ..~...........k. + 172c0 00080103 08090006 01037f09 00030103 ................ + 172d0 0e090003 01042403 6509000c 01040103 ......$.e....... + 172e0 1b090002 01030109 00020104 24036409 ............$.d. + 172f0 00020104 01031c09 00030103 05090005 ................ + 17300 01030109 00150103 09090006 01033109 ..............1. + 17310 00030103 54090002 01030209 00030103 ....T........... + 17320 0b090009 01037509 00080103 04090002 ......u......... + 17330 01030409 00020103 7d090003 01030609 ........}....... + 17340 00020103 7a090002 01037f09 00030103 ....z........... + 17350 23090003 01036809 000d0103 0209000b #.....h......... + 17360 01030a09 00070103 79090002 01037d09 ........y.....}. + 17370 00020103 05090002 01030109 00030103 ................ + 17380 01090006 01037c09 00030103 04090005 ......|......... + 17390 01030109 00050103 7f090003 01030109 ................ + 173a0 00030103 04090006 01037709 00030103 ..........w..... + 173b0 07090003 01030209 00030103 0209000a ................ + 173c0 01030109 00040103 7f090002 01030209 ................ + 173d0 00030103 7f090002 01037f09 00030103 ................ + 173e0 04090005 01030409 00030103 0609000f ................ + 173f0 01030f09 00030103 02090002 01037c09 ..............|. + 17400 00020103 02090003 01030209 00030103 ................ + 17410 7e090004 01030c09 00060103 7f090005 ~............... + 17420 01030109 00020103 7f090003 01030109 ................ + 17430 00060103 7f090005 01030109 00030103 ................ + 17440 01090006 01036109 00020103 22090008 ......a....."... + 17450 01030809 00030103 03090005 01031b09 ................ + 17460 00030103 03090005 01030009 00030103 ................ + 17470 03090003 01030409 000e0103 00090003 ................ + 17480 01030209 001b0103 07090002 01037f09 ................ + 17490 00020103 7f090002 01037f09 00020103 ................ + 174a0 7f090002 01037f09 00020103 7d090002 ............}... + 174b0 01030209 00020103 08090005 01037f09 ................ + 174c0 00080103 02090002 01030709 00020103 ................ + 174d0 08090005 01030009 00030103 01090005 ................ + 174e0 01030109 000b0103 05090005 01030009 ................ + 174f0 00030103 01090003 01030409 001a0103 ................ + 17500 06090003 01030509 00030103 7b090003 ............{... + 17510 01030509 00030103 05090003 01030209 ................ + 17520 00040103 01090003 01030709 00030103 ................ + 17530 79090004 01030709 00030103 0d090006 y............... + 17540 01037809 00090103 1f090009 01037209 ..x...........r. + 17550 00020103 03090005 01030209 00020103 ................ + 17560 7e090005 01030209 00040103 06090006 ~............... + 17570 01030309 000c0103 04090004 01030909 ................ + 17580 00030103 7e090002 01037a09 00060103 ....~.....z..... + 17590 06090003 01037f09 00060103 03090004 ................ + 175a0 01030409 00050103 0209000a 01030309 ................ + 175b0 00090103 7e090008 01030209 00080103 ....~........... + 175c0 02090005 01030209 00050103 03090003 ................ + 175d0 01030309 00050103 31090006 01034709 ........1.....G. + 175e0 00020103 c0000900 0801037c 09000201 ...........|.... + 175f0 03040900 03010301 09000a01 035c0900 .............\.. + 17600 02010373 09000601 03030900 0301030a ...s............ + 17610 09000201 03760900 0201037d 09000201 .....v.....}.... + 17620 030d0900 03010303 09000501 03030900 ................ + 17630 03010302 09000501 03020900 06010302 ................ + 17640 09000601 037e0900 06010304 09000601 .....~.......... + 17650 037e0900 06010302 09000201 03020900 .~.............. + 17660 12010301 09000f01 03050900 0f01037e ...............~ + 17670 09000301 03020900 0501037e 09000201 ...........~.... + 17680 03020900 06010311 09000601 03060900 ................ + 17690 03010305 09000701 03020900 0a010302 ................ + 176a0 09000901 03020900 0a010302 09000601 ................ + 176b0 03010900 0b010306 09000501 03030900 ................ + 176c0 0901037d 09000201 03070900 0501037a ...}...........z + 176d0 09000601 03060900 03010305 09000601 ................ + 176e0 03010900 0c010376 09000201 03010900 .......v........ + 176f0 03010378 09000301 03150900 08010300 ...x............ + 17700 09000301 03070900 02010304 09000301 ................ + 17710 03190900 09010373 09001701 037d0900 .......s.....}.. + 17720 08010310 09000501 03020900 0201037e ...............~ + 17730 09000301 03020900 05010302 09000301 ................ + 17740 03040900 0f010303 09000701 03050900 ................ + 17750 16010301 09000301 037f0900 03010302 ................ + 17760 09000801 03030900 03010367 09000201 ...........g.... + 17770 031d0900 07010305 09000301 03170900 ................ + 17780 05010303 09000601 03040900 0f010303 ................ + 17790 09000701 03050900 0601037e 09000401 ...........~.... + 177a0 03020900 0201037e 09000201 03020900 .......~........ + 177b0 06010302 09000501 03030900 03010305 ................ + 177c0 09000301 03010900 05010305 09000301 ................ + 177d0 03050900 07010301 09001301 033c0900 .............<.. + 177e0 03010302 09000301 03100900 03010370 ...............p + 177f0 09000301 03100900 03010370 09000301 ...........p.... + 17800 03100900 0701037d 09000601 03050900 .......}........ + 17810 06010302 09000301 03760900 02010301 .........v...... + 17820 09000301 030c0900 06010302 09000301 ................ + 17830 03040900 05010315 09000301 03040900 ................ + 17840 0e01030d 09000401 03730900 02010302 .........s...... + 17850 09000301 030b0900 03010373 09000501 ...........s.... + 17860 03080900 06010378 09000901 030d0900 .......x........ + 17870 0501037b 09000501 03050900 08010301 ...{............ + 17880 09000501 03050900 07010302 09000501 ................ + 17890 037a0900 0701037f 09000201 03070900 .z.............. + 178a0 03010303 09000301 03c40009 00080103 ................ + 178b0 40090002 01030309 000a0103 03090009 @............... + 178c0 01037f09 00030103 01090006 01037f09 ................ + 178d0 00030103 01090005 01030109 00030103 ................ + 178e0 03090009 01030809 00110103 03090006 ................ + 178f0 01030209 00060103 04090010 01037f09 ................ + 17900 00040103 0109000b 01030509 00030103 ................ + 17910 18090007 01030709 000f0103 0109000b ................ + 17920 01090002 00010100 0011cb00 0200000d ................ + 17930 5b0101fb 0e0a0001 01010100 0000012f [............../ + 17940 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 17950 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17960 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 17970 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 17980 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 17990 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 179a0 5f656e67 696e652f 73726300 2f726f6f _engine/src./roo + 179b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 179c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 179d0 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + 179e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 179f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17a00 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 17a10 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W + 17a20 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 17a30 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 17a40 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 17a50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 17a60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 17a70 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ + 17a80 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 17a90 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 17aa0 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU + 17ab0 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac + 17ac0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 17ad0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 17ae0 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ + 17af0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 17b00 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 17b10 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 17b20 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 17b30 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 17b40 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 17b50 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 17b60 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 17b70 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17b80 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17b90 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17ba0 636d6e6f 732f696e 63002f6f 70742f78 cmnos/inc./opt/x + 17bb0 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 17bc0 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 17bd0 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 17be0 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 17bf0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 17c00 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt + 17c10 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 17c20 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 17c30 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 17c40 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + 17c50 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + 17c60 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten + 17c70 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 17c80 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 17c90 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 17ca0 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 17cb0 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x + 17cc0 74656e73 612f636f 6e666967 002f726f tensa/config./ro + 17cd0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17ce0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17cf0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17d00 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc + 17d10 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 17d20 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 17d30 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 17d40 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i + 17d50 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 17d60 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 17d70 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db + 17d80 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + 17d90 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17da0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17db0 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 17dc0 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor + 17dd0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 17de0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 17df0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 17e00 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W + 17e10 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 17e20 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 17e30 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 17e40 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro + 17e50 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17e60 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17e70 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17e80 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. + 17e90 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 17ea0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 17eb0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 17ec0 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc + 17ed0 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work + 17ee0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17ef0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17f00 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a + 17f10 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo + 17f20 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 17f30 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 17f40 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 17f50 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc + 17f60 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 17f70 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 17f80 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 17f90 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ + 17fa0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 17fb0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 17fc0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 17fd0 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int + 17fe0 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 17ff0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 18000 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 18010 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w + 18020 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor + 18030 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 18040 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 18050 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 18060 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root + 18070 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 18080 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 18090 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi + 180a0 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 180b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 180c0 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 180d0 6e627566 002f726f 6f742f57 6f726b73 nbuf./root/Works + 180e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 180f0 67742f61 64662f69 6e636c75 64652f6f gt/adf/include/o + 18100 73002f72 6f6f742f 576f726b 73706163 s./root/Workspac + 18110 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18120 74617267 65742f61 64662f6f 732f696e target/adf/os/in + 18130 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt + 18140 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 18150 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 18160 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 18170 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i + 18180 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 18190 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 181a0 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 181b0 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works + 181c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 181d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 181e0 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf + 181f0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 18200 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 18210 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 18220 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. + 18230 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 18240 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i + 18250 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 18260 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 18270 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 18280 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 18290 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor + 182a0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 182b0 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ + 182c0 696e6300 00646d61 5f656e67 696e652e inc..dma_engine. + 182d0 63000100 00737973 5f636667 2e680002 c....sys_cfg.h.. + 182e0 0000726f 6d5f6366 672e6800 0300006d ..rom_cfg.h....m + 182f0 61677069 655f6d65 6d2e6800 02000064 agpie_mem.h....d + 18300 745f6465 66732e68 00040000 7265675f t_defs.h....reg_ + 18310 64656673 2e680003 00006465 73632e68 defs.h....desc.h + 18320 00050000 6f736170 692e6800 0400004f ....osapi.h....O + 18330 5455535f 736f632e 68000600 00646d61 TUS_soc.h....dma + 18340 5f656e67 696e655f 6170692e 68000700 _engine_api.h... + 18350 00766275 665f6170 692e6800 08000076 .vbuf_api.h....v + 18360 64657363 5f617069 2e680009 00004d61 desc_api.h....Ma + 18370 67706965 5f617069 2e680002 0000636d gpie_api.h....cm + 18380 6e6f735f 6170692e 68000a00 00636f72 nos_api.h....cor + 18390 652e6800 0b000068 616c2e68 000c0000 e.h....hal.h.... + 183a0 636f7265 2d697361 2e68000d 0000636f core-isa.h....co + 183b0 72652d6d 61746d61 702e6800 0d000074 re-matmap.h....t + 183c0 69652e68 000d0000 78747275 6e74696d ie.h....xtruntim + 183d0 652e6800 0c000073 70656372 65672e68 e.h....specreg.h + 183e0 000d0000 636f7265 62697473 2e68000c ....corebits.h.. + 183f0 00007072 696e7466 5f617069 2e68000e ..printf_api.h.. + 18400 00007561 72745f61 70692e68 000f0000 ..uart_api.h.... + 18410 6462675f 6170692e 68001000 006d656d dbg_api.h....mem + 18420 5f617069 2e680011 00006d69 73635f61 _api.h....misc_a + 18430 70692e68 00120000 73747269 6e675f61 pi.h....string_a + 18440 70692e68 00130000 74696d65 725f6170 pi.h....timer_ap + 18450 692e6800 14000072 6f6d705f 6170692e i.h....romp_api. + 18460 68001500 00616c6c 6f637261 6d5f6170 h....allocram_ap + 18470 692e6800 16000074 61736b6c 65745f61 i.h....tasklet_a + 18480 70692e68 00170000 636c6f63 6b5f6170 pi.h....clock_ap + 18490 692e6800 18000069 6e74725f 6170692e i.h....intr_api. + 184a0 68001900 00776474 5f617069 2e68001a h....wdt_api.h.. + 184b0 00006565 70726f6d 5f617069 2e68001b ..eeprom_api.h.. + 184c0 00007573 625f6170 692e6800 1c000068 ..usb_api.h....h + 184d0 69665f70 63692e68 001c0000 6869665f if_pci.h....hif_ + 184e0 6170692e 68001c00 00616466 5f6e6275 api.h....adf_nbu + 184f0 662e6800 1d000061 64665f6f 735f7574 f.h....adf_os_ut + 18500 696c2e68 001e0000 6164665f 6f735f75 il.h....adf_os_u + 18510 74696c5f 7076742e 68001f00 00616466 til_pvt.h....adf + 18520 5f6f735f 74797065 732e6800 1e000061 _os_types.h....a + 18530 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h + 18540 001e0000 6164665f 6f735f74 79706573 ....adf_os_types + 18550 5f707674 2e68001f 00007374 64646566 _pvt.h....stddef + 18560 2e680020 00007374 64617267 2e680004 .h. ..stdarg.h.. + 18570 00007661 2d787465 6e73612e 68002000 ..va-xtensa.h. . + 18580 00616466 5f6f735f 646d612e 68001e00 .adf_os_dma.h... + 18590 00616466 5f6f735f 646d615f 7076742e .adf_os_dma_pvt. + 185a0 68001f00 00616466 5f6e6574 5f747970 h....adf_net_typ + 185b0 65732e68 00210000 6164665f 6e627566 es.h.!..adf_nbuf + 185c0 5f707674 2e680022 0000646d 615f6c69 _pvt.h."..dma_li + 185d0 622e6800 1c000068 69665f67 6d61632e b.h....hif_gmac. + 185e0 68001c00 00757362 6669666f 5f617069 h....usbfifo_api + 185f0 2e68001c 00006874 635f6170 692e6800 .h....htc_api.h. + 18600 23000068 74632e68 00240000 6275665f #..htc.h.$..buf_ + 18610 706f6f6c 5f617069 2e680025 0000776d pool_api.h.%..wm + 18620 695f7376 635f6170 692e6800 26000077 i_svc_api.h.&..w + 18630 6d692e68 00240000 61746864 6566732e mi.h.$..athdefs. + 18640 68002400 00616466 5f6f735f 6d656d2e h.$..adf_os_mem. + 18650 68001e00 00616466 5f6f735f 6d656d5f h....adf_os_mem_ + 18660 7076742e 68001f00 00616466 5f6f735f pvt.h....adf_os_ + 18670 696f2e68 001e0000 6164665f 6f735f69 io.h....adf_os_i + 18680 6f5f7076 742e6800 1f000000 00050200 o_pvt.h......... + 18690 8e591c03 31010302 09000301 03030900 .Y..1........... + 186a0 05010300 09000301 03040900 03010303 ................ + 186b0 09000801 036a0900 02010301 09000601 .....j.......... + 186c0 03010900 06010301 09000601 03010900 ................ + 186d0 06010301 09000501 03010900 05010312 ................ + 186e0 09000501 03020900 07010303 09000201 ................ + 186f0 03010900 03010301 09000501 03010900 ................ + 18700 04010301 09000201 03050900 02010301 ................ + 18710 09000301 03020900 09010303 09000501 ................ + 18720 03040900 0801037c 09000301 03010900 .......|........ + 18730 03010304 09000901 03020900 02010301 ................ + 18740 09001d01 03010900 02010305 09000301 ................ + 18750 03000900 03010304 09000201 03010900 ................ + 18760 08010301 09000801 03030900 03010300 ................ + 18770 09000301 03070900 03010301 09000701 ................ + 18780 03b57f09 00080103 01090006 01030109 ................ + 18790 00060103 01090006 01030109 00060103 ................ + 187a0 01090005 01030109 00050103 c8000900 ................ + 187b0 05010301 09000301 03010900 07010303 ................ + 187c0 09000b01 03030900 05010304 09000501 ................ + 187d0 037c0900 02010374 09000201 03140900 .|.....t........ + 187e0 0801037f 09000401 03010900 0301037f ................ + 187f0 09000301 03010900 02010302 09000301 ................ + 18800 03070900 02010300 09000301 03050900 ................ + 18810 06010302 09000c01 03020900 0a01043f ...............? + 18820 03f27e09 00030104 01039001 09000a01 ..~............. + 18830 03010900 0201030f 09000301 03720900 .............r.. + 18840 02010301 09000401 03010900 03010301 ................ + 18850 09000301 03080900 0501036d 09000401 ...........m.... + 18860 03180900 0a010301 09000501 03030900 ................ + 18870 03010309 09000301 03770900 0201030a .........w...... + 18880 09000201 03020900 0a0103ec 7e090003 ............~... + 18890 01030109 00060103 01090006 01030109 ................ + 188a0 00060103 01090006 01030109 00050103 ................ + 188b0 01090005 01039101 09000501 03010900 ................ + 188c0 0601037f 09000201 03010900 03010301 ................ + 188d0 09000a01 03010900 06010307 09000801 ................ + 188e0 03020900 02010303 09000f01 03040900 ................ + 188f0 0c010304 09000501 037c0900 02010368 .........|.....h + 18900 09000201 031f0900 03010301 09001201 ................ + 18910 03020900 0301037e 09000401 03040900 .......~........ + 18920 0601037f 09000201 03020900 02010303 ................ + 18930 09000501 03010900 02010303 09000501 ................ + 18940 03010900 06010303 09000401 03010900 ................ + 18950 03010303 09000501 03040900 03010304 ................ + 18960 09001501 037c0900 02010304 09000201 .....|.......... + 18970 03040900 04010300 09000301 03060900 ................ + 18980 05010303 09000a01 03060900 05010301 ................ + 18990 09000a01 03020900 03010301 09000501 ................ + 189a0 03040900 07010300 09000301 03040900 ................ + 189b0 03010303 09000c01 03040900 05010301 ................ + 189c0 09000201 037f0900 02010301 09000201 ................ + 189d0 03010900 03010301 09000201 03020900 ................ + 189e0 05010303 09000401 03010900 02010301 ................ + 189f0 09000501 03040900 06010304 09000301 ................ + 18a00 03030900 0b010305 09000501 03120900 ................ + 18a10 0b010301 09000901 03750900 02010303 .........u...... + 18a20 09001901 03020900 0b010303 09000901 ................ + 18a30 03080900 0a010300 09000301 03060900 ................ + 18a40 1b010304 09000201 037f0900 0201037f ................ + 18a50 09000201 037d0900 02010302 09000201 .....}.......... + 18a60 037d0900 0201037f 09000201 037f0900 .}.............. + 18a70 0201030d 09000501 037f0900 11010302 ................ + 18a80 09000201 037d0900 0201037f 09000201 .....}.......... + 18a90 037f0900 02010309 09000201 03030900 ................ + 18aa0 02010306 09000301 03010900 05010302 ................ + 18ab0 09000b01 03010900 02010303 09000301 ................ + 18ac0 037d0900 03010306 09000b01 03040900 .}.............. + 18ad0 04010305 09000901 03700900 05010314 .........p...... + 18ae0 09000301 03780900 02010308 09000801 .....x.......... + 18af0 09000200 01010000 0fad0002 00000e70 ...............p + 18b00 0101fb0e 0a000101 01010000 00012f72 ............../r + 18b10 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18b20 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18b30 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 18b40 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 18b50 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 18b60 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 18b70 656e6769 6e652f73 7263002f 726f6f74 engine/src./root + 18b80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 18b90 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 18ba0 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + 18bb0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 18bc0 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 18bd0 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 18be0 5f50302f 7874656e 73612d65 6c662f69 _P0/xtensa-elf/i + 18bf0 6e636c75 6465002f 6f70742f 7874656e nclude./opt/xten + 18c00 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 18c10 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 18c20 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 18c30 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 18c40 662f696e 636c7564 652f7379 73002f6f f/include/sys./o + 18c50 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 18c60 6f6f6c73 2f696e73 74616c6c 2f627569 ools/install/bui + 18c70 6c64732f 52422d32 3030372e 322d6c69 lds/RB-2007.2-li + 18c80 6e75782f 4d616770 69655f50 302f7874 nux/Magpie_P0/xt + 18c90 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 18ca0 2f6d6163 68696e65 002f6f70 742f7874 /machine./opt/xt + 18cb0 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 18cc0 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 18cd0 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 18ce0 656e7361 546f6f6c 732f6c69 622f7863 ensaTools/lib/xc + 18cf0 632d6c69 622f696e 636c7564 65002f72 c-lib/include./r + 18d00 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18d10 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18d20 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 18d30 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo + 18d40 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 18d50 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 18d60 2f4f5455 53002f72 6f6f742f 576f726b /OTUS./root/Work + 18d70 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 18d80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 18d90 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 18da0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 18db0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 18dc0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 18dd0 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 18de0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 18df0 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 18e00 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 18e10 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 18e20 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 18e30 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + 18e40 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 18e50 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 18e60 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 18e70 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 18e80 656c662f 696e636c 7564652f 7874656e elf/include/xten + 18e90 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + 18ea0 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 18eb0 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 18ec0 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 18ed0 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 18ee0 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 18ef0 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + 18f00 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 18f10 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 18f20 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 18f30 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + 18f40 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 18f50 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 18f60 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 18f70 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + 18f80 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 18f90 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 18fa0 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + 18fb0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 18fc0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18fd0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18fe0 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + 18ff0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19000 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19010 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19020 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 19030 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19040 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19050 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19060 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + 19070 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + 19080 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19090 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 190a0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 190b0 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + 190c0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 190d0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 190e0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 190f0 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + 19100 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 19110 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19120 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19130 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 19140 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + 19150 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19160 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19170 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 19180 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + 19190 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 191a0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 191b0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 191c0 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + 191d0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 191e0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 191f0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19200 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + 19210 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 19220 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19230 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19240 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + 19250 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19260 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19270 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19280 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 19290 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + 192a0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 192b0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 192c0 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + 192d0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 192e0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 192f0 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + 19300 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 19310 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 19320 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + 19330 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 19340 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 19350 65742f61 64662f6f 732f696e 63002f72 et/adf/os/inc./r + 19360 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 19370 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 19380 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 19390 2f766275 662f696e 63002f72 6f6f742f /vbuf/inc./root/ + 193a0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 193b0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 193c0 67706965 5f315f31 2f726f6d 2f766465 gpie_1_1/rom/vde + 193d0 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor + 193e0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 193f0 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 19400 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works + 19410 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19420 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19430 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf + 19440 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19450 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19460 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19470 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. + 19480 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19490 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i + 194a0 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 194b0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 194c0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 194d0 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 194e0 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor + 194f0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 19500 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ + 19510 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 19520 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 19530 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 19540 5f312f72 6f6d2f64 6d615f65 6e67696e _1/rom/dma_engin + 19550 652f696e 63002f72 6f6f742f 576f726b e/inc./root/Work + 19560 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19570 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19580 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng + 19590 696e652f 73726300 00646573 632e6300 ine/src..desc.c. + 195a0 01000064 745f6465 66732e68 00020000 ...dt_defs.h.... + 195b0 73747269 6e672e68 00030000 5f616e73 string.h...._ans + 195c0 692e6800 0300006e 65776c69 622e6800 i.h....newlib.h. + 195d0 03000063 6f6e6669 672e6800 04000069 ...config.h....i + 195e0 65656566 702e6800 05000072 65656e74 eeefp.h....reent + 195f0 2e680004 00005f74 79706573 2e680004 .h...._types.h.. + 19600 00006c6f 636b2e68 00040000 73746464 ..lock.h....stdd + 19610 65662e68 00060000 7265675f 64656673 ef.h....reg_defs + 19620 2e680007 00006f73 6170692e 68000200 .h....osapi.h... + 19630 004f5455 535f736f 632e6800 0800004d .OTUS_soc.h....M + 19640 61677069 655f6170 692e6800 09000063 agpie_api.h....c + 19650 6d6e6f73 5f617069 2e68000a 00007379 mnos_api.h....sy + 19660 735f6366 672e6800 09000072 6f6d5f63 s_cfg.h....rom_c + 19670 66672e68 00070000 6d616770 69655f6d fg.h....magpie_m + 19680 656d2e68 00090000 636f7265 2e68000b em.h....core.h.. + 19690 00006861 6c2e6800 0c000063 6f72652d ..hal.h....core- + 196a0 6973612e 68000d00 00636f72 652d6d61 isa.h....core-ma + 196b0 746d6170 2e68000d 00007469 652e6800 tmap.h....tie.h. + 196c0 0d000078 7472756e 74696d65 2e68000c ...xtruntime.h.. + 196d0 00007370 65637265 672e6800 0d000063 ..specreg.h....c + 196e0 6f726562 6974732e 68000c00 00707269 orebits.h....pri + 196f0 6e74665f 6170692e 68000e00 00756172 ntf_api.h....uar + 19700 745f6170 692e6800 0f000064 62675f61 t_api.h....dbg_a + 19710 70692e68 00100000 6d656d5f 6170692e pi.h....mem_api. + 19720 68001100 006d6973 635f6170 692e6800 h....misc_api.h. + 19730 12000073 7472696e 675f6170 692e6800 ...string_api.h. + 19740 13000074 696d6572 5f617069 2e680014 ...timer_api.h.. + 19750 0000726f 6d705f61 70692e68 00150000 ..romp_api.h.... + 19760 616c6c6f 6372616d 5f617069 2e680016 allocram_api.h.. + 19770 00007461 736b6c65 745f6170 692e6800 ..tasklet_api.h. + 19780 17000063 6c6f636b 5f617069 2e680018 ...clock_api.h.. + 19790 0000696e 74725f61 70692e68 00190000 ..intr_api.h.... + 197a0 7764745f 6170692e 68001a00 00656570 wdt_api.h....eep + 197b0 726f6d5f 6170692e 68001b00 00757362 rom_api.h....usb + 197c0 5f617069 2e68001c 00006869 665f7063 _api.h....hif_pc + 197d0 692e6800 1c000068 69665f61 70692e68 i.h....hif_api.h + 197e0 001c0000 6164665f 6e627566 2e68001d ....adf_nbuf.h.. + 197f0 00006164 665f6f73 5f757469 6c2e6800 ..adf_os_util.h. + 19800 1e000061 64665f6f 735f7574 696c5f70 ...adf_os_util_p + 19810 76742e68 001f0000 6164665f 6f735f74 vt.h....adf_os_t + 19820 79706573 2e68001e 00006164 665f6f73 ypes.h....adf_os + 19830 5f737464 74797065 732e6800 1e000061 _stdtypes.h....a + 19840 64665f6f 735f7479 7065735f 7076742e df_os_types_pvt. + 19850 68001f00 00766275 665f6170 692e6800 h....vbuf_api.h. + 19860 20000076 64657363 5f617069 2e680021 ..vdesc_api.h.! + 19870 00007374 64617267 2e680002 00007661 ..stdarg.h....va + 19880 2d787465 6e73612e 68000600 00616466 -xtensa.h....adf + 19890 5f6f735f 646d612e 68001e00 00616466 _os_dma.h....adf + 198a0 5f6f735f 646d615f 7076742e 68001f00 _os_dma_pvt.h... + 198b0 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h + 198c0 00220000 6164665f 6e627566 5f707674 ."..adf_nbuf_pvt + 198d0 2e680023 0000646d 615f6c69 622e6800 .h.#..dma_lib.h. + 198e0 1c000068 69665f67 6d61632e 68001c00 ...hif_gmac.h... + 198f0 00757362 6669666f 5f617069 2e68001c .usbfifo_api.h.. + 19900 00006874 635f6170 692e6800 24000068 ..htc_api.h.$..h + 19910 74632e68 00250000 6275665f 706f6f6c tc.h.%..buf_pool + 19920 5f617069 2e680026 0000776d 695f7376 _api.h.&..wmi_sv + 19930 635f6170 692e6800 27000077 6d692e68 c_api.h.'..wmi.h + 19940 00250000 61746864 6566732e 68002500 .%..athdefs.h.%. + 19950 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. + 19960 68002800 00646573 632e6800 29000000 h.(..desc.h.)... + 19970 00050200 8e5cf003 3a010300 09000301 .....\..:....... + 19980 03030900 02010301 09000701 030d0900 ................ + 19990 02010376 09000201 03080900 17010302 ...v............ + 199a0 09000201 037e0900 02010302 09000c01 .....~.......... + 199b0 03190900 05010309 09000301 03030900 ................ + 199c0 06010301 09000601 03010900 0601030a ................ + 199d0 09000601 03080900 0b010304 09000801 ................ + 199e0 037c0900 08010304 09000201 03030900 .|.............. + 199f0 06010303 09000f01 03050900 0c01037b ...............{ + 19a00 09000201 03050900 03010303 09000c01 ................ + 19a10 03040900 0b010303 09001101 03010900 ................ + 19a20 02010362 09000201 036e0900 050103c8 ...b.....n...... + 19a30 00090005 01030909 00030103 04090007 ................ + 19a40 01030309 00120103 08090008 01030309 ................ + 19a50 000a0103 02090008 01030409 000a0103 ................ + 19a60 0509000b 01030309 000f0103 0509000c ................ + 19a70 01037b09 00020103 05090003 01030209 ..{............. + 19a80 000c0103 0109000b 01030209 00110103 ................ + 19a90 01090002 01036009 00020103 76090005 ......`.....v... + 19aa0 01090003 00010100 00114a00 0200000c ..........J..... + 19ab0 f80101fb 0e0a0001 01010100 0000012f .............../ + 19ac0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 19ad0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 19ae0 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 19af0 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 19b00 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 19b10 67706965 5f315f31 2f726f6d 2f667764 gpie_1_1/rom/fwd + 19b20 2f002f72 6f6f742f 576f726b 73706163 /./root/Workspac + 19b30 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19b40 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 19b50 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19b60 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19b70 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19b80 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 19b90 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 19ba0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 19bb0 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 19bc0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19bd0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19be0 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 19bf0 6e632f6d 61677069 65002f6f 70742f78 nc/magpie./opt/x + 19c00 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 19c10 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 19c20 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 19c30 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 19c40 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 19c50 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt + 19c60 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 19c70 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 19c80 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 19c90 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + 19ca0 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + 19cb0 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten + 19cc0 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 19cd0 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 19ce0 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 19cf0 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 19d00 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x + 19d10 74656e73 612f636f 6e666967 002f726f tensa/config./ro + 19d20 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 19d30 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 19d40 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 19d50 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc + 19d60 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 19d70 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 19d80 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 19d90 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i + 19da0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19db0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19dc0 2f746172 6765742f 696e6300 2f726f6f /target/inc./roo + 19dd0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 19de0 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 19df0 2f636d6e 6f732f64 62672f69 6e63002f /cmnos/dbg/inc./ + 19e00 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 19e10 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 19e20 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 19e30 6d2f636d 6e6f732f 6d656d2f 696e6300 m/cmnos/mem/inc. + 19e40 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19e50 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19e60 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19e70 6f6d2f63 6d6e6f73 2f6d6973 632f696e om/cmnos/misc/in + 19e80 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 19e90 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19ea0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19eb0 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin + 19ec0 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + 19ed0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19ee0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19ef0 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t + 19f00 696d6572 2f696e63 002f726f 6f742f57 imer/inc./root/W + 19f10 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19f20 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19f30 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 19f40 732f726f 6d706174 63682f69 6e63002f s/rompatch/inc./ + 19f50 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 19f60 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 19f70 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 19f80 6d2f636d 6e6f732f 616c6c6f 6372616d m/cmnos/allocram + 19f90 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19fa0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19fb0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19fc0 315f312f 726f6d2f 636d6e6f 732f7461 1_1/rom/cmnos/ta + 19fd0 736b6c65 742f696e 63002f72 6f6f742f sklet/inc./root/ + 19fe0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 19ff0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1a000 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 1a010 6f732f63 6c6f636b 2f696e63 002f726f os/clock/inc./ro + 1a020 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1a030 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1a040 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 1a050 636d6e6f 732f696e 74722f69 6e63002f cmnos/intr/inc./ + 1a060 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1a070 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1a080 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1a090 6d2f636d 6e6f732f 7764742f 696e6300 m/cmnos/wdt/inc. + 1a0a0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1a0b0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1a0c0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1a0d0 6f6d2f63 6d6e6f73 2f656570 726f6d2f om/cmnos/eeprom/ + 1a0e0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1a0f0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1a100 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 1a110 5f312f72 6f6d2f68 69662f69 6e63002f _1/rom/hif/inc./ + 1a120 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1a130 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 1a140 2f696e63 6c756465 2f6e6275 66002f72 /include/nbuf./r + 1a150 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1a160 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 1a170 696e636c 7564652f 6f73002f 726f6f74 include/os./root + 1a180 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1a190 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 1a1a0 6164662f 6f732f69 6e63002f 6f70742f adf/os/inc./opt/ + 1a1b0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 1a1c0 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 1a1d0 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 1a1e0 5874656e 7361546f 6f6c732f 6c69622f XtensaTools/lib/ + 1a1f0 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. + 1a200 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1a210 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1a220 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1a230 6f6d2f76 6275662f 696e6300 2f726f6f om/vbuf/inc./roo + 1a240 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1a250 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1a260 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 1a270 64657363 2f696e63 002f726f 6f742f57 desc/inc./root/W + 1a280 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a290 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 1a2a0 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor + 1a2b0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1a2c0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 1a2d0 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb + 1a2e0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 1a2f0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1a300 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 1a310 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in + 1a320 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1a330 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan + 1a340 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 1a350 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a360 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1a370 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + 1a380 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W + 1a390 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a3a0 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm + 1a3b0 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work + 1a3c0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1a3d0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1a3e0 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng + 1a3f0 696e652f 696e6300 2f726f6f 742f576f ine/inc./root/Wo + 1a400 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1a410 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1a420 69655f31 5f312f72 6f6d2f66 77640000 ie_1_1/rom/fwd.. + 1a430 6677642e 63000100 004f5455 535f736f fwd.c....OTUS_so + 1a440 632e6800 02000063 6d6e6f73 5f617069 c.h....cmnos_api + 1a450 2e680003 00007379 735f6366 672e6800 .h....sys_cfg.h. + 1a460 04000072 6f6d5f63 66672e68 00050000 ...rom_cfg.h.... + 1a470 6d616770 69655f6d 656d2e68 00040000 magpie_mem.h.... + 1a480 636f7265 2e680006 00006861 6c2e6800 core.h....hal.h. + 1a490 07000063 6f72652d 6973612e 68000800 ...core-isa.h... + 1a4a0 00636f72 652d6d61 746d6170 2e680008 .core-matmap.h.. + 1a4b0 00007469 652e6800 08000078 7472756e ..tie.h....xtrun + 1a4c0 74696d65 2e680007 00007370 65637265 time.h....specre + 1a4d0 672e6800 08000063 6f726562 6974732e g.h....corebits. + 1a4e0 68000700 00707269 6e74665f 6170692e h....printf_api. + 1a4f0 68000900 00756172 745f6170 692e6800 h....uart_api.h. + 1a500 0a000072 65675f64 6566732e 68000500 ...reg_defs.h... + 1a510 0064745f 64656673 2e68000b 00006462 .dt_defs.h....db + 1a520 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + 1a530 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + 1a540 2e68000e 00007374 72696e67 5f617069 .h....string_api + 1a550 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + 1a560 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + 1a570 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 1a580 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + 1a590 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + 1a5a0 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + 1a5b0 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + 1a5c0 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + 1a5d0 7573625f 6170692e 68001800 00686966 usb_api.h....hif + 1a5e0 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + 1a5f0 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + 1a600 68001900 00616466 5f6f735f 7574696c h....adf_os_util + 1a610 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + 1a620 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + 1a630 735f7479 7065732e 68001a00 00616466 s_types.h....adf + 1a640 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + 1a650 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 1a660 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + 1a670 001c0000 6f736170 692e6800 0b000076 ....osapi.h....v + 1a680 6275665f 6170692e 68001d00 00766465 buf_api.h....vde + 1a690 73635f61 70692e68 001e0000 73746461 sc_api.h....stda + 1a6a0 72672e68 000b0000 76612d78 74656e73 rg.h....va-xtens + 1a6b0 612e6800 1c000061 64665f6f 735f646d a.h....adf_os_dm + 1a6c0 612e6800 1a000061 64665f6f 735f646d a.h....adf_os_dm + 1a6d0 615f7076 742e6800 1b000061 64665f6e a_pvt.h....adf_n + 1a6e0 65745f74 79706573 2e68001f 00006164 et_types.h....ad + 1a6f0 665f6e62 75665f70 76742e68 00200000 f_nbuf_pvt.h. .. + 1a700 646d615f 6c69622e 68001800 00686966 dma_lib.h....hif + 1a710 5f676d61 632e6800 1800004d 61677069 _gmac.h....Magpi + 1a720 655f6170 692e6800 04000075 73626669 e_api.h....usbfi + 1a730 666f5f61 70692e68 00180000 6874635f fo_api.h....htc_ + 1a740 6170692e 68002100 00687463 2e680022 api.h.!..htc.h." + 1a750 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h + 1a760 00230000 776d695f 7376635f 6170692e .#..wmi_svc_api. + 1a770 68002400 00776d69 2e680022 00006174 h.$..wmi.h."..at + 1a780 68646566 732e6800 22000064 6d615f65 hdefs.h."..dma_e + 1a790 6e67696e 655f6170 692e6800 25000066 ngine_api.h.%..f + 1a7a0 77642e68 00260000 00043d00 0502008e wd.h.&....=..... + 1a7b0 5e600335 01030109 00030103 01090006 ^`.5............ + 1a7c0 01037f09 00050103 01090002 01030109 ................ + 1a7d0 00030104 01036109 00050103 00090003 ......a......... + 1a7e0 01030409 000c0103 01090002 01030309 ................ + 1a7f0 00020103 7e090003 01030209 00020103 ....~........... + 1a800 04090005 01030209 000d0103 0209000a ................ + 1a810 01030509 00040103 00090003 01030109 ................ + 1a820 00080103 0109000b 01030409 00020103 ................ + 1a830 00090003 01030a09 00030103 7a090002 ............z... + 1a840 01037e09 00020103 02090005 01030109 ..~............. + 1a850 00090103 7f09000a 01030309 00020103 ................ + 1a860 01090003 01037f09 00020103 03090003 ................ + 1a870 01030709 00040103 0a090003 01030109 ................ + 1a880 000a0103 7f090003 01030409 00020103 ................ + 1a890 01090006 01030109 00050103 01090006 ................ + 1a8a0 01030309 00040103 01090007 01030609 ................ + 1a8b0 00080103 7d090003 01030209 00020103 ....}........... + 1a8c0 04090005 01030109 00080103 0109000a ................ + 1a8d0 01030109 00020103 7d090002 01030709 ........}....... + 1a8e0 00020103 01090004 01037f09 00020103 ................ + 1a8f0 02090005 01030109 000c0103 02090003 ................ + 1a900 01030109 00020103 7d090002 01030409 ........}....... + 1a910 00030103 7c090002 01030309 00030103 ....|........... + 1a920 7f090003 01030209 00030103 7e090003 ............~... + 1a930 01030409 00040103 0309000c 01030109 ................ + 1a940 00070103 0c090003 01030409 000a0103 ................ + 1a950 04090011 01030209 00030103 6d090002 ............m... + 1a960 01030409 000c0103 02090005 01030109 ................ + 1a970 00030103 1009000b 01030009 00030103 ................ + 1a980 02090005 01030109 00020103 01090002 ................ + 1a990 01030109 00020103 01090002 01030109 ................ + 1a9a0 00020103 01090002 01030109 00020103 ................ + 1a9b0 01090002 01030109 00020103 01090002 ................ + 1a9c0 01030109 00020103 01090002 01030409 ................ + 1a9d0 00040103 00090003 01030309 00030103 ................ + 1a9e0 0209000c 01030209 00090103 0209000c ................ + 1a9f0 01030309 00090103 02090009 01030309 ................ + 1aa00 000c0103 0209000c 01030109 00090103 ................ + 1aa10 03090009 01030209 000c0103 01090009 ................ + 1aa20 01030309 00090103 02090009 01030209 ................ + 1aa30 000e0103 01090005 01030209 000c0103 ................ + 1aa40 01090005 01030109 00090103 01090009 ................ + 1aa50 01030409 00040103 00090003 01030309 ................ + 1aa60 00030103 0109000b 01030109 00090103 ................ + 1aa70 7f09000b 01030409 00090103 0609000e ................ + 1aa80 01030009 00030103 01090003 01030109 ................ + 1aa90 000e0103 04090004 01030409 00030103 ................ + 1aaa0 0109001a 01030609 00050103 04090002 ................ + 1aab0 01037609 00020103 02090003 01030509 ..v............. + 1aac0 00050103 7f090003 01037e09 00090103 ..........~..... + 1aad0 05090005 01030309 00020103 05090007 ................ + 1aae0 01030009 00030103 04090006 01030109 ................ + 1aaf0 000a0103 01090005 01030209 00050103 ................ + 1ab00 01090007 01030109 00020103 7b090005 ............{... + 1ab10 01030709 00030103 0109000d 01030409 ................ + 1ab20 00050103 00090003 01030409 00020103 ................ + 1ab30 0109000b 01030209 00090103 7d090002 ............}... + 1ab40 01030309 00020103 09090003 01030309 ................ + 1ab50 00030103 01090008 01030209 000b0103 ................ + 1ab60 01090007 01030209 000b0103 7f090002 ................ + 1ab70 01030109 00040103 03090003 01030109 ................ + 1ab80 00050103 01090005 01030109 000b0103 ................ + 1ab90 02090005 01030209 00070103 02090007 ................ + 1aba0 01030609 00030103 0109000f 01030109 ................ + 1abb0 00090103 01090002 01037309 00050103 ..........s..... + 1abc0 10090008 01030209 00090103 7f090002 ................ + 1abd0 01030109 00040103 03090003 01030109 ................ + 1abe0 00030103 01090003 01030609 00090109 ................ + 1abf0 00040001 0100000e bd000200 000d4501 ..............E. + 1ac00 01fb0e0a 00010101 01000000 012f726f ............./ro + 1ac10 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1ac20 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1ac30 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 1ac40 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 1ac50 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 1ac60 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p + 1ac70 6f6f6c2f 73726300 2f726f6f 742f576f ool/src./root/Wo + 1ac80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1ac90 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 1aca0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1acb0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 1acc0 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ + 1acd0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1ace0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1acf0 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 1ad00 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1ad10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1ad20 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 1ad30 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ + 1ad40 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1ad50 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1ad60 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 1ad70 632f6d61 67706965 002f6f70 742f7874 c/magpie./opt/xt + 1ad80 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 1ad90 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 1ada0 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 1adb0 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 1adc0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 1add0 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 1ade0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 1adf0 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 1ae00 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 1ae10 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 1ae20 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 1ae30 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 1ae40 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 1ae50 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 1ae60 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 1ae70 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 1ae80 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 1ae90 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 1aea0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1aeb0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1aec0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 1aed0 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 1aee0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1aef0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1af00 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1af10 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 1af20 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1af30 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1af40 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 1af50 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1af60 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1af70 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1af80 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 1af90 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 1afa0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1afb0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1afc0 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 1afd0 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 1afe0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1aff0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1b000 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 1b010 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 1b020 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1b030 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1b040 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 1b050 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 1b060 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b070 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1b080 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1b090 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 1b0a0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1b0b0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1b0c0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1b0d0 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 1b0e0 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 1b0f0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1b100 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1b110 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 1b120 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 1b130 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1b140 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1b150 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1b160 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 1b170 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 1b180 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 1b190 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 1b1a0 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 1b1b0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1b1c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1b1d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1b1e0 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 1b1f0 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 1b200 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1b210 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1b220 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 1b230 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 1b240 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1b250 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1b260 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 1b270 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1b280 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1b290 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 1b2a0 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 1b2b0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1b2c0 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 1b2d0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1b2e0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 1b2f0 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 1b300 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 1b310 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 1b320 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 1b330 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 1b340 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 1b350 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 1b360 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1b370 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1b380 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 1b390 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1b3a0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1b3b0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 1b3c0 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 1b3d0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b3e0 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 1b3f0 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 1b400 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1b410 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1b420 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 1b430 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 1b440 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1b450 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1b460 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 1b470 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 1b480 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1b490 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 1b4a0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b4b0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1b4c0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1b4d0 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 1b4e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b4f0 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 1b500 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 1b510 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1b520 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1b530 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 1b540 6d615f65 6e67696e 652f696e 63002f72 ma_engine/inc./r + 1b550 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1b560 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 1b570 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 1b580 2f627566 5f706f6f 6c2f7372 63000062 /buf_pool/src..b + 1b590 75665f70 6f6f6c5f 73746174 69632e63 uf_pool_static.c + 1b5a0 00010000 6f736170 692e6800 0200004f ....osapi.h....O + 1b5b0 5455535f 736f632e 68000300 004d6167 TUS_soc.h....Mag + 1b5c0 7069655f 6170692e 68000400 00636d6e pie_api.h....cmn + 1b5d0 6f735f61 70692e68 00050000 7379735f os_api.h....sys_ + 1b5e0 6366672e 68000400 00726f6d 5f636667 cfg.h....rom_cfg + 1b5f0 2e680006 00006d61 67706965 5f6d656d .h....magpie_mem + 1b600 2e680004 0000636f 72652e68 00070000 .h....core.h.... + 1b610 68616c2e 68000800 00636f72 652d6973 hal.h....core-is + 1b620 612e6800 09000063 6f72652d 6d61746d a.h....core-matm + 1b630 61702e68 00090000 7469652e 68000900 ap.h....tie.h... + 1b640 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... + 1b650 73706563 7265672e 68000900 00636f72 specreg.h....cor + 1b660 65626974 732e6800 08000070 72696e74 ebits.h....print + 1b670 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ + 1b680 6170692e 68000b00 00726567 5f646566 api.h....reg_def + 1b690 732e6800 06000064 745f6465 66732e68 s.h....dt_defs.h + 1b6a0 00020000 6462675f 6170692e 68000c00 ....dbg_api.h... + 1b6b0 006d656d 5f617069 2e68000d 00006d69 .mem_api.h....mi + 1b6c0 73635f61 70692e68 000e0000 73747269 sc_api.h....stri + 1b6d0 6e675f61 70692e68 000f0000 74696d65 ng_api.h....time + 1b6e0 725f6170 692e6800 10000072 6f6d705f r_api.h....romp_ + 1b6f0 6170692e 68001100 00616c6c 6f637261 api.h....allocra + 1b700 6d5f6170 692e6800 12000074 61736b6c m_api.h....taskl + 1b710 65745f61 70692e68 00130000 636c6f63 et_api.h....cloc + 1b720 6b5f6170 692e6800 14000069 6e74725f k_api.h....intr_ + 1b730 6170692e 68001500 00776474 5f617069 api.h....wdt_api + 1b740 2e680016 00006565 70726f6d 5f617069 .h....eeprom_api + 1b750 2e680017 00007573 625f6170 692e6800 .h....usb_api.h. + 1b760 18000068 69665f70 63692e68 00180000 ...hif_pci.h.... + 1b770 6869665f 6170692e 68001800 00616466 hif_api.h....adf + 1b780 5f6e6275 662e6800 19000061 64665f6f _nbuf.h....adf_o + 1b790 735f7574 696c2e68 001a0000 6164665f s_util.h....adf_ + 1b7a0 6f735f75 74696c5f 7076742e 68001b00 os_util_pvt.h... + 1b7b0 00616466 5f6f735f 74797065 732e6800 .adf_os_types.h. + 1b7c0 1a000061 64665f6f 735f7374 64747970 ...adf_os_stdtyp + 1b7d0 65732e68 001a0000 6164665f 6f735f74 es.h....adf_os_t + 1b7e0 79706573 5f707674 2e68001b 00007374 ypes_pvt.h....st + 1b7f0 64646566 2e68001c 00007662 75665f61 ddef.h....vbuf_a + 1b800 70692e68 001d0000 76646573 635f6170 pi.h....vdesc_ap + 1b810 692e6800 1e000073 74646172 672e6800 i.h....stdarg.h. + 1b820 02000076 612d7874 656e7361 2e68001c ...va-xtensa.h.. + 1b830 00006164 665f6f73 5f646d61 2e68001a ..adf_os_dma.h.. + 1b840 00006164 665f6f73 5f646d61 5f707674 ..adf_os_dma_pvt + 1b850 2e68001b 00006164 665f6e65 745f7479 .h....adf_net_ty + 1b860 7065732e 68001f00 00616466 5f6e6275 pes.h....adf_nbu + 1b870 665f7076 742e6800 20000064 6d615f6c f_pvt.h. ..dma_l + 1b880 69622e68 00180000 6869665f 676d6163 ib.h....hif_gmac + 1b890 2e680018 00007573 62666966 6f5f6170 .h....usbfifo_ap + 1b8a0 692e6800 18000068 74635f61 70692e68 i.h....htc_api.h + 1b8b0 00210000 6874632e 68002200 00627566 .!..htc.h."..buf + 1b8c0 5f706f6f 6c5f6170 692e6800 23000077 _pool_api.h.#..w + 1b8d0 6d695f73 76635f61 70692e68 00240000 mi_svc_api.h.$.. + 1b8e0 776d692e 68002200 00617468 64656673 wmi.h."..athdefs + 1b8f0 2e680022 0000646d 615f656e 67696e65 .h."..dma_engine + 1b900 5f617069 2e680025 00006164 665f6f73 _api.h.%..adf_os + 1b910 5f6d656d 2e68001a 00006164 665f6f73 _mem.h....adf_os + 1b920 5f6d656d 5f707674 2e68001b 00006275 _mem_pvt.h....bu + 1b930 665f706f 6f6c5f73 74617469 632e6800 f_pool_static.h. + 1b940 26000000 00050200 8e626403 21010300 &........bd.!... + 1b950 09000301 03060900 1201037f 09000201 ................ + 1b960 037f0900 0201037f 09000201 037f0900 ................ + 1b970 0201037f 09000201 03060900 02010303 ................ + 1b980 09000301 03000900 03010304 09000301 ................ + 1b990 03010900 0a010301 09000201 03020900 ................ + 1b9a0 0201030d 09000401 03020900 03010303 ................ + 1b9b0 09000501 03000900 03010307 09000201 ................ + 1b9c0 03010900 0e010301 09000601 037f0900 ................ + 1b9d0 03010301 09000201 043e03bb 7f090005 .........>...... + 1b9e0 01040103 c3000900 0a010312 09000201 ................ + 1b9f0 03730900 0201037f 09000301 03030900 .s.............. + 1ba00 0201037f 09000501 03060900 0301037e ...............~ + 1ba10 09000201 037f0900 02010304 09000501 ................ + 1ba20 03730900 02010313 09000301 037c0900 .s...........|.. + 1ba30 02010304 09000501 03030900 05010300 ................ + 1ba40 09000301 03030900 03010302 09000501 ................ + 1ba50 03030900 0401037d 09000201 03020900 .......}........ + 1ba60 04010301 09000201 03010900 03010301 ................ + 1ba70 09000301 03030900 03010304 09000401 ................ + 1ba80 03000900 03010301 09000601 03040900 ................ + 1ba90 07010300 09000301 03010900 03010303 ................ + 1baa0 09000701 037e0900 02010305 09000201 .....~.......... + 1bab0 09000200 01010000 02b60002 00000288 ................ + 1bac0 0101fb0e 0a000101 01010000 00012f66 ............../f + 1bad0 6f6c6b73 2f726873 752f7072 6f6a6563 olks/rhsu/projec + 1bae0 742f7034 762f7065 72666f72 63652f70 t/p4v/perforce/p + 1baf0 305f636f 72652f70 726f6a65 63742f73 0_core/project/s + 1bb00 72632f72 6f6d2f6f 732f7372 632f7874 rc/rom/os/src/xt + 1bb10 6f73002f 6361642f 74656e73 696c6963 os./cad/tensilic + 1bb20 612f746f 6f6c732f 52422d32 3030372e a/tools/RB-2007. + 1bb30 322f5874 44657654 6f6f6c73 2f696e73 2/XtDevTools/ins + 1bb40 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 1bb50 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 1bb60 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 1bb70 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 1bb80 2f636f6e 66696700 2f636164 2f74656e /config./cad/ten + 1bb90 73696c69 63612f74 6f6f6c73 2f52422d silica/tools/RB- + 1bba0 32303037 2e322f58 74446576 546f6f6c 2007.2/XtDevTool + 1bbb0 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 1bbc0 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 1bbd0 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 1bbe0 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 1bbf0 74656e73 61002f74 72656573 2f627961 tensa./trees/bya + 1bc00 6e672f4d 61677069 655f5030 2f636164 ng/Magpie_P0/cad + 1bc10 2f617468 722f6970 2f74656e 73696c69 /athr/ip/tensili + 1bc20 63612f6d 61677069 655f7631 5f302f69 ca/magpie_v1_0/i + 1bc30 6e737461 6c6c2f62 75696c64 732f5242 nstall/builds/RB + 1bc40 2d323030 372e322d 6c696e75 782f4d61 -2007.2-linux/Ma + 1bc50 67706965 5f50302f 7874656e 73612d65 gpie_P0/xtensa-e + 1bc60 6c662f61 7263682f 696e636c 7564652f lf/arch/include/ + 1bc70 7874656e 73612f63 6f6e6669 67000065 xtensa/config..e + 1bc80 78632d73 65746861 6e646c65 722e6300 xc-sethandler.c. + 1bc90 01000063 6f72652e 68000200 0068616c ...core.h....hal + 1bca0 2e680003 0000636f 72652d69 73612e68 .h....core-isa.h + 1bcb0 00040000 636f7265 2d6d6174 6d61702e ....core-matmap. + 1bcc0 68000400 00746965 2e680004 00007370 h....tie.h....sp + 1bcd0 65637265 672e6800 04000063 6f726562 ecreg.h....coreb + 1bce0 6974732e 68000300 0078746f 732d696e its.h....xtos-in + 1bcf0 7465726e 616c2e68 00010000 78747275 ternal.h....xtru + 1bd00 6e74696d 652e6800 03000078 7472756e ntime.h....xtrun + 1bd10 74696d65 2d667261 6d65732e 68000300 time-frames.h... + 1bd20 00787465 6e73612d 76657273 696f6e73 .xtensa-versions + 1bd30 2e680003 00007874 6f732d70 6172616d .h....xtos-param + 1bd40 732e6800 01000000 00050200 8e634803 s.h..........cH. + 1bd50 20010303 09000301 030c0900 08010300 ............... + 1bd60 09002901 03000900 04010900 29000101 ..).........)... + 1bd70 0000003b 00020000 001f0101 fb0e0a00 ...;............ + 1bd80 01010101 00000001 00657863 2d746162 .........exc-tab + 1bd90 6c652e53 00000000 00000502 008e6950 le.S..........iP + 1bda0 03290103 02090003 01090002 00010100 .).............. + 1bdb0 00010f00 02000000 2b0101fb 0e0a0001 ........+....... + 1bdc0 01010100 00000100 6578632d 632d7772 ........exc-c-wr + 1bdd0 61707065 722d6861 6e646c65 722e5300 apper-handler.S. + 1bde0 00000000 00050200 8e63ac03 1d010301 .........c...... + 1bdf0 09000201 030d0900 06010307 09000201 ................ + 1be00 032b0900 02010302 09000301 03010900 .+.............. + 1be10 03010307 09000301 03010900 02010315 ................ + 1be20 09000201 03020900 03010302 09000301 ................ + 1be30 03d30009 00030103 01090003 01030809 ................ + 1be40 00030103 c1000900 03010301 09000301 ................ + 1be50 03060900 03010301 09000301 03020900 ................ + 1be60 02010301 09000201 03020900 0f010301 ................ + 1be70 09000301 03070900 02010302 09000201 ................ + 1be80 03010900 03010308 09000301 03010900 ................ + 1be90 0f01031c 09000201 03030900 03010302 ................ + 1bea0 09000301 03070900 0301030b 09000301 ................ + 1beb0 03010900 03010301 09000301 09000200 ................ + 1bec0 01010000 00c80002 00000064 0101fb0e ...........d.... + 1bed0 0a000101 01010000 00012f68 6f6d652f ........../home/ + 1bee0 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 1bef0 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw + 1bf00 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ + 1bf10 7874656e 73612d65 6c662f73 72632f68 xtensa-elf/src/h + 1bf20 616c0000 636c6f63 6b2e5300 01000000 al..clock.S..... + 1bf30 00050200 8e695803 2a010302 09000301 .....iX.*....... + 1bf40 030e0900 0301030d 09000201 03020900 ................ + 1bf50 03010301 09000301 030a0900 03010304 ................ + 1bf60 09000301 03090900 04010302 09000301 ................ + 1bf70 03010900 02010301 09000301 030c0900 ................ + 1bf80 02010302 09000201 09000200 01010000 ................ + 1bf90 01c70002 000001c1 0101fb0e 0a000101 ................ + 1bfa0 01010000 00012f68 6f6d652f 63757374 ....../home/cust + 1bfb0 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1bfc0 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 1bfd0 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 1bfe0 73612d65 6c662f73 72632f68 616c002f sa-elf/src/hal./ + 1bff0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1c000 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1c010 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1c020 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1c030 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + 1c040 6f6e6669 67002f68 6f6d652f 63757374 onfig./home/cust + 1c050 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1c060 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 1c070 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 1c080 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 1c090 74656e73 61002f70 726f6a65 63742f63 tensa./project/c + 1c0a0 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 + 1c0b0 30372e32 2f627569 6c642f61 74686572 07.2/build/ather + 1c0c0 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P + 1c0d0 302f3833 3734332f 52422d32 3030372e 0/83743/RB-2007. + 1c0e0 322f4d61 67706965 5f50302f 7874656e 2/Magpie_P0/xten + 1c0f0 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl + 1c100 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 1c110 6700006d 6973632e 63000100 00636f72 g..misc.c....cor + 1c120 652e6800 02000068 616c2e68 00030000 e.h....hal.h.... + 1c130 636f7265 2d697361 2e680004 0000636f core-isa.h....co + 1c140 72652d6d 61746d61 702e6800 04000074 re-matmap.h....t + 1c150 69652e68 00040000 00000003 31000200 ie.h........1... + 1c160 00006e01 01fb0e0a 00010101 01000000 ..n............. + 1c170 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1c180 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 1c190 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 1c1a0 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 1c1b0 662f7372 632f6861 6c000077 696e646f f/src/hal..windo + 1c1c0 77737069 6c6c5f61 736d2e53 00010000 wspill_asm.S.... + 1c1d0 00000502 008e6428 03f10001 03010900 ......d(........ + 1c1e0 03010301 09000201 03010900 03010301 ................ + 1c1f0 09000301 03010900 03010301 09000301 ................ + 1c200 03020900 03010301 09000301 030f0900 ................ + 1c210 03010301 09000301 03010900 03010301 ................ + 1c220 09000301 030c0900 03010301 09000301 ................ + 1c230 03090900 03010302 09000301 03010900 ................ + 1c240 03010304 09000301 03010900 03010303 ................ + 1c250 09000301 03180900 03010301 09000301 ................ + 1c260 03010900 03010301 09000301 03010900 ................ + 1c270 03010306 09000301 03010900 03010301 ................ + 1c280 09000201 03010900 02010301 09000201 ................ + 1c290 03020900 02010301 09000301 03020900 ................ + 1c2a0 03010304 09000301 03010900 03010301 ................ + 1c2b0 09000201 03010900 02010301 09000201 ................ + 1c2c0 03020900 02010301 09000301 03020900 ................ + 1c2d0 02010302 09000301 03010900 02010301 ................ + 1c2e0 09000201 03010900 02010302 09000201 ................ + 1c2f0 03010900 03010302 09000301 03040900 ................ + 1c300 03010302 09000301 03010900 03010301 ................ + 1c310 09000201 03010900 02010301 09000201 ................ + 1c320 03020900 02010301 09000301 03010900 ................ + 1c330 02010301 09000301 03020900 03010301 ................ + 1c340 09000201 03010900 02010301 09000201 ................ + 1c350 03010900 02010301 09000201 03010900 ................ + 1c360 02010301 09000201 03020900 02010301 ................ + 1c370 09000301 03010900 03010302 09000301 ................ + 1c380 03050900 05010301 09000301 03010900 ................ + 1c390 03010301 09000301 03010900 02010301 ................ + 1c3a0 09000301 03010900 03010301 09000301 ................ + 1c3b0 03010900 02010307 09000201 03010900 ................ + 1c3c0 0201030f 09000401 03010900 03010301 ................ + 1c3d0 09000201 03010900 03010301 09000301 ................ + 1c3e0 03010900 02010301 09000301 03010900 ................ + 1c3f0 03010304 09000301 03010900 03010301 ................ + 1c400 09000301 03010900 03010301 09000301 ................ + 1c410 03010900 03010301 09000301 03010900 ................ + 1c420 02010301 09000301 03010900 03010302 ................ + 1c430 09000301 03010900 0201031a 09000501 ................ + 1c440 03020900 03010301 09000301 03010900 ................ + 1c450 03010301 09000201 03010900 03010301 ................ + 1c460 09000201 03010900 03010301 09000301 ................ + 1c470 03010900 03010301 09000201 03010900 ................ + 1c480 03010302 09000301 09000200 01010000 ................ + 1c490 00880002 00000066 0101fb0e 0a000101 .......f........ + 1c4a0 01010000 00012f68 6f6d652f 63757374 ....../home/cust + 1c4b0 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1c4c0 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 1c4d0 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 1c4e0 73612d65 6c662f73 72632f68 616c0000 sa-elf/src/hal.. + 1c4f0 696e745f 61736d2e 53000100 00000005 int_asm.S....... + 1c500 02008e69 80033e01 03020900 03010304 ...i..>......... + 1c510 09000301 09000200 01010000 00890002 ................ + 1c520 00000066 0101fb0e 0a000101 01010000 ...f............ + 1c530 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer + 1c540 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 1c550 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 + 1c560 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e + 1c570 6c662f73 72632f68 616c0000 696e745f lf/src/hal..int_ + 1c580 61736d2e 53000100 00000005 02008e69 asm.S..........i + 1c590 8803e500 01030209 00030103 04090003 ................ + 1c5a0 01090002 00010100 00008900 02000000 ................ + 1c5b0 660101fb 0e0a0001 01010100 0000012f f............../ + 1c5c0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1c5d0 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1c5e0 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1c5f0 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1c600 7372632f 68616c00 00696e74 5f61736d src/hal..int_asm + 1c610 2e530001 00000000 0502008e 699003cf .S..........i... + 1c620 00010302 09000301 03020900 03010900 ................ + 1c630 02000101 000001e8 00020000 01e20101 ................ + 1c640 fb0e0a00 01010101 00000001 2f686f6d ............/hom + 1c650 652f6375 73746f6d 65722f74 7265652f e/customer/tree/ + 1c660 52422d32 3030372e 322f746f 6f6c732f RB-2007.2/tools/ + 1c670 7377746f 6f6c732d 7838362d 6c696e75 swtools-x86-linu + 1c680 782f7874 656e7361 2d656c66 2f737263 x/xtensa-elf/src + 1c690 2f68616c 002f686f 6d652f63 7573746f /hal./home/custo + 1c6a0 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 1c6b0 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 1c6c0 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 1c6d0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 1c6e0 656e7361 2f636f6e 66696700 2f686f6d ensa/config./hom + 1c6f0 652f6375 73746f6d 65722f74 7265652f e/customer/tree/ + 1c700 52422d32 3030372e 322f746f 6f6c732f RB-2007.2/tools/ + 1c710 7377746f 6f6c732d 7838362d 6c696e75 swtools-x86-linu + 1c720 782f7874 656e7361 2d656c66 2f696e63 x/xtensa-elf/inc + 1c730 6c756465 2f787465 6e736100 2f70726f lude/xtensa./pro + 1c740 6a656374 2f637573 742f6765 6e617070 ject/cust/genapp + 1c750 2f52422d 32303037 2e322f62 75696c64 /RB-2007.2/build + 1c760 2f617468 65726f73 2f70726f 642f4d61 /atheros/prod/Ma + 1c770 67706965 5f50302f 38333734 332f5242 gpie_P0/83743/RB + 1c780 2d323030 372e322f 4d616770 69655f50 -2007.2/Magpie_P + 1c790 302f7874 656e7361 2d656c66 2f617263 0/xtensa-elf/arc + 1c7a0 682f696e 636c7564 652f7874 656e7361 h/include/xtensa + 1c7b0 2f636f6e 66696700 00696e74 65727275 /config..interru + 1c7c0 7074732e 63000100 00636f72 652e6800 pts.c....core.h. + 1c7d0 02000068 616c2e68 00030000 636f7265 ...hal.h....core + 1c7e0 2d697361 2e680004 0000636f 72652d6d -isa.h....core-m + 1c7f0 61746d61 702e6800 04000074 69652e68 atmap.h....tie.h + 1c800 00040000 73706563 7265672e 68000400 ....specreg.h... + 1c810 00636f72 65626974 732e6800 03000000 .corebits.h..... + 1c820 00000168 00020000 007f0101 fb0e0a00 ...h............ + 1c830 01010101 00000001 2f686f6d 652f6375 ......../home/cu + 1c840 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1c850 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1c860 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt + 1c870 656e7361 2d656c66 2f737263 2f6c6962 ensa-elf/src/lib + 1c880 6763632d 7863632f 636f6e66 69672f78 gcc-xcc/config/x + 1c890 74656e73 6100006c 69623166 756e6373 tensa..lib1funcs + 1c8a0 2e61736d 00010000 00000502 008e6998 .asm..........i. + 1c8b0 03ca0401 03040900 03010301 09000301 ................ + 1c8c0 03010900 03010301 09000301 030a0900 ................ + 1c8d0 03010301 09000301 03010900 03010301 ................ + 1c8e0 09000301 03030900 03010301 09000301 ................ + 1c8f0 03010900 03010301 09000301 03040900 ................ + 1c900 02010303 09000301 03010900 03010301 ................ + 1c910 09000301 03020900 02010301 09000301 ................ + 1c920 03070900 03010301 09000301 03020900 ................ + 1c930 02010301 09000301 03010900 03010303 ................ + 1c940 09000201 03010900 02010301 09000301 ................ + 1c950 03010900 03010303 09000401 03010900 ................ + 1c960 03010301 09000201 03010900 02010301 ................ + 1c970 09000301 03060900 03010304 09000701 ................ + 1c980 03020900 02010900 02000101 00000120 ............... + 1c990 00020000 007f0101 fb0e0a00 01010101 ................ + 1c9a0 00000001 2f686f6d 652f6375 73746f6d ..../home/custom + 1c9b0 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1c9c0 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- + 1c9d0 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa + 1c9e0 2d656c66 2f737263 2f6c6962 6763632d -elf/src/libgcc- + 1c9f0 7863632f 636f6e66 69672f78 74656e73 xcc/config/xtens + 1ca00 6100006c 69623166 756e6373 2e61736d a..lib1funcs.asm + 1ca10 00010000 00000502 008e6a00 03da0501 ..........j..... + 1ca20 03040900 03010301 09000301 03010900 ................ + 1ca30 03010301 09000301 03010900 03010301 ................ + 1ca40 09000301 03010900 03010302 09000301 ................ + 1ca50 03010900 03010301 09000301 03040900 ................ + 1ca60 03010303 09000301 03010900 03010302 ................ + 1ca70 09000301 03080900 03010301 09000301 ................ + 1ca80 03020900 03010301 09000301 03020900 ................ + 1ca90 03010303 09000301 03050900 02010304 ................ + 1caa0 09000701 03020900 02010900 02000101 ................ + 1cab0 00000132 00020000 007f0101 fb0e0a00 ...2............ + 1cac0 01010101 00000001 2f686f6d 652f6375 ......../home/cu + 1cad0 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1cae0 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1caf0 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt + 1cb00 656e7361 2d656c66 2f737263 2f6c6962 ensa-elf/src/lib + 1cb10 6763632d 7863632f 636f6e66 69672f78 gcc-xcc/config/x + 1cb20 74656e73 6100006c 69623166 756e6373 tensa..lib1funcs + 1cb30 2e61736d 00010000 00000502 008e6a4c .asm..........jL + 1cb40 03850401 03040900 03010302 09000301 ................ + 1cb50 03010900 02010301 09000301 03010900 ................ + 1cb60 03010302 09000301 03010900 03010301 ................ + 1cb70 09000301 03010900 03010304 09000301 ................ + 1cb80 03030900 03010301 09000301 03010900 ................ + 1cb90 03010302 09000201 03010900 03010307 ................ + 1cba0 09000301 03010900 03010302 09000301 ................ + 1cbb0 03030900 02010301 09000201 03040900 ................ + 1cbc0 04010301 09000301 03010900 02010306 ................ + 1cbd0 09000401 03040900 07010302 09000201 ................ + 1cbe0 09000200 01010000 01020002 0000007f ................ + 1cbf0 0101fb0e 0a000101 01010000 00012f68 ............../h + 1cc00 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 1cc10 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool + 1cc20 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li + 1cc30 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s + 1cc40 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co + 1cc50 6e666967 2f787465 6e736100 006c6962 nfig/xtensa..lib + 1cc60 3166756e 63732e61 736d0001 00000000 1funcs.asm...... + 1cc70 0502008e 6a9c03a0 05010304 09000301 ....j........... + 1cc80 03020900 03010301 09000301 03010900 ................ + 1cc90 03010302 09000301 03010900 03010301 ................ + 1cca0 09000301 03040900 05010303 09000301 ................ + 1ccb0 03010900 03010302 09000301 03080900 ................ + 1ccc0 03010301 09000301 03020900 03010303 ................ + 1ccd0 09000401 03050900 02010304 09000701 ................ + 1cce0 03020900 02010900 02000101 000002ec ................ + 1ccf0 00020000 02a00101 fb0e0a00 01010101 ................ + 1cd00 00000001 2f686f6d 652f6375 73746f6d ..../home/custom + 1cd10 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1cd20 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1cd30 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1cd40 69622f6e 65776c69 622f6c69 62632f73 ib/newlib/libc/s + 1cd50 7472696e 67002f68 6f6d652f 63757374 tring./home/cust + 1cd60 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1cd70 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1cd80 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1cd90 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1cda0 2f696e63 6c756465 002f7072 6f6a6563 /include./projec + 1cdb0 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 1cdc0 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 1cdd0 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 1cde0 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 1cdf0 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne + 1ce00 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ + 1ce10 6e65776c 69622f74 6172672d 696e636c newlib/targ-incl + 1ce20 75646500 2f686f6d 652f6375 73746f6d ude./home/custom + 1ce30 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1ce40 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1ce50 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1ce60 69622f6e 65776c69 622f6c69 62632f69 ib/newlib/libc/i + 1ce70 6e636c75 64652f73 7973002f 686f6d65 nclude/sys./home + 1ce80 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1ce90 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 1cea0 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 1ceb0 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 1cec0 2f6c6962 632f696e 636c7564 652f6d61 /libc/include/ma + 1ced0 6368696e 65002f68 6f6d652f 63757374 chine./home/cust + 1cee0 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1cef0 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 1cf00 732d7838 362d6c69 6e75782f 6c69622f s-x86-linux/lib/ + 1cf10 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. + 1cf20 006d656d 636d702e 63000100 00737472 .memcmp.c....str + 1cf30 696e672e 68000200 005f616e 73692e68 ing.h...._ansi.h + 1cf40 00020000 6e65776c 69622e68 00030000 ....newlib.h.... + 1cf50 636f6e66 69672e68 00040000 69656565 config.h....ieee + 1cf60 66702e68 00050000 7265656e 742e6800 fp.h....reent.h. + 1cf70 0400005f 74797065 732e6800 0400006c ..._types.h....l + 1cf80 6f636b2e 68000400 00737464 6465662e ock.h....stddef. + 1cf90 68000600 00000005 02008e6a dc033801 h..........j..8. + 1cfa0 03180900 03010305 09000b01 03030900 ................ + 1cfb0 06010304 09000701 03090900 07010302 ................ + 1cfc0 09000a01 037e0900 0d010308 09000201 .....~.......... + 1cfd0 03000900 05010900 05000101 00000351 ...............Q + 1cfe0 00020000 00760101 fb0e0a00 01010101 .....v.......... + 1cff0 00000001 2f686f6d 652f6375 73746f6d ..../home/custom + 1d000 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1d010 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1d020 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1d030 69622f6e 65776c69 622f6c69 62632f6d ib/newlib/libc/m + 1d040 61636869 6e652f78 74656e73 6100006d achine/xtensa..m + 1d050 656d6370 792e5300 01000000 00050200 emcpy.S......... + 1d060 8e6b2503 c6000103 05090003 01030109 .k%............. + 1d070 00030103 01090002 01030109 00030103 ................ + 1d080 04090002 01030909 00020103 03090003 ................ + 1d090 01030109 00030103 01090002 01030109 ................ + 1d0a0 00030103 01090003 01030309 00030103 ................ + 1d0b0 05090003 01030309 00030103 01090003 ................ + 1d0c0 01030109 00030103 01090002 01030109 ................ + 1d0d0 00030103 01090003 01030109 00030103 ................ + 1d0e0 03090002 01030709 00060103 03090003 ................ + 1d0f0 01030109 00030103 01090003 01030409 ................ + 1d100 00030103 03090003 01030709 00030103 ................ + 1d110 05090003 01030609 00030103 01090002 ................ + 1d120 01030109 00020103 01090002 01030109 ................ + 1d130 00020103 01090002 01030109 00020103 ................ + 1d140 01090002 01030109 00030103 01090002 ................ + 1d150 01030609 00030103 03090003 01030109 ................ + 1d160 00020103 01090002 01030109 00020103 ................ + 1d170 01090002 01030109 00020103 02090002 ................ + 1d180 01030109 00030103 01090003 01030109 ................ + 1d190 00030103 0b090002 01030109 00020103 ................ + 1d1a0 01090002 01030109 00020103 01090002 ................ + 1d1b0 01030109 00030103 01090003 01030309 ................ + 1d1c0 00020103 01090003 01030109 00020103 ................ + 1d1d0 01090003 01030109 00020103 01090003 ................ + 1d1e0 01030309 00030103 01090003 01030309 ................ + 1d1f0 00030103 08090002 01030409 00030103 ................ + 1d200 02090003 01030109 00030103 02090003 ................ + 1d210 01030209 00020103 06090003 01030109 ................ + 1d220 00020103 01090002 01030109 00030103 ................ + 1d230 01090002 01030109 00020103 01090003 ................ + 1d240 01030109 00020103 01090002 01030109 ................ + 1d250 00030103 01090002 01030109 00030103 ................ + 1d260 01090003 01030109 00020103 05090003 ................ + 1d270 01030309 00030103 01090002 01030109 ................ + 1d280 00020103 01090003 01030109 00020103 ................ + 1d290 01090002 01030109 00030103 01090002 ................ + 1d2a0 01030109 00030103 02090003 01030309 ................ + 1d2b0 00030103 01090002 01030109 00020103 ................ + 1d2c0 01090003 01030109 00020103 01090002 ................ + 1d2d0 01030309 00020103 02090002 01030109 ................ + 1d2e0 00030103 01090003 01030309 00040103 ................ + 1d2f0 01090003 01030109 00030103 01090002 ................ + 1d300 01030109 00030103 01090003 01030109 ................ + 1d310 00020103 01090003 01030309 00020103 ................ + 1d320 01090003 01030109 00030109 00020001 ................ + 1d330 01000003 29000200 0002ad01 01fb0e0a ....)........... + 1d340 00010101 01000000 012f686f 6d652f63 ........./home/c + 1d350 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- + 1d360 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt + 1d370 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs + 1d380 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l + 1d390 6962632f 73747269 6e67002f 686f6d65 ibc/string./home + 1d3a0 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1d3b0 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 1d3c0 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 1d3d0 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 1d3e0 2f6c6962 632f696e 636c7564 65002f70 /libc/include./p + 1d3f0 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 1d400 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 1d410 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 1d420 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 1d430 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li + 1d440 62732f6e 65776c69 622f7874 656e7361 bs/newlib/xtensa + 1d450 2d656c66 2f6e6577 6c69622f 74617267 -elf/newlib/targ + 1d460 2d696e63 6c756465 002f686f 6d652f63 -include./home/c + 1d470 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- + 1d480 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt + 1d490 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs + 1d4a0 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l + 1d4b0 6962632f 696e636c 7564652f 73797300 ibc/include/sys. + 1d4c0 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t + 1d4d0 7265652f 52422d32 3030372e 322f7034 ree/RB-2007.2/p4 + 1d4e0 726f6f74 2f587465 6e73612f 54617267 root/Xtensa/Targ + 1d4f0 65742d6c 6962732f 6e65776c 69622f6e et-libs/newlib/n + 1d500 65776c69 622f6c69 62632f69 6e636c75 ewlib/libc/inclu + 1d510 64652f6d 61636869 6e65002f 686f6d65 de/machine./home + 1d520 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1d530 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s + 1d540 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux + 1d550 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc + 1d560 6c756465 00006d65 6d6d6f76 652e6300 lude..memmove.c. + 1d570 01000073 7472696e 672e6800 0200005f ...string.h...._ + 1d580 616e7369 2e680002 00006e65 776c6962 ansi.h....newlib + 1d590 2e680003 0000636f 6e666967 2e680004 .h....config.h.. + 1d5a0 00006965 65656670 2e680005 00007265 ..ieeefp.h....re + 1d5b0 656e742e 68000400 005f7479 7065732e ent.h...._types. + 1d5c0 68000400 006c6f63 6b2e6800 04000073 h....lock.h....s + 1d5d0 74646465 662e6800 0600006c 696d6974 tddef.h....limit + 1d5e0 732e6800 02000000 00050200 8e6c5c03 s.h..........l\. + 1d5f0 3d01031f 09000301 03040900 12010303 =............... + 1d600 09000801 03290900 0a01035f 09000501 .....)....._.... + 1d610 031b0900 0c010302 09001101 03040900 ................ + 1d620 75010365 09000401 03050900 2201037b u..e........"..{ + 1d630 09001601 03050900 0b010305 09004801 ..............H. + 1d640 03020900 1301037e 09000801 03020900 .......~........ + 1d650 0c01037e 09004601 09000800 01010000 ...~..F......... + 1d660 01880002 00000076 0101fb0e 0a000101 .......v........ + 1d670 01010000 00012f68 6f6d652f 63757374 ....../home/cust + 1d680 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1d690 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1d6a0 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1d6b0 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1d6c0 2f6d6163 68696e65 2f787465 6e736100 /machine/xtensa. + 1d6d0 006d656d 7365742e 53000100 00000005 .memset.S....... + 1d6e0 02008e6e 21033a01 03050900 03010301 ...n!.:......... + 1d6f0 09000301 03040900 0201030a 09000301 ................ + 1d700 03030900 03010301 09000301 03010900 ................ + 1d710 02010303 09000201 03050900 03010303 ................ + 1d720 09000301 03010900 03010301 09000201 ................ + 1d730 03030900 03010307 09000401 03040900 ................ + 1d740 03010301 09000301 03010900 03010301 ................ + 1d750 09000301 03010900 03010302 09000301 ................ + 1d760 03030900 02010301 09000301 03040900 ................ + 1d770 03010304 09000301 03070900 03010301 ................ + 1d780 09000201 03010900 02010301 09000201 ................ + 1d790 03010900 02010306 09000301 03030900 ................ + 1d7a0 03010301 09000201 03010900 02010302 ................ + 1d7b0 09000301 03030900 03010301 09000201 ................ + 1d7c0 03020900 02010303 09000301 03010900 ................ + 1d7d0 03010302 09000201 03030900 03010301 ................ + 1d7e0 09000301 09000200 01010000 02de0002 ................ + 1d7f0 00000076 0101fb0e 0a000101 01010000 ...v............ + 1d800 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer + 1d810 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 1d820 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta + 1d830 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 1d840 2f6e6577 6c69622f 6c696263 2f6d6163 /newlib/libc/mac + 1d850 68696e65 2f787465 6e736100 00737472 hine/xtensa..str + 1d860 636d702e 53000100 00000005 02008e65 cmp.S..........e + 1d870 60032c01 03030900 03010301 09000301 `.,............. + 1d880 03010900 03010301 09000201 03020900 ................ + 1d890 03010301 09000301 03020900 03010301 ................ + 1d8a0 09000301 03030900 03010301 09000201 ................ + 1d8b0 03010900 03010301 09000201 03010900 ................ + 1d8c0 03010301 09000301 03010900 03010301 ................ + 1d8d0 09000201 03010900 03010301 09000301 ................ + 1d8e0 03010900 02010301 09000301 03010900 ................ + 1d8f0 03010301 09000301 03010900 02010301 ................ + 1d900 09000301 03010900 03010301 09000201 ................ + 1d910 031b0900 05010304 09000201 03030900 ................ + 1d920 03010301 09000301 03010900 03010301 ................ + 1d930 09000201 03010900 03010302 09000201 ................ + 1d940 03050900 03010301 09000301 031d0900 ................ + 1d950 04010301 09000301 03090900 03010303 ................ + 1d960 09000301 03010900 03010301 09000301 ................ + 1d970 03010900 03010301 09000301 03010900 ................ + 1d980 03010303 09000301 03010900 03010301 ................ + 1d990 09000301 03010900 03010301 09000301 ................ + 1d9a0 03010900 03010302 09000301 03010900 ................ + 1d9b0 03010302 09000301 03040900 03010301 ................ + 1d9c0 09000301 03190900 03010302 09000301 ................ + 1d9d0 03010900 03010301 09000301 03010900 ................ + 1d9e0 03010301 09000301 03010900 0301030f ................ + 1d9f0 09000301 03010900 0201030d 09000201 ................ + 1da00 03030900 03010301 09000201 03010900 ................ + 1da10 02010301 09000201 03010900 03010301 ................ + 1da20 09000301 03010900 03010301 09000301 ................ + 1da30 03010900 03010313 09000201 03010900 ................ + 1da40 02010306 09000301 03010900 03010301 ................ + 1da50 09000301 03010900 03010301 09000201 ................ + 1da60 03020900 02010301 09000201 03040900 ................ + 1da70 02010301 09000301 03010900 03010301 ................ + 1da80 09000301 03010900 03010301 09000301 ................ + 1da90 03010900 03010301 09000301 03010900 ................ + 1daa0 03010308 09000301 03010900 03010304 ................ + 1dab0 09000201 03010900 03010301 09000301 ................ + 1dac0 03010900 03010900 02000101 000001d6 ................ + 1dad0 00020000 00760101 fb0e0a00 01010101 .....v.......... + 1dae0 00000001 2f686f6d 652f6375 73746f6d ..../home/custom + 1daf0 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1db00 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1db10 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1db20 69622f6e 65776c69 622f6c69 62632f6d ib/newlib/libc/m + 1db30 61636869 6e652f78 74656e73 61000073 achine/xtensa..s + 1db40 74726370 792e5300 01000000 00050200 trcpy.S......... + 1db50 8e667803 22010303 09000301 03010900 .fx."........... + 1db60 03010301 09000301 03010900 03010301 ................ + 1db70 09000301 03010900 03010301 09000301 ................ + 1db80 03040900 03010301 09000201 03020900 ................ + 1db90 03010303 09000401 03010900 03010301 ................ + 1dba0 09000201 03010900 03010301 09000201 ................ + 1dbb0 03010900 02010303 09000301 03020900 ................ + 1dbc0 03010301 09000301 03010900 02010301 ................ + 1dbd0 09000301 03010900 02010301 09000301 ................ + 1dbe0 03010900 02010301 09000301 03100900 ................ + 1dbf0 03010304 09000201 03010900 03010301 ................ + 1dc00 09000201 03010900 02010301 09000301 ................ + 1dc10 03010900 03010301 09000301 03010900 ................ + 1dc20 02010301 09000301 03100900 02010304 ................ + 1dc30 09000301 03020900 02010301 09000301 ................ + 1dc40 03040900 03010302 09000301 03010900 ................ + 1dc50 03010304 09000201 03020900 03010301 ................ + 1dc60 09000301 03010900 02010301 09000301 ................ + 1dc70 03130900 05010304 09000201 03020900 ................ + 1dc80 03010301 09000301 03010900 02010301 ................ + 1dc90 09000301 03020900 02010304 09000301 ................ + 1dca0 09000200 01010000 01640002 00000076 .........d.....v + 1dcb0 0101fb0e 0a000101 01010000 00012f68 ............../h + 1dcc0 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 1dcd0 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro + 1dce0 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target + 1dcf0 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new + 1dd00 6c69622f 6c696263 2f6d6163 68696e65 lib/libc/machine + 1dd10 2f787465 6e736100 00737472 6c656e2e /xtensa..strlen. + 1dd20 53000100 00000005 02008e67 10032201 S..........g..". + 1dd30 03030900 03010301 09000301 03010900 ................ + 1dd40 03010301 09000301 03010900 03010301 ................ + 1dd50 09000301 03010900 03010301 09000301 ................ + 1dd60 03030900 04010301 09000301 03010900 ................ + 1dd70 02010301 09000201 03030900 03010301 ................ + 1dd80 09000201 03010900 02010301 09000301 ................ + 1dd90 03030900 03010301 09000201 03010900 ................ + 1dda0 03010312 09000301 03040900 02010302 ................ + 1ddb0 09000301 03010900 02010301 09000201 ................ + 1ddc0 03010900 03010301 09000301 03020900 ................ + 1ddd0 03010306 09000301 03040900 02010301 ................ + 1dde0 09000301 03030900 02010301 09000201 ................ + 1ddf0 03010900 03010303 09000301 03010900 ................ + 1de00 02010301 09000301 09000200 01010000 ................ + 1de10 031e0002 000002ad 0101fb0e 0a000101 ................ + 1de20 01010000 00012f68 6f6d652f 63757374 ....../home/cust + 1de30 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1de40 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1de50 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1de60 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1de70 2f737472 696e6700 2f686f6d 652f6375 /string./home/cu + 1de80 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1de90 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte + 1dea0 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ + 1deb0 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li + 1dec0 62632f69 6e636c75 6465002f 70726f6a bc/include./proj + 1ded0 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 1dee0 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 1def0 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 1df00 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 1df10 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ + 1df20 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el + 1df30 662f6e65 776c6962 2f746172 672d696e f/newlib/targ-in + 1df40 636c7564 65002f68 6f6d652f 63757374 clude./home/cust + 1df50 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1df60 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1df70 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1df80 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1df90 2f696e63 6c756465 2f737973 002f686f /include/sys./ho + 1dfa0 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1dfb0 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1dfc0 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1dfd0 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1dfe0 69622f6c 6962632f 696e636c 7564652f ib/libc/include/ + 1dff0 6d616368 696e6500 2f686f6d 652f6375 machine./home/cu + 1e000 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1e010 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1e020 6f6c732d 7838362d 6c696e75 782f6c69 ols-x86-linux/li + 1e030 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ + 1e040 65000073 74726e63 6d702e63 00010000 e..strncmp.c.... + 1e050 73747269 6e672e68 00020000 5f616e73 string.h...._ans + 1e060 692e6800 0200006e 65776c69 622e6800 i.h....newlib.h. + 1e070 03000063 6f6e6669 672e6800 04000069 ...config.h....i + 1e080 65656566 702e6800 05000072 65656e74 eeefp.h....reent + 1e090 2e680004 00005f74 79706573 2e680004 .h...._types.h.. + 1e0a0 00006c6f 636b2e68 00040000 73746464 ..lock.h....stdd + 1e0b0 65662e68 00060000 6c696d69 74732e68 ef.h....limits.h + 1e0c0 00020000 00000502 008e6774 03c10001 ..........gt.... + 1e0d0 03120900 03010304 09000301 03170900 ................ + 1e0e0 09010304 09001101 03020900 0601037a ...............z + 1e0f0 09000801 03090900 0c010300 09000b01 ................ + 1e100 03650900 0b01030a 09001501 03780900 .e...........x.. + 1e110 07010304 09000501 03040900 0b010311 ................ + 1e120 09000a01 03000900 04010900 04000101 ................ + 1e130 000002fd 00020000 00770101 fb0e0a00 .........w...... + 1e140 01010101 00000001 2f686f6d 652f6375 ......../home/cu + 1e150 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1e160 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte + 1e170 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ + 1e180 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li + 1e190 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens + 1e1a0 61000073 74726e63 70792e53 00010000 a..strncpy.S.... + 1e1b0 00000502 008e6804 03220103 01090003 ......h.."...... + 1e1c0 01030109 00020103 01090003 01030109 ................ + 1e1d0 00020103 01090002 01030109 00020103 ................ + 1e1e0 01090003 01030309 00030103 01090003 ................ + 1e1f0 01030109 00020103 01090003 01030109 ................ + 1e200 00020103 01090002 01030109 00020103 ................ + 1e210 01090003 01030109 00020103 01090003 ................ + 1e220 01030109 00020103 01090002 01030109 ................ + 1e230 00020103 01090002 01030309 00030103 ................ + 1e240 07090003 01030309 00030103 01090002 ................ + 1e250 01030209 00030103 01090003 01030109 ................ + 1e260 00030103 01090003 01030109 00030103 ................ + 1e270 01090003 01030409 00030103 01090002 ................ + 1e280 01030209 00030103 06090003 01030109 ................ + 1e290 00020103 01090003 01030209 00030103 ................ + 1e2a0 05090003 01030109 00050103 01090003 ................ + 1e2b0 01030109 00020103 02090002 01030109 ................ + 1e2c0 00030103 0b090003 01030409 00020103 ................ + 1e2d0 01090003 01030109 00020103 01090003 ................ + 1e2e0 01030209 00030103 03090004 01030109 ................ + 1e2f0 00030103 01090002 01030109 00030103 ................ + 1e300 01090002 01030309 00030103 01090003 ................ + 1e310 01030109 00020103 01090003 01030109 ................ + 1e320 00030103 01090002 01030109 00030103 ................ + 1e330 01090002 01031209 00050103 04090002 ................ + 1e340 01030109 00030103 01090003 01030109 ................ + 1e350 00020103 01090002 01030109 00030103 ................ + 1e360 01090003 01030109 00030103 01090002 ................ + 1e370 01030109 00030103 01090002 01031109 ................ + 1e380 00030103 04090003 01030209 00020103 ................ + 1e390 01090003 01030109 00020103 01090002 ................ + 1e3a0 01030409 00050103 02090003 01030109 ................ + 1e3b0 00030103 01090003 01030109 00020103 ................ + 1e3c0 04090005 01030209 00030103 01090003 ................ + 1e3d0 01030109 00020103 01090003 01030109 ................ + 1e3e0 00030103 01090002 01031009 00040103 ................ + 1e3f0 04090002 01030209 00030103 01090003 ................ + 1e400 01030109 00020103 01090003 01030109 ................ + 1e410 00020103 01090002 01030209 00020103 ................ + 1e420 04090003 01030209 00030109 00020001 ................ + 1e430 01 . +Contents of section .xt.prop: + 0000 008e1000 0000001a 00020082 008e101a ................ + 0010 00000007 000200a2 008e1021 00000009 ...........!.... + 0020 000200a2 008e102a 00000000 00000008 .......*........ + 0030 004e8000 00000010 00002804 008e0c20 .N........(.... + 0040 00000006 00020082 008e0c26 00000000 ...........&.... + 0050 00000008 008e0f20 00000006 00020082 ....... ........ + 0060 008e0f26 00000000 00000008 008e0d40 ...&...........@ + 0070 00000006 00020082 008e0d46 00000000 ...........F.... + 0080 00000008 008e0000 00000003 00020082 ................ + 0090 008e0003 00000000 00002808 008e0004 ..........(..... + 00a0 00000018 00002801 008e0020 00000021 ......(.... ...! + 00b0 00000082 008e0041 00000000 00004808 .......A......H. + 00c0 008e0050 00000008 000001a2 008e0058 ...P...........X + 00d0 00000005 00000082 008e005d 00000015 ...........].... + 00e0 000000a2 008e0072 0000000a 000000a2 .......r........ + 00f0 008e007c 00000001 00000008 008e007d ...|...........} + 0100 00000017 000000a2 008e0094 0000000c ................ + 0110 000000a2 008e00a0 0000000e 000000a2 ................ + 0120 008e00ae 00000002 00000008 008e00b0 ................ + 0130 00000006 000000a2 008e00b6 00000009 ................ + 0140 000000a2 008e00bf 0000001f 00020082 ................ + 0150 004e8010 00000008 00002804 008e0e20 .N........(.... + 0160 00000017 00020082 008e0e37 00000000 ...........7.... + 0170 00000008 008e0ce4 00000003 00020082 ................ + 0180 008e0ce7 00000000 00000008 008e0800 ................ + 0190 0000000f 00020182 008e080f 00000000 ................ + 01a0 00000108 008e0840 0000000f 00020182 .......@........ + 01b0 008e084f 00000000 00000108 008e0880 ...O............ + 01c0 0000001e 00020182 008e089e 00000000 ................ + 01d0 00000108 008e08c0 0000001e 00020182 ................ + 01e0 008e08de 00000000 00000108 008e0900 ................ + 01f0 0000002a 00020182 008e092a 00000000 ...*.......*.... + 0200 00000108 008e0940 0000002a 00020082 .......@...*.... + 0210 008e096a 00000000 00000008 008e0a20 ...j........... + 0220 00000006 00020082 008e0a26 00000000 ...........&.... + 0230 00000008 008e0b20 00000006 00020082 ....... ........ + 0240 008e0b26 00000000 00000008 008e102c ...&..........., + 0250 0000001c 00020082 008e1048 0000002a ...........H...* + 0260 000200a2 008e1072 00000000 00002808 .......r......(. + 0270 008e1074 00000006 00022982 008e107c ...t......)....| + 0280 00000034 00022982 008e10b0 00000002 ...4..)......... + 0290 00020182 008e10b2 0000000b 000200a2 ................ + 02a0 008e10bd 00000023 000200a2 008e10e0 .......#........ + 02b0 00000023 000200a2 008e1103 00000000 ...#............ + 02c0 00000008 008e1104 00000018 00020082 ................ + 02d0 008e111c 00000027 000200a2 008e1143 .......'.......C + 02e0 00000006 00020182 008e1149 00000007 ...........I.... + 02f0 00020082 008e1150 00000000 00000008 .......P........ + 0300 008e1150 00000014 00020082 008e1164 ...P...........d + 0310 00000000 00002808 008e1164 00000007 ......(....d.... + 0320 00020082 008e116b 00000000 00000008 .......k........ + 0330 008e116b 00000008 000200a2 008e1173 ...k...........s + 0340 00000000 00000008 004e8020 00000004 .........N. .... + 0350 00002804 008e1174 0000001e 00020082 ..(....t........ + 0360 008e1192 00000003 00020182 008e1195 ................ + 0370 0000004d 00020082 008e11e2 00000000 ...M............ + 0380 00000008 004e8028 0000000c 00002804 .....N.(......(. + 0390 008e11e4 00000034 00020082 008e1218 .......4........ + 03a0 00000000 00000008 008e1218 00000010 ................ + 03b0 000200a2 008e1228 00000000 00000008 .......(........ + 03c0 008e1228 00000004 000200a2 008e122c ...(..........., + 03d0 00000000 00000008 008e122c 00000004 ...........,.... + 03e0 000200a2 008e1230 00000000 00002808 .......0......(. + 03f0 008e1230 00000010 00020082 008e1240 ...0...........@ + 0400 00000000 00000008 004e8034 0000000c .........N.4.... + 0410 00002804 008e1240 0000001a 00020082 ..(....@........ + 0420 008e125a 00000003 00020182 008e125d ...Z...........] + 0430 00000015 00020082 008e1272 00000003 ...........r.... + 0440 00020182 008e1275 00000013 00020082 .......u........ + 0450 008e1288 00000037 000200a2 008e12bf .......7........ + 0460 00000003 00020182 008e12c2 00000012 ................ + 0470 00020082 008e12d4 00000014 000200a2 ................ + 0480 008e12e8 00000000 00000008 008e12e8 ................ + 0490 00000009 00020082 008e12f1 00000000 ................ + 04a0 00000008 004e8040 00000008 00002804 .....N.@......(. + 04b0 008e12f4 0000001a 00020082 008e130e ................ + 04c0 00000003 00020182 008e1311 00000015 ................ + 04d0 00020082 008e1326 00000003 00020182 .......&........ + 04e0 008e1329 00000013 00020082 008e133c ...)...........< + 04f0 00000037 000200a2 008e1373 00000003 ...7.......s.... + 0500 00020182 008e1376 00000012 00020082 .......v........ + 0510 008e1388 00000011 000200a2 008e1399 ................ + 0520 00000000 00000008 008e1399 00000009 ................ + 0530 00020082 008e13a2 00000000 00000008 ................ + 0540 004e8048 00000008 00002804 008e6920 .N.H......(...i + 0550 00000008 00020082 008e6928 00000000 ..........i(.... + 0560 00000008 00500504 00000004 00003804 .....P........8. + 0570 008e6928 0000000d 00020082 008e6935 ..i(..........i5 + 0580 00000000 00000008 008e6938 00000018 ..........i8.... + 0590 00020082 008e6950 00000000 00000008 ......iP........ + 05a0 008e13a4 0000001d 00020082 008e13c1 ................ + 05b0 0000001a 000200a2 008e13db 0000001c ................ + 05c0 000200a2 008e13f7 00000000 00002808 ..............(. + 05d0 008e13f8 00000030 00020082 008e1428 .......0.......( + 05e0 00000000 00002808 008e1428 00000015 ......(....(.... + 05f0 00020082 008e143d 00000010 000200a2 .......=........ + 0600 008e144d 00000000 00000008 008e144d ...M...........M + 0610 00000004 000200a2 008e1451 00000000 ...........Q.... + 0620 00002808 008e1454 0000000b 00020082 ..(....T........ + 0630 008e145f 00000000 00002808 008e1460 ..._......(....` + 0640 00000008 00020082 008e1468 00000000 ...........h.... + 0650 00002808 008e1468 00000008 00020082 ..(....h........ + 0660 008e1470 00000002 000200a2 008e1472 ...p...........r + 0670 00000000 00002808 008e1474 00000055 ......(....t...U + 0680 00020082 008e14c9 00000009 000200b2 ................ + 0690 008e14d2 00000000 00002808 008e14d4 ..........(..... + 06a0 00000071 00020082 008e1545 00000000 ...q.......E.... + 06b0 00002808 008e1548 00000016 00020082 ..(....H........ + 06c0 008e155e 00000007 000200a2 008e1565 ...^...........e + 06d0 00000000 00000008 008e1565 00000005 ...........e.... + 06e0 000200a2 008e156a 00000002 000200a2 .......j........ + 06f0 008e156c 00000000 00000008 008e156c ...l...........l + 0700 00000007 000200a2 008e1573 00000000 ...........s.... + 0710 00002808 008e1574 00000019 00020082 ..(....t........ + 0720 008e158d 00000003 00020092 008e1590 ................ + 0730 00000006 000200a2 008e1596 0000006d ...............m + 0740 000200a2 008e1603 00000000 00002808 ..............(. + 0750 008e1604 00000010 00020082 008e1614 ................ + 0760 00000000 00002808 008e1614 00000024 ......(........$ + 0770 00020082 008e1638 00000042 000200a2 .......8...B.... + 0780 008e167a 00000000 00002808 008e167c ...z......(....| + 0790 00000032 00020082 008e16ae 00000000 ...2............ + 07a0 00002808 008e16b0 00000036 00020082 ..(........6.... + 07b0 008e16e6 00000000 00002808 008e16e8 ..........(..... + 07c0 000000a1 00020082 008e1789 00000002 ................ + 07d0 000200a2 008e178b 00000000 00002808 ..............(. + 07e0 008e178c 000000c2 00020082 008e184e ...............N + 07f0 00000000 00000008 008e184e 00000010 ...........N.... + 0800 000200a2 008e185e 00000000 00002808 .......^......(. + 0810 008e1860 0000003b 00020082 008e189b ...`...;........ + 0820 00000000 00002808 008e189c 0000002c ......(........, + 0830 00020082 008e18c8 00000000 00002808 ..............(. + 0840 008e18c8 00000033 00020082 008e18fb .......3........ + 0850 00000000 00002808 008e18fc 00000097 ......(......... + 0860 00020082 008e1993 00000000 00000008 ................ + 0870 008e1993 00000017 000200a2 008e19aa ................ + 0880 00000000 00000008 008e19aa 00000048 ...............H + 0890 000200a2 008e19f2 00000032 000200a2 ...........2.... + 08a0 008e1a24 00000000 00000008 008e1a24 ...$...........$ + 08b0 00000026 000200a2 008e1a4a 00000000 ...&.......J.... + 08c0 00000008 008e1a4a 00000015 000200a2 .......J........ + 08d0 008e1a5f 00000000 00000008 008e1a5f ..._..........._ + 08e0 0000001a 00020082 008e1a79 00000029 ...........y...) + 08f0 000200a2 008e1aa2 00000000 00000008 ................ + 0900 008e1aa2 0000000c 000200a2 008e1aae ................ + 0910 00000000 00000008 008e1aae 00000050 ...............P + 0920 000200a2 008e1afe 0000001f 000200a2 ................ + 0930 008e1b1d 00000000 00000008 008e1b1d ................ + 0940 00000059 000200a2 008e1b76 00000000 ...Y.......v.... + 0950 00000008 008e1b76 00000034 000200a2 .......v...4.... + 0960 008e1baa 00000000 00000008 008e1baa ................ + 0970 00000036 000200a2 008e1be0 00000002 ...6............ + 0980 000200a2 008e1be2 00000000 00000008 ................ + 0990 008e1be2 00000023 00020082 008e1c05 .......#........ + 09a0 00000000 00000008 008e1c05 0000000f ................ + 09b0 00020082 008e1c14 00000000 00002808 ..............(. + 09c0 008e1c14 0000001e 00020082 008e1c32 ...............2 + 09d0 00000000 00000008 004e8740 00000000 .........N.@.... + 09e0 00004804 004e8050 00000110 00002804 ..H..N.P......(. + 09f0 008e1c34 00000010 00020082 008e1c44 ...4...........D + 0a00 00000000 00002808 008e1c44 00000021 ......(....D...! + 0a10 00020082 008e1c65 00000000 00000008 .......e........ + 0a20 008e1c65 0000000f 000200a2 008e1c74 ...e...........t + 0a30 00000000 00002808 008e1c74 00000021 ......(....t...! + 0a40 00020082 008e1c95 00000000 00002808 ..............(. + 0a50 008e1c98 00000014 00020082 008e1cac ................ + 0a60 00000000 00000008 004e8160 00000018 .........N.`.... + 0a70 00002804 008e1cac 00000015 00020082 ..(............. + 0a80 008e1cc1 0000000b 000200a2 008e1ccc ................ + 0a90 00000000 00002808 008e1ccc 0000000d ......(......... + 0aa0 00020082 008e1cd9 00000000 00002808 ..............(. + 0ab0 008e1cdc 0000000c 00020082 008e1ce8 ................ + 0ac0 00000000 00002808 008e1ce8 00000005 ......(......... + 0ad0 00020082 008e1ced 00000000 00002808 ..............(. + 0ae0 008e1cf0 00000005 00020082 008e1cf5 ................ + 0af0 00000000 00002808 008e1cf8 00000005 ......(......... + 0b00 00020082 008e1cfd 00000000 00002808 ..............(. + 0b10 008e1d00 00000005 00020082 008e1d05 ................ + 0b20 00000000 00002808 008e1d08 00000005 ......(......... + 0b30 00020082 008e1d0d 00000000 00002808 ..............(. + 0b40 008e1d10 00000023 00020082 008e1d33 .......#.......3 + 0b50 00000003 000200a2 008e1d36 0000000d ...........6.... + 0b60 000200b2 008e1d43 00000000 00002808 .......C......(. + 0b70 008e1d44 00000026 00020082 008e1d6a ...D...&.......j + 0b80 00000000 00002808 008e1d6c 00000032 ......(....l...2 + 0b90 00020082 008e1d9e 00000000 00000008 ................ + 0ba0 004e817c 00000034 00002804 008e1da0 .N.|...4..(..... + 0bb0 00000047 00020082 008e1de7 00000010 ...G............ + 0bc0 000200a2 008e1df7 00000000 00002808 ..............(. + 0bd0 008e1df8 00000018 00020082 008e1e10 ................ + 0be0 00000013 000200a2 008e1e23 00000000 ...........#.... + 0bf0 00002808 008e1e24 00000023 00020082 ..(....$...#.... + 0c00 008e1e47 00000000 00000008 008e1e47 ...G...........G + 0c10 00000002 000200a2 008e1e49 00000007 ...........I.... + 0c20 000200a2 008e1e50 00000000 00000008 .......P........ + 0c30 008e1e50 00000004 000200a2 008e1e54 ...P...........T + 0c40 00000000 00000008 008e1e54 00000007 ...........T.... + 0c50 000200a2 008e1e5b 00000000 00002808 .......[......(. + 0c60 008e1e5c 0000001e 00020082 008e1e7a ...\...........z + 0c70 00000014 000200a2 008e1e8e 00000010 ................ + 0c80 000200a2 008e1e9e 00000004 000200a2 ................ + 0c90 008e1ea2 00000000 00000008 008e1ea2 ................ + 0ca0 0000000d 000200a2 008e1eaf 00000000 ................ + 0cb0 00000008 008e1eaf 0000000d 000200a2 ................ + 0cc0 008e1ebc 00000000 00002808 008e1ebc ..........(..... + 0cd0 00000019 00020082 008e1ed5 00000014 ................ + 0ce0 000200a2 008e1ee9 00000004 000200a2 ................ + 0cf0 008e1eed 00000000 00000008 008e1eed ................ + 0d00 00000004 000200a2 008e1ef1 00000000 ................ + 0d10 00000008 008e1ef1 00000010 000200a2 ................ + 0d20 008e1f01 00000000 00002808 008e1f04 ..........(..... + 0d30 000001af 00020082 008e20b3 00000000 .......... ..... + 0d40 00000008 008e20b3 00000059 000200a2 ...... ....Y.... + 0d50 008e210c 00000020 000200a2 008e212c ..!.... ......!, + 0d60 00000000 00000008 008e212c 00000011 ..........!,.... + 0d70 000200a2 008e213d 00000000 00000008 ......!=........ + 0d80 008e213d 0000000e 000200a2 008e214b ..!=..........!K + 0d90 00000000 00000008 008e214b 0000001f ..........!K.... + 0da0 000200a2 008e216a 00000000 00000008 ......!j........ + 0db0 008e216a 00000002 000200a2 008e216c ..!j..........!l + 0dc0 00000000 00002808 008e216c 00000019 ......(...!l.... + 0dd0 00020082 008e2185 00000000 00000008 ......!......... + 0de0 004e81b4 00000050 00002804 008e2188 .N.....P..(...!. + 0df0 00000005 00020082 008e218d 00000000 ..........!..... + 0e00 00002808 008e2190 00000019 00020082 ..(...!......... + 0e10 008e21a9 0000000d 00020092 008e21b6 ..!...........!. + 0e20 00000000 00002808 008e21b8 0000003e ......(...!....> + 0e30 00020082 008e21f6 00000000 00002808 ......!.......(. + 0e40 008e21f8 00000039 00020082 008e2231 ..!....9......"1 + 0e50 00000000 00002808 008e2234 0000000e ......(..."4.... + 0e60 00020082 008e2242 0000001d 000200a2 ......"B........ + 0e70 008e225f 00000039 000200a2 008e2298 .."_...9......". + 0e80 00000000 00002808 008e2298 0000000e ......(..."..... + 0e90 00020082 008e22a6 0000002d 000200a2 ......"....-.... + 0ea0 008e22d3 00000024 000200a2 008e22f7 .."....$......". + 0eb0 00000000 00002808 008e22f8 0000001e ......(..."..... + 0ec0 00020082 008e2316 00000000 00000008 ......#......... + 0ed0 004e8208 00000028 00002804 008e2318 .N.....(..(...#. + 0ee0 00000005 00020082 008e231d 00000000 ..........#..... + 0ef0 00002808 008e2320 0000001e 00020082 ..(...# ........ + 0f00 008e233e 00000000 00000008 004e8234 ..#>.........N.4 + 0f10 00000010 00002804 008e2340 00000011 ......(...#@.... + 0f20 00020082 008e2351 00000000 00002808 ......#Q......(. + 0f30 008e2354 00000005 00020082 008e2359 ..#T..........#Y + 0f40 00000000 00002808 008e235c 00000023 ......(...#\...# + 0f50 00020082 008e237f 00000000 00000008 ......#......... + 0f60 008e237f 0000002e 000200a2 008e23ad ..#...........#. + 0f70 00000000 00002808 008e23b0 00000041 ......(...#....A + 0f80 00020082 008e23f1 0000000b 000200a2 ......#......... + 0f90 008e23fc 0000001b 000200a2 008e2417 ..#...........$. + 0fa0 00000005 000200a2 008e241c 00000000 ..........$..... + 0fb0 00002808 008e241c 00000005 00020082 ..(...$......... + 0fc0 008e2421 00000000 00002808 008e2424 ..$!......(...$$ + 0fd0 00000005 00020082 008e2429 00000000 ..........$).... + 0fe0 00002808 008e242c 00000014 00020082 ..(...$,........ + 0ff0 008e2440 00000000 00000008 008e2440 ..$@..........$@ + 1000 00000014 000200a2 008e2454 00000005 ..........$T.... + 1010 000200a2 008e2459 00000000 00002808 ......$Y......(. + 1020 008e245c 0000001b 00020082 008e2477 ..$\..........$w + 1030 00000000 00000008 008e2477 00000004 ..........$w.... + 1040 000200a2 008e247b 00000000 00002808 ......${......(. + 1050 008e247c 0000000b 00020082 008e2487 ..$|..........$. + 1060 00000000 00002808 008e2488 0000002d ......(...$....- + 1070 00020082 008e24b5 00000000 00000008 ......$......... + 1080 005006fc 00000000 00002804 004e8248 .P........(..N.H + 1090 0000004c 00002804 008e24b8 0000001b ...L..(...$..... + 10a0 00020082 008e24d3 00000000 00000008 ......$......... + 10b0 008e24d3 0000000a 000200a2 008e24dd ..$...........$. + 10c0 00000005 000200a2 008e24e2 00000000 ..........$..... + 10d0 00002808 008e24e4 00000011 00020082 ..(...$......... + 10e0 008e24f5 00000000 00000008 008e24f5 ..$...........$. + 10f0 00000002 000200a2 008e24f7 00000037 ..........$....7 + 1100 000200a2 008e252e 00000003 00020092 ......%......... + 1110 008e2531 00000000 00000008 008e2531 ..%1..........%1 + 1120 00000007 000200a2 008e2538 00000000 ..........%8.... + 1130 00002808 008e2538 0000001b 00020082 ..(...%8........ + 1140 008e2553 00000029 000200a2 008e257c ..%S...)......%| + 1150 00000000 00000008 008e257c 00000008 ..........%|.... + 1160 000200a2 008e2584 00000000 00000008 ......%......... + 1170 008e2584 00000007 000200a2 008e258b ..%...........%. + 1180 00000018 000200a2 008e25a3 00000000 ..........%..... + 1190 00000008 008e25a3 00000015 000200a2 ......%......... + 11a0 008e25b8 00000000 00000008 008e25b8 ..%...........%. + 11b0 00000011 000200a2 008e25c9 00000020 ..........%.... + 11c0 000200a2 008e25e9 00000000 00000008 ......%......... + 11d0 008e25e9 00000020 000200a2 008e2609 ..%.... ......&. + 11e0 0000000e 000200a2 008e2617 0000001c ..........&..... + 11f0 000200a2 008e2633 00000000 00000008 ......&3........ + 1200 008e2633 0000004f 000200a2 008e2682 ..&3...O......&. + 1210 00000008 000200a2 008e268a 00000000 ..........&..... + 1220 00000008 008e268a 00000007 000200a2 ......&......... + 1230 008e2691 0000001b 000200a2 008e26ac ..&...........&. + 1240 00000041 000200a2 008e26ed 0000002d ...A......&....- + 1250 000200a2 008e271a 00000011 000200a2 ......'......... + 1260 008e272b 00000000 00000008 008e272b ..'+..........'+ + 1270 00000002 000200a2 008e272d 0000001a ..........'-.... + 1280 000200a2 008e2747 00000000 00000008 ......'G........ + 1290 008e2747 00000020 000200a2 008e2767 ..'G... ......'g + 12a0 00000000 00000008 008e2767 00000010 ..........'g.... + 12b0 000200a2 008e2777 0000002e 000200a2 ......'w........ + 12c0 008e27a5 00000025 000200b2 008e27ca ..'....%......'. + 12d0 00000008 000200a2 008e27d2 00000000 ..........'..... + 12e0 00000008 008e27d2 0000000d 000200a2 ......'......... + 12f0 008e27df 00000000 00000008 008e27df ..'...........'. + 1300 00000016 000200a2 008e27f5 0000000e ..........'..... + 1310 000200a2 008e2803 00000005 000200a2 ......(......... + 1320 008e2808 00000000 00000008 008e2808 ..(...........(. + 1330 00000027 000200a2 008e282f 00000000 ...'......(/.... + 1340 00000008 008e282f 00000005 000200a2 ......(/........ + 1350 008e2834 00000000 00000008 008e2834 ..(4..........(4 + 1360 00000019 000200a2 008e284d 00000000 ..........(M.... + 1370 00000008 008e284d 0000002b 000200a2 ......(M...+.... + 1380 008e2878 00000000 00000008 008e2878 ..(x..........(x + 1390 00000005 000200a2 008e287d 00000014 ..........(}.... + 13a0 000200a2 008e2891 00000000 00000008 ......(......... + 13b0 008e2891 00000006 000200a2 008e2897 ..(...........(. + 13c0 0000000f 000200a2 008e28a6 00000000 ..........(..... + 13d0 00000008 008e28a6 0000000d 000200a2 ......(......... + 13e0 008e28b3 00000000 00000008 008e28b3 ..(...........(. + 13f0 00000013 000200a2 008e28c6 00000000 ..........(..... + 1400 00000008 008e28c6 00000005 000200a2 ......(......... + 1410 008e28cb 00000008 000200a2 008e28d3 ..(...........(. + 1420 00000000 00000008 008e28d3 00000007 ..........(..... + 1430 000200a2 008e28da 00000019 000200a2 ......(......... + 1440 008e28f3 0000000c 000200a2 008e28ff ..(...........(. + 1450 0000000d 000200a2 008e290c 00000000 ..........)..... + 1460 00000008 008e290c 00000005 000200a2 ......)......... + 1470 008e2911 00000017 000200a2 008e2928 ..)...........)( + 1480 00000000 00000008 008e2928 00000002 ..........)(.... + 1490 000200a2 008e292a 00000000 00000008 ......)*........ + 14a0 008e292a 00000011 000200a2 008e293b ..)*..........); + 14b0 00000000 00000008 008e293b 00000009 ..........);.... + 14c0 000200a2 008e2944 00000000 00000008 ......)D........ + 14d0 008e2944 00000014 000200a2 008e2958 ..)D..........)X + 14e0 00000000 00000008 008e2958 00000007 ..........)X.... + 14f0 000200a2 008e295f 00000008 000200a2 ......)_........ + 1500 008e2967 00000000 00000008 008e2967 ..)g..........)g + 1510 00000011 000200a2 008e2978 00000000 ..........)x.... + 1520 00000008 008e2978 00000005 000200a2 ......)x........ + 1530 008e297d 00000000 00002808 008e2980 ..)}......(...). + 1540 00000033 00020082 008e29b3 00000000 ...3......)..... + 1550 00002808 008e29b4 00000005 00020082 ..(...)......... + 1560 008e29b9 00000000 00002808 008e29bc ..).......(...). + 1570 0000000f 00020082 008e29cb 00000000 ..........)..... + 1580 00000008 004e8298 00000018 00002804 .....N........(. + 1590 008e29cc 00000018 00020082 008e29e4 ..)...........). + 15a0 00000000 00002808 008e29e4 00000022 ......(...)...." + 15b0 00020082 008e2a06 00000000 00000008 ......*......... + 15c0 008e2a06 0000001c 000200a2 008e2a22 ..*...........*" + 15d0 00000027 000200a2 008e2a49 00000004 ...'......*I.... + 15e0 000200a2 008e2a4d 00000000 00002808 ......*M......(. + 15f0 008e2a50 0000001f 00020082 008e2a6f ..*P..........*o + 1600 00000003 00020092 008e2a72 00000000 ..........*r.... + 1610 00000008 008e2a72 00000016 000200a2 ......*r........ + 1620 008e2a88 00000000 00000008 008e2a88 ..*...........*. + 1630 00000004 000200a2 008e2a8c 00000000 ..........*..... + 1640 00002808 008e2a8c 0000000d 00020082 ..(...*......... + 1650 008e2a99 00000000 00002808 008e2a9c ..*.......(...*. + 1660 00000053 00020082 008e2aef 00000004 ...S......*..... + 1670 000200a2 008e2af3 00000000 00000008 ......*......... + 1680 008e2af3 0000000a 000200a2 008e2afd ..*...........*. + 1690 00000000 00002808 008e2b00 00000005 ......(...+..... + 16a0 00020082 008e2b05 00000000 00002808 ......+.......(. + 16b0 008e2b08 00000019 00020082 008e2b21 ..+...........+! + 16c0 00000000 00000008 004e82b4 00000030 .........N.....0 + 16d0 00002804 008e2b24 00000005 00020082 ..(...+$........ + 16e0 008e2b29 00000000 00002808 008e2b2c ..+)......(...+, + 16f0 00000023 00020082 008e2b4f 00000000 ...#......+O.... + 1700 00000008 004e82e8 00000014 00002804 .....N........(. + 1710 008e2b50 0000000c 00020082 008e2b5c ..+P..........+\ + 1720 00000000 00002808 008e2b5c 0000000f ......(...+\.... + 1730 00020082 008e2b6b 00000000 00002808 ......+k......(. + 1740 008e2b6c 00000017 00020082 008e2b83 ..+l..........+. + 1750 00000002 000200a2 008e2b85 00000000 ..........+..... + 1760 00002808 008e2b88 00000013 00020082 ..(...+......... + 1770 008e2b9b 00000002 000200a2 008e2b9d ..+...........+. + 1780 00000000 00000008 008e2b9d 0000000a ..........+..... + 1790 000200a2 008e2ba7 00000000 00000008 ......+......... + 17a0 008e2ba7 0000000a 000200a2 008e2bb1 ..+...........+. + 17b0 00000000 00000008 008e2bb1 00000008 ..........+..... + 17c0 000200a2 008e2bb9 00000000 00002808 ......+.......(. + 17d0 008e2bbc 0000000e 00020082 008e2bca ..+...........+. + 17e0 00000016 000200a2 008e2be0 00000004 ..........+..... + 17f0 000200a2 008e2be4 00000000 00002808 ......+.......(. + 1800 008e2be4 0000001e 00020082 008e2c02 ..+...........,. + 1810 00000000 00000008 004e8300 00000014 .........N...... + 1820 00002804 008e2c04 0000000f 00020082 ..(...,......... + 1830 008e2c13 00000000 00002808 008e2c14 ..,.......(...,. + 1840 00000017 00020082 008e2c2b 00000012 ..........,+.... + 1850 000200a2 008e2c3d 00000000 00000008 ......,=........ + 1860 008e2c3d 0000000a 000200a2 008e2c47 ..,=..........,G + 1870 0000000a 000200a2 008e2c51 00000000 ..........,Q.... + 1880 00000008 008e2c51 00000005 000200a2 ......,Q........ + 1890 008e2c56 00000000 00002808 008e2c58 ..,V......(...,X + 18a0 0000000e 00020082 008e2c66 0000000d ..........,f.... + 18b0 000200a2 008e2c73 00000000 00000008 ......,s........ + 18c0 008e2c73 00000002 000200a2 008e2c75 ..,s..........,u + 18d0 00000008 000200a2 008e2c7d 00000006 ..........,}.... + 18e0 000200a2 008e2c83 00000000 00000008 ......,......... + 18f0 008e2c83 00000005 000200a2 008e2c88 ..,...........,. + 1900 00000000 00002808 008e2c88 0000000c ......(...,..... + 1910 00020082 008e2c94 00000000 00002808 ......,.......(. + 1920 008e2c94 0000002b 00020082 008e2cbf ..,....+......,. + 1930 00000000 00000008 008e2cbf 00000002 ..........,..... + 1940 000200a2 008e2cc1 00000000 00002808 ......,.......(. + 1950 008e2cc4 0000001e 00020082 008e2ce2 ..,...........,. + 1960 00000000 00000008 004e8318 00000018 .........N...... + 1970 00002804 008e2ce4 00000027 00020082 ..(...,....'.... + 1980 008e2d0b 00000000 00000008 008e2d0b ..-...........-. + 1990 00000004 000200a2 008e2d0f 00000000 ..........-..... + 19a0 00002808 008e2d10 0000001a 00020082 ..(...-......... + 19b0 008e2d2a 00000000 00002808 008e2d2c ..-*......(...-, + 19c0 0000001c 00020082 008e2d48 00000000 ..........-H.... + 19d0 00000008 008e2d48 00000004 000200a2 ......-H........ + 19e0 008e2d4c 00000008 000200a2 008e2d54 ..-L..........-T + 19f0 00000000 00002808 008e2d54 0000001e ......(...-T.... + 1a00 00020082 008e2d72 00000000 00002808 ......-r......(. + 1a10 008e2d74 0000001c 00020082 008e2d90 ..-t..........-. + 1a20 00000000 00002808 008e2d90 00000028 ......(...-....( + 1a30 00020082 008e2db8 00000000 00002808 ......-.......(. + 1a40 008e2db8 00000010 00020082 008e2dc8 ..-...........-. + 1a50 00000008 000200a2 008e2dd0 00000000 ..........-..... + 1a60 00002808 008e2dd0 00000028 00020082 ..(...-....(.... + 1a70 008e2df8 00000000 00000008 004e8334 ..-..........N.4 + 1a80 00000028 00002804 008e2df8 0000003e ...(..(...-....> + 1a90 00020082 008e2e36 00000000 00002808 .......6......(. + 1aa0 008e2e38 00000005 00020082 008e2e3d ...8...........= + 1ab0 00000000 00002808 008e2e40 00000007 ......(....@.... + 1ac0 00020082 008e2e47 00000000 00002808 .......G......(. + 1ad0 008e2e48 00000015 00020082 008e2e5d ...H...........] + 1ae0 00000015 000200b2 008e2e72 00000002 ...........r.... + 1af0 000200b2 008e2e74 00000000 00002808 .......t......(. + 1b00 008e2e74 00000015 00020082 008e2e89 ...t............ + 1b10 00000015 000200b2 008e2e9e 00000002 ................ + 1b20 000200b2 008e2ea0 00000000 00002808 ..............(. + 1b30 008e2ea0 00000013 00020082 008e2eb3 ................ + 1b40 00000000 00000008 008e2eb3 0000000d ................ + 1b50 000200a2 008e2ec0 00000000 00002808 ..............(. + 1b60 008e2ec0 00000005 00020082 008e2ec5 ................ + 1b70 00000000 00002808 008e2ec8 0000000a ......(......... + 1b80 00020082 008e2ed2 00000000 00002808 ..............(. + 1b90 008e2ed4 00000010 00020082 008e2ee4 ................ + 1ba0 0000000b 000200a2 008e2eef 00000002 ................ + 1bb0 000200a2 008e2ef1 00000000 00002808 ..............(. + 1bc0 008e2ef4 00000025 00020082 008e2f19 .......%....../. + 1bd0 00000000 00000008 008e2f19 00000002 ........../..... + 1be0 000200a2 008e2f1b 00000000 00002808 ....../.......(. + 1bf0 008e2f1c 00000040 00020082 008e2f5c ../....@....../\ + 1c00 00000000 00002808 008e2f5c 00000037 ......(.../\...7 + 1c10 00020082 008e2f93 00000000 00000008 ....../......... + 1c20 004e8360 00000030 00002804 008e2f94 .N.`...0..(.../. + 1c30 0000000a 00020082 008e2f9e 00000000 ........../..... + 1c40 00002808 008e2fa0 0000000a 00020082 ..(.../......... + 1c50 008e2faa 00000000 00002808 008e2fac ../.......(.../. + 1c60 00000017 00020082 008e2fc3 00000000 ........../..... + 1c70 00000008 008e2fc3 00000004 000200a2 ....../......... + 1c80 008e2fc7 00000000 00000008 008e2fc7 ../.........../. + 1c90 00000004 000200a2 008e2fcb 00000000 ........../..... + 1ca0 00000008 008e2fcb 00000004 000200a2 ....../......... + 1cb0 008e2fcf 00000000 00000008 008e2fcf ../.........../. + 1cc0 00000004 000200a2 008e2fd3 00000000 ........../..... + 1cd0 00002808 008e2fd4 00000010 00020082 ..(.../......... + 1ce0 008e2fe4 00000000 00000008 008e2fe4 ../.........../. + 1cf0 00000004 000200a2 008e2fe8 00000000 ........../..... + 1d00 00002808 008e2fe8 00000017 00020082 ..(.../......... + 1d10 008e2fff 00000000 00000008 008e2fff ../.........../. + 1d20 00000004 000200a2 008e3003 00000000 ..........0..... + 1d30 00000008 008e3003 00000004 000200a2 ......0......... + 1d40 008e3007 00000000 00000008 008e3007 ..0...........0. + 1d50 00000004 000200a2 008e300b 00000000 ..........0..... + 1d60 00002808 008e300c 00000016 00020082 ..(...0......... + 1d70 008e3022 00000000 00002808 008e3024 ..0"......(...0$ + 1d80 0000000c 00020082 008e3030 000000a8 ..........00.... + 1d90 000200a2 008e30d8 00000000 00002808 ......0.......(. + 1da0 008e30d8 00000035 00020082 008e310d ..0....5......1. + 1db0 00000000 00002808 008e3110 00000053 ......(...1....S + 1dc0 00020082 008e3163 00000000 00002808 ......1c......(. + 1dd0 008e3164 0000001e 00020082 008e3182 ..1d..........1. + 1de0 00000000 00000008 008e3182 0000001c ..........1..... + 1df0 000200a2 008e319e 00000000 00002808 ......1.......(. + 1e00 008e31a0 00000005 00020082 008e31a5 ..1...........1. + 1e10 00000000 00002808 008e31a8 00000014 ......(...1..... + 1e20 00020082 008e31bc 00000000 00002808 ......1.......(. + 1e30 008e31bc 00000021 00020082 008e31dd ..1....!......1. + 1e40 00000000 00000008 008e31dd 0000000b ..........1..... + 1e50 000200a2 008e31e8 00000000 00002808 ......1.......(. + 1e60 008e31e8 0000001d 00020082 008e3205 ..1...........2. + 1e70 00000000 00000008 008e3205 0000000b ..........2..... + 1e80 000200a2 008e3210 00000000 00002808 ......2.......(. + 1e90 008e3210 0000000c 00020082 008e321c ..2...........2. + 1ea0 00000000 00000008 008e321c 00000018 ..........2..... + 1eb0 000200a2 008e3234 00000000 00000008 ......24........ + 1ec0 008e3234 00000018 000200a2 008e324c ..24..........2L + 1ed0 00000000 00000008 008e324c 00000018 ..........2L.... + 1ee0 000200a2 008e3264 00000000 00000008 ......2d........ + 1ef0 008e3264 00000015 000200a2 008e3279 ..2d..........2y + 1f00 00000019 000200a2 008e3292 00000000 ..........2..... + 1f10 00000008 008e3292 00000018 000200a2 ......2......... + 1f20 008e32aa 00000005 000200a2 008e32af ..2...........2. + 1f30 00000000 00002808 008e32b0 0000001e ......(...2..... + 1f40 00020082 008e32ce 00000000 00000008 ......2......... + 1f50 008e32ce 0000000c 000200a2 008e32da ..2...........2. + 1f60 00000000 00002808 008e32dc 00000016 ......(...2..... + 1f70 00020082 008e32f2 00000005 000200a2 ......2......... + 1f80 008e32f7 00000000 00002808 008e32f8 ..2.......(...2. + 1f90 0000000a 00020082 008e3302 00000000 ..........3..... + 1fa0 00000008 008e3302 00000004 000200a2 ......3......... + 1fb0 008e3306 00000000 00002808 008e3308 ..3.......(...3. + 1fc0 0000000e 00020082 008e3316 00000000 ..........3..... + 1fd0 00002808 008e3318 00000007 00020082 ..(...3......... + 1fe0 008e331f 00000000 00002808 008e3320 ..3.......(...3 + 1ff0 00000005 00020082 008e3325 00000000 ..........3%.... + 2000 00002808 008e3328 0000000d 00020082 ..(...3(........ + 2010 008e3335 00000000 00002808 008e3338 ..35......(...38 + 2020 00000041 00020082 008e3379 00000000 ...A......3y.... + 2030 00002808 008e337c 00000032 00020082 ..(...3|...2.... + 2040 008e33ae 00000000 00000008 004e8394 ..3..........N.. + 2050 00000078 00002804 008e33b0 00000012 ...x..(...3..... + 2060 00020082 008e33c2 00000000 00002808 ......3.......(. + 2070 008e33c4 00000036 00020082 008e33fa ..3....6......3. + 2080 00000000 00002808 008e33fc 00000016 ......(...3..... + 2090 00020082 008e3412 00000000 00000008 ......4......... + 20a0 008e3412 00000008 000200a2 008e341a ..4...........4. + 20b0 00000000 00002808 008e341c 00000011 ......(...4..... + 20c0 00020082 008e342d 00000000 00002808 ......4-......(. + 20d0 008e3430 00000053 00020082 008e3483 ..40...S......4. + 20e0 00000000 00002808 008e3484 00000005 ......(...4..... + 20f0 00020082 008e3489 00000000 00002808 ......4.......(. + 2100 008e348c 00000014 00020082 008e34a0 ..4...........4. + 2110 00000000 00002808 008e34a0 0000007a ......(...4....z + 2120 00020082 008e351a 00000000 00002808 ......5.......(. + 2130 008e351c 00000007 00020082 008e3523 ..5...........5# + 2140 00000000 00002808 008e3524 00000013 ......(...5$.... + 2150 00020082 008e3537 00000000 00000008 ......57........ + 2160 008e3537 0000000b 000200a2 008e3542 ..57..........5B + 2170 00000000 00000008 008e3542 0000000b ..........5B.... + 2180 000200a2 008e354d 00000002 000200a2 ......5M........ + 2190 008e354f 00000000 00002808 008e3550 ..5O......(...5P + 21a0 0000000b 00020082 008e355b 00000000 ..........5[.... + 21b0 00000008 008e355b 00000008 000200a2 ......5[........ + 21c0 008e3563 00000000 00000008 008e3563 ..5c..........5c + 21d0 00000006 000200a2 008e3569 00000000 ..........5i.... + 21e0 00000008 008e3569 00000005 000200a2 ......5i........ + 21f0 008e356e 00000000 00002808 008e3570 ..5n......(...5p + 2200 0000002f 00020082 008e359f 00000000 .../......5..... + 2210 00000008 008e359f 00000009 000200a2 ......5......... + 2220 008e35a8 0000000d 000200a2 008e35b5 ..5...........5. + 2230 00000000 00000008 008e35b5 00000038 ..........5....8 + 2240 000200a2 008e35ed 00000008 000200a2 ......5......... + 2250 008e35f5 00000000 00000008 008e35f5 ..5...........5. + 2260 00000007 000200a2 008e35fc 00000016 ..........5..... + 2270 000200a2 008e3612 00000007 000200a2 ......6......... + 2280 008e3619 00000000 00000008 008e3619 ..6...........6. + 2290 00000002 000200a2 008e361b 00000000 ..........6..... + 22a0 00002808 008e361c 0000003f 00020082 ..(...6....?.... + 22b0 008e365b 00000000 00000008 008e365b ..6[..........6[ + 22c0 0000001a 000200a2 008e3675 00000000 ..........6u.... + 22d0 00000008 008e3675 00000005 000200a2 ......6u........ + 22e0 008e367a 00000000 00002808 008e367c ..6z......(...6| + 22f0 0000000f 00020082 008e368b 00000004 ..........6..... + 2300 000200a2 008e368f 00000000 00000008 ......6......... + 2310 008e368f 00000017 000200a2 008e36a6 ..6...........6. + 2320 00000000 00002808 008e36a8 00000005 ......(...6..... + 2330 00020082 008e36ad 00000000 00002808 ......6.......(. + 2340 008e36b0 00000005 00020082 008e36b5 ..6...........6. + 2350 00000000 00002808 008e36b8 00000005 ......(...6..... + 2360 00020082 008e36bd 00000000 00002808 ......6.......(. + 2370 008e36c0 0000000c 00020082 008e36cc ..6...........6. + 2380 00000000 00000008 008e36cc 00000004 ..........6..... + 2390 000200a2 008e36d0 00000000 00002808 ......6.......(. + 23a0 008e36d0 0000000d 00020082 008e36dd ..6...........6. + 23b0 00000000 00000008 008e36dd 00000005 ..........6..... + 23c0 000200a2 008e36e2 00000000 00002808 ......6.......(. + 23d0 008e36e4 00000021 00020082 008e3705 ..6....!......7. + 23e0 00000000 00000008 008e3705 00000024 ..........7....$ + 23f0 000200a2 008e3729 00000000 00000008 ......7)........ + 2400 008e3729 00000021 000200a2 008e374a ..7)...!......7J + 2410 00000021 000200a2 008e376b 00000000 ...!......7k.... + 2420 00000008 008e376b 00000021 000200a2 ......7k...!.... + 2430 008e378c 00000003 000200a2 008e378f ..7...........7. + 2440 00000002 000200a2 008e3791 00000000 ..........7..... + 2450 00002808 008e3794 0000000f 00020082 ..(...7......... + 2460 008e37a3 00000000 00002808 008e37a4 ..7.......(...7. + 2470 00000041 00020082 008e37e5 00000000 ...A......7..... + 2480 00002808 008e37e8 0000009c 00020082 ..(...7......... + 2490 008e3884 00000000 00000008 004e8410 ..8..........N.. + 24a0 00000088 00002804 008e3884 00000019 ......(...8..... + 24b0 00020082 008e389d 00000000 00000008 ......8......... + 24c0 008e389d 0000000f 000200a2 008e38ac ..8...........8. + 24d0 00000000 00002808 008e38ac 0000000e ......(...8..... + 24e0 00020082 008e38ba 00000014 000200a2 ......8......... + 24f0 008e38ce 00000000 00002808 008e38d0 ..8.......(...8. + 2500 00000046 00020082 008e3916 00000039 ...F......9....9 + 2510 00020092 008e394f 00000002 00020092 ......9O........ + 2520 008e3951 00000000 00002808 008e3954 ..9Q......(...9T + 2530 00000034 00020082 008e3988 00000000 ...4......9..... + 2540 00002808 008e3988 00000014 00020082 ..(...9......... + 2550 008e399c 00000004 000200a2 008e39a0 ..9...........9. + 2560 00000000 00000008 008e39a0 0000000b ..........9..... + 2570 000200a2 008e39ab 00000000 00002808 ......9.......(. + 2580 008e39ac 00000025 00020082 008e39d1 ..9....%......9. + 2590 00000009 000200a2 008e39da 00000000 ..........9..... + 25a0 00000008 008e39da 00000003 000200a2 ......9......... + 25b0 008e39dd 00000004 000200a2 008e39e1 ..9...........9. + 25c0 00000000 00000008 008e39e1 00000018 ..........9..... + 25d0 000200a2 008e39f9 00000005 000200a2 ......9......... + 25e0 008e39fe 00000000 00000008 008e39fe ..9...........9. + 25f0 00000016 000200a2 008e3a14 00000002 ..........:..... + 2600 00000008 008e3a16 00000002 000200a2 ......:......... + 2610 008e3a18 00000040 000200a2 008e3a58 ..:....@......:X + 2620 00000024 00020092 008e3a7c 00000000 ...$......:|.... + 2630 00002808 008e3a7c 00000013 00020082 ..(...:|........ + 2640 008e3a8f 00000000 00000008 008e3a8f ..:...........:. + 2650 0000000c 000200a2 008e3a9b 00000000 ..........:..... + 2660 00002808 008e3a9c 00000039 00020082 ..(...:....9.... + 2670 008e3ad5 00000000 00000008 008e3ad5 ..:...........:. + 2680 00000005 000200a2 008e3ada 00000004 ..........:..... + 2690 000200a2 008e3ade 00000000 00000008 ......:......... + 26a0 008e3ade 0000000b 000200a2 008e3ae9 ..:...........:. + 26b0 00000000 00000008 008e3ae9 0000001b ..........:..... + 26c0 000200a2 008e3b04 00000000 00000008 ......;......... + 26d0 008e3b04 0000000b 000200a2 008e3b0f ..;...........;. + 26e0 00000000 00000008 008e3b0f 0000000a ..........;..... + 26f0 000200a2 008e3b19 00000000 00000008 ......;......... + 2700 008e3b19 00000006 000200a2 008e3b1f ..;...........;. + 2710 00000000 00000008 008e3b1f 00000006 ..........;..... + 2720 000200a2 008e3b25 00000000 00000008 ......;%........ + 2730 008e3b25 00000006 000200a2 008e3b2b ..;%..........;+ + 2740 00000000 00002808 008e3b2c 00000026 ......(...;,...& + 2750 00020082 008e3b52 00000000 00002808 ......;R......(. + 2760 008e3b54 0000002a 00020082 008e3b7e ..;T...*......;~ + 2770 00000000 00000008 008e3b7e 0000000c ..........;~.... + 2780 000200a2 008e3b8a 00000004 000200a2 ......;......... + 2790 008e3b8e 00000000 00000008 008e3b8e ..;...........;. + 27a0 00000053 000200a2 008e3be1 00000000 ...S......;..... + 27b0 00000008 008e3be1 00000018 000200a2 ......;......... + 27c0 008e3bf9 00000000 00002808 008e3bfc ..;.......(...;. + 27d0 00000023 00020082 008e3c1f 00000002 ...#......<..... + 27e0 000200a2 008e3c21 00000000 00000008 ............... + 2910 008e3e08 00000000 00002808 008e3e08 ..>.......(...>. + 2920 00000043 00020082 008e3e4b 00000000 ...C......>K.... + 2930 00000008 008e3e4b 00000018 000200a2 ......>K........ + 2940 008e3e63 00000000 00002808 008e3e64 ..>c......(...>d + 2950 0000002e 00020082 008e3e92 00000000 ..........>..... + 2960 00000008 008e3e92 0000000a 000200a2 ......>......... + 2970 008e3e9c 00000000 00000008 008e3e9c ..>...........>. + 2980 0000000a 000200a2 008e3ea6 00000000 ..........>..... + 2990 00000008 008e3ea6 0000000a 000200a2 ......>......... + 29a0 008e3eb0 00000000 00000008 008e3eb0 ..>...........>. + 29b0 0000000a 000200a2 008e3eba 00000000 ..........>..... + 29c0 00000008 008e3eba 0000000a 000200a2 ......>......... + 29d0 008e3ec4 00000000 00000008 008e3ec4 ..>...........>. + 29e0 0000000a 000200a2 008e3ece 00000000 ..........>..... + 29f0 00000008 008e3ece 0000000a 000200a2 ......>......... + 2a00 008e3ed8 00000000 00000008 008e3ed8 ..>...........>. + 2a10 0000000a 000200a2 008e3ee2 00000000 ..........>..... + 2a20 00000008 008e3ee2 0000007f 000200a2 ......>......... + 2a30 008e3f61 00000000 00002808 008e3f64 ..?a......(...?d + 2a40 00000081 00020082 008e3fe5 00000000 ..........?..... + 2a50 00000008 008e3fe5 0000001d 000200a2 ......?......... + 2a60 008e4002 00000000 00000008 008e4002 ..@...........@. + 2a70 00000054 000200a2 008e4056 00000000 ...T......@V.... + 2a80 00002808 008e4058 00000059 00020082 ..(...@X...Y.... + 2a90 008e40b1 00000021 00020092 008e40d2 ..@....!......@. + 2aa0 00000003 00020092 008e40d5 00000000 ..........@..... + 2ab0 00000008 008e40d5 0000001d 000200a2 ......@......... + 2ac0 008e40f2 00000023 00020092 008e4115 ..@....#......A. + 2ad0 000000a9 000200b2 008e41be 00000000 ..........A..... + 2ae0 00000008 008e41be 0000000b 000200a2 ......A......... + 2af0 008e41c9 00000000 00000008 008e41c9 ..A...........A. + 2b00 00000005 000200a2 008e41ce 00000000 ..........A..... + 2b10 00002808 008e41d0 00000081 00020082 ..(...A......... + 2b20 008e4251 00000000 00002808 008e4254 ..BQ......(...BT + 2b30 0000001a 00020082 008e426e 00000000 ..........Bn.... + 2b40 00002808 008e4270 00000018 00020082 ..(...Bp........ + 2b50 008e4288 00000000 00002808 008e4288 ..B.......(...B. + 2b60 0000002e 00020082 008e42b6 00000000 ..........B..... + 2b70 00000008 008e42b6 00000014 000200a2 ......B......... + 2b80 008e42ca 00000002 00020092 008e42cc ..B...........B. + 2b90 00000019 000200a2 008e42e5 00000000 ..........B..... + 2ba0 00000008 008e42e5 00000009 00020082 ......B......... + 2bb0 008e42ee 00000002 00020092 008e42f0 ..B...........B. + 2bc0 00000000 00002808 008e42f0 00000031 ......(...B....1 + 2bd0 00020082 008e4321 0000001b 00020092 ......C!........ + 2be0 008e433c 00000000 00000008 008e433c ..C<..........C< + 2bf0 00000002 000200a2 008e433e 0000000b ..........C>.... + 2c00 000200a2 008e4349 00000029 000200a2 ......CI...).... + 2c10 008e4372 00000000 00000008 008e4372 ..Cr..........Cr + 2c20 00000017 000200a2 008e4389 00000000 ..........C..... + 2c30 00000008 008e4389 00000009 000200a2 ......C......... + 2c40 008e4392 00000000 00002808 008e4394 ..C.......(...C. + 2c50 00000011 00020082 008e43a5 00000000 ..........C..... + 2c60 00002808 008e43a8 00000072 00020082 ..(...C....r.... + 2c70 008e441a 00000000 00002808 008e441c ..D.......(...D. + 2c80 00000042 00020082 008e445e 00000000 ...B......D^.... + 2c90 00002808 008e4460 00000008 00020082 ..(...D`........ + 2ca0 008e4468 00000000 00002808 008e4468 ..Dh......(...Dh + 2cb0 0000001e 00020082 008e4486 00000014 ..........D..... + 2cc0 000200a2 008e449a 00000012 000200a2 ......D......... + 2cd0 008e44ac 00000009 000200a2 008e44b5 ..D...........D. + 2ce0 00000009 000200a2 008e44be 00000016 ..........D..... + 2cf0 000200a2 008e44d4 00000000 00000008 ......D......... + 2d00 008e44d4 00000008 000200a2 008e44dc ..D...........D. + 2d10 00000005 000200a2 008e44e1 0000000f ..........D..... + 2d20 000200a2 008e44f0 00000015 000200a2 ......D......... + 2d30 008e4505 00000015 000200a2 008e451a ..E...........E. + 2d40 00000014 000200a2 008e452e 00000000 ..........E..... + 2d50 00002808 008e4530 0000001b 00020082 ..(...E0........ + 2d60 008e454b 00000012 000200a2 008e455d ..EK..........E] + 2d70 0000002c 000200a2 008e4589 00000006 ...,......E..... + 2d80 000200a2 008e458f 00000009 000200a2 ......E......... + 2d90 008e4598 00000009 000200a2 008e45a1 ..E...........E. + 2da0 00000010 000200a2 008e45b1 0000000b ..........E..... + 2db0 000200a2 008e45bc 00000013 000200a2 ......E......... + 2dc0 008e45cf 00000000 00000008 008e45cf ..E...........E. + 2dd0 00000008 000200a2 008e45d7 00000005 ..........E..... + 2de0 000200a2 008e45dc 00000027 000200a2 ......E....'.... + 2df0 008e4603 0000002a 000200a2 008e462d ..F....*......F- + 2e00 00000033 000200a2 008e4660 00000005 ...3......F`.... + 2e10 000200a2 008e4665 00000000 00002808 ......Fe......(. + 2e20 008e4668 00000051 00020082 008e46b9 ..Fh...Q......F. + 2e30 00000000 00000008 008e46b9 00000029 ..........F....) + 2e40 000200a2 008e46e2 00000000 00000008 ......F......... + 2e50 008e46e2 0000008a 000200a2 008e476c ..F...........Gl + 2e60 00000000 00002808 008e476c 00000048 ......(...Gl...H + 2e70 00020082 008e47b4 00000048 000200a2 ......G....H.... + 2e80 008e47fc 00000035 000200a2 008e4831 ..G....5......H1 + 2e90 00000000 00000008 008e4831 00000014 ..........H1.... + 2ea0 000200a2 008e4845 00000000 00002808 ......HE......(. + 2eb0 008e4848 0000000f 00020082 008e4857 ..HH..........HW + 2ec0 00000000 00002808 008e4858 0000009d ......(...HX.... + 2ed0 00020082 008e48f5 00000000 00000008 ......H......... + 2ee0 00500730 00000000 00004804 004e8498 .P.0......H..N.. + 2ef0 000000f8 00002804 00500780 00000000 ......(..P...... + 2f00 00004804 004e0120 00000000 00004904 ..H..N. ......I. + 2f10 004e0160 00000000 00004904 004e01a0 .N.`......I..N.. + 2f20 00000000 00004904 004e01b0 00000000 ......I..N...... + 2f30 00004904 004e01c0 00000000 00004904 ..I..N........I. + 2f40 004e01e0 00000000 00004804 008e48f8 .N........H...H. + 2f50 0000001c 00020082 008e4914 00000000 ..........I..... + 2f60 00002808 008e4914 0000001e 00020082 ..(...I......... + 2f70 008e4932 00000000 00002808 008e4934 ..I2......(...I4 + 2f80 0000001e 00020082 008e4952 00000000 ..........IR.... + 2f90 00002808 008e4954 00000027 00020082 ..(...IT...'.... + 2fa0 008e497b 00000000 00002808 008e497c ..I{......(...I| + 2fb0 00000024 00020082 008e49a0 00000000 ...$......I..... + 2fc0 00002808 008e49a0 0000004a 00020082 ..(...I....J.... + 2fd0 008e49ea 00000000 00002808 008e49ec ..I.......(...I. + 2fe0 0000018e 00020082 008e4b7a 00000002 ..........Kz.... + 2ff0 000200a2 008e4b7c 00000000 00002808 ......K|......(. + 3000 008e4b7c 0000012d 00020082 008e4ca9 ..K|...-......L. + 3010 00000002 000200a2 008e4cab 00000000 ..........L..... + 3020 00000008 004e8594 0000000c 00002804 .....N........(. + 3030 008e4cac 0000000a 00020082 008e4cb6 ..L...........L. + 3040 00000000 00002808 008e4cb8 0000000a ......(...L..... + 3050 00020082 008e4cc2 00000000 00002808 ......L.......(. + 3060 008e4cc4 00000013 00020082 008e4cd7 ..L...........L. + 3070 00000000 00000008 008e4cd7 00000003 ..........L..... + 3080 000200a2 008e4cda 0000000a 000200a2 ......L......... + 3090 008e4ce4 00000000 00002808 008e4ce4 ..L.......(...L. + 30a0 0000000d 00020082 008e4cf1 00000000 ..........L..... + 30b0 00000008 008e4cf1 0000003b 000200a2 ......L....;.... + 30c0 008e4d2c 00000000 00002808 008e4d2c ..M,......(...M, + 30d0 0000004d 00020082 008e4d79 00000000 ...M......My.... + 30e0 00002808 008e4d7c 0000000a 00020082 ..(...M|........ + 30f0 008e4d86 00000000 00000008 008e4d86 ..M...........M. + 3100 0000001f 000200a2 008e4da5 00000000 ..........M..... + 3110 00002808 008e4da8 00000028 00020082 ..(...M....(.... + 3120 008e4dd0 00000000 00002808 008e4dd0 ..M.......(...M. + 3130 0000001b 00020082 008e4deb 00000000 ..........M..... + 3140 00002808 008e4dec 00000029 00020082 ..(...M....).... + 3150 008e4e15 0000000e 000200a2 008e4e23 ..N...........N# + 3160 00000002 000200a2 008e4e25 00000000 ..........N%.... + 3170 00002808 008e4e28 0000000d 00020082 ..(...N(........ + 3180 008e4e35 00000000 00000008 008e4e35 ..N5..........N5 + 3190 00000019 000200a2 008e4e4e 00000000 ..........NN.... + 31a0 00002808 008e4e50 0000001b 00020082 ..(...NP........ + 31b0 008e4e6b 00000000 00002808 008e4e6c ..Nk......(...Nl + 31c0 00000028 00020082 008e4e94 00000000 ...(......N..... + 31d0 00002808 008e4e94 0000000a 00020082 ..(...N......... + 31e0 008e4e9e 00000000 00000008 008e4e9e ..N...........N. + 31f0 00000019 000200a2 008e4eb7 00000000 ..........N..... + 3200 00002808 008e4eb8 00000037 00020082 ..(...N....7.... + 3210 008e4eef 00000000 00000008 004e85a0 ..N..........N.. + 3220 00000030 00002804 008e4ef0 00000020 ...0..(...N.... + 3230 00020082 008e4f10 00000017 000200a2 ......O......... + 3240 008e4f27 00000004 000200a2 008e4f2b ..O'..........O+ + 3250 00000000 00002808 008e4f2c 0000001a ......(...O,.... + 3260 00020082 008e4f46 00000000 00000008 ......OF........ + 3270 008e4f46 00000004 000200a2 008e4f4a ..OF..........OJ + 3280 00000000 00002808 008e4f4c 00000014 ......(...OL.... + 3290 00020082 008e4f60 00000000 00002808 ......O`......(. + 32a0 008e4f60 00000014 00020082 008e4f74 ..O`..........Ot + 32b0 00000000 00000008 004e85d4 0000000c .........N...... + 32c0 00002804 008e4f74 00000021 00020082 ..(...Ot...!.... + 32d0 008e4f95 00000018 000200a2 008e4fad ..O...........O. + 32e0 00000002 000200a2 008e4faf 00000000 ..........O..... + 32f0 00002808 008e4fb0 00000014 00020082 ..(...O......... + 3300 008e4fc4 00000000 00000008 008e4fc4 ..O...........O. + 3310 00000004 000200a2 008e4fc8 00000000 ..........O..... + 3320 00002808 008e4fc8 00000008 00020082 ..(...O......... + 3330 008e4fd0 00000000 00002808 008e4fd0 ..O.......(...O. + 3340 0000003d 00020082 008e500d 00000000 ...=......P..... + 3350 00002808 008e5010 00000019 00020082 ..(...P......... + 3360 008e5029 00000000 00000008 004e85e4 ..P).........N.. + 3370 00000010 00002804 008e502c 00000009 ......(...P,.... + 3380 00020082 008e5035 00000007 000200a2 ......P5........ + 3390 008e503c 00000011 000200a2 008e504d ..P<..........PM + 33a0 00000000 00002808 008e5050 00000029 ......(...PP...) + 33b0 00020082 008e5079 00000000 00002808 ......Py......(. + 33c0 008e507c 00000009 00020082 008e5085 ..P|..........P. + 33d0 00000007 000200a2 008e508c 0000001b ..........P..... + 33e0 000200a2 008e50a7 00000000 00002808 ......P.......(. + 33f0 008e50a8 0000002a 00020082 008e50d2 ..P....*......P. + 3400 00000000 00002808 008e50d4 00000008 ......(...P..... + 3410 00020082 008e50dc 00000000 00002808 ......P.......(. + 3420 008e50dc 00000015 00020082 008e50f1 ..P...........P. + 3430 00000000 00002808 008e50f4 00000007 ......(...P..... + 3440 00020082 008e50fb 00000000 00002808 ......P.......(. + 3450 008e50fc 00000017 00020082 008e5113 ..P...........Q. + 3460 00000000 00002808 008e5114 000000bd ......(...Q..... + 3470 00020082 008e51d1 00000000 00000008 ......Q......... + 3480 008e51d1 00000023 000200a2 008e51f4 ..Q....#......Q. + 3490 00000000 00002808 008e51f4 00000005 ......(...Q..... + 34a0 00020082 008e51f9 00000000 00002808 ......Q.......(. + 34b0 008e51fc 0000000d 00020082 008e5209 ..Q...........R. + 34c0 00000000 00002808 008e520c 00000052 ......(...R....R + 34d0 00020082 008e525e 00000000 00002808 ......R^......(. + 34e0 008e5260 00000076 00020082 008e52d6 ..R`...v......R. + 34f0 00000000 00000008 008e52d6 00000002 ..........R..... + 3500 000200a2 008e52d8 00000005 000200a2 ......R......... + 3510 008e52dd 00000000 00002808 008e52e0 ..R.......(...R. + 3520 0000000e 00020082 008e52ee 00000010 ..........R..... + 3530 000200a2 008e52fe 00000019 000200a2 ......R......... + 3540 008e5317 00000000 00002808 008e5318 ..S.......(...S. + 3550 00000010 00020082 008e5328 00000000 ..........S(.... + 3560 00002808 008e5328 0000006e 00020082 ..(...S(...n.... + 3570 008e5396 00000088 000200a2 008e541e ..S...........T. + 3580 00000018 000200a2 008e5436 0000000b ..........T6.... + 3590 000200a2 008e5441 00000000 00000008 ......TA........ + 35a0 008e5441 00000015 000200a2 008e5456 ..TA..........TV + 35b0 00000026 000200a2 008e547c 00000000 ...&......T|.... + 35c0 00000008 008e547c 00000006 000200a2 ......T|........ + 35d0 008e5482 00000000 00002808 008e5484 ..T.......(...T. + 35e0 00000005 00020082 008e5489 00000000 ..........T..... + 35f0 00002808 008e548c 00000005 00020082 ..(...T......... + 3600 008e5491 00000000 00002808 008e5494 ..T.......(...T. + 3610 00000013 00020082 008e54a7 00000000 ..........T..... + 3620 00002808 008e54a8 00000041 00020082 ..(...T....A.... + 3630 008e54e9 00000000 00002808 008e54ec ..T.......(...T. + 3640 00000015 00020082 008e5501 00000000 ..........U..... + 3650 00002808 008e5504 0000001f 00020082 ..(...U......... + 3660 008e5523 00000000 00002808 008e5524 ..U#......(...U$ + 3670 00000020 00020082 008e5544 00000006 ... ......UD.... + 3680 000200a2 008e554a 00000009 000200a2 ......UJ........ + 3690 008e5553 0000000b 000200a2 008e555e ..US..........U^ + 36a0 00000000 00000008 008e555e 00000010 ..........U^.... + 36b0 000200a2 008e556e 0000000f 000200a2 ......Un........ + 36c0 008e557d 00000005 000200a2 008e5582 ..U}..........U. + 36d0 00000000 00002808 008e5584 0000004a ......(...U....J + 36e0 00020082 008e55ce 00000008 000200a2 ......U......... + 36f0 008e55d6 00000000 00000008 008e55d6 ..U...........U. + 3700 0000000b 000200a2 008e55e1 00000002 ..........U..... + 3710 000200a2 008e55e3 00000014 000200a2 ......U......... + 3720 008e55f7 00000000 00000008 008e55f7 ..U...........U. + 3730 00000085 000200a2 008e567c 00000000 ..........V|.... + 3740 00002808 008e567c 0000006a 00020082 ..(...V|...j.... + 3750 008e56e6 00000000 00000008 008e56e6 ..V...........V. + 3760 00000006 000200a2 008e56ec 00000000 ..........V..... + 3770 00000008 008e56ec 00000005 000200a2 ......V......... + 3780 008e56f1 00000000 00002808 008e56f4 ..V.......(...V. + 3790 00000008 00020082 008e56fc 00000000 ..........V..... + 37a0 00000008 008e56fc 00000028 000200a2 ......V....(.... + 37b0 008e5724 00000000 00000008 008e5724 ..W$..........W$ + 37c0 00000005 000200a2 008e5729 0000001c ..........W).... + 37d0 000200a2 008e5745 00000000 00000008 ......WE........ + 37e0 008e5745 0000002b 000200a2 008e5770 ..WE...+......Wp + 37f0 00000005 000200a2 008e5775 00000000 ..........Wu.... + 3800 00000008 008e5775 00000005 000200a2 ......Wu........ + 3810 008e577a 00000000 00002808 008e577c ..Wz......(...W| + 3820 0000001d 00020082 008e5799 00000020 ..........W.... + 3830 000200a2 008e57b9 00000002 000200a2 ......W......... + 3840 008e57bb 00000000 00002808 008e57bc ..W.......(...W. + 3850 00000027 00020082 008e57e3 00000000 ...'......W..... + 3860 00002808 008e57e4 0000001c 00020082 ..(...W......... + 3870 008e5800 0000000b 000200a2 008e580b ..X...........X. + 3880 00000000 00000008 008e580b 00000006 ..........X..... + 3890 000200a2 008e5811 00000000 00002808 ......X.......(. + 38a0 008e5814 00000005 00020082 008e5819 ..X...........X. + 38b0 00000000 00002808 008e581c 0000006d ......(...X....m + 38c0 00020082 008e5889 00000000 00000008 ......X......... + 38d0 008e5889 00000021 000200a2 008e58aa ..X....!......X. + 38e0 0000001a 000200a2 008e58c4 00000000 ..........X..... + 38f0 00000008 008e58c4 00000022 000200a2 ......X....".... + 3900 008e58e6 00000000 00000008 008e58e6 ..X...........X. + 3910 00000006 000200a2 008e58ec 0000002f ..........X..../ + 3920 000200a2 008e591b 00000000 00000008 ......Y......... + 3930 004e85f8 00000030 00002804 008e591c .N.....0..(...Y. + 3940 00000005 00020082 008e5921 00000000 ..........Y!.... + 3950 00002808 008e5924 0000003e 00020082 ..(...Y$...>.... + 3960 008e5962 00000002 000200a2 008e5964 ..Yb..........Yd + 3970 00000000 00002808 008e5964 00000010 ......(...Yd.... + 3980 00020082 008e5974 00000000 00002808 ......Yt......(. + 3990 008e5974 00000022 00020082 008e5996 ..Yt..."......Y. + 39a0 00000027 000200a2 008e59bd 00000002 ...'......Y..... + 39b0 00020092 008e59bf 00000000 00002808 ......Y.......(. + 39c0 008e59c0 00000017 00020082 008e59d7 ..Y...........Y. + 39d0 00000000 00002808 008e59d8 0000000d ......(...Y..... + 39e0 00020082 008e59e5 00000049 000200a2 ......Y....I.... + 39f0 008e5a2e 00000000 00000008 008e5a2e ..Z...........Z. + 3a00 0000000f 000200a2 008e5a3d 00000000 ..........Z=.... + 3a10 00000008 008e5a3d 00000013 000200a2 ......Z=........ + 3a20 008e5a50 00000000 00002808 008e5a50 ..ZP......(...ZP + 3a30 00000015 00020082 008e5a65 0000000d ..........Ze.... + 3a40 000200a2 008e5a72 00000000 00000008 ......Zr........ + 3a50 008e5a72 00000022 000200a2 008e5a94 ..Zr..."......Z. + 3a60 0000000a 000200a2 008e5a9e 00000000 ..........Z..... + 3a70 00000008 008e5a9e 00000009 000200a2 ......Z......... + 3a80 008e5aa7 00000000 00002808 008e5aa8 ..Z.......(...Z. + 3a90 0000000e 00020082 008e5ab6 0000006d ..........Z....m + 3aa0 000200a2 008e5b23 00000000 00000008 ......[#........ + 3ab0 008e5b23 0000000c 000200a2 008e5b2f ..[#..........[/ + 3ac0 00000028 000200a2 008e5b57 00000000 ...(......[W.... + 3ad0 00000008 008e5b57 0000000f 000200a2 ......[W........ + 3ae0 008e5b66 00000000 00002808 008e5b68 ..[f......(...[h + 3af0 00000005 00020082 008e5b6d 00000000 ..........[m.... + 3b00 00002808 008e5b70 0000001a 00020082 ..(...[p........ + 3b10 008e5b8a 00000000 00000008 008e5b8a ..[...........[. + 3b20 00000004 000200a2 008e5b8e 00000000 ..........[..... + 3b30 00002808 008e5b90 00000017 00020082 ..(...[......... + 3b40 008e5ba7 00000000 00000008 008e5ba7 ..[...........[. + 3b50 0000000d 000200a2 008e5bb4 00000000 ..........[..... + 3b60 00000008 008e5bb4 0000000b 000200a2 ......[......... + 3b70 008e5bbf 00000000 00002808 008e5bc0 ..[.......(...[. + 3b80 00000017 00020082 008e5bd7 00000000 ..........[..... + 3b90 00000008 008e5bd7 00000010 000200a2 ......[......... + 3ba0 008e5be7 00000000 00000008 008e5be7 ..[...........[. + 3bb0 0000000f 000200a2 008e5bf6 00000000 ..........[..... + 3bc0 00002808 008e5bf8 00000029 00020082 ..(...[....).... + 3bd0 008e5c21 00000000 00000008 008e5c21 ..\!..........\! + 3be0 0000002a 000200a2 008e5c4b 0000000b ...*......\K.... + 3bf0 000200a2 008e5c56 00000000 00002808 ......\V......(. + 3c00 008e5c58 00000050 00020082 008e5ca8 ..\X...P......\. + 3c10 00000000 00002808 008e5ca8 00000015 ......(...\..... + 3c20 00020082 008e5cbd 00000013 000200a2 ......\......... + 3c30 008e5cd0 00000013 000200a2 008e5ce3 ..\...........\. + 3c40 00000002 000200a2 008e5ce5 00000000 ..........\..... + 3c50 00000008 008e5ce5 0000000a 000200a2 ......\......... + 3c60 008e5cef 00000000 00000008 004e8628 ..\..........N.( + 3c70 0000004c 00002804 008e5cf0 00000010 ...L..(...\..... + 3c80 00020082 008e5d00 00000000 00000008 ......]......... + 3c90 008e5d00 0000001b 000200a2 008e5d1b ..]...........]. + 3ca0 00000000 00000008 008e5d1b 0000000e ..........]..... + 3cb0 000200a2 008e5d29 00000000 00002808 ......])......(. + 3cc0 008e5d2c 0000008a 00020082 008e5db6 ..],..........]. + 3cd0 00000000 00000008 008e5db6 00000008 ..........]..... + 3ce0 000200a2 008e5dbe 00000000 00002808 ......].......(. + 3cf0 008e5dc0 0000003d 00020082 008e5dfd ..]....=......]. + 3d00 0000005a 000200a2 008e5e57 00000000 ...Z......^W.... + 3d10 00000008 008e5e57 00000008 000200a2 ......^W........ + 3d20 008e5e5f 00000000 00000008 004e8678 ..^_.........N.x + 3d30 00000004 00002804 008e5e60 00000015 ......(...^`.... + 3d40 00020082 008e5e75 00000000 00002808 ......^u......(. + 3d50 008e5e78 00000038 00020082 008e5eb0 ..^x...8......^. + 3d60 00000000 00002808 008e5eb0 00000018 ......(...^..... + 3d70 00020082 008e5ec8 00000000 00002808 ......^.......(. + 3d80 008e5ec8 0000001f 00020082 008e5ee7 ..^...........^. + 3d90 00000003 00020092 008e5eea 00000000 ..........^..... + 3da0 00000008 008e5eea 0000000c 000200a2 ......^......... + 3db0 008e5ef6 00000000 00002808 008e5ef8 ..^.......(...^. + 3dc0 00000033 00020082 008e5f2b 00000001 ...3......_+.... + 3dd0 00000008 008e5f2c 00000022 000200a2 ......_,...".... + 3de0 008e5f4e 00000002 00020092 008e5f50 .._N.........._P + 3df0 00000004 000200a2 008e5f54 00000007 .........._T.... + 3e00 000200a2 008e5f5b 0000003e 000200a2 ......_[...>.... + 3e10 008e5f99 00000000 00000008 008e5f99 .._..........._. + 3e20 0000001b 000200a2 008e5fb4 00000005 .........._..... + 3e30 000200a2 008e5fb9 00000000 00000008 ......_......... + 3e40 008e5fb9 0000001e 000200a2 008e5fd7 .._..........._. + 3e50 00000000 00002808 008e5fd8 00000022 ......(..._...." + 3e60 00020082 008e5ffa 00000000 00002808 ......_.......(. + 3e70 008e5ffc 000000c2 00020082 008e60be .._...........`. + 3e80 00000000 00002808 008e60c0 0000001a ......(...`..... + 3e90 00020082 008e60da 00000014 000200a2 ......`......... + 3ea0 008e60ee 0000000b 000200a2 008e60f9 ..`...........`. + 3eb0 00000000 00002808 008e60fc 00000016 ......(...`..... + 3ec0 00020082 008e6112 00000000 00002808 ......a.......(. + 3ed0 008e6114 0000002e 00020082 008e6142 ..a...........aB + 3ee0 0000000c 000200a2 008e614e 00000000 ..........aN.... + 3ef0 00000008 008e614e 00000007 000200a2 ......aN........ + 3f00 008e6155 00000004 000200b2 008e6159 ..aU..........aY + 3f10 00000000 00002808 008e615c 00000018 ......(...a\.... + 3f20 00020082 008e6174 00000016 000200a2 ......at........ + 3f30 008e618a 0000000f 000200a2 008e6199 ..a...........a. + 3f40 00000000 00002808 008e619c 00000019 ......(...a..... + 3f50 00020082 008e61b5 00000002 00020092 ......a......... + 3f60 008e61b7 00000000 00000008 008e61b7 ..a...........a. + 3f70 00000004 000200a2 008e61bb 00000000 ..........a..... + 3f80 00002808 008e61bc 00000052 00020082 ..(...a....R.... + 3f90 008e620e 00000031 000200a2 008e623f ..b....1......b? + 3fa0 00000000 00000008 008e623f 0000001e ..........b?.... + 3fb0 000200a2 008e625d 00000007 000200a2 ......b]........ + 3fc0 008e6264 00000000 00000008 004e867c ..bd.........N.| + 3fd0 00000054 00002804 008e6264 00000023 ...T..(...bd...# + 3fe0 00020082 008e6287 00000000 00002808 ......b.......(. + 3ff0 008e6288 00000014 00020082 008e629c ..b...........b. + 4000 00000002 00020092 008e629e 00000000 ..........b..... + 4010 00002808 008e62a0 00000005 00020082 ..(...b......... + 4020 008e62a5 00000000 00002808 008e62a8 ..b.......(...b. + 4030 00000010 00020082 008e62b8 0000003e ..........b....> + 4040 000200a2 008e62f6 00000000 00000008 ......b......... + 4050 008e62f6 00000005 000200a2 008e62fb ..b...........b. + 4060 00000000 00000008 008e62fb 00000002 ..........b..... + 4070 000200a2 008e62fd 00000000 00002808 ......b.......(. + 4080 008e6300 00000017 00020082 008e6317 ..c...........c. + 4090 0000000b 000200a2 008e6322 00000000 ..........c".... + 40a0 00002808 008e6324 00000010 00020082 ..(...c$........ + 40b0 008e6334 00000000 00002808 008e6334 ..c4......(...c4 + 40c0 0000000f 00020082 008e6343 00000004 ..........cC.... + 40d0 000200a2 008e6347 00000000 00000008 ......cG........ + 40e0 004e86d0 0000001c 00002804 008e6348 .N........(...cH + 40f0 00000034 00020082 008e637c 00000000 ...4......c|.... + 4100 00000008 008e637c 00000004 000200a2 ......c|........ + 4110 008e6380 00000000 00000008 008e6380 ..c...........c. + 4120 00000029 000200a2 008e63a9 00000000 ...)......c..... + 4130 00000008 004e86ec 00000010 00002804 .....N........(. + 4140 008e6950 00000005 00020082 008e6955 ..iP..........iU + 4150 00000000 00000008 008e63ac 00000005 ..........c..... + 4160 00020082 008e63b1 00000000 00002808 ......c.......(. + 4170 008e63b4 00000020 00020082 008e63d4 ..c.... ......c. + 4180 00000003 00020182 008e63d7 00000029 ..........c....) + 4190 00020082 008e6400 00000025 000200a2 ......d....%.... + 41a0 008e6425 00000000 00000008 004e86fc ..d%.........N.. + 41b0 00000000 00002804 008e6958 00000008 ......(...iX.... + 41c0 00020082 008e6960 00000000 00002808 ......i`......(. + 41d0 008e6960 0000000c 00020082 008e696c ..i`..........il + 41e0 00000002 000200a2 008e696e 00000000 ..........in.... + 41f0 00002808 008e6970 0000000a 00020082 ..(...ip........ + 4200 008e697a 00000000 00000008 008e697a ..iz..........iz + 4210 00000004 000200a2 008e697e 00000000 ..........i~.... + 4220 00000008 004e87a4 00000000 00002904 .....N........). + 4230 004e87a8 00000000 00002904 004e87ac .N........)..N.. + 4240 00000000 00002904 004e87b0 00000000 ......)..N...... + 4250 00002904 004e87b2 00000000 00001904 ..)..N.......... + 4260 004e87b4 00000000 00002904 004e87b6 .N........)..N.. + 4270 00000000 00001904 004e87b8 00000000 .........N...... + 4280 00002904 004e87ba 00000000 00001904 ..)..N.......... + 4290 004e87bc 00000000 00002904 004e87be .N........)..N.. + 42a0 00000000 00001904 004e87c0 00000000 .........N...... + 42b0 00002904 004e87c2 00000000 00001904 ..)..N.......... + 42c0 004e87c4 00000000 00002904 004e87c8 .N........)..N.. + 42d0 00000000 00002904 004e87cc 00000000 ......)..N...... + 42e0 00002904 004e87d0 00000000 00002904 ..)..N........). + 42f0 004e87d4 00000000 00002904 004e87d8 .N........)..N.. + 4300 00000000 00002904 004e87dc 00000000 ......)..N...... + 4310 00002904 004e87e0 00000000 00002904 ..)..N........). + 4320 004e87e4 00000000 00002904 004e87e6 .N........)..N.. + 4330 00000000 00001904 004e87e8 00000000 .........N...... + 4340 00002904 004e87ec 00000000 00002904 ..)..N........). + 4350 004e87f0 00000000 00002904 004e87f4 .N........)..N.. + 4360 00000000 00002904 004e87f6 00000000 ......)..N...... + 4370 00001904 004e87f8 00000000 00002904 .....N........). + 4380 004e87fc 00000000 00002904 004e8800 .N........)..N.. + 4390 00000000 00002904 004e8804 00000000 ......)..N...... + 43a0 00002904 004e8808 00000000 00002904 ..)..N........). + 43b0 004e880c 00000000 00002904 004e8810 .N........)..N.. + 43c0 00000000 00002904 004e8814 00000000 ......)..N...... + 43d0 00002904 004e8818 00000000 00002904 ..)..N........). + 43e0 004e881c 00000000 00002904 004e8820 .N........)..N. + 43f0 00000000 00002904 004e8824 00000000 ......)..N.$.... + 4400 00002904 004e8828 00000000 00002904 ..)..N.(......). + 4410 004e882c 00000000 00002904 004e8830 .N.,......)..N.0 + 4420 00000000 00002904 004e8834 00000000 ......)..N.4.... + 4430 00002804 008e6428 0000004d 00020082 ..(...d(...M.... + 4440 008e6475 00000000 00000008 008e6475 ..du..........du + 4450 00000014 000200a2 008e6489 00000000 ..........d..... + 4460 00000008 008e6489 00000024 000200a2 ......d....$.... + 4470 008e64ad 00000000 00000008 008e64ad ..d...........d. + 4480 00000035 000200a2 008e64e2 00000002 ...5......d..... + 4490 00000008 008e64e4 00000018 000200a2 ......d......... + 44a0 008e64fc 00000000 00000008 008e64fc ..d...........d. + 44b0 00000004 000200a2 008e6500 00000002 ..........e..... + 44c0 00000008 008e6502 0000000b 00020082 ......e......... + 44d0 008e650d 0000000b 000200a2 008e6518 ..e...........e. + 44e0 00000021 000200a2 008e6539 00000000 ...!......e9.... + 44f0 00002808 008e653c 00000023 00020082 ..(...e<...#.... + 4500 008e655f 00000000 00000008 004e86fc ..e_.........N.. + 4510 00000004 00002804 008e6980 00000008 ......(...i..... + 4520 00020082 008e6988 00000000 00000008 ......i......... + 4530 008e6988 00000008 00020082 008e6990 ..i...........i. + 4540 00000000 00000008 008e6990 00000008 ..........i..... + 4550 00020082 008e6998 00000000 00000008 ......i......... + 4560 008e6998 00000031 00020002 008e69c9 ..i....1......i. + 4570 00000006 00020022 008e69cf 00000005 ......."..i..... + 4580 00020012 008e69d4 00000008 00020022 ......i........" + 4590 008e69dc 00000000 00000008 008e69dc ..i...........i. + 45a0 0000000a 00020022 008e69e6 00000002 ......."..i..... + 45b0 00000008 008e69e8 0000000c 00020022 ......i........" + 45c0 008e69f4 00000001 00000008 008e69f5 ..i...........i. + 45d0 00000003 00020022 008e69fc 00000004 ......."..i..... + 45e0 00020022 008e6a00 00000000 00000008 ..."..j......... + 45f0 008e6a00 0000002a 00020002 008e6a2a ..j....*......j* + 4600 00000003 00020022 008e6a2d 00000006 ......."..j-.... + 4610 00020022 008e6a33 00000006 00020022 ..."..j3......." + 4620 008e6a39 00000002 00020022 008e6a3b ..j9......."..j; + 4630 00000001 00000008 008e6a3c 00000005 ..........j<.... + 4640 00020022 008e6a45 00000004 00020022 ..."..jE......." + 4650 008e6a49 00000000 00000008 008e6a4c ..jI..........jL + 4660 00000028 00020002 008e6a74 00000006 ...(......jt.... + 4670 00020022 008e6a7a 00000006 00020012 ..."..jz........ + 4680 008e6a80 00000002 00020022 008e6a82 ..j........"..j. + 4690 00000000 00000008 008e6a82 00000004 ..........j..... + 46a0 00020022 008e6a86 00000002 00000008 ..."..j......... + 46b0 008e6a88 00000007 00020022 008e6a8f ..j........"..j. + 46c0 00000002 00000008 008e6a91 00000003 ..........j..... + 46d0 00020022 008e6a98 00000004 00020022 ..."..j........" + 46e0 008e6a9c 00000000 00000008 008e6a9c ..j...........j. + 46f0 00000023 00020002 008e6abf 00000003 ...#......j..... + 4700 00020022 008e6ac2 00000006 00020022 ..."..j........" + 4710 008e6ac8 00000002 00020022 008e6aca ..j........"..j. + 4720 00000002 00000008 008e6acc 00000005 ..........j..... + 4730 00020022 008e6ad5 00000004 00020022 ..."..j........" + 4740 008e6ad9 00000000 00000008 008e6adc ..j...........j. + 4750 0000003b 00020082 008e6b17 00000004 ...;......k..... + 4760 000200a2 008e6b1b 00000001 00000008 ......k......... + 4770 008e6b1c 00000005 000200a2 008e6b21 ..k...........k! + 4780 00000000 00000008 008e6b25 0000000d ..........k%.... + 4790 000200a2 008e6b32 00000002 00020092 ......k2........ + 47a0 008e6b34 00000000 00002808 008e6b34 ..k4......(...k4 + 47b0 00000003 000201a2 008e6b37 0000000e ..........k7.... + 47c0 00020082 008e6b45 00000003 00020182 ......kE........ + 47d0 008e6b48 00000003 000201a2 008e6b4b ..kH..........kK + 47e0 00000016 00020082 008e6b61 00000000 ..........ka.... + 47f0 00002808 008e6b64 00000006 00020082 ..(...kd........ + 4800 008e6b6a 00000006 00020182 008e6b70 ..kj..........kp + 4810 00000006 000200a2 008e6b76 00000003 ..........kv.... + 4820 00020182 008e6b79 00000019 00020082 ......ky........ + 4830 008e6b92 0000000f 00020092 008e6ba1 ..k...........k. + 4840 0000000b 000200a2 008e6bac 00000000 ..........k..... + 4850 00000008 008e6bac 00000010 000200a2 ......k......... + 4860 008e6bbc 00000000 00000008 008e6bbc ..k...........k. + 4870 0000000f 000200a2 008e6bcb 00000001 ..........k..... + 4880 00000008 008e6bcc 00000006 000200a2 ......k......... + 4890 008e6bd2 00000002 000200a2 008e6bd4 ..k...........k. + 48a0 00000000 00002808 008e6bd4 00000003 ......(...k..... + 48b0 000201a2 008e6bd7 00000030 00020082 ......k....0.... + 48c0 008e6c07 00000019 00020092 008e6c20 ..l...........l + 48d0 00000010 000200a2 008e6c30 0000000a ..........l0.... + 48e0 000200a2 008e6c3a 00000002 00000008 ......l:........ + 48f0 008e6c3c 00000015 000200a2 008e6c51 ..l<..........lQ + 4900 00000000 00000008 008e6c51 00000008 ..........lQ.... + 4910 000200a2 008e6c59 00000000 00000008 ......lY........ + 4920 008e6c5c 00000027 00020082 008e6c83 ..l\...'......l. + 4930 00000004 00020092 008e6c87 00000001 ..........l..... + 4940 00000008 008e6c88 0000000c 00020082 ......l......... + 4950 008e6c94 0000001b 000200a2 008e6caf ..l...........l. + 4960 0000006f 00020092 008e6d1e 00000000 ...o......m..... + 4970 00000008 008e6d1e 00000038 000200a2 ......m....8.... + 4980 008e6d56 00000051 00020092 008e6da7 ..mV...Q......m. + 4990 00000002 00020092 008e6da9 0000001b ..........m..... + 49a0 000200a2 008e6dc4 00000052 00020092 ......m....R.... + 49b0 008e6e16 00000008 00020092 008e6e1e ..n...........n. + 49c0 00000000 00000008 008e6e21 00000008 ..........n!.... + 49d0 000200a2 008e6e29 00000002 00020092 ......n)........ + 49e0 008e6e2b 00000000 00002808 008e6e2c ..n+......(...n, + 49f0 0000000a 000200a2 008e6e36 00000003 ..........n6.... + 4a00 00020182 008e6e39 0000000e 000200a2 ......n9........ + 4a10 008e6e47 00000000 00002808 008e6e48 ..nG......(...nH + 4a20 00000014 00020082 008e6e5c 00000006 ..........n\.... + 4a30 00020182 008e6e62 00000011 000200a2 ......nb........ + 4a40 008e6e73 0000000a 00020092 008e6e7d ..ns..........n} + 4a50 00000007 000200a2 008e6e84 00000008 ..........n..... + 4a60 000200a2 008e6e8c 00000006 000200a2 ......n......... + 4a70 008e6e92 00000002 000200a2 008e6e94 ..n...........n. + 4a80 00000000 00000008 008e6560 0000004a ..........e`...J + 4a90 00020082 008e65aa 00000000 00002808 ......e.......(. + 4aa0 008e65ac 00000002 000201a2 008e65ae ..e...........e. + 4ab0 00000013 00020082 008e65c1 00000005 ..........e..... + 4ac0 000200b2 008e65c6 00000000 00002808 ......e.......(. + 4ad0 008e65c8 00000033 000201a2 008e65fb ..e....3......e. + 4ae0 00000027 000201b2 008e6622 00000015 ...'......f".... + 4af0 00020082 008e6637 00000004 000200b2 ......f7........ + 4b00 008e663b 00000001 00000008 008e663c ..f;..........f< + 4b10 0000000d 000200a2 008e6649 00000000 ..........fI.... + 4b20 00000008 008e6649 00000004 000200a2 ......fI........ + 4b30 008e664d 00000000 00000008 008e664d ..fM..........fM + 4b40 0000001b 000200a2 008e6668 00000005 ..........fh.... + 4b50 000200a2 008e666d 00000000 00000008 ......fm........ + 4b60 008e666d 0000000b 000200a2 008e6678 ..fm..........fx + 4b70 00000000 00000008 004e8700 00000010 .........N...... + 4b80 00002804 008e6678 00000018 00020082 ..(...fx........ + 4b90 008e6690 00000008 000200a2 008e6698 ..f...........f. + 4ba0 00000001 00000008 008e6699 0000000f ..........f..... + 4bb0 000200a2 008e66a8 00000015 000200a2 ......f......... + 4bc0 008e66bd 00000002 000200a2 008e66bf ..f...........f. + 4bd0 00000000 00002808 008e66c0 00000002 ......(...f..... + 4be0 000201a2 008e66c2 00000017 00020082 ......f......... + 4bf0 008e66d9 00000002 000200b2 008e66db ..f...........f. + 4c00 00000001 00000008 008e66dc 00000007 ..........f..... + 4c10 000200a2 008e66e3 00000001 00000008 ......f......... + 4c20 008e66e4 00000008 000200a2 008e66ec ..f...........f. + 4c30 00000000 00000008 008e66ec 0000000d ..........f..... + 4c40 000200a2 008e66f9 00000000 00002808 ......f.......(. + 4c50 008e66fc 00000002 00020182 008e66fe ..f...........f. + 4c60 00000010 00020082 008e670e 00000002 ..........g..... + 4c70 000200b2 008e6710 00000000 00000008 ......g......... + 4c80 004e8710 00000000 00002804 008e6710 .N........(...g. + 4c90 0000001b 00020082 008e672b 00000001 ..........g+.... + 4ca0 00000008 008e672c 0000000a 000200a2 ......g,........ + 4cb0 008e6736 00000011 000200a2 008e6747 ..g6..........gG + 4cc0 00000000 00002808 008e6748 00000002 ......(...gH.... + 4cd0 000201a2 008e674a 00000013 00020082 ......gJ........ + 4ce0 008e675d 00000002 000200b2 008e675f ..g]..........g_ + 4cf0 00000005 000200a2 008e6764 00000000 ..........gd.... + 4d00 00000008 008e6764 00000007 000200a2 ......gd........ + 4d10 008e676b 00000001 00000008 008e676c ..gk..........gl + 4d20 00000007 000200a2 008e6773 00000000 ..........gs.... + 4d30 00000008 004e8710 00000000 00002804 .....N........(. + 4d40 008e6774 0000000f 00020082 008e6783 ..gt..........g. + 4d50 00000028 000200a2 008e67ab 00000003 ...(......g..... + 4d60 00020092 008e67ae 00000000 00000008 ......g......... + 4d70 008e67ae 00000004 000200a2 008e67b2 ..g...........g. + 4d80 00000002 00000008 008e67b4 00000005 ..........g..... + 4d90 00020082 008e67b9 00000000 00000008 ......g......... + 4da0 008e67b9 0000000b 000200a2 008e67c4 ..g...........g. + 4db0 00000000 00000008 008e67c4 00000015 ..........g..... + 4dc0 000200a2 008e67d9 00000000 00000008 ......g......... + 4dd0 008e67d9 00000021 000200a2 008e67fa ..g....!......g. + 4de0 00000000 00000008 008e67fa 00000004 ..........g..... + 4df0 000200a2 008e67fe 00000000 00000008 ......g......... + 4e00 008e67fe 00000004 000200a2 008e6802 ..g...........h. + 4e10 00000000 00000008 004e8710 00000004 .........N...... + 4e20 00002804 008e6804 00000014 000200a2 ..(...h......... + 4e30 008e6818 00000021 000200a2 008e6839 ..h....!......h9 + 4e40 00000000 00000008 008e6839 00000002 ..........h9.... + 4e50 000200a2 008e683b 00000000 00002808 ......h;......(. + 4e60 008e683c 0000001a 00020082 008e6856 ..h<..........hV + 4e70 00000008 000200a2 008e685e 00000000 ..........h^.... + 4e80 00000008 008e685e 00000008 000200a2 ......h^........ + 4e90 008e6866 0000000f 000200a2 008e6875 ..hf..........hu + 4ea0 00000008 00020092 008e687d 0000000b ..........h}.... + 4eb0 000200a2 008e6888 00000002 000200a2 ......h......... + 4ec0 008e688a 00000002 00000008 008e688c ..h...........h. + 4ed0 0000000d 000200a2 008e6899 00000015 ..........h..... + 4ee0 000200a2 008e68ae 00000000 00002808 ......h.......(. + 4ef0 008e68b0 00000002 000201a2 008e68b2 ..h...........h. + 4f00 0000001d 00020082 008e68cf 00000003 ..........h..... + 4f10 00020092 008e68d2 00000000 00000008 ......h......... + 4f20 008e68d2 0000000c 000200a2 008e68de ..h...........h. + 4f30 00000002 00000008 008e68e0 0000000e ..........h..... + 4f40 000200a2 008e68ee 00000002 00000008 ......h......... + 4f50 008e68f0 00000013 000200a2 008e6903 ..h...........i. + 4f60 00000000 00002808 008e6904 00000002 ......(...i..... + 4f70 000201a2 008e6906 00000014 00020082 ......i......... + 4f80 008e691a 00000003 000200b2 008e691d ..i...........i. + 4f90 00000000 00000008 008e691d 00000002 ..........i..... + 4fa0 000200a2 008e691f 00000000 00000008 ......i......... + 4fb0 004e8718 00000000 00002804 .N........(. +Contents of section .xt.lit: + 0000 008e0004 00000018 ........ +Contents of section .xtensa.info: + 0000 0000000c 0000019c 00000001 5874656e ............Xten + 0010 73615f49 6e666f00 48575f43 4f4e4649 sa_Info.HW_CONFI + 0020 47494430 3d307863 32383064 6266660a GID0=0xc280dbff. + 0030 48575f43 4f4e4649 47494431 3d307830 HW_CONFIGID1=0x0 + 0040 64303232 3330660a 4255494c 445f554e d02230f.BUILD_UN + 0050 49515545 5f49443d 30783030 30323233 IQUE_ID=0x000223 + 0060 30660a41 42493d30 0a555345 5f414253 0f.ABI=0.USE_ABS + 0070 4f4c5554 455f4c49 54455241 4c533d31 OLUTE_LITERALS=1 + 0080 0a48575f 56455253 494f4e3d 224c5832 .HW_VERSION="LX2 + 0090 2e312e30 220a4857 5f4d494e 5f564552 .1.0".HW_MIN_VER + 00a0 53494f4e 5f4d414a 4f523d32 3231300a SION_MAJOR=2210. + 00b0 48575f4d 494e5f56 45525349 4f4e5f4d HW_MIN_VERSION_M + 00c0 494e4f52 3d300a48 575f4d41 585f5645 INOR=0.HW_MAX_VE + 00d0 5253494f 4e5f4d41 4a4f523d 32323130 RSION_MAJOR=2210 + 00e0 0a48575f 4d41585f 56455253 494f4e5f .HW_MAX_VERSION_ + 00f0 4d494e4f 523d300a 52454c45 4153455f MINOR=0.RELEASE_ + 0100 4e414d45 3d225242 2d323030 372e3222 NAME="RB-2007.2" + 0110 0a52454c 45415345 5f564552 53494f4e .RELEASE_VERSION + 0120 3d22372e 312e3022 0a52454c 45415345 ="7.1.0".RELEASE + 0130 5f4d414a 4f523d37 3031300a 52454c45 _MAJOR=7010.RELE + 0140 4153455f 4d494e4f 523d300a 434f5245 ASE_MINOR=0.CORE + 0150 5f4e414d 453d224d 61677069 655f5030 _NAME="Magpie_P0 + 0160 220a5449 455f4348 45434b53 554d5f30 ".TIE_CHECKSUM_0 + 0170 3d300a54 49455f43 4845434b 53554d5f =0.TIE_CHECKSUM_ + 0180 313d300a 5449455f 43484543 4b53554d 1=0.TIE_CHECKSUM + 0190 5f323d30 0a544945 5f434845 434b5355 _2=0.TIE_CHECKSU + 01a0 4d5f333d 300a5444 4b5f5041 54483d22 M_3=0.TDK_PATH=" + 01b0 220a0000 "... +Disassembly of section .lit4: + +004e8000 <.lit4>: + 4e8000: 0053fff0 00040020 00500950 00500b78 .S..... .P.P.P.x + +004e8010 <.lit4+0x10>: + 4e8010: 008e1240 008e12f4 ...@.... + +004e8018 <.lit4+0x18>: + 4e8018: 00500400 008e1074 .P.....t + +004e8020 <.lit4+0x20>: + 4e8020: 00050023 ...# + +004e8024 <.lit4+0x24>: + 4e8024: 80000007 .... + +004e8028 <.lit4+0x28>: + 4e8028: c0000000 00500598 008e6938 .....P....i8 + +004e8034 <.lit4+0x34>: + 4e8034: 004e8840 00500508 008e6920 .N.@.P....i + +004e8040 <.lit4+0x40>: + 4e8040: 00007ffe 008e12e8 ........ + +004e8048 <.lit4+0x48>: + 4e8048: 00038000 008e1399 ........ + +004e8050 <.lit4+0x50>: + 4e8050: 004e8740 0053fe00 00520e00 40000000 .N.@.S...R..@... + 4e8060: 00500000 004e8860 004e886c 004e88a8 .P...N.`.N.l.N.. + 4e8070: 004e88b0 004e88c4 004e8908 004e8938 .N...N...N...N.8 + 4e8080: 00500640 005006f8 00500100 008e6980 .P.@.P...P....i. + 4e8090: 008e6990 008e6988 008e1460 008e1454 ..i...i....`...T + 4e80a0: 008e1468 008e1428 008e1474 008e14d4 ...h...(...t.... + 4e80b0: 008e1604 02625a00 004e8954 004e8968 .....bZ..N.T.N.h + 4e80c0: 41544852 10000000 00500b7c 00500b9c ATHR.....P.|.P.. + 4e80d0: 00500ba8 00500bc0 00500be0 0004fe00 .P...P...P...... + 4e80e0: 0005be00 00051e00 fffeefef 004e8970 .............N.p + 4e80f0: 13fffe00 a55a0000 00036000 00000b7c .....Z....`....| + 4e8100: 004e8984 008e1860 004e8998 008e189c .N.....`.N...... + 4e8110: 00500978 008e18fc fffeefff 004e89b4 .P.x.........N.. + 4e8120: 004e89b8 00500950 20000000 004e89e8 .N...P.P ....N.. + 4e8130: 004e8a08 004e8a18 004e8a28 004e8a38 .N...N...N.(.N.8 + 4e8140: 00530000 00010000 004e8a48 004e8a54 .S.......N.H.N.T + 4e8150: 004e8a70 004e89cc 004e89bc 008e1c14 .N.p.N...N...... + +004e8160 <.lit4+0x160>: + 4e8160: 00500954 004e8a8c 004e8ab0 004e8acc .P.T.N...N...N.. + 4e8170: 008e1c34 008e1c44 ...4...D + +004e8178 <.lit4+0x178>: + 4e8178: 008e1c74 ...t + +004e817c <.lit4+0x17c>: + 4e817c: 0050095c 000c3500 fff3cb00 004e8750 .P.\..5......N.P + 4e818c: 00500974 008e1d10 008e1cf8 008e1cac .P.t............ + 4e819c: 008e1d00 008e1cdc 008e1ccc 008e1ce8 ................ + 4e81ac: 008e1cf0 .... + +004e81b0 <.lit4+0x1b0>: + 4e81b0: 008e1d44 ...D + +004e81b4 <.lit4+0x1b4>: + 4e81b4: 00020000 10ff3e00 10ff2000 00000fff ......>... ..... + 4e81c4: 004e8afc 004e8aec 004e8af4 004e8b04 .N...N...N...N.. + 4e81d4: 00055e00 0003fe00 fffaffff 0001fe00 ..^............. + 4e81e4: 0000ffc1 10ff0000 10ff1e00 000c0000 ................ + 4e81f4: 04000000 008e1f04 008e1ebc 008e1e5c ...............\ + +004e8204 <.lit4+0x204>: + 4e8204: 008e1e24 ...$ + +004e8208 <.lit4+0x208>: + 4e8208: 00500980 008e2188 004e0600 004e0601 .P....!..N...N.. + 4e8218: 00500990 004e0771 008e2190 008e2298 .P...N.q..!...". + 4e8228: 008e2234 008e21b8 .."4..!. + +004e8230 <.lit4+0x230>: + 4e8230: 008e21f8 ..!. + +004e8234 <.lit4+0x234>: + 4e8234: 008e2318 008e6e48 008e6b64 008e6c5c ..#...nH..kd..l\ + +004e8244 <.lit4+0x244>: + 4e8244: 008e6adc ..j. + +004e8248 <.lit4+0x248>: + 4e8248: 01000000 fffe000f 004e0001 004e8b0c .........N...N.. + 4e8258: 00500a28 005006f8 004e8b44 004e8b78 .P.(.P...N.D.N.x + 4e8268: 004e8b90 004e8980 004e8b9c 004e0100 .N...N...N...N.. + 4e8278: 008e2340 008e2354 008e23b0 008e235c ..#@..#T..#...#\ + 4e8288: 008e241c 008e245c 008e242c ..$...$\..$, + +004e8294 <.lit4+0x294>: + 4e8294: 008e247c ..$| + +004e8298 <.lit4+0x298>: + 4e8298: 004e8bb0 004e8bd8 004e8ba4 004e8bc4 .N...N...N...N.. + 4e82a8: 00500700 008e29b4 .P....). + +004e82b0 <.lit4+0x2b0>: + 4e82b0: 008e2980 ..). + +004e82b4 <.lit4+0x2b4>: + 4e82b4: 004e8be0 ffb00000 0003ffff 004e8bfc .N...........N.. + 4e82c4: 004e8c20 00500704 00500a2c 004e8c44 .N. .P...P.,.N.D + 4e82d4: 0053c000 008e2b00 008e2a9c 008e2a8c .S....+...*...*. + +004e82e4 <.lit4+0x2e4>: + 4e82e4: 008e2a50 ..*P + +004e82e8 <.lit4+0x2e8>: + 4e82e8: 008e2b24 008e6678 008e683c 008e6710 ..+$..fx..h<..g. + 4e82f8: 008e6560 ..e` + +004e82fc <.lit4+0x2fc>: + 4e82fc: 008e6774 ..gt + +004e8300 <.lit4+0x300>: + 4e8300: 00500a30 008e2b50 008e2b5c 008e2b88 .P.0..+P..+\..+. + 4e8310: 008e2b6c ..+l + +004e8314 <.lit4+0x314>: + 4e8314: 008e2bbc ..+. + +004e8318 <.lit4+0x318>: + 4e8318: 00500a34 00009c40 008e2c88 008e2c14 .P.4...@..,...,. + 4e8328: 008e2c58 008e2c04 ..,X..,. + +004e8330 <.lit4+0x330>: + 4e8330: 008e2c94 ..,. + +004e8334 <.lit4+0x334>: + 4e8334: 5f574454 5f535553 00500a38 07270e00 _WDT_SUS.P.8.'.. + 4e8344: 008e2d90 008e2d54 008e2d74 008e2d2c ..-...-T..-t..-, + 4e8354: 008e2db8 008e2d10 ..-...-. + +004e835c <.lit4+0x35c>: + 4e835c: 008e2ce4 ..,. + +004e8360 <.lit4+0x360>: + 4e8360: 00050e00 0001c200 00500a44 00002710 .........P.D..'. + 4e8370: 008e2e38 008e2e48 008e2df8 008e2ea0 ...8...H..-..... + 4e8380: 008e2ed4 008e2ec0 008e2ef4 008e2ec8 ................ + +004e8390 <.lit4+0x390>: + 4e8390: 008e2f1c ../. + +004e8394 <.lit4+0x394>: + 4e8394: 00050018 004e8c64 00050010 004e8c80 .....N.d.....N.. + 4e83a4: ffffdfff 00002000 00500708 004e8c8c ...... ..P...N.. + 4e83b4: 004e8ca0 004e8cb0 004e8cc0 008e3110 .N...N...N....1. + 4e83c4: 008e31a0 008e3164 008e3210 008e32b0 ..1...1d..2...2. + 4e83d4: 008e32dc 008e32f8 008e3308 008e31a8 ..2...2...3...1. + 4e83e4: 008e3320 008e3318 008e3328 008e30d8 ..3 ..3...3(..0. + 4e83f4: 008e300c 008e31e8 008e31bc 008e3024 ..0...1...1...0$ + 4e8404: 008e2fac 008e2fe8 ../.../. + +004e840c <.lit4+0x40c>: + 4e840c: 008e2fd4 ../. + +004e8410 <.lit4+0x410>: + 4e8410: 00500a54 008e33b0 008e33c4 008e33fc .P.T..3...3...3. + 4e8420: 008e341c 00500a60 00500a68 00500a78 ..4..P.`.P.h.P.x + 4e8430: 00500a80 004e8cd8 004e8cf0 00055a00 .P...N...N....Z. + 4e8440: 00055600 004e8d04 004e8d1c 00054e00 ..V..N...N....N. + 4e8450: 00010007 008e3430 008e34a0 008e3570 ......40..4...5p + 4e8460: 008e36e4 008e361c 008e367c 008e36c0 ..6...6...6|..6. + 4e8470: 008e36d0 008e348c 008e3484 008e351c ..6...4...4...5. + 4e8480: 008e3794 004e8d34 004e8d48 004e8d60 ..7..N.4.N.H.N.` + 4e8490: 004e8d74 004e8d88 .N.t.N.. + +004e8498 <.lit4+0x498>: + 4e8498: 00500a98 0000ff80 0000fe00 00500620 .P...........P. + 4e84a8: 00500730 007efdfb 00500780 00500770 .P.0.~...P...P.p + 4e84b8: 004e8d9c 004e01a0 004e01b0 004e01c0 .N...N...N...N.. + 4e84c8: 004e01e0 008e3f64 004e8ddc 004e8dfc .N....?d.N...N.. + 4e84d8: 08000000 004e8db4 004e8e08 f7ffffff .....N...N...... + 4e84e8: 004e0160 004e0120 00010080 004e8e28 .N.`.N. .....N.( + 4e84f8: 004e8e3c 00010001 0000c600 004e8e50 .N.<.........N.P + 4e8508: 004e8e60 004e8e78 004e8e88 004e8e98 .N.`.N.x.N...N.. + 4e8518: 00001010 004e8ea8 008e4270 008e4254 .....N....Bp..BT + 4e8528: 008e476c 008e4468 008e4530 008e41d0 ..Gl..Dh..E0..A. + 4e8538: 008e4058 008e3cd4 008e3d8c 008e3b2c ..@X..<...=...;, + 4e8548: 008e3b54 008e3bfc 008e3c44 008e3e64 ..;T..;...d + 4e8558: 008e4394 008e43a8 008e441c 008e4460 ..C...C...D...D` + 4e8568: 008e3a9c 008e3a7c 008e39ac 008e3988 ..:...:|..9...9. + 4e8578: 008e3954 008e3e08 008e4288 008e42f0 ..9T..>...B...B. + 4e8588: 008e3884 008e38ac ..8...8. + +004e8590 <.lit4+0x590>: + 4e8590: 008e4668 ..Fh + +004e8594 <.lit4+0x594>: + 4e8594: 00500ace 00500ad0 00500ad2 .P...P...P.. + +004e85a0 <.lit4+0x5a0>: + 4e85a0: 005007c0 00053000 00054000 008e4ce4 .P....0...@...L. + 4e85b0: 008e4d7c 008e4d2c 008e4da8 008e4dec ..M|..M,..M...M. + 4e85c0: 008e4e28 008e4e50 008e4dd0 008e4e6c ..N(..NP..M...Nl + +004e85d0 <.lit4+0x5d0>: + 4e85d0: 008e4e94 ..N. + +004e85d4 <.lit4+0x5d4>: + 4e85d4: 00500af8 008e4ef0 008e4f2c .P....N...O, + +004e85e0 <.lit4+0x5e0>: + 4e85e0: 008e4f4c ..OL + +004e85e4 <.lit4+0x5e4>: + 4e85e4: 00500b04 008e4f74 008e4fb0 008e4fc8 .P....Ot..O...O. + +004e85f4 <.lit4+0x5f4>: + 4e85f4: 008e4fd0 ..O. + +004e85f8 <.lit4+0x5f8>: + 4e85f8: 008e5114 008e5318 008e52e0 008e520c ..Q...S...R...R. + 4e8608: 008e51fc 008e5328 008e51f4 008e5494 ..Q...S(..Q...T. + 4e8618: 008e581c 008e57bc 008e56f4 008e577c ..X...W...V...W| + +004e8628 <.lit4+0x628>: + 4e8628: 0000ff00 004e8ebc 004e8950 004e8ec8 .....N...N.P.N.. + 4e8638: 004e8ed8 008e591c 008e5a50 008e5aa8 .N....Y...ZP..Z. + 4e8648: 008e5b68 008e5b90 008e59c0 008e5bc0 ..[h..[...Y...[. + 4e8658: 008e5974 008e5b70 008e5924 008e5964 ..Yt..[p..Y$..Yd + 4e8668: 008e5bf8 008e5cf0 008e5d2c ..[...\...], + +004e8674 <.lit4+0x674>: + 4e8674: 008e5dc0 ..]. + +004e8678 <.lit4+0x678>: + 4e8678: 0000fffc .... + +004e867c <.lit4+0x67c>: + 4e867c: 008e5eb0 008e5ef8 00500b0c 00500b14 ..^...^..P...P.. + 4e868c: 008e5ffc 004e8ee0 00001a00 ffffe5ff .._..N.......... + 4e869c: 00054100 00060000 004e8efc 00054200 ..A......N....B. + 4e86ac: 00054204 004e8f18 004e8f44 004e8f70 ..B..N...N.D.N.p + 4e86bc: 004e8f90 004e8fac 004e8fc4 004e8ff0 .N...N...N...N.. + 4e86cc: 004e900c .N.. + +004e86d0 <.lit4+0x6d0>: + 4e86d0: 008e6288 008e62a8 008e6300 008e6324 ..b...b...c...c$ + 4e86e0: 008e6334 008e62a0 00500b18 ..c4..b..P.. + +004e86ec <.lit4+0x6ec>: + 4e86ec: 008e6950 00500850 008e63b4 008e6928 ..iP.P.P..c...i( + +004e86fc <.lit4+0x6fc>: + 4e86fc: fffbfff0 .... + +004e8700 <.lit4+0x700>: + 4e8700: ff000000 00ff0000 000000ff 40404040 ............@@@@ + +004e8710 <.lit4+0x710>: + 4e8710: fefefeff .... + +004e8714 <.lit4+0x714>: + 4e8714: 80808080 .... +Disassembly of section .dport0.stack: + +0053f7f0 <_reserved_stack_start>: + ... +Disassembly of section .dport0.usb_in_rom: + +004e0100 : +_reserved_rom_data_start(): + 4e0100: 01120200 ffff40ff 0cf37010 02022010 ......@...p... . + 4e0110: 01300000 00000000 00000000 00000000 .0.............. + +004e0120 : + 4e0120: 0209003c 01018000 09fa0004 060000ff ...<............ + 4e0130: 00000507 02010200 07008205 00020002 ................ + 4e0140: 05070383 00400701 04054003 01000507 .....@....@..... + 4e0150: 02050200 07000605 00020002 00000000 ................ + +004e0160 : + 4e0160: 0209003c 01018000 09fa0004 060000ff ...<............ + 4e0170: 00000507 02010040 07008205 40020000 .......@....@... + 4e0180: 05070383 00400701 04054002 00000507 .....@....@..... + 4e0190: 02050040 07000605 40020000 00000000 ...@....@....... + +004e01a0 : + 4e01a0: 03040409 00000000 00000000 00000000 ................ + +004e01b0 : + 4e01b0: 03100041 00540048 00450052 004f0053 ...A.T.H.E.R.O.S + +004e01c0 : + 4e01c0: 03180055 00530042 0032002e 00300020 ...U.S.B.2...0. + 4e01d0: 0057004c 0041004e 00000000 00000000 .W.L.A.N........ + +004e01e0 : + 4e01e0: 030c0031 00320033 00340035 ...1.2.3.4.5 +Disassembly of section .dport0.data: + +00500000 <_indir_tbl>: + ... +Disassembly of section .rodata: + +004e8720 <_rom_store_table>: + 4e8720: 00500400 00500950 004e0260 00000000 .P...P.P.N.`.... + ... + +004e8740 : + 4e8740: 55aa55aa aa55aa55 ffffffff 00000000 U.U..U.U........ + +004e8750 : + 4e8750: 00000000 00989680 00000000 00000000 ................ + 4e8760: 00000000 00000001 01312d00 00000000 .........1-..... + ... + 4e8778: 00000002 02625a00 00000000 00000000 .....bZ......... + 4e8788: 00000000 ffffffff 00000000 00000000 ................ + ... + +004e87a0 : + 4e87a0: 00001b62 ...b + +004e87a4 : + 4e87a4: 004e9034 .N.4 + +004e87a8 : + 4e87a8: 1b620000 .b.. + +004e87ac : + 4e87ac: 00000020 ... + +004e87b0 : + 4e87b0: 05 . + +004e87b1 : + 4e87b1: 01 . + +004e87b2 : + 4e87b2: 01 . + +004e87b3 : + 4e87b3: 01 . + +004e87b4 : + 4e87b4: 01 . + +004e87b5 : + 4e87b5: 01 . + +004e87b6 : + 4e87b6: 01 . + +004e87b7 : + 4e87b7: 01 . + +004e87b8 : + 4e87b8: 01 . + +004e87b9 : + 4e87b9: 01 . + +004e87ba : + 4e87ba: 02 . + +004e87bb : + 4e87bb: 01 . + +004e87bc : + 4e87bc: 01 . + +004e87bd : + 4e87bd: 01 . + +004e87be : + 4e87be: 01 . + +004e87bf : + 4e87bf: 01 . + +004e87c0 : + 4e87c0: 01 . + +004e87c1 : + 4e87c1: 01 . + +004e87c2 : + 4e87c2: 0100 .. + +004e87c4 : + 4e87c4: 00040000 .... + +004e87c8 : + 4e87c8: 0002230f ..#. + +004e87cc : + 4e87cc: c280dbff .... + +004e87d0 : + 4e87d0: 0d02230f ..#. + +004e87d4 : + 4e87d4: 000008a2 .... + +004e87d8 : + 4e87d8: 004e903c .N.< + +004e87dc : + 4e87dc: 000008a2 .... + +004e87e0 : + 4e87e0: 000008a2 .... + +004e87e4 : + 4e87e4: 01 . + +004e87e5 : + 4e87e5: 01 . + +004e87e6 : + 4e87e6: 01 . + +004e87e7 : + 4e87e7: 01 . + +004e87e8 : + 4e87e8: 01 . + +004e87e9 : + 4e87e9: 040000 ... + +004e87ec : + 4e87ec: 0000001d .... + +004e87f0 : + 4e87f0: 0000001d .... + +004e87f4 : + 4e87f4: 01 . + +004e87f5 : + 4e87f5: 01 . + +004e87f6 : + 4e87f6: 01 . + +004e87f7 : + 4e87f7: 01 . + +004e87f8 : + 4e87f8: 01 . + +004e87f9 : + 4e87f9: 010000 ... + +004e87fc : + 4e87fc: 00000000 .... + +004e8800 : + 4e8800: 00000000 .... + +004e8804 : + 4e8804: 00000000 .... + +004e8808 : + 4e8808: 00800000 .... + +004e880c : + 4e880c: 00800000 .... + +004e8810 : + 4e8810: 00400000 .@.. + +004e8814 : + 4e8814: 00000000 .... + +004e8818 : + 4e8818: 00000000 .... + +004e881c : + 4e881c: 00000000 .... + +004e8820 : + 4e8820: 00400000 .@.. + +004e8824 : + 4e8824: 00400000 .@.. + +004e8828 : + 4e8828: 00400000 .@.. + +004e882c : + 4e882c: 00000000 .... + +004e8830 : + 4e8830: 00000000 .... + +004e8834 : + ... + +004e8840 : + 4e8840: 01020202 02020202 02020202 02020203 ................ + 4e8850: 03030500 00000000 00000000 00000000 ................ + 4e8860: 52414d20 6661696c 0a0d0000 46617461 RAM fail....Fata + 4e8870: 6c206578 63657074 696f6e20 28256429 l exception (%d) + 4e8880: 3a207063 3d307825 78206261 64766164 : pc=0x%x badvad + 4e8890: 64723d30 78257820 64756d70 20617265 dr=0x%x dump are + 4e88a0: 613d3078 25780a00 2d41312d 0a0d0000 a=0x%x..-A1-.... + 4e88b0: 6d697361 6c69676e 65645f6c 6f61640a misaligned_load. + 4e88c0: 0d000000 46617461 6c206578 63657074 ....Fatal except + 4e88d0: 696f6e20 28256429 3a200970 633d3078 ion (%d): .pc=0x + 4e88e0: 2578200a 0d096261 64766164 64723d30 %x ...badvaddr=0 + 4e88f0: 78257820 0a0d0964 756d7020 61726561 x%x ...dump area + 4e8900: 3d307825 780a0000 09657063 313d3078 =0x%x....epc1=0x + 4e8910: 25782c20 65706332 3d307825 782c2065 %x, epc2=0x%x, e + 4e8920: 7063333d 30782578 2c206570 63343d30 pc3=0x%x, epc4=0 + 4e8930: 7825780a 00000000 30782530 38782c20 x%x.....0x%08x, + 4e8940: 30782530 38782c20 30782530 38782c20 0x%08x, 0x%08x, + 4e8950: 0a0d0000 202d2025 735f7665 723a2025 .... - %s_ver: % + 4e8960: 78202d20 0a0a0d00 61736963 00000000 x - ....asic.... + 4e8970: 64696476 69642066 726f6d20 6f776c20 didvid from owl + 4e8980: 0a000000 64656661 756c7420 64696476 ....default didv + 4e8990: 6964200a 00000000 382e2077 61697420 id .....8. wait + 4e89a0: 666f7220 646f776e 6c6f6164 2e2e2e2e for download.... + 4e89b0: 200a0d00 32000000 33000000 20332e31 ...2...3... 3.1 + 4e89c0: 206e6f20 70617463 680a0d00 20332e31 no patch... 3.1 + 4e89d0: 206e6f20 65657020 6f722063 6f727275 no eep or corru + 4e89e0: 70740a0d 00000000 20332e31 20534e53 pt...... 3.1 SNS + 4e89f0: 543a2065 65702069 6e697420 6661696c T: eep init fail + 4e8a00: 6564210a 0d000000 342e2077 64742073 ed!.....4. wdt s + 4e8a10: 74617274 0a0d0000 342e2077 61726d20 tart....4. warm + 4e8a20: 73746172 740a0d00 342e2063 6f6c6420 start...4. cold + 4e8a30: 73746172 740a0d00 352e2068 69662028 start...5. hif ( + 4e8a40: 2564290a 00000000 53544152 542e2e2e %d).....START... + 4e8a50: 2e0a0000 524f4d3e 3a6d6469 6f20646f ....ROM>:mdio do + 4e8a60: 776e6c6f 61642072 65616479 0a000000 wnload ready.... + 4e8a70: 362e2053 4e53543a 20686f73 74696620 6. SNST: hostif + 4e8a80: 636f7272 7570740a 0d000000 52414d20 corrupt.....RAM + 4e8a90: 616c6c6f 63617469 6f6e2028 25642062 allocation (%d b + 4e8aa0: 79746573 29206661 696c6564 210a0000 ytes) failed!... + 4e8ab0: 414c4c4f 4352414d 20437572 72656e74 ALLOCRAM Current + 4e8ac0: 20416464 72203078 25780a00 414c4c4f Addr 0x%x..ALLO + 4e8ad0: 4352414d 2052656d 61696e69 6e672042 CRAM Remaining B + 4e8ae0: 79746573 2025640a 00000000 2d453132 ytes %d.....-E12 + 4e8af0: 2d000000 2d453130 2d000000 2d453131 -...-E10-...-E11 + 4e8b00: 2d000000 2d453133 2d000000 4d697361 -...-E13-...Misa + 4e8b10: 6c69676e 6564206c 6f61643a 2070633d ligned load: pc= + 4e8b20: 30782578 20626164 76616464 723d3078 0x%x badvaddr=0x + 4e8b30: 25782064 756d7020 61726561 3d307825 %x dump area=0x% + 4e8b40: 780a0000 61737365 7274696f 6e206661 x...assertion fa + 4e8b50: 696c6564 3f207063 3d307825 782c206c iled? pc=0x%x, l + 4e8b60: 696e653d 25642c20 64756d70 20617265 ine=%d, dump are + 4e8b70: 613d3078 25780a00 54617267 65742049 a=0x%x..Target I + 4e8b80: 443a2030 78257820 28256429 0a000000 D: 0x%x (%d).... + 4e8b90: 44656275 6720496e 666f3a00 30782530 Debug Info:.0x%0 + 4e8ba0: 38782000 30313233 34353637 38390000 8x .0123456789.. + 4e8bb0: 30313233 34353637 38396162 63646566 0123456789abcdef + 4e8bc0: 00000000 30313233 34353637 38394142 ....0123456789AB + 4e8bd0: 43444546 00000000 3c6e756c 6c3e0000 CDEF...... + 4e8be0: 09737a3a 2025642c 206c643a 2025702c .sz: %d, ld: %p, + 4e8bf0: 20666e3a 2025700d 00000000 21696e76 fn: %p.....!inv + 4e8c00: 616c6964 2064706f 72742061 64647265 alid dport addre + 4e8c10: 73732c20 30782530 38780a0d 00000000 ss, 0x%08x...... + 4e8c20: 63702025 64206279 74657320 66726f6d cp %d bytes from + 4e8c30: 20307825 30387820 746f2030 78253038 0x%08x to 0x%08 + 4e8c40: 78000000 702e6f66 743a2030 78253034 x...p.oft: 0x%04 + 4e8c50: 782c2070 2e737a20 3a203078 25303478 x, p.sz : 0x%04x + 4e8c60: 0a000000 57616974 696e6720 666f7220 ....Waiting for + 4e8c70: 686f7374 20726573 65742e2e 00000000 host reset...... + 4e8c80: 72656365 69766564 2e0a0000 42616420 received....Bad + 4e8c90: 456e6769 6e65206e 756d6265 720a0000 Engine number... + 4e8ca0: 456d7074 79205258 20526561 700a0000 Empty RX Reap... + 4e8cb0: 456d7074 79205458 20526561 70200a00 Empty TX Reap .. + 4e8cc0: 496e7661 6c696420 50697065 206e756d Invalid Pipe num + 4e8cd0: 6265720a 00000000 0a0d093d 3e5b646e ber........=>[dn + 4e8ce0: 515d2030 78253038 78200a5b 00000000 Q] 0x%08x .[.... + 4e8cf0: 093d3e5b 7570515d 20307825 30387820 .=>[upQ] 0x%08x + 4e8d00: 0a5b0000 093d3e5b 68702064 6e515d20 .[...=>[hp dnQ] + 4e8d10: 30782530 3878200a 5b000000 093d3e5b 0x%08x .[....=>[ + 4e8d20: 6d702064 6e515d20 30782530 3878200a mp dnQ] 0x%08x . + 4e8d30: 5b000000 0a0d5b68 7020646e 515d2030 [.....[hp dnQ] 0 + 4e8d40: 78253038 782c2000 444d4120 54524143 x%08x, .DMA TRAC + 4e8d50: 45203078 25303878 0a0d2020 20205b00 E 0x%08x.. [. + 4e8d60: 0a0d5b6d 7020646e 515d2030 78253038 ..[mp dnQ] 0x%08 + 4e8d70: 782c2000 0a0d5b64 6e515d20 30782530 x, ...[dnQ] 0x%0 + 4e8d80: 38782c20 00000000 0a0a0d5b 7570515d 8x, .......[upQ] + 4e8d90: 20307825 3038782c 20000000 2d206375 0x%08x, ...- cu + 4e8da0: 73746f6d 20757362 20636f6e 6669670a stom usb config. + 4e8db0: 00000000 095b6355 53425f52 45515f44 .....[cUSB_REQ_D + 4e8dc0: 4f574e4c 4f41445d 3a203078 25303878 OWNLOAD]: 0x%08x + 4e8dd0: 2c202530 32780a0d 00000000 090a0d3d , %02x.........= + 4e8de0: 3d3e5b63 5553425f 5245515f 434f4d50 =>[cUSB_REQ_COMP + 4e8df0: 5d3a2030 78253038 780a0d00 636b7375 ]: 0x%08x...cksu + 4e8e00: 6d3d2578 00000000 56656e64 6f72436d m=%x....VendorCm + 4e8e10: 643a2044 6f776e6c 6f616443 6f6d706c d: DownloadCompl + 4e8e20: 65746521 0a000000 7a665265 73657455 ete!....zfResetU + 4e8e30: 53424649 464f0a0d 00000000 7a665475 SBFIFO......zfTu + 4e8e40: 726e4f66 66506f77 65720a0d 00000000 rnOffPower...... + 4e8e50: 47656e65 72617465 20457665 6e740a00 Generate Event.. + 4e8e60: 215b534f 55524345 5f305d20 62697437 ![SOURCE_0] bit7 + 4e8e70: 206f6e0a 0d000000 21555342 20726573 on.....!USB res + 4e8e80: 65740a0d 00000000 21555342 20737573 et......!USB sus + 4e8e90: 70656e64 0a0d0000 21555342 20726573 pend....!USB res + 4e8ea0: 756d650a 0d000000 362e2075 73625f68 ume.....6. usb_h + 4e8eb0: 636c6b20 7264790a 0d000000 30782530 clk rdy.....0x%0 + 4e8ec0: 38782854 295d0000 30782530 38782825 8x(T)]..0x%08x(% + 4e8ed0: 63292d3e 00000000 0a0d2020 20000000 c)->...... ... + 4e8ee0: 6d69692d 6d64696f 202d2072 65736574 mii-mdio - reset + 4e8ef0: 202d2073 74617274 200a0000 6d69692d - start ...mii- + 4e8f00: 6d64696f 202d2072 65736574 202d2065 mdio - reset - e + 4e8f10: 6e64200a 00000000 73746172 74656420 nd .....started + 4e8f20: 72656365 6976696e 67206279 74657320 receiving bytes + 4e8f30: 746f2025 78206c65 6e677468 2025640a to %x length %d. + 4e8f40: 00000000 636f6d70 6c657465 64207265 ....completed re + 4e8f50: 63656976 696e6720 62797465 7320746f ceiving bytes to + 4e8f60: 20257820 6c656e67 74682025 640a0000 %x length %d... + 4e8f70: 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa + 4e8f80: 64206c65 6e677468 20307825 780a0000 d length 0x%x... + 4e8f90: 4669726d 77617265 20457865 63204164 Firmware Exec Ad + 4e8fa0: 64726573 73203078 25780a00 4669726d dress 0x%x..Firm + 4e8fb0: 77617265 20636865 636b7375 6d203078 ware checksum 0x + 4e8fc0: 25780a00 4669726d 77617265 20636865 %x..Firmware che + 4e8fd0: 636b7375 6d206661 696c6564 202d2072 cksum failed - r + 4e8fe0: 65206e65 676f7469 6174696e 67200a00 e negotiating .. + 4e8ff0: 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa + 4e9000: 64206973 20676f6f 64200a00 434f4d4d d is good ..COMM + 4e9010: 414e4420 544f2053 54415254 20464952 AND TO START FIR + 4e9020: 4d574152 45205245 43454956 4544200a MWARE RECEIVED . + 4e9030: 00000000 372e312e 30000000 4c58322e ....7.1.0...LX2. + 4e9040: 312e3000 00500400 00500400 00500950 1.0..P...P...P.P + 4e9050: 00500b78 .P.x +Disassembly of section .data: + +00500400 <_xtos_exc_handler_table>: +_xtos_exc_handler_table(): + 500400: 008e6928 008e1104 008e6928 008e6928 ..i(......i(..i( + 500410: 008e1174 008e102c 008e6928 008e6928 ...t...,..i(..i( + 500420: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500430: 008e63ac 008e63ac 008e63ac 008e63ac ..c...c...c...c. + 500440: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500450: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500460: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500470: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500480: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 500490: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004a0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004b0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004c0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004d0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004e0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + 5004f0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( + +00500500 <_xtos_enabled>: +_xtos_intstruct(): + 500500: 00000000 .... + +00500504 <_xtos_vpri_enabled>: + 500504: ffffffff .... + +00500508 <_xtos_interrupt_table>: +_xtos_interrupt_table(): + 500508: 008e6920 00000012 008e6920 00000011 ..i ......i .... + 500518: 008e6920 00000010 008e6920 0000000f ..i ......i .... + 500528: 008e6920 0000000e 008e6920 0000000d ..i ......i .... + 500538: 008e6920 0000000c 008e6920 0000000b ..i ......i .... + 500548: 008e6920 0000000a 008e6920 00000009 ..i ......i .... + 500558: 008e6920 00000008 008e6920 00000007 ..i ......i .... + 500568: 008e6920 00000006 008e6920 00000005 ..i ......i .... + 500578: 008e6920 00000004 008e6920 00000003 ..i ......i .... + 500588: 008e6920 00000002 008e6920 00000001 ..i ......i .... + 500598: 008e6920 00000000 ..i .... + +005005a0 <_xtos_interrupt_mask_table>: +_xtos_interrupt_mask_table(): + 5005a0: fffffffe 00040000 fffffffe 00020000 ................ + 5005b0: fffffffe 00010000 fffffffe 00008000 ................ + 5005c0: fffffffe 00004000 fffffffe 00002000 ......@....... . + 5005d0: fffffffe 00001000 fffffffe 00000800 ................ + 5005e0: fffffffe 00000400 fffffffe 00000200 ................ + 5005f0: fffffffe 00000100 fffffffe 00000080 ................ + 500600: fffffffe 00000040 fffffffe 00000020 .......@....... + 500610: fffffffe 00000010 fffffffe 00000008 ................ + 500620: fffffffe 00000004 fffffffe 00000002 ................ + 500630: fffffffe 00000001 00000000 00000000 ................ + +00500640 : + 500640: 008e2320 0050000c 008e2488 00500020 ..# .P....$..P. + 500650: 008e29bc 00500044 008e2f5c 0050004c ..)..P.D../\.P.L + 500660: 008e4858 00500070 008e22f8 00500118 ..HX.P.p.."..P.. + 500670: 008e2cc4 00500104 008e1d6c 005000e0 ..,..P.....l.P.. + 500680: 008e1c98 00500144 008e2b08 00500150 .....P.D..+..P.P + 500690: 008e2dd0 00500160 008e216c 0050017c ..-..P.`..!l.P.| + 5006a0: 00000000 0050006c 008e2b2c 0050018c .....P.l..+,.P.. + 5006b0: 008e2be4 005001a4 008e5010 00500294 ..+..P....P..P.. + 5006c0: 008e4f60 00500280 008e1548 005001c0 ..O`.P.....H.P.. + 5006d0: 008e337c 00500328 008e4eb8 005002f4 ..3|.P.(..N..P.. + 5006e0: 008e6264 00500264 008e4848 00500258 ..bd.P.d..HH.P.X + 5006f0: 008e5c58 005002b4 ..\X.P.. + +005006f8 : + 5006f8: 00000001 .... + +005006fc : + 5006fc: 00000001 .... + +00500700 <_putc>: + 500700: 008e24b8 ..$. + +00500704 : + 500704: 00000000 .... + +00500708 : + ... + +00500720 : + 500720: 00020004 00080000 00000000 00000000 ................ + +00500730 : + ... + 500738: aa00aa00 aaaaaaaa eeaaaaaa eeeeeeee ................ + 500748: feeeeeee ffffffff ffffffff 7fffffff ................ + 500758: f7efdfbf 7efcfdfb fdfbdfbf 00000000 ....~........... + ... + +00500770 : + ... + +00500780 : + 500780: 07090000 00000000 00000000 00000000 ................ + ... + +005007c0 : + 5007c0: 00053000 00000800 00000000 00000000 ..0............. + ... + 5007d8: 00053000 00000900 00000000 00000000 ..0............. + ... + 5007f0: 00053000 00000a00 00000000 00000000 ..0............. + ... + 500808: 00053000 00000b00 00000000 00000000 ..0............. + ... + 500820: 00053000 00000c00 00000000 00000000 ..0............. + ... + 500838: 00053000 00000d00 00000000 00000000 ..0............. + ... + +00500850 <_xtos_c_handler_table>: +_xtos_c_handler_table(): + 500850: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500860: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500870: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500880: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500890: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008a0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008b0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008c0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008d0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008e0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 5008f0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500900: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500910: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500920: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500930: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP + 500940: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP +Disassembly of section .bss: + +00500950 : + 500950: 00000000 .... + +00500954 : + 500954: 00000000 .... + +00500958 : + 500958: 00000000 .... + +0050095c : + 50095c: 00000000 .... + +00500960 : + 500960: 00000000 .... + +00500964 : + 500964: 00000000 .... + +00500968 : + 500968: 00000000 .... + +0050096c : + 50096c: 00000000 .... + +00500970 : + 500970: 00000000 .... + +00500974 : + 500974: 00000000 .... + +00500978 : + ... + +0050097a : + 50097a: 00000000 00000000 ...... + +00500980 : + ... + +00500990 : + ... + +00500a28 : + 500a28: 00000000 .... + +00500a2c : + 500a2c: 00000000 .... + +00500a30 : + 500a30: 00000000 .... + +00500a34 : + 500a34: 00000000 .... + +00500a38 : + ... + +00500a44 : + ... + +00500a54 : + ... + +00500a98 : + ... + +00500aa4 : + ... + +00500ab4 : + 500ab4: 00000000 .... + +00500ab8 : + 500ab8: 00000000 .... + +00500abc : + 500abc: 00000000 .... + +00500ac0 : + 500ac0: 00000000 .... + +00500ac4 : + 500ac4: 00000000 .... + +00500ac8 : + 500ac8: 00000000 .... + +00500acc : + ... + +00500ace : + ... + +00500ad0 : + ... + +00500ad2 : + ... + +00500ad4 : + 500ad4: 00000000 .... + +00500ad8 : + 500ad8: 00000000 .... + +00500adc : + 500adc: 00000000 .... + +00500ae0 : + 500ae0: 00000000 .... + +00500ae4 : + 500ae4: 00000000 .... + +00500ae8 : + ... + +00500af0 : + ... + +00500af8 : + ... + +00500b04 : + ... + +00500b0c : + ... + +00500b18 : + ... + +00500b44 : + 500b44: 00000000 .... + +00500b48 : + 500b48: 00000000 .... + +00500b4c : + ... + +00500b4d : + ... + +00500b4e : + ... + +00500b4f : + ... + +00500b50 : + 500b50: 00000000 .... + +00500b54 : + 500b54: 00000000 .... + +00500b58 : + 500b58: 00000000 .... + +00500b5c : + 500b5c: 00000000 .... + +00500b60 : + 500b60: 00000000 .... + +00500b64 : + ... + +00500b65 : + ... + +00500b66 : + ... + +00500b67 : + ... + +00500b68 : + ... + +00500b69 : + ... + +00500b6a : + ... + +00500b6b : + ... + +00500b6c : + ... + +00500b6d : + ... + +00500b6e : + ... + +00500b6f : + ... + +00500b70 : + ... +Disassembly of section .ResetVector.text: + +008e0000 <_ResetVector>: +_ResetVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:56 + 8e0000: 60001c j 8e0020 <_ResetHandler> + +008e0003 <_ResetVector+0x3>: + ... + +008e0004 <_ResetVector+0x4>: + 8e0004: 008e0800 22221221 e0000000 008e0063 ...."".!.......c + 8e0014: 004e8720 00528001 .N. .R.. + +008e001c <_ResetVector+0x1c>: + 8e001c: 00000000 .... + +008e0020 <_ResetHandler>: +_ResetHandler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:73 + 8e0020: c000 movi.n a0, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:76 + 8e0022: 00e431 wsr.intenable a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:128 + 8e0025: 12fff7 l32r a2, 8e0004 <_ResetVector_text_start+0x4> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:129 + 8e0028: 02e731 wsr.vecbase a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:133 + 8e002b: 021600 rsil a2, 1 +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:161 + 8e002e: 12fff6 l32r a2, 8e0008 <_ResetVector_text_start+0x8> +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:396 + 8e0031: 15fff6 l32r a5, 8e000c <_ResetVector_text_start+0xc> + 8e0034: 16fff7 l32r a6, 8e0010 <_ResetVector_text_start+0x10> + 8e0037: c030 movi.n a3, 0 + 8e0039: d720 mov.n a7, a2 + 8e003b: 056601 and a6, a6, a5 + 8e003e: 600021 j 8e0063 <_ResetHandler+0x43> + +008e0041 <_ResetHandler+0x21>: + ... + +008e0050 <_ResetHandler+0x30>: +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:281 + 8e0050: 043605 witlb a4, a3 + 8e0053: 000200 isync + 8e0056: d30f nop.n + +008e0058 <_ResetHandler+0x38>: + 8e0058: d30f nop.n + 8e005a: 753114 beq a3, a5, 8e0072 <_ResetHandler+0x52> + +008e005d <_ResetHandler+0x3d>: + 8e005d: 074714 srli a7, a7, 4 + 8e0060: 05330c sub a3, a3, a5 +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:304 + 8e0063: 070443 extui a4, a7, 0, 4 + 8e0066: 7631e6 beq a3, a6, 8e0050 <_ResetHandler+0x30> + 8e0069: 043605 witlb a4, a3 + 8e006c: 7539ed bne a3, a5, 8e005d <_ResetHandler+0x3d> + 8e006f: 000200 isync + +008e0072 <_ResetHandler+0x52>: +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:396 + 8e0072: 15ffe6 l32r a5, 8e000c <_ResetVector_text_start+0xc> + 8e0075: c030 movi.n a3, 0 + 8e0077: d720 mov.n a7, a2 + 8e0079: 600006 j 8e0083 <_ResetHandler+0x63> + +008e007c <_ResetHandler+0x5c>: + ... + +008e007d <_ResetHandler+0x5d>: + 8e007d: 074714 srli a7, a7, 4 + 8e0080: 05330c sub a3, a3, a5 +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:343 + 8e0083: 070443 extui a4, a7, 0, 4 + 8e0086: 043e05 wdtlb a4, a3 + 8e0089: 7539f0 bne a3, a5, 8e007d <_ResetHandler+0x5d> + 8e008c: 030200 dsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:172 + 8e008f: 12ffe1 l32r a2, 8e0014 <_ResetVector_text_start+0x14> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:173 + 8e0092: ca20 beqz.n a2, 8e00b6 + +008e0094 : +unpack(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:174 + 8e0094: 8320 l32i.n a3, a2, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:175 + 8e0096: 8421 l32i.n a4, a2, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:176 + 8e0098: 8522 l32i.n a5, a2, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:177 + 8e009a: 222c0c addi a2, a2, 12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:178 + 8e009d: 743b0f bgeu a3, a4, 8e00b0 + +008e00a0 : +uploop(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:179 + 8e00a0: 8650 l32i.n a6, a5, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:180 + 8e00a2: b455 addi.n a5, a5, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:181 + 8e00a4: 9630 s32i.n a6, a3, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:182 + 8e00a6: b433 addi.n a3, a3, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:183 + 8e00a8: 7433f4 bltu a3, a4, 8e00a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:184 + 8e00ab: 63ffe5 j 8e0094 + +008e00ae : + ... + +008e00b0 : +upnext(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:185 + 8e00b0: 653fe0 bnez a3, 8e0094 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:186 + 8e00b3: 655fdd bnez a5, 8e0094 + +008e00b6 : +unpackdone(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:254 + 8e00b6: 12ffd8 l32r a2, 8e0018 <_ResetVector_text_start+0x18> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:255 + 8e00b9: 020531 wsr.litbase a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:256 + 8e00bc: 010200 rsync + +008e00bf : +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:266 + 8e00bf: 000200 isync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:281 + 8e00c2: c011 movi.n a1, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:282 + 8e00c4: 014931 wsr.windowstart a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:286 + 8e00c7: 004831 wsr.windowbase a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:287 + 8e00ca: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:288 + 8e00cd: c000 movi.n a0, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:353 + 8e00cf: 140004 l32r a4, 4e8010 <_lit4_start+0x10> + 8e00d2: 04d231 wsr.excsave2 a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:354 + 8e00d5: 140005 l32r a4, 4e8014 <_lit4_start+0x14> + 8e00d8: 04d331 wsr.excsave3 a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:372 + 8e00db: 5003c9 call0 8e1000 <_iram0_text_end> +Disassembly of section .WindowVectors.text: + +008e0800 <_WindowOverflow4>: +_WindowOverflow4(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:105 + 8e0800: 005c94 s32e a0, a5, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:106 + 8e0803: 015d94 s32e a1, a5, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:107 + 8e0806: 025e94 s32e a2, a5, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:108 + 8e0809: 035f94 s32e a3, a5, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:110 + 8e080c: 004300 rfwo + +008e080f <_WindowOverflow4+0xf>: + ... + +008e0840 <_WindowUnderflow4>: +_WindowUnderflow4(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:133 + 8e0840: 005c90 l32e a0, a5, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:134 + 8e0843: 015d90 l32e a1, a5, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:135 + 8e0846: 025e90 l32e a2, a5, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:136 + 8e0849: 035f90 l32e a3, a5, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:138 + 8e084c: 005300 rfwu + +008e084f <_WindowUnderflow4+0xf>: + ... + +008e0880 <_WindowOverflow8>: +_WindowOverflow8(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:169 + 8e0880: 009c94 s32e a0, a9, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:170 + 8e0883: 001d90 l32e a0, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:171 + 8e0886: 019d94 s32e a1, a9, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:172 + 8e0889: 029e94 s32e a2, a9, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:173 + 8e088c: 039f94 s32e a3, a9, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:174 + 8e088f: 040894 s32e a4, a0, -32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:175 + 8e0892: 050994 s32e a5, a0, -28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:176 + 8e0895: 060a94 s32e a6, a0, -24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:177 + 8e0898: 070b94 s32e a7, a0, -20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:179 + 8e089b: 004300 rfwo + +008e089e <_WindowOverflow8+0x1e>: + ... + +008e08c0 <_WindowUnderflow8>: +_WindowUnderflow8(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:210 + 8e08c0: 009c90 l32e a0, a9, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:211 + 8e08c3: 019d90 l32e a1, a9, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:212 + 8e08c6: 029e90 l32e a2, a9, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:213 + 8e08c9: 071d90 l32e a7, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:214 + 8e08cc: 039f90 l32e a3, a9, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:215 + 8e08cf: 047890 l32e a4, a7, -32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:216 + 8e08d2: 057990 l32e a5, a7, -28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:217 + 8e08d5: 067a90 l32e a6, a7, -24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:218 + 8e08d8: 077b90 l32e a7, a7, -20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:220 + 8e08db: 005300 rfwu + +008e08de <_WindowUnderflow8+0x1e>: + ... + +008e0900 <_WindowOverflow12>: +_WindowOverflow12(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:255 + 8e0900: 00dc94 s32e a0, a13, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:256 + 8e0903: 001d90 l32e a0, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:257 + 8e0906: 01dd94 s32e a1, a13, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:258 + 8e0909: 02de94 s32e a2, a13, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:259 + 8e090c: 03df94 s32e a3, a13, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:260 + 8e090f: 040494 s32e a4, a0, -48 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:261 + 8e0912: 050594 s32e a5, a0, -44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:262 + 8e0915: 060694 s32e a6, a0, -40 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:263 + 8e0918: 070794 s32e a7, a0, -36 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:264 + 8e091b: 080894 s32e a8, a0, -32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:265 + 8e091e: 090994 s32e a9, a0, -28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:266 + 8e0921: 0a0a94 s32e a10, a0, -24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:267 + 8e0924: 0b0b94 s32e a11, a0, -20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:269 + 8e0927: 004300 rfwo + +008e092a <_WindowOverflow12+0x2a>: + ... + +008e0940 <_WindowUnderflow12>: +_WindowUnderflow12(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:304 + 8e0940: 00dc90 l32e a0, a13, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:305 + 8e0943: 01dd90 l32e a1, a13, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:306 + 8e0946: 02de90 l32e a2, a13, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:307 + 8e0949: 0b1d90 l32e a11, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:308 + 8e094c: 03df90 l32e a3, a13, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:309 + 8e094f: 04b490 l32e a4, a11, -48 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:310 + 8e0952: 05b590 l32e a5, a11, -44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:311 + 8e0955: 06b690 l32e a6, a11, -40 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:312 + 8e0958: 07b790 l32e a7, a11, -36 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:313 + 8e095b: 08b890 l32e a8, a11, -32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:314 + 8e095e: 09b990 l32e a9, a11, -28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:315 + 8e0961: 0aba90 l32e a10, a11, -24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:316 + 8e0964: 0bbb90 l32e a11, a11, -20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:318 + 8e0967: 005300 rfwu +Disassembly of section .KernelExceptionVector.text: + +008e0d40 <_KernelExceptionVector>: +_KernelExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/kernel-vector.S:43 + 8e0d40: 001400 break 1, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/kernel-vector.S:51 + 8e0d43: 63fff9 j 8e0d40 <_KernelExceptionVector_text_start> +Disassembly of section .UserExceptionVector.text: + +008e0e20 <_UserExceptionVector>: +_UserExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:25 + 8e0e20: 211c90 addi a1, a1, -112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:26 + 8e0e23: 9214 s32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:27 + 8e0e25: 9315 s32i.n a3, a1, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:28 + 8e0e27: 130006 l32r a3, 4e8018 <_lit4_start+0x18> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:29 + 8e0e2a: 02e830 rsr.exccause a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:31 + 8e0e2d: 03230a addx4 a3, a2, a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:32 + 8e0e30: 8330 l32i.n a3, a3, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:33 + 8e0e32: 9416 s32i.n a4, a1, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:34 + 8e0e34: 0a3000 jx a3 +Disassembly of section .DoubleExceptionVector.text: + +008e0f20 <_DoubleExceptionVector>: +_DoubleExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/double-vector.S:47 + 8e0f20: 041400 break 1, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/double-vector.S:55 + 8e0f23: 63fff9 j 8e0f20 <_DoubleExceptionVector_text_start> +Disassembly of section .Level2InterruptVector.text: + +008e0a20 <_Level2Vector>: +_Level2Vector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:37 + 8e0a20: 02d216 xsr.excsave2 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:38 + 8e0a23: 0a2000 jx a2 +Disassembly of section .Level3InterruptVector.text: + +008e0b20 <_Level3Vector>: +_Level3Vector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:37 + 8e0b20: 02d316 xsr.excsave3 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:38 + 8e0b23: 0a2000 jx a2 +Disassembly of section .DebugExceptionVector.text: + +008e0c20 <_DebugExceptionVector>: +_DebugExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/debug-vector.S:42 + 8e0c20: 004700 waiti 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/debug-vector.S:44 + 8e0c23: 63fff9 j 8e0c20 <_DebugExceptionVector_text_start> +Disassembly of section .NMIExceptionVector.text: + +008e0ce4 <_NMIExceptionVector>: +_NMIExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/nmi-vector.S:40 + 8e0ce4: 015300 rfi 5 +Disassembly of section .text: + +008e1000 <_start>: +_stext(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:49 + 8e1000: 200a00 movi a0, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:50 + 8e1003: 110000 l32r a1, 4e8000 <_lit4_start> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:56 + 8e1006: 130001 l32r a3, 4e8004 <_lit4_start+0x4> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:58 + 8e1009: 03e631 wsr.ps a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:59 + 8e100c: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:68 + 8e100f: 160002 l32r a6, 4e8008 <_lit4_start+0x8> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:69 + 8e1012: 170003 l32r a7, 4e800c <_lit4_start+0xc> + 8e1015: d30f nop.n +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:70 + 8e1017: 776b06 bgeu a6, a7, 8e1021 <_iram0_text_end+0x21> + +008e101a <_start+0x1a>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:71 + 8e101a: 9060 s32i.n a0, a6, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:72 + 8e101c: b466 addi.n a6, a6, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:73 + 8e101e: 7763f8 bltu a6, a7, 8e101a <_iram0_text_end+0x1a> + +008e1021 <_start+0x21>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:80 + 8e1021: 5402fc call4 8e1c14
+/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:90 + 8e1024: 0f1400 break 1, 15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:92 + 8e1027: 63fff9 j 8e1024 <_iram0_text_end+0x24> + +008e102a <_start+0x2a>: + ... + +008e102c <_xtos_alloca_handler>: +_xtos_alloca_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:101 + 8e102c: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:102 + 8e102f: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:103 + 8e1031: b332 addi.n a2, a3, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:110 + 8e1033: 040130 rsr.lend a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:111 + 8e1036: 050230 rsr.lcount a5 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:112 + 8e1039: 72490b bne a4, a2, 8e1048 <_xtos_alloca_handler+0x1c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:113 + 8e103c: 645008 beqz a5, 8e1048 <_xtos_alloca_handler+0x1c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:114 + 8e103f: 255cff addi a5, a5, -1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:115 + 8e1042: 050231 wsr.lcount a5 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:116 + 8e1045: 020030 rsr.lbeg a2 + +008e1048 <_xtos_alloca_handler+0x1c>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:118 + 8e1048: 02b131 wsr.epc1 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:126 + 8e104b: b133 addi.n a3, a3, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:127 + 8e104d: 030241 extui a2, a3, 0, 2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:128 + 8e1050: 02330c sub a3, a3, a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:129 + 8e1053: 8330 l32i.n a3, a3, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:130 + 8e1055: 040330 rsr.sar a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:133 + 8e1058: 002304 ssa8b a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:134 + 8e105b: 00331a sll a3, a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:135 + 8e105e: 03c353 extui a3, a3, 28, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:141 + 8e1061: 040331 wsr.sar a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:144 + 8e1064: 140007 l32r a4, 4e801c <_lit4_start+0x1c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:145 + 8e1067: d510 mov.n a5, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:146 + 8e1069: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:149 + 8e106c: 04340a addx4 a4, a3, a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:162 + 8e106f: 0a4000 jx a4 + +008e1072 <_xtos_alloca_handler+0x46>: + ... + +008e1074 <_xtos_alloca_handler+0x48>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:165 + 8e1074: d100 mov.n a1, a0 + 8e1076: cf48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:166 + 8e1078: cf46 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> + +008e107a <_xtos_alloca_handler+0x4e>: + ... + +008e107c <_xtos_alloca_handler+0x50>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:167 + 8e107c: 8154 l32i.n a1, a5, 16 + 8e107e: cf40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:168 + 8e1080: 8155 l32i.n a1, a5, 20 + 8e1082: ce4c bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:169 + 8e1084: 8156 l32i.n a1, a5, 24 + 8e1086: ce48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:170 + 8e1088: 8157 l32i.n a1, a5, 28 + 8e108a: ce44 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:171 + 8e108c: d160 mov.n a1, a6 + 8e108e: ce40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:172 + 8e1090: d170 mov.n a1, a7 + 8e1092: cd4c bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:173 + 8e1094: d180 mov.n a1, a8 + 8e1096: cd48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:174 + 8e1098: d190 mov.n a1, a9 + 8e109a: cd44 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:175 + 8e109c: d1a0 mov.n a1, a10 + 8e109e: cd40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:176 + 8e10a0: d1b0 mov.n a1, a11 + 8e10a2: cc4c bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:177 + 8e10a4: d1c0 mov.n a1, a12 + 8e10a6: cc48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:178 + 8e10a8: d1d0 mov.n a1, a13 + 8e10aa: cc44 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:179 + 8e10ac: d1e0 mov.n a1, a14 + 8e10ae: cc40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> + +008e10b0 <_xtos_alloca_handler+0x84>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:180 + 8e10b0: d1f0 mov.n a1, a15 + +008e10b2 <_xtos_alloca_handler+0x86>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:187 + 8e10b2: 010341 extui a3, a1, 0, 2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:188 + 8e10b5: c834 beqz.n a3, 8e10bd <_xtos_alloca_handler+0x91> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:193 + 8e10b7: 0f1400 break 1, 15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:195 + 8e10ba: 03110c sub a1, a1, a3 + +008e10bd <_xtos_alloca_handler+0x91>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:200 + 8e10bd: 225c70 addi a2, a5, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:205 + 8e10c0: 240a70 movi a4, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:206 + 8e10c3: 05130c sub a3, a1, a5 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:207 + 8e10c6: 743b16 bgeu a3, a4, 8e10e0 <_xtos_alloca_handler+0xb4> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:210 + 8e10c9: 04550c sub a5, a5, a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:211 + 8e10cc: 235220 l32i a3, a5, 128 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:212 + 8e10cf: 245221 l32i a4, a5, 132 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:213 + 8e10d2: 9354 s32i.n a3, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:214 + 8e10d4: 9455 s32i.n a4, a5, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:215 + 8e10d6: 235222 l32i a3, a5, 136 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:216 + 8e10d9: 245223 l32i a4, a5, 140 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:217 + 8e10dc: 9356 s32i.n a3, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:218 + 8e10de: 9457 s32i.n a4, a5, 28 + +008e10e0 <_xtos_alloca_handler+0xb4>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:223 + 8e10e0: 032c90 l32e a3, a2, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:224 + 8e10e3: 042d90 l32e a4, a2, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:225 + 8e10e6: 031c94 s32e a3, a1, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:226 + 8e10e9: 041d94 s32e a4, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:227 + 8e10ec: 032e90 l32e a3, a2, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:228 + 8e10ef: 042f90 l32e a4, a2, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:229 + 8e10f2: 031e94 s32e a3, a1, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:230 + 8e10f5: 041f94 s32e a4, a1, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:246 + 8e10f8: 8254 l32i.n a2, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:247 + 8e10fa: 8355 l32i.n a3, a5, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:248 + 8e10fc: 8456 l32i.n a4, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:249 + 8e10fe: 8557 l32i.n a5, a5, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:250 + 8e1100: 000300 rfe + +008e1103 <_xtos_alloca_handler+0xd7>: + ... + +008e1104 <_xtos_syscall_handler>: +_xtos_syscall_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:74 + 8e1104: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:80 + 8e1107: 020130 rsr.lend a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:81 + 8e110a: b333 addi.n a3, a3, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:82 + 8e110c: 73290c bne a2, a3, 8e111c <_xtos_syscall_handler+0x18> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:83 + 8e110f: 020230 rsr.lcount a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:84 + 8e1112: c826 beqz.n a2, 8e111c <_xtos_syscall_handler+0x18> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:85 + 8e1114: b022 addi.n a2, a2, -1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:86 + 8e1116: 020231 wsr.lcount a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:87 + 8e1119: 030030 rsr.lbeg a3 + +008e111c <_xtos_syscall_handler+0x18>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:88 + 8e111c: 8214 l32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:94 + 8e111e: 03b131 wsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:95 + 8e1121: 8315 l32i.n a3, a1, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:99 + 8e1123: 652044 bnez a2, 8e116b <_SyscallException+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:106 + 8e1126: 231615 s32i a3, a1, 84 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:107 + 8e1129: 241616 s32i a4, a1, 88 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:108 + 8e112c: 251617 s32i a5, a1, 92 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:110 + 8e112f: 130008 l32r a3, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:111 + 8e1132: 02e630 rsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:112 + 8e1135: 03e631 wsr.ps a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:114 + 8e1138: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:115 + 8e113b: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:116 + 8e113e: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:123 + 8e1141: c040 movi.n a4, 0 + +008e1143 <_SyscallException>: +_SyscallException(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:129 + 8e1143: 6c1008 entry a1, 64 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:131 + 8e1146: 5c0007 call12 8e1164 <_SyscallException+0x21> + +008e1149 <_SyscallException+0x6>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:132 + 8e1149: 1e0009 l32r a14, 4e8024 <_lit4_start+0x24> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:133 + 8e114c: aec0 add.n a0, a12, a14 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:134 + 8e114e: d10f retw.n + +008e1150 <_SyscallException+0xd>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:137 + 8e1150: 02e631 wsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:138 + 8e1153: c020 movi.n a2, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:139 + 8e1155: 845a l32i.n a4, a5, 40 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:140 + 8e1157: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:141 + 8e115a: 03b131 wsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:142 + 8e115d: 8359 l32i.n a3, a5, 36 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:143 + 8e115f: 855b l32i.n a5, a5, 44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:144 + 8e1161: 000300 rfe + +008e1164 <_SyscallException+0x21>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:150 + 8e1164: 6c1006 entry a1, 48 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:152 + 8e1167: dff0 mov.n a15, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:163 + 8e1169: d10f retw.n + +008e116b <_SyscallException+0x28>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:168 + 8e116b: c72f movi.n a2, -1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:169 + 8e116d: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:170 + 8e1170: 000300 rfe + +008e1173 <_SyscallException+0x30>: + ... + +008e1174 <_xtos_l1int_handler>: +_xtos_l1int_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:69 + 8e1174: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:85 + 8e1176: 120008 l32r a2, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:87 + 8e1179: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:88 + 8e117c: 02e616 xsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:98 + 8e117f: 9310 s32i.n a3, a1, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:99 + 8e1181: 9211 s32i.n a2, a1, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:170 + 8e1183: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:172 + 8e1186: 12000a l32r a2, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:174 + 8e1189: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:257 + 8e118c: 032402 or a4, a2, a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:258 + 8e118f: 042409 addx2 a4, a2, a4 + +008e1192 <_LevelOneInterrupt>: +_LevelOneInterrupt(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:266 + 8e1192: 6c100e entry a1, 112 + +008e1195 <_LevelOneInterrupt+0x3>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:315 + 8e1195: 0f1600 rsil a15, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:345 + 8e1198: c0d1 movi.n a13, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:348 + 8e119a: 1c000b l32r a12, 4e802c <_lit4_start+0x2c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:350 + 8e119d: 0de331 wsr.intclear a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:554 + 8e11a0: 0d0230 rsr.lcount a13 + 8e11a3: 0f0030 rsr.lbeg a15 + 8e11a6: 9d19 s32i.n a13, a1, 36 + 8e11a8: 0d0130 rsr.lend a13 + 8e11ab: 9f1a s32i.n a15, a1, 40 + 8e11ad: 9d1b s32i.n a13, a1, 44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:556 + 8e11af: 0f0330 rsr.sar a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:561 + 8e11b2: 8dc0 l32i.n a13, a12, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:562 + 8e11b4: 9f12 s32i.n a15, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:570 + 8e11b6: df10 mov.n a15, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:571 + 8e11b8: 8ec1 l32i.n a14, a12, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:572 + 8e11ba: 0fd000 callx12 a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:576 + 8e11bd: 8d19 l32i.n a13, a1, 36 + 8e11bf: 8e1a l32i.n a14, a1, 40 + 8e11c1: 8f1b l32i.n a15, a1, 44 + 8e11c3: 0d0231 wsr.lcount a13 + 8e11c6: 0e0031 wsr.lbeg a14 + 8e11c9: 0f0131 wsr.lend a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:578 + 8e11cc: 8c12 l32i.n a12, a1, 8 + +008e11ce : +spurious_int(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:684 + 8e11ce: 10000c l32r a0, 4e8030 <_lit4_start+0x30> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:692 + 8e11d1: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:693 + 8e11d4: 0c0331 wsr.sar a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:694 + 8e11d7: 0d0002 or a0, a0, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:695 + 8e11da: 00d009 addx2 a0, a13, a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:698 + 8e11dd: 0d3600 rsil a13, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:701 + 8e11e0: d10f retw.n + +008e11e2 : + ... + +008e11e4 <_xtos_set_interrupt_handler_arg>: +_xtos_set_interrupt_handler_arg(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:31 + 8e11e4: 6c1004 entry a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:36 + 8e11e7: c152 movi.n a5, 18 + 8e11e9: 72533b bltu a5, a2, 8e1228 <_xtos_set_interrupt_handler_arg+0x44> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:38 + 8e11ec: 18000d l32r a8, 4e8034 <_lit4_start+0x34> + 8e11ef: a828 add.n a8, a2, a8 + 8e11f1: 288000 l8ui a8, a8, 0 + 8e11f4: 17000e l32r a7, 4e8038 <_lit4_start+0x38> + 8e11f7: 6f8531 bgeui a8, 5, 8e122c <_xtos_set_interrupt_handler_arg+0x48> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:41 + 8e11fa: 0d2811 slli a8, a2, 3 + 8e11fd: 08770c sub a7, a7, a8 + 8e1200: 18000f l32r a8, 4e803c <_lit4_start+0x3c> + 8e1203: 267224 l32i a6, a7, 144 + 8e1206: c83e beqz.n a3, 8e1218 <_xtos_set_interrupt_handler_arg+0x34> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:49 + 8e1208: 237624 s32i a3, a7, 144 + 8e120b: 247625 s32i a4, a7, 148 + 8e120e: c020 movi.n a2, 0 + 8e1210: 08690c sub a9, a6, a8 + 8e1213: 096239 movnez a2, a6, a9 + 8e1216: d10f retw.n + +008e1218 <_xtos_set_interrupt_handler_arg+0x34>: + 8e1218: 287624 s32i a8, a7, 144 + 8e121b: 227625 s32i a2, a7, 148 + 8e121e: 086a0c sub a10, a6, a8 + 8e1221: c020 movi.n a2, 0 + 8e1223: 0a6239 movnez a2, a6, a10 + 8e1226: d10f retw.n + +008e1228 <_xtos_set_interrupt_handler_arg+0x44>: + 8e1228: c020 movi.n a2, 0 + 8e122a: d10f retw.n + +008e122c <_xtos_set_interrupt_handler_arg+0x48>: + 8e122c: c020 movi.n a2, 0 + 8e122e: d10f retw.n + +008e1230 <_xtos_set_interrupt_handler>: +_xtos_set_interrupt_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:57 + 8e1230: 6c1004 entry a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:58 + 8e1233: da20 mov.n a10, a2 + 8e1235: db30 mov.n a11, a3 + 8e1237: dc20 mov.n a12, a2 + 8e1239: 5bffea call8 8e11e4 <_xtos_set_interrupt_handler_arg> + 8e123c: d2a0 mov.n a2, a10 + 8e123e: d10f retw.n + +008e1240 <_Level2FromVector>: +_Level2FromVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:53 + 8e1240: 02d216 xsr.excsave2 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:57 + 8e1243: 211c90 addi a1, a1, -112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:58 + 8e1246: 9214 s32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:62 + 8e1248: 120008 l32r a2, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:64 + 8e124b: 9416 s32i.n a4, a1, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:65 + 8e124d: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:66 + 8e124f: 02e631 wsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:67 + 8e1252: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:84 + 8e1255: c040 movi.n a4, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:85 + 8e1257: 211c70 addi a1, a1, 112 + +008e125a <_Level2FromVector+0x1a>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:86 + 8e125a: 6c100e entry a1, 112 + +008e125d <_Level2FromVector+0x1d>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:93 + 8e125d: 0f2600 rsil a15, 2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:129 + 8e1260: 0fe230 rsr.interrupt a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:130 + 8e1263: 0ce430 rsr.intenable a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:131 + 8e1266: 1d0010 l32r a13, 4e8040 <_lit4_start+0x40> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:132 + 8e1269: 0cff01 and a15, a15, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:133 + 8e126c: 0dff01 and a15, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:134 + 8e126f: 0e0330 rsr.sar a14 + +008e1272 <_Level2FromVector+0x32>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:135 + 8e1272: 64f05e beqz a15, 8e12d4 + +008e1275 <_Level2FromVector+0x35>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:136 + 8e1275: 9e12 s32i.n a14, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:137 + 8e1277: 0d0230 rsr.lcount a13 + 8e127a: 0e0030 rsr.lbeg a14 + 8e127d: 9d19 s32i.n a13, a1, 36 + 8e127f: 0d0130 rsr.lend a13 + 8e1282: 2e160a s32i a14, a1, 40 + 8e1285: 2d160b s32i a13, a1, 44 + +008e1288 <_Level2FromVector+0x48>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:142 + 8e1288: 0f0c06 neg a12, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:143 + 8e128b: 0fcc01 and a12, a12, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:144 + 8e128e: 0ce331 wsr.intclear a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:145 + 8e1291: 1d000e l32r a13, 4e8038 <_lit4_start+0x38> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:146 + 8e1294: c1ef movi.n a14, 31 + 8e1296: 0ccf04 nsau a12, a12 + 8e1299: 0cef0c sub a15, a14, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:147 + 8e129c: 0f0f06 neg a15, a15 + 8e129f: 2ffc12 addi a15, a15, 18 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:148 + 8e12a2: 0dfc0b addx8 a12, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:149 + 8e12a5: 8dc0 l32i.n a13, a12, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:155 + 8e12a7: 2ec201 l32i a14, a12, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:156 + 8e12aa: 011f02 or a15, a1, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:157 + 8e12ad: 0fd000 callx12 a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:159 + 8e12b0: 0fe230 rsr.interrupt a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:160 + 8e12b3: 0ce430 rsr.intenable a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:161 + 8e12b6: 1d0010 l32r a13, 4e8040 <_lit4_start+0x40> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:162 + 8e12b9: 0cff01 and a15, a15, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:163 + 8e12bc: 0dff01 and a15, a15, a13 + +008e12bf <_Level2FromVector+0x7f>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:164 + 8e12bf: 65ffc5 bnez a15, 8e1288 <_Level2FromVector+0x48> + +008e12c2 <_Level2FromVector+0x82>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:170 + 8e12c2: 8d19 l32i.n a13, a1, 36 + 8e12c4: 8e1a l32i.n a14, a1, 40 + 8e12c6: 8f1b l32i.n a15, a1, 44 + 8e12c8: 0d0231 wsr.lcount a13 + 8e12cb: 0e0031 wsr.lbeg a14 + 8e12ce: 0f0131 wsr.lend a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:171 + 8e12d1: 2e1202 l32i a14, a1, 8 + +008e12d4 : +spurious2int(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:196 + 8e12d4: 100011 l32r a0, 4e8044 <_lit4_start+0x44> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:197 + 8e12d7: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:198 + 8e12da: 0e0331 wsr.sar a14 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:199 + 8e12dd: 0d0002 or a0, a0, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:200 + 8e12e0: 00d009 addx2 a0, a13, a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:206 + 8e12e3: 0e3600 rsil a14, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:208 + 8e12e6: d10f retw.n + +008e12e8 : +return2from_exc(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:210 + 8e12e8: 8254 l32i.n a2, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:211 + 8e12ea: 8456 l32i.n a4, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:212 + 8e12ec: 8557 l32i.n a5, a5, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:213 + 8e12ee: 012300 rfi 2 + +008e12f1 <_Level2HandlerLabel>: +_Level2HandlerLabel(): + 8e12f1: 000000 ... + +008e12f4 <_Level3FromVector>: +_Level3FromVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:53 + 8e12f4: 02d316 xsr.excsave3 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:57 + 8e12f7: 211c90 addi a1, a1, -112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:58 + 8e12fa: 9214 s32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:62 + 8e12fc: 120008 l32r a2, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:64 + 8e12ff: 9416 s32i.n a4, a1, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:65 + 8e1301: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:66 + 8e1303: 02e631 wsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:67 + 8e1306: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:84 + 8e1309: c040 movi.n a4, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:85 + 8e130b: 211c70 addi a1, a1, 112 + +008e130e <_Level3FromVector+0x1a>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:86 + 8e130e: 6c100e entry a1, 112 + +008e1311 <_Level3FromVector+0x1d>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:93 + 8e1311: 0f3600 rsil a15, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:129 + 8e1314: 0fe230 rsr.interrupt a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:130 + 8e1317: 0ce430 rsr.intenable a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:131 + 8e131a: 1d0012 l32r a13, 4e8048 <_lit4_start+0x48> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:132 + 8e131d: 0cff01 and a15, a15, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:133 + 8e1320: 0dff01 and a15, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:134 + 8e1323: 0e0330 rsr.sar a14 + +008e1326 <_Level3FromVector+0x32>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:135 + 8e1326: 64f05e beqz a15, 8e1388 + +008e1329 <_Level3FromVector+0x35>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:136 + 8e1329: 9e12 s32i.n a14, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:137 + 8e132b: 0d0230 rsr.lcount a13 + 8e132e: 0e0030 rsr.lbeg a14 + 8e1331: 9d19 s32i.n a13, a1, 36 + 8e1333: 0d0130 rsr.lend a13 + 8e1336: 2e160a s32i a14, a1, 40 + 8e1339: 2d160b s32i a13, a1, 44 + +008e133c <_Level3FromVector+0x48>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:142 + 8e133c: 0f0c06 neg a12, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:143 + 8e133f: 0fcc01 and a12, a12, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:144 + 8e1342: 0ce331 wsr.intclear a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:145 + 8e1345: 1d000e l32r a13, 4e8038 <_lit4_start+0x38> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:146 + 8e1348: c1ef movi.n a14, 31 + 8e134a: 0ccf04 nsau a12, a12 + 8e134d: 0cef0c sub a15, a14, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:147 + 8e1350: 0f0f06 neg a15, a15 + 8e1353: 2ffc12 addi a15, a15, 18 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:148 + 8e1356: 0dfc0b addx8 a12, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:149 + 8e1359: 8dc0 l32i.n a13, a12, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:155 + 8e135b: 2ec201 l32i a14, a12, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:156 + 8e135e: 011f02 or a15, a1, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:157 + 8e1361: 0fd000 callx12 a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:159 + 8e1364: 0fe230 rsr.interrupt a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:160 + 8e1367: 0ce430 rsr.intenable a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:161 + 8e136a: 1d0012 l32r a13, 4e8048 <_lit4_start+0x48> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:162 + 8e136d: 0cff01 and a15, a15, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:163 + 8e1370: 0dff01 and a15, a15, a13 + +008e1373 <_Level3FromVector+0x7f>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:164 + 8e1373: 65ffc5 bnez a15, 8e133c <_Level3FromVector+0x48> + +008e1376 <_Level3FromVector+0x82>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:170 + 8e1376: 8d19 l32i.n a13, a1, 36 + 8e1378: 8e1a l32i.n a14, a1, 40 + 8e137a: 8f1b l32i.n a15, a1, 44 + 8e137c: 0d0231 wsr.lcount a13 + 8e137f: 0e0031 wsr.lbeg a14 + 8e1382: 0f0131 wsr.lend a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:171 + 8e1385: 2e1202 l32i a14, a1, 8 + +008e1388 : +spurious3int(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:196 + 8e1388: 100013 l32r a0, 4e804c <_lit4_start+0x4c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:197 + 8e138b: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:198 + 8e138e: 0e0331 wsr.sar a14 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:199 + 8e1391: 0d0002 or a0, a0, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:200 + 8e1394: 00d009 addx2 a0, a13, a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:208 + 8e1397: d10f retw.n + +008e1399 : +return3from_exc(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:210 + 8e1399: 8254 l32i.n a2, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:211 + 8e139b: 8456 l32i.n a4, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:212 + 8e139d: 8557 l32i.n a5, a5, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:213 + 8e139f: 013300 rfi 3 + +008e13a2 <_Level3HandlerLabel>: + ... + +008e13a4 : +post(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:119 + 8e13a4: 6c1006 entry a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:121 + 8e13a7: da10 mov.n a10, a1 + 8e13a9: 1b0014 l32r a11, 4e8050 <_lit4_start+0x50> + 8e13ac: c1c0 movi.n a12, 16 + 8e13ae: 5815ed call8 8e6b64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:148 + 8e13b1: c020 movi.n a2, 0 + 8e13b3: 160015 l32r a6, 4e8054 <_lit4_start+0x54> + 8e13b6: d410 mov.n a4, a1 + 8e13b8: 130016 l32r a3, 4e8058 <_lit4_start+0x58> + 8e13bb: 150017 l32r a5, 4e805c <_lit4_start+0x5c> + 8e13be: 170018 l32r a7, 4e8060 <_lit4_start+0x60> + +008e13c1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:165 + 8e13c1: 04280a addx4 a8, a2, a4 + 8e13c4: 8880 l32i.n a8, a8, 0 + 8e13c6: 0c0200 memw + 8e13c9: 283680 s32i a8, a3, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:166 + 8e13cc: 0c0200 memw + 8e13cf: 293280 l32i a9, a3, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:167 + 8e13d2: 798117 beq a8, a9, 8e13ed +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:170 + 8e13d5: 287216 l32i a8, a7, 88 + 8e13d8: 1a0019 l32r a10, 4e8064 <_lit4_start+0x64> + +008e13db : + 8e13db: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:171 + 8e13de: 0c0200 memw + 8e13e1: 29627d l32i a9, a6, 0x1f4 + 8e13e4: 059902 or a9, a9, a5 + 8e13e7: 0c0200 memw + 8e13ea: 29667d s32i a9, a6, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:173 + 8e13ed: b122 addi.n a2, a2, 1 + 8e13ef: 02024f extui a2, a2, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:148 + 8e13f2: 6924cb bnei a2, 4, 8e13c1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:180 + 8e13f5: d10f retw.n + +008e13f7 : + ... + +008e13f8 : +Magpie_fatal_exception_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:188 + 8e13f8: 6c1016 entry a1, 176 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:191 + 8e13fb: 2a1c10 addi a10, a1, 16 + 8e13fe: db20 mov.n a11, a2 + 8e1400: 2c0a80 movi a12, 128 + 8e1403: 5815d8 call8 8e6b64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:192 + 8e1406: 0dee30 rsr.excvaddr a13 + 8e1409: 9d13 s32i.n a13, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:193 + 8e140b: 0be830 rsr.exccause a11 + 8e140e: 1a001a l32r a10, 4e8068 <_lit4_start+0x68> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:198 + 8e1411: de10 mov.n a14, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:195 + 8e1413: c090 movi.n a9, 0 + 8e1415: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:193 + 8e1418: 9b1c s32i.n a11, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:194 + 8e141a: 8c20 l32i.n a12, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:198 + 8e141c: 288212 l32i a8, a8, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:194 + 8e141f: 9c12 s32i.n a12, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:195 + 8e1421: 9911 s32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:198 + 8e1423: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:202 + 8e1426: d10f retw.n + +008e1428 : +athos_linkage_check(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:209 + 8e1428: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:210 + 8e142b: 69280e bnei a2, 8, 8e143d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:214 + 8e142e: 8830 l32i.n a8, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:219 + 8e1430: 8a31 l32i.n a10, a3, 4 + 8e1432: 293a4c movi a9, 0x34c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:214 + 8e1435: 698404 bnei a8, 4, 8e143d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:219 + 8e1438: 79a111 beq a10, a9, 8e144d + 8e143b: c8ae beqz.n a10, 8e144d + +008e143d : + 8e143d: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:227 + 8e1440: 288216 l32i a8, a8, 88 + 8e1443: 1a001b l32r a10, 4e806c <_lit4_start+0x6c> + 8e1446: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:228 + 8e1449: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:223 + 8e144b: d10f retw.n + +008e144d : + 8e144d: c021 movi.n a2, 1 + 8e144f: d10f retw.n + +008e1451 : + 8e1451: 000000 ... + +008e1454 : +athos_block_all_intrlvl(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:239 + 8e1454: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:247 + 8e1457: 022600 rsil a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:249 + 8e145a: 020243 extui a2, a2, 0, 4 + 8e145d: d10f retw.n + +008e145f : + ... + +008e1460 : +athos_unblock_all_intrlvl(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:254 + 8e1460: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:257 + 8e1463: 020600 rsil a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:258 + 8e1466: d10f retw.n + +008e1468 : +athos_restore_intrlvl(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:262 + 8e1468: 6c1004 entry a1, 32 + 8e146b: cc21 bnez.n a2, 8e1470 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:264 + 8e146d: 5bfffc call8 8e1460 + +008e1470 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:266 + 8e1470: d10f retw.n + +008e1472 : + ... + +008e1474 : +AR6002_misaligned_load_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:272 + 8e1474: 6c1016 entry a1, 176 + 8e1477: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:277 + 8e147a: 283212 l32i a8, a3, 72 + 8e147d: 1a001c l32r a10, 4e8070 <_lit4_start+0x70> + 8e1480: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:282 + 8e1483: 2a1c10 addi a10, a1, 16 + 8e1486: db20 mov.n a11, a2 + 8e1488: 2c0a80 movi a12, 128 + 8e148b: 5815b6 call8 8e6b64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:283 + 8e148e: 0aee30 rsr.excvaddr a10 + 8e1491: 9a13 s32i.n a10, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:284 + 8e1493: 8920 l32i.n a9, a2, 0 + 8e1495: 9912 s32i.n a9, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:286 + 8e1497: d210 mov.n a2, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:289 + 8e1499: 581428 call8 8e653c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:297 + 8e149c: 011902 or a9, a1, a1 + 8e149f: 2ddaf8 movi a13, 0xfffffdf8 + 8e14a2: 2b0a05 movi a11, 5 + 8e14a5: 6dba20 loopgtz a11, 8e14c9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:298 + 8e14a8: ad2e add.n a14, a2, a13 + 8e14aa: 2ce27e l32i a12, a14, 0x1f8 + 8e14ad: 2c9610 s32i a12, a9, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:299 + 8e14b0: 2ae27f l32i a10, a14, 0x1fc + 8e14b3: 2a9611 s32i a10, a9, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:300 + 8e14b6: 2fe280 l32i a15, a14, 0x200 + 8e14b9: 2f9612 s32i a15, a9, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:301 + 8e14bc: 2ee281 l32i a14, a14, 0x204 + 8e14bf: 2e9613 s32i a14, a9, 76 + 8e14c2: c8c3 beqz.n a12, 8e14c9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:306 + 8e14c4: 299c10 addi a9, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:305 + 8e14c7: d2a0 mov.n a2, a10 + +008e14c9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:309 + 8e14c9: 883b l32i.n a8, a3, 44 + 8e14cb: da10 mov.n a10, a1 + 8e14cd: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:311 + 8e14d0: d10f retw.n + +008e14d2 : + ... + +008e14d4 : +AR6002_fatal_exception_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:315 + 8e14d4: 6c1016 entry a1, 176 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:319 + 8e14d7: 2a1c10 addi a10, a1, 16 + 8e14da: db20 mov.n a11, a2 + 8e14dc: 2c0a80 movi a12, 128 + 8e14df: 5815a1 call8 8e6b64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:320 + 8e14e2: 0dee30 rsr.excvaddr a13 + 8e14e5: 9d13 s32i.n a13, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:321 + 8e14e7: 0be830 rsr.exccause a11 + 8e14ea: 1a001d l32r a10, 4e8074 <_lit4_start+0x74> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:327 + 8e14ed: de10 mov.n a14, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:323 + 8e14ef: c090 movi.n a9, 0 + 8e14f1: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:321 + 8e14f4: 9b1c s32i.n a11, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:322 + 8e14f6: 8c20 l32i.n a12, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:327 + 8e14f8: 283212 l32i a8, a3, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:322 + 8e14fb: 9c12 s32i.n a12, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:323 + 8e14fd: 9911 s32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:327 + 8e14ff: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:328 + 8e1502: 0bb130 rsr.epc1 a11 + 8e1505: 0cb230 rsr.epc2 a12 + 8e1508: 0db330 rsr.epc3 a13 + 8e150b: 0eb430 rsr.epc4 a14 + 8e150e: 283212 l32i a8, a3, 72 + 8e1511: 1a001e l32r a10, 4e8078 <_lit4_start+0x78> + 8e1514: 0b8000 callx8 a8 + 8e1517: 1d0015 l32r a13, 4e8054 <_lit4_start+0x54> + 8e151a: 1a001f l32r a10, 4e807c <_lit4_start+0x7c> + 8e151d: 0c0200 memw + 8e1520: 2bd27d l32i a11, a13, 0x1f4 + 8e1523: 0c0200 memw + 8e1526: 2cd27e l32i a12, a13, 0x1f8 + 8e1529: 0c0200 memw + 8e152c: 283212 l32i a8, a3, 72 + 8e152f: 2dd27f l32i a13, a13, 0x1fc + 8e1532: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:333 + 8e1535: 883a l32i.n a8, a3, 40 + 8e1537: da10 mov.n a10, a1 + 8e1539: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:341 + 8e153c: 283259 l32i a8, a3, 0x164 + 8e153f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:344 + 8e1542: 63fffc j 8e1542 + +008e1545 : + 8e1545: 000000 ... + +008e1548 : +generic_hif_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:446 + 8e1548: 6c1004 entry a1, 32 + 8e154b: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:449 + 8e154e: 888e l32i.n a8, a8, 56 + 8e1550: 0b8000 callx8 a8 + 8e1553: c8ae beqz.n a10, 8e1565 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:467 + 8e1555: 68a105 beqi a10, 1, 8e155e + 8e1558: 68a210 beqi a10, 2, 8e156c + 8e155b: 69a30b bnei a10, 3, 8e156a + +008e155e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:458 + 8e155e: da20 mov.n a10, a2 + 8e1560: 580775 call8 8e3338 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:468 + 8e1563: d10f retw.n + +008e1565 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:453 + 8e1565: da20 mov.n a10, a2 + 8e1567: 58088f call8 8e37a4 + +008e156a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:468 + 8e156a: d10f retw.n + +008e156c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:464 + 8e156c: da20 mov.n a10, a2 + 8e156e: 58129a call8 8e5fd8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:468 + 8e1571: d10f retw.n + +008e1573 : + ... + +008e1574 : +athos_indirection_table_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:472 + 8e1574: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:481 + 8e1577: c0a0 movi.n a10, 0 + 8e1579: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e157c: 120020 l32r a2, 4e8080 <_lit4_start+0x80> + 8e157f: 283a4c movi a8, 0x34c + 8e1582: 033902 or a9, a3, a3 + 8e1585: 6d8a04 loopgtz a8, 8e158d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:482 + 8e1588: 2a9400 s8i a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:483 + 8e158b: b199 addi.n a9, a9, 1 + +008e158d : + 8e158d: 140021 l32r a4, 4e8084 <_lit4_start+0x84> + +008e1590 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:492 + 8e1590: 8b20 l32i.n a11, a2, 0 + 8e1592: c8b3 beqz.n a11, 8e1599 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:493 + 8e1594: 8a21 l32i.n a10, a2, 4 + +008e1596 : + 8e1596: 0bb000 callx8 a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:494 + 8e1599: b822 addi.n a2, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:491 + 8e159b: 7429f1 bne a2, a4, 8e1590 + 8e159e: 1c0027 l32r a12, 4e809c <_lit4_start+0x9c> + 8e15a1: 1a0028 l32r a10, 4e80a0 <_lit4_start+0xa0> + 8e15a4: 1d0026 l32r a13, 4e8098 <_lit4_start+0x98> + 8e15a7: 1e0025 l32r a14, 4e8094 <_lit4_start+0x94> + 8e15aa: 1f0024 l32r a15, 4e8090 <_lit4_start+0x90> + 8e15ad: 1b0022 l32r a11, 4e8088 <_lit4_start+0x88> + 8e15b0: 180023 l32r a8, 4e808c <_lit4_start+0x8c> + 8e15b3: 190029 l32r a9, 4e80a4 <_lit4_start+0xa4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:503 + 8e15b6: 9930 s32i.n a9, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:509 + 8e15b8: 98bd s32i.n a8, a11, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:510 + 8e15ba: 9fbe s32i.n a15, a11, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:511 + 8e15bc: 9ebf s32i.n a14, a11, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:512 + 8e15be: 2db610 s32i a13, a11, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:514 + 8e15c1: 9ab9 s32i.n a10, a11, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:513 + 8e15c3: 9cb8 s32i.n a12, a11, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:518 + 8e15c5: c0a9 movi.n a10, 9 + 8e15c7: 1b002a l32r a11, 4e80a8 <_lit4_start+0xa8> + 8e15ca: 58135f call8 8e6348 <_xtos_set_exception_handler> + 8e15cd: 12002b l32r a2, 4e80ac <_lit4_start+0xac> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:519 + 8e15d0: c0a3 movi.n a10, 3 + 8e15d2: db20 mov.n a11, a2 + 8e15d4: 58135c call8 8e6348 <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:520 + 8e15d7: c0a0 movi.n a10, 0 + 8e15d9: db20 mov.n a11, a2 + 8e15db: 58135b call8 8e6348 <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:521 + 8e15de: c0a2 movi.n a10, 2 + 8e15e0: db20 mov.n a11, a2 + 8e15e2: 581359 call8 8e6348 <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:522 + 8e15e5: c0a8 movi.n a10, 8 + 8e15e7: db20 mov.n a11, a2 + 8e15e9: 581357 call8 8e6348 <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:523 + 8e15ec: c0ac movi.n a10, 12 + 8e15ee: db20 mov.n a11, a2 + 8e15f0: 581355 call8 8e6348 <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:524 + 8e15f3: c0ad movi.n a10, 13 + 8e15f5: db20 mov.n a11, a2 + 8e15f7: 581354 call8 8e6348 <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:525 + 8e15fa: db20 mov.n a11, a2 + 8e15fc: c0a6 movi.n a10, 6 + 8e15fe: 581352 call8 8e6348 <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:526 + 8e1601: d10f retw.n + +008e1603 : + ... + +008e1604 : +athos_interrupt_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:540 + 8e1604: 6c1004 entry a1, 32 + 8e1607: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:541 + 8e160a: 288247 l32i a8, a8, 0x11c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:540 + 8e160d: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:541 + 8e160f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:542 + 8e1612: d10f retw.n + +008e1614 : +athos_interrupt_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:547 + 8e1614: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:550 + 8e1617: c230 movi.n a3, 32 + 8e1619: 150015 l32r a5, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:547 + 8e161c: 26fa00 movi a6, 0xffffff00 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:550 + 8e161f: 0c0200 memw + 8e1622: 22527d l32i a2, a5, 0x1f4 + 8e1625: 062201 and a2, a2, a6 + 8e1628: 032202 or a2, a2, a3 + 8e162b: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:552 + 8e162e: c133 movi.n a3, 19 + 8e1630: 14002c l32r a4, 4e80b0 <_lit4_start+0xb0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:550 + 8e1633: 22567d s32i a2, a5, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:552 + 8e1636: c020 movi.n a2, 0 + +008e1638 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:553 + 8e1638: db40 mov.n a11, a4 + 8e163a: da20 mov.n a10, a2 + 8e163c: 5bfefc call8 8e1230 <_xtos_set_interrupt_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:554 + 8e163f: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:552 + 8e1641: 7329f3 bne a2, a3, 8e1638 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:556 + 8e1644: c2a1 movi.n a10, 33 + 8e1646: 0c0200 memw + 8e1649: 29527d l32i a9, a5, 0x1f4 + 8e164c: 069901 and a9, a9, a6 + 8e164f: 0a9902 or a9, a9, a10 + 8e1652: 0c0200 memw + 8e1655: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:557 + 8e1658: 288246 l32i a8, a8, 0x118 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:556 + 8e165b: 29567d s32i a9, a5, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:557 + 8e165e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:560 + 8e1661: c2b2 movi.n a11, 34 + 8e1663: 0c0200 memw + 8e1666: 2a527d l32i a10, a5, 0x1f4 + 8e1669: 06aa01 and a10, a10, a6 + 8e166c: 0baa02 or a10, a10, a11 + 8e166f: 0c0200 memw + 8e1672: 2a567d s32i a10, a5, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:561 + 8e1675: 5bff7a call8 8e1460 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:563 + 8e1678: d10f retw.n + +008e167a : + ... + +008e167c : +athos_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:927 + 8e167c: 6c1004 entry a1, 32 + 8e167f: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:931 + 8e1682: 282238 l32i a8, a2, 224 + 8e1685: 1a002d l32r a10, 4e80b4 <_lit4_start+0xb4> + 8e1688: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:934 + 8e168b: 282213 l32i a8, a2, 76 + 8e168e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:935 + 8e1691: 282211 l32i a8, a2, 68 + 8e1694: 0b8000 callx8 a8 + 8e1697: 1a002e l32r a10, 4e80b8 <_lit4_start+0xb8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:941 + 8e169a: 282212 l32i a8, a2, 72 + 8e169d: 1b002f l32r a11, 4e80bc <_lit4_start+0xbc> + 8e16a0: 2c2a02 movi a12, 0x202 + 8e16a3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:943 + 8e16a6: 28225f l32i a8, a2, 0x17c + 8e16a9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:945 + 8e16ac: d10f retw.n + +008e16ae : + ... + +008e16b0 <_read_usb_desc>: +_read_usb_desc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:949 + 8e16b0: 6c1006 entry a1, 48 + 8e16b3: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:954 + 8e16b6: da30 mov.n a10, a3 + 8e16b8: 285260 l32i a8, a5, 0x180 + 8e16bb: c0b1 movi.n a11, 1 + 8e16bd: dc10 mov.n a12, a1 + 8e16bf: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:962 + 8e16c2: da30 mov.n a10, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:959 + 8e16c4: 2b1100 l16ui a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:962 + 8e16c7: dc20 mov.n a12, a2 + 8e16c9: 285260 l32i a8, a5, 0x180 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:959 + 8e16cc: 0b1b46 extui a11, a11, 1, 7 + 8e16cf: 0b4b36 minu a11, a4, a11 + 8e16d2: 0b0b4f extui a11, a11, 0, 16 + 8e16d5: 2b1500 s16i a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:962 + 8e16d8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:963 + 8e16db: 28523b l32i a8, a5, 236 + 8e16de: 2a1af4 movi a10, 0x1f4 + 8e16e1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:965 + 8e16e4: d10f retw.n + +008e16e6 <_read_usb_desc+0x36>: + ... + +008e16e8 : +read_usb_conf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:968 + 8e16e8: 6c1006 entry a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:969 + 8e16eb: c0a0 movi.n a10, 0 + 8e16ed: 9a10 s32i.n a10, a1, 0 + 8e16ef: 120015 l32r a2, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:968 + 8e16f2: 23fa00 movi a3, 0xffffff00 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:971 + 8e16f5: c0ac movi.n a10, 12 + 8e16f7: 0c0200 memw + 8e16fa: 29227d l32i a9, a2, 0x1f4 + 8e16fd: 039901 and a9, a9, a3 + 8e1700: 0a9902 or a9, a9, a10 + 8e1703: 0c0200 memw + 8e1706: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e1709: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:972 + 8e170c: 2a0a80 movi a10, 128 + 8e170f: 288260 l32i a8, a8, 0x180 + 8e1712: c0b2 movi.n a11, 2 + 8e1714: dc10 mov.n a12, a1 + 8e1716: 0b8000 callx8 a8 + 8e1719: 1c0030 l32r a12, 4e80c0 <_lit4_start+0xc0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:974 + 8e171c: 8b10 l32i.n a11, a1, 0 + 8e171e: 7cb967 bne a11, a12, 8e1789 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:976 + 8e1721: c186 movi.n a8, 22 + 8e1723: 0c0200 memw + 8e1726: 2f227d l32i a15, a2, 0x1f4 + 8e1729: 03ff01 and a15, a15, a3 + 8e172c: 08ff02 or a15, a15, a8 + 8e172f: 0c0200 memw + 8e1732: 2f267d s32i a15, a2, 0x1f4 + 8e1735: 1e0031 l32r a14, 4e80c4 <_lit4_start+0xc4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:977 + 8e1738: 0c0200 memw + 8e173b: 2d227d l32i a13, a2, 0x1f4 + 8e173e: 0edd02 or a13, a13, a14 + 8e1741: 0c0200 memw + 8e1744: 2d267d s32i a13, a2, 0x1f4 + 8e1747: 1a0003 l32r a10, 4e800c <_lit4_start+0xc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:981 + 8e174a: 2b0a80 movi a11, 128 + 8e174d: c0c2 movi.n a12, 2 + 8e174f: 5bffd8 call8 8e16b0 <_read_usb_desc> + 8e1752: 1a0032 l32r a10, 4e80c8 <_lit4_start+0xc8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:982 + 8e1755: 2b0a82 movi a11, 130 + 8e1758: c1c0 movi.n a12, 16 + 8e175a: 5bffd5 call8 8e16b0 <_read_usb_desc> + 8e175d: 1a0033 l32r a10, 4e80cc <_lit4_start+0xcc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:983 + 8e1760: 2b0a92 movi a11, 146 + 8e1763: c0c6 movi.n a12, 6 + 8e1765: 5bffd2 call8 8e16b0 <_read_usb_desc> + 8e1768: 1a0034 l32r a10, 4e80d0 <_lit4_start+0xd0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:984 + 8e176b: 2b0a98 movi a11, 152 + 8e176e: c0cc movi.n a12, 12 + 8e1770: 5bffcf call8 8e16b0 <_read_usb_desc> + 8e1773: 1a0035 l32r a10, 4e80d4 <_lit4_start+0xd4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:985 + 8e1776: 2b0aa4 movi a11, 164 + 8e1779: c1c0 movi.n a12, 16 + 8e177b: 5bffcd call8 8e16b0 <_read_usb_desc> + 8e177e: 1a0036 l32r a10, 4e80d8 <_lit4_start+0xd8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:986 + 8e1781: 2b0ab4 movi a11, 180 + 8e1784: c0c8 movi.n a12, 8 + 8e1786: 5bffca call8 8e16b0 <_read_usb_desc> + +008e1789 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:988 + 8e1789: d10f retw.n + +008e178b : + ... + +008e178c : +set_pci_conf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:992 + 8e178c: 6c1004 entry a1, 32 + 8e178f: c0b2 movi.n a11, 2 + 8e1791: 1d0037 l32r a13, 4e80dc <_lit4_start+0xdc> + 8e1794: 190039 l32r a9, 4e80e4 <_lit4_start+0xe4> + 8e1797: 120038 l32r a2, 4e80e0 <_lit4_start+0xe0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:997 + 8e179a: 0c0200 memw + 8e179d: 2f928a l32i a15, a9, 0x228 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:998 + 8e17a0: 0c0200 memw + 8e17a3: 1c003a l32r a12, 4e80e8 <_lit4_start+0xe8> + 8e17a6: 2a9280 l32i a10, a9, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1001 + 8e17a9: 0cfc01 and a12, a15, a12 + 8e17ac: 0c0200 memw + 8e17af: 2c968a s32i a12, a9, 0x228 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1002 + 8e17b2: 0bab02 or a11, a10, a11 + 8e17b5: 0c0200 memw + 8e17b8: 2b9680 s32i a11, a9, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1004 + 8e17bb: 0c0200 memw + 8e17be: 289281 l32i a8, a9, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1006 + 8e17c1: 0c0200 memw + 8e17c4: 2a9680 s32i a10, a9, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1007 + 8e17c7: 0c0200 memw + 8e17ca: 2f968a s32i a15, a9, 0x228 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1010 + 8e17cd: c1e0 movi.n a14, 16 + 8e17cf: 0c0200 memw + 8e17d2: 2cd286 l32i a12, a13, 0x218 + 8e17d5: 0ecc02 or a12, a12, a14 + 8e17d8: 0c0200 memw + 8e17db: 1b0018 l32r a11, 4e8060 <_lit4_start+0x60> + 8e17de: 2cd686 s32i a12, a13, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1012 + 8e17e1: 2bb212 l32i a11, a11, 72 + 8e17e4: 7e8766 bbci a8, 30, 8e184e + 8e17e7: 1a003b l32r a10, 4e80ec <_lit4_start+0xec> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1013 + 8e17ea: 0bb000 callx8 a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1015 + 8e17ed: c7ac movi.n a10, -4 + 8e17ef: 1b003c l32r a11, 4e80f0 <_lit4_start+0xf0> + 8e17f2: 0c0200 memw + 8e17f5: 190032 l32r a9, 4e80c8 <_lit4_start+0xc8> + 8e17f8: 1e003d l32r a14, 4e80f4 <_lit4_start+0xf4> + 8e17fb: 2bb280 l32i a11, a11, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1018 + 8e17fe: 0a9f01 and a15, a9, a10 + 8e1801: 0c0200 memw + 8e1804: 9ef0 s32i.n a14, a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1019 + 8e1806: b49d addi.n a13, a9, 4 + 8e1808: 1c003e l32r a12, 4e80f8 <_lit4_start+0xf8> + 8e180b: 0add01 and a13, a13, a10 + 8e180e: 0c0200 memw + 8e1811: 9cd0 s32i.n a12, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1020 + 8e1813: 010404 ssai 16 + 8e1816: b89c addi.n a12, a9, 8 + 8e1818: 0bbb18 src a11, a11, a11 + 8e181b: 0acc01 and a12, a12, a10 + 8e181e: 0c0200 memw + 8e1821: 9bc0 s32i.n a11, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1021 + 8e1823: bc99 addi.n a9, a9, 12 + 8e1825: c78f movi.n a8, -1 + 8e1827: 0a9901 and a9, a9, a10 + 8e182a: 0c0200 memw + 8e182d: 9890 s32i.n a8, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1023 + 8e182f: c0f0 movi.n a15, 0 + 8e1831: 0c0200 memw + 8e1834: 2f2680 s32i a15, a2, 0x200 + 8e1837: 1d003f l32r a13, 4e80fc <_lit4_start+0xfc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1028 + 8e183a: 0c0200 memw + 8e183d: 2d2681 s32i a13, a2, 0x204 + 8e1840: 1e0031 l32r a14, 4e80c4 <_lit4_start+0xc4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1029 + 8e1843: 0edd02 or a13, a13, a14 + 8e1846: 0c0200 memw + 8e1849: 2d2681 s32i a13, a2, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1035 + 8e184c: d10f retw.n + +008e184e : + 8e184e: 1a0040 l32r a10, 4e8100 <_lit4_start+0x100> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1031 + 8e1851: 0bb000 callx8 a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1033 + 8e1854: c0c1 movi.n a12, 1 + 8e1856: 0c0200 memw + 8e1859: 2c2680 s32i a12, a2, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1035 + 8e185c: d10f retw.n + +008e185e : + ... + +008e1860 : +bootload(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1040 + 8e1860: 6c1004 entry a1, 32 + 8e1863: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e1866: 1a0015 l32r a10, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1041 + 8e1869: c0ce movi.n a12, 14 + 8e186b: 2dfa00 movi a13, 0xffffff00 + 8e186e: 0c0200 memw + 8e1871: 2ba27d l32i a11, a10, 0x1f4 + 8e1874: 0dbb01 and a11, a11, a13 + 8e1877: 0cbb02 or a11, a11, a12 + 8e187a: 0c0200 memw + 8e187d: 2ba67d s32i a11, a10, 0x1f4 + 8e1880: 190041 l32r a9, 4e8104 <_lit4_start+0x104> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1042 + 8e1883: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1043 + 8e1886: 282216 l32i a8, a2, 88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1042 + 8e1889: 29a67c s32i a9, a10, 0x1f0 + 8e188c: 1a0042 l32r a10, 4e8108 <_lit4_start+0x108> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1043 + 8e188f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1050 + 8e1892: 28221d l32i a8, a2, 116 + 8e1895: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1044 + 8e1898: 63fff6 j 8e1892 + +008e189b : + ... + +008e189c : +pci_gmac_bootload(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1058 + 8e189c: 6c1004 entry a1, 32 + 8e189f: 1a0015 l32r a10, 4e8054 <_lit4_start+0x54> + 8e18a2: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e18a5: 190043 l32r a9, 4e810c <_lit4_start+0x10c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1059 + 8e18a8: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1060 + 8e18ab: 282216 l32i a8, a2, 88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1059 + 8e18ae: 29a67c s32i a9, a10, 0x1f0 + 8e18b1: 1a0042 l32r a10, 4e8108 <_lit4_start+0x108> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1060 + 8e18b4: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1062 + 8e18b7: 28227b l32i a8, a2, 0x1ec + 8e18ba: c0a0 movi.n a10, 0 + 8e18bc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1063 + 8e18bf: 282217 l32i a8, a2, 92 + 8e18c2: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1061 + 8e18c5: 63ffee j 8e18b7 + +008e18c8 : +turn_off_rc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1076 + 8e18c8: 6c1004 entry a1, 32 + 8e18cb: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> + 8e18ce: 1b0044 l32r a11, 4e8110 <_lit4_start+0x110> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1083 + 8e18d1: 297a80 movi a9, 0x780 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1080 + 8e18d4: c0a0 movi.n a10, 0 + 8e18d6: 2ab500 s16i a10, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1083 + 8e18d9: 0c0200 memw + 8e18dc: 283284 l32i a8, a3, 0x210 + 8e18df: 098802 or a8, a8, a9 + 8e18e2: 0c0200 memw + 8e18e5: 283684 s32i a8, a3, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1086 + 8e18e8: c042 movi.n a4, 2 + 8e18ea: 0c0200 memw + 8e18ed: 223286 l32i a2, a3, 0x218 + 8e18f0: 042202 or a2, a2, a4 + 8e18f3: 0c0200 memw + 8e18f6: 223686 s32i a2, a3, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1087 + 8e18f9: d10f retw.n + +008e18fb : + ... + +008e18fc : +bootentry(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1091 + 8e18fc: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1099 + 8e18ff: 5bff1d call8 8e1574 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1101 + 8e1902: c0a1 movi.n a10, 1 + 8e1904: 120015 l32r a2, 4e8054 <_lit4_start+0x54> + 8e1907: 24fa00 movi a4, 0xffffff00 + 8e190a: 0c0200 memw + 8e190d: 29227d l32i a9, a2, 0x1f4 + 8e1910: 049901 and a9, a9, a4 + 8e1913: 0a9902 or a9, a9, a10 + 8e1916: 0c0200 memw + 8e1919: 29267d s32i a9, a2, 0x1f4 + 8e191c: 180045 l32r a8, 4e8114 <_lit4_start+0x114> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1102 + 8e191f: 0c0200 memw + 8e1922: 28267c s32i a8, a2, 0x1f0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1104 + 8e1925: 5bff55 call8 8e167c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1106 + 8e1928: 5bff3a call8 8e1614 + 8e192b: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1108 + 8e192e: 28325a l32i a8, a3, 0x168 + 8e1931: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1111 + 8e1934: 28325e l32i a8, a3, 0x178 + 8e1937: 0b8000 callx8 a8 + 8e193a: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1147 + 8e193c: c0b2 movi.n a11, 2 + 8e193e: 0c0200 memw + 8e1941: 29227d l32i a9, a2, 0x1f4 + 8e1944: 049901 and a9, a9, a4 + 8e1947: 0b9902 or a9, a9, a11 + 8e194a: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1173 + 8e194d: 883e l32i.n a8, a3, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1147 + 8e194f: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1173 + 8e1952: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1188 + 8e1955: 2cea0f movi a12, 0xfffffe0f + 8e1958: 190039 l32r a9, 4e80e4 <_lit4_start+0xe4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1173 + 8e195b: c170 movi.n a7, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1188 + 8e195d: 0c0200 memw + 8e1960: 2b928a l32i a11, a9, 0x228 + 8e1963: 0cbb01 and a11, a11, a12 + 8e1966: 0c0200 memw + 8e1969: 2b968a s32i a11, a9, 0x228 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1189 + 8e196c: 69a323 bnei a10, 3, 8e1993 + 8e196f: 1f0046 l32r a15, 4e8118 <_lit4_start+0x118> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1195 + 8e1972: 0c0200 memw + 8e1975: 2e928a l32i a14, a9, 0x228 + 8e1978: 0fee01 and a14, a14, a15 + 8e197b: 0c0200 memw + 8e197e: 2e968a s32i a14, a9, 0x228 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1197 + 8e1981: 0c0200 memw + 8e1984: 2d928a l32i a13, a9, 0x228 + 8e1987: 07dd02 or a13, a13, a7 + 8e198a: 0c0200 memw + 8e198d: 2d968a s32i a13, a9, 0x228 + 8e1990: 600027 j 8e19bb + +008e1993 : + 8e1993: cda3 bnez.n a10, 8e19aa +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1202 + 8e1995: 2a1a00 movi a10, 0x100 + 8e1998: 0c0200 memw + 8e199b: 28928a l32i a8, a9, 0x228 + 8e199e: 0a8802 or a8, a8, a10 + 8e19a1: 0c0200 memw + 8e19a4: 28968a s32i a8, a9, 0x228 + 8e19a7: 600010 j 8e19bb + +008e19aa : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1210 + 8e19aa: c4c0 movi.n a12, 64 + 8e19ac: 0c0200 memw + 8e19af: 2b928a l32i a11, a9, 0x228 + 8e19b2: 0cbb02 or a11, a11, a12 + 8e19b5: 0c0200 memw + 8e19b8: 2b968a s32i a11, a9, 0x228 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1235 + 8e19bb: c0a5 movi.n a10, 5 + 8e19bd: 0c0200 memw + 8e19c0: 29227d l32i a9, a2, 0x1f4 + 8e19c3: 049901 and a9, a9, a4 + 8e19c6: 0a9902 or a9, a9, a10 + 8e19c9: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1236 + 8e19cc: 283216 l32i a8, a3, 88 + 8e19cf: 1a0047 l32r a10, 4e811c <_lit4_start+0x11c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1235 + 8e19d2: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1236 + 8e19d5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1237 + 8e19d8: 5bfe72 call8 8e13a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1248 + 8e19db: c0a6 movi.n a10, 6 + 8e19dd: 0c0200 memw + 8e19e0: 29227d l32i a9, a2, 0x1f4 + 8e19e3: 049901 and a9, a9, a4 + 8e19e6: 0a9902 or a9, a9, a10 + 8e19e9: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1249 + 8e19ec: 283216 l32i a8, a3, 88 + 8e19ef: 1a0048 l32r a10, 4e8120 <_lit4_start+0x120> + +008e19f2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1248 + 8e19f2: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1249 + 8e19f5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1251 + 8e19f8: 283262 l32i a8, a3, 0x188 + 8e19fb: 0b8000 callx8 a8 + 8e19fe: d6a0 mov.n a6, a10 + 8e1a00: cea0 bnez.n a10, 8e1a24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1254 + 8e1a02: c0a7 movi.n a10, 7 + 8e1a04: 0c0200 memw + 8e1a07: 29227d l32i a9, a2, 0x1f4 + 8e1a0a: 049901 and a9, a9, a4 + 8e1a0d: 0a9902 or a9, a9, a10 + 8e1a10: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1256 + 8e1a13: 283255 l32i a8, a3, 0x154 + 8e1a16: 2a0afc movi a10, 252 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1254 + 8e1a19: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1256 + 8e1a1c: 0b8000 callx8 a8 + 8e1a1f: cea7 bnez.n a10, 8e1a4a + 8e1a21: 6001e0 j 8e1c05 + +008e1a24 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1267 + 8e1a24: 2bacfe addi a11, a10, -2 + 8e1a27: 6fb202 bgeui a11, 2, 8e1a2d + 8e1a2a: 6001b4 j 8e1be2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1273 + 8e1a2d: 69a14e bnei a10, 1, 8e1a7f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1277 + 8e1a30: 28325f l32i a8, a3, 0x17c + 8e1a33: 0b8000 callx8 a8 + 8e1a36: 1b0049 l32r a11, 4e8124 <_lit4_start+0x124> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1280 + 8e1a39: 29b100 l16ui a9, a11, 0 + 8e1a3c: b199 addi.n a9, a9, 1 + 8e1a3e: 09094f extui a9, a9, 0, 16 + 8e1a41: 29b500 s16i a9, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1281 + 8e1a44: 6e92b0 bltui a9, 2, 8e19f8 + 8e1a47: 600014 j 8e1a5f + +008e1a4a : + 8e1a4a: 1b004a l32r a11, 4e8128 <_lit4_start+0x128> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1258 + 8e1a4d: 0c0200 memw + 8e1a50: 2a227d l32i a10, a2, 0x1f4 + 8e1a53: 0baa02 or a10, a10, a11 + 8e1a56: 0c0200 memw + 8e1a59: 2a267d s32i a10, a2, 0x1f4 + 8e1a5c: 60001f j 8e1a7f + +008e1a5f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1285 + 8e1a5f: 5bff9a call8 8e18c8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1286 + 8e1a62: c1a4 movi.n a10, 20 + 8e1a64: 0c0200 memw + 8e1a67: 29227d l32i a9, a2, 0x1f4 + 8e1a6a: 049901 and a9, a9, a4 + 8e1a6d: 0a9902 or a9, a9, a10 + 8e1a70: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1288 + 8e1a73: 283216 l32i a8, a3, 88 + 8e1a76: 1a004b l32r a10, 4e812c <_lit4_start+0x12c> + +008e1a79 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1286 + 8e1a79: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1288 + 8e1a7c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1292 + 8e1a7f: c0b9 movi.n a11, 9 + 8e1a81: 0c0200 memw + 8e1a84: 2a227d l32i a10, a2, 0x1f4 + 8e1a87: 04aa01 and a10, a10, a4 + 8e1a8a: 0baa02 or a10, a10, a11 + 8e1a8d: 0c0200 memw + 8e1a90: 2a267d s32i a10, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1297 + 8e1a93: 2b3216 l32i a11, a3, 88 + 8e1a96: 695108 bnei a5, 1, 8e1aa2 + 8e1a99: 1a004c l32r a10, 4e8130 <_lit4_start+0x130> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1302 + 8e1a9c: 0bb000 callx8 a11 + 8e1a9f: 600011 j 8e1ab4 + +008e1aa2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1305 + 8e1aa2: 695308 bnei a5, 3, 8e1aae + 8e1aa5: 1a004d l32r a10, 4e8134 <_lit4_start+0x134> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1310 + 8e1aa8: 0bb000 callx8 a11 + 8e1aab: 600005 j 8e1ab4 + +008e1aae : + 8e1aae: 1a004e l32r a10, 4e8138 <_lit4_start+0x138> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1314 + 8e1ab1: 0bb000 callx8 a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1323 + 8e1ab4: c1a5 movi.n a10, 21 + 8e1ab6: 0c0200 memw + 8e1ab9: 29227d l32i a9, a2, 0x1f4 + 8e1abc: 049901 and a9, a9, a4 + 8e1abf: 0a9902 or a9, a9, a10 + 8e1ac2: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1324 + 8e1ac5: 883e l32i.n a8, a3, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1323 + 8e1ac7: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1324 + 8e1aca: 0b8000 callx8 a8 + 8e1acd: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1326 + 8e1acf: 283212 l32i a8, a3, 72 + 8e1ad2: 1a004f l32r a10, 4e813c <_lit4_start+0x13c> + 8e1ad5: db50 mov.n a11, a5 + 8e1ad7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1328 + 8e1ada: cf5f bnez.n a5, 8e1b1d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1330 + 8e1adc: c0ab movi.n a10, 11 + 8e1ade: 0c0200 memw + 8e1ae1: 29227d l32i a9, a2, 0x1f4 + 8e1ae4: 049901 and a9, a9, a4 + 8e1ae7: 0a9902 or a9, a9, a10 + 8e1aea: 0c0200 memw + 8e1aed: 29267d s32i a9, a2, 0x1f4 + 8e1af0: cc6a bnez.n a6, 8e1afe +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1334 + 8e1af2: 28325f l32i a8, a3, 0x17c + 8e1af5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1337 + 8e1af8: 5bfefb call8 8e16e8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1340 + 8e1afb: 5bff73 call8 8e18c8 + +008e1afe : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1343 + 8e1afe: 28321c l32i a8, a3, 112 + 8e1b01: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1345 + 8e1b04: c0ad movi.n a10, 13 + 8e1b06: 0c0200 memw + 8e1b09: 29227d l32i a9, a2, 0x1f4 + 8e1b0c: 049901 and a9, a9, a4 + 8e1b0f: 0a9902 or a9, a9, a10 + 8e1b12: 0c0200 memw + 8e1b15: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1346 + 8e1b18: 5bff51 call8 8e1860 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1411 + 8e1b1b: d10f retw.n + +008e1b1d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1349 + 8e1b1d: 695302 bnei a5, 3, 8e1b23 + 8e1b20: 600086 j 8e1baa + 8e1b23: 695102 bnei a5, 1, 8e1b29 + 8e1b26: 600080 j 8e1baa +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1367 + 8e1b29: 695249 bnei a5, 2, 8e1b76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1369 + 8e1b2c: 283251 l32i a8, a3, 0x144 + 8e1b2f: 1a0050 l32r a10, 4e8140 <_lit4_start+0x140> + 8e1b32: 1b0051 l32r a11, 4e8144 <_lit4_start+0x144> + 8e1b35: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1370 + 8e1b38: 2832a0 l32i a8, a3, 0x280 + 8e1b3b: 2a0a64 movi a10, 100 + 8e1b3e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1371 + 8e1b41: 2832a5 l32i a8, a3, 0x294 + 8e1b44: 2a0a64 movi a10, 100 + 8e1b47: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1373 + 8e1b4a: 283212 l32i a8, a3, 72 + 8e1b4d: 1a0052 l32r a10, 4e8148 <_lit4_start+0x148> + 8e1b50: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1379 + 8e1b53: 283212 l32i a8, a3, 72 + 8e1b56: 1a0053 l32r a10, 4e814c <_lit4_start+0x14c> + 8e1b59: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1380 + 8e1b5c: 581127 call8 8e5ffc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1381 + 8e1b5f: c0a0 movi.n a10, 0 + 8e1b61: 581166 call8 8e60fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1384 + 8e1b64: da10 mov.n a10, a1 + 8e1b66: 581195 call8 8e61bc + 8e1b69: 65a073 bnez a10, 8e1be0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1393 + 8e1b6c: 8a12 l32i.n a10, a1, 8 + 8e1b6e: 64a06e beqz a10, 8e1be0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1395 + 8e1b71: 0ba000 callx8 a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1411 + 8e1b74: d10f retw.n + +008e1b76 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1400 + 8e1b76: 695566 bnei a5, 5, 8e1be0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1402 + 8e1b79: c0aa movi.n a10, 10 + 8e1b7b: 0c0200 memw + 8e1b7e: 29227d l32i a9, a2, 0x1f4 + 8e1b81: 049901 and a9, a9, a4 + 8e1b84: 0a9902 or a9, a9, a10 + 8e1b87: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1403 + 8e1b8a: 283216 l32i a8, a3, 88 + 8e1b8d: 1a0054 l32r a10, 4e8150 <_lit4_start+0x150> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1402 + 8e1b90: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1403 + 8e1b93: 0b8000 callx8 a8 + 8e1b96: 1a0037 l32r a10, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1406 + 8e1b99: c0b3 movi.n a11, 3 + 8e1b9b: 0c0200 memw + 8e1b9e: 2ba682 s32i a11, a10, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1407 + 8e1ba1: 0c0200 memw + 8e1ba4: 27a683 s32i a7, a10, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1409 + 8e1ba7: 63fffc j 8e1ba7 + +008e1baa : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1351 + 8e1baa: 283251 l32i a8, a3, 0x144 + 8e1bad: 1a0050 l32r a10, 4e8140 <_lit4_start+0x140> + 8e1bb0: 1b0051 l32r a11, 4e8144 <_lit4_start+0x144> + 8e1bb3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1352 + 8e1bb6: 2832a0 l32i a8, a3, 0x280 + 8e1bb9: 2a0a64 movi a10, 100 + 8e1bbc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1353 + 8e1bbf: 2832a5 l32i a8, a3, 0x294 + 8e1bc2: 2a0a64 movi a10, 100 + 8e1bc5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1355 + 8e1bc8: 283212 l32i a8, a3, 72 + 8e1bcb: 1a0052 l32r a10, 4e8148 <_lit4_start+0x148> + 8e1bce: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1357 + 8e1bd1: 5810a9 call8 8e5e78 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1359 + 8e1bd4: 5bfeed call8 8e178c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1361 + 8e1bd7: 2832ca l32i a8, a3, 0x328 + 8e1bda: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1362 + 8e1bdd: 5bff2f call8 8e189c + +008e1be0 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1411 + 8e1be0: d10f retw.n + +008e1be2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1269 + 8e1be2: 5bff39 call8 8e18c8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1270 + 8e1be5: c0a8 movi.n a10, 8 + 8e1be7: 0c0200 memw + 8e1bea: 29227d l32i a9, a2, 0x1f4 + 8e1bed: 049901 and a9, a9, a4 + 8e1bf0: 0a9902 or a9, a9, a10 + 8e1bf3: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1271 + 8e1bf6: 283216 l32i a8, a3, 88 + 8e1bf9: 1a0055 l32r a10, 4e8154 <_lit4_start+0x154> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1270 + 8e1bfc: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1271 + 8e1bff: 0b8000 callx8 a8 + 8e1c02: 63fe79 j 8e1a7f + +008e1c05 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1262 + 8e1c05: 5bff30 call8 8e18c8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1264 + 8e1c08: 283216 l32i a8, a3, 88 + 8e1c0b: 1a0056 l32r a10, 4e8158 <_lit4_start+0x158> + 8e1c0e: 0b8000 callx8 a8 + 8e1c11: 63fe6a j 8e1a7f + +008e1c14
: +main(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1418 + 8e1c14: 6c1004 entry a1, 32 + 8e1c17: 190015 l32r a9, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1421 + 8e1c1a: c0a0 movi.n a10, 0 + 8e1c1c: 0c0200 memw + 8e1c1f: 2a967d s32i a10, a9, 0x1f4 + 8e1c22: 180057 l32r a8, 4e815c <_lit4_start+0x15c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1422 + 8e1c25: 0c0200 memw + 8e1c28: 28967c s32i a8, a9, 0x1f0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1425 + 8e1c2b: 5bff34 call8 8e18fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1429 + 8e1c2e: c020 movi.n a2, 0 + 8e1c30: d10f retw.n + +008e1c32 : + ... + +008e1c34 : +cmnos_allocram_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:27 + 8e1c34: 6c1004 entry a1, 32 + 8e1c37: d520 mov.n a5, a2 + 8e1c39: 120058 l32r a2, 4e8160 <_lit4_start+0x160> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:57 + 8e1c3c: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:55 + 8e1c3e: 9520 s32i.n a5, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:64 + 8e1c40: c020 movi.n a2, 0 + 8e1c42: d10f retw.n + +008e1c44 : +cmnos_allocram(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:74 + 8e1c44: 6c1004 entry a1, 32 + 8e1c47: 1d0058 l32r a13, 4e8160 <_lit4_start+0x160> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:78 + 8e1c4a: b33c addi.n a12, a3, 3 + 8e1c4c: 0c2c14 srli a12, a12, 2 + 8e1c4f: 8ad1 l32i.n a10, a13, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:75 + 8e1c51: 82d0 l32i.n a2, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:78 + 8e1c53: 0ecb11 slli a11, a12, 2 + 8e1c56: 7ba30b bltu a10, a11, 8e1c65 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:80 + 8e1c59: 02ce0a addx4 a14, a12, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:79 + 8e1c5c: 0baf0c sub a15, a10, a11 + 8e1c5f: 9fd1 s32i.n a15, a13, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:80 + 8e1c61: 9ed0 s32i.n a14, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:87 + 8e1c63: d10f retw.n + +008e1c65 : + 8e1c65: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:82 + 8e1c68: 288212 l32i a8, a8, 72 + 8e1c6b: 1a0059 l32r a10, 4e8164 <_lit4_start+0x164> + 8e1c6e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:84 + 8e1c71: 63fffc j 8e1c71 + +008e1c74 : +cmnos_allocram_debug(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:92 + 8e1c74: 6c1004 entry a1, 32 + 8e1c77: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e1c7a: 120058 l32r a2, 4e8160 <_lit4_start+0x160> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:93 + 8e1c7d: 283212 l32i a8, a3, 72 + 8e1c80: 1a005a l32r a10, 4e8168 <_lit4_start+0x168> + 8e1c83: 8b20 l32i.n a11, a2, 0 + 8e1c85: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:94 + 8e1c88: 283212 l32i a8, a3, 72 + 8e1c8b: 8b21 l32i.n a11, a2, 4 + 8e1c8d: 1a005b l32r a10, 4e816c <_lit4_start+0x16c> + 8e1c90: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:95 + 8e1c93: d10f retw.n + +008e1c95 : + 8e1c95: 000000 ... + +008e1c98 : +cmnos_allocram_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:99 + 8e1c98: 6c1004 entry a1, 32 + 8e1c9b: 18005d l32r a8, 4e8174 <_lit4_start+0x174> + 8e1c9e: 19005c l32r a9, 4e8170 <_lit4_start+0x170> + 8e1ca1: 13005e l32r a3, 4e8178 <_lit4_start+0x178> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:102 + 8e1ca4: 9322 s32i.n a3, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:101 + 8e1ca6: 9821 s32i.n a8, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:100 + 8e1ca8: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:103 + 8e1caa: d10f retw.n + +008e1cac : +cmnos_delay_us(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:109 + 8e1cac: 6c1004 entry a1, 32 + 8e1caf: 13005f l32r a3, 4e817c <_lit4_start+0x17c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:113 + 8e1cb2: 8332 l32i.n a3, a3, 8 + 8e1cb4: 8331 l32i.n a3, a3, 4 + 8e1cb6: 03435b extui a3, a3, 20, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:114 + 8e1cb9: 581327 call8 8e6958 + 8e1cbc: 032228 mull a2, a2, a3 + 8e1cbf: d3a0 mov.n a3, a10 + +008e1cc1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:117 + 8e1cc1: 581325 call8 8e6958 + 8e1cc4: 03a80c sub a8, a10, a3 + 8e1cc7: 7283f6 bltu a8, a2, 8e1cc1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:120 + 8e1cca: d10f retw.n + +008e1ccc : +cmnos_milliseconds(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:129 + 8e1ccc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:143 + 8e1ccf: 58001d call8 8e1d44 + 8e1cd2: 12005f l32r a2, 4e817c <_lit4_start+0x17c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:145 + 8e1cd5: 8220 l32i.n a2, a2, 0 + 8e1cd7: d10f retw.n + +008e1cd9 : + 8e1cd9: 000000 ... + +008e1cdc : +cmnos_refclk_speed_get(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:154 + 8e1cdc: 6c1004 entry a1, 32 + 8e1cdf: 12005f l32r a2, 4e817c <_lit4_start+0x17c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:155 + 8e1ce2: 8222 l32i.n a2, a2, 8 + 8e1ce4: 8221 l32i.n a2, a2, 4 + 8e1ce6: d10f retw.n + +008e1ce8 : +cmnos_uart_frequency(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:161 + 8e1ce8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:172 + 8e1ceb: d10f retw.n + +008e1ced : + 8e1ced: 000000 ... + +008e1cf0 : +cmnos_sysclk_change(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:181 + 8e1cf0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:183 + 8e1cf3: d10f retw.n + +008e1cf5 : + 8e1cf5: 000000 ... + +008e1cf8 : +cmnos_clockregs_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:188 + 8e1cf8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:191 + 8e1cfb: d10f retw.n + +008e1cfd : + 8e1cfd: 000000 ... + +008e1d00 : +cmnos_wlan_band_set(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:201 + 8e1d00: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:204 + 8e1d03: d10f retw.n + +008e1d05 : + 8e1d05: 000000 ... + +008e1d08 : +cmnos_pll_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:208 + 8e1d08: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:211 + 8e1d0b: d10f retw.n + +008e1d0d : + 8e1d0d: 000000 ... + +008e1d10 : +cmnos_clock_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:215 + 8e1d10: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:222 + 8e1d13: c060 movi.n a6, 0 + 8e1d15: 190060 l32r a9, 4e8180 <_lit4_start+0x180> + 8e1d18: 1a0062 l32r a10, 4e8188 <_lit4_start+0x188> + 8e1d1b: 170061 l32r a7, 4e8184 <_lit4_start+0x184> + 8e1d1e: c033 movi.n a3, 3 + 8e1d20: d5a0 mov.n a5, a10 + 8e1d22: 6d3a10 loopgtz a3, 8e1d36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:228 + 8e1d25: 8851 l32i.n a8, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:232 + 8e1d27: b166 addi.n a6, a6, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:228 + 8e1d29: a784 add.n a4, a8, a7 + 8e1d2b: 724b04 bgeu a4, a2, 8e1d33 + 8e1d2e: a98b add.n a11, a8, a9 + 8e1d30: 7b2308 bltu a2, a11, 8e1d3c + +008e1d33 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:232 + 8e1d33: 255c14 addi a5, a5, 20 + +008e1d36 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:222 + 8e1d36: 06650a addx4 a5, a6, a6 + 8e1d39: 0a550a addx4 a5, a5, a10 + 8e1d3c: 18005f l32r a8, 4e817c <_lit4_start+0x17c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:234 + 8e1d3f: 9582 s32i.n a5, a8, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:238 + 8e1d41: d10f retw.n + +008e1d43 : + ... + +008e1d44 : +cmnos_tick(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:244 + 8e1d44: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:253 + 8e1d47: 581304 call8 8e6958 + 8e1d4a: 150063 l32r a5, 4e818c <_lit4_start+0x18c> + 8e1d4d: 2b3ae8 movi a11, 0x3e8 + 8e1d50: 8250 l32i.n a2, a5, 0 + 8e1d52: d3a0 mov.n a3, a10 + 8e1d54: 245ce8 addi a4, a5, -24 + 8e1d57: 02aa0c sub a10, a10, a2 + 8e1d5a: 58133c call8 8e6a4c <__udivsi3> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:269 + 8e1d5d: 8840 l32i.n a8, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:266 + 8e1d5f: 0a3239 movnez a2, a3, a10 + 8e1d62: 9250 s32i.n a2, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:269 + 8e1d64: aa88 add.n a8, a8, a10 + 8e1d66: 9840 s32i.n a8, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:271 + 8e1d68: d10f retw.n + +008e1d6a : + ... + +008e1d6c : +cmnos_clock_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:277 + 8e1d6c: 6c1004 entry a1, 32 + 8e1d6f: 18006b l32r a8, 4e81ac <_lit4_start+0x1ac> + 8e1d72: 19006a l32r a9, 4e81a8 <_lit4_start+0x1a8> + 8e1d75: 1a0069 l32r a10, 4e81a4 <_lit4_start+0x1a4> + 8e1d78: 1b0068 l32r a11, 4e81a0 <_lit4_start+0x1a0> + 8e1d7b: 1c0067 l32r a12, 4e819c <_lit4_start+0x19c> + 8e1d7e: 1d0066 l32r a13, 4e8198 <_lit4_start+0x198> + 8e1d81: 1e0065 l32r a14, 4e8194 <_lit4_start+0x194> + 8e1d84: 1f0064 l32r a15, 4e8190 <_lit4_start+0x190> + 8e1d87: 13006c l32r a3, 4e81b0 <_lit4_start+0x1b0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:287 + 8e1d8a: 9328 s32i.n a3, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:285 + 8e1d8c: 9827 s32i.n a8, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:284 + 8e1d8e: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:283 + 8e1d90: 9a26 s32i.n a10, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:282 + 8e1d92: 9b25 s32i.n a11, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:281 + 8e1d94: 9c24 s32i.n a12, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:280 + 8e1d96: 9d23 s32i.n a13, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:279 + 8e1d98: 9e21 s32i.n a14, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:278 + 8e1d9a: 9f20 s32i.n a15, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:288 + 8e1d9c: d10f retw.n + +008e1d9e : + ... + +008e1da0 : +cmnos_eeprom_write_hword(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:45 + 8e1da0: 6c1004 entry a1, 32 + 8e1da3: 1a006d l32r a10, 4e81b4 <_lit4_start+0x1b4> + 8e1da6: 15006e l32r a5, 4e81b8 <_lit4_start+0x1b8> + 8e1da9: c070 movi.n a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:57 + 8e1dab: 0c0200 memw + 8e1dae: 295295 l32i a9, a5, 0x254 + 8e1db1: 0a9902 or a9, a9, a10 + 8e1db4: 0c0200 memw + 8e1db7: 295695 s32i a9, a5, 0x254 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:58 + 8e1dba: 0c0200 memw + 8e1dbd: 275698 s32i a7, a5, 0x260 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:59 + 8e1dc0: 0c0200 memw + 8e1dc3: 275699 s32i a7, a5, 0x264 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:62 + 8e1dc6: 280ac0 movi a8, 192 + 8e1dc9: 0c0200 memw + 8e1dcc: 285693 s32i a8, a5, 0x24c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:65 + 8e1dcf: 0c0200 memw + 8e1dd2: 16006f l32r a6, 4e81bc <_lit4_start+0x1bc> + 8e1dd5: 275692 s32i a7, a5, 0x248 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:68 + 8e1dd8: 0e2411 slli a4, a2, 2 + 8e1ddb: a644 add.n a4, a4, a6 + 8e1ddd: c76c movi.n a6, -4 + 8e1ddf: 064401 and a4, a4, a6 + 8e1de2: 0c0200 memw + 8e1de5: 9340 s32i.n a3, a4, 0 + +008e1de7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:73 + 8e1de7: 0c0200 memw + 8e1dea: 2b529f l32i a11, a5, 0x27c + 8e1ded: 0b0b51 extui a11, a11, 16, 2 + 8e1df0: 65bff3 bnez a11, 8e1de7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:75 + 8e1df3: c021 movi.n a2, 1 + 8e1df5: d10f retw.n + +008e1df7 : + ... + +008e1df8 : +cmnos_eeprom_read_hword(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:90 + 8e1df8: 6c1004 entry a1, 32 + 8e1dfb: 18006f l32r a8, 4e81bc <_lit4_start+0x1bc> + 8e1dfe: 0e2411 slli a4, a2, 2 + 8e1e01: a844 add.n a4, a4, a8 + 8e1e03: c78c movi.n a8, -4 + 8e1e05: 084401 and a4, a4, a8 + 8e1e08: 0c0200 memw + 8e1e0b: 8440 l32i.n a4, a4, 0 + 8e1e0d: 12006e l32r a2, 4e81b8 <_lit4_start+0x1b8> + +008e1e10 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:99 + 8e1e10: 0c0200 memw + 8e1e13: 25229f l32i a5, a2, 0x27c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:101 + 8e1e16: 050951 extui a9, a5, 16, 2 + 8e1e19: 659ff3 bnez a9, 8e1e10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:103 + 8e1e1c: 253500 s16i a5, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:104 + 8e1e1f: c021 movi.n a2, 1 + 8e1e21: d10f retw.n + +008e1e23 : + ... + +008e1e24 : +cmnos_eep_is_exist(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:122 + 8e1e24: 6c1004 entry a1, 32 + 8e1e27: 120044 l32r a2, 4e8110 <_lit4_start+0x110> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:123 + 8e1e2a: 232100 l16ui a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:125 + 8e1e2d: 282101 l16ui a8, a2, 2 + 8e1e30: c93c beqz.n a3, 8e1e50 + 8e1e32: cd86 bnez.n a8, 8e1e4c + 8e1e34: 14006e l32r a4, 4e81b8 <_lit4_start+0x1b8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:127 + 8e1e37: 0c0200 memw + 8e1e3a: 244287 l32i a4, a4, 0x21c + 8e1e3d: 04044f extui a4, a4, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:129 + 8e1e40: 774710 bbci a4, 23, 8e1e54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:130 + 8e1e43: c022 movi.n a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:142 + 8e1e45: d10f retw.n + +008e1e47 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:134 + 8e1e47: c081 movi.n a8, 1 + +008e1e49 : + 8e1e49: 282501 s16i a8, a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:135 + 8e1e4c: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:142 + 8e1e4e: d10f retw.n + +008e1e50 : + 8e1e50: c021 movi.n a2, 1 + 8e1e52: d10f retw.n + +008e1e54 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:131 + 8e1e54: 7647ef bbci a4, 22, 8e1e47 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:132 + 8e1e57: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:142 + 8e1e59: d10f retw.n + +008e1e5b : + ... + +008e1e5c : +cmnos_eep_write(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:153 + 8e1e5c: 6c1004 entry a1, 32 + 8e1e5f: 180044 l32r a8, 4e8110 <_lit4_start+0x110> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:162 + 8e1e62: 288100 l16ui a8, a8, 0 + 8e1e65: 1a0070 l32r a10, 4e81c0 <_lit4_start+0x1c0> + 8e1e68: 160018 l32r a6, 4e8060 <_lit4_start+0x60> + 8e1e6b: cb83 beqz.n a8, 8e1ea2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:164 + 8e1e6d: 72a33e bltu a10, a2, 8e1eaf + 8e1e70: a325 add.n a5, a2, a3 + 8e1e72: 75a239 blt a10, a5, 8e1eaf +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:171 + 8e1e75: 752a25 bge a2, a5, 8e1e9e + 8e1e78: c030 movi.n a3, 0 + +008e1e7a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:173 + 8e1e7a: da20 mov.n a10, a2 + 8e1e7c: 043b09 addx2 a11, a3, a4 + 8e1e7f: 2bb100 l16ui a11, a11, 0 + 8e1e82: 5bffc7 call8 8e1da0 + 8e1e85: 68a108 beqi a10, 1, 8e1e91 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:178 + 8e1e88: 286216 l32i a8, a6, 88 + 8e1e8b: 1a0071 l32r a10, 4e81c4 <_lit4_start+0x1c4> + +008e1e8e : + 8e1e8e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:179 + 8e1e91: b122 addi.n a2, a2, 1 + 8e1e93: b133 addi.n a3, a3, 1 + 8e1e95: 03034f extui a3, a3, 0, 16 + 8e1e98: 02024f extui a2, a2, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:171 + 8e1e9b: 7259db bne a5, a2, 8e1e7a + +008e1e9e : + 8e1e9e: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:188 + 8e1ea0: d10f retw.n + +008e1ea2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:184 + 8e1ea2: 286216 l32i a8, a6, 88 + 8e1ea5: 1a0072 l32r a10, 4e81c8 <_lit4_start+0x1c8> + 8e1ea8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:185 + 8e1eab: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:188 + 8e1ead: d10f retw.n + +008e1eaf : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:166 + 8e1eaf: 286216 l32i a8, a6, 88 + 8e1eb2: 1a0073 l32r a10, 4e81cc <_lit4_start+0x1cc> + 8e1eb5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:167 + 8e1eb8: c024 movi.n a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:188 + 8e1eba: d10f retw.n + +008e1ebc : +cmnos_eep_read(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:199 + 8e1ebc: 6c1004 entry a1, 32 + 8e1ebf: 180044 l32r a8, 4e8110 <_lit4_start+0x110> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:207 + 8e1ec2: 288100 l16ui a8, a8, 0 + 8e1ec5: 1a0070 l32r a10, 4e81c0 <_lit4_start+0x1c0> + 8e1ec8: ca81 beqz.n a8, 8e1eed +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:209 + 8e1eca: 72a323 bltu a10, a2, 8e1ef1 + 8e1ecd: a323 add.n a3, a2, a3 + 8e1ecf: 73a21e blt a10, a3, 8e1ef1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:216 + 8e1ed2: 732a13 bge a2, a3, 8e1ee9 + +008e1ed5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:218 + 8e1ed5: da20 mov.n a10, a2 + 8e1ed7: db40 mov.n a11, a4 + 8e1ed9: 5bffc7 call8 8e1df8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:222 + 8e1edc: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:220 + 8e1ede: b248 addi.n a8, a4, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:218 + 8e1ee0: 0a8439 movnez a4, a8, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:222 + 8e1ee3: 02024f extui a2, a2, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:216 + 8e1ee6: 7239eb bne a3, a2, 8e1ed5 + +008e1ee9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:224 + 8e1ee9: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:230 + 8e1eeb: d10f retw.n + +008e1eed : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:228 + 8e1eed: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:230 + 8e1eef: d10f retw.n + +008e1ef1 : + 8e1ef1: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:211 + 8e1ef4: 288216 l32i a8, a8, 88 + 8e1ef7: 1a0074 l32r a10, 4e81d0 <_lit4_start+0x1d0> + 8e1efa: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:212 + 8e1efd: c024 movi.n a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:230 + 8e1eff: d10f retw.n + +008e1f01 : + 8e1f01: 000000 ... + +008e1f04 : +cmnos_eep_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:249 + 8e1f04: 6c1006 entry a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:251 + 8e1f07: 293ae8 movi a9, 0x3e8 + 8e1f0a: 150044 l32r a5, 4e8110 <_lit4_start+0x110> + 8e1f0d: 140018 l32r a4, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:249 + 8e1f10: c070 movi.n a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:251 + 8e1f12: 0c0200 memw + 8e1f15: 9910 s32i.n a9, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:252 + 8e1f17: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:255 + 8e1f1a: 285100 l16ui a8, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:252 + 8e1f1d: 9711 s32i.n a7, a1, 4 + 8e1f1f: b088 addi.n a8, a8, -1 + 8e1f21: 6481ea beqz a8, 8e210f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:257 + 8e1f24: c4d0 movi.n a13, 64 + 8e1f26: 120015 l32r a2, 4e8054 <_lit4_start+0x54> + 8e1f29: 23fa00 movi a3, 0xffffff00 + 8e1f2c: 160037 l32r a6, 4e80dc <_lit4_start+0xdc> + 8e1f2f: 0c0200 memw + 8e1f32: 2c227d l32i a12, a2, 0x1f4 + 8e1f35: 03cc01 and a12, a12, a3 + 8e1f38: 0dcc02 or a12, a12, a13 + 8e1f3b: 0c0200 memw + 8e1f3e: 2c267d s32i a12, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:294 + 8e1f41: c4c3 movi.n a12, 67 + 8e1f43: 0c0200 memw + 8e1f46: 2b227d l32i a11, a2, 0x1f4 + 8e1f49: 03bb01 and a11, a11, a3 + 8e1f4c: 0cbb02 or a11, a11, a12 + 8e1f4f: 0c0200 memw + 8e1f52: 2b267d s32i a11, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:295 + 8e1f55: 2a5ac0 movi a10, 0x5c0 + 8e1f58: 0c0200 memw + 8e1f5b: 296284 l32i a9, a6, 0x210 + 8e1f5e: 0a9902 or a9, a9, a10 + 8e1f61: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:296 + 8e1f64: 28423b l32i a8, a4, 236 + 8e1f67: c1a4 movi.n a10, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:295 + 8e1f69: 296684 s32i a9, a6, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:296 + 8e1f6c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:299 + 8e1f6f: c4c4 movi.n a12, 68 + 8e1f71: 0c0200 memw + 8e1f74: 2b227d l32i a11, a2, 0x1f4 + 8e1f77: 03bb01 and a11, a11, a3 + 8e1f7a: 0cbb02 or a11, a11, a12 + 8e1f7d: 0c0200 memw + 8e1f80: 2b267d s32i a11, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:300 + 8e1f83: 2aaa3f movi a10, 0xfffffa3f + 8e1f86: 0c0200 memw + 8e1f89: 296284 l32i a9, a6, 0x210 + 8e1f8c: 0a9901 and a9, a9, a10 + 8e1f8f: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:301 + 8e1f92: 28423b l32i a8, a4, 236 + 8e1f95: 2a1af4 movi a10, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:300 + 8e1f98: 296684 s32i a9, a6, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:301 + 8e1f9b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:312 + 8e1f9e: c4c9 movi.n a12, 73 + 8e1fa0: 0c0200 memw + 8e1fa3: 2b227d l32i a11, a2, 0x1f4 + 8e1fa6: 03bb01 and a11, a11, a3 + 8e1fa9: 0cbb02 or a11, a11, a12 + 8e1fac: 0c0200 memw + 8e1faf: 2b267d s32i a11, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:313 + 8e1fb2: c0a2 movi.n a10, 2 + 8e1fb4: 0c0200 memw + 8e1fb7: 296286 l32i a9, a6, 0x218 + 8e1fba: 0a9902 or a9, a9, a10 + 8e1fbd: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:315 + 8e1fc0: 28423b l32i a8, a4, 236 + 8e1fc3: c1a4 movi.n a10, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:313 + 8e1fc5: 296686 s32i a9, a6, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:315 + 8e1fc8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:319 + 8e1fcb: c7eb movi.n a14, -5 + 8e1fcd: 160076 l32r a6, 4e81d8 <_lit4_start+0x1d8> + 8e1fd0: 1a0075 l32r a10, 4e81d4 <_lit4_start+0x1d4> + 8e1fd3: 0c0200 memw + 8e1fd6: 2d6286 l32i a13, a6, 0x218 + 8e1fd9: 0edd01 and a13, a13, a14 + 8e1fdc: 0c0200 memw + 8e1fdf: 2d6686 s32i a13, a6, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:324 + 8e1fe2: c5d0 movi.n a13, 80 + 8e1fe4: 0c0200 memw + 8e1fe7: 2c227d l32i a12, a2, 0x1f4 + 8e1fea: 03cc01 and a12, a12, a3 + 8e1fed: 0dcc02 or a12, a12, a13 + 8e1ff0: 0c0200 memw + 8e1ff3: 2c267d s32i a12, a2, 0x1f4 + 8e1ff6: 1b0077 l32r a11, 4e81dc <_lit4_start+0x1dc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:325 + 8e1ff9: 0c0200 memw + 8e1ffc: 29a287 l32i a9, a10, 0x21c + 8e1fff: 0b9901 and a9, a9, a11 + 8e2002: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:329 + 8e2005: 28423b l32i a8, a4, 236 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:325 + 8e2008: 29a687 s32i a9, a10, 0x21c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:329 + 8e200b: 2a0a64 movi a10, 100 + 8e200e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:333 + 8e2011: c4d5 movi.n a13, 69 + 8e2013: 1a0078 l32r a10, 4e81e0 <_lit4_start+0x1e0> + 8e2016: 0c0200 memw + 8e2019: 2c227d l32i a12, a2, 0x1f4 + 8e201c: 03cc01 and a12, a12, a3 + 8e201f: 0dcc02 or a12, a12, a13 + 8e2022: 0c0200 memw + 8e2025: 2c267d s32i a12, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:334 + 8e2028: c0b6 movi.n a11, 6 + 8e202a: 0c0200 memw + 8e202d: 29a281 l32i a9, a10, 0x204 + 8e2030: 0b9902 or a9, a9, a11 + 8e2033: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:335 + 8e2036: 28423b l32i a8, a4, 236 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:334 + 8e2039: 29a681 s32i a9, a10, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:335 + 8e203c: c1a4 movi.n a10, 20 + 8e203e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:338 + 8e2041: c0a4 movi.n a10, 4 + 8e2043: 0c0200 memw + 8e2046: 296286 l32i a9, a6, 0x218 + 8e2049: 0a9902 or a9, a9, a10 + 8e204c: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:339 + 8e204f: 28423b l32i a8, a4, 236 + 8e2052: c1a4 movi.n a10, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:338 + 8e2054: 296686 s32i a9, a6, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:339 + 8e2057: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:342 + 8e205a: c4e6 movi.n a14, 70 + 8e205c: 0c0200 memw + 8e205f: 2d227d l32i a13, a2, 0x1f4 + 8e2062: 03dd01 and a13, a13, a3 + 8e2065: 0edd02 or a13, a13, a14 + 8e2068: 0c0200 memw + 8e206b: 2d267d s32i a13, a2, 0x1f4 + 8e206e: 1c0079 l32r a12, 4e81e4 <_lit4_start+0x1e4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:343 + 8e2071: 0c0200 memw + 8e2074: 2b6280 l32i a11, a6, 0x200 + 8e2077: 0cbb02 or a11, a11, a12 + 8e207a: 0c0200 memw + 8e207d: 2b6680 s32i a11, a6, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:353 + 8e2080: 0c0200 memw + 8e2083: 8e10 l32i.n a14, a1, 0 + 8e2085: b0ef addi.n a15, a14, -1 + 8e2087: 0c0200 memw + 8e208a: 9f10 s32i.n a15, a1, 0 + 8e208c: 6be102 bgei a14, 1, 8e2092 + 8e208f: 6000b8 j 8e214b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:355 + 8e2092: 0c0200 memw + 8e2095: 296286 l32i a9, a6, 0x218 + 8e2098: 0c0200 memw + 8e209b: 9911 s32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:356 + 8e209d: 0c0200 memw + 8e20a0: 8811 l32i.n a8, a1, 4 + 8e20a2: 080840 extui a8, a8, 0, 1 + 8e20a5: 6580a2 bnez a8, 8e214b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:358 + 8e20a8: 28423b l32i a8, a4, 236 + 8e20ab: c1a4 movi.n a10, 20 + 8e20ad: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:353 + 8e20b0: 63ffcc j 8e2080 + +008e20b3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:368 + 8e20b3: c4c7 movi.n a12, 71 + 8e20b5: 16003c l32r a6, 4e80f0 <_lit4_start+0xf0> + 8e20b8: 0c0200 memw + 8e20bb: 2b227d l32i a11, a2, 0x1f4 + 8e20be: 03bb01 and a11, a11, a3 + 8e20c1: 0cbb02 or a11, a11, a12 + 8e20c4: 0c0200 memw + 8e20c7: 2b267d s32i a11, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:369 + 8e20ca: 2a1a16 movi a10, 0x116 + 8e20cd: 0c0200 memw + 8e20d0: 296281 l32i a9, a6, 0x204 + 8e20d3: 0a9902 or a9, a9, a10 + 8e20d6: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:370 + 8e20d9: 28423b l32i a8, a4, 236 + 8e20dc: c1a4 movi.n a10, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:369 + 8e20de: 296681 s32i a9, a6, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:370 + 8e20e1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:372 + 8e20e4: c488 movi.n a8, 72 + 8e20e6: 0c0200 memw + 8e20e9: 2f227d l32i a15, a2, 0x1f4 + 8e20ec: 03ff01 and a15, a15, a3 + 8e20ef: 08ff02 or a15, a15, a8 + 8e20f2: 0c0200 memw + 8e20f5: 2f267d s32i a15, a2, 0x1f4 + 8e20f8: 1e007a l32r a14, 4e81e8 <_lit4_start+0x1e8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:373 + 8e20fb: 0c0200 memw + 8e20fe: 2d6284 l32i a13, a6, 0x210 + 8e2101: 0edd02 or a13, a13, a14 + 8e2104: 0c0200 memw + 8e2107: 2d6684 s32i a13, a6, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:374 + 8e210a: c0c1 movi.n a12, 1 + +008e210c : + 8e210c: 2c5500 s16i a12, a5, 0 + 8e210f: 12007b l32r a2, 4e81ec <_lit4_start+0x1ec> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:382 + 8e2112: 0c0200 memw + 8e2115: 222281 l32i a2, a2, 0x204 + 8e2118: 12006e l32r a2, 4e81b8 <_lit4_start+0x1b8> + 8e211b: 13007c l32r a3, 4e81f0 <_lit4_start+0x1f0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:389 + 8e211e: 0c0200 memw + 8e2121: 2a229f l32i a10, a2, 0x27c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:392 + 8e2124: 7a3004 bnone a3, a10, 8e212c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:394 + 8e2127: 275500 s16i a7, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:414 + 8e212a: d10f retw.n + +008e212c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:398 + 8e212c: 0a0851 extui a8, a10, 16, 2 + 8e212f: c88a beqz.n a8, 8e213d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:406 + 8e2131: 28423b l32i a8, a4, 236 + 8e2134: 2a0a64 movi a10, 100 + 8e2137: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:387 + 8e213a: 63ffe0 j 8e211e + +008e213d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:400 + 8e213d: 0a094f extui a9, a10, 0, 16 + 8e2140: ca96 beqz.n a9, 8e216a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:401 + 8e2142: c0a1 movi.n a10, 1 + 8e2144: c0b0 movi.n a11, 0 + 8e2146: 5bff16 call8 8e1da0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:414 + 8e2149: d10f retw.n + +008e214b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:362 + 8e214b: 0c0200 memw + 8e214e: 8a10 l32i.n a10, a1, 0 + 8e2150: 6aa102 blti a10, 1, 8e2156 + 8e2153: 63ff5c j 8e20b3 + 8e2156: 1c007d l32r a12, 4e81f4 <_lit4_start+0x1f4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:364 + 8e2159: 0c0200 memw + 8e215c: 2b227d l32i a11, a2, 0x1f4 + 8e215f: 0cbb02 or a11, a11, a12 + 8e2162: 0c0200 memw + 8e2165: 2b267d s32i a11, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:414 + 8e2168: d10f retw.n + +008e216a : + 8e216a: d10f retw.n + +008e216c : +cmnos_eep_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:416 + 8e216c: 6c1004 entry a1, 32 + 8e216f: 180080 l32r a8, 4e8200 <_lit4_start+0x200> + 8e2172: 19007f l32r a9, 4e81fc <_lit4_start+0x1fc> + 8e2175: 1a007e l32r a10, 4e81f8 <_lit4_start+0x1f8> + 8e2178: 130081 l32r a3, 4e8204 <_lit4_start+0x204> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:420 + 8e217b: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:419 + 8e217d: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:418 + 8e217f: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:417 + 8e2181: 9a20 s32i.n a10, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:421 + 8e2183: d10f retw.n + +008e2185 : + 8e2185: 000000 ... + +008e2188 : +cmnos_intr_dummy(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:30 + 8e2188: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:32 + 8e218b: d10f retw.n + +008e218d : + 8e218d: 000000 ... + +008e2190 : +cmnos_intr_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:42 + 8e2190: 6c1004 entry a1, 32 + 8e2193: 190082 l32r a9, 4e8208 <_lit4_start+0x208> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:46 + 8e2196: c0a0 movi.n a10, 0 + 8e2198: c183 movi.n a8, 19 + 8e219a: 9a90 s32i.n a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:49 + 8e219c: 299c10 addi a9, a9, 16 + 8e219f: 1a0083 l32r a10, 4e820c <_lit4_start+0x20c> + 8e21a2: 6d8a03 loopgtz a8, 8e21a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:50 + 8e21a5: 9a90 s32i.n a10, a9, 0 + 8e21a7: b899 addi.n a9, a9, 8 + +008e21a9 : + 8e21a9: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:53 + 8e21ac: 28824e l32i a8, a8, 0x138 + 8e21af: c0a0 movi.n a10, 0 + 8e21b1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:55 + 8e21b4: d10f retw.n + +008e21b6 : + ... + +008e21b8 : +cmnos_intr_mask_inum(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:60 + 8e21b8: 6c1004 entry a1, 32 + 8e21bb: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:65 + 8e21be: 283248 l32i a8, a3, 0x120 + 8e21c1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:66 + 8e21c4: 28324d l32i a8, a3, 0x134 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:65 + 8e21c7: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:66 + 8e21c9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:69 + 8e21cc: 28324e l32i a8, a3, 0x138 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:67 + 8e21cf: c7df movi.n a13, -1 + 8e21d1: 1c0082 l32r a12, 4e8208 <_lit4_start+0x208> + 8e21d4: 002104 ssl a2 + 8e21d7: c091 movi.n a9, 1 + 8e21d9: 00991a sll a9, a9 + 8e21dc: 8bc0 l32i.n a11, a12, 0 + 8e21de: 0d9903 xor a9, a9, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:69 + 8e21e1: 0a9a01 and a10, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:67 + 8e21e4: 0b9b01 and a11, a9, a11 + 8e21e7: 9bc0 s32i.n a11, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:69 + 8e21e9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:70 + 8e21ec: 283249 l32i a8, a3, 0x124 + 8e21ef: da40 mov.n a10, a4 + 8e21f1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:71 + 8e21f4: d10f retw.n + +008e21f6 : + ... + +008e21f8 : +cmnos_intr_unmask_inum(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:75 + 8e21f8: 6c1004 entry a1, 32 + 8e21fb: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:80 + 8e21fe: 283248 l32i a8, a3, 0x120 + 8e2201: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:81 + 8e2204: 28324d l32i a8, a3, 0x134 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:80 + 8e2207: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:81 + 8e2209: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:86 + 8e220c: 28324e l32i a8, a3, 0x138 + 8e220f: 1c0082 l32r a12, 4e8208 <_lit4_start+0x208> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:82 + 8e2212: 002104 ssl a2 + 8e2215: c091 movi.n a9, 1 + 8e2217: 8bc0 l32i.n a11, a12, 0 + 8e2219: 00991a sll a9, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:86 + 8e221c: 0a9a02 or a10, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:82 + 8e221f: 0b9b02 or a11, a9, a11 + 8e2222: 9bc0 s32i.n a11, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:86 + 8e2224: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:87 + 8e2227: 283249 l32i a8, a3, 0x124 + 8e222a: da40 mov.n a10, a4 + 8e222c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:88 + 8e222f: d10f retw.n + +008e2231 : + 8e2231: 000000 ... + +008e2234 : +cmnos_intr_attach_isr(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:93 + 8e2234: 6c1004 entry a1, 32 + 8e2237: 1a0084 l32r a10, 4e8210 <_lit4_start+0x210> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:96 + 8e223a: c182 movi.n a8, 18 + 8e223c: 728b1d bgeu a8, a2, 8e225d + 8e223f: 190085 l32r a9, 4e8214 <_lit4_start+0x214> + +008e2242 : + 8e2242: 0c0200 memw + 8e2245: 2d9000 l8ui a13, a9, 0 + 8e2248: 0c0200 memw + 8e224b: 2c9001 l8ui a12, a9, 1 + 8e224e: 0c0200 memw + 8e2251: 2b9002 l8ui a11, a9, 2 + 8e2254: 0c0200 memw + 8e2257: 299003 l8ui a9, a9, 3 + 8e225a: 0c0200 memw + 8e225d: cd3c bnez.n a3, 8e227d + +008e225f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:97 + 8e225f: 2eac11 addi a14, a10, 17 + 8e2262: 0c0200 memw + 8e2265: 29e000 l8ui a9, a14, 0 + 8e2268: 0c0200 memw + 8e226b: 28e001 l8ui a8, a14, 1 + 8e226e: 0c0200 memw + 8e2271: 2fe002 l8ui a15, a14, 2 + 8e2274: 0c0200 memw + 8e2277: 2ee003 l8ui a14, a14, 3 + 8e227a: 0c0200 memw + 8e227d: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:99 + 8e2280: 285248 l32i a8, a5, 0x120 + 8e2283: 0b8000 callx8 a8 + 8e2286: 190086 l32r a9, 4e8218 <_lit4_start+0x218> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:104 + 8e2289: 285249 l32i a8, a5, 0x124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:101 + 8e228c: 09290b addx8 a9, a2, a9 + 8e228f: 9390 s32i.n a3, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:102 + 8e2291: 9491 s32i.n a4, a9, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:104 + 8e2293: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:105 + 8e2296: d10f retw.n + +008e2298 : +cmnos_intr_invoke_isr(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:118 + 8e2298: 6c1004 entry a1, 32 + 8e229b: 1a0084 l32r a10, 4e8210 <_lit4_start+0x210> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:119 + 8e229e: c182 movi.n a8, 18 + 8e22a0: 728b1d bgeu a8, a2, 8e22c1 + 8e22a3: 190087 l32r a9, 4e821c <_lit4_start+0x21c> + +008e22a6 : + 8e22a6: 0c0200 memw + 8e22a9: 2d9000 l8ui a13, a9, 0 + 8e22ac: 0c0200 memw + 8e22af: 2c9001 l8ui a12, a9, 1 + 8e22b2: 0c0200 memw + 8e22b5: 2b9002 l8ui a11, a9, 2 + 8e22b8: 0c0200 memw + 8e22bb: 299003 l8ui a9, a9, 3 + 8e22be: 0c0200 memw + 8e22c1: 1c0086 l32r a12, 4e8218 <_lit4_start+0x218> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:120 + 8e22c4: 0c2c0b addx8 a12, a2, a12 + 8e22c7: 8bc0 l32i.n a11, a12, 0 + 8e22c9: ceb1 bnez.n a11, 8e22ee + 8e22cb: 2dad01 addmi a13, a10, 0x100 + 8e22ce: 2ddc7f addi a13, a13, 127 + 8e22d1: b2dd addi.n a13, a13, 2 + +008e22d3 : + 8e22d3: 0c0200 memw + 8e22d6: 28d000 l8ui a8, a13, 0 + 8e22d9: 0c0200 memw + 8e22dc: 2fd001 l8ui a15, a13, 1 + 8e22df: 0c0200 memw + 8e22e2: 2ed002 l8ui a14, a13, 2 + 8e22e5: 0c0200 memw + 8e22e8: 2dd003 l8ui a13, a13, 3 + 8e22eb: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:124 + 8e22ee: 8ac1 l32i.n a10, a12, 4 + 8e22f0: 0bb000 callx8 a11 + 8e22f3: d2a0 mov.n a2, a10 + 8e22f5: d10f retw.n + +008e22f7 : + ... + +008e22f8 : +cmnos_intr_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:132 + 8e22f8: 6c1004 entry a1, 32 + 8e22fb: 18008b l32r a8, 4e822c <_lit4_start+0x22c> + 8e22fe: 19008a l32r a9, 4e8228 <_lit4_start+0x228> + 8e2301: 1a0089 l32r a10, 4e8224 <_lit4_start+0x224> + 8e2304: 1b0088 l32r a11, 4e8220 <_lit4_start+0x220> + 8e2307: 13008c l32r a3, 4e8230 <_lit4_start+0x230> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:137 + 8e230a: 9325 s32i.n a3, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:136 + 8e230c: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:135 + 8e230e: 9926 s32i.n a9, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:134 + 8e2310: 9a21 s32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:133 + 8e2312: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:148 + 8e2314: d10f retw.n + +008e2316 : + ... + +008e2318 : +cmnos_mem_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:10 + 8e2318: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:11 + 8e231b: d10f retw.n + +008e231d : + 8e231d: 000000 ... + +008e2320 : +cmnos_mem_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:22 + 8e2320: 6c1004 entry a1, 32 + 8e2323: 180090 l32r a8, 4e8240 <_lit4_start+0x240> + 8e2326: 19008f l32r a9, 4e823c <_lit4_start+0x23c> + 8e2329: 1a008e l32r a10, 4e8238 <_lit4_start+0x238> + 8e232c: 1b008d l32r a11, 4e8234 <_lit4_start+0x234> + 8e232f: 130091 l32r a3, 4e8244 <_lit4_start+0x244> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:27 + 8e2332: 9324 s32i.n a3, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:26 + 8e2334: 9823 s32i.n a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:25 + 8e2336: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:24 + 8e2338: 9a21 s32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:23 + 8e233a: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:28 + 8e233c: d10f retw.n + +008e233e : + ... + +008e2340 : +cmnos_system_reset(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:21 + 8e2340: 6c1004 entry a1, 32 + 8e2343: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> + 8e2346: 120092 l32r a2, 4e8248 <_lit4_start+0x248> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:26 + 8e2349: 0c0200 memw + 8e234c: 223684 s32i a2, a3, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:27 + 8e234f: d10f retw.n + +008e2351 : + 8e2351: 000000 ... + +008e2354 : +cmnos_mac_reset(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:44 + 8e2354: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:49 + 8e2357: d10f retw.n + +008e2359 : + 8e2359: 000000 ... + +008e235c : +cmnos_misaligned_load_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:58 + 8e235c: 6c1004 entry a1, 32 + 8e235f: 180093 l32r a8, 4e824c <_lit4_start+0x24c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:60 + 8e2362: 8c23 l32i.n a12, a2, 12 + 8e2364: 190094 l32r a9, 4e8250 <_lit4_start+0x250> + 8e2367: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e236a: 08c801 and a8, a12, a8 + 8e236d: 79890e bne a8, a9, 8e237f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:66 + 8e2370: da20 mov.n a10, a2 + 8e2372: 883a l32i.n a8, a3, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:65 + 8e2374: 0c494c extui a9, a12, 4, 13 + 8e2377: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:66 + 8e2379: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:65 + 8e237c: 600017 j 8e2397 + +008e237f : + 8e237f: 1a0095 l32r a10, 4e8254 <_lit4_start+0x254> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:70 + 8e2382: 283212 l32i a8, a3, 72 + 8e2385: 8b22 l32i.n a11, a2, 8 + 8e2387: dd20 mov.n a13, a2 + 8e2389: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:72 + 8e238c: da20 mov.n a10, a2 + 8e238e: 883a l32i.n a8, a3, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:71 + 8e2390: c090 movi.n a9, 0 + 8e2392: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:72 + 8e2394: 0b8000 callx8 a8 + 8e2397: 1b0037 l32r a11, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:76 + 8e239a: c0c3 movi.n a12, 3 + 8e239c: 0c0200 memw + 8e239f: 2cb682 s32i a12, a11, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:77 + 8e23a2: c1a0 movi.n a10, 16 + 8e23a4: 0c0200 memw + 8e23a7: 2ab683 s32i a10, a11, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:79 + 8e23aa: 63fffc j 8e23aa + +008e23ad : + 8e23ad: 000000 ... + +008e23b0 : +cmnos_assfail(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:90 + 8e23b0: 6c1004 entry a1, 32 + 8e23b3: 190096 l32r a9, 4e8258 <_lit4_start+0x258> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:91 + 8e23b6: 8890 l32i.n a8, a9, 0 + 8e23b8: 1a0097 l32r a10, 4e825c <_lit4_start+0x25c> + 8e23bb: 65805b bnez a8, 8e241a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:94 + 8e23be: 9290 s32i.n a2, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:96 + 8e23c0: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:98 + 8e23c2: 8aa1 l32i.n a10, a10, 4 + 8e23c4: 64a052 beqz a10, 8e241a + 8e23c7: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e23ca: 1a0098 l32r a10, 4e8260 <_lit4_start+0x260> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:102 + 8e23cd: 8b22 l32i.n a11, a2, 8 + 8e23cf: 283212 l32i a8, a3, 72 + 8e23d2: 8c21 l32i.n a12, a2, 4 + 8e23d4: dd20 mov.n a13, a2 + 8e23d6: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:107 + 8e23d9: 283212 l32i a8, a3, 72 + 8e23dc: dbc0 mov.n a11, a12 + 8e23de: 1a0099 l32r a10, 4e8264 <_lit4_start+0x264> + 8e23e1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:110 + 8e23e4: 283212 l32i a8, a3, 72 + 8e23e7: 1a009a l32r a10, 4e8268 <_lit4_start+0x268> + 8e23ea: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:111 + 8e23ed: c040 movi.n a4, 0 + 8e23ef: c254 movi.n a5, 36 + +008e23f1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:112 + 8e23f1: 040941 extui a9, a4, 0, 2 + 8e23f4: cc97 bnez.n a9, 8e23ff +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:113 + 8e23f6: 283212 l32i a8, a3, 72 + 8e23f9: 1a009b l32r a10, 4e826c <_lit4_start+0x26c> + +008e23fc : + 8e23fc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:115 + 8e23ff: 283212 l32i a8, a3, 72 + 8e2402: 1a009c l32r a10, 4e8270 <_lit4_start+0x270> + 8e2405: 8b20 l32i.n a11, a2, 0 + 8e2407: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:117 + 8e240a: b422 addi.n a2, a2, 4 + 8e240c: b144 addi.n a4, a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:111 + 8e240e: 7549df bne a4, a5, 8e23f1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:118 + 8e2411: 283212 l32i a8, a3, 72 + 8e2414: 1a009b l32r a10, 4e826c <_lit4_start+0x26c> + +008e2417 : + 8e2417: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:127 + 8e241a: d10f retw.n + +008e241c : +cmnos_report_failure_to_host(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:134 + 8e241c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:136 + 8e241f: d10f retw.n + +008e2421 : + 8e2421: 000000 ... + +008e2424 : +cmnos_target_id_get(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:143 + 8e2424: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:145 + 8e2427: d10f retw.n + +008e2429 : + 8e2429: 000000 ... + +008e242c : +cmnos_get_kbhit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:152 + 8e242c: 6c1006 entry a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:156 + 8e242f: 58114a call8 8e6958 + 8e2432: 18002d l32r a8, 4e80b4 <_lit4_start+0xb4> + 8e2435: d3a0 mov.n a3, a10 + 8e2437: 140018 l32r a4, 4e8060 <_lit4_start+0x60> + 8e243a: 082228 mull a2, a2, a8 + 8e243d: 600008 j 8e2449 + +008e2440 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:162 + 8e2440: 581145 call8 8e6958 + 8e2443: 03a90c sub a9, a10, a3 + 8e2446: 729b0a bgeu a9, a2, 8e2454 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:159 + 8e2449: 284215 l32i a8, a4, 84 + 8e244c: da10 mov.n a10, a1 + 8e244e: 0b8000 callx8 a8 + 8e2451: 64afeb beqz a10, 8e2440 + +008e2454 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:168 + 8e2454: 221000 l8ui a2, a1, 0 + 8e2457: d10f retw.n + +008e2459 : + 8e2459: 000000 ... + +008e245c : +cmnos_is_host_present(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:176 + 8e245c: 6c1004 entry a1, 32 + 8e245f: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:187 + 8e2462: 0c0200 memw + 8e2465: 233285 l32i a3, a3, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:201 + 8e2468: c082 movi.n a8, 2 + 8e246a: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:199 + 8e246c: 032440 extui a4, a3, 2, 1 + 8e246f: 7c3704 bbci a3, 28, 8e2477 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:201 + 8e2472: 048239 movnez a2, a8, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:215 + 8e2475: d10f retw.n + +008e2477 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:208 + 8e2477: d240 mov.n a2, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:215 + 8e2479: d10f retw.n + +008e247b : + ... + +008e247c : +cmnos_rom_version_get(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:224 + 8e247c: 6c1004 entry a1, 32 + 8e247f: 12009d l32r a2, 4e8274 <_lit4_start+0x274> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:227 + 8e2482: 222106 l16ui a2, a2, 12 + 8e2485: d10f retw.n + +008e2487 : + ... + +008e2488 : +cmnos_misc_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:235 + 8e2488: 6c1004 entry a1, 32 + 8e248b: 1800a4 l32r a8, 4e8290 <_lit4_start+0x290> + 8e248e: 1900a3 l32r a9, 4e828c <_lit4_start+0x28c> + 8e2491: 1a00a2 l32r a10, 4e8288 <_lit4_start+0x288> + 8e2494: 1b00a1 l32r a11, 4e8284 <_lit4_start+0x284> + 8e2497: 1c00a0 l32r a12, 4e8280 <_lit4_start+0x280> + 8e249a: 1d009f l32r a13, 4e827c <_lit4_start+0x27c> + 8e249d: 1e009e l32r a14, 4e8278 <_lit4_start+0x278> + 8e24a0: 1300a5 l32r a3, 4e8294 <_lit4_start+0x294> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:244 + 8e24a3: 9328 s32i.n a3, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:243 + 8e24a5: 9827 s32i.n a8, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:242 + 8e24a7: 9926 s32i.n a9, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:240 + 8e24a9: 9a24 s32i.n a10, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:239 + 8e24ab: 9b23 s32i.n a11, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:238 + 8e24ad: 9c22 s32i.n a12, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:237 + 8e24af: 9d21 s32i.n a13, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:236 + 8e24b1: 9e20 s32i.n a14, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:245 + 8e24b3: d10f retw.n + +008e24b5 : + 8e24b5: 000000 ... + +008e24b8 : +cmnos_write_char(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:59 + 8e24b8: 6c1004 entry a1, 32 + 8e24bb: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:60 + 8e24be: 692911 bnei a2, 10, 8e24d3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:61 + 8e24c1: 283214 l32i a8, a3, 80 + 8e24c4: c0ad movi.n a10, 13 + 8e24c6: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:62 + 8e24c9: 283214 l32i a8, a3, 80 + 8e24cc: c0aa movi.n a10, 10 + 8e24ce: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:67 + 8e24d1: d10f retw.n + +008e24d3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:63 + 8e24d3: c09d movi.n a9, 13 + 8e24d5: 792107 beq a2, a9, 8e24e0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:65 + 8e24d8: 283214 l32i a8, a3, 80 + 8e24db: da20 mov.n a10, a2 + +008e24dd : + 8e24dd: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:67 + 8e24e0: d10f retw.n + +008e24e2 : + ... + +008e24e4 <_cvt>: +_cvt(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:72 + 8e24e4: 6c100e entry a1, 112 + 8e24e7: cc2a bnez.n a2, 8e24f5 <_cvt+0x11> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:79 + 8e24e9: d810 mov.n a8, a1 + 8e24eb: c360 movi.n a6, 48 + 8e24ed: 268400 s8i a6, a8, 0 + 8e24f0: b116 addi.n a6, a1, 1 + 8e24f2: 60001e j 8e2514 <_cvt+0x30> + +008e24f5 <_cvt+0x11>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:81 + 8e24f5: d610 mov.n a6, a1 + +008e24f7 <_cvt+0x13>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:82 + 8e24f7: da20 mov.n a10, a2 + 8e24f9: db40 mov.n a11, a4 + 8e24fb: 581168 call8 8e6a9c <__umodsi3> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:83 + 8e24fe: db40 mov.n a11, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:82 + 8e2500: aa59 add.n a9, a5, a10 + 8e2502: 299000 l8ui a9, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:83 + 8e2505: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:82 + 8e2507: 296400 s8i a9, a6, 0 + 8e250a: b166 addi.n a6, a6, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:83 + 8e250c: 58114f call8 8e6a4c <__udivsi3> + 8e250f: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:81 + 8e2511: 65afe2 bnez a10, 8e24f7 <_cvt+0x13> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:90 + 8e2514: c0d0 movi.n a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:81 + 8e2516: da10 mov.n a10, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:86 + 8e2518: 7a6115 beq a6, a10, 8e2531 <_cvt+0x4d> + 8e251b: 0a6b0c sub a11, a6, a10 + 8e251e: 0bb202 or a2, a11, a11 + 8e2521: 6db909 loopnez a11, 8e252e <_cvt+0x4a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:87 + 8e2524: b066 addi.n a6, a6, -1 + 8e2526: 2c6000 l8ui a12, a6, 0 + 8e2529: 2c3400 s8i a12, a3, 0 + 8e252c: b133 addi.n a3, a3, 1 + +008e252e <_cvt+0x4a>: + 8e252e: 600001 j 8e2533 <_cvt+0x4f> + +008e2531 <_cvt+0x4d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:86 + 8e2531: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:90 + 8e2533: 2d3400 s8i a13, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:91 + 8e2536: d10f retw.n + +008e2538 : +cmnos_vprintf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:96 + 8e2538: 6c100e entry a1, 112 + 8e253b: d950 mov.n a9, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:102 + 8e253d: dd10 mov.n a13, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:96 + 8e253f: da40 mov.n a10, a4 + 8e2541: 261611 s32i a6, a1, 68 + 8e2544: 221613 s32i a2, a1, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:102 + 8e2547: c060 movi.n a6, 0 + 8e2549: c020 movi.n a2, 0 + 8e254b: c040 movi.n a4, 0 + 8e254d: 9d18 s32i.n a13, a1, 32 + 8e254f: 9a1b s32i.n a10, a1, 44 + 8e2551: 991a s32i.n a9, a1, 40 + +008e2553 : + 8e2553: 251213 l32i a5, a1, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:104 + 8e2556: b133 addi.n a3, a3, 1 + 8e2558: 273dff addmi a7, a3, 0xffffff00 + 8e255b: 2770ff l8ui a7, a7, 255 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:105 + 8e255e: c285 movi.n a8, 37 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:104 + 8e2560: 6473c4 beqz a7, 8e2928 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:105 + 8e2563: 787915 bne a7, a8, 8e257c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:106 + 8e2566: 273000 l8ui a7, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:108 + 8e2569: c29d movi.n a9, 45 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:106 + 8e256b: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:108 + 8e256d: 797932 bne a7, a9, 8e25a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:109 + 8e2570: 273000 l8ui a7, a3, 0 + 8e2573: c0a1 movi.n a10, 1 + 8e2575: b133 addi.n a3, a3, 1 + 8e2577: 9a1d s32i.n a10, a1, 52 + 8e2579: 60002a j 8e25a7 + +008e257c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:284 + 8e257c: da70 mov.n a10, a7 + 8e257e: 0b5000 callx8 a5 + 8e2581: 600019 j 8e259e + +008e2584 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:220 + 8e2584: c1c8 movi.n a12, 24 + 8e2586: 7bc204 blt a12, a11, 8e258e + 8e2589: c2d4 movi.n a13, 36 + +008e258b : + 8e258b: 2d1611 s32i a13, a1, 68 + 8e258e: 8b1b l32i.n a11, a1, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:221 + 8e2590: 2a1211 l32i a10, a1, 68 + 8e2593: abaa add.n a10, a10, a11 + 8e2595: 2aadff addmi a10, a10, 0xffffff00 + 8e2598: 2aa0ff l8ui a10, a10, 255 + 8e259b: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:222 + 8e259e: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:223 + 8e25a0: 63ffb2 j 8e2556 + +008e25a3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:109 + 8e25a3: c0b0 movi.n a11, 0 + 8e25a5: 9b1d s32i.n a11, a1, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:112 + 8e25a7: c3c0 movi.n a12, 48 + 8e25a9: 7c790b bne a7, a12, 8e25b8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:114 + 8e25ac: 273000 l8ui a7, a3, 0 + 8e25af: c0d1 movi.n a13, 1 + 8e25b1: b133 addi.n a3, a3, 1 + 8e25b3: 9d1e s32i.n a13, a1, 56 + 8e25b5: 600003 j 8e25bc + +008e25b8 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:116 + 8e25b8: c0e0 movi.n a14, 0 + 8e25ba: 9e1e s32i.n a14, a1, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:118 + 8e25bc: 2f7cd0 addi a15, a7, -48 + 8e25bf: 0f0f47 extui a15, a15, 0, 8 + 8e25c2: 6ff923 bgeui a15, 10, 8e25e9 + 8e25c5: c080 movi.n a8, 0 + 8e25c7: 981c s32i.n a8, a1, 48 + +008e25c9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:119 + 8e25c9: 891c l32i.n a9, a1, 48 + 8e25cb: 099b0a addx4 a11, a9, a9 + 8e25ce: 07bb09 addx2 a11, a11, a7 + 8e25d1: 29bcd0 addi a9, a11, -48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:120 + 8e25d4: 273000 l8ui a7, a3, 0 + 8e25d7: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:119 + 8e25d9: 991c s32i.n a9, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:118 + 8e25db: 2a7cd0 addi a10, a7, -48 + 8e25de: 0a0a47 extui a10, a10, 0, 8 + 8e25e1: 6ea9e4 bltui a10, 10, 8e25c9 + 8e25e4: 991c s32i.n a9, a1, 48 + 8e25e6: 600003 j 8e25ed + +008e25e9 : + 8e25e9: c0c0 movi.n a12, 0 + 8e25eb: 9c1c s32i.n a12, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:133 + 8e25ed: 290a6c movi a9, 108 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:122 + 8e25f0: c2de movi.n a13, 46 + 8e25f2: 7d7921 bne a7, a13, 8e2617 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:123 + 8e25f5: 273000 l8ui a7, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:124 + 8e25f8: 8f1e l32i.n a15, a1, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:123 + 8e25fa: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:125 + 8e25fc: 2e7cd0 addi a14, a7, -48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:124 + 8e25ff: b1ff addi.n a15, a15, 1 + 8e2601: 9f1e s32i.n a15, a1, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:125 + 8e2603: 0e0e47 extui a14, a14, 0, 8 + 8e2606: 6fe90d bgeui a14, 10, 8e2617 + +008e2609 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:127 + 8e2609: 273000 l8ui a7, a3, 0 + 8e260c: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:125 + 8e260e: 287cd0 addi a8, a7, -48 + 8e2611: 080847 extui a8, a8, 0, 8 + 8e2614: 6e89f1 bltui a8, 10, 8e2609 + +008e2617 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:178 + 8e2617: c4c2 movi.n a12, 66 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:133 + 8e2619: 797916 bne a7, a9, 8e2633 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:135 + 8e261c: 273000 l8ui a7, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:139 + 8e261f: c0b1 movi.n a11, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:135 + 8e2621: b133 addi.n a3, a3, 1 + 8e2623: 2a7c94 addi a10, a7, -108 + 8e2626: 65a205 bnez a10, 8e282f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:139 + 8e2629: 273000 l8ui a7, a3, 0 + 8e262c: c0a1 movi.n a10, 1 + 8e262e: b133 addi.n a3, a3, 1 + 8e2630: 6001fd j 8e2831 + +008e2633 : + 8e2633: c0a0 movi.n a10, 0 + 8e2635: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:178 + 8e2637: 7c7902 bne a7, a12, 8e263d + 8e263a: 6000ef j 8e272d + 8e263d: c4d4 movi.n a13, 68 + 8e263f: 7d7902 bne a7, a13, 8e2645 + 8e2642: 6000e7 j 8e272d + 8e2645: c5e5 movi.n a14, 85 + 8e2647: 7e7902 bne a7, a14, 8e264d + 8e264a: 6000df j 8e272d + 8e264d: c5f8 movi.n a15, 88 + 8e264f: 7f7902 bne a7, a15, 8e2655 + 8e2652: 6000d7 j 8e272d + 8e2655: 280a62 movi a8, 98 + 8e2658: 787902 bne a7, a8, 8e265e + 8e265b: 6000ce j 8e272d + 8e265e: 290a64 movi a9, 100 + 8e2661: 797902 bne a7, a9, 8e2667 + 8e2664: 6000c5 j 8e272d + 8e2667: 2c0a70 movi a12, 112 + 8e266a: 7c7902 bne a7, a12, 8e2670 + 8e266d: 6000ba j 8e272b + 8e2670: 2d0a75 movi a13, 117 + 8e2673: 7d7902 bne a7, a13, 8e2679 + 8e2676: 6000b3 j 8e272d + 8e2679: 2e0a78 movi a14, 120 + 8e267c: 7e7902 bne a7, a14, 8e2682 + 8e267f: 6000aa j 8e272d + +008e2682 : + 8e2682: c0f0 movi.n a15, 0 + 8e2684: 2f1610 s32i a15, a1, 64 + 8e2687: 60002c j 8e26b7 + +008e268a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:156 + 8e268a: c188 movi.n a8, 24 + 8e268c: 7a8204 blt a8, a10, 8e2694 + 8e268f: c294 movi.n a9, 36 + +008e2691 : + 8e2691: 291611 s32i a9, a1, 68 + 8e2694: 8a1b l32i.n a10, a1, 44 + 8e2696: 241211 l32i a4, a1, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:162 + 8e2699: c484 movi.n a8, 68 + 8e269b: 2b0a64 movi a11, 100 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:156 + 8e269e: aa44 add.n a4, a4, a10 + 8e26a0: 244dff addmi a4, a4, 0xffffff00 + 8e26a3: 24423f l32i a4, a4, 252 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:162 + 8e26a6: 7b7102 beq a7, a11, 8e26ac + 8e26a9: 7879d5 bne a7, a8, 8e2682 + +008e26ac : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:163 + 8e26ac: 674fd2 bgez a4, 8e2682 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:165 + 8e26af: 040406 neg a4, a4 + 8e26b2: c29d movi.n a9, 45 + 8e26b4: 291610 s32i a9, a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e26b7: 2a0a62 movi a10, 98 + 8e26ba: 7a7a02 bge a7, a10, 8e26c0 + 8e26bd: 600086 j 8e2747 + 8e26c0: 2b0a62 movi a11, 98 + 8e26c3: 77b202 blt a11, a7, 8e26c9 + 8e26c6: 6000ad j 8e2777 + 8e26c9: 2c0a73 movi a12, 115 + 8e26cc: 7c7a02 bge a7, a12, 8e26d2 + 8e26cf: 60017a j 8e284d + 8e26d2: 2d0a73 movi a13, 115 + 8e26d5: 77d202 blt a13, a7, 8e26db + 8e26d8: 6001a1 j 8e287d + 8e26db: 2e0a78 movi a14, 120 + 8e26de: 7e7a02 bge a7, a14, 8e26e4 + 8e26e1: 600256 j 8e293b + 8e26e4: 2f0a78 movi a15, 120 + 8e26e7: 77fa02 bge a15, a7, 8e26ed + 8e26ea: 6001a9 j 8e2897 + +008e26ed : + 8e26ed: 287cbc addi a8, a7, -68 + 8e26f0: 648236 beqz a8, 8e292a + 8e26f3: 297cab addi a9, a7, -85 + 8e26f6: 649230 beqz a9, 8e292a + 8e26f9: 2a7ca8 addi a10, a7, -88 + 8e26fc: 64a267 beqz a10, 8e2967 + 8e26ff: 2b7c9c addi a11, a7, -100 + 8e2702: 64b224 beqz a11, 8e292a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:206 + 8e2705: 2c0a70 movi a12, 112 + 8e2708: 7c710e beq a7, a12, 8e271a + 8e270b: 2d7c8b addi a13, a7, -117 + 8e270e: 64d218 beqz a13, 8e292a + 8e2711: 2e0a78 movi a14, 120 + 8e2714: 7e7102 beq a7, a14, 8e271a + 8e2717: 60008a j 8e27a5 + +008e271a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:201 + 8e271a: da40 mov.n a10, a4 + 8e271c: db10 mov.n a11, a1 + 8e271e: c1c0 movi.n a12, 16 + 8e2720: 1d00a6 l32r a13, 4e8298 <_lit4_start+0x298> + 8e2723: 5bff70 call8 8e24e4 <_cvt> + 8e2726: d6a0 mov.n a6, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:202 + 8e2728: 600079 j 8e27a5 + +008e272b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:178 + 8e272b: c0b1 movi.n a11, 1 + +008e272d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:155 + 8e272d: 64a103 beqz a10, 8e2834 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:156 + 8e2730: 281211 l32i a8, a1, 68 + 8e2733: c1f8 movi.n a15, 24 + 8e2735: da80 mov.n a10, a8 + 8e2737: b488 addi.n a8, a8, 4 + 8e2739: 281611 s32i a8, a1, 68 + 8e273c: 78fa02 bge a15, a8, 8e2742 + 8e273f: 63ff47 j 8e268a + 8e2742: 8a1a l32i.n a10, a1, 40 + 8e2744: 63ff4e j 8e2696 + +008e2747 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e2747: c494 movi.n a9, 68 + 8e2749: 79721a blt a7, a9, 8e2767 + 8e274c: c4a4 movi.n a10, 68 + 8e274e: 77aa9b bge a10, a7, 8e26ed + 8e2751: c5b5 movi.n a11, 85 + 8e2753: 7b7a02 bge a7, a11, 8e2759 + 8e2756: 60011e j 8e2878 + 8e2759: c5c5 movi.n a12, 85 + 8e275b: 77ca8e bge a12, a7, 8e26ed + 8e275e: 2d7ca8 addi a13, a7, -88 + 8e2761: 65d132 bnez a13, 8e2897 + 8e2764: 63ff85 j 8e26ed + +008e2767 : + 8e2767: c4e2 movi.n a14, 66 + 8e2769: 7e7a02 bge a7, a14, 8e276f + 8e276c: 600136 j 8e28a6 + 8e276f: c4f2 movi.n a15, 66 + 8e2771: 77fa02 bge a15, a7, 8e2777 + 8e2774: 600194 j 8e290c + +008e2777 : + 8e2777: c29e movi.n a9, 46 + 8e2779: c0b1 movi.n a11, 1 + 8e277b: c3c1 movi.n a12, 49 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:226 + 8e277d: 8d1c l32i.n a13, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:235 + 8e277f: de10 mov.n a14, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:227 + 8e2781: c2a0 movi.n a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:226 + 8e2783: d6d0 mov.n a6, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:227 + 8e2785: 0da638 moveqz a6, a10, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:235 + 8e2788: c0d0 movi.n a13, 0 + 8e278a: 286cff addi a8, a6, -1 + 8e278d: 6d8a14 loopgtz a8, 8e27a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:236 + 8e2790: df90 mov.n a15, a9 + 8e2792: 00d104 ssl a13 + 8e2795: 00b81a sll a8, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:237 + 8e2798: b1dd addi.n a13, a13, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:236 + 8e279a: 084801 and a8, a4, a8 + 8e279d: 08cf39 movnez a15, a12, a8 + 8e27a0: 2fe400 s8i a15, a14, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:237 + 8e27a3: b1ee addi.n a14, a14, 1 + +008e27a5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:208 + 8e27a5: d910 mov.n a9, a1 + 8e27a7: 9918 s32i.n a9, a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:248 + 8e27a9: 8b1c l32i.n a11, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:252 + 8e27ab: 8a1e l32i.n a10, a1, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:249 + 8e27ad: 2d1210 l32i a13, a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:248 + 8e27b0: 06bb0c sub a11, a11, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:250 + 8e27b3: b0bc addi.n a12, a11, -1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:249 + 8e27b5: 0dcb39 movnez a11, a12, a13 + 8e27b8: 9b1f s32i.n a11, a1, 60 + 8e27ba: c9a4 beqz.n a10, 8e27d2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:254 + 8e27bc: 2c1210 l32i a12, a1, 64 + 8e27bf: c8ca beqz.n a12, 8e27cd + 8e27c1: dac0 mov.n a10, a12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:255 + 8e27c3: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:256 + 8e27c6: b122 addi.n a2, a2, 1 + 8e27c8: c0d0 movi.n a13, 0 + +008e27ca : + 8e27ca: 2d1610 s32i a13, a1, 64 + 8e27cd: c370 movi.n a7, 48 + 8e27cf: 600001 j 8e27d4 + +008e27d2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:260 + 8e27d2: c270 movi.n a7, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:262 + 8e27d4: 8e1d l32i.n a14, a1, 52 + 8e27d6: 891f l32i.n a9, a1, 60 + 8e27d8: cde9 bnez.n a14, 8e27f5 + 8e27da: b199 addi.n a9, a9, 1 + 8e27dc: 600008 j 8e27e8 + +008e27df : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:264 + 8e27df: da70 mov.n a10, a7 + 8e27e1: 0b5000 callx8 a5 + 8e27e4: 8919 l32i.n a9, a1, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:265 + 8e27e6: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:263 + 8e27e8: 8a1f l32i.n a10, a1, 60 + 8e27ea: b099 addi.n a9, a9, -1 + 8e27ec: 9919 s32i.n a9, a1, 36 + 8e27ee: b0aa addi.n a10, a10, -1 + 8e27f0: 9a1f s32i.n a10, a1, 60 + 8e27f2: 6b91e9 bgei a9, 1, 8e27df + +008e27f5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:268 + 8e27f5: 2b1210 l32i a11, a1, 64 + 8e27f8: 8718 l32i.n a7, a1, 32 + 8e27fa: c8b5 beqz.n a11, 8e2803 + 8e27fc: dab0 mov.n a10, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:269 + 8e27fe: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:270 + 8e2801: b122 addi.n a2, a2, 1 + +008e2803 : + 8e2803: b169 addi.n a9, a6, 1 + 8e2805: 60000c j 8e2815 + +008e2808 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:273 + 8e2808: 2a7000 l8ui a10, a7, 0 + 8e280b: b177 addi.n a7, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:274 + 8e280d: 0b5000 callx8 a5 + 8e2810: 291212 l32i a9, a1, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:275 + 8e2813: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:272 + 8e2815: b066 addi.n a6, a6, -1 + 8e2817: b099 addi.n a9, a9, -1 + 8e2819: 291612 s32i a9, a1, 72 + 8e281c: 6b91e8 bgei a9, 1, 8e2808 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:277 + 8e281f: 8c1d l32i.n a12, a1, 52 + 8e2821: 9718 s32i.n a7, a1, 32 + 8e2823: 64cd2f beqz a12, 8e2556 + 8e2826: 8d1f l32i.n a13, a1, 60 + 8e2828: b1dd addi.n a13, a13, 1 + 8e282a: 9d19 s32i.n a13, a1, 36 + 8e282c: 60008a j 8e28ba + +008e282f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:139 + 8e282f: c0a0 movi.n a10, 0 + 8e2831: 63fe02 j 8e2637 + +008e2834 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:157 + 8e2834: 64b10c beqz a11, 8e2944 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:158 + 8e2837: 2f1211 l32i a15, a1, 68 + 8e283a: c1b8 movi.n a11, 24 + 8e283c: c1e8 movi.n a14, 24 + 8e283e: daf0 mov.n a10, a15 + 8e2840: b4ff addi.n a15, a15, 4 + 8e2842: 2f1611 s32i a15, a1, 68 + 8e2845: 7fe27d blt a14, a15, 8e28c6 + 8e2848: 8a1a l32i.n a10, a1, 40 + 8e284a: 63fe48 j 8e2696 + +008e284d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e284d: 280a64 movi a8, 100 + 8e2850: 78723d blt a7, a8, 8e2891 + 8e2853: 290a64 movi a9, 100 + 8e2856: 779202 blt a9, a7, 8e285c + 8e2859: 63fe90 j 8e26ed + 8e285c: 2a0a70 movi a10, 112 + 8e285f: 7a7934 bne a7, a10, 8e2897 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:182 + 8e2862: c3a0 movi.n a10, 48 + 8e2864: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:183 + 8e2867: 2a0a78 movi a10, 120 + 8e286a: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:185 + 8e286d: c0b8 movi.n a11, 8 + 8e286f: c0c1 movi.n a12, 1 + 8e2871: 9c1e s32i.n a12, a1, 56 + 8e2873: 9b1c s32i.n a11, a1, 48 + 8e2875: 63fe74 j 8e26ed + +008e2878 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e2878: c5d3 movi.n a13, 83 + 8e287a: 7d7919 bne a7, a13, 8e2897 + +008e287d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:211 + 8e287d: 2f1211 l32i a15, a1, 68 + 8e2880: c1e8 movi.n a14, 24 + 8e2882: dbf0 mov.n a11, a15 + 8e2884: b4ff addi.n a15, a15, 4 + 8e2886: 2f1611 s32i a15, a1, 68 + 8e2889: 7fe246 blt a14, a15, 8e28d3 + 8e288c: 891a l32i.n a9, a1, 40 + 8e288e: 60004d j 8e28df + +008e2891 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e2891: 280a63 movi a8, 99 + 8e2894: 787179 beq a7, a8, 8e2911 + +008e2897 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:244 + 8e2897: c2a5 movi.n a10, 37 + 8e2899: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:245 + 8e289c: da70 mov.n a10, a7 + 8e289e: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:246 + 8e28a1: b222 addi.n a2, a2, 2 + 8e28a3: 63ff02 j 8e27a9 + +008e28a6 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e28a6: c295 movi.n a9, 37 + 8e28a8: 7979eb bne a7, a9, 8e2897 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:241 + 8e28ab: c2a5 movi.n a10, 37 + 8e28ad: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:242 + 8e28b0: 63fef5 j 8e27a9 + +008e28b3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:279 + 8e28b3: c2a0 movi.n a10, 32 + 8e28b5: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:280 + 8e28b8: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:278 + 8e28ba: 8a19 l32i.n a10, a1, 36 + 8e28bc: b0aa addi.n a10, a10, -1 + 8e28be: 9a19 s32i.n a10, a1, 36 + 8e28c0: 6ba1ef bgei a10, 1, 8e28b3 + 8e28c3: 63fc8f j 8e2556 + +008e28c6 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:158 + 8e28c6: 7ab204 blt a11, a10, 8e28ce + 8e28c9: c2c4 movi.n a12, 36 + +008e28cb : + 8e28cb: 2c1611 s32i a12, a1, 68 + 8e28ce: 8a1b l32i.n a10, a1, 44 + 8e28d0: 63fdc2 j 8e2696 + +008e28d3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:211 + 8e28d3: c1d8 movi.n a13, 24 + 8e28d5: 7bd204 blt a13, a11, 8e28dd + 8e28d8: c2e4 movi.n a14, 36 + +008e28da : + 8e28da: 2e1611 s32i a14, a1, 68 + 8e28dd: 891b l32i.n a9, a1, 44 + 8e28df: 2f1211 l32i a15, a1, 68 + 8e28e2: a9ff add.n a15, a15, a9 + 8e28e4: 2ffdff addmi a15, a15, 0xffffff00 + 8e28e7: 2ff23f l32i a15, a15, 252 + 8e28ea: 9f18 s32i.n a15, a1, 32 + 8e28ec: ccf3 bnez.n a15, 8e28f3 + 8e28ee: 1800a7 l32r a8, 4e829c <_lit4_start+0x29c> + 8e28f1: 9818 s32i.n a8, a1, 32 + +008e28f3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:216 + 8e28f3: 8918 l32i.n a9, a1, 32 + 8e28f5: 299000 l8ui a9, a9, 0 + 8e28f8: c060 movi.n a6, 0 + 8e28fa: 64907a beqz a9, 8e2978 + 8e28fd: 8918 l32i.n a9, a1, 32 + +008e28ff : + 8e28ff: 2a9001 l8ui a10, a9, 1 + 8e2902: b166 addi.n a6, a6, 1 + 8e2904: b199 addi.n a9, a9, 1 + 8e2906: 65aff5 bnez a10, 8e28ff + 8e2909: 63fe9c j 8e27a9 + +008e290c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e290c: c4b3 movi.n a11, 67 + 8e290e: 7b7985 bne a7, a11, 8e2897 + +008e2911 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:220 + 8e2911: 2d1211 l32i a13, a1, 68 + 8e2914: c1c8 movi.n a12, 24 + 8e2916: dbd0 mov.n a11, a13 + 8e2918: b4dd addi.n a13, a13, 4 + 8e291a: 2d1611 s32i a13, a1, 68 + 8e291d: 7dca02 bge a12, a13, 8e2923 + 8e2920: 63fc60 j 8e2584 + 8e2923: 8b1a l32i.n a11, a1, 40 + 8e2925: 63fc67 j 8e2590 + +008e2928 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:288 + 8e2928: d10f retw.n + +008e292a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:197 + 8e292a: da40 mov.n a10, a4 + 8e292c: db10 mov.n a11, a1 + 8e292e: c0ca movi.n a12, 10 + 8e2930: 1d00a8 l32r a13, 4e82a0 <_lit4_start+0x2a0> + 8e2933: 5bfeec call8 8e24e4 <_cvt> + 8e2936: d6a0 mov.n a6, a10 + 8e2938: 63fe69 j 8e27a5 + +008e293b : + 8e293b: 2e7c8b addi a14, a7, -117 + 8e293e: 65ef55 bnez a14, 8e2897 + 8e2941: 63fda8 j 8e26ed + +008e2944 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:160 + 8e2944: 281211 l32i a8, a1, 68 + 8e2947: c1f8 movi.n a15, 24 + 8e2949: da80 mov.n a10, a8 + 8e294b: b488 addi.n a8, a8, 4 + 8e294d: 281611 s32i a8, a1, 68 + 8e2950: 78f204 blt a15, a8, 8e2958 + 8e2953: 8a1a l32i.n a10, a1, 40 + 8e2955: 63fd3d j 8e2696 + +008e2958 : + 8e2958: c198 movi.n a9, 24 + 8e295a: 7a9204 blt a9, a10, 8e2962 + 8e295d: c2a4 movi.n a10, 36 + +008e295f : + 8e295f: 2a1611 s32i a10, a1, 68 + 8e2962: 8a1b l32i.n a10, a1, 44 + 8e2964: 63fd2e j 8e2696 + +008e2967 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:204 + 8e2967: da40 mov.n a10, a4 + 8e2969: db10 mov.n a11, a1 + 8e296b: c1c0 movi.n a12, 16 + 8e296d: 1d00a9 l32r a13, 4e82a4 <_lit4_start+0x2a4> + 8e2970: 5bfedc call8 8e24e4 <_cvt> + 8e2973: d6a0 mov.n a6, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:205 + 8e2975: 63fe2c j 8e27a5 + +008e2978 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:216 + 8e2978: c060 movi.n a6, 0 + 8e297a: 63fe2b j 8e27a9 + +008e297d : + 8e297d: 000000 ... + +008e2980 : +cmnos_printf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:292 + 8e2980: 6c100a entry a1, 80 + 8e2983: db20 mov.n a11, a2 + 8e2985: 9214 s32i.n a2, a1, 16 + 8e2987: 9416 s32i.n a4, a1, 24 + 8e2989: 9517 s32i.n a5, a1, 28 + 8e298b: 9618 s32i.n a6, a1, 32 + 8e298d: 9719 s32i.n a7, a1, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:300 + 8e298f: de10 mov.n a14, a1 + 8e2991: 1a00aa l32r a10, 4e82a8 <_lit4_start+0x2a8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:292 + 8e2994: 9315 s32i.n a3, a1, 20 + 8e2996: 2f1c30 addi a15, a1, 48 + 8e2999: 2d1c10 addi a13, a1, 16 + 8e299c: 9d11 s32i.n a13, a1, 4 + 8e299e: 9f10 s32i.n a15, a1, 0 + 8e29a0: c034 movi.n a3, 4 + 8e29a2: 9312 s32i.n a3, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:300 + 8e29a4: 8aa0 l32i.n a10, a10, 0 + 8e29a6: 8ce0 l32i.n a12, a14, 0 + 8e29a8: 8de1 l32i.n a13, a14, 4 + 8e29aa: 8ee2 l32i.n a14, a14, 8 + 8e29ac: 5bfee2 call8 8e2538 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:307 + 8e29af: d2a0 mov.n a2, a10 + 8e29b1: d10f retw.n + +008e29b3 : + ... + +008e29b4 : +cmnos_printf_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:312 + 8e29b4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:313 + 8e29b7: d10f retw.n + +008e29b9 : + 8e29b9: 000000 ... + +008e29bc : +cmnos_printf_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:316 + 8e29bc: 6c1004 entry a1, 32 + 8e29bf: 1800ab l32r a8, 4e82ac <_lit4_start+0x2ac> + 8e29c2: 1300ac l32r a3, 4e82b0 <_lit4_start+0x2b0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:318 + 8e29c5: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:317 + 8e29c7: 9820 s32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:319 + 8e29c9: d10f retw.n + +008e29cb : + ... + +008e29cc <_patch_dump>: +_patch_dump(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:36 + 8e29cc: 6c1004 entry a1, 32 + 8e29cf: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:42 + 8e29d2: 2b2101 l16ui a11, a2, 2 + 8e29d5: 8c21 l32i.n a12, a2, 4 + 8e29d7: 288212 l32i a8, a8, 72 + 8e29da: 8d22 l32i.n a13, a2, 8 + 8e29dc: 1a00ad l32r a10, 4e82b4 <_lit4_start+0x2b4> + 8e29df: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:43 + 8e29e2: d10f retw.n + +008e29e4 <_read_rom_patch>: +_read_rom_patch(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:49 + 8e29e4: 6c1004 entry a1, 32 + 8e29e7: 1900ae l32r a9, 4e82b8 <_lit4_start+0x2b8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:60 + 8e29ea: 8321 l32i.n a3, a2, 4 + 8e29ec: 1800af l32r a8, 4e82bc <_lit4_start+0x2bc> + 8e29ef: 140018 l32r a4, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:61 + 8e29f2: a939 add.n a9, a3, a9 + 8e29f4: 798b0e bgeu a8, a9, 8e2a06 <_read_rom_patch+0x22> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:64 + 8e29f7: 284212 l32i a8, a4, 72 + 8e29fa: db30 mov.n a11, a3 + 8e29fc: 1a00b0 l32r a10, 4e82c0 <_lit4_start+0x2c0> + 8e29ff: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:65 + 8e2a02: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:81 + 8e2a04: d10f retw.n + +008e2a06 <_read_rom_patch+0x22>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:68 + 8e2a06: da20 mov.n a10, a2 + 8e2a08: 5bfff0 call8 8e29cc <_patch_dump> + 8e2a0b: 1a00b1 l32r a10, 4e82c4 <_lit4_start+0x2c4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:70 + 8e2a0e: 2b2101 l16ui a11, a2, 2 + 8e2a11: 284212 l32i a8, a4, 72 + 8e2a14: bc2c addi.n a12, a2, 12 + 8e2a16: dd30 mov.n a13, a3 + 8e2a18: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:71 + 8e2a1b: 292101 l16ui a9, a2, 2 + 8e2a1e: c0a0 movi.n a10, 0 + 8e2a20: ca95 beqz.n a9, 8e2a49 <_read_rom_patch+0x65> + +008e2a22 <_read_rom_patch+0x3e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:73 + 8e2a22: aa3c add.n a12, a3, a10 + 8e2a24: aa2b add.n a11, a2, a10 + 8e2a26: 2fb00c l8ui a15, a11, 12 + 8e2a29: 2fc403 s8i a15, a12, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:74 + 8e2a2c: 2eb00d l8ui a14, a11, 13 + 8e2a2f: 2ec402 s8i a14, a12, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:75 + 8e2a32: 2db00e l8ui a13, a11, 14 + 8e2a35: 2dc401 s8i a13, a12, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:76 + 8e2a38: 2bb00f l8ui a11, a11, 15 + 8e2a3b: 2bc400 s8i a11, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:71 + 8e2a3e: 2b2101 l16ui a11, a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:77 + 8e2a41: b4aa addi.n a10, a10, 4 + 8e2a43: 0a0a4f extui a10, a10, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:71 + 8e2a46: 7ba3d8 bltu a10, a11, 8e2a22 <_read_rom_patch+0x3e> + +008e2a49 <_read_rom_patch+0x65>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:79 + 8e2a49: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:81 + 8e2a4b: d10f retw.n + +008e2a4d <_read_rom_patch+0x69>: + 8e2a4d: 000000 ... + +008e2a50 : +cmnos_romp_decode(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:93 + 8e2a50: 6c1004 entry a1, 32 + 8e2a53: 1a00b2 l32r a10, 4e82c8 <_lit4_start+0x2c8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:108 + 8e2a56: 2aa101 l16ui a10, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:104 + 8e2a59: 022b02 or a11, a2, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:108 + 8e2a5c: 290a00 movi a9, 0 + 8e2a5f: 0a1a4f extui a10, a10, 1, 16 + 8e2a62: 6aa10c blti a10, 1, 8e2a72 + 8e2a65: 6da906 loopnez a10, 8e2a6f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:109 + 8e2a68: 8cb0 l32i.n a12, a11, 0 + 8e2a6a: b4bb addi.n a11, a11, 4 + 8e2a6c: 09c903 xor a9, a12, a9 + +008e2a6f : + 8e2a6f: 600001 j 8e2a74 + +008e2a72 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:108 + 8e2a72: c090 movi.n a9, 0 + 8e2a74: cd90 bnez.n a9, 8e2a88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:122 + 8e2a76: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:120 + 8e2a78: 8322 l32i.n a3, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:122 + 8e2a7a: 5bffda call8 8e29e4 <_read_rom_patch> + 8e2a7d: c8a7 beqz.n a10, 8e2a88 + 8e2a7f: 1d00b3 l32r a13, 4e82cc <_lit4_start+0x2cc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:127 + 8e2a82: c021 movi.n a2, 1 + 8e2a84: 93d0 s32i.n a3, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:144 + 8e2a86: d10f retw.n + +008e2a88 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:114 + 8e2a88: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:144 + 8e2a8a: d10f retw.n + +008e2a8c : +cmnos_romp_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:156 + 8e2a8c: 6c1004 entry a1, 32 + 8e2a8f: 1800b3 l32r a8, 4e82cc <_lit4_start+0x2cc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:167 + 8e2a92: 8880 l32i.n a8, a8, 0 + 8e2a94: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:169 + 8e2a97: d10f retw.n + +008e2a99 : + 8e2a99: 000000 ... + +008e2a9c : +cmnos_romp_download(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:178 + 8e2a9c: 6c1006 entry a1, 48 + 8e2a9f: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e2aa2: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:202 + 8e2aa4: 283260 l32i a8, a3, 0x180 + 8e2aa7: c0b2 movi.n a11, 2 + 8e2aa9: dc10 mov.n a12, a1 + 8e2aab: 0b8000 callx8 a8 + 8e2aae: cfad bnez.n a10, 8e2aef + 8e2ab0: 1a00b4 l32r a10, 4e82d0 <_lit4_start+0x2d0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:205 + 8e2ab3: 283212 l32i a8, a3, 72 + 8e2ab6: 2b1100 l16ui a11, a1, 0 + 8e2ab9: 2c1101 l16ui a12, a1, 2 + 8e2abc: 0b8000 callx8 a8 + 8e2abf: 1900b2 l32r a9, 4e82c8 <_lit4_start+0x2c8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:207 + 8e2ac2: 2a1100 l16ui a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:208 + 8e2ac5: 2b1101 l16ui a11, a1, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:207 + 8e2ac8: 2a9500 s16i a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:208 + 8e2acb: 2b9501 s16i a11, a9, 2 + 8e2ace: c9bd beqz.n a11, 8e2aef + 8e2ad0: 1c0070 l32r a12, 4e81c0 <_lit4_start+0x1c0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:212 + 8e2ad3: aabd add.n a13, a11, a10 + 8e2ad5: 7ac316 bltu a12, a10, 8e2aef + 8e2ad8: 7dc213 blt a12, a13, 8e2aef +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:217 + 8e2adb: 283260 l32i a8, a3, 0x180 + 8e2ade: 1c00b5 l32r a12, 4e82d4 <_lit4_start+0x2d4> + 8e2ae1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:219 + 8e2ae4: 283257 l32i a8, a3, 0x15c + 8e2ae7: 1a00b5 l32r a10, 4e82d4 <_lit4_start+0x2d4> + 8e2aea: 0b8000 callx8 a8 + 8e2aed: cca2 bnez.n a10, 8e2af3 + +008e2aef : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:203 + 8e2aef: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:229 + 8e2af1: d10f retw.n + +008e2af3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:221 + 8e2af3: 283256 l32i a8, a3, 0x158 + 8e2af6: 0b8000 callx8 a8 + 8e2af9: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:229 + 8e2afb: d10f retw.n + +008e2afd : + 8e2afd: 000000 ... + +008e2b00 : +cmnos_romp_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:237 + 8e2b00: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:240 + 8e2b03: d10f retw.n + +008e2b05 : + 8e2b05: 000000 ... + +008e2b08 : +cmnos_romp_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:244 + 8e2b08: 6c1004 entry a1, 32 + 8e2b0b: 1800b8 l32r a8, 4e82e0 <_lit4_start+0x2e0> + 8e2b0e: 1900b7 l32r a9, 4e82dc <_lit4_start+0x2dc> + 8e2b11: 1a00b6 l32r a10, 4e82d8 <_lit4_start+0x2d8> + 8e2b14: 1300b9 l32r a3, 4e82e4 <_lit4_start+0x2e4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:248 + 8e2b17: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:247 + 8e2b19: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:246 + 8e2b1b: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:245 + 8e2b1d: 9a20 s32i.n a10, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:250 + 8e2b1f: d10f retw.n + +008e2b21 : + 8e2b21: 000000 ... + +008e2b24 : +cmnos_string_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:13 + 8e2b24: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:14 + 8e2b27: d10f retw.n + +008e2b29 : + 8e2b29: 000000 ... + +008e2b2c : +cmnos_string_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:18 + 8e2b2c: 6c1004 entry a1, 32 + 8e2b2f: 1800be l32r a8, 4e82f8 <_lit4_start+0x2f8> + 8e2b32: 1900bd l32r a9, 4e82f4 <_lit4_start+0x2f4> + 8e2b35: 1a00bc l32r a10, 4e82f0 <_lit4_start+0x2f0> + 8e2b38: 1b00bb l32r a11, 4e82ec <_lit4_start+0x2ec> + 8e2b3b: 1c00ba l32r a12, 4e82e8 <_lit4_start+0x2e8> + 8e2b3e: 1300bf l32r a3, 4e82fc <_lit4_start+0x2fc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:24 + 8e2b41: 9325 s32i.n a3, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:23 + 8e2b43: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:22 + 8e2b45: 9923 s32i.n a9, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:21 + 8e2b47: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:20 + 8e2b49: 9b21 s32i.n a11, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:19 + 8e2b4b: 9c20 s32i.n a12, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:25 + 8e2b4d: d10f retw.n + +008e2b4f : + ... + +008e2b50 : +cmnos_tasklet_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:17 + 8e2b50: 6c1004 entry a1, 32 + 8e2b53: 1300c0 l32r a3, 4e8300 <_lit4_start+0x300> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:19 + 8e2b56: c020 movi.n a2, 0 + 8e2b58: 9230 s32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:20 + 8e2b5a: d10f retw.n + +008e2b5c : +cmnos_tasklet_init_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:24 + 8e2b5c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:25 + 8e2b5f: 9240 s32i.n a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:24 + 8e2b61: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:26 + 8e2b63: 9341 s32i.n a3, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:27 + 8e2b65: 9543 s32i.n a5, a4, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:28 + 8e2b67: 9542 s32i.n a5, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:29 + 8e2b69: d10f retw.n + +008e2b6b : + ... + +008e2b6c : +cmnos_tasklet_schedule(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:33 + 8e2b6c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:34 + 8e2b6f: 8322 l32i.n a3, a2, 8 + 8e2b71: 1500c0 l32r a5, 4e8300 <_lit4_start+0x300> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:38 + 8e2b74: c081 movi.n a8, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:34 + 8e2b76: 683109 beqi a3, 1, 8e2b83 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:39 + 8e2b79: 8450 l32i.n a4, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:38 + 8e2b7b: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:42 + 8e2b7d: 9250 s32i.n a2, a5, 0 + 8e2b7f: c840 beqz.n a4, 8e2b83 + 8e2b81: 9423 s32i.n a4, a2, 12 + +008e2b83 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:45 + 8e2b83: d10f retw.n + +008e2b85 : + 8e2b85: 000000 ... + +008e2b88 : +cmnos_tasklet_disable(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:49 + 8e2b88: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:53 + 8e2b8b: 8322 l32i.n a3, a2, 8 + 8e2b8d: 1700c0 l32r a7, 4e8300 <_lit4_start+0x300> + 8e2b90: 693107 bnei a3, 1, 8e2b9b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:57 + 8e2b93: 8570 l32i.n a5, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:58 + 8e2b95: c060 movi.n a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:60 + 8e2b97: c040 movi.n a4, 0 + 8e2b99: cc50 bnez.n a5, 8e2b9d + +008e2b9b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:73 + 8e2b9b: d10f retw.n + +008e2b9d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:59 + 8e2b9d: 752906 bne a2, a5, 8e2ba7 + 8e2ba0: cc6d bnez.n a6, 8e2bb1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:61 + 8e2ba2: 9470 s32i.n a4, a7, 0 + 8e2ba4: 60000d j 8e2bb5 + +008e2ba7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:69 + 8e2ba7: d650 mov.n a6, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:70 + 8e2ba9: 8553 l32i.n a5, a5, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:58 + 8e2bab: 655fee bnez a5, 8e2b9d + 8e2bae: 63ffe9 j 8e2b9b + +008e2bb1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:63 + 8e2bb1: 8853 l32i.n a8, a5, 12 + 8e2bb3: 9863 s32i.n a8, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:66 + 8e2bb5: 9422 s32i.n a4, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:73 + 8e2bb7: d10f retw.n + +008e2bb9 : + 8e2bb9: 000000 ... + +008e2bbc : +cmnos_tasklet_run(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:77 + 8e2bbc: 6c1004 entry a1, 32 + 8e2bbf: 1300c0 l32r a3, 4e8300 <_lit4_start+0x300> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:80 + 8e2bc2: 8230 l32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:77 + 8e2bc4: c040 movi.n a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:81 + 8e2bc6: c052 movi.n a5, 2 + 8e2bc8: c924 beqz.n a2, 8e2be0 + +008e2bca : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:85 + 8e2bca: 9522 s32i.n a5, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:86 + 8e2bcc: 8a21 l32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:82 + 8e2bce: 8923 l32i.n a9, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:86 + 8e2bd0: 8820 l32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:82 + 8e2bd2: 9930 s32i.n a9, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:83 + 8e2bd4: 9423 s32i.n a4, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:86 + 8e2bd6: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:87 + 8e2bd9: 9422 s32i.n a4, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:89 + 8e2bdb: 8230 l32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:81 + 8e2bdd: 652fe9 bnez a2, 8e2bca + +008e2be0 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:92 + 8e2be0: 9430 s32i.n a4, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:93 + 8e2be2: d10f retw.n + +008e2be4 : +cmnos_tasklet_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:97 + 8e2be4: 6c1004 entry a1, 32 + 8e2be7: 1800c4 l32r a8, 4e8310 <_lit4_start+0x310> + 8e2bea: 1900c3 l32r a9, 4e830c <_lit4_start+0x30c> + 8e2bed: 1a00c2 l32r a10, 4e8308 <_lit4_start+0x308> + 8e2bf0: 1b00c1 l32r a11, 4e8304 <_lit4_start+0x304> + 8e2bf3: 1300c5 l32r a3, 4e8314 <_lit4_start+0x314> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:102 + 8e2bf6: 9324 s32i.n a3, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:101 + 8e2bf8: 9823 s32i.n a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:100 + 8e2bfa: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:99 + 8e2bfc: 9a21 s32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:98 + 8e2bfe: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:103 + 8e2c00: d10f retw.n + +008e2c02 : + ... + +008e2c04 : +cmnos_timer_setfn(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:33 + 8e2c04: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:38 + 8e2c07: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:33 + 8e2c09: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:39 + 8e2c0b: 9424 s32i.n a4, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:36 + 8e2c0d: 9520 s32i.n a5, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:37 + 8e2c0f: 9521 s32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:40 + 8e2c11: d10f retw.n + +008e2c13 : + ... + +008e2c14 : +cmnos_timer_arm(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:46 + 8e2c14: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:56 + 8e2c17: 580f50 call8 8e6958 + 8e2c1a: 1c00c6 l32r a12, 4e8318 <_lit4_start+0x318> + 8e2c1d: 1800c7 l32r a8, 4e831c <_lit4_start+0x31c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:59 + 8e2c20: 89c0 l32i.n a9, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:61 + 8e2c22: c0b0 movi.n a11, 0 + 8e2c24: 083828 mull a8, a3, a8 + 8e2c27: c992 beqz.n a9, 8e2c3d + 8e2c29: a8aa add.n a10, a10, a8 + +008e2c2b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:63 + 8e2c2b: 8d91 l32i.n a13, a9, 4 + 8e2c2d: 0dad0c sub a13, a10, a13 + 8e2c30: 6ad113 blti a13, 1, 8e2c47 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:65 + 8e2c33: db90 mov.n a11, a9 + 8e2c35: 8990 l32i.n a9, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:61 + 8e2c37: 659ff0 bnez a9, 8e2c2b + 8e2c3a: 600009 j 8e2c47 + +008e2c3d : + 8e2c3d: 1e00c7 l32r a14, 4e831c <_lit4_start+0x31c> + 8e2c40: 0e3e28 mull a14, a3, a14 + 8e2c43: c0b0 movi.n a11, 0 + 8e2c45: aeaa add.n a10, a10, a14 + +008e2c47 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:68 + 8e2c47: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:69 + 8e2c49: 9a21 s32i.n a10, a2, 4 + 8e2c4b: c8b2 beqz.n a11, 8e2c51 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:71 + 8e2c4d: 92b0 s32i.n a2, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:77 + 8e2c4f: d10f retw.n + +008e2c51 : + 8e2c51: dbc0 mov.n a11, a12 + 8e2c53: 63fff6 j 8e2c4d + +008e2c56 : + ... + +008e2c58 : +cmnos_timer_disarm(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:83 + 8e2c58: 6c1004 entry a1, 32 + 8e2c5b: 1700c6 l32r a7, 4e8318 <_lit4_start+0x318> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:88 + 8e2c5e: 8570 l32i.n a5, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:102 + 8e2c60: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:90 + 8e2c62: c060 movi.n a6, 0 + 8e2c64: c85b beqz.n a5, 8e2c73 + +008e2c66 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:92 + 8e2c66: 75210b beq a2, a5, 8e2c75 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:95 + 8e2c69: d650 mov.n a6, a5 + 8e2c6b: 8550 l32i.n a5, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:90 + 8e2c6d: 655ff5 bnez a5, 8e2c66 + 8e2c70: 600009 j 8e2c7d + +008e2c73 : + 8e2c73: c060 movi.n a6, 0 + +008e2c75 : + 8e2c75: c854 beqz.n a5, 8e2c7d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:99 + 8e2c77: 8450 l32i.n a4, a5, 0 + 8e2c79: c866 beqz.n a6, 8e2c83 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:100 + 8e2c7b: 9460 s32i.n a4, a6, 0 + +008e2c7d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:107 + 8e2c7d: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:108 + 8e2c7f: 9322 s32i.n a3, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:109 + 8e2c81: d10f retw.n + +008e2c83 : + 8e2c83: d670 mov.n a6, a7 + 8e2c85: 63fff2 j 8e2c7b + +008e2c88 : +cmnos_timer_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:114 + 8e2c88: 6c1004 entry a1, 32 + 8e2c8b: 1300c6 l32r a3, 4e8318 <_lit4_start+0x318> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:115 + 8e2c8e: c020 movi.n a2, 0 + 8e2c90: 9230 s32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:116 + 8e2c92: d10f retw.n + +008e2c94 : +cmnos_timer_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:121 + 8e2c94: 6c1004 entry a1, 32 + 8e2c97: 1200c6 l32r a2, 4e8318 <_lit4_start+0x318> + 8e2c9a: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:125 + 8e2c9c: 8820 l32i.n a8, a2, 0 + 8e2c9e: c98d beqz.n a8, 8e2cbf + 8e2ca0: 580f2d call8 8e6958 + 8e2ca3: 8c20 l32i.n a12, a2, 0 + 8e2ca5: 89c1 l32i.n a9, a12, 4 + 8e2ca7: 0a990c sub a9, a9, a10 + 8e2caa: 6b9111 bgei a9, 1, 8e2cbf +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:130 + 8e2cad: dac0 mov.n a10, a12 + 8e2caf: 88c3 l32i.n a8, a12, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:128 + 8e2cb1: 89c0 l32i.n a9, a12, 0 + 8e2cb3: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:129 + 8e2cb5: 93c0 s32i.n a3, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:130 + 8e2cb7: 8bc4 l32i.n a11, a12, 16 + 8e2cb9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:125 + 8e2cbc: 63ffdc j 8e2c9c + +008e2cbf : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:132 + 8e2cbf: d10f retw.n + +008e2cc1 : + 8e2cc1: 000000 ... + +008e2cc4 : +cmnos_timer_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:137 + 8e2cc4: 6c1004 entry a1, 32 + 8e2cc7: 1800cb l32r a8, 4e832c <_lit4_start+0x32c> + 8e2cca: 1900ca l32r a9, 4e8328 <_lit4_start+0x328> + 8e2ccd: 1a00c9 l32r a10, 4e8324 <_lit4_start+0x324> + 8e2cd0: 1b00c8 l32r a11, 4e8320 <_lit4_start+0x320> + 8e2cd3: 1300cc l32r a3, 4e8330 <_lit4_start+0x330> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:142 + 8e2cd6: 9324 s32i.n a3, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:141 + 8e2cd8: 9823 s32i.n a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:140 + 8e2cda: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:139 + 8e2cdc: 9a21 s32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:138 + 8e2cde: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:143 + 8e2ce0: d10f retw.n + +008e2ce2 : + ... + +008e2ce4 : +cmnos_wdt_last_boot(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:23 + 8e2ce4: 6c1004 entry a1, 32 + 8e2ce7: 120015 l32r a2, 4e8054 <_lit4_start+0x54> + 8e2cea: 1400cd l32r a4, 4e8334 <_lit4_start+0x334> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:32 + 8e2ced: 0c0200 memw + 8e2cf0: 23227f l32i a3, a2, 0x1fc + 8e2cf3: 1900ce l32r a9, 4e8338 <_lit4_start+0x338> + 8e2cf6: 743111 beq a3, a4, 8e2d0b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:34 + 8e2cf9: 0c0200 memw + 8e2cfc: 28227f l32i a8, a2, 0x1fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:37 + 8e2cff: c052 movi.n a5, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:35 + 8e2d01: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:34 + 8e2d03: 09880c sub a8, a8, a9 + 8e2d06: 085239 movnez a2, a5, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:37 + 8e2d09: d10f retw.n + +008e2d0b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:33 + 8e2d0b: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:37 + 8e2d0d: d10f retw.n + +008e2d0f : + ... + +008e2d10 : +cmnos_wdt_reset(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:55 + 8e2d10: 6c1004 entry a1, 32 + 8e2d13: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:56 + 8e2d16: c083 movi.n a8, 3 + 8e2d18: 0c0200 memw + 8e2d1b: 283682 s32i a8, a3, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:57 + 8e2d1e: 221a00 movi a2, 0x100 + 8e2d21: 0c0200 memw + 8e2d24: 223683 s32i a2, a3, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:58 + 8e2d27: 63fffc j 8e2d27 + +008e2d2a : + ... + +008e2d2c : +cmnos_wdt_set(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:67 + 8e2d2c: 6c1004 entry a1, 32 + 8e2d2f: 150037 l32r a5, 4e80dc <_lit4_start+0xdc> + 8e2d32: 1600cf l32r a6, 4e833c <_lit4_start+0x33c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:84 + 8e2d35: 68210f beqi a2, 1, 8e2d48 + 8e2d38: 692216 bnei a2, 2, 8e2d52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:79 + 8e2d3b: 6f3413 bgeui a3, 4, 8e2d52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:81 + 8e2d3e: 9361 s32i.n a3, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:82 + 8e2d40: 0c0200 memw + 8e2d43: 235682 s32i a3, a5, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:85 + 8e2d46: d10f retw.n + +008e2d48 : + 8e2d48: c836 beqz.n a3, 8e2d52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:73 + 8e2d4a: 9362 s32i.n a3, a6, 8 + +008e2d4c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:74 + 8e2d4c: 0c0200 memw + 8e2d4f: 235683 s32i a3, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:85 + 8e2d52: d10f retw.n + +008e2d54 : +cmnos_wdt_enable(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:93 + 8e2d54: 6c1006 entry a1, 48 + 8e2d57: 1900cf l32r a9, 4e833c <_lit4_start+0x33c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:95 + 8e2d5a: c081 movi.n a8, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:99 + 8e2d5c: db10 mov.n a11, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:97 + 8e2d5e: c0c2 movi.n a12, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:98 + 8e2d60: c0d3 movi.n a13, 3 + 8e2d62: 9d11 s32i.n a13, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:97 + 8e2d64: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:99 + 8e2d66: 8ab0 l32i.n a10, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:95 + 8e2d68: 289500 s16i a8, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:99 + 8e2d6b: 8bb1 l32i.n a11, a11, 4 + 8e2d6d: 5bffef call8 8e2d2c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:101 + 8e2d70: d10f retw.n + +008e2d72 : + ... + +008e2d74 : +cmnos_wdt_disable(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:108 + 8e2d74: 6c1006 entry a1, 48 + 8e2d77: 1e00cf l32r a14, 4e833c <_lit4_start+0x33c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:115 + 8e2d7a: db10 mov.n a11, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:113 + 8e2d7c: c0c2 movi.n a12, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:108 + 8e2d7e: c0d0 movi.n a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:114 + 8e2d80: 9d11 s32i.n a13, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:113 + 8e2d82: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:115 + 8e2d84: 8ab0 l32i.n a10, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:111 + 8e2d86: 2de500 s16i a13, a14, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:115 + 8e2d89: 8bb1 l32i.n a11, a11, 4 + 8e2d8b: 5bffe8 call8 8e2d2c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:118 + 8e2d8e: d10f retw.n + +008e2d90 : +cmnos_wdt_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:126 + 8e2d90: 6c1006 entry a1, 48 + 8e2d93: 1200cf l32r a2, 4e833c <_lit4_start+0x33c> + 8e2d96: 1800d0 l32r a8, 4e8340 <_lit4_start+0x340> + 8e2d99: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:130 + 8e2d9b: 292500 s16i a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:131 + 8e2d9e: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:132 + 8e2da0: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:135 + 8e2da2: 5bffec call8 8e2d54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:139 + 8e2da5: db10 mov.n a11, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:137 + 8e2da7: c0c1 movi.n a12, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:138 + 8e2da9: 8d22 l32i.n a13, a2, 8 + 8e2dab: 9d11 s32i.n a13, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:137 + 8e2dad: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:139 + 8e2daf: 8ab0 l32i.n a10, a11, 0 + 8e2db1: 8bb1 l32i.n a11, a11, 4 + 8e2db3: 5bffde call8 8e2d2c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:140 + 8e2db6: d10f retw.n + +008e2db8 : +cmnos_wdt_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:148 + 8e2db8: 6c1004 entry a1, 32 + 8e2dbb: 1400cf l32r a4, 4e833c <_lit4_start+0x33c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:150 + 8e2dbe: 224100 l16ui a2, a4, 0 + 8e2dc1: 180037 l32r a8, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:152 + 8e2dc4: 8342 l32i.n a3, a4, 8 + 8e2dc6: c824 beqz.n a2, 8e2dce + +008e2dc8 : + 8e2dc8: 0c0200 memw + 8e2dcb: 238683 s32i a3, a8, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:155 + 8e2dce: d10f retw.n + +008e2dd0 : +cmnos_wdt_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:159 + 8e2dd0: 6c1004 entry a1, 32 + 8e2dd3: 1800d6 l32r a8, 4e8358 <_lit4_start+0x358> + 8e2dd6: 1900d5 l32r a9, 4e8354 <_lit4_start+0x354> + 8e2dd9: 1a00d4 l32r a10, 4e8350 <_lit4_start+0x350> + 8e2ddc: 1b00d3 l32r a11, 4e834c <_lit4_start+0x34c> + 8e2ddf: 1c00d2 l32r a12, 4e8348 <_lit4_start+0x348> + 8e2de2: 1d00d1 l32r a13, 4e8344 <_lit4_start+0x344> + 8e2de5: 1300d7 l32r a3, 4e835c <_lit4_start+0x35c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:166 + 8e2de8: 9326 s32i.n a3, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:165 + 8e2dea: 9825 s32i.n a8, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:164 + 8e2dec: 9924 s32i.n a9, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:163 + 8e2dee: 9a23 s32i.n a10, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:162 + 8e2df0: 9b22 s32i.n a11, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:161 + 8e2df2: 9c21 s32i.n a12, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:160 + 8e2df4: 9d20 s32i.n a13, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:167 + 8e2df6: d10f retw.n + +008e2df8 <_uart_init>: +_uart_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:25 + 8e2df8: 6c1004 entry a1, 32 + 8e2dfb: 1200d8 l32r a2, 4e8360 <_lit4_start+0x360> + 8e2dfe: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:30 + 8e2e00: 0c0200 memw + 8e2e03: 232681 s32i a3, a2, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:34 + 8e2e06: c093 movi.n a9, 3 + 8e2e08: 0c0200 memw + 8e2e0b: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:37 + 8e2e0e: 28823d l32i a8, a8, 244 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:34 + 8e2e11: 292683 s32i a9, a2, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:37 + 8e2e14: 0b8000 callx8 a8 + 8e2e17: 1b00d9 l32r a11, 4e8364 <_lit4_start+0x364> + 8e2e1a: 580040 call8 8e2f1c <_uart_hwinit> + 8e2e1d: 1900da l32r a9, 4e8368 <_lit4_start+0x368> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:41 + 8e2e20: 0c0200 memw + 8e2e23: 232681 s32i a3, a2, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:48 + 8e2e26: c0a7 movi.n a10, 7 + 8e2e28: 0c0200 memw + 8e2e2b: 2a2682 s32i a10, a2, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:54 + 8e2e2e: 239504 s16i a3, a9, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:55 + 8e2e31: 239505 s16i a3, a9, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:56 + 8e2e34: d10f retw.n + +008e2e36 <_uart_init+0x3e>: + ... + +008e2e38 <_uart_char_put_nothing>: +_uart_char_put_nothing(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:64 + 8e2e38: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:66 + 8e2e3b: d10f retw.n + +008e2e3d <_uart_char_put_nothing+0x5>: + 8e2e3d: 000000 ... + +008e2e40 <_uart_char_get_nothing>: +_uart_char_get_nothing(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:74 + 8e2e40: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:75 + 8e2e43: c020 movi.n a2, 0 + 8e2e45: d10f retw.n + +008e2e47 <_uart_char_get_nothing+0x7>: + ... + +008e2e48 <_uart_char_put>: +_uart_char_put(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:84 + 8e2e48: 6c1004 entry a1, 32 + 8e2e4b: 1300db l32r a3, 4e836c <_lit4_start+0x36c> + 8e2e4e: 1400d8 l32r a4, 4e8360 <_lit4_start+0x360> + 8e2e51: 6d3a08 loopgtz a3, 8e2e5d <_uart_char_put+0x15> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:112 + 8e2e54: 0c0200 memw + 8e2e57: 284285 l32i a8, a4, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:113 + 8e2e5a: 7a8fff bbsi a8, 26, 8e2e5d <_uart_char_put+0x15> + +008e2e5d <_uart_char_put+0x15>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:119 + 8e2e5d: 0c0200 memw + 8e2e60: 1900db l32r a9, 4e836c <_lit4_start+0x36c> + 8e2e63: 224680 s32i a2, a4, 0x200 + 8e2e66: 6d9a08 loopgtz a9, 8e2e72 <_uart_char_put+0x2a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:128 + 8e2e69: 0c0200 memw + 8e2e6c: 2a4285 l32i a10, a4, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:129 + 8e2e6f: 79afff bbsi a10, 25, 8e2e72 <_uart_char_put+0x2a> + +008e2e72 <_uart_char_put+0x2a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:135 + 8e2e72: d10f retw.n + +008e2e74 <_uart_char_put_nowait>: +_uart_char_put_nowait(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:142 + 8e2e74: 6c1004 entry a1, 32 + 8e2e77: 1300db l32r a3, 4e836c <_lit4_start+0x36c> + 8e2e7a: 1400d8 l32r a4, 4e8360 <_lit4_start+0x360> + 8e2e7d: 6d3a08 loopgtz a3, 8e2e89 <_uart_char_put_nowait+0x15> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:149 + 8e2e80: 0c0200 memw + 8e2e83: 284285 l32i a8, a4, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:150 + 8e2e86: 7a8fff bbsi a8, 26, 8e2e89 <_uart_char_put_nowait+0x15> + +008e2e89 <_uart_char_put_nowait+0x15>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:156 + 8e2e89: 0c0200 memw + 8e2e8c: 1900db l32r a9, 4e836c <_lit4_start+0x36c> + 8e2e8f: 224680 s32i a2, a4, 0x200 + 8e2e92: 6d9a08 loopgtz a9, 8e2e9e <_uart_char_put_nowait+0x2a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:165 + 8e2e95: 0c0200 memw + 8e2e98: 2a4285 l32i a10, a4, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:166 + 8e2e9b: 79afff bbsi a10, 25, 8e2e9e <_uart_char_put_nowait+0x2a> + +008e2e9e <_uart_char_put_nowait+0x2a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:171 + 8e2e9e: d10f retw.n + +008e2ea0 <_uart_char_get>: +_uart_char_get(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:179 + 8e2ea0: 6c1004 entry a1, 32 + 8e2ea3: 1400d8 l32r a4, 4e8360 <_lit4_start+0x360> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:182 + 8e2ea6: 0c0200 memw + 8e2ea9: 234285 l32i a3, a4, 0x214 + 8e2eac: 7f3f03 bbsi a3, 31, 8e2eb3 <_uart_char_get+0x13> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:190 + 8e2eaf: c020 movi.n a2, 0 + 8e2eb1: d10f retw.n + +008e2eb3 <_uart_char_get+0x13>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:184 + 8e2eb3: 0c0200 memw + 8e2eb6: 284280 l32i a8, a4, 0x200 + 8e2eb9: 282400 s8i a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:185 + 8e2ebc: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:190 + 8e2ebe: d10f retw.n + +008e2ec0 <_uart_task>: +_uart_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:199 + 8e2ec0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:222 + 8e2ec3: d10f retw.n + +008e2ec5 <_uart_task+0x5>: + 8e2ec5: 000000 ... + +008e2ec8 <_uart_status>: +_uart_status(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:229 + 8e2ec8: 6c1004 entry a1, 32 + 8e2ecb: 1200da l32r a2, 4e8368 <_lit4_start+0x368> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:230 + 8e2ece: 8223 l32i.n a2, a2, 12 + 8e2ed0: d10f retw.n + +008e2ed2 <_uart_status+0xa>: + ... + +008e2ed4 <_uart_str_out>: +_uart_str_out(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:238 + 8e2ed4: 6c1004 entry a1, 32 + 8e2ed7: 1800da l32r a8, 4e8368 <_lit4_start+0x368> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:241 + 8e2eda: 288100 l16ui a8, a8, 0 + 8e2edd: c88e beqz.n a8, 8e2eef <_uart_str_out+0x1b> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:244 + 8e2edf: 2a2000 l8ui a10, a2, 0 + 8e2ee2: c8a9 beqz.n a10, 8e2eef <_uart_str_out+0x1b> + +008e2ee4 <_uart_str_out+0x10>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:245 + 8e2ee4: 5bffd8 call8 8e2e48 <_uart_char_put> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:244 + 8e2ee7: 2a2001 l8ui a10, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:246 + 8e2eea: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:244 + 8e2eec: 65aff4 bnez a10, 8e2ee4 <_uart_str_out+0x10> + +008e2eef <_uart_str_out+0x1b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:248 + 8e2eef: d10f retw.n + +008e2ef1 <_uart_str_out+0x1d>: + 8e2ef1: 000000 ... + +008e2ef4 <_uart_config>: +_uart_config(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:256 + 8e2ef4: 6c1004 entry a1, 32 + 8e2ef7: 1700da l32r a7, 4e8368 <_lit4_start+0x368> + 8e2efa: 1400dc l32r a4, 4e8370 <_lit4_start+0x370> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:259 + 8e2efd: c081 movi.n a8, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:257 + 8e2eff: 257100 l16ui a5, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:259 + 8e2f02: c060 movi.n a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:262 + 8e2f04: 8371 l32i.n a3, a7, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:257 + 8e2f06: 75210f beq a2, a5, 8e2f19 <_uart_config+0x25> + 8e2f09: 1200dd l32r a2, 4e8374 <_lit4_start+0x374> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:259 + 8e2f0c: 058638 moveqz a6, a8, a5 + 8e2f0f: 267500 s16i a6, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:262 + 8e2f12: 064238 moveqz a2, a4, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:263 + 8e2f15: 9231 s32i.n a2, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:268 + 8e2f17: d10f retw.n + +008e2f19 <_uart_config+0x25>: + 8e2f19: d10f retw.n + +008e2f1b <_uart_config+0x27>: + ... + +008e2f1c <_uart_hwinit>: +_uart_hwinit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:275 + 8e2f1c: 6c1004 entry a1, 32 + 8e2f1f: 1400d8 l32r a4, 4e8360 <_lit4_start+0x360> + 8e2f22: db30 mov.n a11, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:279 + 8e2f24: 0c0200 memw + 8e2f27: 234283 l32i a3, a4, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:281 + 8e2f2a: 280a80 movi a8, 128 + 8e2f2d: 083802 or a8, a3, a8 + 8e2f30: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:283 + 8e2f33: 024a14 srli a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:281 + 8e2f36: 284683 s32i a8, a4, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:283 + 8e2f39: 580ec4 call8 8e6a4c <__udivsi3> + 8e2f3c: 0a8b14 srli a11, a10, 8 + 8e2f3f: 0c0200 memw + 8e2f42: 2b4681 s32i a11, a4, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:284 + 8e2f45: 0a0a47 extui a10, a10, 0, 8 + 8e2f48: 0c0200 memw + 8e2f4b: 2a4680 s32i a10, a4, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:287 + 8e2f4e: 29fa7f movi a9, -129 + 8e2f51: 093901 and a9, a3, a9 + 8e2f54: 0c0200 memw + 8e2f57: 294683 s32i a9, a4, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:288 + 8e2f5a: d10f retw.n + +008e2f5c : +cmnos_uart_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:296 + 8e2f5c: 6c1004 entry a1, 32 + 8e2f5f: 1f00da l32r a15, 4e8368 <_lit4_start+0x368> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:308 + 8e2f62: c0e1 movi.n a14, 1 + 8e2f64: 1400e3 l32r a4, 4e838c <_lit4_start+0x38c> + 8e2f67: 1800e2 l32r a8, 4e8388 <_lit4_start+0x388> + 8e2f6a: 1900e1 l32r a9, 4e8384 <_lit4_start+0x384> + 8e2f6d: 1a00e0 l32r a10, 4e8380 <_lit4_start+0x380> + 8e2f70: 1b00df l32r a11, 4e837c <_lit4_start+0x37c> + 8e2f73: 1c00dd l32r a12, 4e8374 <_lit4_start+0x374> + 8e2f76: 1d00de l32r a13, 4e8378 <_lit4_start+0x378> + 8e2f79: 1300e4 l32r a3, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:305 + 8e2f7c: 9327 s32i.n a3, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:308 + 8e2f7e: 2ef500 s16i a14, a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:307 + 8e2f81: 92f1 s32i.n a2, a15, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:304 + 8e2f83: 9425 s32i.n a4, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:303 + 8e2f85: 9826 s32i.n a8, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:302 + 8e2f87: 9924 s32i.n a9, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:301 + 8e2f89: 9a23 s32i.n a10, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:300 + 8e2f8b: 9b22 s32i.n a11, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:299 + 8e2f8d: 9c21 s32i.n a12, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:298 + 8e2f8f: 9d20 s32i.n a13, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:309 + 8e2f91: d10f retw.n + +008e2f93 : + ... + +008e2f94 <__pci_reg_read>: +__pci_reg_read(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:49 + 8e2f94: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:50 + 8e2f97: 0c0200 memw + 8e2f9a: 8220 l32i.n a2, a2, 0 + 8e2f9c: d10f retw.n + +008e2f9e <__pci_reg_read+0xa>: + ... + +008e2fa0 <__pci_reg_write>: +__pci_reg_write(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:55 + 8e2fa0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:56 + 8e2fa3: 0c0200 memw + 8e2fa6: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:57 + 8e2fa8: d10f retw.n + +008e2faa <__pci_reg_write+0xa>: + ... + +008e2fac <__pci_get_pipe>: +__pci_get_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:61 + 8e2fac: 6c1004 entry a1, 32 + 8e2faf: c920 beqz.n a2, 8e2fc3 <__pci_get_pipe+0x17> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:77 + 8e2fb1: 682116 beqi a2, 1, 8e2fcb <__pci_get_pipe+0x1f> + 8e2fb4: 68220f beqi a2, 2, 8e2fc7 <__pci_get_pipe+0x1b> + 8e2fb7: 682314 beqi a2, 3, 8e2fcf <__pci_get_pipe+0x23> + 8e2fba: 682405 beqi a2, 4, 8e2fc3 <__pci_get_pipe+0x17> + 8e2fbd: 68250a beqi a2, 5, 8e2fcb <__pci_get_pipe+0x1f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:76 + 8e2fc0: 63fffc j 8e2fc0 <__pci_get_pipe+0x14> + +008e2fc3 <__pci_get_pipe+0x17>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:72 + 8e2fc3: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 + 8e2fc5: d10f retw.n + +008e2fc7 <__pci_get_pipe+0x1b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:68 + 8e2fc7: c022 movi.n a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 + 8e2fc9: d10f retw.n + +008e2fcb <__pci_get_pipe+0x1f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:74 + 8e2fcb: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 + 8e2fcd: d10f retw.n + +008e2fcf <__pci_get_pipe+0x23>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:70 + 8e2fcf: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 + 8e2fd1: d10f retw.n + +008e2fd3 <__pci_get_pipe+0x27>: + ... + +008e2fd4 <__pci_get_tx_eng>: +__pci_get_tx_eng(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:82 + 8e2fd4: 6c1004 entry a1, 32 + 8e2fd7: c829 beqz.n a2, 8e2fe4 <__pci_get_tx_eng+0x10> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:92 + 8e2fd9: b024 addi.n a4, a2, -1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:88 + 8e2fdb: c035 movi.n a3, 5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:91 + 8e2fdd: c026 movi.n a2, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:92 + 8e2fdf: 043238 moveqz a2, a3, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:85 + 8e2fe2: d10f retw.n + +008e2fe4 <__pci_get_tx_eng+0x10>: + 8e2fe4: c024 movi.n a2, 4 + 8e2fe6: d10f retw.n + +008e2fe8 <__pci_get_rx_eng>: +__pci_get_rx_eng(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:96 + 8e2fe8: 6c1004 entry a1, 32 + 8e2feb: c920 beqz.n a2, 8e2fff <__pci_get_rx_eng+0x17> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:112 + 8e2fed: 682112 beqi a2, 1, 8e3003 <__pci_get_rx_eng+0x1b> + 8e2ff0: 682213 beqi a2, 2, 8e3007 <__pci_get_rx_eng+0x1f> + 8e2ff3: 242cfd addi a4, a2, -3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:108 + 8e2ff6: c033 movi.n a3, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:111 + 8e2ff8: c026 movi.n a2, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:112 + 8e2ffa: 043238 moveqz a2, a3, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:99 + 8e2ffd: d10f retw.n + +008e2fff <__pci_get_rx_eng+0x17>: + 8e2fff: c020 movi.n a2, 0 + 8e3001: d10f retw.n + +008e3003 <__pci_get_rx_eng+0x1b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:102 + 8e3003: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:99 + 8e3005: d10f retw.n + +008e3007 <__pci_get_rx_eng+0x1f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:105 + 8e3007: c022 movi.n a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:99 + 8e3009: d10f retw.n + +008e300b <__pci_get_rx_eng+0x23>: + ... + +008e300c <__pci_enable>: +__pci_enable(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:118 + 8e300c: 6c1004 entry a1, 32 + 8e300f: 1a00e5 l32r a10, 4e8394 <_lit4_start+0x394> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:124 + 8e3012: 5bffe0 call8 8e2f94 <__pci_reg_read> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:126 + 8e3015: c0b8 movi.n a11, 8 + 8e3017: 0bab02 or a11, a10, a11 + 8e301a: 1a00e5 l32r a10, 4e8394 <_lit4_start+0x394> + 8e301d: 5bffe0 call8 8e2fa0 <__pci_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:127 + 8e3020: d10f retw.n + +008e3022 <__pci_enable+0x16>: + ... + +008e3024 <__pci_reset>: +__pci_reset(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:135 + 8e3024: 6c1006 entry a1, 48 + 8e3027: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:141 + 8e302a: 282212 l32i a8, a2, 72 + 8e302d: 1a00e6 l32r a10, 4e8398 <_lit4_start+0x398> + +008e3030 <__pci_reset+0xc>: + 8e3030: 0b8000 callx8 a8 + 8e3033: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:143 + 8e3036: 5bffd7 call8 8e2f94 <__pci_reg_read> + 8e3039: 0c0200 memw + 8e303c: 9a10 s32i.n a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:145 + 8e303e: 0c0200 memw + 8e3041: 8910 l32i.n a9, a1, 0 + 8e3043: 7197ec bbci a9, 17, 8e3033 <__pci_reset+0xf> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:148 + 8e3046: 282212 l32i a8, a2, 72 + 8e3049: 1a00e8 l32r a10, 4e83a0 <_lit4_start+0x3a0> + 8e304c: 0b8000 callx8 a8 + 8e304f: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:154 + 8e3052: 5bffd0 call8 8e2f94 <__pci_reg_read> + 8e3055: 1300e9 l32r a3, 4e83a4 <_lit4_start+0x3a4> + 8e3058: 0c0200 memw + 8e305b: 9a10 s32i.n a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:155 + 8e305d: 0c0200 memw + 8e3060: 8910 l32i.n a9, a1, 0 + 8e3062: 039901 and a9, a9, a3 + 8e3065: 0c0200 memw + 8e3068: 9910 s32i.n a9, a1, 0 + 8e306a: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:156 + 8e306d: 0c0200 memw + 8e3070: 8b10 l32i.n a11, a1, 0 + 8e3072: 5bffcb call8 8e2fa0 <__pci_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:158 + 8e3075: 28223b l32i a8, a2, 236 + 8e3078: c0aa movi.n a10, 10 + 8e307a: 0b8000 callx8 a8 + 8e307d: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:164 + 8e3080: 5bffc4 call8 8e2f94 <__pci_reg_read> + 8e3083: 0c0200 memw + 8e3086: 9a10 s32i.n a10, a1, 0 + 8e3088: 1a00ea l32r a10, 4e83a8 <_lit4_start+0x3a8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:165 + 8e308b: 0c0200 memw + 8e308e: 8910 l32i.n a9, a1, 0 + 8e3090: 0a9902 or a9, a9, a10 + 8e3093: 0c0200 memw + 8e3096: 9910 s32i.n a9, a1, 0 + 8e3098: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:166 + 8e309b: 0c0200 memw + 8e309e: 8b10 l32i.n a11, a1, 0 + 8e30a0: 5bffbf call8 8e2fa0 <__pci_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:168 + 8e30a3: 28223b l32i a8, a2, 236 + 8e30a6: c0aa movi.n a10, 10 + 8e30a8: 0b8000 callx8 a8 + 8e30ab: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:174 + 8e30ae: 5bffb9 call8 8e2f94 <__pci_reg_read> + 8e30b1: 0c0200 memw + 8e30b4: 9a10 s32i.n a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:175 + 8e30b6: 0c0200 memw + 8e30b9: 8910 l32i.n a9, a1, 0 + 8e30bb: 039901 and a9, a9, a3 + 8e30be: 0c0200 memw + 8e30c1: 9910 s32i.n a9, a1, 0 + 8e30c3: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:176 + 8e30c6: 0c0200 memw + 8e30c9: 8b10 l32i.n a11, a1, 0 + 8e30cb: 5bffb5 call8 8e2fa0 <__pci_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:178 + 8e30ce: 28223b l32i a8, a2, 236 + 8e30d1: c0aa movi.n a10, 10 + 8e30d3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:179 + 8e30d6: d10f retw.n + +008e30d8 <__pci_boot_init>: +__pci_boot_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:185 + 8e30d8: 6c1004 entry a1, 32 + 8e30db: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:186 + 8e30de: 2822cc l32i a8, a2, 0x330 + 8e30e1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:187 + 8e30e4: 2822cd l32i a8, a2, 0x334 + 8e30e7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:189 + 8e30ea: 2822bd l32i a8, a2, 0x2f4 + 8e30ed: c0a4 movi.n a10, 4 + 8e30ef: c0b1 movi.n a11, 1 + 8e30f1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:190 + 8e30f4: 2822bf l32i a8, a2, 0x2fc + 8e30f7: c0a0 movi.n a10, 0 + 8e30f9: c0b1 movi.n a11, 1 + 8e30fb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:192 + 8e30fe: c0a0 movi.n a10, 0 + 8e3100: 2822c0 l32i a8, a2, 0x300 + 8e3103: c0b2 movi.n a11, 2 + 8e3105: 2c6a80 movi a12, 0x680 + 8e3108: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:195 + 8e310b: d10f retw.n + +008e310d <__pci_boot_init+0x35>: + 8e310d: 000000 ... + +008e3110 <__pci_init>: +__pci_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:205 + 8e3110: 6c1004 entry a1, 32 + 8e3113: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:206 + 8e3116: 2822cc l32i a8, a2, 0x330 + 8e3119: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:207 + 8e311c: 2822cd l32i a8, a2, 0x334 + 8e311f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:212 + 8e3122: 2822bd l32i a8, a2, 0x2f4 + 8e3125: c0a4 movi.n a10, 4 + 8e3127: c0b1 movi.n a11, 1 + 8e3129: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:213 + 8e312c: 2822bd l32i a8, a2, 0x2f4 + 8e312f: c0a5 movi.n a10, 5 + 8e3131: c0b1 movi.n a11, 1 + 8e3133: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:218 + 8e3136: 2822bf l32i a8, a2, 0x2fc + 8e3139: c0a0 movi.n a10, 0 + 8e313b: c0b1 movi.n a11, 1 + 8e313d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:219 + 8e3140: 2822bf l32i a8, a2, 0x2fc + 8e3143: c0a1 movi.n a10, 1 + 8e3145: c0b1 movi.n a11, 1 + 8e3147: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:220 + 8e314a: 2822bf l32i a8, a2, 0x2fc + 8e314d: c0a2 movi.n a10, 2 + 8e314f: c0b1 movi.n a11, 1 + 8e3151: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:221 + 8e3154: 2822bf l32i a8, a2, 0x2fc + 8e3157: c0a3 movi.n a10, 3 + 8e3159: c0b1 movi.n a11, 1 + 8e315b: 0b8000 callx8 a8 + 8e315e: 1200eb l32r a2, 4e83ac <_lit4_start+0x3ac> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:223 + 8e3161: d10f retw.n + +008e3163 <__pci_init+0x53>: + ... + +008e3164 <__pci_cfg_pipe>: +__pci_cfg_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:234 + 8e3164: 6c1004 entry a1, 32 + 8e3167: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:238 + 8e316a: 2862d2 l32i a8, a6, 0x348 + 8e316d: da30 mov.n a10, a3 + 8e316f: 0b8000 callx8 a8 + 8e3172: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:240 + 8e3174: 69a60a bnei a10, 6, 8e3182 <__pci_cfg_pipe+0x1e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:241 + 8e3177: 286212 l32i a8, a6, 72 + 8e317a: 1a00ec l32r a10, 4e83b0 <_lit4_start+0x3b0> + 8e317d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:248 + 8e3180: d10f retw.n + +008e3182 <__pci_cfg_pipe+0x1e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:245 + 8e3182: 286279 l32i a8, a6, 0x1e4 + 8e3185: db30 mov.n a11, a3 + 8e3187: da20 mov.n a10, a2 + 8e3189: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:247 + 8e318c: 040b4f extui a11, a4, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:245 + 8e318f: dca0 mov.n a12, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:247 + 8e3191: 2862c0 l32i a8, a6, 0x300 + 8e3194: da50 mov.n a10, a5 + 8e3196: 0c0c4f extui a12, a12, 0, 16 + 8e3199: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:248 + 8e319c: d10f retw.n + +008e319e <__pci_cfg_pipe+0x3a>: + ... + +008e31a0 <__pci_start>: +__pci_start(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:256 + 8e31a0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:257 + 8e31a3: d10f retw.n + +008e31a5 <__pci_start+0x5>: + 8e31a5: 000000 ... + +008e31a8 <__pci_reg_callback>: +__pci_reg_callback(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:267 + 8e31a8: 6c1004 entry a1, 32 + 8e31ab: 1400eb l32r a4, 4e83ac <_lit4_start+0x3ac> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:270 + 8e31ae: 8932 l32i.n a9, a3, 8 + 8e31b0: 9942 s32i.n a9, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:271 + 8e31b2: 8831 l32i.n a8, a3, 4 + 8e31b4: 9841 s32i.n a8, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:272 + 8e31b6: 8230 l32i.n a2, a3, 0 + 8e31b8: 9240 s32i.n a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:273 + 8e31ba: d10f retw.n + +008e31bc <__pci_reap_xmitted>: +__pci_reap_xmitted(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:283 + 8e31bc: 6c1004 entry a1, 32 + 8e31bf: 140018 l32r a4, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:287 + 8e31c2: 2842d0 l32i a8, a4, 0x340 + 8e31c5: da30 mov.n a10, a3 + 8e31c7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:289 + 8e31ca: 2842c6 l32i a8, a4, 0x318 + 8e31cd: da30 mov.n a10, a3 + 8e31cf: 0b8000 callx8 a8 + 8e31d2: c8a7 beqz.n a10, 8e31dd <__pci_reap_xmitted+0x21> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:292 + 8e31d4: 8820 l32i.n a8, a2, 0 + 8e31d6: 8b22 l32i.n a11, a2, 8 + 8e31d8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:297 + 8e31db: d10f retw.n + +008e31dd <__pci_reap_xmitted+0x21>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:294 + 8e31dd: 284212 l32i a8, a4, 72 + 8e31e0: 1a00ed l32r a10, 4e83b4 <_lit4_start+0x3b4> + 8e31e3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:297 + 8e31e6: d10f retw.n + +008e31e8 <__pci_reap_recv>: +__pci_reap_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:308 + 8e31e8: 6c1004 entry a1, 32 + 8e31eb: da30 mov.n a10, a3 + 8e31ed: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:311 + 8e31f0: 2832c7 l32i a8, a3, 0x31c + 8e31f3: 0b8000 callx8 a8 + 8e31f6: dba0 mov.n a11, a10 + 8e31f8: c8a9 beqz.n a10, 8e3205 <__pci_reap_recv+0x1d> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:314 + 8e31fa: 8821 l32i.n a8, a2, 4 + 8e31fc: 8c22 l32i.n a12, a2, 8 + 8e31fe: c0a0 movi.n a10, 0 + 8e3200: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:317 + 8e3203: d10f retw.n + +008e3205 <__pci_reap_recv+0x1d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:316 + 8e3205: 283212 l32i a8, a3, 72 + 8e3208: 1a00ee l32r a10, 4e83b8 <_lit4_start+0x3b8> + 8e320b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:317 + 8e320e: d10f retw.n + +008e3210 <__pci_isr_handler>: +__pci_isr_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:325 + 8e3210: 6c1004 entry a1, 32 + 8e3213: 1300eb l32r a3, 4e83ac <_lit4_start+0x3ac> + 8e3216: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e3219: 600009 j 8e3226 <__pci_isr_handler+0x16> + +008e321c <__pci_isr_handler+0xc>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:330 + 8e321c: 2822cf l32i a8, a2, 0x33c + 8e321f: da30 mov.n a10, a3 + 8e3221: c0b3 movi.n a11, 3 + 8e3223: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:329 + 8e3226: 2822c9 l32i a8, a2, 0x324 + 8e3229: c0a3 movi.n a10, 3 + 8e322b: 0b8000 callx8 a8 + 8e322e: 65afea bnez a10, 8e321c <__pci_isr_handler+0xc> + 8e3231: 600009 j 8e323e <__pci_isr_handler+0x2e> + +008e3234 <__pci_isr_handler+0x24>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:333 + 8e3234: 2822cf l32i a8, a2, 0x33c + 8e3237: da30 mov.n a10, a3 + 8e3239: c0b2 movi.n a11, 2 + 8e323b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:332 + 8e323e: 2822c9 l32i a8, a2, 0x324 + 8e3241: c0a2 movi.n a10, 2 + 8e3243: 0b8000 callx8 a8 + 8e3246: 65afea bnez a10, 8e3234 <__pci_isr_handler+0x24> + 8e3249: 600009 j 8e3256 <__pci_isr_handler+0x46> + +008e324c <__pci_isr_handler+0x3c>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:336 + 8e324c: 2822cf l32i a8, a2, 0x33c + 8e324f: da30 mov.n a10, a3 + 8e3251: c0b1 movi.n a11, 1 + 8e3253: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:335 + 8e3256: 2822c9 l32i a8, a2, 0x324 + 8e3259: c0a1 movi.n a10, 1 + 8e325b: 0b8000 callx8 a8 + 8e325e: 65afea bnez a10, 8e324c <__pci_isr_handler+0x3c> + 8e3261: 600009 j 8e326e <__pci_isr_handler+0x5e> + +008e3264 <__pci_isr_handler+0x54>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:339 + 8e3264: 2822ce l32i a8, a2, 0x338 + 8e3267: da30 mov.n a10, a3 + 8e3269: c0b5 movi.n a11, 5 + 8e326b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:338 + 8e326e: 2822c5 l32i a8, a2, 0x314 + 8e3271: c0a5 movi.n a10, 5 + 8e3273: 0b8000 callx8 a8 + 8e3276: 65afea bnez a10, 8e3264 <__pci_isr_handler+0x54> + +008e3279 <__pci_isr_handler+0x69>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:345 + 8e3279: 2822c5 l32i a8, a2, 0x314 + 8e327c: c0a4 movi.n a10, 4 + 8e327e: 0b8000 callx8 a8 + 8e3281: c8ad beqz.n a10, 8e3292 <__pci_isr_handler+0x82> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:346 + 8e3283: 2822ce l32i a8, a2, 0x338 + 8e3286: da30 mov.n a10, a3 + 8e3288: c0b4 movi.n a11, 4 + 8e328a: 0b8000 callx8 a8 + 8e328d: c041 movi.n a4, 1 + 8e328f: 600001 j 8e3294 <__pci_isr_handler+0x84> + +008e3292 <__pci_isr_handler+0x82>: + 8e3292: c040 movi.n a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:350 + 8e3294: 2822c9 l32i a8, a2, 0x324 + 8e3297: c0a0 movi.n a10, 0 + 8e3299: 0b8000 callx8 a8 + 8e329c: c8aa beqz.n a10, 8e32aa <__pci_isr_handler+0x9a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:351 + 8e329e: 2822cf l32i a8, a2, 0x33c + 8e32a1: da30 mov.n a10, a3 + 8e32a3: c0b0 movi.n a11, 0 + 8e32a5: 0b8000 callx8 a8 + 8e32a8: c041 movi.n a4, 1 + +008e32aa <__pci_isr_handler+0x9a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:355 + 8e32aa: 654fcb bnez a4, 8e3279 <__pci_isr_handler+0x69> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:357 + 8e32ad: d10f retw.n + +008e32af <__pci_isr_handler+0x9f>: + ... + +008e32b0 <__pci_xmit_buf>: +__pci_xmit_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:369 + 8e32b0: 6c1004 entry a1, 32 + 8e32b3: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:372 + 8e32b6: 2822d1 l32i a8, a2, 0x344 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:369 + 8e32b9: da30 mov.n a10, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:372 + 8e32bb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:374 + 8e32be: 69a60c bnei a10, 6, 8e32ce <__pci_xmit_buf+0x1e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:375 + 8e32c1: 282212 l32i a8, a2, 72 + 8e32c4: 1a00ef l32r a10, 4e83bc <_lit4_start+0x3bc> + 8e32c7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:376 + 8e32ca: c72f movi.n a2, -1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:379 + 8e32cc: d10f retw.n + +008e32ce <__pci_xmit_buf+0x1e>: + 8e32ce: 2822c3 l32i a8, a2, 0x30c + 8e32d1: db40 mov.n a11, a4 + 8e32d3: 0b8000 callx8 a8 + 8e32d6: d2a0 mov.n a2, a10 + 8e32d8: d10f retw.n + +008e32da <__pci_xmit_buf+0x2a>: + ... + +008e32dc <__pci_return_recv>: +__pci_return_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:390 + 8e32dc: 6c1004 entry a1, 32 + 8e32df: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:393 + 8e32e2: 2822d2 l32i a8, a2, 0x348 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:390 + 8e32e5: da30 mov.n a10, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:393 + 8e32e7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:395 + 8e32ea: 68a607 beqi a10, 6, 8e32f5 <__pci_return_recv+0x19> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:398 + 8e32ed: 2822c8 l32i a8, a2, 0x320 + 8e32f0: db40 mov.n a11, a4 + +008e32f2 <__pci_return_recv+0x16>: + 8e32f2: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:399 + 8e32f5: d10f retw.n + +008e32f7 <__pci_return_recv+0x1b>: + ... + +008e32f8 <__pci_is_pipe_supported>: +__pci_is_pipe_supported(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:410 + 8e32f8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:411 + 8e32fb: 6f3503 bgeui a3, 5, 8e3302 <__pci_is_pipe_supported+0xa> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:412 + 8e32fe: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:414 + 8e3300: d10f retw.n + +008e3302 <__pci_is_pipe_supported+0xa>: + 8e3302: c020 movi.n a2, 0 + 8e3304: d10f retw.n + +008e3306 <__pci_is_pipe_supported+0xe>: + ... + +008e3308 <__pci_get_max_msg_len>: +__pci_get_max_msg_len(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:426 + 8e3308: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:430 + 8e330b: 246a80 movi a4, 0x680 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:428 + 8e330e: 222a00 movi a2, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:427 + 8e3311: 034239 movnez a2, a4, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:430 + 8e3314: d10f retw.n + +008e3316 <__pci_get_max_msg_len+0xe>: + ... + +008e3318 <__pci_get_reserved_headroom>: +__pci_get_reserved_headroom(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:441 + 8e3318: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:442 + 8e331b: c020 movi.n a2, 0 + 8e331d: d10f retw.n + +008e331f <__pci_get_reserved_headroom+0x7>: + ... + +008e3320 <__pci_shutdown>: +__pci_shutdown(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:451 + 8e3320: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:452 + 8e3323: d10f retw.n + +008e3325 <__pci_shutdown+0x5>: + 8e3325: 000000 ... + +008e3328 <__pci_get_def_pipe>: +__pci_get_def_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:457 + 8e3328: 6c1004 entry a1, 32 + 8e332b: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:458 + 8e332d: 223400 s8i a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:459 + 8e3330: 224400 s8i a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:460 + 8e3333: d10f retw.n + +008e3335 <__pci_get_def_pipe+0xd>: + 8e3335: 000000 ... + +008e3338 : +hif_pci_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:468 + 8e3338: 6c1004 entry a1, 32 + 8e333b: 1900f0 l32r a9, 4e83c0 <_lit4_start+0x3c0> + 8e333e: 1300f2 l32r a3, 4e83c8 <_lit4_start+0x3c8> + 8e3341: 1a00f8 l32r a10, 4e83e0 <_lit4_start+0x3e0> + 8e3344: 1b00f7 l32r a11, 4e83dc <_lit4_start+0x3dc> + 8e3347: 1c00f6 l32r a12, 4e83d8 <_lit4_start+0x3d8> + 8e334a: 1d00f5 l32r a13, 4e83d4 <_lit4_start+0x3d4> + 8e334d: 1e00f4 l32r a14, 4e83d0 <_lit4_start+0x3d0> + 8e3350: 1f00f3 l32r a15, 4e83cc <_lit4_start+0x3cc> + 8e3353: 1800f1 l32r a8, 4e83c4 <_lit4_start+0x3c4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:471 + 8e3356: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:478 + 8e3358: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:477 + 8e335a: 9b29 s32i.n a11, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:476 + 8e335c: 9c28 s32i.n a12, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:475 + 8e335e: 9d27 s32i.n a13, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:474 + 8e3360: 9e26 s32i.n a14, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:473 + 8e3362: 9f2b s32i.n a15, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:470 + 8e3364: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:472 + 8e3366: 9325 s32i.n a3, a2, 20 + 8e3368: 1800fa l32r a8, 4e83e8 <_lit4_start+0x3e8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:480 + 8e336b: 982a s32i.n a8, a2, 40 + 8e336d: 1300fb l32r a3, 4e83ec <_lit4_start+0x3ec> + 8e3370: 1900f9 l32r a9, 4e83e4 <_lit4_start+0x3e4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:479 + 8e3373: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:481 + 8e3375: 932c s32i.n a3, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:482 + 8e3377: d10f retw.n + +008e3379 : + 8e3379: 000000 ... + +008e337c : +hif_pci_api_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:486 + 8e337c: 6c1004 entry a1, 32 + 8e337f: 180102 l32r a8, 4e8408 <_lit4_start+0x408> + 8e3382: 190101 l32r a9, 4e8404 <_lit4_start+0x404> + 8e3385: 1a0100 l32r a10, 4e8400 <_lit4_start+0x400> + 8e3388: 1b00ff l32r a11, 4e83fc <_lit4_start+0x3fc> + 8e338b: 1c00fe l32r a12, 4e83f8 <_lit4_start+0x3f8> + 8e338e: 1d00f0 l32r a13, 4e83c0 <_lit4_start+0x3c0> + 8e3391: 1e00fd l32r a14, 4e83f4 <_lit4_start+0x3f4> + 8e3394: 1f00fc l32r a15, 4e83f0 <_lit4_start+0x3f0> + 8e3397: 130103 l32r a3, 4e840c <_lit4_start+0x40c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:495 + 8e339a: 9327 s32i.n a3, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:494 + 8e339c: 9828 s32i.n a8, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:493 + 8e339e: 9926 s32i.n a9, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:492 + 8e33a0: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:491 + 8e33a2: 9b24 s32i.n a11, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:490 + 8e33a4: 9c25 s32i.n a12, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:489 + 8e33a6: 9d21 s32i.n a13, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:488 + 8e33a8: 9e23 s32i.n a14, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:487 + 8e33aa: 9f20 s32i.n a15, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:496 + 8e33ac: d10f retw.n + +008e33ae : + ... + +008e33b0 : +usbfifo_get_command_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:39 + 8e33b0: 6c1004 entry a1, 32 + 8e33b3: 180104 l32r a8, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:42 + 8e33b6: 828d l32i.n a2, a8, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:44 + 8e33b8: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:43 + 8e33ba: 8421 l32i.n a4, a2, 4 + 8e33bc: 948d s32i.n a4, a8, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:44 + 8e33be: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:45 + 8e33c0: d10f retw.n + +008e33c2 : + ... + +008e33c4 : +usbfifo_recv_command(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:49 + 8e33c4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:60 + 8e33c7: 8a20 l32i.n a10, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:62 + 8e33c9: 2da106 l16ui a13, a10, 12 + 8e33cc: 0c0200 memw + 8e33cf: 2da50b s16i a13, a10, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:63 + 8e33d2: 8ca1 l32i.n a12, a10, 4 + 8e33d4: 2ba105 l16ui a11, a10, 10 + 8e33d7: acbb add.n a11, a11, a12 + 8e33d9: 0c0200 memw + 8e33dc: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:65 + 8e33df: 2882b6 l32i a8, a8, 0x2d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:63 + 8e33e2: 9ba7 s32i.n a11, a10, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:65 + 8e33e4: 2aac10 addi a10, a10, 16 + 8e33e7: 0b8000 callx8 a8 + 8e33ea: 1c0104 l32r a12, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:67 + 8e33ed: db20 mov.n a11, a2 + 8e33ef: 88c1 l32i.n a8, a12, 4 + 8e33f1: c0a0 movi.n a10, 0 + 8e33f3: 8cc2 l32i.n a12, a12, 8 + 8e33f5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:69 + 8e33f8: d10f retw.n + +008e33fa : + ... + +008e33fc : +usbfifo_get_event_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:72 + 8e33fc: 6c1004 entry a1, 32 + 8e33ff: 160104 l32r a6, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:75 + 8e3402: 826e l32i.n a2, a6, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:76 + 8e3404: 836f l32i.n a3, a6, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:72 + 8e3406: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:76 + 8e3408: 723906 bne a3, a2, 8e3412 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:77 + 8e340b: 956e s32i.n a5, a6, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:78 + 8e340d: 956f s32i.n a5, a6, 60 + 8e340f: 600003 j 8e3416 + +008e3412 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:80 + 8e3412: 8821 l32i.n a8, a2, 4 + 8e3414: 986e s32i.n a8, a6, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:83 + 8e3416: 9521 s32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:84 + 8e3418: d10f retw.n + +008e341a : + ... + +008e341c : +usbfifo_send_event_done(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:88 + 8e341c: 6c1004 entry a1, 32 + 8e341f: 1b0104 l32r a11, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:93 + 8e3422: 88b0 l32i.n a8, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:88 + 8e3424: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:93 + 8e3426: 8bb2 l32i.n a11, a11, 8 + 8e3428: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:95 + 8e342b: d10f retw.n + +008e342d : + 8e342d: 000000 ... + +008e3430 <_HIFusb_init>: +_HIFusb_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:100 + 8e3430: 6c1006 entry a1, 48 + 8e3433: 190108 l32r a9, 4e8420 <_lit4_start+0x420> + 8e3436: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e3439: 1a0107 l32r a10, 4e841c <_lit4_start+0x41c> + 8e343c: 1b0106 l32r a11, 4e8418 <_lit4_start+0x418> + 8e343f: 1c0105 l32r a12, 4e8414 <_lit4_start+0x414> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:104 + 8e3442: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:105 + 8e3444: 9b11 s32i.n a11, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:106 + 8e3446: 9a12 s32i.n a10, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:108 + 8e3448: 282296 l32i a8, a2, 0x258 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:107 + 8e344b: 9913 s32i.n a9, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:108 + 8e344d: da10 mov.n a10, a1 + 8e344f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:112 + 8e3452: 2822ae l32i a8, a2, 0x2b8 + 8e3455: 1a0109 l32r a10, 4e8424 <_lit4_start+0x424> + 8e3458: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:113 + 8e345b: 2822af l32i a8, a2, 0x2bc + 8e345e: 1a010a l32r a10, 4e8428 <_lit4_start+0x428> + 8e3461: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:116 + 8e3464: 2822ae l32i a8, a2, 0x2b8 + 8e3467: 1a010b l32r a10, 4e842c <_lit4_start+0x42c> + 8e346a: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:120 + 8e346d: 2822ae l32i a8, a2, 0x2b8 + 8e3470: 1a010c l32r a10, 4e8430 <_lit4_start+0x430> + 8e3473: 0b8000 callx8 a8 + 8e3476: 190104 l32r a9, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:123 + 8e3479: c020 movi.n a2, 0 + 8e347b: 929e s32i.n a2, a9, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:124 + 8e347d: 929f s32i.n a2, a9, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:125 + 8e347f: 929d s32i.n a2, a9, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:127 + 8e3481: d10f retw.n + +008e3483 <_HIFusb_init+0x53>: + ... + +008e3484 <_HIFusb_shutdown>: +_HIFusb_shutdown(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:131 + 8e3484: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:133 + 8e3487: d10f retw.n + +008e3489 <_HIFusb_shutdown+0x5>: + 8e3489: 000000 ... + +008e348c <_HIFusb_register_callback>: +_HIFusb_register_callback(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:136 + 8e348c: 6c1004 entry a1, 32 + 8e348f: 140104 l32r a4, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:139 + 8e3492: 8930 l32i.n a9, a3, 0 + 8e3494: 9940 s32i.n a9, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:140 + 8e3496: 8831 l32i.n a8, a3, 4 + 8e3498: 9841 s32i.n a8, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:141 + 8e349a: 8232 l32i.n a2, a3, 8 + 8e349c: 9242 s32i.n a2, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:143 + 8e349e: d10f retw.n + +008e34a0 <_HIFusb_start>: +_HIFusb_start(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:148 + 8e34a0: 6c1004 entry a1, 32 + 8e34a3: 140018 l32r a4, 4e8060 <_lit4_start+0x60> + 8e34a6: 130104 l32r a3, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:156 + 8e34a9: 284212 l32i a8, a4, 72 + 8e34ac: 1a010d l32r a10, 4e8434 <_lit4_start+0x434> + 8e34af: 8b33 l32i.n a11, a3, 12 + 8e34b1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:157 + 8e34b4: 284212 l32i a8, a4, 72 + 8e34b7: 1a010e l32r a10, 4e8438 <_lit4_start+0x438> + 8e34ba: 8b35 l32i.n a11, a3, 20 + 8e34bc: 0b8000 callx8 a8 + 8e34bf: 15010f l32r a5, 4e843c <_lit4_start+0x43c> + 8e34c2: 120110 l32r a2, 4e8440 <_lit4_start+0x440> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:159 + 8e34c5: 8a33 l32i.n a10, a3, 12 + 8e34c7: 0c0200 memw + 8e34ca: 2a2680 s32i a10, a2, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:160 + 8e34cd: 8935 l32i.n a9, a3, 20 + 8e34cf: 0c0200 memw + 8e34d2: 295680 s32i a9, a5, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:162 + 8e34d5: 284212 l32i a8, a4, 72 + 8e34d8: 1a0111 l32r a10, 4e8444 <_lit4_start+0x444> + 8e34db: 8b39 l32i.n a11, a3, 36 + 8e34dd: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:163 + 8e34e0: 8939 l32i.n a9, a3, 36 + 8e34e2: 0c0200 memw + 8e34e5: 2926c0 s32i a9, a2, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:167 + 8e34e8: 284212 l32i a8, a4, 72 + 8e34eb: 1a0112 l32r a10, 4e8448 <_lit4_start+0x448> + 8e34ee: 8b3b l32i.n a11, a3, 44 + 8e34f0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:168 + 8e34f3: c0a1 movi.n a10, 1 + 8e34f5: 8d3b l32i.n a13, a3, 44 + 8e34f7: 0c0200 memw + 8e34fa: 9d50 s32i.n a13, a5, 0 + 8e34fc: 1c0113 l32r a12, 4e844c <_lit4_start+0x44c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:171 + 8e34ff: c7bf movi.n a11, -1 + 8e3501: 0c0200 memw + 8e3504: 2bc681 s32i a11, a12, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:172 + 8e3507: 0c0200 memw + 8e350a: 2a2681 s32i a10, a2, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:175 + 8e350d: 0c0200 memw + 8e3510: 2a26c1 s32i a10, a2, 0x304 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:179 + 8e3513: 0c0200 memw + 8e3516: 9a51 s32i.n a10, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:182 + 8e3518: d10f retw.n + +008e351a <_HIFusb_start+0x7a>: + ... + +008e351c <_HIFusb_get_reserved_headroom>: +_HIFusb_get_reserved_headroom(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:185 + 8e351c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:186 + 8e351f: c020 movi.n a2, 0 + 8e3521: d10f retw.n + +008e3523 <_HIFusb_get_reserved_headroom+0x7>: + ... + +008e3524 : +enable_rx(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:212 + 8e3524: 6c1004 entry a1, 32 + 8e3527: 140110 l32r a4, 4e8440 <_lit4_start+0x440> + 8e352a: c051 movi.n a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:213 + 8e352c: 692107 bnei a2, 1, 8e3537 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:217 + 8e352f: 0c0200 memw + 8e3532: 254681 s32i a5, a4, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:230 + 8e3535: d10f retw.n + +008e3537 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:221 + 8e3537: 692507 bnei a2, 5, 8e3542 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:222 + 8e353a: 0c0200 memw + 8e353d: 2546c1 s32i a5, a4, 0x304 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:230 + 8e3540: d10f retw.n + +008e3542 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:226 + 8e3542: 692607 bnei a2, 6, 8e354d + 8e3545: 13010f l32r a3, 4e843c <_lit4_start+0x43c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:227 + 8e3548: 0c0200 memw + 8e354b: 9531 s32i.n a5, a3, 4 + +008e354d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:230 + 8e354d: d10f retw.n + +008e354f : + ... + +008e3550 : +get_queue_from_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:233 + 8e3550: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:236 + 8e3553: 692104 bnei a2, 1, 8e355b + 8e3556: 120109 l32r a2, 4e8424 <_lit4_start+0x424> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:255 + 8e3559: d10f retw.n + +008e355b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:240 + 8e355b: 692504 bnei a2, 5, 8e3563 + 8e355e: 12010b l32r a2, 4e842c <_lit4_start+0x42c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:255 + 8e3561: d10f retw.n + +008e3563 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:246 + 8e3563: 682602 beqi a2, 6, 8e3569 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:252 + 8e3566: 63fffc j 8e3566 + +008e3569 : + 8e3569: 12010c l32r a2, 4e8430 <_lit4_start+0x430> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:255 + 8e356c: d10f retw.n + +008e356e : + ... + +008e3570 <_HIFusb_config_pipe>: +_HIFusb_config_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:263 + 8e3570: 6c1006 entry a1, 48 + 8e3573: 9410 s32i.n a4, a1, 0 + 8e3575: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:269 + 8e3578: 683423 beqi a3, 4, 8e359f <_HIFusb_config_pipe+0x2f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:302 + 8e357b: da30 mov.n a10, a3 + 8e357d: 5bfff4 call8 8e3550 + 8e3580: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:303 + 8e3582: 286279 l32i a8, a6, 0x1e4 + 8e3585: db30 mov.n a11, a3 + 8e3587: da20 mov.n a10, a2 + 8e3589: 0b8000 callx8 a8 + 8e358c: dca0 mov.n a12, a10 + 8e358e: 2862b0 l32i a8, a6, 0x2c0 + 8e3591: 8b10 l32i.n a11, a1, 0 + 8e3593: da50 mov.n a10, a5 + 8e3595: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:304 + 8e3598: da30 mov.n a10, a3 + 8e359a: 5bffe2 call8 8e3524 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:299 + 8e359d: d10f retw.n + +008e359f <_HIFusb_config_pipe+0x2f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:274 + 8e359f: c0c0 movi.n a12, 0 + 8e35a1: 6a4150 blti a4, 1, 8e35f5 <_HIFusb_config_pipe+0x85> + 8e35a4: c070 movi.n a7, 0 + 8e35a6: d4c0 mov.n a4, a12 + +008e35a8 <_HIFusb_config_pipe+0x38>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:276 + 8e35a8: 2862a6 l32i a8, a6, 0x298 + 8e35ab: 0b8000 callx8 a8 + 8e35ae: d5a0 mov.n a5, a10 + 8e35b0: cca1 bnez.n a10, 8e35b5 <_HIFusb_config_pipe+0x45> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:278 + 8e35b2: 63fffc j 8e35b2 <_HIFusb_config_pipe+0x42> + +008e35b5 <_HIFusb_config_pipe+0x45>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:280 + 8e35b5: 286279 l32i a8, a6, 0x1e4 + 8e35b8: da20 mov.n a10, a2 + 8e35ba: db30 mov.n a11, a3 + 8e35bc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e35bf: 286252 l32i a8, a6, 0x148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:280 + 8e35c2: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e35c4: c0a0 movi.n a10, 0 + 8e35c6: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:280 + 8e35c9: 9a51 s32i.n a10, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:281 + 8e35cb: 286279 l32i a8, a6, 0x1e4 + 8e35ce: db30 mov.n a11, a3 + 8e35d0: da20 mov.n a10, a2 + 8e35d2: 0b8000 callx8 a8 + 8e35d5: 2a5504 s16i a10, a5, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:274 + 8e35d8: 8b10 l32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:296 + 8e35da: b144 addi.n a4, a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:282 + 8e35dc: c0c0 movi.n a12, 0 + 8e35de: 9c50 s32i.n a12, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:283 + 8e35e0: 2c5505 s16i a12, a5, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:284 + 8e35e3: 2c5506 s16i a12, a5, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:285 + 8e35e6: 2c5507 s16i a12, a5, 14 + 8e35e9: c870 beqz.n a7, 8e35ed <_HIFusb_config_pipe+0x7d> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:293 + 8e35eb: 9750 s32i.n a7, a5, 0 + +008e35ed <_HIFusb_config_pipe+0x7d>: + 8e35ed: d750 mov.n a7, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:274 + 8e35ef: 74b9b5 bne a11, a4, 8e35a8 <_HIFusb_config_pipe+0x38> + 8e35f2: 600001 j 8e35f7 <_HIFusb_config_pipe+0x87> + +008e35f5 <_HIFusb_config_pipe+0x85>: + 8e35f5: d7c0 mov.n a7, a12 + 8e35f7: c97e beqz.n a7, 8e3619 <_HIFusb_config_pipe+0xa9> + 8e35f9: 120104 l32r a2, 4e8410 <_lit4_start+0x410> + +008e35fc <_HIFusb_config_pipe+0x8c>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:196 + 8e35fc: 2862a1 l32i a8, a6, 0x284 + 8e35ff: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:200 + 8e3602: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:198 + 8e3604: 97a0 s32i.n a7, a10, 0 + 8e3606: dc70 mov.n a12, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:202 + 8e3608: 892d l32i.n a9, a2, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:199 + 8e360a: 8770 l32i.n a7, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:200 + 8e360c: 9bc0 s32i.n a11, a12, 0 + 8e360e: c890 beqz.n a9, 8e3612 <_HIFusb_config_pipe+0xa2> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:205 + 8e3610: 99a1 s32i.n a9, a10, 4 + +008e3612 <_HIFusb_config_pipe+0xa2>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:206 + 8e3612: 9a2d s32i.n a10, a2, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:195 + 8e3614: 657fe4 bnez a7, 8e35fc <_HIFusb_config_pipe+0x8c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:299 + 8e3617: d10f retw.n + +008e3619 <_HIFusb_config_pipe+0xa9>: + 8e3619: d10f retw.n + +008e361b <_HIFusb_config_pipe+0xab>: + ... + +008e361c <_HIFusb_send_buffer>: +_HIFusb_send_buffer(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:335 + 8e361c: 6c1004 entry a1, 32 + 8e361f: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:336 + 8e3622: 693335 bnei a3, 3, 8e365b <_HIFusb_send_buffer+0x3f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:315 + 8e3625: 8a40 l32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:317 + 8e3627: 2da106 l16ui a13, a10, 12 + 8e362a: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:318 + 8e362d: 8ca1 l32i.n a12, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:317 + 8e362f: 2da50b s16i a13, a10, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:318 + 8e3632: 2ba105 l16ui a11, a10, 10 + 8e3635: acbb add.n a11, a11, a12 + 8e3637: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:320 + 8e363a: 2822b6 l32i a8, a2, 0x2d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:318 + 8e363d: 9ba7 s32i.n a11, a10, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:320 + 8e363f: 2aac10 addi a10, a10, 16 + 8e3642: 0b8000 callx8 a8 + 8e3645: 1a0104 l32r a10, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:323 + 8e3648: 8eae l32i.n a14, a10, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:327 + 8e364a: 8baf l32i.n a11, a10, 60 + 8e364c: cee5 bnez.n a14, 8e3675 <_HIFusb_send_buffer+0x59> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:324 + 8e364e: 94ae s32i.n a4, a10, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:331 + 8e3650: 282297 l32i a8, a2, 0x25c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:328 + 8e3653: 94af s32i.n a4, a10, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:331 + 8e3655: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:337 + 8e3658: 600015 j 8e3671 <_HIFusb_send_buffer+0x55> + +008e365b <_HIFusb_send_buffer+0x3f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:339 + 8e365b: 2822b1 l32i a8, a2, 0x2c4 + 8e365e: db40 mov.n a11, a4 + 8e3660: 1a010a l32r a10, 4e8428 <_lit4_start+0x428> + 8e3663: 0b8000 callx8 a8 + 8e3666: 1a010f l32r a10, 4e843c <_lit4_start+0x43c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:343 + 8e3669: c091 movi.n a9, 1 + 8e366b: 0c0200 memw + 8e366e: 29a681 s32i a9, a10, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:347 + 8e3671: c020 movi.n a2, 0 + 8e3673: d10f retw.n + +008e3675 <_HIFusb_send_buffer+0x59>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:327 + 8e3675: 94b1 s32i.n a4, a11, 4 + 8e3677: 63ffd5 j 8e3650 <_HIFusb_send_buffer+0x34> + +008e367a <_HIFusb_send_buffer+0x5e>: + ... + +008e367c <_HIFusb_return_recv_buf>: +_HIFusb_return_recv_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:352 + 8e367c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:355 + 8e367f: 69340c bnei a3, 4, 8e368f <_HIFusb_return_recv_buf+0x13> + 8e3682: 1a0104 l32r a10, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:356 + 8e3685: 89ad l32i.n a9, a10, 52 + 8e3687: c890 beqz.n a9, 8e368b <_HIFusb_return_recv_buf+0xf> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:359 + 8e3689: 9941 s32i.n a9, a4, 4 + +008e368b <_HIFusb_return_recv_buf+0xf>: + 8e368b: 94ad s32i.n a4, a10, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:367 + 8e368d: d10f retw.n + +008e368f <_HIFusb_return_recv_buf+0x13>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:363 + 8e368f: da30 mov.n a10, a3 + 8e3691: 5bffaf call8 8e3550 + 8e3694: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:364 + 8e3697: 2882b4 l32i a8, a8, 0x2d0 + 8e369a: db40 mov.n a11, a4 + 8e369c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:365 + 8e369f: da30 mov.n a10, a3 + 8e36a1: 5bffa0 call8 8e3524 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:367 + 8e36a4: d10f retw.n + +008e36a6 <_HIFusb_return_recv_buf+0x2a>: + ... + +008e36a8 <_HIFusb_set_recv_bufsz>: +_HIFusb_set_recv_bufsz(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:370 + 8e36a8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:373 + 8e36ab: d10f retw.n + +008e36ad <_HIFusb_set_recv_bufsz+0x5>: + 8e36ad: 000000 ... + +008e36b0 <_HIFusb_pause_recv>: +_HIFusb_pause_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:376 + 8e36b0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:378 + 8e36b3: d10f retw.n + +008e36b5 <_HIFusb_pause_recv+0x5>: + 8e36b5: 000000 ... + +008e36b8 <_HIFusb_resume_recv>: +_HIFusb_resume_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:381 + 8e36b8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:383 + 8e36bb: d10f retw.n + +008e36bd <_HIFusb_resume_recv+0x5>: + 8e36bd: 000000 ... + +008e36c0 <_HIFusb_is_pipe_supported>: +_HIFusb_is_pipe_supported(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:386 + 8e36c0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:387 + 8e36c3: b034 addi.n a4, a3, -1 + 8e36c5: 6e4603 bltui a4, 6, 8e36cc <_HIFusb_is_pipe_supported+0xc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:388 + 8e36c8: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:390 + 8e36ca: d10f retw.n + +008e36cc <_HIFusb_is_pipe_supported+0xc>: + 8e36cc: c021 movi.n a2, 1 + 8e36ce: d10f retw.n + +008e36d0 <_HIFusb_get_max_msg_len>: +_HIFusb_get_max_msg_len(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:395 + 8e36d0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:403 + 8e36d3: 6b3506 bgei a3, 5, 8e36dd <_HIFusb_get_max_msg_len+0xd> + 8e36d6: 6a3303 blti a3, 3, 8e36dd <_HIFusb_get_max_msg_len+0xd> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:399 + 8e36d9: c420 movi.n a2, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:402 + 8e36db: d10f retw.n + +008e36dd <_HIFusb_get_max_msg_len+0xd>: + 8e36dd: 226a40 movi a2, 0x640 + 8e36e0: d10f retw.n + +008e36e2 <_HIFusb_get_max_msg_len+0x12>: + ... + +008e36e4 <_HIFusb_isr_handler>: +_HIFusb_isr_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:518 + 8e36e4: 6c1004 entry a1, 32 + 8e36e7: 190113 l32r a9, 4e844c <_lit4_start+0x44c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:525 + 8e36ea: 0c0200 memw + 8e36ed: 180114 l32r a8, 4e8450 <_lit4_start+0x450> + 8e36f0: 299280 l32i a9, a9, 0x200 + 8e36f3: 14010b l32r a4, 4e842c <_lit4_start+0x42c> + 8e36f6: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:532 + 8e36f9: 798802 bany a8, a9, 8e36ff <_HIFusb_isr_handler+0x1b> + 8e36fc: 60008f j 8e378f <_HIFusb_isr_handler+0xab> + 8e36ff: 234cdc addi a3, a4, -36 + 8e3702: 600012 j 8e3718 <_HIFusb_isr_handler+0x34> + +008e3705 <_HIFusb_isr_handler+0x21>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:488 + 8e3705: 2822b3 l32i a8, a2, 0x2cc + 8e3708: da40 mov.n a10, a4 + 8e370a: 0b8000 callx8 a8 + 8e370d: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:489 + 8e370f: 8831 l32i.n a8, a3, 4 + 8e3711: 8c32 l32i.n a12, a3, 8 + 8e3713: c0a0 movi.n a10, 0 + 8e3715: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:538 + 8e3718: 2822b7 l32i a8, a2, 0x2dc + 8e371b: da40 mov.n a10, a4 + 8e371d: 0b8000 callx8 a8 + 8e3720: 65afe1 bnez a10, 8e3705 <_HIFusb_isr_handler+0x21> + 8e3723: 14010c l32r a4, 4e8430 <_lit4_start+0x430> + 8e3726: 600012 j 8e373c <_HIFusb_isr_handler+0x58> + +008e3729 <_HIFusb_isr_handler+0x45>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:511 + 8e3729: 2822b3 l32i a8, a2, 0x2cc + 8e372c: da40 mov.n a10, a4 + 8e372e: 0b8000 callx8 a8 + 8e3731: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:512 + 8e3733: 8831 l32i.n a8, a3, 4 + 8e3735: 8c32 l32i.n a12, a3, 8 + 8e3737: c0a0 movi.n a10, 0 + 8e3739: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:553 + 8e373c: 2822b7 l32i a8, a2, 0x2dc + 8e373f: da40 mov.n a10, a4 + 8e3741: 0b8000 callx8 a8 + 8e3744: 65afe1 bnez a10, 8e3729 <_HIFusb_isr_handler+0x45> + 8e3747: 16010a l32r a6, 4e8428 <_lit4_start+0x428> + +008e374a <_HIFusb_isr_handler+0x66>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:555 + 8e374a: 246cf8 addi a4, a6, -8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:570 + 8e374d: 2822b7 l32i a8, a2, 0x2dc + 8e3750: da60 mov.n a10, a6 + 8e3752: 0b8000 callx8 a8 + 8e3755: c9a2 beqz.n a10, 8e376b <_HIFusb_isr_handler+0x87> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:439 + 8e3757: 2822b5 l32i a8, a2, 0x2d4 + 8e375a: da60 mov.n a10, a6 + 8e375c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:440 + 8e375f: 8830 l32i.n a8, a3, 0 + 8e3761: 8b32 l32i.n a11, a3, 8 + 8e3763: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:572 + 8e3766: c051 movi.n a5, 1 + 8e3768: 600001 j 8e376d <_HIFusb_isr_handler+0x89> + +008e376b <_HIFusb_isr_handler+0x87>: + 8e376b: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:577 + 8e376d: 2822b7 l32i a8, a2, 0x2dc + 8e3770: da40 mov.n a10, a4 + 8e3772: 0b8000 callx8 a8 + 8e3775: c9a3 beqz.n a10, 8e378c <_HIFusb_isr_handler+0xa8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:466 + 8e3777: 2822b3 l32i a8, a2, 0x2cc + 8e377a: da40 mov.n a10, a4 + 8e377c: 0b8000 callx8 a8 + 8e377f: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:467 + 8e3781: 8831 l32i.n a8, a3, 4 + 8e3783: 8c32 l32i.n a12, a3, 8 + 8e3785: c0a0 movi.n a10, 0 + 8e3787: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:579 + 8e378a: c051 movi.n a5, 1 + +008e378c <_HIFusb_isr_handler+0xa8>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:583 + 8e378c: 655fbd bnez a5, 8e374d <_HIFusb_isr_handler+0x69> + +008e378f <_HIFusb_isr_handler+0xab>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:590 + 8e378f: d10f retw.n + +008e3791 <_HIFusb_isr_handler+0xad>: + 8e3791: 000000 ... + +008e3794 <_HIFusb_get_default_pipe>: +_HIFusb_get_default_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:593 + 8e3794: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:595 + 8e3797: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:594 + 8e3799: c084 movi.n a8, 4 + 8e379b: 283400 s8i a8, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:595 + 8e379e: 224400 s8i a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:596 + 8e37a1: d10f retw.n + +008e37a3 <_HIFusb_get_default_pipe+0xf>: + ... + +008e37a4 : +hif_usb_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:601 + 8e37a4: 6c1004 entry a1, 32 + 8e37a7: 190115 l32r a9, 4e8454 <_lit4_start+0x454> + 8e37aa: 130117 l32r a3, 4e845c <_lit4_start+0x45c> + 8e37ad: 1a011d l32r a10, 4e8474 <_lit4_start+0x474> + 8e37b0: 1b011c l32r a11, 4e8470 <_lit4_start+0x470> + 8e37b3: 1c011b l32r a12, 4e846c <_lit4_start+0x46c> + 8e37b6: 1d011a l32r a13, 4e8468 <_lit4_start+0x468> + 8e37b9: 1e0119 l32r a14, 4e8464 <_lit4_start+0x464> + 8e37bc: 1f0118 l32r a15, 4e8460 <_lit4_start+0x460> + 8e37bf: 180116 l32r a8, 4e8458 <_lit4_start+0x458> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:604 + 8e37c2: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:611 + 8e37c4: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:610 + 8e37c6: 9b29 s32i.n a11, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:609 + 8e37c8: 9c28 s32i.n a12, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:608 + 8e37ca: 9d27 s32i.n a13, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:607 + 8e37cc: 9e26 s32i.n a14, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:606 + 8e37ce: 9f2b s32i.n a15, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:603 + 8e37d0: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:605 + 8e37d2: 9325 s32i.n a3, a2, 20 + 8e37d4: 18011f l32r a8, 4e847c <_lit4_start+0x47c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:613 + 8e37d7: 982a s32i.n a8, a2, 40 + 8e37d9: 130120 l32r a3, 4e8480 <_lit4_start+0x480> + 8e37dc: 19011e l32r a9, 4e8478 <_lit4_start+0x478> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:612 + 8e37df: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:614 + 8e37e1: 932c s32i.n a3, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:618 + 8e37e3: d10f retw.n + +008e37e5 : + 8e37e5: 000000 ... + +008e37e8 : +HIFusb_DescTraceDump(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:621 + 8e37e8: 6c1004 entry a1, 32 + 8e37eb: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e37ee: 130104 l32r a3, 4e8410 <_lit4_start+0x410> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:623 + 8e37f1: 282212 l32i a8, a2, 72 + 8e37f4: 1a0121 l32r a10, 4e8484 <_lit4_start+0x484> + 8e37f7: 8b39 l32i.n a11, a3, 36 + 8e37f9: 0b8000 callx8 a8 + 8e37fc: 1a0122 l32r a10, 4e8488 <_lit4_start+0x488> + 8e37ff: 150110 l32r a5, 4e8440 <_lit4_start+0x440> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:624 + 8e3802: 0c0200 memw + 8e3805: 282212 l32i a8, a2, 72 + 8e3808: 2b52c6 l32i a11, a5, 0x318 + 8e380b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:626 + 8e380e: 2822b8 l32i a8, a2, 0x2e0 + 8e3811: 1a010b l32r a10, 4e842c <_lit4_start+0x42c> + 8e3814: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:630 + 8e3817: 282212 l32i a8, a2, 72 + 8e381a: 1a0123 l32r a10, 4e848c <_lit4_start+0x48c> + 8e381d: 8b3b l32i.n a11, a3, 44 + 8e381f: 0b8000 callx8 a8 + 8e3822: 1a0122 l32r a10, 4e8488 <_lit4_start+0x488> + 8e3825: 14010f l32r a4, 4e843c <_lit4_start+0x43c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:631 + 8e3828: 0c0200 memw + 8e382b: 282212 l32i a8, a2, 72 + 8e382e: 8b46 l32i.n a11, a4, 24 + 8e3830: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:633 + 8e3833: 2822b8 l32i a8, a2, 0x2e0 + 8e3836: 1a010c l32r a10, 4e8430 <_lit4_start+0x430> + 8e3839: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:636 + 8e383c: 282212 l32i a8, a2, 72 + 8e383f: 1a0124 l32r a10, 4e8490 <_lit4_start+0x490> + 8e3842: 8b33 l32i.n a11, a3, 12 + 8e3844: 0b8000 callx8 a8 + 8e3847: 1a0122 l32r a10, 4e8488 <_lit4_start+0x488> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:637 + 8e384a: 0c0200 memw + 8e384d: 282212 l32i a8, a2, 72 + 8e3850: 2b5286 l32i a11, a5, 0x218 + 8e3853: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:638 + 8e3856: 2822b8 l32i a8, a2, 0x2e0 + 8e3859: 1a0109 l32r a10, 4e8424 <_lit4_start+0x424> + 8e385c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:640 + 8e385f: 282212 l32i a8, a2, 72 + 8e3862: 8b35 l32i.n a11, a3, 20 + 8e3864: 1a0125 l32r a10, 4e8494 <_lit4_start+0x494> + 8e3867: 0b8000 callx8 a8 + 8e386a: 1a0122 l32r a10, 4e8488 <_lit4_start+0x488> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:641 + 8e386d: 0c0200 memw + 8e3870: 282212 l32i a8, a2, 72 + 8e3873: 2b4285 l32i a11, a4, 0x214 + 8e3876: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:642 + 8e3879: 2822b8 l32i a8, a2, 0x2e0 + 8e387c: 1a010a l32r a10, 4e8428 <_lit4_start+0x428> + 8e387f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:643 + 8e3882: d10f retw.n + +008e3884 : +vUsb_ep0tx(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:319 + 8e3884: 6c1004 entry a1, 32 + 8e3887: 120126 l32r a2, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:331 + 8e388a: 882c l32i.n a8, a2, 48 + 8e388c: 1a0127 l32r a10, 4e849c <_lit4_start+0x49c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:328 + 8e388f: c490 movi.n a9, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:331 + 8e3891: 688108 beqi a8, 1, 8e389d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:328 + 8e3894: 0c0200 memw + 8e3897: 29a488 s8i a9, a10, 136 + 8e389a: 600008 j 8e38a6 + +008e389d : + 8e389d: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:323 + 8e38a0: 288235 l32i a8, a8, 212 + 8e38a3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:333 + 8e38a6: c090 movi.n a9, 0 + 8e38a8: 992b s32i.n a9, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:334 + 8e38aa: d10f retw.n + +008e38ac : +vUsb_ep0rx(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:345 + 8e38ac: 6c1004 entry a1, 32 + 8e38af: 120126 l32r a2, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:355 + 8e38b2: 882c l32i.n a8, a2, 48 + 8e38b4: 698208 bnei a8, 2, 8e38c0 + 8e38b7: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + +008e38ba : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:350 + 8e38ba: 288236 l32i a8, a8, 216 + 8e38bd: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:357 + 8e38c0: 2b2112 l16ui a11, a2, 36 + 8e38c3: 892b l32i.n a9, a2, 44 + 8e38c5: c0a0 movi.n a10, 0 + 8e38c7: 0ba939 movnez a9, a10, a11 + 8e38ca: 992b s32i.n a9, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:361 + 8e38cc: d10f retw.n + +008e38ce : + ... + +008e38d0 : +vUsbClrEPx(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:365 + 8e38d0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:369 + 8e38d3: c031 movi.n a3, 1 + 8e38d5: 280aef movi a8, 239 + 8e38d8: 140051 l32r a4, 4e8144 <_lit4_start+0x144> + 8e38db: c073 movi.n a7, 3 + 8e38dd: c160 movi.n a6, 16 + 8e38df: c02a movi.n a2, 10 + 8e38e1: 6d2a31 loopgtz a2, 8e3916 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:371 + 8e38e4: 0f3911 slli a9, a3, 1 + 8e38e7: 299c3f addi a9, a9, 63 + 8e38ea: 079903 xor a9, a9, a7 + 8e38ed: 090947 extui a9, a9, 0, 8 + 8e38f0: 049902 or a9, a9, a4 + 8e38f3: 0c0200 memw + 8e38f6: 2a9000 l8ui a10, a9, 0 + 8e38f9: 06aa02 or a10, a10, a6 + 8e38fc: 0c0200 memw + 8e38ff: 2a9400 s8i a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:372 + 8e3902: 0c0200 memw + 8e3905: 259000 l8ui a5, a9, 0 + 8e3908: 085501 and a5, a5, a8 + 8e390b: 0c0200 memw + 8e390e: 259400 s8i a5, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:373 + 8e3911: b133 addi.n a3, a3, 1 + 8e3913: 030347 extui a3, a3, 0, 8 + +008e3916 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:374 + 8e3916: c031 movi.n a3, 1 + 8e3918: c0aa movi.n a10, 10 + 8e391a: 6daa31 loopgtz a10, 8e394f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:376 + 8e391d: 0f3911 slli a9, a3, 1 + 8e3920: 299c5f addi a9, a9, 95 + 8e3923: 079903 xor a9, a9, a7 + 8e3926: 090947 extui a9, a9, 0, 8 + 8e3929: 049902 or a9, a9, a4 + 8e392c: 0c0200 memw + 8e392f: 2a9000 l8ui a10, a9, 0 + 8e3932: 06aa02 or a10, a10, a6 + 8e3935: 0c0200 memw + 8e3938: 2a9400 s8i a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:377 + 8e393b: 0c0200 memw + 8e393e: 259000 l8ui a5, a9, 0 + 8e3941: 085501 and a5, a5, a8 + 8e3944: 0c0200 memw + 8e3947: 259400 s8i a5, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:378 + 8e394a: b133 addi.n a3, a3, 1 + 8e394c: 030347 extui a3, a3, 0, 8 + +008e394f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:379 + 8e394f: d10f retw.n + +008e3951 : + 8e3951: 000000 ... + +008e3954 : +bGet_status(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:390 + 8e3954: 6c1004 entry a1, 32 + 8e3957: 130126 l32r a3, 4e8498 <_lit4_start+0x498> + 8e395a: 150128 l32r a5, 4e84a0 <_lit4_start+0x4a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:393 + 8e395d: 263002 l8ui a6, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:390 + 8e3960: c04f movi.n a4, 15 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:393 + 8e3962: 283c58 addi a8, a3, 88 + 8e3965: 060643 extui a6, a6, 0, 4 + 8e3968: 086609 addx2 a6, a6, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:398 + 8e396b: c083 movi.n a8, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:393 + 8e396d: 266001 l8ui a6, a6, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:398 + 8e3970: 0c0200 memw + 8e3973: 2856c0 s32i a8, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:399 + 8e3976: 0c0200 memw + 8e3979: 265683 s32i a6, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:403 + 8e397c: 0c0200 memw + 8e397f: 2456c0 s32i a4, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:405 + 8e3982: c021 movi.n a2, 1 + 8e3984: 923b s32i.n a2, a3, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:406 + 8e3986: d10f retw.n + +008e3988 : +bClear_feature(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:417 + 8e3988: 6c1004 entry a1, 32 + 8e398b: 140126 l32r a4, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:418 + 8e398e: 224103 l16ui a2, a4, 6 + 8e3991: 6f2207 bgeui a2, 2, 8e399c + 8e3994: cc28 bnez.n a2, 8e39a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:421 + 8e3996: 234002 l8ui a3, a4, 2 + 8e3999: 683203 beqi a3, 2, 8e39a0 + +008e399c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:419 + 8e399c: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:427 + 8e399e: d10f retw.n + +008e39a0 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:425 + 8e39a0: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:424 + 8e39a2: c080 movi.n a8, 0 + 8e39a4: 28452e s16i a8, a4, 92 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:425 + 8e39a7: 924b s32i.n a2, a4, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:427 + 8e39a9: d10f retw.n + +008e39ab : + ... + +008e39ac : +bSet_feature(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:454 + 8e39ac: 6c1004 entry a1, 32 + 8e39af: 150126 l32r a5, 4e8498 <_lit4_start+0x498> + 8e39b2: c071 movi.n a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:567 + 8e39b4: 245103 l16ui a4, a5, 6 + 8e39b7: 120127 l32r a2, 4e849c <_lit4_start+0x49c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:463 + 8e39ba: 285002 l8ui a8, a5, 2 + 8e39bd: c949 beqz.n a4, 8e39da +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:567 + 8e39bf: 68411e beqi a4, 1, 8e39e1 + 8e39c2: 694217 bnei a4, 2, 8e39dd +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:562 + 8e39c5: 245104 l16ui a4, a5, 8 + 8e39c8: 04844f extui a4, a4, 8, 16 + 8e39cb: 6b4402 bgei a4, 4, 8e39d1 + 8e39ce: 6b412c bgei a4, 1, 8e39fe + +008e39d1 : + 8e39d1: 684441 beqi a4, 4, 8e3a16 + 8e39d4: 694505 bnei a4, 5, 8e39dd + 8e39d7: 60009c j 8e3a77 + +008e39da : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:463 + 8e39da: 688212 beqi a8, 2, 8e39f0 + +008e39dd : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:566 + 8e39dd: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:469 + 8e39df: d10f retw.n + +008e39e1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:476 + 8e39e1: 0c0200 memw + 8e39e4: 292083 l8ui a9, a2, 131 + 8e39e7: 079902 or a9, a9, a7 + 8e39ea: 0c0200 memw + 8e39ed: 292483 s8i a9, a2, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:478 + 8e39f0: 975b s32i.n a7, a5, 44 + 8e39f2: d670 mov.n a6, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:572 + 8e39f4: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:569 + 8e39f6: 696102 bnei a6, 1, 8e39fc + +008e39f9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:570 + 8e39f9: 27552e s16i a7, a5, 92 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:469 + 8e39fc: d10f retw.n + +008e39fe : + 8e39fe: 1a0129 l32r a10, 4e84a4 <_lit4_start+0x4a4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:493 + 8e3a01: d670 mov.n a6, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:492 + 8e3a03: 0a4a09 addx2 a10, a4, a10 + 8e3a06: 2aa17f l16ui a10, a10, 254 + 8e3a09: 0c0200 memw + 8e3a0c: 2a248b s8i a10, a2, 139 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:493 + 8e3a0f: 975b s32i.n a7, a5, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:494 + 8e3a11: 63ffdf j 8e39f4 + +008e3a14 : + ... + +008e3a16 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:501 + 8e3a16: c1b0 movi.n a11, 16 + +008e3a18 : + 8e3a18: 0c0200 memw + 8e3a1b: 2b248b s8i a11, a2, 139 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:502 + 8e3a1e: 0c0200 memw + 8e3a21: 272488 s8i a7, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:509 + 8e3a24: 0c0200 memw + 8e3a27: 2b248b s8i a11, a2, 139 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:520 + 8e3a2a: 0c0200 memw + 8e3a2d: 2c2088 l8ui a12, a2, 136 + 8e3a30: 7fcff6 bbsi a12, 31, 8e3a2a + 8e3a33: 160128 l32r a6, 4e84a0 <_lit4_start+0x4a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:528 + 8e3a36: c09f movi.n a9, 15 + 8e3a38: 0c0200 memw + 8e3a3b: 2966c0 s32i a9, a6, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:530 + 8e3a3e: c040 movi.n a4, 0 + 8e3a40: 18012a l32r a8, 4e84a8 <_lit4_start+0x4a8> + 8e3a43: c0dd movi.n a13, 13 + 8e3a45: 6dda0f loopgtz a13, 8e3a58 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:533 + 8e3a48: 084a0a addx4 a10, a4, a8 + 8e3a4b: 8aa0 l32i.n a10, a10, 0 + 8e3a4d: 0c0200 memw + 8e3a50: 2a6683 s32i a10, a6, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:534 + 8e3a53: b144 addi.n a4, a4, 1 + 8e3a55: 04044f extui a4, a4, 0, 16 + +008e3a58 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:539 + 8e3a58: c0d7 movi.n a13, 7 + 8e3a5a: 0c0200 memw + 8e3a5d: 2d66c0 s32i a13, a6, 0x300 + 8e3a60: 1c012b l32r a12, 4e84ac <_lit4_start+0x4ac> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:540 + 8e3a63: 0c0200 memw + 8e3a66: 2c6683 s32i a12, a6, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:544 + 8e3a69: 0c0200 memw + 8e3a6c: 2966c0 s32i a9, a6, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:553 + 8e3a6f: c0b2 movi.n a11, 2 + 8e3a71: 0c0200 memw + 8e3a74: 2b2488 s8i a11, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:558 + 8e3a77: 865b l32i.n a6, a5, 44 + 8e3a79: 63ff77 j 8e39f4 + +008e3a7c : +bSet_address(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:584 + 8e3a7c: 6c1004 entry a1, 32 + 8e3a7f: 140126 l32r a4, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:588 + 8e3a82: 224103 l16ui a2, a4, 6 + 8e3a85: 130127 l32r a3, 4e849c <_lit4_start+0x49c> + 8e3a88: 6e2f03 bltui a2, 0x100, 8e3a8f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:589 + 8e3a8b: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:597 + 8e3a8d: d10f retw.n + +008e3a8f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:594 + 8e3a8f: 0c0200 memw + 8e3a92: 223482 s8i a2, a3, 130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:596 + 8e3a95: c021 movi.n a2, 1 + 8e3a97: 924b s32i.n a2, a4, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:597 + 8e3a99: d10f retw.n + +008e3a9b : + ... + +008e3a9c : +bGet_descriptor(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:610 + 8e3a9c: 6c1004 entry a1, 32 + 8e3a9f: 1a0126 l32r a10, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:694 + 8e3aa2: 2ba103 l16ui a11, a10, 6 + 8e3aa5: 0b8947 extui a9, a11, 8, 8 + 8e3aa8: 689132 beqi a9, 1, 8e3ade + 8e3aab: 689226 beqi a9, 2, 8e3ad5 + 8e3aae: 689337 beqi a9, 3, 8e3ae9 + 8e3ab1: 68964f beqi a9, 6, 8e3b04 + 8e3ab4: 699722 bnei a9, 7, 8e3ada + 8e3ab7: 1b012c l32r a11, 4e84b0 <_lit4_start+0x4b0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:686 + 8e3aba: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:687 + 8e3abc: 2bb101 l16ui a11, a11, 2 + 8e3abf: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:696 + 8e3ac2: 29a105 l16ui a9, a10, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:700 + 8e3ac5: 288235 l32i a8, a8, 212 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:696 + 8e3ac8: 0b9936 minu a9, a9, a11 + 8e3acb: 29a512 s16i a9, a10, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:700 + 8e3ace: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:701 + 8e3ad1: c021 movi.n a2, 1 + 8e3ad3: d10f retw.n + +008e3ad5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:643 + 8e3ad5: 0b0947 extui a9, a11, 0, 8 + 8e3ad8: cb93 beqz.n a9, 8e3b0f + +008e3ada : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:693 + 8e3ada: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:701 + 8e3adc: d10f retw.n + +008e3ade : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:626 + 8e3ade: 2ba210 l32i a11, a10, 64 + 8e3ae1: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:627 + 8e3ae3: 2bb001 l8ui a11, a11, 1 + 8e3ae6: 63ffd5 j 8e3abf + +008e3ae9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:672 + 8e3ae9: 0b0b47 extui a11, a11, 0, 8 + 8e3aec: cab9 beqz.n a11, 8e3b19 + 8e3aee: 68bb2d beqi a11, 16, 8e3b1f + 8e3af1: 68bc30 beqi a11, 32, 8e3b25 + 8e3af4: c3c0 movi.n a12, 48 + 8e3af6: 7cb9e0 bne a11, a12, 8e3ada +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:667 + 8e3af9: 29a214 l32i a9, a10, 80 + 8e3afc: 99a8 s32i.n a9, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:673 + 8e3afe: 2b9001 l8ui a11, a9, 1 + 8e3b01: 63ffba j 8e3abf + +008e3b04 : + 8e3b04: 1b012d l32r a11, 4e84b4 <_lit4_start+0x4b4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:678 + 8e3b07: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:679 + 8e3b09: 2bb001 l8ui a11, a11, 1 + 8e3b0c: 63ffaf j 8e3abf + +008e3b0f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:637 + 8e3b0f: 8baa l32i.n a11, a10, 40 + 8e3b11: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:638 + 8e3b13: 2bb101 l16ui a11, a11, 2 + 8e3b16: 63ffa5 j 8e3abf + +008e3b19 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:652 + 8e3b19: 29a211 l32i a9, a10, 68 + 8e3b1c: 63ffdc j 8e3afc + +008e3b1f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:657 + 8e3b1f: 29a212 l32i a9, a10, 72 + 8e3b22: 63ffd6 j 8e3afc + +008e3b25 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:662 + 8e3b25: 29a213 l32i a9, a10, 76 + 8e3b28: 63ffd0 j 8e3afc + +008e3b2b : + ... + +008e3b2c : +bGet_configuration(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:713 + 8e3b2c: 6c1004 entry a1, 32 + 8e3b2f: c021 movi.n a2, 1 + 8e3b31: 150128 l32r a5, 4e84a0 <_lit4_start+0x4a0> + 8e3b34: 130126 l32r a3, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:719 + 8e3b37: 0c0200 memw + 8e3b3a: 2256c0 s32i a2, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:720 + 8e3b3d: 28311b l16ui a8, a3, 54 + 8e3b40: 0c0200 memw + 8e3b43: 285683 s32i a8, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:724 + 8e3b46: c04f movi.n a4, 15 + 8e3b48: 0c0200 memw + 8e3b4b: 2456c0 s32i a4, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:726 + 8e3b4e: 923b s32i.n a2, a3, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:728 + 8e3b50: d10f retw.n + +008e3b52 : + ... + +008e3b54 : +bSet_configuration(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:743 + 8e3b54: 6c1004 entry a1, 32 + 8e3b57: 130126 l32r a3, 4e8498 <_lit4_start+0x498> + 8e3b5a: 120127 l32r a2, 4e849c <_lit4_start+0x49c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:748 + 8e3b5d: 2a3007 l8ui a10, a3, 7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:753 + 8e3b60: c054 movi.n a5, 4 + 8e3b62: 140128 l32r a4, 4e84a0 <_lit4_start+0x4a0> + 8e3b65: cda5 bnez.n a10, 8e3b7e +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:750 + 8e3b67: c090 movi.n a9, 0 + 8e3b69: 29351b s16i a9, a3, 54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:753 + 8e3b6c: 0c0200 memw + 8e3b6f: 282082 l8ui a8, a2, 130 + 8e3b72: 080846 extui a8, a8, 0, 7 + 8e3b75: 0c0200 memw + 8e3b78: 282482 s8i a8, a2, 130 + 8e3b7b: 60005c j 8e3bdb + +008e3b7e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:757 + 8e3b7e: 0c0200 memw + 8e3b81: 2b2083 l8ui a11, a2, 131 + 8e3b84: 79b706 bbci a11, 25, 8e3b8e +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:759 + 8e3b87: 6ea256 bltui a10, 2, 8e3be1 + +008e3b8a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:760 + 8e3b8a: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:798 + 8e3b8c: d10f retw.n + +008e3b8e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:773 + 8e3b8e: 6fa2f8 bgeui a10, 2, 8e3b8a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:776 + 8e3b91: 2a351b s16i a10, a3, 54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:777 + 8e3b94: 5803f9 call8 8e4b7c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:781 + 8e3b97: c7db movi.n a13, -5 + 8e3b99: 0c0200 memw + 8e3b9c: 2c42c2 l32i a12, a4, 0x308 + 8e3b9f: 0dcc01 and a12, a12, a13 + 8e3ba2: 0c0200 memw + 8e3ba5: 2c46c2 s32i a12, a4, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:787 + 8e3ba8: 2f0a80 movi a15, 128 + 8e3bab: 0c0200 memw + 8e3bae: 2e2082 l8ui a14, a2, 130 + 8e3bb1: 0fee02 or a14, a14, a15 + 8e3bb4: 0c0200 memw + 8e3bb7: 2e2482 s8i a14, a2, 130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:790 + 8e3bba: 5bff45 call8 8e38d0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:792 + 8e3bbd: 0c0200 memw + 8e3bc0: 292083 l8ui a9, a2, 131 + 8e3bc3: 059902 or a9, a9, a5 + 8e3bc6: 0c0200 memw + 8e3bc9: 292483 s8i a9, a2, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:793 + 8e3bcc: 0c0200 memw + 8e3bcf: 282096 l8ui a8, a2, 150 + 8e3bd2: 080845 extui a8, a8, 0, 6 + 8e3bd5: 0c0200 memw + 8e3bd8: 282496 s8i a8, a2, 150 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:797 + 8e3bdb: c021 movi.n a2, 1 + 8e3bdd: 923b s32i.n a2, a3, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:798 + 8e3bdf: d10f retw.n + +008e3be1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:762 + 8e3be1: 2a351b s16i a10, a3, 54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:763 + 8e3be4: 580381 call8 8e49ec +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:767 + 8e3be7: 0c0200 memw + 8e3bea: 2a42c2 l32i a10, a4, 0x308 + 8e3bed: 05aa02 or a10, a10, a5 + 8e3bf0: 0c0200 memw + 8e3bf3: 2a46c2 s32i a10, a4, 0x308 + 8e3bf6: 63ffae j 8e3ba8 + +008e3bf9 : + 8e3bf9: 000000 ... + +008e3bfc : +bGet_interface(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:810 + 8e3bfc: 6c1004 entry a1, 32 + 8e3bff: 130127 l32r a3, 4e849c <_lit4_start+0x49c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:813 + 8e3c02: 0c0200 memw + 8e3c05: 140126 l32r a4, 4e8498 <_lit4_start+0x498> + 8e3c08: 233082 l8ui a3, a3, 130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:836 + 8e3c0b: 28411b l16ui a8, a4, 54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:814 + 8e3c0e: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:813 + 8e3c10: 78370b bbci a3, 24, 8e3c1f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:836 + 8e3c13: 698108 bnei a8, 1, 8e3c1f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:823 + 8e3c16: 294104 l16ui a9, a4, 8 + 8e3c19: 1b0128 l32r a11, 4e84a0 <_lit4_start+0x4a0> + 8e3c1c: 6e9201 bltui a9, 2, 8e3c21 + +008e3c1f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:849 + 8e3c1f: d10f retw.n + +008e3c21 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:840 + 8e3c21: c021 movi.n a2, 1 + 8e3c23: 0c0200 memw + 8e3c26: 22b6c0 s32i a2, a11, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:841 + 8e3c29: 2c411d l16ui a12, a4, 58 + 8e3c2c: 0c0200 memw + 8e3c2f: 2cb683 s32i a12, a11, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:845 + 8e3c32: c0af movi.n a10, 15 + 8e3c34: 0c0200 memw + 8e3c37: 2ab6c0 s32i a10, a11, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:847 + 8e3c3a: 224512 s16i a2, a4, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:848 + 8e3c3d: 924b s32i.n a2, a4, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:849 + 8e3c3f: d10f retw.n + +008e3c41 : + 8e3c41: 000000 ... + +008e3c44 : +bSet_interface(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:863 + 8e3c44: 6c1004 entry a1, 32 + 8e3c47: 130127 l32r a3, 4e849c <_lit4_start+0x49c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:868 + 8e3c4a: 0c0200 memw + 8e3c4d: 140126 l32r a4, 4e8498 <_lit4_start+0x498> + 8e3c50: 283082 l8ui a8, a3, 130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:910 + 8e3c53: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:908 + 8e3c55: 2a4104 l16ui a10, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:868 + 8e3c58: 788738 bbci a8, 24, 8e3c94 + 8e3c5b: cfa5 bnez.n a10, 8e3c94 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:877 + 8e3c5d: 894a l32i.n a9, a4, 40 + 8e3c5f: 2b4007 l8ui a11, a4, 7 + 8e3c62: 29900d l8ui a9, a9, 13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:879 + 8e3c65: 0a0d47 extui a13, a10, 0, 8 + 8e3c68: c054 movi.n a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:877 + 8e3c6a: 7b9926 bne a9, a11, 8e3c94 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:879 + 8e3c6d: 2d451c s16i a13, a4, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:880 + 8e3c70: 2b451d s16i a11, a4, 58 + 8e3c73: 120128 l32r a2, 4e84a0 <_lit4_start+0x4a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:881 + 8e3c76: 0c0200 memw + 8e3c79: 2c3083 l8ui a12, a3, 131 + 8e3c7c: 79c716 bbci a12, 25, 8e3c96 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:883 + 8e3c7f: 58035b call8 8e49ec +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:886 + 8e3c82: 0c0200 memw + 8e3c85: 2e22c2 l32i a14, a2, 0x308 + 8e3c88: 05ee02 or a14, a14, a5 + 8e3c8b: 0c0200 memw + 8e3c8e: 2e26c2 s32i a14, a2, 0x308 + 8e3c91: 600015 j 8e3caa + +008e3c94 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:910 + 8e3c94: d10f retw.n + +008e3c96 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:891 + 8e3c96: 5803b9 call8 8e4b7c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:894 + 8e3c99: c78b movi.n a8, -5 + 8e3c9b: 0c0200 memw + 8e3c9e: 2f22c2 l32i a15, a2, 0x308 + 8e3ca1: 08ff01 and a15, a15, a8 + 8e3ca4: 0c0200 memw + 8e3ca7: 2f26c2 s32i a15, a2, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:897 + 8e3caa: 5bff09 call8 8e38d0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:898 + 8e3cad: c021 movi.n a2, 1 + 8e3caf: 924b s32i.n a2, a4, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:900 + 8e3cb1: 0c0200 memw + 8e3cb4: 2a3083 l8ui a10, a3, 131 + 8e3cb7: 05aa02 or a10, a10, a5 + 8e3cba: 0c0200 memw + 8e3cbd: 2a3483 s8i a10, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:901 + 8e3cc0: 0c0200 memw + 8e3cc3: 293096 l8ui a9, a3, 150 + 8e3cc6: 090945 extui a9, a9, 0, 6 + 8e3cc9: 0c0200 memw + 8e3ccc: 293496 s8i a9, a3, 150 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:910 + 8e3ccf: d10f retw.n + +008e3cd1 : + 8e3cd1: 000000 ... + +008e3cd4 : +vUsbEP0TxData(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:921 + 8e3cd4: 6c1004 entry a1, 32 + 8e3cd7: 160126 l32r a6, 4e8498 <_lit4_start+0x498> + 8e3cda: 140128 l32r a4, 4e84a0 <_lit4_start+0x4a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 + 8e3cdd: c031 movi.n a3, 1 + 8e3cdf: 276112 l16ui a7, a6, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:921 + 8e3ce2: c450 movi.n a5, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 + 8e3ce4: d850 mov.n a8, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 + 8e3ce6: 070947 extui a9, a7, 0, 8 + 8e3ce9: 6e7d01 bltui a7, 64, 8e3cee + 8e3cec: c030 movi.n a3, 0 + +008e3cee : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 + 8e3cee: 039839 movnez a8, a9, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 + 8e3cf1: 039539 movnez a5, a9, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 + 8e3cf4: 052214 srli a2, a5, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 + 8e3cf7: 08770c sub a7, a7, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 + 8e3cfa: 8368 l32i.n a3, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 + 8e3cfc: 07074f extui a7, a7, 0, 16 + 8e3cff: 276512 s16i a7, a6, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 + 8e3d02: 055802 or a8, a5, a5 + 8e3d05: 6d2918 loopnez a2, 8e3d21 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:945 + 8e3d08: 2b3101 l16ui a11, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:944 + 8e3d0b: 2a3100 l16ui a10, a3, 0 + 8e3d0e: b23c addi.n a12, a3, 2 + 8e3d10: 9c68 s32i.n a12, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:945 + 8e3d12: b433 addi.n a3, a3, 4 + 8e3d14: 9368 s32i.n a3, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:950 + 8e3d16: 00bb11 slli a11, a11, 16 + 8e3d19: abaa add.n a10, a10, a11 + 8e3d1b: 0c0200 memw + 8e3d1e: 2a4683 s32i a10, a4, 0x20c + +008e3d21 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 + 8e3d21: c053 movi.n a5, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:956 + 8e3d23: 080341 extui a3, a8, 0, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 + 8e3d26: c081 movi.n a8, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:956 + 8e3d28: 693321 bnei a3, 3, 8e3d4d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:963 + 8e3d2b: 8268 l32i.n a2, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:964 + 8e3d2d: 2e2101 l16ui a14, a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:963 + 8e3d30: 2d2100 l16ui a13, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:964 + 8e3d33: b422 addi.n a2, a2, 4 + 8e3d35: 9268 s32i.n a2, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:968 + 8e3d37: c0f7 movi.n a15, 7 + 8e3d39: 0c0200 memw + 8e3d3c: 2f46c0 s32i a15, a4, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:969 + 8e3d3f: 00ee11 slli a14, a14, 16 + 8e3d42: aedd add.n a13, a13, a14 + 8e3d44: 0c0200 memw + 8e3d47: 2d4683 s32i a13, a4, 0x20c + 8e3d4a: 60002a j 8e3d78 + +008e3d4d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:971 + 8e3d4d: 693213 bnei a3, 2, 8e3d64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:973 + 8e3d50: 0c0200 memw + 8e3d53: 2546c0 s32i a5, a4, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:974 + 8e3d56: 8968 l32i.n a9, a6, 32 + 8e3d58: 299100 l16ui a9, a9, 0 + 8e3d5b: 0c0200 memw + 8e3d5e: 294683 s32i a9, a4, 0x20c + 8e3d61: 600013 j 8e3d78 + +008e3d64 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:976 + 8e3d64: 693110 bnei a3, 1, 8e3d78 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:978 + 8e3d67: 0c0200 memw + 8e3d6a: 2846c0 s32i a8, a4, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:979 + 8e3d6d: 8a68 l32i.n a10, a6, 32 + +008e3d6f : + 8e3d6f: 2aa100 l16ui a10, a10, 0 + 8e3d72: 0c0200 memw + 8e3d75: 2a4683 s32i a10, a4, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:983 + 8e3d78: c0bf movi.n a11, 15 + 8e3d7a: 0c0200 memw + 8e3d7d: 2b46c0 s32i a11, a4, 0x300 + 8e3d80: cc74 bnez.n a7, 8e3d88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:990 + 8e3d82: 986b s32i.n a8, a6, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:989 + 8e3d84: c0c0 movi.n a12, 0 + 8e3d86: 9c6c s32i.n a12, a6, 48 + +008e3d88 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:992 + 8e3d88: d10f retw.n + +008e3d8a : + ... + +008e3d8c : +vUsbEP0RxData(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1002 + 8e3d8c: 6c1004 entry a1, 32 + 8e3d8f: 190126 l32r a9, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1005 + 8e3d92: c031 movi.n a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1002 + 8e3d94: c460 movi.n a6, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1005 + 8e3d96: 259112 l16ui a5, a9, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1010 + 8e3d99: d760 mov.n a7, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1015 + 8e3d9b: 8498 l32i.n a4, a9, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1005 + 8e3d9d: 050847 extui a8, a5, 0, 8 + 8e3da0: 6e5d01 bltui a5, 64, 8e3da5 + 8e3da3: c030 movi.n a3, 0 + +008e3da5 : + 8e3da5: 038639 movnez a6, a8, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1010 + 8e3da8: 038739 movnez a7, a8, a3 + 8e3dab: 07550c sub a5, a5, a7 + 8e3dae: 05054f extui a5, a5, 0, 16 + 8e3db1: 259512 s16i a5, a9, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1017 + 8e3db4: b367 addi.n a7, a6, 3 + 8e3db6: 072712 srai a7, a7, 2 + 8e3db9: 6a7138 blti a7, 1, 8e3df5 + 8e3dbc: 160128 l32r a6, 4e84a0 <_lit4_start+0x4a0> + 8e3dbf: c030 movi.n a3, 0 + 8e3dc1: 6d7a28 loopgtz a7, 8e3ded +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1021 + 8e3dc4: 0c0200 memw + 8e3dc7: 2b6283 l32i a11, a6, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1033 + 8e3dca: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1026 + 8e3dcc: 2b4400 s8i a11, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1027 + 8e3dcf: 0b8814 srli a8, a11, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1028 + 8e3dd2: 0b075f extui a7, a11, 16, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1029 + 8e3dd5: 0b8557 extui a5, a11, 24, 8 + 8e3dd8: 254403 s8i a5, a4, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1028 + 8e3ddb: 274402 s8i a7, a4, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1027 + 8e3dde: 284401 s8i a8, a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1031 + 8e3de1: 8a97 l32i.n a10, a9, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1033 + 8e3de3: 030347 extui a3, a3, 0, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1029 + 8e3de6: b444 addi.n a4, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1031 + 8e3de8: 0baa03 xor a10, a10, a11 + 8e3deb: 9a97 s32i.n a10, a9, 28 + +008e3ded : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1017 + 8e3ded: 259112 l16ui a5, a9, 36 + 8e3df0: 8498 l32i.n a4, a9, 32 + 8e3df2: 600001 j 8e3df7 + +008e3df5 : + 8e3df5: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1035 + 8e3df7: 043a0a addx4 a10, a3, a4 + 8e3dfa: 9a98 s32i.n a10, a9, 32 + 8e3dfc: cc56 bnez.n a5, 8e3e06 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1042 + 8e3dfe: c0b1 movi.n a11, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1041 + 8e3e00: c0c0 movi.n a12, 0 + 8e3e02: 9c9c s32i.n a12, a9, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1042 + 8e3e04: 9b9b s32i.n a11, a9, 44 + +008e3e06 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1044 + 8e3e06: d10f retw.n + +008e3e08 : +vUsb_SetupDescriptor(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1054 + 8e3e08: 6c1004 entry a1, 32 + 8e3e0b: 180003 l32r a8, 4e800c <_lit4_start+0xc> + 8e3e0e: 120126 l32r a2, 4e8498 <_lit4_start+0x498> + 8e3e11: 190030 l32r a9, 4e80c0 <_lit4_start+0xc0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1061 + 8e3e14: 8880 l32i.n a8, a8, 0 + 8e3e16: 1d0131 l32r a13, 4e84c4 <_lit4_start+0x4c4> + 8e3e19: 1e0130 l32r a14, 4e84c0 <_lit4_start+0x4c0> + 8e3e1c: 79892b bne a8, a9, 8e3e4b + 8e3e1f: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1063 + 8e3e22: 288212 l32i a8, a8, 72 + 8e3e25: 1a012e l32r a10, 4e84b8 <_lit4_start+0x4b8> + 8e3e28: 0b8000 callx8 a8 + 8e3e2b: 1c0032 l32r a12, 4e80c8 <_lit4_start+0xc8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1065 + 8e3e2e: 2c2610 s32i a12, a2, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1069 + 8e3e31: 29cc64 addi a9, a12, 100 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1068 + 8e3e34: 2acc44 addi a10, a12, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1067 + 8e3e37: 2bcc2c addi a11, a12, 44 + 8e3e3a: 2b2612 s32i a11, a2, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1068 + 8e3e3d: 2a2613 s32i a10, a2, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1066 + 8e3e40: 2ccc20 addi a12, a12, 32 + 8e3e43: 2c2611 s32i a12, a2, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1069 + 8e3e46: 292614 s32i a9, a2, 80 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1085 + 8e3e49: d10f retw.n + +008e3e4b : + 8e3e4b: 190132 l32r a9, 4e84c8 <_lit4_start+0x4c8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1077 + 8e3e4e: 2d2613 s32i a13, a2, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1076 + 8e3e51: 2e2612 s32i a14, a2, 72 + 8e3e54: 18009d l32r a8, 4e8274 <_lit4_start+0x274> + 8e3e57: 1f012f l32r a15, 4e84bc <_lit4_start+0x4bc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1075 + 8e3e5a: 2f2611 s32i a15, a2, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1074 + 8e3e5d: 282610 s32i a8, a2, 64 + 8e3e60: 63ffe2 j 8e3e46 + +008e3e63 : + ... + +008e3e64 : +bStandardCommand(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1096 + 8e3e64: 6c1004 entry a1, 32 + 8e3e67: 1a0126 l32r a10, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1233 + 8e3e6a: 2aa102 l16ui a10, a10, 4 + 8e3e6d: 1b0018 l32r a11, 4e8060 <_lit4_start+0x60> + 8e3e70: c9ae beqz.n a10, 8e3e92 + 8e3e72: 68a130 beqi a10, 1, 8e3ea6 + 8e3e75: 68a337 beqi a10, 3, 8e3eb0 + 8e3e78: 68a53e beqi a10, 5, 8e3eba + 8e3e7b: 68a645 beqi a10, 6, 8e3ec4 + 8e3e7e: 68a84c beqi a10, 8, 8e3ece + 8e3e81: c0c9 movi.n a12, 9 + 8e3e83: 7ca15b beq a10, a12, 8e3ee2 + 8e3e86: 68a94e beqi a10, 10, 8e3ed8 + 8e3e89: c0db movi.n a13, 11 + 8e3e8b: 7da10d beq a10, a13, 8e3e9c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1234 + 8e3e8e: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3e90: d10f retw.n + +008e3e92 : + 8e3e92: 28b231 l32i a8, a11, 196 + 8e3e95: 0b8000 callx8 a8 + 8e3e98: d2a0 mov.n a2, a10 + 8e3e9a: d10f retw.n + +008e3e9c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1231 + 8e3e9c: 28b224 l32i a8, a11, 144 + 8e3e9f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1232 + 8e3ea2: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3ea4: d10f retw.n + +008e3ea6 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1103 + 8e3ea6: 28b22d l32i a8, a11, 180 + 8e3ea9: 0b8000 callx8 a8 + 8e3eac: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3eae: d10f retw.n + +008e3eb0 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1106 + 8e3eb0: 28b22e l32i a8, a11, 184 + 8e3eb3: 0b8000 callx8 a8 + 8e3eb6: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3eb8: d10f retw.n + +008e3eba : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1109 + 8e3eba: 28b22f l32i a8, a11, 188 + 8e3ebd: 0b8000 callx8 a8 + 8e3ec0: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3ec2: d10f retw.n + +008e3ec4 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1112 + 8e3ec4: 28b230 l32i a8, a11, 192 + 8e3ec7: 0b8000 callx8 a8 + 8e3eca: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3ecc: d10f retw.n + +008e3ece : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1121 + 8e3ece: 28b225 l32i a8, a11, 148 + 8e3ed1: 0b8000 callx8 a8 + 8e3ed4: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3ed6: d10f retw.n + +008e3ed8 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1224 + 8e3ed8: 28b223 l32i a8, a11, 140 + 8e3edb: 0b8000 callx8 a8 + 8e3ede: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3ee0: d10f retw.n + +008e3ee2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1129 + 8e3ee2: 28b226 l32i a8, a11, 152 + 8e3ee5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1134 + 8e3ee8: c021 movi.n a2, 1 + 8e3eea: 1a0128 l32r a10, 4e84a0 <_lit4_start+0x4a0> + 8e3eed: 1d0110 l32r a13, 4e8440 <_lit4_start+0x440> + 8e3ef0: 1c010f l32r a12, 4e843c <_lit4_start+0x43c> + 8e3ef3: 0c0200 memw + 8e3ef6: 22d687 s32i a2, a13, 0x21c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1135 + 8e3ef9: 0c0200 memw + 8e3efc: 22c686 s32i a2, a12, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1138 + 8e3eff: 0c0200 memw + 8e3f02: 22d6c7 s32i a2, a13, 0x31c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1142 + 8e3f05: 0c0200 memw + 8e3f08: 92c7 s32i.n a2, a12, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1149 + 8e3f0a: c0b8 movi.n a11, 8 + 8e3f0c: 0c0200 memw + 8e3f0f: 29a2c2 l32i a9, a10, 0x308 + 8e3f12: 0b9902 or a9, a9, a11 + 8e3f15: 0c0200 memw + 8e3f18: 29a6c2 s32i a9, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1156 + 8e3f1b: c082 movi.n a8, 2 + 8e3f1d: 0c0200 memw + 8e3f20: 2fa2c2 l32i a15, a10, 0x308 + 8e3f23: 08ff02 or a15, a15, a8 + 8e3f26: 0c0200 memw + 8e3f29: 2fa6c2 s32i a15, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1157 + 8e3f2c: 0c0200 memw + 8e3f2f: 2ea2c2 l32i a14, a10, 0x308 + 8e3f32: 02ee02 or a14, a14, a2 + 8e3f35: 0c0200 memw + 8e3f38: 2ea6c2 s32i a14, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1160 + 8e3f3b: 2d1a00 movi a13, 0x100 + 8e3f3e: 0c0200 memw + 8e3f41: 2ca2c2 l32i a12, a10, 0x308 + 8e3f44: 0dcc02 or a12, a12, a13 + 8e3f47: 0c0200 memw + 8e3f4a: 2ca6c2 s32i a12, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1164 + 8e3f4d: 2b2a00 movi a11, 0x200 + 8e3f50: 0c0200 memw + 8e3f53: 29a2c2 l32i a9, a10, 0x308 + 8e3f56: 0b9902 or a9, a9, a11 + 8e3f59: 0c0200 memw + 8e3f5c: 29a6c2 s32i a9, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3f5f: d10f retw.n + +008e3f61 : + 8e3f61: 000000 ... + +008e3f64 : +VendorCommand(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1239 + 8e3f64: 6c1004 entry a1, 32 + 8e3f67: 190133 l32r a9, 4e84cc <_lit4_start+0x4cc> + 8e3f6a: 160015 l32r a6, 4e8054 <_lit4_start+0x54> + 8e3f6d: 120126 l32r a2, 4e8498 <_lit4_start+0x498> + 8e3f70: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1248 + 8e3f73: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1306 + 8e3f76: 2a2102 l16ui a10, a2, 4 + 8e3f79: c380 movi.n a8, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1248 + 8e3f7b: 29667c s32i a9, a6, 0x1f0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1306 + 8e3f7e: 78a163 beq a10, a8, 8e3fe5 + 8e3f81: c3b1 movi.n a11, 49 + 8e3f83: 7ba979 bne a10, a11, 8e4000 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1262 + 8e3f86: 272103 l16ui a7, a2, 6 + 8e3f89: 1a0134 l32r a10, 4e84d0 <_lit4_start+0x4d0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1263 + 8e3f8c: 283212 l32i a8, a3, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1262 + 8e3f8f: 087711 slli a7, a7, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1263 + 8e3f92: db70 mov.n a11, a7 + 8e3f94: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1265 + 8e3f97: c0ef movi.n a14, 15 + 8e3f99: c0d1 movi.n a13, 1 + 8e3f9b: c0c0 movi.n a12, 0 + 8e3f9d: 8b27 l32i.n a11, a2, 28 + 8e3f9f: 150128 l32r a5, 4e84a0 <_lit4_start+0x4a0> + 8e3fa2: 140127 l32r a4, 4e849c <_lit4_start+0x49c> + 8e3fa5: 64b059 beqz a11, 8e4002 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1267 + 8e3fa8: 283212 l32i a8, a3, 72 + 8e3fab: c07f movi.n a7, 15 + 8e3fad: 1a0135 l32r a10, 4e84d4 <_lit4_start+0x4d4> + 8e3fb0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1268 + 8e3fb3: c0c0 movi.n a12, 0 + 8e3fb5: 9c27 s32i.n a12, a2, 28 + 8e3fb7: 1b0136 l32r a11, 4e84d8 <_lit4_start+0x4d8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1270 + 8e3fba: 0c0200 memw + 8e3fbd: 2a627d l32i a10, a6, 0x1f4 + 8e3fc0: 0baa02 or a10, a10, a11 + 8e3fc3: 0c0200 memw + 8e3fc6: 2a667d s32i a10, a6, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1272 + 8e3fc9: 0c0200 memw + 8e3fcc: c091 movi.n a9, 1 + 8e3fce: 2956c0 s32i a9, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1273 + 8e3fd1: 0c0200 memw + 8e3fd4: 295683 s32i a9, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1274 + 8e3fd7: 0c0200 memw + 8e3fda: 2756c0 s32i a7, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1275 + 8e3fdd: 0c0200 memw + 8e3fe0: 294488 s8i a9, a4, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1307 + 8e3fe3: d10f retw.n + +008e3fe5 : + 8e3fe5: 1a0137 l32r a10, 4e84dc <_lit4_start+0x4dc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1255 + 8e3fe8: 283212 l32i a8, a3, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1254 + 8e3feb: 2b2103 l16ui a11, a2, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1253 + 8e3fee: 2c2105 l16ui a12, a2, 10 + 8e3ff1: 2c2512 s16i a12, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1254 + 8e3ff4: 08bb11 slli a11, a11, 8 + 8e3ff7: 9b28 s32i.n a11, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1255 + 8e3ff9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1257 + 8e3ffc: c0c2 movi.n a12, 2 + 8e3ffe: 9c2c s32i.n a12, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1307 + 8e4000: d10f retw.n + +008e4002 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1281 + 8e4002: 9c27 s32i.n a12, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1282 + 8e4004: 0c0200 memw + 8e4007: 2d56c0 s32i a13, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1283 + 8e400a: 0c0200 memw + 8e400d: 2c5683 s32i a12, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1284 + 8e4010: 0c0200 memw + 8e4013: 2e56c0 s32i a14, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1285 + 8e4016: 0c0200 memw + 8e4019: 2d4488 s8i a13, a4, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1287 + 8e401c: 283212 l32i a8, a3, 72 + 8e401f: 1a0138 l32r a10, 4e84e0 <_lit4_start+0x4e0> + 8e4022: 0b8000 callx8 a8 + 8e4025: 1d0139 l32r a13, 4e84e4 <_lit4_start+0x4e4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1289 + 8e4028: 0c0200 memw + 8e402b: 2c627d l32i a12, a6, 0x1f4 + 8e402e: 0dcc01 and a12, a12, a13 + 8e4031: 0c0200 memw + 8e4034: 2c667d s32i a12, a6, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1290 + 8e4037: c3af movi.n a10, 63 + 8e4039: 2bfa00 movi a11, 0xffffff00 + 8e403c: 0c0200 memw + 8e403f: 29627d l32i a9, a6, 0x1f4 + 8e4042: 0b9901 and a9, a9, a11 + 8e4045: 0a9902 or a9, a9, a10 + 8e4048: 0c0200 memw + 8e404b: 29667d s32i a9, a6, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1298 + 8e404e: 077839 movnez a8, a7, a7 + 8e4051: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1307 + 8e4054: d10f retw.n + +008e4056 : + ... + +008e4058 : +vUsb_ep0setup(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1322 + 8e4058: 6c1006 entry a1, 48 + 8e405b: 120126 l32r a2, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1330 + 8e405e: 28211a l16ui a8, a2, 52 + 8e4061: 130127 l32r a3, 4e849c <_lit4_start+0x49c> + 8e4064: 140018 l32r a4, 4e8060 <_lit4_start+0x60> + 8e4067: b088 addi.n a8, a8, -1 + 8e4069: 6480ae beqz a8, 8e411b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1336 + 8e406c: 284232 l32i a8, a4, 200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1332 + 8e406f: c091 movi.n a9, 1 + 8e4071: 29251a s16i a9, a2, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1336 + 8e4074: 0b8000 callx8 a8 + 8e4077: 1a012c l32r a10, 4e84b0 <_lit4_start+0x4b0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1338 + 8e407a: 2e7a09 movi a14, 0x709 + 8e407d: 2ea500 s16i a14, a10, 0 + 8e4080: 1b013a l32r a11, 4e84e8 <_lit4_start+0x4e8> + 8e4083: 1c013b l32r a12, 4e84ec <_lit4_start+0x4ec> + 8e4086: 1e012d l32r a14, 4e84b4 <_lit4_start+0x4b4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1340 + 8e4089: 0c0200 memw + 8e408c: 2d3083 l8ui a13, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1345 + 8e408f: c091 movi.n a9, 1 + 8e4091: 2f0a03 movi a15, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1340 + 8e4094: 79d73d bbci a13, 25, 8e40d5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1342 + 8e4097: 2c260a s32i a12, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1345 + 8e409a: 2d2210 l32i a13, a2, 64 + 8e409d: 6dfa10 loopgtz a15, 8e40b1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1347 + 8e40a0: 0e9f09 addx2 a15, a9, a14 + 8e40a3: 0d9c09 addx2 a12, a9, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1348 + 8e40a6: b199 addi.n a9, a9, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1347 + 8e40a8: 2cc100 l16ui a12, a12, 0 + 8e40ab: 2cf500 s16i a12, a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1348 + 8e40ae: 090947 extui a9, a9, 0, 8 + +008e40b1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1352 + 8e40b1: 28d108 l16ui a8, a13, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1355 + 8e40b4: c091 movi.n a9, 1 + 8e40b6: c1fd movi.n a15, 29 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1352 + 8e40b8: 088847 extui a8, a8, 8, 8 + 8e40bb: 28e504 s16i a8, a14, 8 + 8e40be: 6dfa10 loopgtz a15, 8e40d2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1357 + 8e40c1: 0a9d09 addx2 a13, a9, a10 + 8e40c4: 0b9c09 addx2 a12, a9, a11 + 8e40c7: 2cc100 l16ui a12, a12, 0 + 8e40ca: 2cd500 s16i a12, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1358 + 8e40cd: b199 addi.n a9, a9, 1 + 8e40cf: 090947 extui a9, a9, 0, 8 + +008e40d2 : + 8e40d2: 60003f j 8e4115 + +008e40d5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1379 + 8e40d5: 9b2a s32i.n a11, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1382 + 8e40d7: 2d2210 l32i a13, a2, 64 + 8e40da: c091 movi.n a9, 1 + 8e40dc: c0f3 movi.n a15, 3 + 8e40de: 6dfa10 loopgtz a15, 8e40f2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1384 + 8e40e1: 0e9f09 addx2 a15, a9, a14 + 8e40e4: 0d9b09 addx2 a11, a9, a13 + 8e40e7: 2bb100 l16ui a11, a11, 0 + 8e40ea: 2bf500 s16i a11, a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1385 + 8e40ed: b199 addi.n a9, a9, 1 + 8e40ef: 090947 extui a9, a9, 0, 8 + +008e40f2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1389 + 8e40f2: 28d108 l16ui a8, a13, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1392 + 8e40f5: 290a01 movi a9, 1 + 8e40f8: 2f0a1d movi a15, 29 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1389 + 8e40fb: 088847 extui a8, a8, 8, 8 + 8e40fe: 28e504 s16i a8, a14, 8 + 8e4101: 6dfa10 loopgtz a15, 8e4115 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1394 + 8e4104: 0a9d09 addx2 a13, a9, a10 + 8e4107: 0c9b09 addx2 a11, a9, a12 + 8e410a: 2bb100 l16ui a11, a11, 0 + 8e410d: 2bd500 s16i a11, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1395 + 8e4110: b199 addi.n a9, a9, 1 + 8e4112: 090947 extui a9, a9, 0, 8 + +008e4115 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1415 + 8e4115: 2c6a0a movi a12, 0x60a + 8e4118: 2ce500 s16i a12, a14, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1418 + 8e411b: 0c0200 memw + 8e411e: c052 movi.n a5, 2 + 8e4120: 1c0128 l32r a12, 4e84a0 <_lit4_start+0x4a0> + 8e4123: 293088 l8ui a9, a3, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1424 + 8e4126: 0c0200 memw + 8e4129: 28c283 l32i a8, a12, 0x20c + 8e412c: 0c0200 memw + 8e412f: 9810 s32i.n a8, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1426 + 8e4131: 0c0200 memw + 8e4134: 8d10 l32i.n a13, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1429 + 8e4136: 2e0a60 movi a14, 96 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1428 + 8e4139: 2b0a80 movi a11, 128 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1430 + 8e413c: 0d0f41 extui a15, a13, 0, 2 + 8e413f: 2f2402 s8i a15, a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1428 + 8e4142: 0bdb01 and a11, a13, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1429 + 8e4145: 0eda01 and a10, a13, a14 + 8e4148: 2a2401 s8i a10, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1428 + 8e414b: 2b2400 s8i a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1432 + 8e414e: 0c0200 memw + 8e4151: 8910 l32i.n a9, a1, 0 + 8e4153: 098947 extui a9, a9, 8, 8 + 8e4156: 292502 s16i a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1433 + 8e4159: 0c0200 memw + 8e415c: 8810 l32i.n a8, a1, 0 + 8e415e: 088857 extui a8, a8, 24, 8 + 8e4161: 088811 slli a8, a8, 8 + 8e4164: 0c0200 memw + 8e4167: 8f10 l32i.n a15, a1, 0 + 8e4169: 0f0f57 extui a15, a15, 16, 8 + 8e416c: a8ff add.n a15, a15, a8 + 8e416e: 2f2503 s16i a15, a2, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1436 + 8e4171: 0c0200 memw + 8e4174: 2cc283 l32i a12, a12, 0x20c + 8e4177: 0c0200 memw + 8e417a: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1438 + 8e417c: 0c0200 memw + 8e417f: 8b10 l32i.n a11, a1, 0 + 8e4181: 0b8b47 extui a11, a11, 8, 8 + 8e4184: 08bb11 slli a11, a11, 8 + 8e4187: 0c0200 memw + 8e418a: 8910 l32i.n a9, a1, 0 + 8e418c: 090947 extui a9, a9, 0, 8 + 8e418f: ab99 add.n a9, a9, a11 + 8e4191: 292504 s16i a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1439 + 8e4194: 0c0200 memw + 8e4197: 8810 l32i.n a8, a1, 0 + 8e4199: 088857 extui a8, a8, 24, 8 + 8e419c: 088811 slli a8, a8, 8 + 8e419f: 0c0200 memw + 8e41a2: 8f10 l32i.n a15, a1, 0 + 8e41a4: 0f0f57 extui a15, a15, 16, 8 + 8e41a7: a8ff add.n a15, a15, a8 + 8e41a9: 2f2505 s16i a15, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1442 + 8e41ac: 7ed80e bany a13, a14, 8e41be +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1445 + 8e41af: 284227 l32i a8, a4, 156 + 8e41b2: 0b8000 callx8 a8 + 8e41b5: 892b l32i.n a9, a2, 44 + 8e41b7: 0a5938 moveqz a9, a5, a10 + 8e41ba: 992b s32i.n a9, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1462 + 8e41bc: d10f retw.n + +008e41be : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1450 + 8e41be: 69ad07 bnei a10, 64, 8e41c9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1453 + 8e41c1: 284228 l32i a8, a4, 160 + 8e41c4: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1462 + 8e41c7: d10f retw.n + +008e41c9 : + 8e41c9: d950 mov.n a9, a5 + 8e41cb: 63ffeb j 8e41ba + +008e41ce : + ... + +008e41d0 : +cFUSB200Init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1469 + 8e41d0: 6c1004 entry a1, 32 + 8e41d3: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1471 + 8e41d5: 2b0afd movi a11, 253 + 8e41d8: 130127 l32r a3, 4e849c <_lit4_start+0x49c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1469 + 8e41db: 290aff movi a9, 255 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1471 + 8e41de: 0c0200 memw + 8e41e1: 2a30ab l8ui a10, a3, 171 + 8e41e4: 0baa01 and a10, a10, a11 + 8e41e7: 0c0200 memw + 8e41ea: 2a34ab s8i a10, a3, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1475 + 8e41ed: 0c0200 memw + 8e41f0: 293491 s8i a9, a3, 145 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1476 + 8e41f3: 0c0200 memw + 8e41f6: 293490 s8i a9, a3, 144 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1477 + 8e41f9: 0c0200 memw + 8e41fc: 293497 s8i a9, a3, 151 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1480 + 8e41ff: 0c0200 memw + 8e4202: 293495 s8i a9, a3, 149 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1481 + 8e4205: 0c0200 memw + 8e4208: 293494 s8i a9, a3, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1488 + 8e420b: c180 movi.n a8, 16 + 8e420d: 0c0200 memw + 8e4210: 283483 s8i a8, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1489 + 8e4213: 0c0200 memw + 8e4216: 223483 s8i a2, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1492 + 8e4219: c061 movi.n a6, 1 + 8e421b: 0c0200 memw + 8e421e: 263481 s8i a6, a3, 129 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1493 + 8e4221: c450 movi.n a5, 64 + 8e4223: 0c0200 memw + 8e4226: 253492 s8i a5, a3, 146 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1496 + 8e4229: 0c0200 memw + 8e422c: 223489 s8i a2, a3, 137 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1499 + 8e422f: 0c0200 memw + 8e4232: 2234ab s8i a2, a3, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1502 + 8e4235: c244 movi.n a4, 36 + 8e4237: 0c0200 memw + 8e423a: 243483 s8i a4, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1504 + 8e423d: 0c0200 memw + 8e4240: 223491 s8i a2, a3, 145 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1505 + 8e4243: 0c0200 memw + 8e4246: 223490 s8i a2, a3, 144 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1506 + 8e4249: 0c0200 memw + 8e424c: 223497 s8i a2, a3, 151 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1510 + 8e424f: d10f retw.n + +008e4251 : + 8e4251: 000000 ... + +008e4254 <_usbfifo_enable_event_isr>: +_usbfifo_enable_event_isr(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1514 + 8e4254: 6c1004 entry a1, 32 + 8e4257: 130127 l32r a3, 4e849c <_lit4_start+0x49c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1515 + 8e425a: 240abf movi a4, 191 + 8e425d: 0c0200 memw + 8e4260: 223094 l8ui a2, a3, 148 + 8e4263: 042201 and a2, a2, a4 + 8e4266: 0c0200 memw + 8e4269: 223494 s8i a2, a3, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1516 + 8e426c: d10f retw.n + +008e426e <_usbfifo_enable_event_isr+0x1a>: + ... + +008e4270 <_usbfifo_init>: +_usbfifo_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1519 + 8e4270: 6c1004 entry a1, 32 + 8e4273: 140126 l32r a4, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1520 + 8e4276: 8a20 l32i.n a10, a2, 0 + 8e4278: 9a43 s32i.n a10, a4, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1521 + 8e427a: 8921 l32i.n a9, a2, 4 + 8e427c: 9944 s32i.n a9, a4, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1522 + 8e427e: 8822 l32i.n a8, a2, 8 + 8e4280: 9845 s32i.n a8, a4, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1523 + 8e4282: 8323 l32i.n a3, a2, 12 + 8e4284: 9346 s32i.n a3, a4, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1524 + 8e4286: d10f retw.n + +008e4288 : +vUsb_Reg_Out(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1527 + 8e4288: 6c1004 entry a1, 32 + 8e428b: 120126 l32r a2, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1537 + 8e428e: 8823 l32i.n a8, a2, 12 + 8e4290: 0b8000 callx8 a8 + 8e4293: 1b0128 l32r a11, 4e84a0 <_lit4_start+0x4a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1539 + 8e4296: 64a04b beqz a10, 8e42e5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1540 + 8e4299: 8da0 l32i.n a13, a10, 0 + 8e429b: 1f013c l32r a15, 4e84f0 <_lit4_start+0x4f0> + 8e429e: 8cd1 l32i.n a12, a13, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1545 + 8e42a0: 0c0200 memw + 8e42a3: 2ff03c l8ui a15, a15, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1549 + 8e42a6: c093 movi.n a9, 3 + 8e42a8: 0f2e14 srli a14, a15, 2 + 8e42ab: 7f9007 bnone a9, a15, 8e42b6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1550 + 8e42ae: b1e9 addi.n a9, a14, 1 + 8e42b0: 09094f extui a9, a9, 0, 16 + 8e42b3: 600001 j 8e42b8 + +008e42b6 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1552 + 8e42b6: d9e0 mov.n a9, a14 + 8e42b8: c990 beqz.n a9, 8e42cc + 8e42ba: 6d990c loopnez a9, 8e42ca +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1556 + 8e42bd: 0c0200 memw + 8e42c0: 2db2bf l32i a13, a11, 0x2fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1557 + 8e42c3: 0c0200 memw + 8e42c6: 9dc0 s32i.n a13, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1558 + 8e42c8: b4cc addi.n a12, a12, 4 + +008e42ca : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1554 + 8e42ca: 8da0 l32i.n a13, a10, 0 + +008e42cc : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1572 + 8e42cc: 8824 l32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1554 + 8e42ce: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1568 + 8e42d0: 29d507 s16i a9, a13, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1566 + 8e42d3: 29d505 s16i a9, a13, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1565 + 8e42d6: 99d0 s32i.n a9, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1567 + 8e42d8: 2fd506 s16i a15, a13, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1569 + 8e42db: 99a1 s32i.n a9, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1570 + 8e42dd: 2fa504 s16i a15, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1572 + 8e42e0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1589 + 8e42e3: d10f retw.n + +008e42e5 : + 8e42e5: 6da905 loopnez a10, 8e42ee +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1581 + 8e42e8: 0c0200 memw + 8e42eb: 2cb2bf l32i a12, a11, 0x2fc + +008e42ee : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1589 + 8e42ee: d10f retw.n + +008e42f0 : +vUsb_Status_In(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1590 + 8e42f0: 6c1004 entry a1, 32 + 8e42f3: 120126 l32r a2, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1601 + 8e42f6: 8825 l32i.n a8, a2, 20 + 8e42f8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1602 + 8e42fb: 64a073 beqz a10, 8e4372 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1606 + 8e42fe: 89a0 l32i.n a9, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1607 + 8e4300: 2ca104 l16ui a12, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1606 + 8e4303: 8e91 l32i.n a14, a9, 4 + 8e4305: 299105 l16ui a9, a9, 10 + 8e4308: 1b0128 l32r a11, 4e84a0 <_lit4_start+0x4a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1619 + 8e430b: 0c2d4f extui a13, a12, 2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1606 + 8e430e: 0e9908 add a9, a9, a14 + 8e4311: 6dd90c loopnez a13, 8e4321 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1622 + 8e4314: 0c0200 memw + 8e4317: 8d90 l32i.n a13, a9, 0 + 8e4319: 0c0200 memw + 8e431c: 2db6be s32i a13, a11, 0x2f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1623 + 8e431f: b499 addi.n a9, a9, 4 + +008e4321 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1619 + 8e4321: c0d3 movi.n a13, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1628 + 8e4323: 0c0c41 extui a12, a12, 0, 2 + 8e4326: cac5 beqz.n a12, 8e434f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1641 + 8e4328: 68c110 beqi a12, 1, 8e433c + 8e432b: 68c25a beqi a12, 2, 8e4389 + 8e432e: 69c312 bnei a12, 3, 8e4344 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1633 + 8e4331: c0e7 movi.n a14, 7 + 8e4333: 0c0200 memw + 8e4336: 2eb6c0 s32i a14, a11, 0x300 + 8e4339: 600007 j 8e4344 + +008e433c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1639 + 8e433c: c0f1 movi.n a15, 1 + +008e433e : + 8e433e: 0c0200 memw + 8e4341: 2fb6c0 s32i a15, a11, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1643 + 8e4344: 0c0200 memw + 8e4347: 8890 l32i.n a8, a9, 0 + +008e4349 : + 8e4349: 0c0200 memw + 8e434c: 28b6be s32i a8, a11, 0x2f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1647 + 8e434f: c0df movi.n a13, 15 + 8e4351: 1c013c l32r a12, 4e84f0 <_lit4_start+0x4f0> + 8e4354: 0c0200 memw + 8e4357: 2db6c0 s32i a13, a11, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1649 + 8e435a: c0b8 movi.n a11, 8 + 8e435c: 0c0200 memw + 8e435f: 29c02d l8ui a9, a12, 45 + 8e4362: 0b9902 or a9, a9, a11 + 8e4365: 0c0200 memw + 8e4368: 29c42d s8i a9, a12, 45 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1653 + 8e436b: 8826 l32i.n a8, a2, 24 + 8e436d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1668 + 8e4370: d10f retw.n + +008e4372 : + 8e4372: 1f0127 l32r a15, 4e849c <_lit4_start+0x49c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1613 + 8e4375: 280ac0 movi a8, 192 + 8e4378: 0c0200 memw + 8e437b: 2ef094 l8ui a14, a15, 148 + 8e437e: 08ee02 or a14, a14, a8 + 8e4381: 0c0200 memw + 8e4384: 2ef494 s8i a14, a15, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1668 + 8e4387: d10f retw.n + +008e4389 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1636 + 8e4389: 0c0200 memw + 8e438c: 2db6c0 s32i a13, a11, 0x300 + 8e438f: 63ffb1 j 8e4344 + +008e4392 : + ... + +008e4394 : +zfResetUSBFIFO(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1669 + 8e4394: 6c1004 entry a1, 32 + 8e4397: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1670 + 8e439a: 288216 l32i a8, a8, 88 + 8e439d: 1a013d l32r a10, 4e84f4 <_lit4_start+0x4f4> + 8e43a0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1672 + 8e43a3: d10f retw.n + +008e43a5 : + 8e43a5: 000000 ... + +008e43a8 : +zfTurnOffPower(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1682 + 8e43a8: 6c1004 entry a1, 32 + 8e43ab: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1683 + 8e43ae: 283216 l32i a8, a3, 88 + 8e43b1: 1a013e l32r a10, 4e84f8 <_lit4_start+0x4f8> + 8e43b4: 0b8000 callx8 a8 + 8e43b7: 120075 l32r a2, 4e81d4 <_lit4_start+0x1d4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1694 + 8e43ba: c1a1 movi.n a10, 17 + 8e43bc: 0c0200 memw + 8e43bf: 292281 l32i a9, a2, 0x204 + 8e43c2: 0a9902 or a9, a9, a10 + 8e43c5: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1696 + 8e43c8: 28323b l32i a8, a3, 236 + 8e43cb: 2a0a64 movi a10, 100 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1694 + 8e43ce: 292681 s32i a9, a2, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1696 + 8e43d1: 0b8000 callx8 a8 + 8e43d4: 180051 l32r a8, 4e8144 <_lit4_start+0x144> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1698 + 8e43d7: 0c0200 memw + 8e43da: 292280 l32i a9, a2, 0x200 + 8e43dd: 089902 or a9, a9, a8 + 8e43e0: 0c0200 memw + 8e43e3: 292680 s32i a9, a2, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1701 + 8e43e6: 0c0200 memw + 8e43e9: 2f2283 l32i a15, a2, 0x20c + 8e43ec: 08ff02 or a15, a15, a8 + 8e43ef: 0c0200 memw + 8e43f2: 2f2683 s32i a15, a2, 0x20c + 8e43f5: 1e013f l32r a14, 4e84fc <_lit4_start+0x4fc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1704 + 8e43f8: 0c0200 memw + 8e43fb: 2d2284 l32i a13, a2, 0x210 + 8e43fe: 0edd02 or a13, a13, a14 + 8e4401: 0c0200 memw + 8e4404: 2d2684 s32i a13, a2, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1707 + 8e4407: c0c1 movi.n a12, 1 + 8e4409: 0c0200 memw + 8e440c: 2b228c l32i a11, a2, 0x230 + 8e440f: 0cbb02 or a11, a11, a12 + 8e4412: 0c0200 memw + 8e4415: 2b268c s32i a11, a2, 0x230 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1711 + 8e4418: d10f retw.n + +008e441a : + ... + +008e441c : +zfGenWatchDogEvent(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1715 + 8e441c: 6c1004 entry a1, 32 + 8e441f: 13013c l32r a3, 4e84f0 <_lit4_start+0x4f0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1718 + 8e4422: 2b0ac0 movi a11, 192 + 8e4425: 1a0127 l32r a10, 4e849c <_lit4_start+0x49c> + 8e4428: 160128 l32r a6, 4e84a0 <_lit4_start+0x4a0> + 8e442b: 0c0200 memw + 8e442e: 29a094 l8ui a9, a10, 148 + 8e4431: 0b9902 or a9, a9, a11 + 8e4434: 0c0200 memw + 8e4437: 29a494 s8i a9, a10, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1721 + 8e443a: c08f movi.n a8, 15 + 8e443c: 0c0200 memw + 8e443f: 2866c0 s32i a8, a6, 0x300 + 8e4442: 150140 l32r a5, 4e8500 <_lit4_start+0x500> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1724 + 8e4445: 0c0200 memw + 8e4448: 2566be s32i a5, a6, 0x2f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1726 + 8e444b: c048 movi.n a4, 8 + 8e444d: 0c0200 memw + 8e4450: 22302d l8ui a2, a3, 45 + 8e4453: 042202 or a2, a2, a4 + 8e4456: 0c0200 memw + 8e4459: 22342d s8i a2, a3, 45 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1727 + 8e445c: d10f retw.n + +008e445e : + ... + +008e4460 : +zfJumpToBootCode(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1730 + 8e4460: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1734 + 8e4463: 5bf2e7 call8 8e1000 <_iram0_text_end> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1735 + 8e4466: d10f retw.n + +008e4468 <_usb_rom_task>: +_usb_rom_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1738 + 8e4468: 6c1004 entry a1, 32 + 8e446b: 120127 l32r a2, 4e849c <_lit4_start+0x49c> + 8e446e: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1743 + 8e4471: 0c0200 memw + 8e4474: 2420a3 l8ui a4, a2, 163 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1745 + 8e4477: 79470e bbci a4, 25, 8e4489 <_usb_rom_task+0x21> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1747 + 8e447a: 28522b l32i a8, a5, 172 + 8e447d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1748 + 8e4480: 285212 l32i a8, a5, 72 + 8e4483: 1a0141 l32r a10, 4e8504 <_lit4_start+0x504> + +008e4486 <_usb_rom_task+0x1e>: + 8e4486: 0b8000 callx8 a8 + 8e4489: c070 movi.n a7, 0 + 8e448b: 160126 l32r a6, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1751 + 8e448e: 7f474f bbci a4, 31, 8e44e1 <_usb_rom_task+0x79> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1753 + 8e4491: 0c0200 memw + 8e4494: 2320a2 l8ui a3, a2, 162 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1756 + 8e4497: 78370e bbci a3, 24, 8e44a9 <_usb_rom_task+0x41> + +008e449a <_usb_rom_task+0x32>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1758 + 8e449a: 0c0200 memw + 8e449d: 2920a2 l8ui a9, a2, 162 + 8e44a0: 090946 extui a9, a9, 0, 7 + 8e44a3: 0c0200 memw + 8e44a6: 2924a2 s8i a9, a2, 162 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1761 + 8e44a9: 7e3705 bbci a3, 30, 8e44b2 <_usb_rom_task+0x4a> + +008e44ac <_usb_rom_task+0x44>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1764 + 8e44ac: 285221 l32i a8, a5, 132 + 8e44af: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1766 + 8e44b2: 7d3705 bbci a3, 29, 8e44bb <_usb_rom_task+0x53> + +008e44b5 <_usb_rom_task+0x4d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1769 + 8e44b5: 285222 l32i a8, a5, 136 + 8e44b8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1771 + 8e44bb: 7f3705 bbci a3, 31, 8e44c4 <_usb_rom_task+0x5c> + +008e44be <_usb_rom_task+0x56>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1774 + 8e44be: 285220 l32i a8, a5, 128 + 8e44c1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1777 + 8e44c4: 896b l32i.n a9, a6, 44 + 8e44c6: 69920a bnei a9, 2, 8e44d4 <_usb_rom_task+0x6c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1779 + 8e44c9: 0c0200 memw + 8e44cc: c094 movi.n a9, 4 + 8e44ce: 292488 s8i a9, a2, 136 + 8e44d1: 60000a j 8e44df <_usb_rom_task+0x77> + +008e44d4 <_usb_rom_task+0x6c>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1782 + 8e44d4: 699107 bnei a9, 1, 8e44df <_usb_rom_task+0x77> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1785 + 8e44d7: 0c0200 memw + 8e44da: c0a1 movi.n a10, 1 + +008e44dc <_usb_rom_task+0x74>: + 8e44dc: 2a2488 s8i a10, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1787 + 8e44df: 976b s32i.n a7, a6, 44 + +008e44e1 <_usb_rom_task+0x79>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1790 + 8e44e1: 784747 bbci a4, 24, 8e452c <_usb_rom_task+0xc4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1793 + 8e44e4: 0c0200 memw + 8e44e7: 2320ab l8ui a3, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1797 + 8e44ea: 2c0afd movi a12, 253 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1795 + 8e44ed: 7e3711 bbci a3, 30, 8e4502 <_usb_rom_task+0x9a> + +008e44f0 <_usb_rom_task+0x88>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1797 + 8e44f0: 0c0200 memw + 8e44f3: 2b20ab l8ui a11, a2, 171 + 8e44f6: 0cbb01 and a11, a11, a12 + 8e44f9: 0c0200 memw + 8e44fc: 2b24ab s8i a11, a2, 171 + 8e44ff: 27651a s16i a7, a6, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1799 + 8e4502: 7d3711 bbci a3, 29, 8e4517 <_usb_rom_task+0xaf> + +008e4505 <_usb_rom_task+0x9d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1801 + 8e4505: 2e0afb movi a14, 251 + 8e4508: 0c0200 memw + 8e450b: 2d20ab l8ui a13, a2, 171 + 8e450e: 0edd01 and a13, a13, a14 + 8e4511: 0c0200 memw + 8e4514: 2d24ab s8i a13, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1803 + 8e4517: 7c3711 bbci a3, 28, 8e452c <_usb_rom_task+0xc4> + +008e451a <_usb_rom_task+0xb2>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1805 + 8e451a: 280af7 movi a8, 247 + 8e451d: 0c0200 memw + 8e4520: 2f20ab l8ui a15, a2, 171 + 8e4523: 08ff01 and a15, a15, a8 + 8e4526: 0c0200 memw + 8e4529: 2f24ab s8i a15, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1809 + 8e452c: d10f retw.n + +008e452e <_usb_rom_task+0xc6>: + ... + +008e4530 <_usb_fw_task>: +_usb_fw_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1813 + 8e4530: 6c1004 entry a1, 32 + 8e4533: 120127 l32r a2, 4e849c <_lit4_start+0x49c> + 8e4536: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1818 + 8e4539: 0c0200 memw + 8e453c: 2420a3 l8ui a4, a2, 163 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1826 + 8e453f: 7b470e bbci a4, 27, 8e4551 <_usb_fw_task+0x21> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1828 + 8e4542: 0c0200 memw + 8e4545: 2820a6 l8ui a8, a2, 166 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1829 + 8e4548: 798705 bbci a8, 25, 8e4551 <_usb_fw_task+0x21> + +008e454b <_usb_fw_task+0x1b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1830 + 8e454b: 285233 l32i a8, a5, 204 + 8e454e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1833 + 8e4551: 79470e bbci a4, 25, 8e4563 <_usb_fw_task+0x33> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1836 + 8e4554: 0c0200 memw + 8e4557: 2920a4 l8ui a9, a2, 164 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1837 + 8e455a: 799705 bbci a9, 25, 8e4563 <_usb_fw_task+0x33> + +008e455d <_usb_fw_task+0x2d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1838 + 8e455d: 285234 l32i a8, a5, 208 + 8e4560: 0b8000 callx8 a8 + 8e4563: c070 movi.n a7, 0 + 8e4565: 160126 l32r a6, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1841 + 8e4568: 7f4770 bbci a4, 31, 8e45dc <_usb_fw_task+0xac> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1844 + 8e456b: 0c0200 memw + 8e456e: 2320a2 l8ui a3, a2, 162 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1847 + 8e4571: 783717 bbci a3, 24, 8e458c <_usb_fw_task+0x5c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1850 + 8e4574: 0c0200 memw + 8e4577: 2920a2 l8ui a9, a2, 162 + 8e457a: 090946 extui a9, a9, 0, 7 + 8e457d: 0c0200 memw + 8e4580: 2924a2 s8i a9, a2, 162 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1851 + 8e4583: 285212 l32i a8, a5, 72 + 8e4586: 1a0142 l32r a10, 4e8508 <_lit4_start+0x508> + +008e4589 <_usb_fw_task+0x59>: + 8e4589: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1854 + 8e458c: 7e3705 bbci a3, 30, 8e4595 <_usb_fw_task+0x65> + +008e458f <_usb_fw_task+0x5f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1857 + 8e458f: 285221 l32i a8, a5, 132 + 8e4592: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1859 + 8e4595: 7d3705 bbci a3, 29, 8e459e <_usb_fw_task+0x6e> + +008e4598 <_usb_fw_task+0x68>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1862 + 8e4598: 285222 l32i a8, a5, 136 + 8e459b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1864 + 8e459e: 7f3705 bbci a3, 31, 8e45a7 <_usb_fw_task+0x77> + +008e45a1 <_usb_fw_task+0x71>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1867 + 8e45a1: 285220 l32i a8, a5, 128 + 8e45a4: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1871 + 8e45a7: 7c3709 bbci a3, 28, 8e45b4 <_usb_fw_task+0x84> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1873 + 8e45aa: 976c s32i.n a7, a6, 48 + 8e45ac: 0c0200 memw + 8e45af: c091 movi.n a9, 1 + +008e45b1 <_usb_fw_task+0x81>: + 8e45b1: 292488 s8i a9, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1876 + 8e45b4: 7b3707 bbci a3, 27, 8e45bf <_usb_fw_task+0x8f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1878 + 8e45b7: 0c0200 memw + 8e45ba: c0a4 movi.n a10, 4 + +008e45bc <_usb_fw_task+0x8c>: + 8e45bc: 2a2488 s8i a10, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1881 + 8e45bf: 896b l32i.n a9, a6, 44 + 8e45c1: 69920a bnei a9, 2, 8e45cf <_usb_fw_task+0x9f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1884 + 8e45c4: 0c0200 memw + 8e45c7: c0b4 movi.n a11, 4 + 8e45c9: 2b2488 s8i a11, a2, 136 + 8e45cc: 60000a j 8e45da <_usb_fw_task+0xaa> + +008e45cf <_usb_fw_task+0x9f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1887 + 8e45cf: 699107 bnei a9, 1, 8e45da <_usb_fw_task+0xaa> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1890 + 8e45d2: 0c0200 memw + 8e45d5: c0c1 movi.n a12, 1 + +008e45d7 <_usb_fw_task+0xa7>: + 8e45d7: 2c2488 s8i a12, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1892 + 8e45da: 976b s32i.n a7, a6, 44 + +008e45dc <_usb_fw_task+0xac>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1895 + 8e45dc: 784f02 bbsi a4, 24, 8e45e2 <_usb_fw_task+0xb2> + 8e45df: 600080 j 8e4663 <_usb_fw_task+0x133> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1898 + 8e45e2: 0c0200 memw + 8e45e5: 2320ab l8ui a3, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1913 + 8e45e8: 7e371d bbci a3, 30, 8e4609 <_usb_fw_task+0xd9> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1915 + 8e45eb: 2a0afd movi a10, 253 + 8e45ee: 0c0200 memw + 8e45f1: 2920ab l8ui a9, a2, 171 + 8e45f4: 0a9901 and a9, a9, a10 + 8e45f7: 0c0200 memw + 8e45fa: 2924ab s8i a9, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1917 + 8e45fd: 285212 l32i a8, a5, 72 + 8e4600: 1a0143 l32r a10, 4e850c <_lit4_start+0x50c> + +008e4603 <_usb_fw_task+0xd3>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1915 + 8e4603: 27651a s16i a7, a6, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1917 + 8e4606: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1920 + 8e4609: 7d3738 bbci a3, 29, 8e4645 <_usb_fw_task+0x115> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1928 + 8e460c: 2a0afb movi a10, 251 + 8e460f: 0c0200 memw + 8e4612: 2920ab l8ui a9, a2, 171 + 8e4615: 0a9901 and a9, a9, a10 + 8e4618: 0c0200 memw + 8e461b: 2924ab s8i a9, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1929 + 8e461e: 285212 l32i a8, a5, 72 + 8e4621: 1a0144 l32r a10, 4e8510 <_lit4_start+0x510> + 8e4624: 0b8000 callx8 a8 + 8e4627: 1900ce l32r a9, 4e8338 <_lit4_start+0x338> + 8e462a: 1a0015 l32r a10, 4e8054 <_lit4_start+0x54> + +008e462d <_usb_fw_task+0xfd>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1933 + 8e462d: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1939 + 8e4630: 28522a l32i a8, a5, 168 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1933 + 8e4633: 29a67f s32i a9, a10, 0x1fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1939 + 8e4636: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1942 + 8e4639: 285229 l32i a8, a5, 164 + 8e463c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1947 + 8e463f: 28522c l32i a8, a5, 176 + 8e4642: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1951 + 8e4645: 7c371a bbci a3, 28, 8e4663 <_usb_fw_task+0x133> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1953 + 8e4648: 2a0af7 movi a10, 247 + 8e464b: 0c0200 memw + 8e464e: 2920ab l8ui a9, a2, 171 + 8e4651: 0a9901 and a9, a9, a10 + 8e4654: 0c0200 memw + 8e4657: 2924ab s8i a9, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1954 + 8e465a: 285212 l32i a8, a5, 72 + 8e465d: 1a0145 l32r a10, 4e8514 <_lit4_start+0x514> + +008e4660 <_usb_fw_task+0x130>: + 8e4660: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1958 + 8e4663: d10f retw.n + +008e4665 <_usb_fw_task+0x135>: + 8e4665: 000000 ... + +008e4668 <_usb_clk_init>: +_usb_clk_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2097 + 8e4668: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2100 + 8e466b: c3a1 movi.n a10, 49 + 8e466d: 130015 l32r a3, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2097 + 8e4670: 25fa00 movi a5, 0xffffff00 + 8e4673: 170018 l32r a7, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2100 + 8e4676: 0c0200 memw + 8e4679: 29327d l32i a9, a3, 0x1f4 + 8e467c: 059901 and a9, a9, a5 + 8e467f: 0a9902 or a9, a9, a10 + 8e4682: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2102 + 8e4685: 28725e l32i a8, a7, 0x178 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2100 + 8e4688: 29367d s32i a9, a3, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2102 + 8e468b: 0b8000 callx8 a8 + 8e468e: 120037 l32r a2, 4e80dc <_lit4_start+0xdc> + 8e4691: c044 movi.n a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2106 + 8e4693: 69a122 bnei a10, 1, 8e46b9 <_usb_clk_init+0x51> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2115 + 8e4696: 0c0200 memw + 8e4699: 2c2286 l32i a12, a2, 0x218 + 8e469c: 04cc02 or a12, a12, a4 + 8e469f: 0c0200 memw + 8e46a2: 2c2686 s32i a12, a2, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2116 + 8e46a5: c6bf movi.n a11, -17 + 8e46a7: 0c0200 memw + 8e46aa: 2a2284 l32i a10, a2, 0x210 + 8e46ad: 0baa01 and a10, a10, a11 + 8e46b0: 0c0200 memw + 8e46b3: 2a2684 s32i a10, a2, 0x210 + 8e46b6: 60009c j 8e4756 <_usb_clk_init+0xee> + +008e46b9 <_usb_clk_init+0x51>: + 8e46b9: 1b0075 l32r a11, 4e81d4 <_lit4_start+0x1d4> + 8e46bc: c76e movi.n a6, -2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2118 + 8e46be: 69a320 bnei a10, 3, 8e46e2 <_usb_clk_init+0x7a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2120 + 8e46c1: 0c0200 memw + 8e46c4: 2eb284 l32i a14, a11, 0x210 + 8e46c7: 06ee01 and a14, a14, a6 + 8e46ca: 0c0200 memw + 8e46cd: 2eb684 s32i a14, a11, 0x210 + 8e46d0: 0c0200 memw + 8e46d3: 2db28c l32i a13, a11, 0x230 + 8e46d6: 06dd01 and a13, a13, a6 + 8e46d9: 0c0200 memw + 8e46dc: 2db68c s32i a13, a11, 0x230 + 8e46df: 600073 j 8e4756 <_usb_clk_init+0xee> + +008e46e2 <_usb_clk_init+0x7a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2124 + 8e46e2: 283a05 movi a8, 0x305 + 8e46e5: 0c0200 memw + 8e46e8: 28b683 s32i a8, a11, 0x20c + 8e46eb: c1ff movi.n a15, 31 + 8e46ed: 0c0200 memw + 8e46f0: 2e2284 l32i a14, a2, 0x210 + 8e46f3: 0fee02 or a14, a14, a15 + 8e46f6: 0c0200 memw + 8e46f9: 2e2684 s32i a14, a2, 0x210 + 8e46fc: c7d9 movi.n a13, -7 + 8e46fe: 0c0200 memw + 8e4701: 2c2284 l32i a12, a2, 0x210 + 8e4704: 0dcc01 and a12, a12, a13 + 8e4707: 0c0200 memw + 8e470a: 2c2684 s32i a12, a2, 0x210 + 8e470d: 1a0146 l32r a10, 4e8518 <_lit4_start+0x518> + 8e4710: 0c0200 memw + 8e4713: 2ab682 s32i a10, a11, 0x208 + 8e4716: c090 movi.n a9, 0 + 8e4718: 0c0200 memw + 8e471b: 28723b l32i a8, a7, 236 + 8e471e: 2a0a64 movi a10, 100 + 8e4721: 29b684 s32i a9, a11, 0x210 + 8e4724: 0b8000 callx8 a8 + 8e4727: 0c0200 memw + 8e472a: 2c2284 l32i a12, a2, 0x210 + 8e472d: 06cc01 and a12, a12, a6 + 8e4730: 0c0200 memw + 8e4733: 2c2684 s32i a12, a2, 0x210 + 8e4736: c6b7 movi.n a11, -25 + 8e4738: 0c0200 memw + 8e473b: 2a2284 l32i a10, a2, 0x210 + 8e473e: 0baa01 and a10, a10, a11 + 8e4741: 0c0200 memw + 8e4744: 2a2684 s32i a10, a2, 0x210 + 8e4747: 0c0200 memw + 8e474a: 292286 l32i a9, a2, 0x218 + 8e474d: 049902 or a9, a9, a4 + 8e4750: 0c0200 memw + 8e4753: 292686 s32i a9, a2, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2134 + 8e4756: c3e2 movi.n a14, 50 + 8e4758: 0c0200 memw + 8e475b: 2d327d l32i a13, a3, 0x1f4 + 8e475e: 05dd01 and a13, a13, a5 + 8e4761: 0edd02 or a13, a13, a14 + 8e4764: 0c0200 memw + 8e4767: 2d367d s32i a13, a3, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2137 + 8e476a: d10f retw.n + +008e476c <_usb_init>: +_usb_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2141 + 8e476c: 6c1004 entry a1, 32 + 8e476f: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2146 + 8e4772: 28225e l32i a8, a2, 0x178 + 8e4775: 0b8000 callx8 a8 + 8e4778: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2154 + 8e477a: c3b0 movi.n a11, 48 + 8e477c: 130015 l32r a3, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2146 + 8e477f: 25fa00 movi a5, 0xffffff00 + 8e4782: 190126 l32r a9, 4e8498 <_lit4_start+0x498> + 8e4785: c070 movi.n a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2154 + 8e4787: 0c0200 memw + 8e478a: 2a327d l32i a10, a3, 0x1f4 + 8e478d: 05aa01 and a10, a10, a5 + 8e4790: 0baa02 or a10, a10, a11 + 8e4793: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2171 + 8e4796: 282237 l32i a8, a2, 220 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2154 + 8e4799: 2a367d s32i a10, a3, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2159 + 8e479c: 27951c s16i a7, a9, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2160 + 8e479f: 27951d s16i a7, a9, 58 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2164 + 8e47a2: 27952c s16i a7, a9, 88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2171 + 8e47a5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2198 + 8e47a8: 28223b l32i a8, a2, 236 + 8e47ab: 2a1a2c movi a10, 0x12c + 8e47ae: 0b8000 callx8 a8 + 8e47b1: 190128 l32r a9, 4e84a0 <_lit4_start+0x4a0> + +008e47b4 <_usb_init+0x48>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2205 + 8e47b4: 0c0200 memw + 8e47b7: 2a92ca l32i a10, a9, 0x328 + 8e47ba: 77a7f6 bbci a10, 23, 8e47b4 <_usb_init+0x48> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2211 + 8e47bd: c3a3 movi.n a10, 51 + 8e47bf: 0c0200 memw + 8e47c2: 29327d l32i a9, a3, 0x1f4 + 8e47c5: 059901 and a9, a9, a5 + 8e47c8: 0a9902 or a9, a9, a10 + 8e47cb: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2212 + 8e47ce: 282216 l32i a8, a2, 88 + 8e47d1: 1a0147 l32r a10, 4e851c <_lit4_start+0x51c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2211 + 8e47d4: 29367d s32i a9, a3, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2212 + 8e47d7: 0b8000 callx8 a8 + 8e47da: 160127 l32r a6, 4e849c <_lit4_start+0x49c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2224 + 8e47dd: 694233 bnei a4, 2, 8e4814 <_usb_init+0xa8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2230 + 8e47e0: c3a4 movi.n a10, 52 + 8e47e2: 0c0200 memw + 8e47e5: 29327d l32i a9, a3, 0x1f4 + 8e47e8: 059901 and a9, a9, a5 + 8e47eb: 0a9902 or a9, a9, a10 + 8e47ee: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2231 + 8e47f1: 28221f l32i a8, a2, 124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2230 + 8e47f4: 29367d s32i a9, a3, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2231 + 8e47f7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2233 + 8e47fa: c3c5 movi.n a12, 53 + +008e47fc <_usb_init+0x90>: + 8e47fc: 0c0200 memw + 8e47ff: 2b327d l32i a11, a3, 0x1f4 + 8e4802: 05bb01 and a11, a11, a5 + 8e4805: 0cbb02 or a11, a11, a12 + 8e4808: 0c0200 memw + 8e480b: 2b367d s32i a11, a3, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2235 + 8e480e: 0c0200 memw + 8e4811: 27648b s8i a7, a6, 139 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2242 + 8e4814: 28225e l32i a8, a2, 0x178 + 8e4817: 0b8000 callx8 a8 + 8e481a: 68a113 beqi a10, 1, 8e4831 <_usb_init+0xc5> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2245 + 8e481d: 2a0ac0 movi a10, 192 + 8e4820: 0c0200 memw + 8e4823: 296094 l8ui a9, a6, 148 + 8e4826: 0a9902 or a9, a9, a10 + 8e4829: 0c0200 memw + 8e482c: 296494 s8i a9, a6, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2247 + 8e482f: d10f retw.n + +008e4831 <_usb_init+0xc5>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2243 + 8e4831: 2c0abf movi a12, 191 + 8e4834: 0c0200 memw + 8e4837: 2b6094 l8ui a11, a6, 148 + 8e483a: 0cbb01 and a11, a11, a12 + 8e483d: 0c0200 memw + 8e4840: 2b6494 s8i a11, a6, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2247 + 8e4843: d10f retw.n + +008e4845 <_usb_init+0xd9>: + 8e4845: 000000 ... + +008e4848 : +usbfifo_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2253 + 8e4848: 6c1004 entry a1, 32 + 8e484b: 180148 l32r a8, 4e8520 <_lit4_start+0x520> + 8e484e: 130149 l32r a3, 4e8524 <_lit4_start+0x524> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2256 + 8e4851: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2255 + 8e4853: 9820 s32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2257 + 8e4855: d10f retw.n + +008e4857 : + ... + +008e4858 : +cmnos_usb_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2261 + 8e4858: 6c1004 entry a1, 32 + 8e485b: 190151 l32r a9, 4e8544 <_lit4_start+0x544> + 8e485e: 1a0150 l32r a10, 4e8540 <_lit4_start+0x540> + 8e4861: 1b014f l32r a11, 4e853c <_lit4_start+0x53c> + 8e4864: 1c014e l32r a12, 4e8538 <_lit4_start+0x538> + 8e4867: 1d014d l32r a13, 4e8534 <_lit4_start+0x534> + 8e486a: 1e014c l32r a14, 4e8530 <_lit4_start+0x530> + 8e486d: 1f014b l32r a15, 4e852c <_lit4_start+0x52c> + 8e4870: 13014a l32r a3, 4e8528 <_lit4_start+0x528> + 8e4873: 180152 l32r a8, 4e8548 <_lit4_start+0x548> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2272 + 8e4876: 982a s32i.n a8, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2271 + 8e4878: 9929 s32i.n a9, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2269 + 8e487a: 2a261a s32i a10, a2, 104 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2268 + 8e487d: 2b2619 s32i a11, a2, 100 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2267 + 8e4880: 9c24 s32i.n a12, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2265 + 8e4882: 9d23 s32i.n a13, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2264 + 8e4884: 9e22 s32i.n a14, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2262 + 8e4886: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2263 + 8e4888: 9f21 s32i.n a15, a2, 4 + 8e488a: 18015a l32r a8, 4e8568 <_lit4_start+0x568> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2285 + 8e488d: 282614 s32i a8, a2, 80 + 8e4890: 1f0154 l32r a15, 4e8550 <_lit4_start+0x550> + 8e4893: 130153 l32r a3, 4e854c <_lit4_start+0x54c> + 8e4896: 1e0155 l32r a14, 4e8554 <_lit4_start+0x554> + 8e4899: 1d0133 l32r a13, 4e84cc <_lit4_start+0x4cc> + 8e489c: 1c0156 l32r a12, 4e8558 <_lit4_start+0x558> + 8e489f: 1b0157 l32r a11, 4e855c <_lit4_start+0x55c> + 8e48a2: 1a0158 l32r a10, 4e8560 <_lit4_start+0x560> + 8e48a5: 190159 l32r a9, 4e8564 <_lit4_start+0x564> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2283 + 8e48a8: 292610 s32i a9, a2, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2282 + 8e48ab: 9a2f s32i.n a10, a2, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2281 + 8e48ad: 9b2d s32i.n a11, a2, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2280 + 8e48af: 9c2e s32i.n a12, a2, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2278 + 8e48b1: 9d2c s32i.n a13, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2277 + 8e48b3: 9e2b s32i.n a14, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2274 + 8e48b5: 9327 s32i.n a3, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2275 + 8e48b7: 9f28 s32i.n a15, a2, 32 + 8e48b9: 180163 l32r a8, 4e858c <_lit4_start+0x58c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2297 + 8e48bc: 9826 s32i.n a8, a2, 24 + 8e48be: 1f015c l32r a15, 4e8570 <_lit4_start+0x570> + 8e48c1: 13015b l32r a3, 4e856c <_lit4_start+0x56c> + 8e48c4: 1e015d l32r a14, 4e8574 <_lit4_start+0x574> + 8e48c7: 1d015e l32r a13, 4e8578 <_lit4_start+0x578> + 8e48ca: 1c015f l32r a12, 4e857c <_lit4_start+0x57c> + 8e48cd: 1b0160 l32r a11, 4e8580 <_lit4_start+0x580> + 8e48d0: 1a0161 l32r a10, 4e8584 <_lit4_start+0x584> + 8e48d3: 190162 l32r a9, 4e8588 <_lit4_start+0x588> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2296 + 8e48d6: 9925 s32i.n a9, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2294 + 8e48d8: 2a2618 s32i a10, a2, 96 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2293 + 8e48db: 2b2617 s32i a11, a2, 92 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2291 + 8e48de: 2c2616 s32i a12, a2, 88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2290 + 8e48e1: 2d2615 s32i a13, a2, 84 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2288 + 8e48e4: 2e2611 s32i a14, a2, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2286 + 8e48e7: 232613 s32i a3, a2, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2287 + 8e48ea: 2f2612 s32i a15, a2, 72 + 8e48ed: 130164 l32r a3, 4e8590 <_lit4_start+0x590> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2299 + 8e48f0: 23261b s32i a3, a2, 108 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2300 + 8e48f3: d10f retw.n + +008e48f5 : + 8e48f5: 000000 ... + +008e48f8 : +mUsbEPMap(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:20 + 8e48f8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:26 + 8e48fb: c053 movi.n a5, 3 + 8e48fd: 242c2f addi a4, a2, 47 + 8e4900: 054403 xor a4, a4, a5 + 8e4903: 150051 l32r a5, 4e8144 <_lit4_start+0x144> + 8e4906: 040447 extui a4, a4, 0, 8 + 8e4909: 054402 or a4, a4, a5 + 8e490c: 0c0200 memw + 8e490f: 234400 s8i a3, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:33 + 8e4912: d10f retw.n + +008e4914 : +mUsbFIFOMap(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:36 + 8e4914: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:45 + 8e4917: c053 movi.n a5, 3 + 8e4919: 240a80 movi a4, 128 + 8e491c: a424 add.n a4, a2, a4 + 8e491e: 054403 xor a4, a4, a5 + 8e4921: 150051 l32r a5, 4e8144 <_lit4_start+0x144> + 8e4924: 040447 extui a4, a4, 0, 8 + 8e4927: 054402 or a4, a4, a5 + 8e492a: 0c0200 memw + 8e492d: 234400 s8i a3, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:51 + 8e4930: d10f retw.n + +008e4932 : + ... + +008e4934 : +mUsbFIFOConfig(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:54 + 8e4934: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:60 + 8e4937: c053 movi.n a5, 3 + 8e4939: 240a90 movi a4, 144 + 8e493c: a424 add.n a4, a2, a4 + 8e493e: 054403 xor a4, a4, a5 + 8e4941: 150051 l32r a5, 4e8144 <_lit4_start+0x144> + 8e4944: 040447 extui a4, a4, 0, 8 + 8e4947: 054402 or a4, a4, a5 + 8e494a: 0c0200 memw + 8e494d: 234400 s8i a3, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:66 + 8e4950: d10f retw.n + +008e4952 : + ... + +008e4954 : +mUsbEPMxPtSzHigh(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:69 + 8e4954: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:75 + 8e4957: 0f2711 slli a7, a2, 1 + 8e495a: 0b3611 slli a6, a3, 5 + 8e495d: 048514 srli a5, a4, 8 + 8e4960: a766 add.n a6, a6, a7 + 8e4962: c073 movi.n a7, 3 + 8e4964: 266c3f addi a6, a6, 63 + 8e4967: 076603 xor a6, a6, a7 + 8e496a: 170051 l32r a7, 4e8144 <_lit4_start+0x144> + 8e496d: 060647 extui a6, a6, 0, 8 + 8e4970: 076602 or a6, a6, a7 + 8e4973: 0c0200 memw + 8e4976: 256400 s8i a5, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:79 + 8e4979: d10f retw.n + +008e497b : + ... + +008e497c : +mUsbEPMxPtSzLow(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:82 + 8e497c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:88 + 8e497f: 0f2611 slli a6, a2, 1 + 8e4982: 0b3511 slli a5, a3, 5 + 8e4985: a655 add.n a5, a5, a6 + 8e4987: c063 movi.n a6, 3 + 8e4989: 255c3e addi a5, a5, 62 + 8e498c: 065503 xor a5, a5, a6 + 8e498f: 160051 l32r a6, 4e8144 <_lit4_start+0x144> + 8e4992: 050547 extui a5, a5, 0, 8 + 8e4995: 065502 or a5, a5, a6 + 8e4998: 0c0200 memw + 8e499b: 245400 s8i a4, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:91 + 8e499e: d10f retw.n + +008e49a0 : +mUsbEPinHighBandSet(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:94 + 8e49a0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:106 + 8e49a3: 2a0a9f movi a10, 159 + 8e49a6: c073 movi.n a7, 3 + 8e49a8: 0f2611 slli a6, a2, 1 + 8e49ab: 266c3f addi a6, a6, 63 + 8e49ae: 076603 xor a6, a6, a7 + 8e49b1: 170051 l32r a7, 4e8144 <_lit4_start+0x144> + 8e49b4: 060647 extui a6, a6, 0, 8 + 8e49b7: 076602 or a6, a6, a7 + 8e49ba: 0c0200 memw + 8e49bd: 296000 l8ui a9, a6, 0 + 8e49c0: 0a9901 and a9, a9, a10 + 8e49c3: 0c0200 memw + 8e49c6: 296400 s8i a9, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:107 + 8e49c9: c081 movi.n a8, 1 + 8e49cb: 04b714 srli a7, a4, 11 + 8e49ce: b177 addi.n a7, a7, 1 + 8e49d0: 03880c sub a8, a8, a3 + 8e49d3: 0b7711 slli a7, a7, 5 + 8e49d6: 08771d mul16s a7, a7, a8 + 8e49d9: 0c0200 memw + 8e49dc: 256000 l8ui a5, a6, 0 + 8e49df: 075502 or a5, a5, a7 + 8e49e2: 0c0200 memw + 8e49e5: 256400 s8i a5, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:111 + 8e49e8: d10f retw.n + +008e49ea : + ... + +008e49ec : +vUsbFIFO_EPxCfg_HS(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:143 + 8e49ec: 6c1004 entry a1, 32 + 8e49ef: 180165 l32r a8, 4e8594 <_lit4_start+0x594> + 8e49f2: 190166 l32r a9, 4e8598 <_lit4_start+0x598> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:302 + 8e49f5: 288100 l16ui a8, a8, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:297 + 8e49f8: 299100 l16ui a9, a9, 0 + 8e49fb: b088 addi.n a8, a8, -1 + 8e49fd: 658179 bnez a8, 8e4b7a + 8e4a00: 659176 bnez a9, 8e4b7a + 8e4a03: 1a0167 l32r a10, 4e859c <_lit4_start+0x59c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:292 + 8e4a06: 2aa100 l16ui a10, a10, 0 + 8e4a09: 65a16d bnez a10, 8e4b7a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:164 + 8e4a0c: c0a1 movi.n a10, 1 + 8e4a0e: c0bf movi.n a11, 15 + 8e4a10: 5bffb9 call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:165 + 8e4a13: c0a0 movi.n a10, 0 + 8e4a15: c0b1 movi.n a11, 1 + 8e4a17: 5bffbf call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:167 + 8e4a1a: c0a1 movi.n a10, 1 + 8e4a1c: c0b1 movi.n a11, 1 + 8e4a1e: 5bffbd call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:169 + 8e4a21: c0a0 movi.n a10, 0 + 8e4a23: 2b0a86 movi a11, 134 + 8e4a26: 5bffc3 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:175 + 8e4a29: c0a1 movi.n a10, 1 + 8e4a2b: c0b6 movi.n a11, 6 + 8e4a2d: 5bffc1 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:178 + 8e4a30: c0a1 movi.n a10, 1 + 8e4a32: c0b1 movi.n a11, 1 + 8e4a34: 2c2a00 movi a12, 0x200 + 8e4a37: 5bffc7 call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:179 + 8e4a3a: c0a1 movi.n a10, 1 + 8e4a3c: c0b1 movi.n a11, 1 + 8e4a3e: 2c2a00 movi a12, 0x200 + 8e4a41: 5bffce call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:180 + 8e4a44: c0a1 movi.n a10, 1 + 8e4a46: c0b1 movi.n a11, 1 + 8e4a48: 2c2a00 movi a12, 0x200 + 8e4a4b: 5bffd5 call8 8e49a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:185 + 8e4a4e: c0a2 movi.n a10, 2 + 8e4a50: 2b0af2 movi a11, 242 + 8e4a53: 5bffa9 call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:186 + 8e4a56: c0a2 movi.n a10, 2 + 8e4a58: c1b2 movi.n a11, 18 + 8e4a5a: 5bffae call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:188 + 8e4a5d: c0a3 movi.n a10, 3 + 8e4a5f: c1b2 movi.n a11, 18 + 8e4a61: 5bffac call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:190 + 8e4a64: c0a2 movi.n a10, 2 + 8e4a66: 2b0a86 movi a11, 134 + 8e4a69: 5bffb2 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:196 + 8e4a6c: c0a3 movi.n a10, 3 + 8e4a6e: c0b6 movi.n a11, 6 + 8e4a70: 5bffb0 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:199 + 8e4a73: c0a2 movi.n a10, 2 + 8e4a75: c0b0 movi.n a11, 0 + 8e4a77: 2c2a00 movi a12, 0x200 + 8e4a7a: 5bffb6 call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:200 + 8e4a7d: c0a2 movi.n a10, 2 + 8e4a7f: c0b0 movi.n a11, 0 + 8e4a81: 2c2a00 movi a12, 0x200 + 8e4a84: 5bffbd call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:201 + 8e4a87: c0a2 movi.n a10, 2 + 8e4a89: c0b0 movi.n a11, 0 + 8e4a8b: 2c2a00 movi a12, 0x200 + 8e4a8e: 5bffc4 call8 8e49a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:206 + 8e4a91: c0a3 movi.n a10, 3 + 8e4a93: 2b0afe movi a11, 254 + 8e4a96: 5bff98 call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:207 + 8e4a99: c0ae movi.n a10, 14 + 8e4a9b: c1b3 movi.n a11, 19 + 8e4a9d: 5bff9d call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:208 + 8e4aa0: c0ae movi.n a10, 14 + 8e4aa2: 2b0a83 movi a11, 131 + 8e4aa5: 5bffa3 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:217 + 8e4aa8: c0a3 movi.n a10, 3 + 8e4aaa: c0b0 movi.n a11, 0 + 8e4aac: c4c0 movi.n a12, 64 + 8e4aae: 5bffa9 call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:218 + 8e4ab1: c0a3 movi.n a10, 3 + 8e4ab3: c0b0 movi.n a11, 0 + 8e4ab5: c4c0 movi.n a12, 64 + 8e4ab7: 5bffb1 call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:219 + 8e4aba: c0a3 movi.n a10, 3 + 8e4abc: c0b0 movi.n a11, 0 + 8e4abe: c4c0 movi.n a12, 64 + 8e4ac0: 5bffb7 call8 8e49a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:224 + 8e4ac3: c0a4 movi.n a10, 4 + 8e4ac5: 2b0aff movi a11, 255 + 8e4ac8: 5bff8b call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:225 + 8e4acb: c0af movi.n a10, 15 + 8e4acd: c0b4 movi.n a11, 4 + 8e4acf: 5bff91 call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:226 + 8e4ad2: c0af movi.n a10, 15 + 8e4ad4: 2b0a83 movi a11, 131 + 8e4ad7: 5bff97 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:235 + 8e4ada: c0a4 movi.n a10, 4 + 8e4adc: c0b1 movi.n a11, 1 + 8e4ade: c4c0 movi.n a12, 64 + 8e4ae0: 5bff9c call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:236 + 8e4ae3: c0a4 movi.n a10, 4 + 8e4ae5: c0b1 movi.n a11, 1 + 8e4ae7: c4c0 movi.n a12, 64 + 8e4ae9: 5bffa4 call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:237 + 8e4aec: c0a4 movi.n a10, 4 + 8e4aee: c0b1 movi.n a11, 1 + 8e4af0: c4c0 movi.n a12, 64 + 8e4af2: 5bffab call8 8e49a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:244 + 8e4af5: c0a5 movi.n a10, 5 + 8e4af7: c4bf movi.n a11, 79 + 8e4af9: 5bff7f call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:245 + 8e4afc: c0a4 movi.n a10, 4 + 8e4afe: c0b5 movi.n a11, 5 + 8e4b00: 5bff84 call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:247 + 8e4b03: c0a5 movi.n a10, 5 + 8e4b05: c0b5 movi.n a11, 5 + 8e4b07: 5bff83 call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:249 + 8e4b0a: c0a4 movi.n a10, 4 + 8e4b0c: 2b0a86 movi a11, 134 + 8e4b0f: 5bff89 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:255 + 8e4b12: c0a5 movi.n a10, 5 + 8e4b14: c0b6 movi.n a11, 6 + 8e4b16: 5bff87 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:258 + 8e4b19: c0a5 movi.n a10, 5 + 8e4b1b: c0b1 movi.n a11, 1 + 8e4b1d: 2c2a00 movi a12, 0x200 + 8e4b20: 5bff8c call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:259 + 8e4b23: c0a5 movi.n a10, 5 + 8e4b25: c0b1 movi.n a11, 1 + 8e4b27: 2c2a00 movi a12, 0x200 + 8e4b2a: 5bff94 call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:260 + 8e4b2d: c0a5 movi.n a10, 5 + 8e4b2f: c0b1 movi.n a11, 1 + 8e4b31: 2c2a00 movi a12, 0x200 + 8e4b34: 5bff9a call8 8e49a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:268 + 8e4b37: c0a6 movi.n a10, 6 + 8e4b39: 2b0a6f movi a11, 111 + 8e4b3c: 5bff6e call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:269 + 8e4b3f: c0a6 movi.n a10, 6 + 8e4b41: c0b6 movi.n a11, 6 + 8e4b43: 5bff74 call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:271 + 8e4b46: c0a7 movi.n a10, 7 + 8e4b48: c0b6 movi.n a11, 6 + 8e4b4a: 5bff72 call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:273 + 8e4b4d: c0a6 movi.n a10, 6 + 8e4b4f: 2b0a86 movi a11, 134 + 8e4b52: 5bff78 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:279 + 8e4b55: c0a7 movi.n a10, 7 + 8e4b57: c0b6 movi.n a11, 6 + 8e4b59: 5bff76 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:282 + 8e4b5c: c0a6 movi.n a10, 6 + 8e4b5e: c0b1 movi.n a11, 1 + 8e4b60: 2c2a00 movi a12, 0x200 + 8e4b63: 5bff7c call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:283 + 8e4b66: c0a6 movi.n a10, 6 + 8e4b68: c0b1 movi.n a11, 1 + 8e4b6a: 2c2a00 movi a12, 0x200 + 8e4b6d: 5bff83 call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:284 + 8e4b70: c0a6 movi.n a10, 6 + 8e4b72: c0b1 movi.n a11, 1 + 8e4b74: 2c2a00 movi a12, 0x200 + 8e4b77: 5bff8a call8 8e49a0 + +008e4b7a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:305 + 8e4b7a: d10f retw.n + +008e4b7c : +vUsbFIFO_EPxCfg_FS(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:308 + 8e4b7c: 6c1004 entry a1, 32 + 8e4b7f: 180165 l32r a8, 4e8594 <_lit4_start+0x594> + 8e4b82: 190166 l32r a9, 4e8598 <_lit4_start+0x598> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:431 + 8e4b85: 288100 l16ui a8, a8, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:426 + 8e4b88: 299100 l16ui a9, a9, 0 + 8e4b8b: b088 addi.n a8, a8, -1 + 8e4b8d: 658118 bnez a8, 8e4ca9 + 8e4b90: 659115 bnez a9, 8e4ca9 + 8e4b93: 1a0167 l32r a10, 4e859c <_lit4_start+0x59c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:421 + 8e4b96: 2aa100 l16ui a10, a10, 0 + 8e4b99: 65a10c bnez a10, 8e4ca9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:329 + 8e4b9c: c0a1 movi.n a10, 1 + 8e4b9e: c0bf movi.n a11, 15 + 8e4ba0: 5bff55 call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:330 + 8e4ba3: c0a0 movi.n a10, 0 + 8e4ba5: c0b1 movi.n a11, 1 + 8e4ba7: 5bff5b call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:331 + 8e4baa: c0a0 movi.n a10, 0 + 8e4bac: 2b0a86 movi a11, 134 + 8e4baf: 5bff61 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:337 + 8e4bb2: c0a1 movi.n a10, 1 + 8e4bb4: c0b6 movi.n a11, 6 + 8e4bb6: 5bff5f call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:340 + 8e4bb9: c0a1 movi.n a10, 1 + 8e4bbb: c0b1 movi.n a11, 1 + 8e4bbd: c4c0 movi.n a12, 64 + 8e4bbf: 5bff65 call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:341 + 8e4bc2: c0a1 movi.n a10, 1 + 8e4bc4: c0b1 movi.n a11, 1 + 8e4bc6: c4c0 movi.n a12, 64 + 8e4bc8: 5bff6c call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:343 + 8e4bcb: c0a1 movi.n a10, 1 + 8e4bcd: c0b1 movi.n a11, 1 + 8e4bcf: c4c0 movi.n a12, 64 + 8e4bd1: 5bff73 call8 8e49a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:348 + 8e4bd4: c0a2 movi.n a10, 2 + 8e4bd6: 2b0af2 movi a11, 242 + 8e4bd9: 5bff47 call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:349 + 8e4bdc: c0a2 movi.n a10, 2 + 8e4bde: c1b2 movi.n a11, 18 + 8e4be0: 5bff4c call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:350 + 8e4be3: c0a2 movi.n a10, 2 + 8e4be5: 2b0a86 movi a11, 134 + 8e4be8: 5bff52 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:356 + 8e4beb: c0a3 movi.n a10, 3 + 8e4bed: c0b6 movi.n a11, 6 + 8e4bef: 5bff51 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:359 + 8e4bf2: c0a2 movi.n a10, 2 + 8e4bf4: c0b0 movi.n a11, 0 + 8e4bf6: c4c0 movi.n a12, 64 + 8e4bf8: 5bff56 call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:360 + 8e4bfb: c0a2 movi.n a10, 2 + 8e4bfd: c0b0 movi.n a11, 0 + 8e4bff: c4c0 movi.n a12, 64 + 8e4c01: 5bff5e call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:361 + 8e4c04: c0a2 movi.n a10, 2 + 8e4c06: c0b0 movi.n a11, 0 + 8e4c08: c4c0 movi.n a12, 64 + 8e4c0a: 5bff65 call8 8e49a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:366 + 8e4c0d: c0a3 movi.n a10, 3 + 8e4c0f: 2b0afe movi a11, 254 + 8e4c12: 5bff39 call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:367 + 8e4c15: c0ae movi.n a10, 14 + 8e4c17: c1b3 movi.n a11, 19 + 8e4c19: 5bff3e call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:368 + 8e4c1c: c0ae movi.n a10, 14 + 8e4c1e: 2b0a83 movi a11, 131 + 8e4c21: 5bff44 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:377 + 8e4c24: c0a3 movi.n a10, 3 + 8e4c26: c0b0 movi.n a11, 0 + 8e4c28: c4c0 movi.n a12, 64 + 8e4c2a: 5bff4a call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:378 + 8e4c2d: c0a3 movi.n a10, 3 + 8e4c2f: c0b0 movi.n a11, 0 + 8e4c31: c4c0 movi.n a12, 64 + 8e4c33: 5bff52 call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:379 + 8e4c36: c0a3 movi.n a10, 3 + 8e4c38: c0b0 movi.n a11, 0 + 8e4c3a: c4c0 movi.n a12, 64 + 8e4c3c: 5bff58 call8 8e49a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:384 + 8e4c3f: c0a4 movi.n a10, 4 + 8e4c41: 2b0aff movi a11, 255 + 8e4c44: 5bff2c call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:385 + 8e4c47: c0af movi.n a10, 15 + 8e4c49: c0b4 movi.n a11, 4 + 8e4c4b: 5bff32 call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:386 + 8e4c4e: c0af movi.n a10, 15 + 8e4c50: 2b0a82 movi a11, 130 + 8e4c53: 5bff38 call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:395 + 8e4c56: c0a4 movi.n a10, 4 + 8e4c58: c0b1 movi.n a11, 1 + 8e4c5a: c4c0 movi.n a12, 64 + 8e4c5c: 5bff3d call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:396 + 8e4c5f: c0a4 movi.n a10, 4 + 8e4c61: c0b1 movi.n a11, 1 + 8e4c63: c4c0 movi.n a12, 64 + 8e4c65: 5bff45 call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:397 + 8e4c68: c0a4 movi.n a10, 4 + 8e4c6a: c0b1 movi.n a11, 1 + 8e4c6c: c4c0 movi.n a12, 64 + 8e4c6e: 5bff4c call8 8e49a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:402 + 8e4c71: c0a5 movi.n a10, 5 + 8e4c73: c4bf movi.n a11, 79 + 8e4c75: 5bff20 call8 8e48f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:403 + 8e4c78: c0a4 movi.n a10, 4 + 8e4c7a: c0b5 movi.n a11, 5 + 8e4c7c: 5bff25 call8 8e4914 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:404 + 8e4c7f: c0a4 movi.n a10, 4 + 8e4c81: 2b0a86 movi a11, 134 + 8e4c84: 5bff2b call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:410 + 8e4c87: c0a5 movi.n a10, 5 + 8e4c89: c0b6 movi.n a11, 6 + 8e4c8b: 5bff2a call8 8e4934 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:413 + 8e4c8e: c0a5 movi.n a10, 5 + 8e4c90: c0b1 movi.n a11, 1 + 8e4c92: c4c0 movi.n a12, 64 + 8e4c94: 5bff2f call8 8e4954 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:414 + 8e4c97: c0a5 movi.n a10, 5 + 8e4c99: c0b1 movi.n a11, 1 + 8e4c9b: c4c0 movi.n a12, 64 + 8e4c9d: 5bff37 call8 8e497c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:415 + 8e4ca0: c0a5 movi.n a10, 5 + 8e4ca2: c0b1 movi.n a11, 1 + 8e4ca4: c4c0 movi.n a12, 64 + 8e4ca6: 5bff3e call8 8e49a0 + +008e4ca9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:433 + 8e4ca9: d10f retw.n + +008e4cab : + ... + +008e4cac <__dma_reg_read>: +__dma_reg_read(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:166 + 8e4cac: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:167 + 8e4caf: 0c0200 memw + 8e4cb2: 8220 l32i.n a2, a2, 0 + 8e4cb4: d10f retw.n + +008e4cb6 <__dma_reg_read+0xa>: + ... + +008e4cb8 <__dma_reg_write>: +__dma_reg_write(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:177 + 8e4cb8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:178 + 8e4cbb: 0c0200 memw + 8e4cbe: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:179 + 8e4cc0: d10f retw.n + +008e4cc2 <__dma_reg_write+0xa>: + ... + +008e4cc4 <__dma_set_base>: +__dma_set_base(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:188 + 8e4cc4: 6c1004 entry a1, 32 + 8e4cc7: 160168 l32r a6, 4e85a0 <_lit4_start+0x5a0> + 8e4cca: c839 beqz.n a3, 8e4cd7 <__dma_set_base+0x13> + 8e4ccc: 150169 l32r a5, 4e85a4 <_lit4_start+0x5a4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:201 + 8e4ccf: 683107 beqi a3, 1, 8e4cda <__dma_set_base+0x16> + 8e4cd2: 683204 beqi a3, 2, 8e4cda <__dma_set_base+0x16> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:202 + 8e4cd5: d10f retw.n + +008e4cd7 <__dma_set_base+0x13>: + 8e4cd7: 15016a l32r a5, 4e85a8 <_lit4_start+0x5a8> + +008e4cda <__dma_set_base+0x16>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:197 + 8e4cda: 022409 addx2 a4, a2, a2 + 8e4cdd: 06440b addx8 a4, a4, a6 + 8e4ce0: 9540 s32i.n a5, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:202 + 8e4ce2: d10f retw.n + +008e4ce4 <__dma_lib_tx_init>: +__dma_lib_tx_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:213 + 8e4ce4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:217 + 8e4ce7: 282cfc addi a8, a2, -4 + 8e4cea: 6e8203 bltui a8, 2, 8e4cf1 <__dma_lib_tx_init+0xd> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:218 + 8e4ced: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:232 + 8e4cef: d10f retw.n + +008e4cf1 <__dma_lib_tx_init+0xd>: + 8e4cf1: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4cf4: 190168 l32r a9, 4e85a0 <_lit4_start+0x5a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:220 + 8e4cf7: 022409 addx2 a4, a2, a2 + 8e4cfa: 2882af l32i a8, a8, 0x2bc + 8e4cfd: 09440b addx8 a4, a4, a9 + 8e4d00: b84a addi.n a10, a4, 8 + 8e4d02: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:222 + 8e4d05: db30 mov.n a11, a3 + 8e4d07: da20 mov.n a10, a2 + 8e4d09: 5bffee call8 8e4cc4 <__dma_set_base> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:224 + 8e4d0c: 8841 l32i.n a8, a4, 4 + 8e4d0e: 8240 l32i.n a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:228 + 8e4d10: 8b42 l32i.n a11, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:224 + 8e4d12: a822 add.n a2, a2, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:228 + 8e4d14: da20 mov.n a10, a2 + 8e4d16: 5bffe8 call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:229 + 8e4d19: bc2a addi.n a10, a2, 12 + 8e4d1b: c0b2 movi.n a11, 2 + 8e4d1d: 5bffe6 call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:230 + 8e4d20: 2a2c18 addi a10, a2, 24 + 8e4d23: c0b1 movi.n a11, 1 + 8e4d25: 5bffe4 call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:232 + 8e4d28: c020 movi.n a2, 0 + 8e4d2a: d10f retw.n + +008e4d2c <__dma_lib_rx_config>: +__dma_lib_rx_config(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:238 + 8e4d2c: 6c1004 entry a1, 32 + 8e4d2f: dc40 mov.n a12, a4 + 8e4d31: db30 mov.n a11, a3 + 8e4d33: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4d36: 1a0168 l32r a10, 4e85a0 <_lit4_start+0x5a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:246 + 8e4d39: 022909 addx2 a9, a2, a2 + 8e4d3c: 2882b0 l32i a8, a8, 0x2c0 + 8e4d3f: 0a920b addx8 a2, a9, a10 + 8e4d42: b82a addi.n a10, a2, 8 + 8e4d44: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:251 + 8e4d47: c0c2 movi.n a12, 2 + 8e4d49: c031 movi.n a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:249 + 8e4d4b: 8f21 l32i.n a15, a2, 4 + 8e4d4d: 8d20 l32i.n a13, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:257 + 8e4d4f: 8b22 l32i.n a11, a2, 8 + 8e4d51: 1e016a l32r a14, 4e85a8 <_lit4_start+0x5a8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:249 + 8e4d54: afd2 add.n a2, a13, a15 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:257 + 8e4d56: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:251 + 8e4d58: 0edd0c sub a13, a13, a14 + 8e4d5b: 0dc338 moveqz a3, a12, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:257 + 8e4d5e: 5bffd6 call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:258 + 8e4d61: db30 mov.n a11, a3 + 8e4d63: b82a addi.n a10, a2, 8 + 8e4d65: 5bffd4 call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:259 + 8e4d68: 2a2c1c addi a10, a2, 28 + 8e4d6b: c0b1 movi.n a11, 1 + 8e4d6d: 5bffd2 call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:260 + 8e4d70: b42a addi.n a10, a2, 4 + 8e4d72: c0b1 movi.n a11, 1 + 8e4d74: 5bffd0 call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:261 + 8e4d77: d10f retw.n + +008e4d79 <__dma_lib_rx_config+0x4d>: + 8e4d79: 000000 ... + +008e4d7c <__dma_lib_rx_init>: +__dma_lib_rx_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:272 + 8e4d7c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:273 + 8e4d7f: 6e2403 bltui a2, 4, 8e4d86 <__dma_lib_rx_init+0xa> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:274 + 8e4d82: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:284 + 8e4d84: d10f retw.n + +008e4d86 <__dma_lib_rx_init+0xa>: + 8e4d86: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4d89: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:280 + 8e4d8c: 022a09 addx2 a10, a2, a2 + 8e4d8f: 2882ae l32i a8, a8, 0x2b8 + 8e4d92: 0baa0b addx8 a10, a10, a11 + 8e4d95: b8aa addi.n a10, a10, 8 + 8e4d97: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:282 + 8e4d9a: db30 mov.n a11, a3 + 8e4d9c: da20 mov.n a10, a2 + 8e4d9e: 5bffc9 call8 8e4cc4 <__dma_set_base> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:284 + 8e4da1: c020 movi.n a2, 0 + 8e4da3: d10f retw.n + +008e4da5 <__dma_lib_rx_init+0x29>: + 8e4da5: 000000 ... + +008e4da8 <__dma_hard_xmit>: +__dma_hard_xmit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:295 + 8e4da8: 6c1004 entry a1, 32 + 8e4dab: db30 mov.n a11, a3 + 8e4dad: 1c0168 l32r a12, 4e85a0 <_lit4_start+0x5a0> + 8e4db0: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:298 + 8e4db3: 022a09 addx2 a10, a2, a2 + 8e4db6: 0caa0b addx8 a10, a10, a12 + 8e4db9: 8ca1 l32i.n a12, a10, 4 + 8e4dbb: 89a0 l32i.n a9, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:300 + 8e4dbd: 2882b1 l32i a8, a8, 0x2c4 + 8e4dc0: b8aa addi.n a10, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:298 + 8e4dc2: ac92 add.n a2, a9, a12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:300 + 8e4dc4: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:304 + 8e4dc7: b42a addi.n a10, a2, 4 + 8e4dc9: c0b1 movi.n a11, 1 + 8e4dcb: 5bffbb call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:305 + 8e4dce: d10f retw.n + +008e4dd0 <__dma_reap_xmitted>: +__dma_reap_xmitted(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:315 + 8e4dd0: 6c1004 entry a1, 32 + 8e4dd3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4dd6: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:316 + 8e4dd9: 022a09 addx2 a10, a2, a2 + 8e4ddc: 2882b5 l32i a8, a8, 0x2d4 + 8e4ddf: 0baa0b addx8 a10, a10, a11 + 8e4de2: b8aa addi.n a10, a10, 8 + 8e4de4: 0b8000 callx8 a8 + 8e4de7: d2a0 mov.n a2, a10 + 8e4de9: d10f retw.n + +008e4deb <__dma_reap_xmitted+0x1b>: + ... + +008e4dec <__dma_flush_xmit>: +__dma_flush_xmit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:326 + 8e4dec: 6c1004 entry a1, 32 + 8e4def: 140168 l32r a4, 4e85a0 <_lit4_start+0x5a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:339 + 8e4df2: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:330 + 8e4df4: 022309 addx2 a3, a2, a2 + 8e4df7: 04330b addx8 a3, a3, a4 + 8e4dfa: 8831 l32i.n a8, a3, 4 + 8e4dfc: 8430 l32i.n a4, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:332 + 8e4dfe: 8232 l32i.n a2, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:333 + 8e4e00: 8333 l32i.n a3, a3, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:330 + 8e4e02: a844 add.n a4, a4, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:339 + 8e4e04: b44a addi.n a10, a4, 4 + 8e4e06: 5bffac call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:340 + 8e4e09: da40 mov.n a10, a4 + 8e4e0b: db30 mov.n a11, a3 + 8e4e0d: 5bffaa call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:345 + 8e4e10: 73210f beq a2, a3, 8e4e23 <__dma_flush_xmit+0x37> + 8e4e13: c0a0 movi.n a10, 0 + +008e4e15 <__dma_flush_xmit+0x29>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:346 + 8e4e15: 0c0200 memw + 8e4e18: 2a2501 s16i a10, a2, 2 + 8e4e1b: 0c0200 memw + 8e4e1e: 8224 l32i.n a2, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:345 + 8e4e20: 7329f1 bne a2, a3, 8e4e15 <__dma_flush_xmit+0x29> + +008e4e23 <__dma_flush_xmit+0x37>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:349 + 8e4e23: d10f retw.n + +008e4e25 <__dma_flush_xmit+0x39>: + 8e4e25: 000000 ... + +008e4e28 <__dma_xmit_done>: +__dma_xmit_done(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:360 + 8e4e28: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:361 + 8e4e2b: 282cfc addi a8, a2, -4 + 8e4e2e: 6e8203 bltui a8, 2, 8e4e35 <__dma_xmit_done+0xd> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:362 + 8e4e31: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:364 + 8e4e33: d10f retw.n + +008e4e35 <__dma_xmit_done+0xd>: + 8e4e35: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4e38: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> + 8e4e3b: 022a09 addx2 a10, a2, a2 + 8e4e3e: 2882b7 l32i a8, a8, 0x2dc + 8e4e41: 0baa0b addx8 a10, a10, a11 + 8e4e44: b8aa addi.n a10, a10, 8 + 8e4e46: 0b8000 callx8 a8 + 8e4e49: 0a024f extui a2, a10, 0, 16 + 8e4e4c: d10f retw.n + +008e4e4e <__dma_xmit_done+0x26>: + ... + +008e4e50 <__dma_reap_recv>: +__dma_reap_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:375 + 8e4e50: 6c1004 entry a1, 32 + 8e4e53: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4e56: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:376 + 8e4e59: 022a09 addx2 a10, a2, a2 + 8e4e5c: 2882b3 l32i a8, a8, 0x2cc + 8e4e5f: 0baa0b addx8 a10, a10, a11 + 8e4e62: b8aa addi.n a10, a10, 8 + 8e4e64: 0b8000 callx8 a8 + 8e4e67: d2a0 mov.n a2, a10 + 8e4e69: d10f retw.n + +008e4e6b <__dma_reap_recv+0x1b>: + ... + +008e4e6c <__dma_return_recv>: +__dma_return_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:387 + 8e4e6c: 6c1004 entry a1, 32 + 8e4e6f: db30 mov.n a11, a3 + 8e4e71: 1c0168 l32r a12, 4e85a0 <_lit4_start+0x5a0> + 8e4e74: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:390 + 8e4e77: 022a09 addx2 a10, a2, a2 + 8e4e7a: 0caa0b addx8 a10, a10, a12 + 8e4e7d: 8ca1 l32i.n a12, a10, 4 + 8e4e7f: 89a0 l32i.n a9, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:392 + 8e4e81: 2882b4 l32i a8, a8, 0x2d0 + 8e4e84: b8aa addi.n a10, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:390 + 8e4e86: ac92 add.n a2, a9, a12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:392 + 8e4e88: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:394 + 8e4e8b: b42a addi.n a10, a2, 4 + 8e4e8d: c0b1 movi.n a11, 1 + 8e4e8f: 5bff8a call8 8e4cb8 <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:395 + 8e4e92: d10f retw.n + +008e4e94 <__dma_recv_pkt>: +__dma_recv_pkt(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:405 + 8e4e94: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:406 + 8e4e97: 6e2403 bltui a2, 4, 8e4e9e <__dma_recv_pkt+0xa> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:407 + 8e4e9a: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:409 + 8e4e9c: d10f retw.n + +008e4e9e <__dma_recv_pkt+0xa>: + 8e4e9e: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4ea1: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> + 8e4ea4: 022a09 addx2 a10, a2, a2 + 8e4ea7: 2882b7 l32i a8, a8, 0x2dc + 8e4eaa: 0baa0b addx8 a10, a10, a11 + 8e4ead: b8aa addi.n a10, a10, 8 + 8e4eaf: 0b8000 callx8 a8 + 8e4eb2: 0a024f extui a2, a10, 0, 16 + 8e4eb5: d10f retw.n + +008e4eb7 <__dma_recv_pkt+0x23>: + ... + +008e4eb8 : +dma_lib_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:414 + 8e4eb8: 6c1004 entry a1, 32 + 8e4ebb: 190172 l32r a9, 4e85c8 <_lit4_start+0x5c8> + 8e4ebe: 1a0171 l32r a10, 4e85c4 <_lit4_start+0x5c4> + 8e4ec1: 1b0170 l32r a11, 4e85c0 <_lit4_start+0x5c0> + 8e4ec4: 1c016f l32r a12, 4e85bc <_lit4_start+0x5bc> + 8e4ec7: 1d016e l32r a13, 4e85b8 <_lit4_start+0x5b8> + 8e4eca: 1e016d l32r a14, 4e85b4 <_lit4_start+0x5b4> + 8e4ecd: 1f016c l32r a15, 4e85b0 <_lit4_start+0x5b0> + 8e4ed0: 13016b l32r a3, 4e85ac <_lit4_start+0x5ac> + 8e4ed3: 180173 l32r a8, 4e85cc <_lit4_start+0x5cc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:423 + 8e4ed6: 982b s32i.n a8, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:422 + 8e4ed8: 9929 s32i.n a9, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:421 + 8e4eda: 9a2a s32i.n a10, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:420 + 8e4edc: 9b28 s32i.n a11, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:419 + 8e4ede: 9c27 s32i.n a12, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:418 + 8e4ee0: 9d26 s32i.n a13, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:417 + 8e4ee2: 9e23 s32i.n a14, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:415 + 8e4ee4: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:416 + 8e4ee6: 9f22 s32i.n a15, a2, 8 + 8e4ee8: 130174 l32r a3, 4e85d0 <_lit4_start+0x5d0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:424 + 8e4eeb: 932c s32i.n a3, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:425 + 8e4eed: d10f retw.n + +008e4eef : + ... + +008e4ef0 <_vbuf_init>: +_vbuf_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:29 + 8e4ef0: 6c1004 entry a1, 32 + 8e4ef3: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:34 + 8e4ef6: 286252 l32i a8, a6, 0x148 + 8e4ef9: c0a0 movi.n a10, 0 + 8e4efb: c2b0 movi.n a11, 32 + 8e4efd: 0b8000 callx8 a8 + 8e4f00: 140175 l32r a4, 4e85d4 <_lit4_start+0x5d4> + 8e4f03: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:36 + 8e4f05: 95a0 s32i.n a5, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:35 + 8e4f07: 95a1 s32i.n a5, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:38 + 8e4f09: 9a40 s32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:40 + 8e4f0b: 6a2218 blti a2, 2, 8e4f27 <_vbuf_init+0x37> + 8e4f0e: c031 movi.n a3, 1 + +008e4f10 <_vbuf_init+0x20>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:43 + 8e4f10: 286252 l32i a8, a6, 0x148 + 8e4f13: c0a0 movi.n a10, 0 + 8e4f15: c2b0 movi.n a11, 32 + 8e4f17: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:48 + 8e4f1a: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:46 + 8e4f1c: 8940 l32i.n a9, a4, 0 + 8e4f1e: 99a1 s32i.n a9, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:45 + 8e4f20: 95a0 s32i.n a5, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:47 + 8e4f22: 9a40 s32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:40 + 8e4f24: 7329e8 bne a2, a3, 8e4f10 <_vbuf_init+0x20> + +008e4f27 <_vbuf_init+0x37>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:50 + 8e4f27: 9241 s32i.n a2, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:52 + 8e4f29: d10f retw.n + +008e4f2b <_vbuf_init+0x3b>: + ... + +008e4f2c <_vbuf_alloc_vbuf>: +_vbuf_alloc_vbuf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:56 + 8e4f2c: 6c1004 entry a1, 32 + 8e4f2f: 160175 l32r a6, 4e85d4 <_lit4_start+0x5d4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:59 + 8e4f32: 8260 l32i.n a2, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:65 + 8e4f34: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:62 + 8e4f36: 8461 l32i.n a4, a6, 4 + 8e4f38: c82a beqz.n a2, 8e4f46 <_vbuf_alloc_vbuf+0x1a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:64 + 8e4f3a: 8521 l32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:62 + 8e4f3c: b044 addi.n a4, a4, -1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:64 + 8e4f3e: 9560 s32i.n a5, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:62 + 8e4f40: 9461 s32i.n a4, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:65 + 8e4f42: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:68 + 8e4f44: d10f retw.n + +008e4f46 <_vbuf_alloc_vbuf+0x1a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:65 + 8e4f46: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:68 + 8e4f48: d10f retw.n + +008e4f4a <_vbuf_alloc_vbuf+0x1e>: + ... + +008e4f4c <_vbuf_free_vbuf>: +_vbuf_free_vbuf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:72 + 8e4f4c: 6c1004 entry a1, 32 + 8e4f4f: 130175 l32r a3, 4e85d4 <_lit4_start+0x5d4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:78 + 8e4f52: 8431 l32i.n a4, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:75 + 8e4f54: 8530 l32i.n a5, a3, 0 + 8e4f56: 9521 s32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:76 + 8e4f58: 9230 s32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:78 + 8e4f5a: b144 addi.n a4, a4, 1 + 8e4f5c: 9431 s32i.n a4, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:79 + 8e4f5e: d10f retw.n + +008e4f60 : +vbuf_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:84 + 8e4f60: 6c1004 entry a1, 32 + 8e4f63: 180177 l32r a8, 4e85dc <_lit4_start+0x5dc> + 8e4f66: 190176 l32r a9, 4e85d8 <_lit4_start+0x5d8> + 8e4f69: 130178 l32r a3, 4e85e0 <_lit4_start+0x5e0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:88 + 8e4f6c: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:87 + 8e4f6e: 9821 s32i.n a8, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:86 + 8e4f70: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:92 + 8e4f72: d10f retw.n + +008e4f74 <_vdesc_init>: +_vdesc_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:34 + 8e4f74: 6c1004 entry a1, 32 + 8e4f77: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:42 + 8e4f7a: 286252 l32i a8, a6, 0x148 + 8e4f7d: c0a0 movi.n a10, 0 + 8e4f7f: c2b4 movi.n a11, 36 + 8e4f81: 0b8000 callx8 a8 + 8e4f84: 140179 l32r a4, 4e85e4 <_lit4_start+0x5e4> + 8e4f87: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:44 + 8e4f89: 25a507 s16i a5, a10, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:43 + 8e4f8c: 95a0 s32i.n a5, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:47 + 8e4f8e: 9a40 s32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:49 + 8e4f90: 6a2219 blti a2, 2, 8e4fad <_vdesc_init+0x39> + 8e4f93: c031 movi.n a3, 1 + +008e4f95 <_vdesc_init+0x21>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:53 + 8e4f95: 286252 l32i a8, a6, 0x148 + 8e4f98: c0a0 movi.n a10, 0 + 8e4f9a: c2b4 movi.n a11, 36 + 8e4f9c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:59 + 8e4f9f: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:57 + 8e4fa1: 8940 l32i.n a9, a4, 0 + 8e4fa3: 99a0 s32i.n a9, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:55 + 8e4fa5: 25a507 s16i a5, a10, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:58 + 8e4fa8: 9a40 s32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:49 + 8e4faa: 7329e7 bne a2, a3, 8e4f95 <_vdesc_init+0x21> + +008e4fad <_vdesc_init+0x39>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:63 + 8e4fad: d10f retw.n + +008e4faf <_vdesc_init+0x3b>: + ... + +008e4fb0 <_vdesc_alloc_desc>: +_vdesc_alloc_desc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:134 + 8e4fb0: 6c1004 entry a1, 32 + 8e4fb3: 150179 l32r a5, 4e85e4 <_lit4_start+0x5e4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:138 + 8e4fb6: 8250 l32i.n a2, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:144 + 8e4fb8: c030 movi.n a3, 0 + 8e4fba: c826 beqz.n a2, 8e4fc4 <_vdesc_alloc_desc+0x14> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:143 + 8e4fbc: 8420 l32i.n a4, a2, 0 + 8e4fbe: 9450 s32i.n a4, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:144 + 8e4fc0: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:147 + 8e4fc2: d10f retw.n + +008e4fc4 <_vdesc_alloc_desc+0x14>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:144 + 8e4fc4: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:147 + 8e4fc6: d10f retw.n + +008e4fc8 <_vdesc_get_hw_desc>: +_vdesc_get_hw_desc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:161 + 8e4fc8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:163 + 8e4fcb: 222c10 addi a2, a2, 16 + 8e4fce: d10f retw.n + +008e4fd0 <_vdesc_swap_vdesc>: +_vdesc_swap_vdesc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:177 + 8e4fd0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:197 + 8e4fd3: 8421 l32i.n a4, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:185 + 8e4fd5: 2c2105 l16ui a12, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:193 + 8e4fd8: 282107 l16ui a8, a2, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:189 + 8e4fdb: 2a2106 l16ui a10, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:198 + 8e4fde: 8531 l32i.n a5, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:181 + 8e4fe0: 2e2104 l16ui a14, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:194 + 8e4fe3: 293107 l16ui a9, a3, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:186 + 8e4fe6: 2d3105 l16ui a13, a3, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:190 + 8e4fe9: 2b3106 l16ui a11, a3, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:182 + 8e4fec: 2f3104 l16ui a15, a3, 8 + 8e4fef: 2f2504 s16i a15, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:183 + 8e4ff2: 2e3504 s16i a14, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:186 + 8e4ff5: 2d2505 s16i a13, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:187 + 8e4ff8: 2c3505 s16i a12, a3, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:190 + 8e4ffb: 2b2506 s16i a11, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:191 + 8e4ffe: 2a3506 s16i a10, a3, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:194 + 8e5001: 292507 s16i a9, a2, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:195 + 8e5004: 283507 s16i a8, a3, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:198 + 8e5007: 9521 s32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:199 + 8e5009: 9431 s32i.n a4, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:200 + 8e500b: d10f retw.n + +008e500d <_vdesc_swap_vdesc+0x3d>: + 8e500d: 000000 ... + +008e5010 : +vdesc_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:205 + 8e5010: 6c1004 entry a1, 32 + 8e5013: 18017c l32r a8, 4e85f0 <_lit4_start+0x5f0> + 8e5016: 19017b l32r a9, 4e85ec <_lit4_start+0x5ec> + 8e5019: 1a017a l32r a10, 4e85e8 <_lit4_start+0x5e8> + 8e501c: 13017d l32r a3, 4e85f4 <_lit4_start+0x5f4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:210 + 8e501f: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:209 + 8e5021: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:208 + 8e5023: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:207 + 8e5025: 9a20 s32i.n a10, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:216 + 8e5027: d10f retw.n + +008e5029 : + 8e5029: 000000 ... + +008e502c : +adf_nbuf_tailroom(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:314 + 8e502c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:261 + 8e502f: 8420 l32i.n a4, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 + 8e5031: 8240 l32i.n a2, a4, 0 + 8e5033: c825 beqz.n a2, 8e503c + +008e5035 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:267 + 8e5035: d420 mov.n a4, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 + 8e5037: 8220 l32i.n a2, a2, 0 + 8e5039: 652ff8 bnez a2, 8e5035 + +008e503c : +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:315 + 8e503c: 254105 l16ui a5, a4, 10 + 8e503f: 224104 l16ui a2, a4, 8 + 8e5042: 234106 l16ui a3, a4, 12 + 8e5045: 05220c sub a2, a2, a5 + 8e5048: 03220c sub a2, a2, a3 + 8e504b: d10f retw.n + +008e504d : + 8e504d: 000000 ... + +008e5050 : +adf_nbuf_push_head(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:330 + 8e5050: 6c1004 entry a1, 32 + 8e5053: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:329 + 8e5055: 8220 l32i.n a2, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:332 + 8e5057: 282106 l16ui a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:331 + 8e505a: 262105 l16ui a6, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:332 + 8e505d: a388 add.n a8, a8, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:331 + 8e505f: 03660c sub a6, a6, a3 + 8e5062: 262505 s16i a6, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:332 + 8e5065: 282506 s16i a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:333 + 8e5068: 245104 l16ui a4, a5, 8 + 8e506b: a344 add.n a4, a4, a3 + 8e506d: 245504 s16i a4, a5, 8 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:331 + 8e5070: 8421 l32i.n a4, a2, 4 + 8e5072: 222105 l16ui a2, a2, 10 + 8e5075: a422 add.n a2, a2, a4 + 8e5077: d10f retw.n + +008e5079 : + 8e5079: 000000 ... + +008e507c : +adf_nbuf_put_tail(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:346 + 8e507c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:261 + 8e507f: 8620 l32i.n a6, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 + 8e5081: 8560 l32i.n a5, a6, 0 + 8e5083: c855 beqz.n a5, 8e508c + +008e5085 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:267 + 8e5085: d650 mov.n a6, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 + 8e5087: 8550 l32i.n a5, a5, 0 + 8e5089: 655ff8 bnez a5, 8e5085 + +008e508c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:354 + 8e508c: 246106 l16ui a4, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:355 + 8e508f: a349 add.n a9, a4, a3 + 8e5091: 296506 s16i a9, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:356 + 8e5094: 282104 l16ui a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:354 + 8e5097: 256105 l16ui a5, a6, 10 + 8e509a: 8761 l32i.n a7, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:356 + 8e509c: a388 add.n a8, a8, a3 + 8e509e: 282504 s16i a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:354 + 8e50a1: a752 add.n a2, a5, a7 + 8e50a3: a242 add.n a2, a4, a2 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:347 + 8e50a5: d10f retw.n + +008e50a7 : + ... + +008e50a8 : +adf_nbuf_pull_head(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:362 + 8e50a8: 6c1004 entry a1, 32 + 8e50ab: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:373 + 8e50ad: 8220 l32i.n a2, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:376 + 8e50af: 282106 l16ui a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:375 + 8e50b2: 262105 l16ui a6, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:376 + 8e50b5: 03880c sub a8, a8, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:375 + 8e50b8: a366 add.n a6, a6, a3 + 8e50ba: 262505 s16i a6, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:376 + 8e50bd: 282506 s16i a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:377 + 8e50c0: 245104 l16ui a4, a5, 8 + 8e50c3: 03440c sub a4, a4, a3 + 8e50c6: 245504 s16i a4, a5, 8 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:363 + 8e50c9: 8421 l32i.n a4, a2, 4 + 8e50cb: 222105 l16ui a2, a2, 10 + 8e50ce: a422 add.n a2, a2, a4 + 8e50d0: d10f retw.n + +008e50d2 : + ... + +008e50d4 : +adf_nbuf_len(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:392 + 8e50d4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:393 + 8e50d7: 222104 l16ui a2, a2, 8 + 8e50da: d10f retw.n + +008e50dc : +adf_nbuf_peek_header(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:437 + 8e50dc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:245 + 8e50df: 8520 l32i.n a5, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:247 + 8e50e1: 265105 l16ui a6, a5, 10 + 8e50e4: 8751 l32i.n a7, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:248 + 8e50e6: 255106 l16ui a5, a5, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:247 + 8e50e9: a766 add.n a6, a6, a7 + 8e50eb: 9630 s32i.n a6, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:248 + 8e50ed: 9540 s32i.n a5, a4, 0 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:439 + 8e50ef: d10f retw.n + +008e50f1 : + 8e50f1: 000000 ... + +008e50f4 : +adf_nbuf_get_priv(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:453 + 8e50f4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:454 + 8e50f7: bc22 addi.n a2, a2, 12 + 8e50f9: d10f retw.n + +008e50fb : + ... + +008e50fc : +HTC_AssembleBuffers(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:80 + 8e50fc: 6c1004 entry a1, 32 + 8e50ff: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e5102: dd40 mov.n a13, a4 + 8e5104: dc30 mov.n a12, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:81 + 8e5106: 28829b l32i a8, a8, 0x26c + 8e5109: 2a22b1 l32i a10, a2, 0x2c4 + 8e510c: c0b0 movi.n a11, 0 + 8e510e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:82 + 8e5111: d10f retw.n + +008e5113 : + ... + +008e5114 <_HTC_Init>: +_HTC_Init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:87 + 8e5114: 6c1006 entry a1, 48 + 8e5117: 140018 l32r a4, 4e8060 <_lit4_start+0x60> + 8e511a: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e511c: 284252 l32i a8, a4, 0x148 + 8e511f: c0a0 movi.n a10, 0 + 8e5121: 2b2acc movi a11, 0x2cc + 8e5124: 0b8000 callx8 a8 + 8e5127: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:40 + 8e5129: 8844 l32i.n a8, a4, 16 + 8e512b: c0b0 movi.n a11, 0 + 8e512d: 2c2acc movi a12, 0x2cc + 8e5130: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:100 + 8e5133: 8832 l32i.n a8, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:101 + 8e5135: 261a8c movi a6, 0x18c + 8e5138: a626 add.n a6, a2, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:100 + 8e513a: 9820 s32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:101 + 8e513c: 8c34 l32i.n a12, a3, 16 + 8e513e: 2c26b1 s32i a12, a2, 0x2c4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:102 + 8e5141: 8a33 l32i.n a10, a3, 12 + 8e5143: 2a26b0 s32i a10, a2, 0x2c0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:107 + 8e5146: 9212 s32i.n a2, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:110 + 8e5148: 284272 l32i a8, a4, 0x1c8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:106 + 8e514b: 294286 l32i a9, a4, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:105 + 8e514e: 2b4287 l32i a11, a4, 0x21c + 8e5151: 9b10 s32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:106 + 8e5153: 9911 s32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:110 + 8e5155: db10 mov.n a11, a1 + 8e5157: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:114 + 8e515a: c4e0 movi.n a14, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:128 + 8e515c: c3a4 movi.n a10, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:125 + 8e515e: c0b3 movi.n a11, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:118 + 8e5160: c0d6 movi.n a13, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:142 + 8e5162: 2f4288 l32i a15, a4, 0x220 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:114 + 8e5165: c0c0 movi.n a12, 0 + 8e5167: 2c6649 s32i a12, a6, 0x124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:118 + 8e516a: 2d26ac s32i a13, a2, 0x2b0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:128 + 8e516d: 296249 l32i a9, a6, 0x124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:122 + 8e5170: 2c664c s32i a12, a6, 0x130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:125 + 8e5173: 2b26af s32i a11, a2, 0x2bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:128 + 8e5176: 0a9928 mull a9, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:144 + 8e5179: c2ac movi.n a10, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:130 + 8e517b: 0e9935 max a9, a9, a14 + 8e517e: 29664a s32i a9, a6, 0x128 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:136 + 8e5181: 8830 l32i.n a8, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:149 + 8e5183: 292a7c movi a9, 0x27c + 8e5186: a929 add.n a9, a2, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:136 + 8e5188: 286644 s32i a8, a6, 0x110 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:137 + 8e518b: 8b31 l32i.n a11, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:142 + 8e518d: 2f663d s32i a15, a6, 244 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:143 + 8e5190: 2d4289 l32i a13, a4, 0x224 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:145 + 8e5193: 2e6582 s16i a14, a6, 0x104 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:143 + 8e5196: 2d663e s32i a13, a6, 248 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:139 + 8e5199: 2c6648 s32i a12, a6, 0x120 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:144 + 8e519c: 2a6583 s16i a10, a6, 0x106 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:137 + 8e519f: 2b6647 s32i a11, a6, 0x11c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:150 + 8e51a2: 2a22b0 l32i a10, a2, 0x2c0 + 8e51a5: 2b2c19 addi a11, a2, 25 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:146 + 8e51a8: 2226a5 s32i a2, a2, 0x294 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:150 + 8e51ab: 28427c l32i a8, a4, 0x1f0 + 8e51ae: 2c2c18 addi a12, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:149 + 8e51b1: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:150 + 8e51b3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:155 + 8e51b6: 884e l32i.n a8, a4, 56 + 8e51b8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:156 + 8e51bb: 2b2019 l8ui a11, a2, 25 + 8e51be: 2e22b0 l32i a14, a2, 0x2c0 + 8e51c1: 2d4275 l32i a13, a4, 0x1d4 + 8e51c4: 69a209 bnei a10, 2, 8e51d1 <_HTC_Init+0xbd> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:157 + 8e51c7: dae0 mov.n a10, a14 + 8e51c9: 8c31 l32i.n a12, a3, 4 + 8e51cb: 0bd000 callx8 a13 + 8e51ce: 600006 j 8e51d8 <_HTC_Init+0xc4> + +008e51d1 <_HTC_Init+0xbd>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:159 + 8e51d1: dae0 mov.n a10, a14 + 8e51d3: c0c1 movi.n a12, 1 + 8e51d5: 0bd000 callx8 a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:165 + 8e51d8: 2526a9 s32i a5, a2, 0x2a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:169 + 8e51db: da20 mov.n a10, a2 + 8e51dd: c0b4 movi.n a11, 4 + 8e51df: c4c0 movi.n a12, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:164 + 8e51e1: c091 movi.n a9, 1 + 8e51e3: 29269e s32i a9, a2, 0x278 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:169 + 8e51e6: 5bffc5 call8 8e50fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:172 + 8e51e9: 284274 l32i a8, a4, 0x1d0 + 8e51ec: 2a22b0 l32i a10, a2, 0x2c0 + 8e51ef: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:174 + 8e51f2: d10f retw.n + +008e51f4 <_HTC_Shutdown>: +_HTC_Shutdown(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:178 + 8e51f4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:182 + 8e51f7: d10f retw.n + +008e51f9 <_HTC_Shutdown+0x5>: + 8e51f9: 000000 ... + +008e51fc <_HTC_RegisterService>: +_HTC_RegisterService(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:185 + 8e51fc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:189 + 8e51ff: 2422a6 l32i a4, a2, 0x298 + 8e5202: 9430 s32i.n a4, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:190 + 8e5204: 2326a6 s32i a3, a2, 0x298 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:191 + 8e5207: d10f retw.n + +008e5209 <_HTC_RegisterService+0xd>: + 8e5209: 000000 ... + +008e520c <_HTC_Ready>: +_HTC_Ready(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:194 + 8e520c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:200 + 8e520f: da20 mov.n a10, a2 + 8e5211: 5800bc call8 8e5504 + 8e5214: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:206 + 8e5216: c0b8 movi.n a11, 8 + 8e5218: 5bff98 call8 8e507c + 8e521b: 150018 l32r a5, 4e8060 <_lit4_start+0x60> + 8e521e: d3a0 mov.n a3, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:208 + 8e5220: 8854 l32i.n a8, a5, 16 + 8e5222: c0b0 movi.n a11, 0 + 8e5224: c0c8 movi.n a12, 8 + 8e5226: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:217 + 8e5229: dc40 mov.n a12, a4 + 8e522b: da20 mov.n a10, a2 + 8e522d: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:213 + 8e522f: c1e6 movi.n a14, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:209 + 8e5231: c081 movi.n a8, 1 + 8e5233: c0f0 movi.n a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 + 8e5235: 2922a7 l32i a9, a2, 0x29c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:212 + 8e5238: 2d22aa l32i a13, a2, 0x2a8 + 8e523b: 2d3403 s8i a13, a3, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 + 8e523e: 293405 s8i a9, a3, 5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:209 + 8e5241: 2f3400 s8i a15, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 + 8e5244: 098914 srli a9, a9, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:212 + 8e5247: 0d8d14 srli a13, a13, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:209 + 8e524a: 283401 s8i a8, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:213 + 8e524d: 2e3406 s8i a14, a3, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:217 + 8e5250: 285284 l32i a8, a5, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:212 + 8e5253: 2d3402 s8i a13, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 + 8e5256: 293404 s8i a9, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:217 + 8e5259: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:219 + 8e525c: d10f retw.n + +008e525e <_HTC_Ready+0x52>: + ... + +008e5260 : +ReturnBuffers(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:222 + 8e5260: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:229 + 8e5263: da40 mov.n a10, a4 + 8e5265: c0b8 movi.n a11, 8 + 8e5267: 5bff7a call8 8e5050 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:233 + 8e526a: 2a22b0 l32i a10, a2, 0x2c0 + 8e526d: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e5270: dc40 mov.n a12, a4 + 8e5272: 03360f subx8 a6, a3, a3 + 8e5275: 288277 l32i a8, a8, 0x1dc + 8e5278: 02660a addx4 a6, a6, a2 + 8e527b: 2b6019 l8ui a11, a6, 25 + 8e527e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:236 + 8e5281: 2922a8 l32i a9, a2, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:259 + 8e5284: 2822aa l32i a8, a2, 0x2a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:236 + 8e5287: 7f974b bbci a9, 31, 8e52d6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:238 + 8e528a: da40 mov.n a10, a4 + 8e528c: 5bff91 call8 8e50d4 + 8e528f: 2b22a7 l32i a11, a2, 0x29c + 8e5292: 5805ee call8 8e6a4c <__udivsi3> + 8e5295: d7a0 mov.n a7, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:239 + 8e5297: da40 mov.n a10, a4 + 8e5299: 5bff8e call8 8e50d4 + 8e529c: c041 movi.n a4, 1 + 8e529e: 2b22a7 l32i a11, a2, 0x29c + 8e52a1: 5805fe call8 8e6a9c <__umodsi3> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:245 + 8e52a4: 2c6907 l16si a12, a6, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:244 + 8e52a7: 2b6902 l16si a11, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:240 + 8e52aa: b17d addi.n a13, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:239 + 8e52ac: 0ad739 movnez a7, a13, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:244 + 8e52af: a7bb add.n a11, a11, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:245 + 8e52b1: 07cc0c sub a12, a12, a7 + 8e52b4: 2c6507 s16i a12, a6, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:244 + 8e52b7: 2b6502 s16i a11, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:248 + 8e52ba: 2a229c l32i a10, a2, 0x270 + 8e52bd: 003104 ssl a3 + 8e52c0: 004b1a sll a11, a4 + 8e52c3: 0aba02 or a10, a11, a10 + 8e52c6: 2a269c s32i a10, a2, 0x270 + 8e52c9: c85e beqz.n a5, 8e52db +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:251 + 8e52cb: da20 mov.n a10, a2 + 8e52cd: b46c addi.n a12, a6, 4 + 8e52cf: dd30 mov.n a13, a3 + 8e52d1: 580094 call8 8e5524 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:261 + 8e52d4: d10f retw.n + +008e52d6 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:259 + 8e52d6: a8ff add.n a15, a15, a8 + +008e52d8 : + 8e52d8: 2f26aa s32i a15, a2, 0x2a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:261 + 8e52db: d10f retw.n + +008e52dd : + 8e52dd: 000000 ... + +008e52e0 <_HTC_ReturnBuffersList>: +_HTC_ReturnBuffersList(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:264 + 8e52e0: 6c1008 entry a1, 64 + 8e52e3: 251603 s32i a5, a1, 12 + 8e52e6: 261604 s32i a6, a1, 16 + 8e52e9: 241602 s32i a4, a1, 8 + 8e52ec: c84e beqz.n a4, 8e52fe <_HTC_ReturnBuffersList+0x1e> + +008e52ee <_HTC_ReturnBuffersList+0xe>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:276 + 8e52ee: da20 mov.n a10, a2 + 8e52f0: db30 mov.n a11, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:273 + 8e52f2: dc40 mov.n a12, a4 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:541 + 8e52f4: 8441 l32i.n a4, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:276 + 8e52f6: c0d0 movi.n a13, 0 + 8e52f8: 5bffd9 call8 8e5260 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:271 + 8e52fb: 654fef bnez a4, 8e52ee <_HTC_ReturnBuffersList+0xe> + +008e52fe <_HTC_ReturnBuffersList+0x1e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:279 + 8e52fe: dd30 mov.n a13, a3 + 8e5300: da20 mov.n a10, a2 + 8e5302: 003104 ssl a3 + 8e5305: c0b1 movi.n a11, 1 + 8e5307: 033c0f subx8 a12, a3, a3 + 8e530a: 02cc0a addx4 a12, a12, a2 + 8e530d: 00bb1a sll a11, a11 + 8e5310: b4cc addi.n a12, a12, 4 + 8e5312: 580084 call8 8e5524 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:280 + 8e5315: d10f retw.n + +008e5317 <_HTC_ReturnBuffersList+0x37>: + ... + +008e5318 <_HTC_ReturnBuffers>: +_HTC_ReturnBuffers(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:283 + 8e5318: 6c1004 entry a1, 32 + 8e531b: dc40 mov.n a12, a4 + 8e531d: db30 mov.n a11, a3 + 8e531f: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:284 + 8e5321: c0d1 movi.n a13, 1 + 8e5323: 5bffcf call8 8e5260 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:285 + 8e5326: d10f retw.n + +008e5328 <_HTC_SendMsg>: +_HTC_SendMsg(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:288 + 8e5328: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:294 + 8e532b: da40 mov.n a10, a4 + 8e532d: 5bff71 call8 8e50f4 + 8e5330: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:297 + 8e5332: da40 mov.n a10, a4 + 8e5334: 5bff67 call8 8e50d4 + 8e5337: d6a0 mov.n a6, a10 + 8e5339: 9a15 s32i.n a10, a1, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:304 + 8e533b: c0b8 movi.n a11, 8 + 8e533d: da40 mov.n a10, a4 + 8e533f: 5bff44 call8 8e5050 + 8e5342: 9611 s32i.n a6, a1, 4 + 8e5344: d7a0 mov.n a7, a10 + 8e5346: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e5349: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:309 + 8e534b: 235400 s8i a3, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:307 + 8e534e: c4d0 movi.n a13, 64 + 8e5350: 2c5001 l8ui a12, a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:328 + 8e5353: 033b0f subx8 a11, a3, a3 + 8e5356: 02bb0a addx4 a11, a11, a2 + 8e5359: 9b14 s32i.n a11, a1, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:307 + 8e535b: 0dcc02 or a12, a12, a13 + 8e535e: 2c5401 s8i a12, a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:315 + 8e5361: 23a400 s8i a3, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:314 + 8e5364: 29a401 s8i a9, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:328 + 8e5367: 288279 l32i a8, a8, 0x1e4 + 8e536a: 2bb018 l8ui a11, a11, 24 + 8e536d: 2a22b0 l32i a10, a2, 0x2c0 + 8e5370: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:301 + 8e5373: 8540 l32i.n a5, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:328 + 8e5375: d6a0 mov.n a6, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:329 + 8e5377: da40 mov.n a10, a4 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:301 + 8e5379: 255105 l16ui a5, a5, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:329 + 8e537c: 5bff55 call8 8e50d4 + 8e537f: d3a0 mov.n a3, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:334 + 8e5381: da40 mov.n a10, a4 + 8e5383: 5bff2a call8 8e502c + 8e5386: 05650c sub a5, a6, a5 + 8e5389: 03550c sub a5, a5, a3 + 8e538c: 75ab06 bgeu a10, a5, 8e5396 <_HTC_SendMsg+0x6e> + 8e538f: da40 mov.n a10, a4 + 8e5391: 5bff26 call8 8e502c + 8e5394: d5a0 mov.n a5, a10 + +008e5396 <_HTC_SendMsg+0x6e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:335 + 8e5396: 6b5602 bgei a5, 6, 8e539c <_HTC_SendMsg+0x74> + 8e5399: 6000bf j 8e545c <_HTC_SendMsg+0x134> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:344 + 8e539c: 23229c l32i a3, a2, 0x270 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:393 + 8e539f: c060 movi.n a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:349 + 8e53a1: 64309c beqz a3, 8e5441 <_HTC_SendMsg+0x119> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:351 + 8e53a4: da40 mov.n a10, a4 + 8e53a6: c0b2 movi.n a11, 2 + 8e53a8: 9716 s32i.n a7, a1, 24 + 8e53aa: 5bff34 call8 8e507c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:362 + 8e53ad: d720 mov.n a7, a2 + 8e53af: b42b addi.n a11, a2, 4 + 8e53b1: c062 movi.n a6, 2 + 8e53b3: c0d1 movi.n a13, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:351 + 8e53b5: 9a12 s32i.n a10, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:355 + 8e53b7: c083 movi.n a8, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:359 + 8e53b9: 2c5cfe addi a12, a5, -2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:356 + 8e53bc: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:362 + 8e53be: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:356 + 8e53c0: 29a401 s8i a9, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:355 + 8e53c3: 28a400 s8i a8, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:390 + 8e53c6: 6430b2 beqz a3, 8e547c <_HTC_SendMsg+0x154> + 8e53c9: 6bc402 bgei a12, 4, 8e53cf <_HTC_SendMsg+0xa7> + 8e53cc: 6000ac j 8e547c <_HTC_SendMsg+0x154> + 8e53cf: 9c17 s32i.n a12, a1, 28 + 8e53d1: 9b10 s32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:366 + 8e53d3: 005104 ssl a5 + 8e53d6: 00de1a sll a14, a13 + 8e53d9: 9e13 s32i.n a14, a1, 12 + 8e53db: 7e3057 bnone a3, a14, 8e5436 <_HTC_SendMsg+0x10e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:368 + 8e53de: da40 mov.n a10, a4 + 8e53e0: c0b4 movi.n a11, 4 + 8e53e2: 5bff26 call8 8e507c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:378 + 8e53e5: c080 movi.n a8, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:371 + 8e53e7: 8913 l32i.n a9, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:368 + 8e53e9: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:373 + 8e53eb: 25a400 s8i a5, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:374 + 8e53ee: 2c7902 l16si a12, a7, 4 + 8e53f1: 2ca401 s8i a12, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:375 + 8e53f4: 2d710e l16ui a13, a7, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:371 + 8e53f7: c7af movi.n a10, -1 + 8e53f9: 0a9903 xor a9, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:375 + 8e53fc: adcc add.n a12, a12, a13 + 8e53fe: 0c0c4b extui a12, a12, 0, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:376 + 8e5401: 0c8a14 srli a10, a12, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:375 + 8e5404: 2c750e s16i a12, a7, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:376 + 8e5407: 2cb403 s8i a12, a11, 3 + 8e540a: 2ab402 s8i a10, a11, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:380 + 8e540d: 2f7108 l16ui a15, a7, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:371 + 8e5410: 093301 and a3, a3, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:378 + 8e5413: 287502 s16i a8, a7, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:380 + 8e5416: 7df704 bbci a15, 29, 8e541e <_HTC_SendMsg+0xf6> + 8e5419: 8a10 l32i.n a10, a1, 0 + 8e541b: 5800f2 call8 8e57e4 + +008e541e <_HTC_SendMsg+0xf6>: + 8e541e: 8b10 l32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:382 + 8e5420: 8f12 l32i.n a15, a1, 8 + 8e5422: c0d1 movi.n a13, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:383 + 8e5424: 8c17 l32i.n a12, a1, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:382 + 8e5426: 2ef001 l8ui a14, a15, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:384 + 8e5429: b466 addi.n a6, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:383 + 8e542b: 2cccfc addi a12, a12, -4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:382 + 8e542e: b4ee addi.n a14, a14, 4 + 8e5430: 2ef401 s8i a14, a15, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:386 + 8e5433: 6ec445 bltui a12, 4, 8e547c <_HTC_SendMsg+0x154> + +008e5436 <_HTC_SendMsg+0x10e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:390 + 8e5436: b155 addi.n a5, a5, 1 + 8e5438: 277c1c addi a7, a7, 28 + 8e543b: 2bbc1c addi a11, a11, 28 + 8e543e: 63ff84 j 8e53c6 <_HTC_SendMsg+0x9e> + +008e5441 <_HTC_SendMsg+0x119>: + 8e5441: 9716 s32i.n a7, a1, 24 + 8e5443: 8716 l32i.n a7, a1, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:396 + 8e5445: 6a6113 blti a6, 1, 8e545c <_HTC_SendMsg+0x134> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:411 + 8e5448: c082 movi.n a8, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:413 + 8e544a: 8911 l32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:409 + 8e544c: 267404 s8i a6, a7, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:411 + 8e544f: 2f7001 l8ui a15, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:413 + 8e5452: a969 add.n a9, a6, a9 + 8e5454: 9915 s32i.n a9, a1, 20 + +008e5456 <_HTC_SendMsg+0x12e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:411 + 8e5456: 08ff02 or a15, a15, a8 + 8e5459: 2f7401 s8i a15, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 + 8e545c: 8b14 l32i.n a11, a1, 16 + 8e545e: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:422 + 8e5461: 8c15 l32i.n a12, a1, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 + 8e5463: 2a22b0 l32i a10, a2, 0x2c0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:422 + 8e5466: 2c7403 s8i a12, a7, 3 + 8e5469: 0c8d14 srli a13, a12, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 + 8e546c: 288276 l32i a8, a8, 0x1d8 + 8e546f: dc40 mov.n a12, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:422 + 8e5471: 2d7402 s8i a13, a7, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 + 8e5474: 2bb018 l8ui a11, a11, 24 + 8e5477: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:424 + 8e547a: d10f retw.n + +008e547c <_HTC_SendMsg+0x154>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:393 + 8e547c: 23269c s32i a3, a2, 0x270 + 8e547f: 63ffc0 j 8e5443 <_HTC_SendMsg+0x11b> + +008e5482 <_HTC_SendMsg+0x15a>: + ... + +008e5484 <_HTC_PauseRecv>: +_HTC_PauseRecv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:427 + 8e5484: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:435 + 8e5487: d10f retw.n + +008e5489 <_HTC_PauseRecv+0x5>: + 8e5489: 000000 ... + +008e548c <_HTC_ResumeRecv>: +_HTC_ResumeRecv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:438 + 8e548c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:465 + 8e548f: d10f retw.n + +008e5491 <_HTC_ResumeRecv+0x5>: + 8e5491: 000000 ... + +008e5494 <_HTC_GetReservedHeadroom>: +_HTC_GetReservedHeadroom(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:468 + 8e5494: 6c1004 entry a1, 32 + 8e5497: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:471 + 8e549a: 28827a l32i a8, a8, 0x1e8 + 8e549d: 2a22b0 l32i a10, a2, 0x2c0 + 8e54a0: 0b8000 callx8 a8 + 8e54a3: b8a2 addi.n a2, a10, 8 + 8e54a5: d10f retw.n + +008e54a7 <_HTC_GetReservedHeadroom+0x13>: + ... + +008e54a8 : +htc_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:475 + 8e54a8: 6c1004 entry a1, 32 + 8e54ab: 19017e l32r a9, 4e85f8 <_lit4_start+0x5f8> + 8e54ae: 130180 l32r a3, 4e8600 <_lit4_start+0x600> + 8e54b1: 1a0186 l32r a10, 4e8618 <_lit4_start+0x618> + 8e54b4: 1b0185 l32r a11, 4e8614 <_lit4_start+0x614> + 8e54b7: 1c0184 l32r a12, 4e8610 <_lit4_start+0x610> + 8e54ba: 1d0183 l32r a13, 4e860c <_lit4_start+0x60c> + 8e54bd: 1e0182 l32r a14, 4e8608 <_lit4_start+0x608> + 8e54c0: 1f0181 l32r a15, 4e8604 <_lit4_start+0x604> + 8e54c3: 18017f l32r a8, 4e85fc <_lit4_start+0x5fc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:477 + 8e54c6: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:484 + 8e54c8: 9a28 s32i.n a10, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:483 + 8e54ca: 9b27 s32i.n a11, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:482 + 8e54cc: 9c21 s32i.n a12, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:481 + 8e54ce: 9d26 s32i.n a13, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:480 + 8e54d0: 9e22 s32i.n a14, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:479 + 8e54d2: 9f23 s32i.n a15, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:476 + 8e54d4: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:478 + 8e54d6: 9325 s32i.n a3, a2, 20 + 8e54d8: 180188 l32r a8, 4e8620 <_lit4_start+0x620> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:486 + 8e54db: 982a s32i.n a8, a2, 40 + 8e54dd: 130189 l32r a3, 4e8624 <_lit4_start+0x624> + 8e54e0: 190187 l32r a9, 4e861c <_lit4_start+0x61c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:485 + 8e54e3: 9929 s32i.n a9, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:487 + 8e54e5: 932b s32i.n a3, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:494 + 8e54e7: d10f retw.n + +008e54e9 : + 8e54e9: 000000 ... + +008e54ec : +HTCFreeMsgBuffer(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:502 + 8e54ec: 6c1004 entry a1, 32 + 8e54ef: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e54f2: dc30 mov.n a12, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:503 + 8e54f4: 28829e l32i a8, a8, 0x278 + 8e54f7: 2a22b1 l32i a10, a2, 0x2c4 + 8e54fa: c0b0 movi.n a11, 0 + 8e54fc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:504 + 8e54ff: d10f retw.n + +008e5501 : + 8e5501: 000000 ... + +008e5504 : +HTCAllocMsgBuffer(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:509 + 8e5504: 6c1004 entry a1, 32 + 8e5507: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:510 + 8e550a: 283285 l32i a8, a3, 0x214 + 8e550d: da20 mov.n a10, a2 + 8e550f: 0b8000 callx8 a8 + 8e5512: dca0 mov.n a12, a10 + 8e5514: 28329c l32i a8, a3, 0x270 + 8e5517: 2a22b1 l32i a10, a2, 0x2c4 + 8e551a: c0b0 movi.n a11, 0 + 8e551c: 0b8000 callx8 a8 + 8e551f: d2a0 mov.n a2, a10 + 8e5521: d10f retw.n + +008e5523 : + ... + +008e5524 : +HTCCheckAndSendCreditReport(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:514 + 8e5524: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:520 + 8e5527: 28229b l32i a8, a2, 0x26c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:525 + 8e552a: 29229c l32i a9, a2, 0x270 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:520 + 8e552d: 73804f bnone a8, a3, 8e5580 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:525 + 8e5530: 73904c bnone a9, a3, 8e5580 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:530 + 8e5533: c062 movi.n a6, 2 + 8e5535: c941 beqz.n a4, 8e554a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:532 + 8e5537: 2a4904 l16si a10, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:533 + 8e553a: 2b4900 l16si a11, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:540 + 8e553d: 8c44 l32i.n a12, a4, 16 + 8e553f: c8a1 beqz.n a10, 8e5544 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:533 + 8e5541: 7ab23b blt a11, a10, 8e5580 + +008e5544 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:540 + 8e5544: 2d22af l32i a13, a2, 0x2bc + 8e5547: 7dca08 bge a12, a13, 8e5553 + +008e554a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:553 + 8e554a: da20 mov.n a10, a2 + 8e554c: 5bffed call8 8e5504 + 8e554f: d3a0 mov.n a3, a10 + 8e5551: cca9 bnez.n a10, 8e555e + +008e5553 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:545 + 8e5553: 2e22a8 l32i a14, a2, 0x2a0 + 8e5556: 06ee02 or a14, a14, a6 + 8e5559: 2e26a8 s32i a14, a2, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:576 + 8e555c: d10f retw.n + +008e555e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:562 + 8e555e: 5bfee5 call8 8e50f4 + 8e5561: c84c beqz.n a4, 8e5571 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:565 + 8e5563: 8844 l32i.n a8, a4, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:567 + 8e5565: c2f0 movi.n a15, 32 + 8e5567: 0f5f02 or a15, a5, a15 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:565 + 8e556a: b188 addi.n a8, a8, 1 + 8e556c: 9844 s32i.n a8, a4, 16 + +008e556e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:567 + 8e556e: 2fa401 s8i a15, a10, 1 + 8e5571: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:573 + 8e5574: dc30 mov.n a12, a3 + 8e5576: 288284 l32i a8, a8, 0x210 + 8e5579: da20 mov.n a10, a2 + 8e557b: c0b0 movi.n a11, 0 + +008e557d : + 8e557d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:576 + 8e5580: d10f retw.n + +008e5582 : + ... + +008e5584 : +HTCProcessConnectMsg(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:580 + 8e5584: 6c1006 entry a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:589 + 8e5587: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:587 + 8e5589: 263002 l8ui a6, a3, 2 + 8e558c: 283003 l8ui a8, a3, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:581 + 8e558f: 2422a6 l32i a4, a2, 0x298 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:587 + 8e5592: 086611 slli a6, a6, 8 + 8e5595: 086602 or a6, a6, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:586 + 8e5598: c080 movi.n a8, 0 + 8e559a: 9810 s32i.n a8, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:589 + 8e559c: 5bffd9 call8 8e5504 + 8e559f: d7a0 mov.n a7, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:593 + 8e55a1: c0ba movi.n a11, 10 + 8e55a3: 5bfeb6 call8 8e507c + 8e55a6: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e55a9: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:595 + 8e55ab: 8884 l32i.n a8, a8, 16 + 8e55ad: c0b0 movi.n a11, 0 + 8e55af: c0ca movi.n a12, 10 + 8e55b1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:598 + 8e55b4: 265403 s8i a6, a5, 3 + 8e55b7: 068914 srli a9, a6, 8 + 8e55ba: c0a0 movi.n a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:596 + 8e55bc: c0b3 movi.n a11, 3 + 8e55be: 2b5401 s8i a11, a5, 1 + 8e55c1: 2a5400 s8i a10, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:598 + 8e55c4: 295402 s8i a9, a5, 2 + 8e55c7: c946 beqz.n a4, 8e55e1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:600 + 8e55c9: 2b229e l32i a11, a2, 0x278 + 8e55cc: c1a5 movi.n a10, 21 + +008e55ce : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:602 + 8e55ce: 7baa04 bge a10, a11, 8e55d6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:605 + 8e55d1: c0d3 movi.n a13, 3 + 8e55d3: 60000c j 8e55e3 + +008e55d6 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:608 + 8e55d6: 2c4108 l16ui a12, a4, 16 + 8e55d9: 76c11a beq a12, a6, 8e55f7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:657 + 8e55dc: 8440 l32i.n a4, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:600 + 8e55de: 654fec bnez a4, 8e55ce + +008e55e1 : + 8e55e1: c0d1 movi.n a13, 1 + +008e55e3 : + 8e55e3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:664 + 8e55e6: dc70 mov.n a12, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:660 + 8e55e8: 2d5404 s8i a13, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:664 + 8e55eb: 288284 l32i a8, a8, 0x210 + 8e55ee: da20 mov.n a10, a2 + 8e55f0: c0b0 movi.n a11, 0 + 8e55f2: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:665 + 8e55f5: d10f retw.n + +008e55f7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:629 + 8e55f7: da40 mov.n a10, a4 + 8e55f9: ba5e addi.n a14, a5, 10 + 8e55fb: df10 mov.n a15, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:616 + 8e55fd: 2d3008 l8ui a13, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:619 + 8e5600: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:629 + 8e5602: 8843 l32i.n a8, a4, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:619 + 8e5604: ba3c addi.n a12, a3, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:616 + 8e5606: 0d9c38 moveqz a12, a9, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:629 + 8e5609: 0b8000 callx8 a8 + 8e560c: dda0 mov.n a13, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:632 + 8e560e: 65afd1 bnez a10, 8e55e3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:635 + 8e5611: 8a10 l32i.n a10, a1, 0 + 8e5613: 2a5408 s8i a10, a5, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:637 + 8e5616: 29229e l32i a9, a2, 0x278 + 8e5619: 295405 s8i a9, a5, 5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:639 + 8e561c: 2a410a l16ui a10, a4, 20 + 8e561f: 2a5407 s8i a10, a5, 7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:641 + 8e5622: 09990f subx8 a9, a9, a9 + 8e5625: 02990a addx4 a9, a9, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:639 + 8e5628: 0a8a14 srli a10, a10, 8 + 8e562b: 2a5406 s8i a10, a5, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:643 + 8e562e: 2a3005 l8ui a10, a3, 5 + 8e5631: 283004 l8ui a8, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:641 + 8e5634: 9492 s32i.n a4, a9, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:643 + 8e5636: 29229e l32i a9, a2, 0x278 + 8e5639: 088811 slli a8, a8, 8 + 8e563c: 0a8802 or a8, a8, a10 + 8e563f: 09990f subx8 a9, a9, a9 + 8e5642: 02990a addx4 a9, a9, a2 + 8e5645: 289508 s16i a8, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:645 + 8e5648: 2f229e l32i a15, a2, 0x278 + 8e564b: 2e3006 l8ui a14, a3, 6 + 8e564e: 0fff0f subx8 a15, a15, a15 + 8e5651: 02ff0a addx4 a15, a15, a2 + 8e5654: 2ef418 s8i a14, a15, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:646 + 8e5657: 2c229e l32i a12, a2, 0x278 + 8e565a: 2b3007 l8ui a11, a3, 7 + 8e565d: 0ccc0f subx8 a12, a12, a12 + 8e5660: 02cc0a addx4 a12, a12, a2 + 8e5663: 2bc419 s8i a11, a12, 25 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:651 + 8e5666: 2a229e l32i a10, a2, 0x278 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:649 + 8e5669: 2b4109 l16ui a11, a4, 18 + 8e566c: c0c1 movi.n a12, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:651 + 8e566e: b1aa addi.n a10, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:649 + 8e5670: 0cbb02 or a11, a11, a12 + 8e5673: 2b4509 s16i a11, a4, 18 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:651 + 8e5676: 2a269e s32i a10, a2, 0x278 + 8e5679: 63ff66 j 8e55e3 + +008e567c : +HTCProcessConfigPipeMsg(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:668 + 8e567c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:674 + 8e567f: da20 mov.n a10, a2 + 8e5681: 5bffa0 call8 8e5504 + 8e5684: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:679 + 8e5686: c0b4 movi.n a11, 4 + 8e5688: 5bfe7c call8 8e507c + 8e568b: 160018 l32r a6, 4e8060 <_lit4_start+0x60> + 8e568e: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:681 + 8e5690: 8864 l32i.n a8, a6, 16 + 8e5692: c0b0 movi.n a11, 0 + 8e5694: c0c4 movi.n a12, 4 + 8e5696: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:683 + 8e5699: c096 movi.n a9, 6 + 8e569b: c0a0 movi.n a10, 0 + 8e569d: 2a5400 s8i a10, a5, 0 + 8e56a0: 295401 s8i a9, a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:685 + 8e56a3: 2b3002 l8ui a11, a3, 2 + 8e56a6: 2b5402 s8i a11, a5, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:687 + 8e56a9: 286278 l32i a8, a6, 0x1e0 + 8e56ac: 2a22b0 l32i a10, a2, 0x2c0 + 8e56af: 0b8000 callx8 a8 + 8e56b2: cba6 beqz.n a10, 8e56ec +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:688 + 8e56b4: c0e0 movi.n a14, 0 + 8e56b6: 2e5403 s8i a14, a5, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:694 + 8e56b9: 2c3003 l8ui a12, a3, 3 + 8e56bc: 2d22ab l32i a13, a2, 0x2ac + 8e56bf: 2b22aa l32i a11, a2, 0x2a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:697 + 8e56c2: c092 movi.n a9, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:694 + 8e56c4: acdd add.n a13, a13, a12 + 8e56c6: 7db21c blt a11, a13, 8e56e6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:701 + 8e56c9: 2a22b0 l32i a10, a2, 0x2c0 + 8e56cc: 286275 l32i a8, a6, 0x1d4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:695 + 8e56cf: 2d26ab s32i a13, a2, 0x2ac +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:701 + 8e56d2: 2b3002 l8ui a11, a3, 2 + 8e56d5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:706 + 8e56d8: dc40 mov.n a12, a4 + 8e56da: 286284 l32i a8, a6, 0x210 + 8e56dd: da20 mov.n a10, a2 + 8e56df: c0b0 movi.n a11, 0 + 8e56e1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:707 + 8e56e4: d10f retw.n + +008e56e6 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:697 + 8e56e6: 295403 s8i a9, a5, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:698 + 8e56e9: 63ffeb j 8e56d8 + +008e56ec : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:690 + 8e56ec: c091 movi.n a9, 1 + 8e56ee: 63fff4 j 8e56e6 + +008e56f1 : + 8e56f1: 000000 ... + +008e56f4 : +HTCControlSvcProcessMsg(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:711 + 8e56f4: 6c1006 entry a1, 48 + 8e56f7: c831 beqz.n a3, 8e56fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:718 + 8e56f9: 63fffc j 8e56f9 + +008e56fc : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:722 + 8e56fc: da40 mov.n a10, a4 + 8e56fe: db10 mov.n a11, a1 + 8e5700: b41c addi.n a12, a1, 4 + 8e5702: 5bfe76 call8 8e50dc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:747 + 8e5705: 8b10 l32i.n a11, a1, 0 + 8e5707: 29b000 l8ui a9, a11, 0 + 8e570a: 2ab001 l8ui a10, a11, 1 + 8e570d: 089911 slli a9, a9, 8 + 8e5710: 0a9902 or a9, a9, a10 + 8e5713: 68920d beqi a9, 2, 8e5724 + 8e5716: 68945b beqi a9, 4, 8e5775 + 8e5719: 69950c bnei a9, 5, 8e5729 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:734 + 8e571c: da50 mov.n a10, a5 + 8e571e: 5bffd7 call8 8e567c + 8e5721: 600004 j 8e5729 + +008e5724 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:731 + 8e5724: da50 mov.n a10, a5 + 8e5726: 5bff97 call8 8e5584 + +008e5729 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:747 + 8e5729: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:749 + 8e572b: 2a52a8 l32i a10, a5, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:747 + 8e572e: c071 movi.n a7, 1 + 8e5730: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:749 + 8e5733: 7fa70e bbci a10, 31, 8e5745 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:751 + 8e5736: dc40 mov.n a12, a4 + 8e5738: 286282 l32i a8, a6, 0x208 + 8e573b: da50 mov.n a10, a5 + 8e573d: c0b0 movi.n a11, 0 + 8e573f: 0b8000 callx8 a8 + 8e5742: 60001a j 8e5760 + +008e5745 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:755 + 8e5745: da40 mov.n a10, a4 + 8e5747: c0b8 movi.n a11, 8 + 8e5749: 5bfe41 call8 8e5050 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:758 + 8e574c: dc40 mov.n a12, a4 + 8e574e: 2a52b0 l32i a10, a5, 0x2c0 + 8e5751: 022b0f subx8 a11, a2, a2 + 8e5754: 286277 l32i a8, a6, 0x1dc + 8e5757: 05bb0a addx4 a11, a11, a5 + 8e575a: 2bb019 l8ui a11, a11, 25 + 8e575d: 0b8000 callx8 a8 + 8e5760: c83f beqz.n a3, 8e5773 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:763 + 8e5762: 2c52a8 l32i a12, a5, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:764 + 8e5765: 2a52a9 l32i a10, a5, 0x2a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:763 + 8e5768: 07cc02 or a12, a12, a7 + 8e576b: 2c56a8 s32i a12, a5, 0x2a0 + 8e576e: c8a1 beqz.n a10, 8e5773 + +008e5770 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:765 + 8e5770: 0ba000 callx8 a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:768 + 8e5773: d10f retw.n + +008e5775 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:743 + 8e5775: c031 movi.n a3, 1 + 8e5777: 63ffb0 j 8e572b + +008e577a : + ... + +008e577c : +HTCControlSvcProcessSendComplete(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:772 + 8e577c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:777 + 8e577f: da30 mov.n a10, a3 + 8e5781: 5bfe5c call8 8e50f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:800 + 8e5784: 29a001 l8ui a9, a10, 1 + 8e5787: 7a970e bbci a9, 26, 8e5799 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:803 + 8e578a: 090a44 extui a10, a9, 0, 5 + 8e578d: 0aaa0f subx8 a10, a10, a10 + 8e5790: 04aa0a addx4 a10, a10, a4 + 8e5793: 88a5 l32i.n a8, a10, 20 + 8e5795: b088 addi.n a8, a8, -1 + 8e5797: 98a5 s32i.n a8, a10, 20 + +008e5799 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:807 + 8e5799: db30 mov.n a11, a3 + 8e579b: da40 mov.n a10, a4 + 8e579d: 5bff53 call8 8e54ec +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:810 + 8e57a0: 2e42a8 l32i a14, a4, 0x2a0 + 8e57a3: 7ee712 bbci a14, 30, 8e57b9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:815 + 8e57a6: da40 mov.n a10, a4 + 8e57a8: c0c0 movi.n a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:813 + 8e57aa: c7bd movi.n a11, -3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:815 + 8e57ac: c1d6 movi.n a13, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:813 + 8e57ae: 0beb01 and a11, a14, a11 + 8e57b1: 2b46a8 s32i a11, a4, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:815 + 8e57b4: c7bf movi.n a11, -1 + 8e57b6: 5bff5b call8 8e5524 + +008e57b9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:817 + 8e57b9: d10f retw.n + +008e57bb : + ... + +008e57bc : +HTCSendDoneHandler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:820 + 8e57bc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:825 + 8e57bf: da20 mov.n a10, a2 + 8e57c1: 5bfe4c call8 8e50f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:826 + 8e57c4: 24a000 l8ui a4, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:831 + 8e57c7: c0b8 movi.n a11, 8 + 8e57c9: da20 mov.n a10, a2 + 8e57cb: 5bfe37 call8 8e50a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:836 + 8e57ce: 044c0f subx8 a12, a4, a4 + 8e57d1: 03cc0a addx4 a12, a12, a3 + 8e57d4: 8cc2 l32i.n a12, a12, 8 + 8e57d6: db20 mov.n a11, a2 + 8e57d8: 88c2 l32i.n a8, a12, 8 + 8e57da: da40 mov.n a10, a4 + 8e57dc: 8cc6 l32i.n a12, a12, 24 + 8e57de: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:837 + 8e57e1: d10f retw.n + +008e57e3 : + ... + +008e57e4 : +AdjustCreditThreshold(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:897 + 8e57e4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:899 + 8e57e7: 282905 l16si a8, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:915 + 8e57ea: 252106 l16ui a5, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:899 + 8e57ed: 242900 l16si a4, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:915 + 8e57f0: 050541 extui a5, a5, 0, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:899 + 8e57f3: a844 add.n a4, a4, a8 + 8e57f5: 084432 sext a4, a4, 15 + 8e57f8: c857 beqz.n a5, 8e5803 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:915 + 8e57fa: 68510d beqi a5, 1, 8e580b + 8e57fd: 695205 bnei a5, 2, 8e5806 + +008e5800 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:912 + 8e5800: 044409 addx2 a4, a4, a4 + 8e5803: 042412 srai a4, a4, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:917 + 8e5806: 242504 s16i a4, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:919 + 8e5809: d10f retw.n + +008e580b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:909 + 8e580b: 041412 srai a4, a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:910 + 8e580e: 63fff4 j 8e5806 + +008e5811 : + 8e5811: 000000 ... + +008e5814 : +RedistributeCredit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:922 + 8e5814: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:924 + 8e5817: d10f retw.n + +008e5819 : + 8e5819: 000000 ... + +008e581c : +HTCMsgRecvHandler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:928 + 8e581c: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:949 + 8e581f: db10 mov.n a11, a1 + 8e5821: b41c addi.n a12, a1, 4 + 8e5823: d720 mov.n a7, a2 + 8e5825: 023738 moveqz a7, a3, a2 + 8e5828: da70 mov.n a10, a7 + 8e582a: 5bfe2c call8 8e50dc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 + 8e582d: 8b10 l32i.n a11, a1, 0 + 8e582f: 9714 s32i.n a7, a1, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 + 8e5831: 8a11 l32i.n a10, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 + 8e5833: 28b006 l8ui a8, a11, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:955 + 8e5836: 26b000 l8ui a6, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 + 8e5839: 2aacf8 addi a10, a10, -8 + 8e583c: 9a16 s32i.n a10, a1, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 + 8e583e: 2bb007 l8ui a11, a11, 7 + 8e5841: 088811 slli a8, a8, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:961 + 8e5844: 06650f subx8 a5, a6, a6 + 8e5847: 04550a addx4 a5, a5, a4 + 8e584a: 29510d l16ui a9, a5, 26 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 + 8e584d: 0b8802 or a8, a8, a11 + 8e5850: 9815 s32i.n a8, a1, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 + 8e5852: 2b42a7 l32i a11, a4, 0x29c + 8e5855: 9b17 s32i.n a11, a1, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:961 + 8e5857: 09880c sub a8, a8, a9 + 8e585a: 08084b extui a8, a8, 0, 12 + 8e585d: 9813 s32i.n a8, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 + 8e585f: 58047b call8 8e6a4c <__udivsi3> + 8e5862: d7a0 mov.n a7, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:967 + 8e5864: 8b17 l32i.n a11, a1, 28 + 8e5866: 8a16 l32i.n a10, a1, 24 + 8e5868: 58048c call8 8e6a9c <__umodsi3> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:972 + 8e586b: 8b15 l32i.n a11, a1, 20 + 8e586d: 2b550d s16i a11, a5, 26 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:974 + 8e5870: 8d10 l32i.n a13, a1, 0 + 8e5872: 8c13 l32i.n a12, a1, 12 + 8e5874: 2ed001 l8ui a14, a13, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:968 + 8e5877: b179 addi.n a9, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:967 + 8e5879: 0a9739 movnez a7, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:974 + 8e587c: 7de709 bbci a14, 29, 8e5889 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:977 + 8e587f: 8a14 l32i.n a10, a1, 16 + 8e5881: 2bd004 l8ui a11, a13, 4 + 8e5884: 5bffe3 call8 8e5814 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1045 + 8e5887: d10f retw.n + +008e5889 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:981 + 8e5889: 2f42a8 l32i a15, a4, 0x2a0 + 8e588c: c0d1 movi.n a13, 1 + 8e588e: 9212 s32i.n a2, a1, 8 + 8e5890: 7ff730 bbci a15, 31, 8e58c4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:984 + 8e5893: 006104 ssl a6 + 8e5896: 00d21a sll a2, a13 + 8e5899: 7c7b1c bgeu a7, a12, 8e58b9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:987 + 8e589c: 2b5907 l16si a11, a5, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:986 + 8e589f: 295902 l16si a9, a5, 4 + 8e58a2: 07ca0c sub a10, a12, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:987 + 8e58a5: 0abb0c sub a11, a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:986 + 8e58a8: aa99 add.n a9, a9, a10 + +008e58aa : + 8e58aa: 295502 s16i a9, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:987 + 8e58ad: 2b5507 s16i a11, a5, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:988 + 8e58b0: 28429c l32i a8, a4, 0x270 + 8e58b3: 082802 or a8, a2, a8 + 8e58b6: 28469c s32i a8, a4, 0x270 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:991 + 8e58b9: 2c5907 l16si a12, a5, 14 + 8e58bc: b1cc addi.n a12, a12, 1 + 8e58be: 2c5507 s16i a12, a5, 14 + 8e58c1: 600005 j 8e58ca + +008e58c4 : + 8e58c4: 006104 ssl a6 + 8e58c7: 00d21a sll a2, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:999 + 8e58ca: 29429b l32i a9, a4, 0x26c + 8e58cd: 7fe715 bbci a14, 31, 8e58e6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1002 + 8e58d0: 092e02 or a14, a2, a9 + 8e58d3: 2e469b s32i a14, a4, 0x26c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1004 + 8e58d6: 2d5108 l16ui a13, a5, 16 + 8e58d9: b457 addi.n a7, a5, 4 + 8e58db: 7dd719 bbci a13, 29, 8e58f8 + 8e58de: da70 mov.n a10, a7 + 8e58e0: 5bffc0 call8 8e57e4 + 8e58e3: 600011 j 8e58f8 + +008e58e6 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1008 + 8e58e6: b457 addi.n a7, a5, 4 + 8e58e8: c0f0 movi.n a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1007 + 8e58ea: c78f movi.n a8, -1 + +008e58ec : + 8e58ec: 082803 xor a8, a2, a8 + 8e58ef: 089801 and a8, a9, a8 + 8e58f2: 28469b s32i a8, a4, 0x26c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1008 + 8e58f5: 2f5506 s16i a15, a5, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1013 + 8e58f8: 8a14 l32i.n a10, a1, 16 + 8e58fa: c0b8 movi.n a11, 8 + 8e58fc: 5bfdea call8 8e50a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1037 + 8e58ff: 8d52 l32i.n a13, a5, 8 + 8e5901: dc30 mov.n a12, a3 + 8e5903: 8b12 l32i.n a11, a1, 8 + 8e5905: 88d1 l32i.n a8, a13, 4 + 8e5907: da60 mov.n a10, a6 + 8e5909: 8dd6 l32i.n a13, a13, 24 + 8e590b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1044 + 8e590e: dd60 mov.n a13, a6 + 8e5910: dc70 mov.n a12, a7 + 8e5912: db20 mov.n a11, a2 + 8e5914: da40 mov.n a10, a4 + 8e5916: 5bff03 call8 8e5524 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1045 + 8e5919: d10f retw.n + +008e591b : + ... + +008e591c <_DMAengine_init>: +_DMAengine_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:50 + 8e591c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:52 + 8e591f: d10f retw.n + +008e5921 <_DMAengine_init+0x5>: + 8e5921: 000000 ... + +008e5924 <_DMAengine_init_rx_queue>: +_DMAengine_init_rx_queue(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:55 + 8e5924: 6c1004 entry a1, 32 + 8e5927: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:59 + 8e592a: 2882a6 l32i a8, a8, 0x298 + 8e592d: 0b8000 callx8 a8 + 8e5930: caae beqz.n a10, 8e5962 <_DMAengine_init_rx_queue+0x3e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:62 + 8e5932: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:40 + 8e5934: 0c0200 memw + 8e5937: 2ba509 s16i a11, a10, 18 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:41 + 8e593a: 0c0200 memw + 8e593d: 2ba508 s16i a11, a10, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:42 + 8e5940: 0c0200 memw + 8e5943: 2ba50b s16i a11, a10, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:43 + 8e5946: 0c0200 memw + 8e5949: 2ba50a s16i a11, a10, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:44 + 8e594c: 0c0200 memw + 8e594f: 9ba6 s32i.n a11, a10, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:45 + 8e5951: 0c0200 memw + 8e5954: 9ba7 s32i.n a11, a10, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:46 + 8e5956: 0c0200 memw + 8e5959: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:64 + 8e595b: 29ac10 addi a9, a10, 16 + 8e595e: 9921 s32i.n a9, a2, 4 + 8e5960: 9920 s32i.n a9, a2, 0 + +008e5962 <_DMAengine_init_rx_queue+0x3e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:66 + 8e5962: d10f retw.n + +008e5964 <_DMAengine_init_tx_queue>: +_DMAengine_init_tx_queue(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:69 + 8e5964: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:70 + 8e5967: da20 mov.n a10, a2 + 8e5969: 5bffee call8 8e5924 <_DMAengine_init_rx_queue> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:71 + 8e596c: c080 movi.n a8, 0 + 8e596e: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:72 + 8e5970: 9823 s32i.n a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:73 + 8e5972: d10f retw.n + +008e5974 : +swapData(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:78 + 8e5974: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:79 + 8e5977: 0c0200 memw + 8e597a: 262103 l16ui a6, a2, 6 + 8e597d: 062614 srli a6, a6, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:81 + 8e5980: 0c0200 memw + 8e5983: 8523 l32i.n a5, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:84 + 8e5985: 0c0200 memw + 8e5988: 242103 l16ui a4, a2, 6 + 8e598b: c033 movi.n a3, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:88 + 8e598d: 055202 or a2, a5, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:84 + 8e5990: 743002 bnone a3, a4, 8e5996 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:85 + 8e5993: 266c01 addi a6, a6, 1 + +008e5996 : + 8e5996: 15018a l32r a5, 4e8628 <_lit4_start+0x628> + 8e5999: 6d6a20 loopgtz a6, 8e59bd +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:89 + 8e599c: 8820 l32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:91 + 8e599e: 058a01 and a10, a8, a5 + 8e59a1: 088910 slli a9, a8, 24 + 8e59a4: 08aa11 slli a10, a10, 8 + 8e59a7: 0a9902 or a9, a9, a10 + 8e59aa: 088a57 extui a10, a8, 24, 8 + 8e59ad: 088814 srli a8, a8, 8 + 8e59b0: 058801 and a8, a8, a5 + 8e59b3: 0a8802 or a8, a8, a10 + 8e59b6: 098802 or a8, a8, a9 + 8e59b9: 9820 s32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:92 + 8e59bb: b422 addi.n a2, a2, 4 + +008e59bd : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:93 + 8e59bd: d10f retw.n + +008e59bf : + ... + +008e59c0 <_DMAengine_return_recv_buf>: +_DMAengine_return_recv_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:98 + 8e59c0: 6c1004 entry a1, 32 + 8e59c3: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:102 + 8e59c5: 8b30 l32i.n a11, a3, 0 + 8e59c7: 580004 call8 8e59d8 + 8e59ca: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:103 + 8e59cd: 2882a3 l32i a8, a8, 0x28c + 8e59d0: da30 mov.n a10, a3 + 8e59d2: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:104 + 8e59d5: d10f retw.n + +008e59d7 <_DMAengine_return_recv_buf+0x17>: + ... + +008e59d8 : +config_queue(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:107 + 8e59d8: 6c1004 entry a1, 32 + 8e59db: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:114 + 8e59de: 64305b beqz a3, 8e5a3d + 8e59e1: c060 movi.n a6, 0 + 8e59e3: c040 movi.n a4, 0 + +008e59e5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:115 + 8e59e5: 2852a7 l32i a8, a5, 0x29c + 8e59e8: da30 mov.n a10, a3 + 8e59ea: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:40 + 8e59ed: 0c0200 memw + 8e59f0: 26a501 s16i a6, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:41 + 8e59f3: 0c0200 memw + 8e59f6: 26a500 s16i a6, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:42 + 8e59f9: 0c0200 memw + 8e59fc: 26a503 s16i a6, a10, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:43 + 8e59ff: 0c0200 memw + 8e5a02: 26a502 s16i a6, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:44 + 8e5a05: 0c0200 memw + 8e5a08: 96a2 s32i.n a6, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:45 + 8e5a0a: 0c0200 memw + 8e5a0d: 96a3 s32i.n a6, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:46 + 8e5a0f: 0c0200 memw + 8e5a12: 96a4 s32i.n a6, a10, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:118 + 8e5a14: 263505 s16i a6, a3, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:119 + 8e5a17: 8b31 l32i.n a11, a3, 4 + 8e5a19: 0c0200 memw + 8e5a1c: 9ba3 s32i.n a11, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:120 + 8e5a1e: 293104 l16ui a9, a3, 8 + 8e5a21: 0c0200 memw + 8e5a24: 29a503 s16i a9, a10, 6 + 8e5a27: cc43 bnez.n a4, 8e5a2e +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:123 + 8e5a29: d7a0 mov.n a7, a10 + 8e5a2b: 600004 j 8e5a33 + +008e5a2e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:126 + 8e5a2e: 0c0200 memw + 8e5a31: 9a44 s32i.n a10, a4, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:130 + 8e5a33: 8330 l32i.n a3, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:126 + 8e5a35: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:114 + 8e5a37: 653faa bnez a3, 8e59e5 + 8e5a3a: 600001 j 8e5a3f + +008e5a3d : + 8e5a3d: c040 movi.n a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:134 + 8e5a3f: db70 mov.n a11, a7 + 8e5a41: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:133 + 8e5a43: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:134 + 8e5a46: 2852ba l32i a8, a5, 0x2e8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:133 + 8e5a49: 9472 s32i.n a4, a7, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:134 + 8e5a4b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:136 + 8e5a4e: d10f retw.n + +008e5a50 <_DMAengine_config_rx_queue>: +_DMAengine_config_rx_queue(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:143 + 8e5a50: 6c1006 entry a1, 48 + 8e5a53: da20 mov.n a10, a2 + 8e5a55: 9311 s32i.n a3, a1, 4 + 8e5a57: d230 mov.n a2, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:148 + 8e5a59: 6a3141 blti a3, 1, 8e5a9e <_DMAengine_config_rx_queue+0x4e> + 8e5a5c: c050 movi.n a5, 0 + 8e5a5e: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e5a61: c070 movi.n a7, 0 + 8e5a63: 9a10 s32i.n a10, a1, 0 + +008e5a65 <_DMAengine_config_rx_queue+0x15>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:150 + 8e5a65: 2832a6 l32i a8, a3, 0x298 + 8e5a68: 0b8000 callx8 a8 + 8e5a6b: d6a0 mov.n a6, a10 + 8e5a6d: cca1 bnez.n a10, 8e5a72 <_DMAengine_config_rx_queue+0x22> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:152 + 8e5a6f: 63fffc j 8e5a6f <_DMAengine_config_rx_queue+0x1f> + +008e5a72 <_DMAengine_config_rx_queue+0x22>: +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e5a72: 283252 l32i a8, a3, 0x148 + 8e5a75: c0a0 movi.n a10, 0 + 8e5a77: db40 mov.n a11, a4 + 8e5a79: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:154 + 8e5a7c: 9a61 s32i.n a10, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:155 + 8e5a7e: 246504 s16i a4, a6, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:170 + 8e5a81: b177 addi.n a7, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:156 + 8e5a83: c0b0 movi.n a11, 0 + 8e5a85: 9b60 s32i.n a11, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:157 + 8e5a87: 2b6505 s16i a11, a6, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:158 + 8e5a8a: 2b6506 s16i a11, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:159 + 8e5a8d: 2b6507 s16i a11, a6, 14 + 8e5a90: c850 beqz.n a5, 8e5a94 <_DMAengine_config_rx_queue+0x44> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:167 + 8e5a92: 9560 s32i.n a5, a6, 0 + +008e5a94 <_DMAengine_config_rx_queue+0x44>: + 8e5a94: d560 mov.n a5, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:148 + 8e5a96: 7729cb bne a2, a7, 8e5a65 <_DMAengine_config_rx_queue+0x15> + 8e5a99: 8a10 l32i.n a10, a1, 0 + 8e5a9b: 600001 j 8e5aa0 <_DMAengine_config_rx_queue+0x50> + +008e5a9e <_DMAengine_config_rx_queue+0x4e>: + 8e5a9e: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:172 + 8e5aa0: db50 mov.n a11, a5 + 8e5aa2: 5bffcd call8 8e59d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:173 + 8e5aa5: d10f retw.n + +008e5aa7 <_DMAengine_config_rx_queue+0x57>: + ... + +008e5aa8 <_DMAengine_xmit_buf>: +_DMAengine_xmit_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:176 + 8e5aa8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:185 + 8e5aab: 8930 l32i.n a9, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:176 + 8e5aad: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:186 + 8e5aaf: c0c0 movi.n a12, 0 + 8e5ab1: 64907a beqz a9, 8e5b2f <_DMAengine_xmit_buf+0x87> + 8e5ab4: c0f0 movi.n a15, 0 + +008e5ab6 <_DMAengine_xmit_buf+0xe>: + 8e5ab6: 222a00 movi a2, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:188 + 8e5ab9: 2d9c10 addi a13, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:40 + 8e5abc: 0c0200 memw + 8e5abf: 2f9509 s16i a15, a9, 18 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:41 + 8e5ac2: 0c0200 memw + 8e5ac5: 2f9508 s16i a15, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:42 + 8e5ac8: 0c0200 memw + 8e5acb: 2f950b s16i a15, a9, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:43 + 8e5ace: 0c0200 memw + 8e5ad1: 2f950a s16i a15, a9, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:44 + 8e5ad4: 0c0200 memw + 8e5ad7: 9f96 s32i.n a15, a9, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:45 + 8e5ad9: 0c0200 memw + 8e5adc: 9f97 s32i.n a15, a9, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:46 + 8e5ade: 0c0200 memw + 8e5ae1: 9f98 s32i.n a15, a9, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:191 + 8e5ae3: 289106 l16ui a8, a9, 12 + 8e5ae6: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:192 + 8e5ae9: 8e91 l32i.n a14, a9, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:191 + 8e5aeb: 28950b s16i a8, a9, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:192 + 8e5aee: 289105 l16ui a8, a9, 10 + 8e5af1: ae88 add.n a8, a8, a14 + 8e5af3: 0c0200 memw + 8e5af6: 9897 s32i.n a8, a9, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:193 + 8e5af8: 0c0200 memw + 8e5afb: 2f9508 s16i a15, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:194 + 8e5afe: 0c0200 memw + 8e5b01: 2f9509 s16i a15, a9, 18 + 8e5b04: cdcb bnez.n a12, 8e5b23 <_DMAengine_xmit_buf+0x7b> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:201 + 8e5b06: dbd0 mov.n a11, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:203 + 8e5b08: 0c0200 memw + 8e5b0b: 2e9108 l16ui a14, a9, 16 + 8e5b0e: 02ee02 or a14, a14, a2 + 8e5b11: 0c0200 memw + 8e5b14: 2e9508 s16i a14, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:206 + 8e5b17: 2c3104 l16ui a12, a3, 8 + 8e5b1a: 0c0200 memw + 8e5b1d: 2c950a s16i a12, a9, 20 + 8e5b20: 600004 j 8e5b28 <_DMAengine_xmit_buf+0x80> + +008e5b23 <_DMAengine_xmit_buf+0x7b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:210 + 8e5b23: 0c0200 memw + 8e5b26: 9dc4 s32i.n a13, a12, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:214 + 8e5b28: 8990 l32i.n a9, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:210 + 8e5b2a: dcd0 mov.n a12, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:186 + 8e5b2c: 659f89 bnez a9, 8e5ab9 <_DMAengine_xmit_buf+0x11> + +008e5b2f <_DMAengine_xmit_buf+0x87>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:217 + 8e5b2f: 291a00 movi a9, 0x100 + 8e5b32: 0c0200 memw + 8e5b35: 28d100 l16ui a8, a13, 0 + 8e5b38: 098802 or a8, a8, a9 + 8e5b3b: 0c0200 memw + 8e5b3e: 28d500 s16i a8, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:218 + 8e5b41: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:220 + 8e5b44: 8fa2 l32i.n a15, a10, 8 + 8e5b46: 89a3 l32i.n a9, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:218 + 8e5b48: 9db2 s32i.n a13, a11, 8 + 8e5b4a: ccf9 bnez.n a15, 8e5b57 <_DMAengine_xmit_buf+0xaf> + 8e5b4c: cc97 bnez.n a9, 8e5b57 <_DMAengine_xmit_buf+0xaf> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:222 + 8e5b4e: 93a3 s32i.n a3, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:221 + 8e5b50: 93a2 s32i.n a3, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:223 + 8e5b52: 9331 s32i.n a3, a3, 4 + 8e5b54: 600003 j 8e5b5b <_DMAengine_xmit_buf+0xb3> + +008e5b57 <_DMAengine_xmit_buf+0xaf>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:226 + 8e5b57: 9391 s32i.n a3, a9, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:227 + 8e5b59: 93a3 s32i.n a3, a10, 12 + 8e5b5b: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:230 + 8e5b5e: 2882bb l32i a8, a8, 0x2ec + 8e5b61: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:231 + 8e5b64: d10f retw.n + +008e5b66 <_DMAengine_xmit_buf+0xbe>: + ... + +008e5b68 <_DMAengine_flush_xmit>: +_DMAengine_flush_xmit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:234 + 8e5b68: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:235 + 8e5b6b: d10f retw.n + +008e5b6d <_DMAengine_flush_xmit+0x5>: + 8e5b6d: 000000 ... + +008e5b70 <_DMAengine_has_compl_packets>: +_DMAengine_has_compl_packets(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:238 + 8e5b70: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:242 + 8e5b73: 8520 l32i.n a5, a2, 0 + 8e5b75: 8321 l32i.n a3, a2, 4 + 8e5b77: 75310f beq a3, a5, 8e5b8a <_DMAengine_has_compl_packets+0x1a> + 8e5b7a: 0c0200 memw + 8e5b7d: 285101 l16ui a8, a5, 2 + 8e5b80: c021 movi.n a2, 1 + 8e5b82: 080841 extui a8, a8, 0, 2 + 8e5b85: 688101 beqi a8, 1, 8e5b8a <_DMAengine_has_compl_packets+0x1a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:246 + 8e5b88: d10f retw.n + +008e5b8a <_DMAengine_has_compl_packets+0x1a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:242 + 8e5b8a: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:246 + 8e5b8c: d10f retw.n + +008e5b8e <_DMAengine_has_compl_packets+0x1e>: + ... + +008e5b90 <_DMAengine_reap_recv_buf>: +_DMAengine_reap_recv_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:250 + 8e5b90: 6c1004 entry a1, 32 + 8e5b93: da20 mov.n a10, a2 + 8e5b95: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:256 + 8e5b98: 2822b9 l32i a8, a2, 0x2e4 + 8e5b9b: 0b8000 callx8 a8 + 8e5b9e: d3a0 mov.n a3, a10 + 8e5ba0: cca3 bnez.n a10, 8e5ba7 <_DMAengine_reap_recv_buf+0x17> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:259 + 8e5ba2: c0a0 movi.n a10, 0 + 8e5ba4: 600013 j 8e5bbb <_DMAengine_reap_recv_buf+0x2b> + +008e5ba7 <_DMAengine_reap_recv_buf+0x17>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:265 + 8e5ba7: 2822a1 l32i a8, a2, 0x284 + 8e5baa: 0b8000 callx8 a8 + 8e5bad: d2a0 mov.n a2, a10 + 8e5baf: cca1 bnez.n a10, 8e5bb4 <_DMAengine_reap_recv_buf+0x24> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:266 + 8e5bb1: 63fffc j 8e5bb1 <_DMAengine_reap_recv_buf+0x21> + +008e5bb4 <_DMAengine_reap_recv_buf+0x24>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:268 + 8e5bb4: db30 mov.n a11, a3 + 8e5bb6: 58003c call8 8e5ca8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:269 + 8e5bb9: da20 mov.n a10, a2 + 8e5bbb: d2a0 mov.n a2, a10 + 8e5bbd: d10f retw.n + +008e5bbf <_DMAengine_reap_recv_buf+0x2f>: + ... + +008e5bc0 <_DMAengine_reap_xmited_buf>: +_DMAengine_reap_xmited_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:273 + 8e5bc0: 6c1004 entry a1, 32 + 8e5bc3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:277 + 8e5bc6: 2882b9 l32i a8, a8, 0x2e4 + 8e5bc9: da20 mov.n a10, a2 + 8e5bcb: 0b8000 callx8 a8 + 8e5bce: dba0 mov.n a11, a10 + 8e5bd0: cca3 bnez.n a10, 8e5bd7 <_DMAengine_reap_xmited_buf+0x17> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:280 + 8e5bd2: c030 movi.n a3, 0 + 8e5bd4: 60001a j 8e5bf2 <_DMAengine_reap_xmited_buf+0x32> + +008e5bd7 <_DMAengine_reap_xmited_buf+0x17>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:284 + 8e5bd7: 8322 l32i.n a3, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:285 + 8e5bd9: 8923 l32i.n a9, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:284 + 8e5bdb: c0c0 movi.n a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:285 + 8e5bdd: 739906 bne a9, a3, 8e5be7 <_DMAengine_reap_xmited_buf+0x27> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:286 + 8e5be0: 9c22 s32i.n a12, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:287 + 8e5be2: 9c23 s32i.n a12, a2, 12 + 8e5be4: 600003 j 8e5beb <_DMAengine_reap_xmited_buf+0x2b> + +008e5be7 <_DMAengine_reap_xmited_buf+0x27>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:289 + 8e5be7: 8a31 l32i.n a10, a3, 4 + 8e5be9: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:292 + 8e5beb: 9c31 s32i.n a12, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:293 + 8e5bed: da30 mov.n a10, a3 + 8e5bef: 58002e call8 8e5ca8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:294 + 8e5bf2: d230 mov.n a2, a3 + 8e5bf4: d10f retw.n + +008e5bf6 <_DMAengine_reap_xmited_buf+0x36>: + ... + +008e5bf8 <_DMAengine_desc_dump>: +_DMAengine_desc_dump(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:298 + 8e5bf8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:302 + 8e5bfb: 8320 l32i.n a3, a2, 0 + 8e5bfd: c040 movi.n a4, 0 + 8e5bff: c478 movi.n a7, 72 + 8e5c01: c563 movi.n a6, 83 + 8e5c03: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:305 + 8e5c06: 8821 l32i.n a8, a2, 4 + 8e5c08: 738915 bne a8, a3, 8e5c21 <_DMAengine_desc_dump+0x29> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:310 + 8e5c0b: 285212 l32i a8, a5, 72 + 8e5c0e: db30 mov.n a11, a3 + 8e5c10: 1a018b l32r a10, 4e862c <_lit4_start+0x62c> + 8e5c13: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:328 + 8e5c16: 285212 l32i a8, a5, 72 + 8e5c19: 1a018c l32r a10, 4e8630 <_lit4_start+0x630> + 8e5c1c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:329 + 8e5c1f: d10f retw.n + +008e5c21 <_DMAengine_desc_dump+0x29>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:318 + 8e5c21: dc60 mov.n a12, a6 + 8e5c23: 0c0200 memw + 8e5c26: 1a018d l32r a10, 4e8634 <_lit4_start+0x634> + 8e5c29: 293101 l16ui a9, a3, 2 + 8e5c2c: db30 mov.n a11, a3 + 8e5c2e: 285212 l32i a8, a5, 72 + 8e5c31: 090940 extui a9, a9, 0, 1 + 8e5c34: 097c39 movnez a12, a7, a9 + 8e5c37: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:321 + 8e5c3a: c0b5 movi.n a11, 5 + 8e5c3c: b144 addi.n a4, a4, 1 + 8e5c3e: da40 mov.n a10, a4 + 8e5c40: 580396 call8 8e6a9c <__umodsi3> + 8e5c43: cca7 bnez.n a10, 8e5c4e <_DMAengine_desc_dump+0x56> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:323 + 8e5c45: 285212 l32i a8, a5, 72 + 8e5c48: 1a018e l32r a10, 4e8638 <_lit4_start+0x638> + +008e5c4b <_DMAengine_desc_dump+0x53>: + 8e5c4b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:326 + 8e5c4e: 0c0200 memw + 8e5c51: 8334 l32i.n a3, a3, 16 + 8e5c53: 63ffaf j 8e5c06 <_DMAengine_desc_dump+0xe> + +008e5c56 <_DMAengine_desc_dump+0x5e>: + ... + +008e5c58 : +dma_engine_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:334 + 8e5c58: 6c1004 entry a1, 32 + 8e5c5b: 190192 l32r a9, 4e8648 <_lit4_start+0x648> + 8e5c5e: 130194 l32r a3, 4e8650 <_lit4_start+0x650> + 8e5c61: 1a0191 l32r a10, 4e8644 <_lit4_start+0x644> + 8e5c64: 1b0190 l32r a11, 4e8640 <_lit4_start+0x640> + 8e5c67: 1c018f l32r a12, 4e863c <_lit4_start+0x63c> + 8e5c6a: 1d0197 l32r a13, 4e865c <_lit4_start+0x65c> + 8e5c6d: 1e0196 l32r a14, 4e8658 <_lit4_start+0x658> + 8e5c70: 1f0195 l32r a15, 4e8654 <_lit4_start+0x654> + 8e5c73: 180193 l32r a8, 4e864c <_lit4_start+0x64c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:340 + 8e5c76: 9826 s32i.n a8, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:344 + 8e5c78: 9d2a s32i.n a13, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:343 + 8e5c7a: 9e29 s32i.n a14, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:342 + 8e5c7c: 9f28 s32i.n a15, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:339 + 8e5c7e: 9925 s32i.n a9, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:341 + 8e5c80: 9327 s32i.n a3, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:338 + 8e5c82: 9a24 s32i.n a10, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:337 + 8e5c84: 9b23 s32i.n a11, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:336 + 8e5c86: 9c20 s32i.n a12, a2, 0 + 8e5c88: 18019c l32r a8, 4e8670 <_lit4_start+0x670> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:349 + 8e5c8b: 982d s32i.n a8, a2, 52 + 8e5c8d: 1c0198 l32r a12, 4e8660 <_lit4_start+0x660> + 8e5c90: 1b0199 l32r a11, 4e8664 <_lit4_start+0x664> + 8e5c93: 1a019a l32r a10, 4e8668 <_lit4_start+0x668> + 8e5c96: 13019d l32r a3, 4e8674 <_lit4_start+0x674> + 8e5c99: 19019b l32r a9, 4e866c <_lit4_start+0x66c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:348 + 8e5c9c: 992c s32i.n a9, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:350 + 8e5c9e: 932e s32i.n a3, a2, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:347 + 8e5ca0: 9a2b s32i.n a10, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:346 + 8e5ca2: 9b22 s32i.n a11, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:345 + 8e5ca4: 9c21 s32i.n a12, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:354 + 8e5ca6: d10f retw.n + +008e5ca8 : +relinkUSBDescToVdesc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:357 + 8e5ca8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:363 + 8e5cab: 253cf0 addi a5, a3, -16 + 8e5cae: 9520 s32i.n a5, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:364 + 8e5cb0: 0c0200 memw + 8e5cb3: 243102 l16ui a4, a3, 4 + 8e5cb6: 242504 s16i a4, a2, 8 + 8e5cb9: ca36 beqz.n a3, 8e5ce3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:366 + 8e5cbb: c020 movi.n a2, 0 + +008e5cbd : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:367 + 8e5cbd: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:370 + 8e5cc0: 253cf0 addi a5, a3, -16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:367 + 8e5cc3: 293dff addmi a9, a3, 0xffffff00 + 8e5cc6: 283103 l16ui a8, a3, 6 + 8e5cc9: 28957e s16i a8, a9, 252 + 8e5ccc: c820 beqz.n a2, 8e5cd0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:373 + 8e5cce: 9520 s32i.n a5, a2, 0 + +008e5cd0 : + 8e5cd0: d250 mov.n a2, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:377 + 8e5cd2: 0c0200 memw + 8e5cd5: 2a3100 l16ui a10, a3, 0 + 8e5cd8: 77af09 bbsi a10, 23, 8e5ce5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:382 + 8e5cdb: 0c0200 memw + 8e5cde: 8334 l32i.n a3, a3, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:366 + 8e5ce0: 653fd9 bnez a3, 8e5cbd + +008e5ce3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:386 + 8e5ce3: d10f retw.n + +008e5ce5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:378 + 8e5ce5: 2c3dff addmi a12, a3, 0xffffff00 + 8e5ce8: c0b0 movi.n a11, 0 + 8e5cea: 2bc63c s32i a11, a12, 240 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:386 + 8e5ced: d10f retw.n + +008e5cef : + ... + +008e5cf0 : +zfDmaGetPacket(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:59 + 8e5cf0: 6c1004 entry a1, 32 + 8e5cf3: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:62 + 8e5cf5: 8220 l32i.n a2, a2, 0 + 8e5cf7: 8351 l32i.n a3, a5, 4 + 8e5cf9: 723903 bne a3, a2, 8e5d00 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:63 + 8e5cfc: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:76 + 8e5cfe: d10f retw.n + +008e5d00 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:66 + 8e5d00: 0c0200 memw + 8e5d03: 282101 l16ui a8, a2, 2 + 8e5d06: 080841 extui a8, a8, 0, 2 + 8e5d09: c88e beqz.n a8, 8e5d1b + 8e5d0b: 0c0200 memw + 8e5d0e: 292101 l16ui a9, a2, 2 + 8e5d11: 090941 extui a9, a9, 0, 2 + 8e5d14: 689203 beqi a9, 2, 8e5d1b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:74 + 8e5d17: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:76 + 8e5d19: d10f retw.n + +008e5d1b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:74 + 8e5d1b: 0c0200 memw + 8e5d1e: 8a22 l32i.n a10, a2, 8 + 8e5d20: 0c0200 memw + 8e5d23: 8aa4 l32i.n a10, a10, 16 + 8e5d25: 9a50 s32i.n a10, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:76 + 8e5d27: d10f retw.n + +008e5d29 : + 8e5d29: 000000 ... + +008e5d2c : +zfDmaReclaimPacket(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:101 + 8e5d2c: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:110 + 8e5d2f: da30 mov.n a10, a3 + 8e5d31: c0b0 movi.n a11, 0 + 8e5d33: c041 movi.n a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:113 + 8e5d35: 0c0200 memw + 8e5d38: 24a501 s16i a4, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:114 + 8e5d3b: 0c0200 memw + 8e5d3e: 2ba500 s16i a11, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:115 + 8e5d41: 0c0200 memw + 8e5d44: 2ba502 s16i a11, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:125 + 8e5d47: 0c0200 memw + 8e5d4a: 8832 l32i.n a8, a3, 8 + 8e5d4c: 7a8966 bne a8, a10, 8e5db6 + 8e5d4f: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:133 + 8e5d52: 0c0200 memw + 8e5d55: 8932 l32i.n a9, a3, 8 + 8e5d57: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:137 + 8e5d5a: da10 mov.n a10, a1 + 8e5d5c: db30 mov.n a11, a3 + 8e5d5e: 8855 l32i.n a8, a5, 20 + 8e5d60: c1c4 movi.n a12, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:133 + 8e5d62: 9394 s32i.n a3, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:137 + 8e5d64: 0b8000 callx8 a8 + 8e5d67: 1c019e l32r a12, 4e8678 <_lit4_start+0x678> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:140 + 8e5d6a: 0c0200 memw + 8e5d6d: 2d3101 l16ui a13, a3, 2 + 8e5d70: 0cdd01 and a13, a13, a12 + 8e5d73: 0c0200 memw + 8e5d76: 2d3501 s16i a13, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:143 + 8e5d79: 0c0200 memw + 8e5d7c: 2b1101 l16ui a11, a1, 2 + 8e5d7f: 0cbb01 and a11, a11, a12 + 8e5d82: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:148 + 8e5d85: 8a21 l32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:143 + 8e5d87: 2b1501 s16i a11, a1, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:148 + 8e5d8a: 2852a8 l32i a8, a5, 0x2a0 + 8e5d8d: 2b3cf0 addi a11, a3, -16 + 8e5d90: 2aacf0 addi a10, a10, -16 + 8e5d93: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:151 + 8e5d96: 8a21 l32i.n a10, a2, 4 + 8e5d98: 8855 l32i.n a8, a5, 20 + 8e5d9a: db10 mov.n a11, a1 + 8e5d9c: c1c4 movi.n a12, 20 + 8e5d9e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:155 + 8e5da1: 8a21 l32i.n a10, a2, 4 + 8e5da3: 0c0200 memw + 8e5da6: 29a101 l16ui a9, a10, 2 + 8e5da9: 049902 or a9, a9, a4 + 8e5dac: 0c0200 memw + 8e5daf: 29a501 s16i a9, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:158 + 8e5db2: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:159 + 8e5db4: d10f retw.n + +008e5db6 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:129 + 8e5db6: 0c0200 memw + 8e5db9: 8aa4 l32i.n a10, a10, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:111 + 8e5dbb: 63ff76 j 8e5d35 + +008e5dbe : + ... + +008e5dc0 : +zfDmaPutPacket(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:183 + 8e5dc0: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:192 + 8e5dc3: da30 mov.n a10, a3 + 8e5dc5: 14019e l32r a4, 4e8678 <_lit4_start+0x678> + 8e5dc8: c051 movi.n a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:196 + 8e5dca: 0c0200 memw + 8e5dcd: 29a101 l16ui a9, a10, 2 + 8e5dd0: 049901 and a9, a9, a4 + 8e5dd3: 059902 or a9, a9, a5 + 8e5dd6: 0c0200 memw + 8e5dd9: 29a501 s16i a9, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:199 + 8e5ddc: 0c0200 memw + 8e5ddf: 8832 l32i.n a8, a3, 8 + 8e5de1: 7a8972 bne a8, a10, 8e5e57 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:207 + 8e5de4: 0c0200 memw + 8e5de7: 8b32 l32i.n a11, a3, 8 + 8e5de9: 0c0200 memw + 8e5dec: 93b4 s32i.n a3, a11, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:210 + 8e5dee: 0c0200 memw + 8e5df1: 8a32 l32i.n a10, a3, 8 + 8e5df3: 73a906 bne a10, a3, 8e5dfd +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:212 + 8e5df6: 8c21 l32i.n a12, a2, 4 + 8e5df8: 0c0200 memw + 8e5dfb: 9c32 s32i.n a12, a3, 8 + +008e5dfd : + 8e5dfd: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:216 + 8e5e00: da10 mov.n a10, a1 + 8e5e02: 8865 l32i.n a8, a6, 20 + 8e5e04: db30 mov.n a11, a3 + 8e5e06: c1c4 movi.n a12, 20 + 8e5e08: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:221 + 8e5e0b: 0c0200 memw + 8e5e0e: 2c3101 l16ui a12, a3, 2 + 8e5e11: 04cc01 and a12, a12, a4 + 8e5e14: 0c0200 memw + 8e5e17: 2c3501 s16i a12, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:224 + 8e5e1a: 0c0200 memw + 8e5e1d: 2b1101 l16ui a11, a1, 2 + 8e5e20: 04bb01 and a11, a11, a4 + 8e5e23: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:229 + 8e5e26: 8a21 l32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:224 + 8e5e28: 2b1501 s16i a11, a1, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:229 + 8e5e2b: 2862a8 l32i a8, a6, 0x2a0 + 8e5e2e: 2b3cf0 addi a11, a3, -16 + 8e5e31: 2aacf0 addi a10, a10, -16 + 8e5e34: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:231 + 8e5e37: 8a21 l32i.n a10, a2, 4 + 8e5e39: 8865 l32i.n a8, a6, 20 + 8e5e3b: db10 mov.n a11, a1 + 8e5e3d: c1c4 movi.n a12, 20 + 8e5e3f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:232 + 8e5e42: 8a21 l32i.n a10, a2, 4 + 8e5e44: 0c0200 memw + 8e5e47: 29a101 l16ui a9, a10, 2 + 8e5e4a: 059902 or a9, a9, a5 + 8e5e4d: 0c0200 memw + 8e5e50: 29a501 s16i a9, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:234 + 8e5e53: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:235 + 8e5e55: d10f retw.n + +008e5e57 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:203 + 8e5e57: 0c0200 memw + 8e5e5a: 8aa4 l32i.n a10, a10, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:193 + 8e5e5c: 63ff6a j 8e5dca + +008e5e5f : + ... + +008e5e60 : +mii_reg_write_32(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:54 + 8e5e60: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:55 + 8e5e63: 0c0200 memw + 8e5e66: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:56 + 8e5e69: 28823b l32i a8, a8, 236 + 8e5e6c: c0a5 movi.n a10, 5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:55 + 8e5e6e: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:56 + 8e5e70: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:57 + 8e5e73: d10f retw.n + +008e5e75 : + 8e5e75: 000000 ... + +008e5e78 : +fwd_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:26 + 8e5e78: 6c1006 entry a1, 48 + 8e5e7b: 1901a1 l32r a9, 4e8684 <_lit4_start+0x684> + 8e5e7e: 1a01a0 l32r a10, 4e8680 <_lit4_start+0x680> + 8e5e81: 1b019f l32r a11, 4e867c <_lit4_start+0x67c> + 8e5e84: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:30 + 8e5e87: 9b10 s32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:31 + 8e5e89: 9a11 s32i.n a10, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:34 + 8e5e8b: 28227a l32i a8, a2, 0x1e8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:32 + 8e5e8e: 9912 s32i.n a9, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:34 + 8e5e90: c0a0 movi.n a10, 0 + 8e5e92: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:38 + 8e5e95: 282272 l32i a8, a2, 0x1c8 + 8e5e98: c0a0 movi.n a10, 0 + 8e5e9a: db10 mov.n a11, a1 + 8e5e9c: 0b8000 callx8 a8 + 8e5e9f: 1b01a2 l32r a11, 4e8688 <_lit4_start+0x688> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:40 + 8e5ea2: 28227c l32i a8, a2, 0x1f0 + 8e5ea5: c0a0 movi.n a10, 0 + 8e5ea7: b1bc addi.n a12, a11, 1 + 8e5ea9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:42 + 8e5eac: c020 movi.n a2, 0 + 8e5eae: d10f retw.n + +008e5eb0 : +fwd_retbuf_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:47 + 8e5eb0: 6c1004 entry a1, 32 + 8e5eb3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e5eb6: 1b01a1 l32r a11, 4e8684 <_lit4_start+0x684> + 8e5eb9: dc20 mov.n a12, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:48 + 8e5ebb: 288277 l32i a8, a8, 0x1dc + 8e5ebe: 8ab1 l32i.n a10, a11, 4 + 8e5ec0: 2bb008 l8ui a11, a11, 8 + 8e5ec3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:49 + 8e5ec6: d10f retw.n + +008e5ec8 : +fwd_tgt_process_last(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:53 + 8e5ec8: 6c1004 entry a1, 32 + 8e5ecb: 1501a1 l32r a5, 4e8684 <_lit4_start+0x684> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:63 + 8e5ece: c0a1 movi.n a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:57 + 8e5ed0: b328 addi.n a8, a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:55 + 8e5ed2: 255200 l32i a5, a5, 0 + 8e5ed5: c921 beqz.n a2, 8e5eea +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:57 + 8e5ed7: 220a00 movi a2, 0 + 8e5eda: 082814 srli a8, a8, 2 + 8e5edd: 6d8906 loopnez a8, 8e5ee7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:58 + 8e5ee0: 8950 l32i.n a9, a5, 0 + 8e5ee2: b455 addi.n a5, a5, 4 + 8e5ee4: 092203 xor a2, a2, a9 + +008e5ee7 : + 8e5ee7: 600001 j 8e5eec + +008e5eea : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:57 + 8e5eea: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:60 + 8e5eec: 032b0c sub a11, a2, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:61 + 8e5eef: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:60 + 8e5ef1: 0ba239 movnez a2, a10, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:63 + 8e5ef4: d10f retw.n + +008e5ef6 : + ... + +008e5ef8 : +fwd_tgt_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:70 + 8e5ef8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:80 + 8e5efb: 8a30 l32i.n a10, a3, 0 + 8e5efd: 8ca1 l32i.n a12, a10, 4 + 8e5eff: 2ba105 l16ui a11, a10, 10 + 8e5f02: 1601a1 l32r a6, 4e8684 <_lit4_start+0x684> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:81 + 8e5f05: 2ea106 l16ui a14, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:80 + 8e5f08: acbb add.n a11, a11, a12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:84 + 8e5f0a: 0c0200 memw + 8e5f0d: 2cb101 l16ui a12, a11, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:85 + 8e5f10: 0c0200 memw + 8e5f13: 8db1 l32i.n a13, a11, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:86 + 8e5f15: 0c0200 memw + 8e5f18: 24b100 l16ui a4, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:87 + 8e5f1b: b8b2 addi.n a2, a11, 8 + 8e5f1d: ccdb bnez.n a13, 8e5f2c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:90 + 8e5f1f: 0c0200 memw + 8e5f22: 8920 l32i.n a9, a2, 0 + 8e5f24: 9960 s32i.n a9, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:91 + 8e5f26: b422 addi.n a2, a2, 4 + 8e5f28: 600002 j 8e5f2e + +008e5f2b : + ... + +008e5f2c : + 8e5f2c: 8960 l32i.n a9, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:97 + 8e5f2e: 2fccfc addi a15, a12, -4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:94 + 8e5f31: a9d9 add.n a9, a13, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:96 + 8e5f33: 04fc38 moveqz a12, a15, a4 + 8e5f36: c9c6 beqz.n a12, 8e5f50 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:100 + 8e5f38: b3c8 addi.n a8, a12, 3 + 8e5f3a: 082814 srli a8, a8, 2 + 8e5f3d: 6d890d loopnez a8, 8e5f4e +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:101 + 8e5f40: 0c0200 memw + 8e5f43: 8a20 l32i.n a10, a2, 0 + 8e5f45: 0c0200 memw + 8e5f48: 9a90 s32i.n a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:102 + 8e5f4a: b422 addi.n a2, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:103 + 8e5f4c: b499 addi.n a9, a9, 4 + +008e5f4e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:100 + 8e5f4e: 8a30 l32i.n a10, a3, 0 + +008e5f50 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:107 + 8e5f50: 89a0 l32i.n a9, a10, 0 + 8e5f52: c895 beqz.n a9, 8e5f5b + +008e5f54 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:108 + 8e5f54: da90 mov.n a10, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:107 + 8e5f56: 8990 l32i.n a9, a9, 0 + 8e5f58: 659ff8 bnez a9, 8e5f54 + +008e5f5b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:109 + 8e5f5b: 27a106 l16ui a7, a10, 12 + 8e5f5e: 0e770c sub a7, a7, a14 + 8e5f61: 07074f extui a7, a7, 0, 16 + 8e5f64: 27a506 s16i a7, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:110 + 8e5f67: 283104 l16ui a8, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:112 + 8e5f6a: 89a1 l32i.n a9, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:113 + 8e5f6c: b875 addi.n a5, a7, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:110 + 8e5f6e: 0e880c sub a8, a8, a14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:114 + 8e5f71: b88f addi.n a15, a8, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:110 + 8e5f73: 283504 s16i a8, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:113 + 8e5f76: 25a506 s16i a5, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:112 + 8e5f79: 28a105 l16ui a8, a10, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:114 + 8e5f7c: 2f3504 s16i a15, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:112 + 8e5f7f: a988 add.n a8, a8, a9 + 8e5f81: a877 add.n a7, a7, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:116 + 8e5f83: 0c0200 memw + 8e5f86: 8fb1 l32i.n a15, a11, 4 + 8e5f88: 0c0200 memw + 8e5f8b: 9f71 s32i.n a15, a7, 4 + 8e5f8d: ca48 beqz.n a4, 8e5fb9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:119 + 8e5f8f: c081 movi.n a8, 1 + 8e5f91: 0c0200 memw + 8e5f94: 9870 s32i.n a8, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:120 + 8e5f96: 600006 j 8e5fa0 + +008e5f99 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:132 + 8e5f99: c093 movi.n a9, 3 + 8e5f9b: 0c0200 memw + 8e5f9e: 9970 s32i.n a9, a7, 0 + 8e5fa0: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:136 + 8e5fa3: dc30 mov.n a12, a3 + 8e5fa5: 288276 l32i a8, a8, 0x1d8 + 8e5fa8: 8a61 l32i.n a10, a6, 4 + 8e5faa: 2b6009 l8ui a11, a6, 9 + 8e5fad: 0b8000 callx8 a8 + 8e5fb0: cc43 bnez.n a4, 8e5fb7 + 8e5fb2: cc51 bnez.n a5, 8e5fb7 + +008e5fb4 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:140 + 8e5fb4: 0b2000 callx8 a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:142 + 8e5fb7: d10f retw.n + +008e5fb9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:123 + 8e5fb9: adca add.n a10, a12, a13 + 8e5fbb: 0c0200 memw + 8e5fbe: 8b20 l32i.n a11, a2, 0 + 8e5fc0: 5bffc1 call8 8e5ec8 + 8e5fc3: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:127 + 8e5fc5: 0c0200 memw + 8e5fc8: 8221 l32i.n a2, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:129 + 8e5fca: 65afcb bnez a10, 8e5f99 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:130 + 8e5fcd: c092 movi.n a9, 2 + 8e5fcf: 0c0200 memw + 8e5fd2: 9970 s32i.n a9, a7, 0 + 8e5fd4: 63ffc8 j 8e5fa0 + +008e5fd7 : + ... + +008e5fd8 : +magpie_mdio_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:146 + 8e5fd8: 6c1004 entry a1, 32 + 8e5fdb: c080 movi.n a8, 0 + 8e5fdd: 1301a3 l32r a3, 4e868c <_lit4_start+0x68c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:148 + 8e5fe0: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:149 + 8e5fe2: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:150 + 8e5fe4: 9825 s32i.n a8, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:151 + 8e5fe6: 982b s32i.n a8, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:152 + 8e5fe8: 9826 s32i.n a8, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:153 + 8e5fea: 9827 s32i.n a8, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:154 + 8e5fec: 9828 s32i.n a8, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:155 + 8e5fee: 9829 s32i.n a8, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:156 + 8e5ff0: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:157 + 8e5ff2: 9821 s32i.n a8, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:158 + 8e5ff4: 982a s32i.n a8, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:159 + 8e5ff6: 982c s32i.n a8, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:160 + 8e5ff8: d10f retw.n + +008e5ffa : + ... + +008e5ffc : +magpie_mdio_boot_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:164 + 8e5ffc: 6c1004 entry a1, 32 + 8e5fff: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:167 + 8e6002: 283212 l32i a8, a3, 72 + 8e6005: 1a01a4 l32r a10, 4e8690 <_lit4_start+0x690> + 8e6008: 0b8000 callx8 a8 + 8e600b: 120037 l32r a2, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:169 + 8e600e: 0c0200 memw + 8e6011: 2b2284 l32i a11, a2, 0x210 + 8e6014: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:171 + 8e6017: 2c2a00 movi a12, 0x200 + 8e601a: 0cbb02 or a11, a11, a12 + 8e601d: 0b0b4f extui a11, a11, 0, 16 + 8e6020: 5bff8f call8 8e5e60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:173 + 8e6023: 28323b l32i a8, a3, 236 + 8e6026: 2a3ae8 movi a10, 0x3e8 + 8e6029: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:176 + 8e602c: 0c0200 memw + 8e602f: 2b2284 l32i a11, a2, 0x210 + 8e6032: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:178 + 8e6035: 2cdaff movi a12, 0xfffffdff + 8e6038: 0cbb01 and a11, a11, a12 + 8e603b: 0b0b4f extui a11, a11, 0, 16 + 8e603e: 5bff88 call8 8e5e60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:181 + 8e6041: 0c0200 memw + 8e6044: 1c01a5 l32r a12, 4e8694 <_lit4_start+0x694> + 8e6047: 2b2284 l32i a11, a2, 0x210 + 8e604a: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:183 + 8e604d: 0cbb02 or a11, a11, a12 + 8e6050: 0b0b4f extui a11, a11, 0, 16 + 8e6053: 5bff83 call8 8e5e60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:184 + 8e6056: 28323b l32i a8, a3, 236 + 8e6059: 2a3ae8 movi a10, 0x3e8 + 8e605c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:187 + 8e605f: 0c0200 memw + 8e6062: 1c01a6 l32r a12, 4e8698 <_lit4_start+0x698> + 8e6065: 2b2284 l32i a11, a2, 0x210 + 8e6068: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:189 + 8e606b: 0cbb01 and a11, a11, a12 + 8e606e: 0b0b4f extui a11, a11, 0, 16 + 8e6071: 5bff7b call8 8e5e60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:190 + 8e6074: 28323b l32i a8, a3, 236 + 8e6077: 2a3ae8 movi a10, 0x3e8 + 8e607a: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:193 + 8e607d: 0c0200 memw + 8e6080: 2b2286 l32i a11, a2, 0x218 + 8e6083: 1a00e5 l32r a10, 4e8394 <_lit4_start+0x394> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:195 + 8e6086: c0c1 movi.n a12, 1 + 8e6088: 0cbb02 or a11, a11, a12 + 8e608b: 0b0b4f extui a11, a11, 0, 16 + 8e608e: 5bff74 call8 8e5e60 + 8e6091: 1a01a7 l32r a10, 4e869c <_lit4_start+0x69c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:197 + 8e6094: c1b5 movi.n a11, 21 + 8e6096: 5bff72 call8 8e5e60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:198 + 8e6099: 28323b l32i a8, a3, 236 + 8e609c: 2a3ae8 movi a10, 0x3e8 + 8e609f: 0b8000 callx8 a8 + 8e60a2: 1a01a8 l32r a10, 4e86a0 <_lit4_start+0x6a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:200 + 8e60a5: c0b5 movi.n a11, 5 + 8e60a7: 5bff6e call8 8e5e60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:201 + 8e60aa: 28323b l32i a8, a3, 236 + 8e60ad: 2a3ae8 movi a10, 0x3e8 + 8e60b0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:202 + 8e60b3: 283212 l32i a8, a3, 72 + 8e60b6: 1a01a9 l32r a10, 4e86a4 <_lit4_start+0x6a4> + 8e60b9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:203 + 8e60bc: d10f retw.n + +008e60be : + ... + +008e60c0 : +magpie_mdio_wait_for_lock(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:207 + 8e60c0: 6c1006 entry a1, 48 + 8e60c3: 1301aa l32r a3, 4e86a8 <_lit4_start+0x6a8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:210 + 8e60c6: 0c0200 memw + 8e60c9: 8830 l32i.n a8, a3, 0 + 8e60cb: 0c0200 memw + 8e60ce: 281500 s16i a8, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:211 + 8e60d1: 0c0200 memw + 8e60d4: 221100 l16ui a2, a1, 0 + 8e60d7: 7f2f13 bbsi a2, 31, 8e60ee + +008e60da : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:212 + 8e60da: 0c0200 memw + 8e60dd: 8a30 l32i.n a10, a3, 0 + 8e60df: 0c0200 memw + 8e60e2: 2a1500 s16i a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:211 + 8e60e5: 0c0200 memw + 8e60e8: 291100 l16ui a9, a1, 0 + 8e60eb: 7f97eb bbci a9, 31, 8e60da + +008e60ee : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:215 + 8e60ee: 0c0200 memw + 8e60f1: 221100 l16ui a2, a1, 0 + 8e60f4: 028247 extui a2, a2, 8, 8 + 8e60f7: d10f retw.n + +008e60f9 : + 8e60f9: 000000 ... + +008e60fc : +magpie_mdio_release_lock(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:221 + 8e60fc: 6c1004 entry a1, 32 + 8e60ff: 1a01aa l32r a10, 4e86a8 <_lit4_start+0x6a8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:222 + 8e6102: c0c2 movi.n a12, 2 + 8e6104: 082b11 slli a11, a2, 8 + 8e6107: 0cbb02 or a11, a11, a12 + 8e610a: 0b0b4f extui a11, a11, 0, 16 + 8e610d: 5bff54 call8 8e5e60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:223 + 8e6110: d10f retw.n + +008e6112 : + ... + +008e6114 : +mdio_read_block(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:227 + 8e6114: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:231 + 8e6117: 6a313a blti a3, 1, 8e6155 + 8e611a: 030940 extui a9, a3, 0, 1 + 8e611d: b136 addi.n a6, a3, 1 + 8e611f: b234 addi.n a4, a3, 2 + 8e6121: b038 addi.n a8, a3, -1 + 8e6123: 06643b movgez a4, a6, a6 + 8e6126: 1601ab l32r a6, 4e86ac <_lit4_start+0x6ac> + 8e6129: 041412 srai a4, a4, 1 + 8e612c: c030 movi.n a3, 0 + 8e612e: 6d4a23 loopgtz a4, 8e6155 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:232 + 8e6131: 0c0200 memw + 8e6134: 8760 l32i.n a7, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:238 + 8e6136: a23a add.n a10, a3, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:242 + 8e6138: b466 addi.n a6, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:232 + 8e613a: 07074f extui a7, a7, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:234 + 8e613d: 738901 bne a8, a3, 8e6142 + 8e6140: cc9a bnez.n a9, 8e614e + +008e6142 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:239 + 8e6142: 27a401 s8i a7, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:238 + 8e6145: 078b14 srli a11, a7, 8 + 8e6148: 2ba400 s8i a11, a10, 0 + 8e614b: 600004 j 8e6153 + +008e614e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:236 + 8e614e: a23c add.n a12, a3, a2 + 8e6150: 27c400 s8i a7, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:241 + 8e6153: b233 addi.n a3, a3, 2 + +008e6155 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:244 + 8e6155: c020 movi.n a2, 0 + 8e6157: d10f retw.n + +008e6159 : + 8e6159: 000000 ... + +008e615c : +magpie_mdio_copy_bytes(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:249 + 8e615c: 6c1004 entry a1, 32 + 8e615f: 160018 l32r a6, 4e8060 <_lit4_start+0x60> + 8e6162: 1a01ac l32r a10, 4e86b0 <_lit4_start+0x6b0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:253 + 8e6165: 286212 l32i a8, a6, 72 + 8e6168: db20 mov.n a11, a2 + 8e616a: dc30 mov.n a12, a3 + 8e616c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:254 + 8e616f: 6a3117 blti a3, 1, 8e618a + 8e6172: c040 movi.n a4, 0 + +008e6174 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:255 + 8e6174: 5bffd2 call8 8e60c0 + 8e6177: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:257 + 8e6179: a24a add.n a10, a4, a2 + 8e617b: db50 mov.n a11, a5 + 8e617d: 5bffe5 call8 8e6114 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:258 + 8e6180: a454 add.n a4, a5, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:259 + 8e6182: c0a0 movi.n a10, 0 + 8e6184: 5bffdd call8 8e60fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:254 + 8e6187: 7342e9 blt a4, a3, 8e6174 + +008e618a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:261 + 8e618a: dc30 mov.n a12, a3 + 8e618c: 286212 l32i a8, a6, 72 + 8e618f: db20 mov.n a11, a2 + 8e6191: 1a01ad l32r a10, 4e86b4 <_lit4_start+0x6b4> + 8e6194: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:262 + 8e6197: d10f retw.n + +008e6199 : + 8e6199: 000000 ... + +008e619c : +fw_compute_cksum(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:266 + 8e619c: 6c1004 entry a1, 32 + 8e619f: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:270 + 8e61a1: 6a3112 blti a3, 1, 8e61b7 + 8e61a4: c76f movi.n a6, -1 + 8e61a6: 220a00 movi a2, 0 + 8e61a9: 6d3a08 loopgtz a3, 8e61b5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:271 + 8e61ac: 8450 l32i.n a4, a5, 0 + 8e61ae: b455 addi.n a5, a5, 4 + 8e61b0: 064403 xor a4, a4, a6 + 8e61b3: a422 add.n a2, a2, a4 + +008e61b5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:273 + 8e61b5: d10f retw.n + +008e61b7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:270 + 8e61b7: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:273 + 8e61b9: d10f retw.n + +008e61bb : + ... + +008e61bc : +mdio_get_fw_image(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:282 + 8e61bc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:285 + 8e61bf: 5bffc0 call8 8e60c0 + 8e61c2: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e61c5: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:286 + 8e61c7: 283212 l32i a8, a3, 72 + 8e61ca: 1a01ae l32r a10, 4e86b8 <_lit4_start+0x6b8> + 8e61cd: db40 mov.n a11, a4 + 8e61cf: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:288 + 8e61d2: db40 mov.n a11, a4 + 8e61d4: da20 mov.n a10, a2 + 8e61d6: 5bffcf call8 8e6114 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:289 + 8e61d9: 283212 l32i a8, a3, 72 + 8e61dc: 1a01af l32r a10, 4e86bc <_lit4_start+0x6bc> + 8e61df: 8b22 l32i.n a11, a2, 8 + 8e61e1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:291 + 8e61e4: c0a0 movi.n a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:290 + 8e61e6: 8920 l32i.n a9, a2, 0 + 8e61e8: 9925 s32i.n a9, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:291 + 8e61ea: 5bffc4 call8 8e60fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:294 + 8e61ed: 5bffb4 call8 8e60c0 + 8e61f0: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:295 + 8e61f2: bc2a addi.n a10, a2, 12 + 8e61f4: 5bffc7 call8 8e6114 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:296 + 8e61f7: 283212 l32i a8, a3, 72 + 8e61fa: 1a01b0 l32r a10, 4e86c0 <_lit4_start+0x6c0> + 8e61fd: 8b23 l32i.n a11, a2, 12 + 8e61ff: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:297 + 8e6202: c0a0 movi.n a10, 0 + 8e6204: 5bffbd call8 8e60fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:299 + 8e6207: 8924 l32i.n a9, a2, 16 + 8e6209: 659053 bnez a9, 8e6260 + 8e620c: c040 movi.n a4, 0 + +008e620e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:301 + 8e620e: 8a20 l32i.n a10, a2, 0 + 8e6210: 8b21 l32i.n a11, a2, 4 + 8e6212: 5bffd2 call8 8e615c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:303 + 8e6215: 5bffaa call8 8e60c0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:309 + 8e6218: 8b21 l32i.n a11, a2, 4 + 8e621a: 8a20 l32i.n a10, a2, 0 + 8e621c: 0b2b14 srli a11, a11, 2 + 8e621f: 5bffdf call8 8e619c + 8e6222: 8c23 l32i.n a12, a2, 12 + 8e6224: 7ac117 beq a12, a10, 8e623f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:310 + 8e6227: 283212 l32i a8, a3, 72 + 8e622a: 1a01b1 l32r a10, 4e86c4 <_lit4_start+0x6c4> + 8e622d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:311 + 8e6230: 9424 s32i.n a4, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:312 + 8e6232: c0a1 movi.n a10, 1 + 8e6234: 5bffb1 call8 8e60fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:299 + 8e6237: 8924 l32i.n a9, a2, 16 + 8e6239: 649fd1 beqz a9, 8e620e + 8e623c: 600020 j 8e6260 + +008e623f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:315 + 8e623f: 283212 l32i a8, a3, 72 + 8e6242: 1a01b2 l32r a10, 4e86c8 <_lit4_start+0x6c8> + 8e6245: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:317 + 8e6248: c0a2 movi.n a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:316 + 8e624a: c091 movi.n a9, 1 + 8e624c: 9924 s32i.n a9, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:317 + 8e624e: 5bffab call8 8e60fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:320 + 8e6251: 5bff9b call8 8e60c0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:321 + 8e6254: 7da708 bbci a10, 29, 8e6260 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:322 + 8e6257: 283212 l32i a8, a3, 72 + 8e625a: 1a01b3 l32r a10, 4e86cc <_lit4_start+0x6cc> + +008e625d : + 8e625d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:328 + 8e6260: c020 movi.n a2, 0 + 8e6262: d10f retw.n + +008e6264 : +buf_pool_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:34 + 8e6264: 6c1004 entry a1, 32 + 8e6267: 1801b8 l32r a8, 4e86e0 <_lit4_start+0x6e0> + 8e626a: 1901b7 l32r a9, 4e86dc <_lit4_start+0x6dc> + 8e626d: 1a01b6 l32r a10, 4e86d8 <_lit4_start+0x6d8> + 8e6270: 1b01b5 l32r a11, 4e86d4 <_lit4_start+0x6d4> + 8e6273: 1c01b4 l32r a12, 4e86d0 <_lit4_start+0x6d0> + 8e6276: 1301b9 l32r a3, 4e86e4 <_lit4_start+0x6e4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:40 + 8e6279: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:39 + 8e627b: 9825 s32i.n a8, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:38 + 8e627d: 9924 s32i.n a9, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:37 + 8e627f: 9a23 s32i.n a10, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:36 + 8e6281: 9b22 s32i.n a11, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:35 + 8e6283: 9c20 s32i.n a12, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:41 + 8e6285: d10f retw.n + +008e6287 : + ... + +008e6288 <_buf_pool_static_init>: +_buf_pool_static_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:44 + 8e6288: 6c1004 entry a1, 32 + 8e628b: 1201ba l32r a2, 4e86e8 <_lit4_start+0x6e8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:48 + 8e628e: c050 movi.n a5, 0 + 8e6290: c03a movi.n a3, 10 + 8e6292: 022402 or a4, a2, a2 + 8e6295: 6d3a03 loopgtz a3, 8e629c <_buf_pool_static_init+0x14> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:49 + 8e6298: 9540 s32i.n a5, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:50 + 8e629a: b444 addi.n a4, a4, 4 + +008e629c <_buf_pool_static_init+0x14>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:52 + 8e629c: d10f retw.n + +008e629e <_buf_pool_static_init+0x16>: + ... + +008e62a0 <_buf_pool_static_shutdown>: +_buf_pool_static_shutdown(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:65 + 8e62a0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:67 + 8e62a3: d10f retw.n + +008e62a5 <_buf_pool_static_shutdown+0x5>: + 8e62a5: 000000 ... + +008e62a8 <_buf_pool_static_create_pool>: +_buf_pool_static_create_pool(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:70 + 8e62a8: 6c1006 entry a1, 48 + 8e62ab: 9410 s32i.n a4, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:77 + 8e62ad: 6a414a blti a4, 1, 8e62fb <_buf_pool_static_create_pool+0x53> + 8e62b0: 1b01ba l32r a11, 4e86e8 <_lit4_start+0x6e8> + 8e62b3: 160018 l32r a6, 4e8060 <_lit4_start+0x60> + 8e62b6: c070 movi.n a7, 0 + +008e62b8 <_buf_pool_static_create_pool+0x10>: + 8e62b8: 0b330a addx4 a3, a3, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:78 + 8e62bb: 2862a1 l32i a8, a6, 0x284 + 8e62be: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:79 + 8e62c1: 2862a6 l32i a8, a6, 0x298 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:78 + 8e62c4: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:79 + 8e62c6: 0b8000 callx8 a8 + 8e62c9: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e62cb: 286252 l32i a8, a6, 0x148 + 8e62ce: db50 mov.n a11, a5 + 8e62d0: c0a0 movi.n a10, 0 + 8e62d2: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:77 + 8e62d5: 8c10 l32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:95 + 8e62d7: b177 addi.n a7, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:82 + 8e62d9: 254504 s16i a5, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:81 + 8e62dc: 9a41 s32i.n a10, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:84 + 8e62de: c0b0 movi.n a11, 0 + 8e62e0: 2b4506 s16i a11, a4, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:83 + 8e62e3: 2b4505 s16i a11, a4, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:89 + 8e62e6: 8930 l32i.n a9, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:87 + 8e62e8: 9420 s32i.n a4, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:86 + 8e62ea: 2b2504 s16i a11, a2, 8 + 8e62ed: cc95 bnez.n a9, 8e62f6 <_buf_pool_static_create_pool+0x4e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:90 + 8e62ef: 9230 s32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:77 + 8e62f1: 77c9c6 bne a12, a7, 8e62bb <_buf_pool_static_create_pool+0x13> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:96 + 8e62f4: d10f retw.n + +008e62f6 <_buf_pool_static_create_pool+0x4e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:92 + 8e62f6: 9921 s32i.n a9, a2, 4 + 8e62f8: 63fff3 j 8e62ef <_buf_pool_static_create_pool+0x47> + +008e62fb <_buf_pool_static_create_pool+0x53>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:96 + 8e62fb: d10f retw.n + +008e62fd <_buf_pool_static_create_pool+0x55>: + 8e62fd: 000000 ... + +008e6300 <_buf_pool_static_alloc_buf>: +_buf_pool_static_alloc_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:99 + 8e6300: 6c1004 entry a1, 32 + 8e6303: 1201ba l32r a2, 4e86e8 <_lit4_start+0x6e8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:102 + 8e6306: 02330a addx4 a3, a3, a2 + 8e6309: 8230 l32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:104 + 8e630b: c050 movi.n a5, 0 + 8e630d: c82f beqz.n a2, 8e6320 <_buf_pool_static_alloc_buf+0x20> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:107 + 8e630f: 8620 l32i.n a6, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:104 + 8e6311: 8821 l32i.n a8, a2, 4 + 8e6313: 9830 s32i.n a8, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:106 + 8e6315: 9521 s32i.n a5, a2, 4 + +008e6317 <_buf_pool_static_alloc_buf+0x17>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:107 + 8e6317: 246505 s16i a4, a6, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:108 + 8e631a: 256506 s16i a5, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:109 + 8e631d: 252504 s16i a5, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:112 + 8e6320: d10f retw.n + +008e6322 <_buf_pool_static_alloc_buf+0x22>: + ... + +008e6324 <_buf_pool_static_alloc_buf_align>: +_buf_pool_static_alloc_buf_align(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:116 + 8e6324: 6c1004 entry a1, 32 + 8e6327: dc40 mov.n a12, a4 + 8e6329: db30 mov.n a11, a3 + 8e632b: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:117 + 8e632d: 5bfff4 call8 8e6300 <_buf_pool_static_alloc_buf> + 8e6330: d2a0 mov.n a2, a10 + 8e6332: d10f retw.n + +008e6334 <_buf_pool_static_free_buf>: +_buf_pool_static_free_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:121 + 8e6334: 6c1004 entry a1, 32 + 8e6337: 1201ba l32r a2, 4e86e8 <_lit4_start+0x6e8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:122 + 8e633a: 02330a addx4 a3, a3, a2 + 8e633d: 8530 l32i.n a5, a3, 0 + 8e633f: c850 beqz.n a5, 8e6343 <_buf_pool_static_free_buf+0xf> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:125 + 8e6341: 9541 s32i.n a5, a4, 4 + +008e6343 <_buf_pool_static_free_buf+0xf>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:123 + 8e6343: 9430 s32i.n a4, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:128 + 8e6345: d10f retw.n + +008e6347 <_buf_pool_static_free_buf+0x13>: + ... + +008e6348 <_xtos_set_exception_handler>: +_xtos_set_exception_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-sethandler.c:33 + 8e6348: 6c1004 entry a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-sethandler.c:36 + 8e634b: 6f2d2d bgeui a2, 64, 8e637c <_xtos_set_exception_handler+0x34> + 8e634e: 1901bb l32r a9, 4e86ec <_lit4_start+0x6ec> + 8e6351: ca3b beqz.n a3, 8e6380 <_xtos_set_exception_handler+0x38> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-sethandler.c:48 + 8e6353: c0a0 movi.n a10, 0 + 8e6355: 1601bd l32r a6, 4e86f4 <_lit4_start+0x6f4> + 8e6358: 1401be l32r a4, 4e86f8 <_lit4_start+0x6f8> + 8e635b: 180006 l32r a8, 4e8018 <_lit4_start+0x18> + 8e635e: 1c01bc l32r a12, 4e86f0 <_lit4_start+0x6f0> + 8e6361: 0e2511 slli a5, a2, 2 + 8e6364: 09370c sub a7, a3, a9 + 8e6367: ac5c add.n a12, a5, a12 + 8e6369: a855 add.n a5, a5, a8 + 8e636b: 82c0 l32i.n a2, a12, 0 + 8e636d: 93c0 s32i.n a3, a12, 0 + 8e636f: 076439 movnez a4, a6, a7 + 8e6372: 9450 s32i.n a4, a5, 0 + 8e6374: 092b0c sub a11, a2, a9 + 8e6377: 0ba238 moveqz a2, a10, a11 + 8e637a: d10f retw.n + +008e637c <_xtos_set_exception_handler+0x34>: + 8e637c: c020 movi.n a2, 0 + 8e637e: d10f retw.n + +008e6380 <_xtos_set_exception_handler+0x38>: + 8e6380: c0a0 movi.n a10, 0 + 8e6382: 09970c sub a7, a9, a9 + 8e6385: 1601bd l32r a6, 4e86f4 <_lit4_start+0x6f4> + 8e6388: 180006 l32r a8, 4e8018 <_lit4_start+0x18> + 8e638b: 1c01bc l32r a12, 4e86f0 <_lit4_start+0x6f0> + 8e638e: 0e2511 slli a5, a2, 2 + 8e6391: 1401be l32r a4, 4e86f8 <_lit4_start+0x6f8> + 8e6394: ac5c add.n a12, a5, a12 + 8e6396: a855 add.n a5, a5, a8 + 8e6398: 82c0 l32i.n a2, a12, 0 + 8e639a: 99c0 s32i.n a9, a12, 0 + 8e639c: 076439 movnez a4, a6, a7 + 8e639f: 9450 s32i.n a4, a5, 0 + 8e63a1: 092b0c sub a11, a2, a9 + 8e63a4: 0ba238 moveqz a2, a10, a11 + 8e63a7: d10f retw.n + +008e63a9 <_xtos_set_exception_handler+0x61>: + 8e63a9: 000000 ... + +008e63ac <_xtos_cause3_handler>: +_xtos_cause3_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:30 + 8e63ac: c023 movi.n a2, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:31 + 8e63ae: 600002 j 8e63b4 <_xtos_c_wrapper_handler> + +008e63b1 <_xtos_cause3_handler+0x5>: + 8e63b1: 000000 ... + +008e63b4 <_xtos_c_wrapper_handler>: +_xtos_c_wrapper_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:44 + 8e63b4: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:51 + 8e63b6: 9218 s32i.n a2, a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:94 + 8e63b8: 120008 l32r a2, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:96 + 8e63bb: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:97 + 8e63be: 02e616 xsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:104 + 8e63c1: 9310 s32i.n a3, a1, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:105 + 8e63c3: 9211 s32i.n a2, a1, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:126 + 8e63c5: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:128 + 8e63c8: 12000a l32r a2, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:130 + 8e63cb: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:213 + 8e63ce: 032402 or a4, a2, a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:214 + 8e63d1: 042409 addx2 a4, a2, a4 + +008e63d4 <_GeneralException>: +_GeneralException(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:222 + 8e63d4: 6c100e entry a1, 112 + +008e63d7 <_GeneralException+0x3>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:287 + 8e63d7: 0c0330 rsr.sar a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:288 + 8e63da: 0d0600 rsil a13, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:294 + 8e63dd: 1d01bc l32r a13, 4e86f0 <_lit4_start+0x6f0> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:295 + 8e63e0: 8f18 l32i.n a15, a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:297 + 8e63e2: 9c12 s32i.n a12, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:298 + 8e63e4: 0c0230 rsr.lcount a12 + 8e63e7: 0e0030 rsr.lbeg a14 + 8e63ea: 9c19 s32i.n a12, a1, 36 + 8e63ec: 0c0130 rsr.lend a12 + 8e63ef: 9e1a s32i.n a14, a1, 40 + 8e63f1: 9c1b s32i.n a12, a1, 44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:300 + 8e63f3: 0dfc0a addx4 a12, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:301 + 8e63f6: 8cc0 l32i.n a12, a12, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:308 + 8e63f8: de10 mov.n a14, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:310 + 8e63fa: 64c002 beqz a12, 8e6400 <_GeneralException+0x2c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:311 + 8e63fd: 0fc000 callx12 a12 + +008e6400 <_GeneralException+0x2c>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:319 + 8e6400: 8d19 l32i.n a13, a1, 36 + 8e6402: 8e1a l32i.n a14, a1, 40 + 8e6404: 8f1b l32i.n a15, a1, 44 + 8e6406: 0d0231 wsr.lcount a13 + 8e6409: 0e0031 wsr.lbeg a14 + 8e640c: 0f0131 wsr.lend a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:320 + 8e640f: 8e12 l32i.n a14, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:348 + 8e6411: 0c3600 rsil a12, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:351 + 8e6414: 0e0331 wsr.sar a14 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:353 + 8e6417: 10000c l32r a0, 4e8030 <_lit4_start+0x30> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:360 + 8e641a: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:371 + 8e641d: 0d0002 or a0, a0, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:372 + 8e6420: 00d009 addx2 a0, a13, a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:373 + 8e6423: d10f retw.n + +008e6425 <_GeneralException+0x51>: + 8e6425: 000000 ... + +008e6428 : +xthal_spill_registers_into_stack_nw(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:114 + 8e6428: 024830 rsr.windowbase a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:115 + 8e642b: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:116 + 8e642d: 002004 ssr a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:117 + 8e6430: 034930 rsr.windowstart a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:118 + 8e6433: 030219 srl a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:119 + 8e6436: 00331a sll a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:120 + 8e6439: 6730bf bgez a3, 8e64fc +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:122 + 8e643c: 038357 extui a3, a3, 24, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:123 + 8e643f: 032202 or a2, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:138 + 8e6442: 020306 neg a3, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:139 + 8e6445: 023301 and a3, a3, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:140 + 8e6448: 033f04 nsau a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:141 + 8e644b: 003104 ssl a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:153 + 8e644e: 020219 srl a2, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:154 + 8e6451: 024931 wsr.windowstart a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:163 + 8e6454: 024830 rsr.windowbase a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:165 + 8e6457: 222c1f addi a2, a2, 31 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:166 + 8e645a: 03230c sub a3, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:170 + 8e645d: 034831 wsr.windowbase a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:171 + 8e6460: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:174 + 8e6463: 024930 rsr.windowstart a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:198 + 8e6466: 64207a beqz a2, 8e64e4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:199 + 8e6469: 7f2f08 bbsi a2, 31, 8e6475 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:200 + 8e646c: 7e2f19 bbsi a2, 30, 8e6489 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:201 + 8e646f: 7d2f3a bbsi a2, 29, 8e64ad +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:202 + 8e6472: 60008c j 8e6502 + +008e6475 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:208 + 8e6475: 239cf0 addi a3, a9, -16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:209 + 8e6478: 9430 s32i.n a4, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:210 + 8e647a: 9531 s32i.n a5, a3, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:211 + 8e647c: 9632 s32i.n a6, a3, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:212 + 8e647e: 9733 s32i.n a7, a3, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:214 + 8e6480: 021614 srli a6, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:215 + 8e6483: 010804 rotw 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:217 + 8e6486: 63ffdc j 8e6466 + +008e6489 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:221 + 8e6489: 23dcf0 addi a3, a13, -16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:222 + 8e648c: 9430 s32i.n a4, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:223 + 8e648e: 9531 s32i.n a5, a3, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:224 + 8e6490: 9632 s32i.n a6, a3, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:225 + 8e6492: 9733 s32i.n a7, a3, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:227 + 8e6494: 235cf4 addi a3, a5, -12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:228 + 8e6497: 8330 l32i.n a3, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:230 + 8e6499: 233ce0 addi a3, a3, -32 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:232 + 8e649c: 9830 s32i.n a8, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:233 + 8e649e: 9931 s32i.n a9, a3, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:234 + 8e64a0: 9a32 s32i.n a10, a3, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:235 + 8e64a2: 9b33 s32i.n a11, a3, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:237 + 8e64a4: 022a14 srli a10, a2, 2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:238 + 8e64a7: 020804 rotw 2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:240 + 8e64aa: 63ffb8 j 8e6466 + +008e64ad : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:244 + 8e64ad: 010804 rotw 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:246 + 8e64b0: 2ddcf0 addi a13, a13, -16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:247 + 8e64b3: 90d0 s32i.n a0, a13, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:248 + 8e64b5: 91d1 s32i.n a1, a13, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:249 + 8e64b7: 92d2 s32i.n a2, a13, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:250 + 8e64b9: 93d3 s32i.n a3, a13, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:252 + 8e64bb: 231cf4 addi a3, a1, -12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:253 + 8e64be: 8330 l32i.n a3, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:254 + 8e64c0: 2ddc10 addi a13, a13, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:255 + 8e64c3: 233cd0 addi a3, a3, -48 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:257 + 8e64c6: 9430 s32i.n a4, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:258 + 8e64c8: 9531 s32i.n a5, a3, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:259 + 8e64ca: 9632 s32i.n a6, a3, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:260 + 8e64cc: 9733 s32i.n a7, a3, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:261 + 8e64ce: 9834 s32i.n a8, a3, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:262 + 8e64d0: 9935 s32i.n a9, a3, 20 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:263 + 8e64d2: 9a36 s32i.n a10, a3, 24 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:264 + 8e64d4: 9b37 s32i.n a11, a3, 28 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:266 + 8e64d6: 0f0804 rotw -1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:267 + 8e64d9: 023e14 srli a14, a2, 3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:268 + 8e64dc: 030804 rotw 3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:270 + 8e64df: 63ff83 j 8e6466 + +008e64e2 : + ... + +008e64e4 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:275 + 8e64e4: 010804 rotw 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:276 + 8e64e7: 024830 rsr.windowbase a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:277 + 8e64ea: 002104 ssl a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:278 + 8e64ed: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:279 + 8e64ef: 00221a sll a2, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:280 + 8e64f2: 024931 wsr.windowstart a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:281 + 8e64f5: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:282 + 8e64f8: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:283 + 8e64fa: d00f ret.n + +008e64fc : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:290 + 8e64fc: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:291 + 8e64fe: d00f ret.n + +008e6500 : + ... + +008e6502 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:306 + 8e6502: 0f2211 slli a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:307 + 8e6505: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:308 + 8e6507: 034830 rsr.windowbase a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:309 + 8e650a: 782f0a bbsi a2, 24, 8e6518 + +008e650d : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:310 + 8e650d: b033 addi.n a3, a3, -1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:311 + 8e650f: 0f2211 slli a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:312 + 8e6512: 7827f7 bbci a2, 24, 8e650d +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:313 + 8e6515: 030342 extui a3, a3, 0, 3 + +008e6518 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:317 + 8e6518: 003104 ssl a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:318 + 8e651b: 082310 slli a3, a2, 24 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:319 + 8e651e: 032218 src a2, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:320 + 8e6521: 020247 extui a2, a2, 0, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:321 + 8e6524: 024931 wsr.windowstart a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:322 + 8e6527: 030330 rsr.sar a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:323 + 8e652a: c220 movi.n a2, 32 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:324 + 8e652c: 03230c sub a3, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:325 + 8e652f: 034831 wsr.windowbase a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:326 + 8e6532: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:328 + 8e6535: c022 movi.n a2, 2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:329 + 8e6537: d00f ret.n + +008e6539 : + 8e6539: 000000 ... + +008e653c : +xthal_window_spill(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:355 + 8e653c: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:357 + 8e653f: 1601bf l32r a6, 4e86fc <_lit4_start+0x6fc> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:358 + 8e6542: 05e630 rsr.ps a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:359 + 8e6545: d400 mov.n a4, a0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:360 + 8e6547: 065201 and a2, a5, a6 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:361 + 8e654a: b322 addi.n a2, a2, 3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:362 + 8e654c: 02e631 wsr.ps a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:363 + 8e654f: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:364 + 8e6552: 53ffb5 call0 8e6428 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:365 + 8e6555: d040 mov.n a0, a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:366 + 8e6557: 05e631 wsr.ps a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:367 + 8e655a: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:369 + 8e655d: d10f retw.n + +008e655f : + ... + +008e6560 : +strcmp(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:45 + 8e6560: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:48 + 8e6563: 282000 l8ui a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:49 + 8e6566: 293000 l8ui a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:50 + 8e6569: c0a3 movi.n a10, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:51 + 8e656b: 798952 bne a8, a9, 8e65c1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:53 + 8e656e: 032b02 or a11, a2, a3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:54 + 8e6571: 7ab053 bnone a11, a10, 8e65c8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:56 + 8e6574: 032b03 xor a11, a2, a3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:57 + 8e6577: 7ab831 bany a11, a10, 8e65ac +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:60 + 8e657a: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:61 + 8e657c: 6480b7 beqz a8, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:62 + 8e657f: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:63 + 8e6581: 7a2043 bnone a2, a10, 8e65c8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:64 + 8e6584: 282000 l8ui a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:65 + 8e6587: 293000 l8ui a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:66 + 8e658a: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:67 + 8e658c: 798931 bne a8, a9, 8e65c1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:68 + 8e658f: 6480a4 beqz a8, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:69 + 8e6592: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:70 + 8e6594: 7a2030 bnone a2, a10, 8e65c8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:71 + 8e6597: 282000 l8ui a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:72 + 8e659a: 293000 l8ui a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:73 + 8e659d: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:74 + 8e659f: 79891e bne a8, a9, 8e65c1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:75 + 8e65a2: 648091 beqz a8, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:76 + 8e65a5: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:77 + 8e65a7: 60001d j 8e65c8 + +008e65aa : + ... + +008e65ac : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:104 + 8e65ac: c080 movi.n a8, 0 + +008e65ae : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:108 + 8e65ae: 6d880f loop a8, 8e65c1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:111 + 8e65b1: 282000 l8ui a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:112 + 8e65b4: 293000 l8ui a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:113 + 8e65b7: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:114 + 8e65b9: 798904 bne a8, a9, 8e65c1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:115 + 8e65bc: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:117 + 8e65be: 648fff beqz a8, 8e65c1 + +008e65c1 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:122 + 8e65c1: 09820c sub a2, a8, a9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:123 + 8e65c4: d10f retw.n + +008e65c6 : + ... + +008e65c8 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:152 + 8e65c8: 1401c0 l32r a4, 4e8700 <_lit4_start+0x700> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:153 + 8e65cb: 1701c3 l32r a7, 4e870c <_lit4_start+0x70c> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:162 + 8e65ce: 6d4829 loop a4, 8e65fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:165 + 8e65d1: 282200 l32i a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:166 + 8e65d4: 293200 l32i a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:167 + 8e65d7: 0f8511 slli a5, a8, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:168 + 8e65da: 79895e bne a8, a9, 8e663c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:169 + 8e65dd: 058902 or a9, a8, a5 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:170 + 8e65e0: 779c20 bnall a9, a7, 8e6604 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:173 + 8e65e3: 282201 l32i a8, a2, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:174 + 8e65e6: 293201 l32i a9, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:175 + 8e65e9: 0f8511 slli a5, a8, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:176 + 8e65ec: 79894c bne a8, a9, 8e663c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:177 + 8e65ef: 058902 or a9, a8, a5 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:178 + 8e65f2: 779c08 bnall a9, a7, 8e65fe +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:180 + 8e65f5: 222c08 addi a2, a2, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:181 + 8e65f8: 233c08 addi a3, a3, 8 + +008e65fb : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:183 + 8e65fb: 011102 or a1, a1, a1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:187 + 8e65fe: 222c04 addi a2, a2, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:188 + 8e6601: 233c04 addi a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:213 + 8e6604: 74802f bnone a8, a4, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:215 + 8e6607: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:216 + 8e660a: 16018a l32r a6, 4e8628 <_lit4_start+0x628> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:217 + 8e660d: 758026 bnone a8, a5, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:218 + 8e6610: 1701c2 l32r a7, 4e8708 <_lit4_start+0x708> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:219 + 8e6613: 768020 bnone a8, a6, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:220 + 8e6616: 77801d bnone a8, a7, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:235 + 8e6619: b422 addi.n a2, a2, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:236 + 8e661b: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:249 + 8e661d: 6d4816 loop a4, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:252 + 8e6620: 8820 l32i.n a8, a2, 0 + +008e6622 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:253 + 8e6622: 8930 l32i.n a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:254 + 8e6624: b422 addi.n a2, a2, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:255 + 8e6626: 798923 bne a8, a9, 8e664d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:256 + 8e6629: 74800a bnone a8, a4, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:257 + 8e662c: 758007 bnone a8, a5, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:258 + 8e662f: 768004 bnone a8, a6, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:259 + 8e6632: 778001 bnone a8, a7, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:260 + 8e6635: b433 addi.n a3, a3, 4 + +008e6637 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:279 + 8e6637: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:280 + 8e6639: d10f retw.n + +008e663b : + ... + +008e663c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:286 + 8e663c: 058a02 or a10, a8, a5 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:287 + 8e663f: 77ac0a bnall a10, a7, 8e664d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:288 + 8e6642: 798b03 bgeu a8, a9, 8e6649 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:289 + 8e6645: c72f movi.n a2, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:290 + 8e6647: d10f retw.n + +008e6649 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:292 + 8e6649: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:293 + 8e664b: d10f retw.n + +008e664d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:297 + 8e664d: 098203 xor a2, a8, a9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:298 + 8e6650: 742819 bany a2, a4, 8e666d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:299 + 8e6653: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:300 + 8e6656: 7480dd bnone a8, a4, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:301 + 8e6659: 75280b bany a2, a5, 8e6668 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:302 + 8e665c: 16018a l32r a6, 4e8628 <_lit4_start+0x628> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:303 + 8e665f: 7580d4 bnone a8, a5, 8e6637 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:304 + 8e6662: 762802 bany a2, a6, 8e6668 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:305 + 8e6665: 7680ce bnone a8, a6, 8e6637 + +008e6668 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:313 + 8e6668: 09820c sub a2, a8, a9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:314 + 8e666b: d10f retw.n + +008e666d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:318 + 8e666d: 088a57 extui a10, a8, 24, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:319 + 8e6670: 098b57 extui a11, a9, 24, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:320 + 8e6673: 0ba20c sub a2, a10, a11 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:321 + 8e6676: d10f retw.n + +008e6678 : +strcpy(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:35 + 8e6678: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:38 + 8e667b: 022a02 or a10, a2, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:39 + 8e667e: 1401c0 l32r a4, 4e8700 <_lit4_start+0x700> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:40 + 8e6681: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:41 + 8e6684: 16018a l32r a6, 4e8628 <_lit4_start+0x628> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:42 + 8e6687: 270aff movi a7, 255 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:43 + 8e668a: 7f3f0b bbsi a3, 31, 8e6699 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:44 + 8e668d: 7e3f17 bbsi a3, 30, 8e66a8 + +008e6690 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:48 + 8e6690: c083 movi.n a8, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:49 + 8e6692: 78a02a bnone a10, a8, 8e66c0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:51 + 8e6695: 600063 j 8e66fc + +008e6698 : + ... + +008e6699 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:54 + 8e6699: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:55 + 8e669c: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:56 + 8e669e: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:57 + 8e66a1: c988 beqz.n a8, 8e66bd +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:58 + 8e66a3: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:59 + 8e66a5: 7e37e7 bbci a3, 30, 8e6690 + +008e66a8 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:62 + 8e66a8: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:64 + 8e66ab: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:65 + 8e66ae: c88b beqz.n a8, 8e66bd +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:66 + 8e66b0: 283001 l8ui a8, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:67 + 8e66b3: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:68 + 8e66b5: 28a401 s8i a8, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:69 + 8e66b8: b2aa addi.n a10, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:70 + 8e66ba: 658fd2 bnez a8, 8e6690 + +008e66bd : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:71 + 8e66bd: d10f retw.n + +008e66bf : + ... + +008e66c0 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:87 + 8e66c0: c080 movi.n a8, 0 + +008e66c2 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:91 + 8e66c2: 6d8813 loop a8, 8e66d9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:92 + 8e66c5: 8830 l32i.n a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:93 + 8e66c7: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:94 + 8e66c9: 74800f bnone a8, a4, 8e66dc +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:95 + 8e66cc: 758014 bnone a8, a5, 8e66e4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:96 + 8e66cf: 768019 bnone a8, a6, 8e66ec +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:97 + 8e66d2: 98a0 s32i.n a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:98 + 8e66d4: 778001 bnone a8, a7, 8e66d9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:99 + 8e66d7: b4aa addi.n a10, a10, 4 + +008e66d9 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:115 + 8e66d9: d10f retw.n + +008e66db : + ... + +008e66dc : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:119 + 8e66dc: c080 movi.n a8, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:121 + 8e66de: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:122 + 8e66e1: d10f retw.n + +008e66e3 : + ... + +008e66e4 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:126 + 8e66e4: 08085f extui a8, a8, 16, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:128 + 8e66e7: 28a500 s16i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:129 + 8e66ea: d10f retw.n + +008e66ec : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:133 + 8e66ec: 08085f extui a8, a8, 16, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:135 + 8e66ef: 28a500 s16i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:136 + 8e66f2: c080 movi.n a8, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:137 + 8e66f4: 28a402 s8i a8, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:138 + 8e66f7: d10f retw.n + +008e66f9 : + 8e66f9: 000000 ... + +008e66fc : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:157 + 8e66fc: c080 movi.n a8, 0 + +008e66fe : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:161 + 8e66fe: 6d880c loop a8, 8e670e +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:163 + 8e6701: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:164 + 8e6704: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:165 + 8e6706: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:166 + 8e6709: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:168 + 8e670b: 648fff beqz a8, 8e670e + +008e670e : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:172 + 8e670e: d10f retw.n + +008e6710 : +strlen(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:35 + 8e6710: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:38 + 8e6713: 232cfc addi a3, a2, -4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:39 + 8e6716: 1401c0 l32r a4, 4e8700 <_lit4_start+0x700> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:40 + 8e6719: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:41 + 8e671c: 16018a l32r a6, 4e8628 <_lit4_start+0x628> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:42 + 8e671f: 270aff movi a7, 255 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:43 + 8e6722: 7f2f06 bbsi a2, 31, 8e672c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:44 + 8e6725: 7e2f0d bbsi a2, 30, 8e6736 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:45 + 8e6728: 60001c j 8e6748 + +008e672b : + ... + +008e672c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:48 + 8e672c: 283004 l8ui a8, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:49 + 8e672f: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:50 + 8e6731: ca88 beqz.n a8, 8e675d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:51 + 8e6733: 7e3711 bbci a3, 30, 8e6748 + +008e6736 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:54 + 8e6736: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:55 + 8e6738: 8830 l32i.n a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:56 + 8e673a: 76802e bnone a8, a6, 8e676c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:57 + 8e673d: 778807 bany a8, a7, 8e6748 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:60 + 8e6740: b333 addi.n a3, a3, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:61 + 8e6742: 02320c sub a2, a3, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:62 + 8e6745: d10f retw.n + +008e6747 : + ... + +008e6748 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:80 + 8e6748: c080 movi.n a8, 0 + +008e674a : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:84 + 8e674a: 6d880f loop a8, 8e675d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:86 + 8e674d: 8831 l32i.n a8, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:87 + 8e674f: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:88 + 8e6751: 74800a bnone a8, a4, 8e675f +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:89 + 8e6754: 75800c bnone a8, a5, 8e6764 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:90 + 8e6757: 768011 bnone a8, a6, 8e676c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:92 + 8e675a: 7780ff bnone a8, a7, 8e675d + +008e675d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:98 + 8e675d: b333 addi.n a3, a3, 3 + +008e675f : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:102 + 8e675f: 02320c sub a2, a3, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:103 + 8e6762: d10f retw.n + +008e6764 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:106 + 8e6764: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:107 + 8e6766: 02320c sub a2, a3, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:108 + 8e6769: d10f retw.n + +008e676b : + ... + +008e676c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:111 + 8e676c: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:112 + 8e676e: 02320c sub a2, a3, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:113 + 8e6771: d10f retw.n + +008e6773 : + ... + +008e6774 : +strncmp(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:66 + 8e6774: 6c1004 entry a1, 32 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:84 + 8e6777: 64407f beqz a4, 8e67fa +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:88 + 8e677a: 023502 or a5, a3, a2 + 8e677d: 050541 extui a5, a5, 0, 2 + 8e6780: 645040 beqz a5, 8e67c4 + +008e6783 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:111 + 8e6783: 272000 l8ui a7, a2, 0 + 8e6786: 283000 l8ui a8, a3, 0 + 8e6789: b044 addi.n a4, a4, -1 + 8e678b: 684025 beqi a4, -1, 8e67b4 + 8e678e: 787922 bne a7, a8, 8e67b4 + 8e6791: 6d0816 loop a0, 8e67ab +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:115 + 8e6794: d640 mov.n a6, a4 + 8e6796: c944 beqz.n a4, 8e67ae + 8e6798: c972 beqz.n a7, 8e67ae +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:117 + 8e679a: b044 addi.n a4, a4, -1 + 8e679c: b133 addi.n a3, a3, 1 + 8e679e: b122 addi.n a2, a2, 1 + 8e67a0: c965 beqz.n a6, 8e67b9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:111 + 8e67a2: 272000 l8ui a7, a2, 0 + 8e67a5: 283000 l8ui a8, a3, 0 + 8e67a8: 778908 bne a8, a7, 8e67b4 + +008e67ab : + 8e67ab: 63ffe2 j 8e6791 + +008e67ae : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:120 + 8e67ae: c020 movi.n a2, 0 + 8e67b0: d10f retw.n + +008e67b2 : + ... + +008e67b4 : + 8e67b4: 08720c sub a2, a7, a8 + 8e67b7: d10f retw.n + +008e67b9 : + 8e67b9: 253000 l8ui a5, a3, 0 + 8e67bc: 222000 l8ui a2, a2, 0 + 8e67bf: 05220c sub a2, a2, a5 + 8e67c2: d10f retw.n + +008e67c4 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:93 + 8e67c4: 6e44bb bltui a4, 4, 8e6783 + 8e67c7: 8720 l32i.n a7, a2, 0 + 8e67c9: 8630 l32i.n a6, a3, 0 + 8e67cb: 7769b4 bne a6, a7, 8e6783 + 8e67ce: 1901c4 l32r a9, 4e8710 <_lit4_start+0x710> + 8e67d1: 1801c5 l32r a8, 4e8714 <_lit4_start+0x714> + 8e67d4: c7af movi.n a10, -1 + 8e67d6: 600006 j 8e67e0 + +008e67d9 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:103 + 8e67d9: 8720 l32i.n a7, a2, 0 + 8e67db: 8b30 l32i.n a11, a3, 0 + 8e67dd: 77b9a2 bne a11, a7, 8e6783 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:95 + 8e67e0: 244cfc addi a4, a4, -4 + 8e67e3: c947 beqz.n a4, 8e67fe +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:99 + 8e67e5: 0a7d03 xor a13, a7, a10 + 8e67e8: a97c add.n a12, a7, a9 + 8e67ea: 0dcc01 and a12, a12, a13 + 8e67ed: 7c880d bany a8, a12, 8e67fe +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:103 + 8e67f0: b433 addi.n a3, a3, 4 + 8e67f2: b422 addi.n a2, a2, 4 + 8e67f4: 6f44e1 bgeui a4, 4, 8e67d9 + 8e67f7: 63ff88 j 8e6783 + +008e67fa : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:120 + 8e67fa: c020 movi.n a2, 0 + 8e67fc: d10f retw.n + +008e67fe : + 8e67fe: c020 movi.n a2, 0 + 8e6800: d10f retw.n + +008e6802 : + ... + +008e6804 <__strncpy_aux>: +__strncpy_aux(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:35 + 8e6804: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:36 + 8e6807: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:37 + 8e6809: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:38 + 8e680c: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:39 + 8e680e: ca47 beqz.n a4, 8e6839 <__strncpy_aux+0x35> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:40 + 8e6810: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:41 + 8e6812: 648048 beqz a8, 8e685e +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:42 + 8e6815: 7e373d bbci a3, 30, 8e6856 + +008e6818 <__strncpy_aux+0x14>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:45 + 8e6818: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:46 + 8e681b: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:47 + 8e681d: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:48 + 8e6820: c945 beqz.n a4, 8e6839 <__strncpy_aux+0x35> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:49 + 8e6822: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:50 + 8e6824: cb86 beqz.n a8, 8e685e +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:51 + 8e6826: 283001 l8ui a8, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:52 + 8e6829: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:53 + 8e682b: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:54 + 8e682e: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:55 + 8e6830: c845 beqz.n a4, 8e6839 <__strncpy_aux+0x35> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:56 + 8e6832: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:57 + 8e6834: cd8e bnez.n a8, 8e6856 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:58 + 8e6836: 600024 j 8e685e + +008e6839 <__strncpy_aux+0x35>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:61 + 8e6839: d10f retw.n + +008e683b <__strncpy_aux+0x37>: + ... + +008e683c : +strncpy(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:68 + 8e683c: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:71 + 8e683f: da20 mov.n a10, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:72 + 8e6841: 644ff4 beqz a4, 8e6839 <__strncpy_aux+0x35> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:74 + 8e6844: 1b01c0 l32r a11, 4e8700 <_lit4_start+0x700> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:75 + 8e6847: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:76 + 8e684a: 16018a l32r a6, 4e8628 <_lit4_start+0x628> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:77 + 8e684d: 270aff movi a7, 255 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:78 + 8e6850: 7f3fb0 bbsi a3, 31, 8e6804 <__strncpy_aux> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:79 + 8e6853: 7e3fc1 bbsi a3, 30, 8e6818 <__strncpy_aux+0x14> + +008e6856 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:83 + 8e6856: c083 movi.n a8, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:84 + 8e6858: 78a054 bnone a10, a8, 8e68b0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:86 + 8e685b: 6000a5 j 8e6904 + +008e685e : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:92 + 8e685e: c090 movi.n a9, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:93 + 8e6860: 7faf28 bbsi a10, 31, 8e688c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:94 + 8e6863: 7eaf32 bbsi a10, 30, 8e6899 + +008e6866 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:96 + 8e6866: 6a4413 blti a4, 4, 8e687d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:101 + 8e6869: 042812 srai a8, a4, 2 + 8e686c: d30f nop.n +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:102 + 8e686e: 6d8803 loop a8, 8e6875 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:103 + 8e6871: 99a0 s32i.n a9, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:104 + 8e6873: b4aa addi.n a10, a10, 4 + +008e6875 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:106 + 8e6875: 0e8811 slli a8, a8, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:107 + 8e6878: 08440c sub a4, a4, a8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:118 + 8e687b: c849 beqz.n a4, 8e6888 + +008e687d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:122 + 8e687d: 29a400 s8i a9, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:123 + 8e6880: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:124 + 8e6882: 2aac01 addi a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:125 + 8e6885: 654ff4 bnez a4, 8e687d + +008e6888 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:127 + 8e6888: d10f retw.n + +008e688a : + ... + +008e688c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:130 + 8e688c: 29a400 s8i a9, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:131 + 8e688f: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:132 + 8e6891: 644ff3 beqz a4, 8e6888 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:133 + 8e6894: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:134 + 8e6896: 7ea7cc bbci a10, 30, 8e6866 + +008e6899 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:137 + 8e6899: 29a400 s8i a9, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:138 + 8e689c: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:139 + 8e689e: 644fe6 beqz a4, 8e6888 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:140 + 8e68a1: 29a401 s8i a9, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:141 + 8e68a4: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:142 + 8e68a6: 644fde beqz a4, 8e6888 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:143 + 8e68a9: b2aa addi.n a10, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:144 + 8e68ab: 63ffb7 j 8e6866 + +008e68ae : + ... + +008e68b0 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:162 + 8e68b0: c080 movi.n a8, 0 + +008e68b2 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:166 + 8e68b2: 6d8819 loop a8, 8e68cf +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:167 + 8e68b5: 6a454b blti a4, 5, 8e6904 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:168 + 8e68b8: 8830 l32i.n a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:169 + 8e68ba: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:170 + 8e68bc: 7b8012 bnone a8, a11, 8e68d2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:171 + 8e68bf: 75801d bnone a8, a5, 8e68e0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:172 + 8e68c2: 76802a bnone a8, a6, 8e68f0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:173 + 8e68c5: 98a0 s32i.n a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:174 + 8e68c7: 244cfc addi a4, a4, -4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:175 + 8e68ca: b4aa addi.n a10, a10, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:176 + 8e68cc: 77808e bnone a8, a7, 8e685e + +008e68cf : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:193 + 8e68cf: 63ff8b j 8e685e + +008e68d2 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:197 + 8e68d2: c080 movi.n a8, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:199 + 8e68d4: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:200 + 8e68d7: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:201 + 8e68d9: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:202 + 8e68db: 63ff7f j 8e685e + +008e68de : + ... + +008e68e0 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:206 + 8e68e0: 08085f extui a8, a8, 16, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:208 + 8e68e3: 28a500 s16i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:209 + 8e68e6: 244cfe addi a4, a4, -2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:210 + 8e68e9: b2aa addi.n a10, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:211 + 8e68eb: 63ff6f j 8e685e + +008e68ee : + ... + +008e68f0 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:215 + 8e68f0: 08085f extui a8, a8, 16, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:217 + 8e68f3: 28a500 s16i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:218 + 8e68f6: c080 movi.n a8, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:219 + 8e68f8: 28a402 s8i a8, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:220 + 8e68fb: 244cfd addi a4, a4, -3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:221 + 8e68fe: b3aa addi.n a10, a10, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:222 + 8e6900: 63ff5a j 8e685e + +008e6903 : + ... + +008e6904 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:238 + 8e6904: c080 movi.n a8, 0 + +008e6906 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:242 + 8e6906: 6d8810 loop a8, 8e691a +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:244 + 8e6909: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:245 + 8e690c: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:246 + 8e690e: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:247 + 8e6911: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:248 + 8e6913: c846 beqz.n a4, 8e691d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:249 + 8e6915: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:251 + 8e6917: 648fff beqz a8, 8e691a + +008e691a : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:255 + 8e691a: 63ff40 j 8e685e + +008e691d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:257 + 8e691d: d10f retw.n + +008e691f : + ... + +008e6920 <_xtos_unhandled_interrupt>: +_xtos_unhandled_interrupt(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/interrupt-table.S:102 + 8e6920: 6c1002 entry a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/interrupt-table.S:108 + 8e6923: 0f1400 break 1, 15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/interrupt-table.S:115 + 8e6926: d10f retw.n + +008e6928 <_xtos_unhandled_exception>: +_xtos_unhandled_exception(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:49 + 8e6928: 8214 l32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:50 + 8e692a: 8315 l32i.n a3, a1, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:52 + 8e692c: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:54 + 8e692f: 011400 break 1, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:61 + 8e6932: 000300 rfe + +008e6935 <_xtos_unhandled_exception+0xd>: + 8e6935: 000000 ... + +008e6938 <_xtos_return_from_exc>: +_xtos_return_from_exc(): + 8e6938: 8251 l32i.n a2, a5, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:62 + 8e693a: 8350 l32i.n a3, a5, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:63 + 8e693c: 02e631 wsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:72 + 8e693f: 8254 l32i.n a2, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:73 + 8e6941: 8456 l32i.n a4, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:74 + 8e6943: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:88 + 8e6946: 03b131 wsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:96 + 8e6949: 8355 l32i.n a3, a5, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:97 + 8e694b: 8557 l32i.n a5, a5, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:99 + 8e694d: 000300 rfe + +008e6950 <_xtos_p_none>: +_xtos_p_none(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-table.S:42 + 8e6950: 6c1002 entry a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-table.S:44 + 8e6953: d10f retw.n + +008e6955 <_xtos_p_none+0x5>: + 8e6955: 000000 ... + +008e6958 : +xthal_get_ccount(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:43 + 8e6958: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:45 + 8e695b: 02ea30 rsr.ccount a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:59 + 8e695e: d10f retw.n + +008e6960 : +xthal_set_ccompare(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:72 + 8e6960: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:74 + 8e6963: 652005 bnez a2, 8e696c +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:75 + 8e6966: 03f031 wsr.ccompare0 a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:85 + 8e6969: 000200 isync + +008e696c : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:89 + 8e696c: d10f retw.n + +008e696e : + ... + +008e6970 : +xthal_get_ccompare(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:98 + 8e6970: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:100 + 8e6973: cc23 bnez.n a2, 8e697a +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:101 + 8e6975: 02f030 rsr.ccompare0 a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:102 + 8e6978: d10f retw.n + +008e697a : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:114 + 8e697a: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:116 + 8e697c: d10f retw.n + +008e697e : + ... + +008e6980 : +xthal_get_intenable(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:63 + 8e6980: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:65 + 8e6983: 02e430 rsr.intenable a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:69 + 8e6986: d10f retw.n + +008e6988 : +xthal_get_intread(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:102 + 8e6988: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:104 + 8e698b: 02e230 rsr.interrupt a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:108 + 8e698e: d10f retw.n + +008e6990 : +xthal_set_intenable(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:80 + 8e6990: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:82 + 8e6993: 02e431 wsr.intenable a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:84 + 8e6996: d10f retw.n + +008e6998 <__divsi3>: +__divsi3(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:587 + 8e6998: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:591 + 8e699b: 032703 xor a7, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:592 + 8e699e: 021606 abs a6, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:593 + 8e69a1: 031306 abs a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:594 + 8e69a4: 6e3234 bltui a3, 2, 8e69dc <__divsi3+0x44> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:604 + 8e69a7: 061514 srli a5, a6, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:605 + 8e69aa: 73533a bltu a5, a3, 8e69e8 <__divsi3+0x50> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:606 + 8e69ad: 056f04 nsau a5, a6 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:607 + 8e69b0: 043f04 nsau a4, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:610 + 8e69b3: 05440c sub a4, a4, a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:611 + 8e69b6: 004104 ssl a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:612 + 8e69b9: 00331a sll a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:613 + 8e69bc: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:617 + 8e69be: 6d490d loopnez a4, 8e69cf <__divsi3+0x37> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:620 + 8e69c1: 736304 bltu a6, a3, 8e69c9 <__divsi3+0x31> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:621 + 8e69c4: 03660c sub a6, a6, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:622 + 8e69c7: b122 addi.n a2, a2, 1 + +008e69c9 <__divsi3+0x31>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:624 + 8e69c9: 0f2211 slli a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:625 + 8e69cc: 031314 srli a3, a3, 1 + +008e69cf <__divsi3+0x37>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:632 + 8e69cf: 736301 bltu a6, a3, 8e69d4 <__divsi3+0x3c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:633 + 8e69d2: b122 addi.n a2, a2, 1 + +008e69d4 <__divsi3+0x3c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:635 + 8e69d4: 020506 neg a5, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:636 + 8e69d7: 07523a movltz a2, a5, a7 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:637 + 8e69da: d10f retw.n + +008e69dc <__divsi3+0x44>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:640 + 8e69dc: c935 beqz.n a3, 8e69f5 <__divsi3+0x5d> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:641 + 8e69de: 060206 neg a2, a6 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:642 + 8e69e1: 07623b movgez a2, a6, a7 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:643 + 8e69e4: d10f retw.n + +008e69e6 <__divsi3+0x4e>: + ... + +008e69e8 <__divsi3+0x50>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:646 + 8e69e8: 736310 bltu a6, a3, 8e69fc <__divsi3+0x64> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:647 + 8e69eb: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:648 + 8e69ed: c74f movi.n a4, -1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:649 + 8e69ef: 07423a movltz a2, a4, a7 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:650 + 8e69f2: d10f retw.n + +008e69f4 <__divsi3+0x5c>: + ... + +008e69f5 <__divsi3+0x5d>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:656 + 8e69f5: 000000 ill + +008e69f8 <__divsi3+0x60>: + 8e69f8: 44495630 DIV0 + +008e69fc <__divsi3+0x64>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:660 + 8e69fc: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:662 + 8e69fe: d10f retw.n + +008e6a00 <__modsi3>: +__modsi3(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:731 + 8e6a00: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:735 + 8e6a03: 022702 or a7, a2, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:736 + 8e6a06: 021206 abs a2, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:737 + 8e6a09: 031306 abs a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:738 + 8e6a0c: 6e322c bltui a3, 2, 8e6a3c <__modsi3+0x3c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:739 + 8e6a0f: 052f04 nsau a5, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:740 + 8e6a12: 043f04 nsau a4, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:741 + 8e6a15: 745b14 bgeu a5, a4, 8e6a2d <__modsi3+0x2d> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:743 + 8e6a18: 05440c sub a4, a4, a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:744 + 8e6a1b: 004104 ssl a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:745 + 8e6a1e: 00331a sll a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:749 + 8e6a21: 6d4908 loopnez a4, 8e6a2d <__modsi3+0x2d> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:752 + 8e6a24: 732302 bltu a2, a3, 8e6a2a <__modsi3+0x2a> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:753 + 8e6a27: 03220c sub a2, a2, a3 + +008e6a2a <__modsi3+0x2a>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:755 + 8e6a2a: 031314 srli a3, a3, 1 + +008e6a2d <__modsi3+0x2d>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:763 + 8e6a2d: 732302 bltu a2, a3, 8e6a33 <__modsi3+0x33> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:764 + 8e6a30: 03220c sub a2, a2, a3 + +008e6a33 <__modsi3+0x33>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:766 + 8e6a33: 677002 bgez a7, 8e6a39 <__modsi3+0x39> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:767 + 8e6a36: 020206 neg a2, a2 + +008e6a39 <__modsi3+0x39>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:769 + 8e6a39: d10f retw.n + +008e6a3b <__modsi3+0x3b>: + ... + +008e6a3c <__modsi3+0x3c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:772 + 8e6a3c: cc35 bnez.n a3, 8e6a45 <__modsi3+0x45> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:777 + 8e6a3e: 000000 ill + +008e6a41 <__modsi3+0x41>: + 8e6a41: 44495630 DIV0 + +008e6a45 <__modsi3+0x45>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:781 + 8e6a45: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:783 + 8e6a47: d10f retw.n + +008e6a49 <__modsi3+0x49>: + 8e6a49: 000000 ... + +008e6a4c <__udivsi3>: +__udivsi3(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:518 + 8e6a4c: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:522 + 8e6a4f: 6e322f bltui a3, 2, 8e6a82 <__udivsi3+0x36> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:524 + 8e6a52: d620 mov.n a6, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:525 + 8e6a54: 056f04 nsau a5, a6 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:526 + 8e6a57: 043f04 nsau a4, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:527 + 8e6a5a: 745b2a bgeu a5, a4, 8e6a88 <__udivsi3+0x3c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:529 + 8e6a5d: 05440c sub a4, a4, a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:530 + 8e6a60: 004104 ssl a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:531 + 8e6a63: 00331a sll a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:532 + 8e6a66: 220a00 movi a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:536 + 8e6a69: 6d490d loopnez a4, 8e6a7a <__udivsi3+0x2e> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:539 + 8e6a6c: 736304 bltu a6, a3, 8e6a74 <__udivsi3+0x28> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:540 + 8e6a6f: 03660c sub a6, a6, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:541 + 8e6a72: b122 addi.n a2, a2, 1 + +008e6a74 <__udivsi3+0x28>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:543 + 8e6a74: 0f2211 slli a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:544 + 8e6a77: 031314 srli a3, a3, 1 + +008e6a7a <__udivsi3+0x2e>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:551 + 8e6a7a: 736302 bltu a6, a3, 8e6a80 <__udivsi3+0x34> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:552 + 8e6a7d: 222c01 addi a2, a2, 1 + +008e6a80 <__udivsi3+0x34>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:554 + 8e6a80: d10f retw.n + +008e6a82 <__udivsi3+0x36>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:557 + 8e6a82: c83b beqz.n a3, 8e6a91 <__udivsi3+0x45> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:558 + 8e6a84: d10f retw.n + +008e6a86 <__udivsi3+0x3a>: + ... + +008e6a88 <__udivsi3+0x3c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:562 + 8e6a88: 73630c bltu a6, a3, 8e6a98 <__udivsi3+0x4c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:563 + 8e6a8b: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:564 + 8e6a8d: d10f retw.n + +008e6a8f <__udivsi3+0x43>: + ... + +008e6a91 <__udivsi3+0x45>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:570 + 8e6a91: 000000 ill + +008e6a94 <__udivsi3+0x48>: + 8e6a94: 44495630 DIV0 + +008e6a98 <__udivsi3+0x4c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:574 + 8e6a98: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:576 + 8e6a9a: d10f retw.n + +008e6a9c <__umodsi3>: +__umodsi3(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:673 + 8e6a9c: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:677 + 8e6a9f: 6e3229 bltui a3, 2, 8e6acc <__umodsi3+0x30> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:679 + 8e6aa2: 052f04 nsau a5, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:680 + 8e6aa5: 043f04 nsau a4, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:681 + 8e6aa8: 745b16 bgeu a5, a4, 8e6ac2 <__umodsi3+0x26> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:683 + 8e6aab: 05440c sub a4, a4, a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:684 + 8e6aae: 004104 ssl a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:685 + 8e6ab1: 00331a sll a3, a3 + 8e6ab4: d30f nop.n +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:689 + 8e6ab6: 6d4908 loopnez a4, 8e6ac2 <__umodsi3+0x26> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:692 + 8e6ab9: 732302 bltu a2, a3, 8e6abf <__umodsi3+0x23> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:693 + 8e6abc: 03220c sub a2, a2, a3 + +008e6abf <__umodsi3+0x23>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:695 + 8e6abf: 031314 srli a3, a3, 1 + +008e6ac2 <__umodsi3+0x26>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:703 + 8e6ac2: 732302 bltu a2, a3, 8e6ac8 <__umodsi3+0x2c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:704 + 8e6ac5: 03220c sub a2, a2, a3 + +008e6ac8 <__umodsi3+0x2c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:706 + 8e6ac8: d10f retw.n + +008e6aca <__umodsi3+0x2e>: + ... + +008e6acc <__umodsi3+0x30>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:709 + 8e6acc: cc35 bnez.n a3, 8e6ad5 <__umodsi3+0x39> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:714 + 8e6ace: 000000 ill + +008e6ad1 <__umodsi3+0x35>: + 8e6ad1: 44495630 DIV0 + +008e6ad5 <__umodsi3+0x39>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:718 + 8e6ad5: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:720 + 8e6ad7: d10f retw.n + +008e6ad9 <__umodsi3+0x3d>: + 8e6ad9: 000000 ... + +008e6adc : +memcmp(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:57 + 8e6adc: 6c1004 entry a1, 32 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:81 + 8e6adf: 6e441b bltui a4, 4, 8e6afe + 8e6ae2: 023502 or a5, a3, a2 + 8e6ae5: 050541 extui a5, a5, 0, 2 + 8e6ae8: cd52 bnez.n a5, 8e6afe +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:86 + 8e6aea: 042814 srli a8, a4, 2 + 8e6aed: 6d890d loopnez a8, 8e6afe +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:89 + 8e6af0: 8a30 l32i.n a10, a3, 0 + 8e6af2: 8920 l32i.n a9, a2, 0 + 8e6af4: 7a9906 bne a9, a10, 8e6afe +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:93 + 8e6af7: b422 addi.n a2, a2, 4 + 8e6af9: b433 addi.n a3, a3, 4 + 8e6afb: 244cfc addi a4, a4, -4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:102 + 8e6afe: d640 mov.n a6, a4 + 8e6b00: b044 addi.n a4, a4, -1 + 8e6b02: 684011 beqi a4, -1, 8e6b17 + 8e6b05: 6d690e loopnez a6, 8e6b17 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:104 + 8e6b08: 273000 l8ui a7, a3, 0 + 8e6b0b: 282000 l8ui a8, a2, 0 + 8e6b0e: b122 addi.n a2, a2, 1 + 8e6b10: b133 addi.n a3, a3, 1 + 8e6b12: 778906 bne a8, a7, 8e6b1c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:102 + 8e6b15: b044 addi.n a4, a4, -1 + +008e6b17 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:110 + 8e6b17: c020 movi.n a2, 0 + 8e6b19: d10f retw.n + +008e6b1b : + ... + +008e6b1c : + 8e6b1c: 07820c sub a2, a8, a7 + 8e6b1f: d10f retw.n + +008e6b21 : + 8e6b21: 000000 ... + +008e6b24 <__memcpy_aux>: + ... + +008e6b25 <__memcpy_aux+0x1>: +__memcpy_aux(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:71 + 8e6b25: 6d4909 loopnez a4, 8e6b32 <__memcpy_aux+0xe> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:76 + 8e6b28: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:77 + 8e6b2b: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:78 + 8e6b2d: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:79 + 8e6b30: b155 addi.n a5, a5, 1 + +008e6b32 <__memcpy_aux+0xe>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:83 + 8e6b32: d10f retw.n + +008e6b34 <__memcpy_aux+0x10>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:92 + 8e6b34: 6e47ed bltui a4, 7, 8e6b25 <__memcpy_aux+0x1> + +008e6b37 <__memcpy_aux+0x13>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:95 + 8e6b37: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:96 + 8e6b3a: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:97 + 8e6b3c: 244cff addi a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:98 + 8e6b3f: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:99 + 8e6b42: 255c01 addi a5, a5, 1 + +008e6b45 <__memcpy_aux+0x21>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:102 + 8e6b45: 7e5727 bbci a5, 30, 8e6b70 + +008e6b48 <__memcpy_aux+0x24>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:107 + 8e6b48: 6e46d9 bltui a4, 6, 8e6b25 <__memcpy_aux+0x1> + +008e6b4b <__memcpy_aux+0x27>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:110 + 8e6b4b: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:111 + 8e6b4e: 273001 l8ui a7, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:112 + 8e6b51: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:113 + 8e6b53: 244cfe addi a4, a4, -2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:114 + 8e6b56: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:115 + 8e6b59: 275401 s8i a7, a5, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:116 + 8e6b5c: b255 addi.n a5, a5, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:119 + 8e6b5e: 60000e j 8e6b70 + +008e6b61 <__memcpy_aux+0x3d>: + 8e6b61: 000000 ... + +008e6b64 : +memcpy(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:126 + 8e6b64: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:129 + 8e6b67: 022502 or a5, a2, a2 + +008e6b6a : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:130 + 8e6b6a: 7f2fc6 bbsi a2, 31, 8e6b34 <__memcpy_aux+0x10> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:131 + 8e6b6d: 7e2fd7 bbsi a2, 30, 8e6b48 <__memcpy_aux+0x24> + +008e6b70 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:135 + 8e6b70: 044714 srli a7, a4, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:138 + 8e6b73: 280a03 movi a8, 3 + +008e6b76 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:145 + 8e6b76: 78385a bany a3, a8, 8e6bd4 + +008e6b79 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:150 + 8e6b79: 6d7915 loopnez a7, 8e6b92 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:156 + 8e6b7c: 8630 l32i.n a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:157 + 8e6b7e: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:158 + 8e6b80: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:159 + 8e6b82: 8632 l32i.n a6, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:160 + 8e6b84: 9751 s32i.n a7, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:161 + 8e6b86: 8733 l32i.n a7, a3, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:162 + 8e6b88: 9652 s32i.n a6, a5, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:163 + 8e6b8a: 233c10 addi a3, a3, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:164 + 8e6b8d: 9753 s32i.n a7, a5, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:165 + 8e6b8f: 255c10 addi a5, a5, 16 + +008e6b92 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:171 + 8e6b92: 7c470b bbci a4, 28, 8e6ba1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:174 + 8e6b95: 8630 l32i.n a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:175 + 8e6b97: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:176 + 8e6b99: b833 addi.n a3, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:177 + 8e6b9b: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:178 + 8e6b9d: 9751 s32i.n a7, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:179 + 8e6b9f: b855 addi.n a5, a5, 8 + +008e6ba1 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:181 + 8e6ba1: 7d4f07 bbsi a4, 29, 8e6bac +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:182 + 8e6ba4: 7e4f14 bbsi a4, 30, 8e6bbc +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:183 + 8e6ba7: 7f4f21 bbsi a4, 31, 8e6bcc +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:184 + 8e6baa: d10f retw.n + +008e6bac : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:195 + 8e6bac: 8630 l32i.n a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:196 + 8e6bae: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:197 + 8e6bb0: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:198 + 8e6bb2: b455 addi.n a5, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:199 + 8e6bb4: 7e4f04 bbsi a4, 30, 8e6bbc +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:200 + 8e6bb7: 7f4f11 bbsi a4, 31, 8e6bcc +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:201 + 8e6bba: d10f retw.n + +008e6bbc : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:204 + 8e6bbc: 263100 l16ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:205 + 8e6bbf: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:206 + 8e6bc1: 265500 s16i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:207 + 8e6bc4: b255 addi.n a5, a5, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:208 + 8e6bc6: 7f4f02 bbsi a4, 31, 8e6bcc +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:209 + 8e6bc9: d10f retw.n + +008e6bcb : + ... + +008e6bcc : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:212 + 8e6bcc: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:213 + 8e6bcf: 265400 s8i a6, a5, 0 + +008e6bd2 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:216 + 8e6bd2: d10f retw.n + +008e6bd4 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:224 + 8e6bd4: 644ffa beqz a4, 8e6bd2 + +008e6bd7 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:228 + 8e6bd7: 003304 ssa8b a3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:230 + 8e6bda: 083b01 and a11, a3, a8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:231 + 8e6bdd: 0b330c sub a3, a3, a11 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:233 + 8e6be0: 8630 l32i.n a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:235 + 8e6be2: 6d7921 loopnez a7, 8e6c07 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:241 + 8e6be5: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:242 + 8e6be7: 8832 l32i.n a8, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:243 + 8e6be9: 076618 src a6, a6, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:244 + 8e6bec: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:245 + 8e6bee: 8933 l32i.n a9, a3, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:246 + 8e6bf0: 087718 src a7, a7, a8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:247 + 8e6bf3: 9751 s32i.n a7, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:248 + 8e6bf5: 8634 l32i.n a6, a3, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:249 + 8e6bf7: 098818 src a8, a8, a9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:250 + 8e6bfa: 9852 s32i.n a8, a5, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:251 + 8e6bfc: 233c10 addi a3, a3, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:252 + 8e6bff: 069918 src a9, a9, a6 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:253 + 8e6c02: 9953 s32i.n a9, a5, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:254 + 8e6c04: 255c10 addi a5, a5, 16 + +008e6c07 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:259 + 8e6c07: 7c4715 bbci a4, 28, 8e6c20 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:262 + 8e6c0a: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:263 + 8e6c0c: 8832 l32i.n a8, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:264 + 8e6c0e: 076618 src a6, a6, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:265 + 8e6c11: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:266 + 8e6c13: b833 addi.n a3, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:267 + 8e6c15: 087718 src a7, a7, a8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:268 + 8e6c18: 9751 s32i.n a7, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:269 + 8e6c1a: 255c08 addi a5, a5, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:270 + 8e6c1d: 088602 or a6, a8, a8 + +008e6c20 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:272 + 8e6c20: 7d470c bbci a4, 29, 8e6c30 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:275 + 8e6c23: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:276 + 8e6c25: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:277 + 8e6c27: 076618 src a6, a6, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:278 + 8e6c2a: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:279 + 8e6c2c: b455 addi.n a5, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:280 + 8e6c2e: d670 mov.n a6, a7 + +008e6c30 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:283 + 8e6c30: ab33 add.n a3, a3, a11 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:285 + 8e6c32: 7e4f06 bbsi a4, 30, 8e6c3c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:286 + 8e6c35: 7f4f18 bbsi a4, 31, 8e6c51 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:287 + 8e6c38: d10f retw.n + +008e6c3a : + ... + +008e6c3c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:290 + 8e6c3c: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:291 + 8e6c3f: 273001 l8ui a7, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:292 + 8e6c42: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:293 + 8e6c44: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:294 + 8e6c47: 275401 s8i a7, a5, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:295 + 8e6c4a: b255 addi.n a5, a5, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:296 + 8e6c4c: 7f4f01 bbsi a4, 31, 8e6c51 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:297 + 8e6c4f: d10f retw.n + +008e6c51 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:300 + 8e6c51: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:301 + 8e6c54: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:302 + 8e6c57: d10f retw.n + +008e6c59 : + 8e6c59: 000000 ... + +008e6c5c : +memmove(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:62 + 8e6c5c: 6c1004 entry a1, 32 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:93 + 8e6c5f: d820 mov.n a8, a2 + 8e6c61: d940 mov.n a9, a4 + 8e6c63: da40 mov.n a10, a4 + 8e6c65: d730 mov.n a7, a3 + 8e6c67: d320 mov.n a3, a2 + 8e6c69: 727b1b bgeu a7, a2, 8e6c88 + 8e6c6c: a742 add.n a2, a4, a7 + 8e6c6e: 723b16 bgeu a3, a2, 8e6c88 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:97 + 8e6c71: a843 add.n a3, a4, a8 + 8e6c73: 6440a3 beqz a4, 8e6d1a + 8e6c76: 6d4909 loopnez a4, 8e6c83 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:100 + 8e6c79: b022 addi.n a2, a2, -1 + 8e6c7b: b033 addi.n a3, a3, -1 + 8e6c7d: 242000 l8ui a4, a2, 0 + 8e6c80: 243400 s8i a4, a3, 0 + +008e6c83 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:141 + 8e6c83: d280 mov.n a2, a8 + 8e6c85: d10f retw.n + +008e6c87 : + ... + +008e6c88 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:108 + 8e6c88: 6e9b08 bltui a9, 16, 8e6c94 + 8e6c8b: 087b02 or a11, a7, a8 + 8e6c8e: 0b0b41 extui a11, a11, 0, 2 + 8e6c91: 64b089 beqz a11, 8e6d1e + +008e6c94 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:135 + 8e6c94: b0ac addi.n a12, a10, -1 + 8e6c96: 69c002 bnei a12, -1, 8e6c9c + 8e6c99: 60007d j 8e6d1a + 8e6c9c: 0a4212 srai a2, a10, 4 + 8e6c9f: 0a0d43 extui a13, a10, 0, 4 + 8e6ca2: 6dda09 loopgtz a13, 8e6caf +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:137 + 8e6ca5: 2e7000 l8ui a14, a7, 0 + 8e6ca8: 2e3400 s8i a14, a3, 0 + 8e6cab: b177 addi.n a7, a7, 1 + 8e6cad: b133 addi.n a3, a3, 1 + +008e6caf : + 8e6caf: d430 mov.n a4, a3 + 8e6cb1: 6d2a65 loopgtz a2, 8e6d1a + 8e6cb4: 227000 l8ui a2, a7, 0 + 8e6cb7: 224400 s8i a2, a4, 0 + 8e6cba: 227001 l8ui a2, a7, 1 + 8e6cbd: 224401 s8i a2, a4, 1 + 8e6cc0: 227002 l8ui a2, a7, 2 + 8e6cc3: 224402 s8i a2, a4, 2 + 8e6cc6: 227003 l8ui a2, a7, 3 + 8e6cc9: 224403 s8i a2, a4, 3 + 8e6ccc: 227004 l8ui a2, a7, 4 + 8e6ccf: 224404 s8i a2, a4, 4 + 8e6cd2: 227005 l8ui a2, a7, 5 + 8e6cd5: 224405 s8i a2, a4, 5 + 8e6cd8: 227006 l8ui a2, a7, 6 + 8e6cdb: 224406 s8i a2, a4, 6 + 8e6cde: 227007 l8ui a2, a7, 7 + 8e6ce1: 224407 s8i a2, a4, 7 + 8e6ce4: 227008 l8ui a2, a7, 8 + 8e6ce7: 224408 s8i a2, a4, 8 + 8e6cea: 227009 l8ui a2, a7, 9 + 8e6ced: 224409 s8i a2, a4, 9 + 8e6cf0: 22700a l8ui a2, a7, 10 + 8e6cf3: 22440a s8i a2, a4, 10 + 8e6cf6: 22700b l8ui a2, a7, 11 + 8e6cf9: 22440b s8i a2, a4, 11 + 8e6cfc: 22700c l8ui a2, a7, 12 + 8e6cff: 22440c s8i a2, a4, 12 + 8e6d02: 22700d l8ui a2, a7, 13 + 8e6d05: 22440d s8i a2, a4, 13 + 8e6d08: 22700e l8ui a2, a7, 14 + 8e6d0b: 22440e s8i a2, a4, 14 + 8e6d0e: 22700f l8ui a2, a7, 15 + 8e6d11: 22440f s8i a2, a4, 15 + 8e6d14: 277c10 addi a7, a7, 16 + 8e6d17: 244c10 addi a4, a4, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:141 + 8e6d1a: d280 mov.n a2, a8 + 8e6d1c: d10f retw.n + +008e6d1e : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:114 + 8e6d1e: d380 mov.n a3, a8 + 8e6d20: 6f9b02 bgeui a9, 16, 8e6d26 + 8e6d23: 600082 j 8e6da9 + 8e6d26: c0a1 movi.n a10, 1 + 8e6d28: 269c0f addi a6, a9, 15 + 8e6d2b: 09963b movgez a6, a9, a9 + 8e6d2e: 064612 srai a6, a6, 4 + 8e6d31: 0a6635 max a6, a6, a10 + 8e6d34: 060f41 extui a15, a6, 0, 2 + 8e6d37: 066402 or a4, a6, a6 + 8e6d3a: 042212 srai a2, a4, 2 + 8e6d3d: 6dfa15 loopgtz a15, 8e6d56 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:119 + 8e6d40: 8d70 l32i.n a13, a7, 0 + 8e6d42: 9d30 s32i.n a13, a3, 0 + 8e6d44: 8c71 l32i.n a12, a7, 4 + 8e6d46: 9c31 s32i.n a12, a3, 4 + 8e6d48: 8b72 l32i.n a11, a7, 8 + 8e6d4a: 9b32 s32i.n a11, a3, 8 + 8e6d4c: 8a73 l32i.n a10, a7, 12 + 8e6d4e: 9a33 s32i.n a10, a3, 12 + 8e6d50: 277c10 addi a7, a7, 16 + 8e6d53: 233c10 addi a3, a3, 16 + +008e6d56 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:114 + 8e6d56: d430 mov.n a4, a3 + 8e6d58: 0c6a11 slli a10, a6, 4 + 8e6d5b: 0a9a0c sub a10, a9, a10 + 8e6d5e: 6d2a45 loopgtz a2, 8e6da7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:119 + 8e6d61: 8270 l32i.n a2, a7, 0 + 8e6d63: 9240 s32i.n a2, a4, 0 + 8e6d65: 8271 l32i.n a2, a7, 4 + 8e6d67: 9241 s32i.n a2, a4, 4 + 8e6d69: 8272 l32i.n a2, a7, 8 + 8e6d6b: 9242 s32i.n a2, a4, 8 + 8e6d6d: 8273 l32i.n a2, a7, 12 + 8e6d6f: 9243 s32i.n a2, a4, 12 + 8e6d71: 8274 l32i.n a2, a7, 16 + 8e6d73: 9244 s32i.n a2, a4, 16 + 8e6d75: 8275 l32i.n a2, a7, 20 + 8e6d77: 9245 s32i.n a2, a4, 20 + 8e6d79: 8276 l32i.n a2, a7, 24 + 8e6d7b: 9246 s32i.n a2, a4, 24 + 8e6d7d: 8277 l32i.n a2, a7, 28 + 8e6d7f: 9247 s32i.n a2, a4, 28 + 8e6d81: 8278 l32i.n a2, a7, 32 + 8e6d83: 9248 s32i.n a2, a4, 32 + 8e6d85: 8279 l32i.n a2, a7, 36 + 8e6d87: 9249 s32i.n a2, a4, 36 + 8e6d89: 827a l32i.n a2, a7, 40 + 8e6d8b: 924a s32i.n a2, a4, 40 + 8e6d8d: 827b l32i.n a2, a7, 44 + 8e6d8f: 924b s32i.n a2, a4, 44 + 8e6d91: 827c l32i.n a2, a7, 48 + 8e6d93: 924c s32i.n a2, a4, 48 + 8e6d95: 827d l32i.n a2, a7, 52 + 8e6d97: 924d s32i.n a2, a4, 52 + 8e6d99: 827e l32i.n a2, a7, 56 + 8e6d9b: 924e s32i.n a2, a4, 56 + 8e6d9d: 827f l32i.n a2, a7, 60 + 8e6d9f: 924f s32i.n a2, a4, 60 + 8e6da1: 277c40 addi a7, a7, 64 + 8e6da4: 244c40 addi a4, a4, 64 + +008e6da7 : + 8e6da7: d340 mov.n a3, a4 + +008e6da9 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:124 + 8e6da9: d6a0 mov.n a6, a10 + 8e6dab: 6fa402 bgeui a10, 4, 8e6db1 + 8e6dae: 63fee2 j 8e6c94 + 8e6db1: 0a2b43 extui a11, a10, 2, 4 + 8e6db4: 0a2914 srli a9, a10, 2 + 8e6db7: d490 mov.n a4, a9 + 8e6db9: 6db907 loopnez a11, 8e6dc4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:126 + 8e6dbc: 8c70 l32i.n a12, a7, 0 + 8e6dbe: 9c30 s32i.n a12, a3, 0 + 8e6dc0: b477 addi.n a7, a7, 4 + 8e6dc2: b433 addi.n a3, a3, 4 + +008e6dc4 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:124 + 8e6dc4: 0e9a11 slli a10, a9, 2 + 8e6dc7: 044214 srli a2, a4, 4 + 8e6dca: 033402 or a4, a3, a3 + 8e6dcd: 6d2945 loopnez a2, 8e6e16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:126 + 8e6dd0: 8270 l32i.n a2, a7, 0 + 8e6dd2: 9240 s32i.n a2, a4, 0 + 8e6dd4: 8271 l32i.n a2, a7, 4 + 8e6dd6: 9241 s32i.n a2, a4, 4 + 8e6dd8: 8272 l32i.n a2, a7, 8 + 8e6dda: 9242 s32i.n a2, a4, 8 + 8e6ddc: 8273 l32i.n a2, a7, 12 + 8e6dde: 9243 s32i.n a2, a4, 12 + 8e6de0: 8274 l32i.n a2, a7, 16 + 8e6de2: 9244 s32i.n a2, a4, 16 + 8e6de4: 8275 l32i.n a2, a7, 20 + 8e6de6: 9245 s32i.n a2, a4, 20 + 8e6de8: 8276 l32i.n a2, a7, 24 + 8e6dea: 9246 s32i.n a2, a4, 24 + 8e6dec: 8277 l32i.n a2, a7, 28 + 8e6dee: 9247 s32i.n a2, a4, 28 + 8e6df0: 8278 l32i.n a2, a7, 32 + 8e6df2: 9248 s32i.n a2, a4, 32 + 8e6df4: 8279 l32i.n a2, a7, 36 + 8e6df6: 9249 s32i.n a2, a4, 36 + 8e6df8: 827a l32i.n a2, a7, 40 + 8e6dfa: 924a s32i.n a2, a4, 40 + 8e6dfc: 827b l32i.n a2, a7, 44 + 8e6dfe: 924b s32i.n a2, a4, 44 + 8e6e00: 827c l32i.n a2, a7, 48 + 8e6e02: 924c s32i.n a2, a4, 48 + 8e6e04: 827d l32i.n a2, a7, 52 + 8e6e06: 924d s32i.n a2, a4, 52 + 8e6e08: 827e l32i.n a2, a7, 56 + 8e6e0a: 924e s32i.n a2, a4, 56 + 8e6e0c: 827f l32i.n a2, a7, 60 + 8e6e0e: 924f s32i.n a2, a4, 60 + 8e6e10: 277c40 addi a7, a7, 64 + 8e6e13: 244c40 addi a4, a4, 64 + +008e6e16 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:124 + 8e6e16: 0a6a0c sub a10, a6, a10 + 8e6e19: d340 mov.n a3, a4 + 8e6e1b: 63fe75 j 8e6c94 + +008e6e1e : + ... + +008e6e20 <__memset_aux>: + ... + +008e6e21 <__memset_aux+0x1>: +__memset_aux(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:59 + 8e6e21: 6d4904 loopnez a4, 8e6e29 <__memset_aux+0x9> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:64 + 8e6e24: 235400 s8i a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:65 + 8e6e27: b155 addi.n a5, a5, 1 + +008e6e29 <__memset_aux+0x9>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:69 + 8e6e29: d10f retw.n + +008e6e2b <__memset_aux+0xb>: + ... + +008e6e2c <__memset_aux+0xc>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:79 + 8e6e2c: 6e48f1 bltui a4, 8, 8e6e21 <__memset_aux+0x1> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:82 + 8e6e2f: 235400 s8i a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:83 + 8e6e32: b155 addi.n a5, a5, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:84 + 8e6e34: b044 addi.n a4, a4, -1 + +008e6e36 <__memset_aux+0x16>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:87 + 8e6e36: 7e5728 bbci a5, 30, 8e6e62 + +008e6e39 <__memset_aux+0x19>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:92 + 8e6e39: 6e48e4 bltui a4, 8, 8e6e21 <__memset_aux+0x1> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:95 + 8e6e3c: 235500 s16i a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:96 + 8e6e3f: b255 addi.n a5, a5, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:97 + 8e6e41: 244cfe addi a4, a4, -2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:100 + 8e6e44: 60001a j 8e6e62 + +008e6e47 <__memset_aux+0x27>: + ... + +008e6e48 : +memset(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:107 + 8e6e48: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:111 + 8e6e4b: 030347 extui a3, a3, 0, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:112 + 8e6e4e: 083711 slli a7, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:113 + 8e6e51: 073302 or a3, a3, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:114 + 8e6e54: 003711 slli a7, a3, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:115 + 8e6e57: 073302 or a3, a3, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:117 + 8e6e5a: d520 mov.n a5, a2 + +008e6e5c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:120 + 8e6e5c: 7f2fcc bbsi a2, 31, 8e6e2c <__memset_aux+0xc> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:121 + 8e6e5f: 7e2fd6 bbsi a2, 30, 8e6e39 <__memset_aux+0x19> + +008e6e62 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:125 + 8e6e62: 044714 srli a7, a4, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:129 + 8e6e65: 6d790a loopnez a7, 8e6e73 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:136 + 8e6e68: 9350 s32i.n a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:137 + 8e6e6a: 9351 s32i.n a3, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:138 + 8e6e6c: 9352 s32i.n a3, a5, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:139 + 8e6e6e: 9353 s32i.n a3, a5, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:140 + 8e6e70: 255c10 addi a5, a5, 16 + +008e6e73 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:146 + 8e6e73: 7c4706 bbci a4, 28, 8e6e7d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:149 + 8e6e76: 9350 s32i.n a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:150 + 8e6e78: 9351 s32i.n a3, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:151 + 8e6e7a: 255c08 addi a5, a5, 8 + +008e6e7d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:153 + 8e6e7d: 7d4703 bbci a4, 29, 8e6e84 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:156 + 8e6e80: 9350 s32i.n a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:157 + 8e6e82: b455 addi.n a5, a5, 4 + +008e6e84 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:159 + 8e6e84: 7e4704 bbci a4, 30, 8e6e8c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:162 + 8e6e87: 235500 s16i a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:163 + 8e6e8a: b255 addi.n a5, a5, 2 + +008e6e8c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:165 + 8e6e8c: 7f4702 bbci a4, 31, 8e6e92 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:168 + 8e6e8f: 235400 s8i a3, a5, 0 + +008e6e92 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:169 + 8e6e92: d10f retw.n +Disassembly of section .debug_aranges: + +00000000 <.debug_aranges>: + 0: 0000001c 00020000 00000400 00000000 ................ + 10: 008e1000 0000002a 00000000 00000000 .......*........ + 20: 0000001c 00020000 00790400 00000000 .........y...... + 30: 008e0c20 00000006 00000000 00000000 ... ............ + 40: 0000001c 00020000 00f50400 00000000 ................ + 50: 008e0f20 00000006 00000000 00000000 ... ............ + 60: 0000001c 00020000 01720400 00000000 .........r...... + 70: 008e0d40 00000006 00000000 00000000 ...@............ + 80: 0000001c 00020000 01ef0400 00000000 ................ + 90: 008e0000 000000de 00000000 00000000 ................ + a0: 0000001c 00020000 026b0400 00000000 .........k...... + b0: 008e0e20 00000017 00000000 00000000 ... ............ + c0: 0000001c 00020000 02e60400 00000000 ................ + d0: 008e0ce4 00000003 00000000 00000000 ................ + e0: 0000001c 00020000 03600400 00000000 .........`...... + f0: 008e0800 0000016a 00000000 00000000 .......j........ + 100: 0000001c 00020000 03de0400 00000000 ................ + 110: 008e0a20 00000006 00000000 00000000 ... ............ + 120: 0000001c 00020000 04580400 00000000 .........X...... + 130: 008e0b20 00000006 00000000 00000000 ... ............ + 140: 0000001c 00020000 04d20400 00000000 ................ + 150: 008e102c 000000d7 00000000 00000000 ...,............ + 160: 0000001c 00020000 05540400 00000000 .........T...... + 170: 008e1104 0000006f 00000000 00000000 .......o........ + 180: 0000001c 00020000 05d70400 00000000 ................ + 190: 008e1174 0000006e 00000000 00000000 ...t...n........ + 1a0: 0000001c 00020000 065c0400 00000000 .........\...... + 1b0: 008e11e4 0000005c 00000000 00000000 .......\........ + 1c0: 0000001c 00020000 0a0e0400 00000000 ................ + 1d0: 008e1240 000000b1 00000000 00000000 ...@............ + 1e0: 0000001c 00020000 0a930400 00000000 ................ + 1f0: 008e12f4 000000ae 00000000 00000000 ................ + 200: 0000001c 00020000 0b180400 00000000 ................ + 210: 008e6920 00000008 00000000 00000000 ..i ............ + 220: 0000001c 00020000 0b970400 00000000 ................ + 230: 008e6928 0000000d 00000000 00000000 ..i(............ + 240: 0000001c 00020000 0c140400 00000000 ................ + 250: 008e6938 00000018 00000000 00000000 ..i8............ + 260: 0000001c 00020000 0c8e0400 00000000 ................ + 270: 008e13a4 0000088e 00000000 00000000 ................ + 280: 0000001c 00020000 600a0400 00000000 ........`....... + 290: 008e1c34 00000078 00000000 00000000 ...4...x........ + 2a0: 0000001c 00020000 a8820400 00000000 ................ + 2b0: 008e1cac 000000f2 00000000 00000000 ................ + 2c0: 0000001c 00020000 f3df0400 00000000 ................ + 2d0: 008e1da0 000003e5 00000000 00000000 ................ + 2e0: 0000001c 00020001 3de70400 00000000 ........=....... + 2f0: 008e2188 0000018e 00000000 00000000 ..!............. + 300: 0000001c 00020001 88d00400 00000000 ................ + 310: 008e2318 00000026 00000000 00000000 ..#....&........ + 320: 0000001c 00020001 d01d0400 00000000 ................ + 330: 008e2340 00000175 00000000 00000000 ..#@...u........ + 340: 0000001c 00020002 1bba0400 00000000 ................ + 350: 008e24b8 00000513 00000000 00000000 ..$............. + 360: 0000001c 00020002 66210400 00000000 ........f!...... + 370: 008e29cc 00000155 00000000 00000000 ..)....U........ + 380: 0000001c 00020002 afb60400 00000000 ................ + 390: 008e2b24 0000002b 00000000 00000000 ..+$...+........ + 3a0: 0000001c 00020002 f6e20400 00000000 ................ + 3b0: 008e2b50 000000b2 00000000 00000000 ..+P............ + 3c0: 0000001c 00020003 3f930400 00000000 ........?....... + 3d0: 008e2c04 000000de 00000000 00000000 ..,............. + 3e0: 0000001c 00020003 89060400 00000000 ................ + 3f0: 008e2ce4 00000114 00000000 00000000 ..,............. + 400: 0000001c 00020003 d2490400 00000000 .........I...... + 410: 008e2df8 0000019b 00000000 00000000 ..-............. + 420: 0000001c 00020004 1bf60400 00000000 ................ + 430: 008e2f94 0000041a 00000000 00000000 ../............. + 440: 0000001c 00020004 69e20400 00000000 ........i....... + 450: 008e33b0 000004d4 00000000 00000000 ..3............. + 460: 0000001c 00020004 b9550400 00000000 .........U...... + 470: 008e3884 00001071 00000000 00000000 ..8....q........ + 480: 0000001c 00020005 10430400 00000000 .........C...... + 490: 008e48f8 000003b3 00000000 00000000 ..H............. + 4a0: 0000001c 00020005 59d90400 00000000 ........Y....... + 4b0: 008e4cac 00000243 00000000 00000000 ..L....C........ + 4c0: 0000001c 00020005 a7030400 00000000 ................ + 4d0: 008e4ef0 00000084 00000000 00000000 ..N............. + 4e0: 0000001c 00020005 eee00400 00000000 ................ + 4f0: 008e4f74 000000b5 00000000 00000000 ..Ot............ + 500: 0000001c 00020006 37230400 00000000 ........7#...... + 510: 008e502c 000008ef 00000000 00000000 ..P,............ + 520: 0000001c 00020006 8fc30400 00000000 ................ + 530: 008e591c 000003d3 00000000 00000000 ..Y............. + 540: 0000001c 00020006 dbf20400 00000000 ................ + 550: 008e5cf0 0000016f 00000000 00000000 ..\....o........ + 560: 0000001c 00020007 2c9a0400 00000000 ........,....... + 570: 008e5e60 00000404 00000000 00000000 ..^`............ + 580: 0000001c 00020007 7ac00400 00000000 ........z....... + 590: 008e6264 000000e3 00000000 00000000 ..bd............ + 5a0: 0000001c 00020007 c4af0400 00000000 ................ + 5b0: 008e6348 00000061 00000000 00000000 ..cH...a........ + 5c0: 0000001c 00020007 c79e0400 00000000 ................ + 5d0: 008e6950 00000005 00000000 00000000 ..iP............ + 5e0: 0000001c 00020007 c8170400 00000000 ................ + 5f0: 008e63ac 00000079 00000000 00000000 ..c....y........ + 600: 0000001c 00020007 c89c0400 00000000 ................ + 610: 008e6958 00000026 00000000 00000000 ..iX...&........ + 620: 0000001c 00020007 d5850400 00000000 ................ + 630: 008e6428 00000137 00000000 00000000 ..d(...7........ + 640: 0000001c 00020007 d6580400 00000000 .........X...... + 650: 008e6980 00000008 00000000 00000000 ..i............. + 660: 0000001c 00020007 d7230400 00000000 .........#...... + 670: 008e6988 00000008 00000000 00000000 ..i............. + 680: 0000001c 00020007 d7ee0400 00000000 ................ + 690: 008e6990 00000008 00000000 00000000 ..i............. + 6a0: 0000001c 00020007 dae20400 00000000 ................ + 6b0: 008e6998 00000068 00000000 00000000 ..i....h........ + 6c0: 0000001c 00020007 dbd60400 00000000 ................ + 6d0: 008e6a00 00000049 00000000 00000000 ..j....I........ + 6e0: 0000001c 00020007 dcca0400 00000000 ................ + 6f0: 008e6a4c 00000050 00000000 00000000 ..jL...P........ + 700: 0000001c 00020007 ddbe0400 00000000 ................ + 710: 008e6a9c 0000003d 00000000 00000000 ..j....=........ + 720: 0000001c 00020007 deb20400 00000000 ................ + 730: 008e6adc 00000045 00000000 00000000 ..j....E........ + 740: 0000001c 00020007 e9f20400 00000000 ................ + 750: 008e6b24 00000135 00000000 00000000 ..k$...5........ + 760: 0000001c 00020007 eaff0400 00000000 ................ + 770: 008e6c5c 000001c2 00000000 00000000 ..l\............ + 780: 0000001c 00020007 f6800400 00000000 ................ + 790: 008e6e20 00000074 00000000 00000000 ..n ...t........ + 7a0: 0000001c 00020007 f78d0400 00000000 ................ + 7b0: 008e6560 00000118 00000000 00000000 ..e`............ + 7c0: 0000001c 00020007 f89a0400 00000000 ................ + 7d0: 008e6678 00000098 00000000 00000000 ..fx............ + 7e0: 0000001c 00020007 f9a70400 00000000 ................ + 7f0: 008e6710 00000063 00000000 00000000 ..g....c........ + 800: 0000001c 00020007 fab40400 00000000 ................ + 810: 008e6774 0000008e 00000000 00000000 ..gt............ + 820: 0000001c 00020008 05dd0400 00000000 ................ + 830: 008e6804 0000011b 00000000 00000000 ..h............. +Disassembly of section .debug_pubnames: + +00000000 <.debug_pubnames>: + 0: 00000052 00020000 065c0000 00000000 ...R.....\...... + 10: 02f15f78 746f735f 7365745f 696e7465 .._xtos_set_inte + 20: 72727570 745f6861 6e646c65 725f6172 rrupt_handler_ar + 30: 67000000 03655f78 746f735f 7365745f g....e_xtos_set_ + 40: 696e7465 72727570 745f6861 6e646c65 interrupt_handle + 50: 72000000 00000000 01c50002 00000c8e r............... + 60: 00000000 000049bc 5f726f6d 5f73746f ......I._rom_sto + 70: 72655f74 61626c65 0000004a ca626173 re_table...J.bas + 80: 69635f52 4f4d5f6d 6f64756c 655f7461 ic_ROM_module_ta + 90: 626c6500 00004d32 706f7374 0000004d ble...M2post...M + a0: 704d6167 7069655f 66617461 6c5f6578 pMagpie_fatal_ex + b0: 63657074 696f6e5f 68616e64 6c657200 ception_handler. + c0: 00004e1a 6174686f 735f626c 6f636b5f ..N.athos_block_ + d0: 616c6c5f 696e7472 6c766c00 00004e58 all_intrlvl...NX + e0: 6174686f 735f756e 626c6f63 6b5f616c athos_unblock_al + f0: 6c5f696e 74726c76 6c000000 4e946174 l_intrlvl...N.at + 100: 686f735f 72657374 6f72655f 696e7472 hos_restore_intr + 110: 6c766c00 00004fd8 67656e65 7269635f lvl...O.generic_ + 120: 6869665f 6d6f6475 6c655f69 6e737461 hif_module_insta + 130: 6c6c0000 00502861 74686f73 5f696e64 ll...P(athos_ind + 140: 69726563 74696f6e 5f746162 6c655f69 irection_table_i + 150: 6e737461 6c6c0000 00507261 74686f73 nstall...Prathos + 160: 5f696e74 65727275 70745f68 616e646c _interrupt_handl + 170: 65720000 0050cd61 74686f73 5f696e74 er...P.athos_int + 180: 65727275 70745f69 6e697400 00005103 errupt_init...Q. + 190: 6174686f 735f696e 69740000 0051365f athos_init...Q6_ + 1a0: 72656164 5f757362 5f646573 63000000 read_usb_desc... + 1b0: 51ab7265 61645f75 73625f63 6f6e6600 Q.read_usb_conf. + 1c0: 000051e5 7365745f 7063695f 636f6e66 ..Q.set_pci_conf + 1d0: 00000052 4e626f6f 746c6f61 64000000 ...RNbootload... + 1e0: 526b7063 695f676d 61635f62 6f6f746c Rkpci_gmac_bootl + 1f0: 6f616400 00005291 7475726e 5f6f6666 oad...R.turn_off + 200: 5f726300 000052b1 626f6f74 656e7472 _rc...R.bootentr + 210: 79000000 535b6d61 696e0000 00000000 y...S[main...... + 220: 0000ab00 02000060 0a000000 00000046 .......`.......F + 230: c3616c6c 6f637261 6d5f6375 7272656e .allocram_curren + 240: 745f6164 64720000 0046e561 6c6c6f63 t_addr...F.alloc + 250: 72616d5f 72656d61 696e696e 675f6279 ram_remaining_by + 260: 74657300 0000474f 636d6e6f 735f616c tes...GOcmnos_al + 270: 6c6f6372 616d5f69 6e697400 000047b3 locram_init...G. + 280: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 290: 00480d63 6d6e6f73 5f616c6c 6f637261 .H.cmnos_allocra + 2a0: 6d5f6465 62756700 00004836 636d6e6f m_debug...H6cmno + 2b0: 735f616c 6c6f6372 616d5f6d 6f64756c s_allocram_modul + 2c0: 655f696e 7374616c 6c000000 00000000 e_install....... + 2d0: 01990002 0000a882 00000000 000046ba ..............F. + 2e0: 63746963 6b730000 0046cd63 7572725f cticks...F.curr_ + 2f0: 62616e64 00000048 17636d6e 6f735f63 band...H.cmnos_c + 300: 6c6f636b 696e675f 7461626c 65000000 locking_table... + 310: 483f636c 6f636b5f 696e666f 00000048 H?clock_info...H + 320: 56636d6e 6f735f73 6b69705f 706c6c5f Vcmnos_skip_pll_ + 330: 696e6974 00000048 76706c6c 5f637472 init...Hvpll_ctr + 340: 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. + 350: 00004899 706c6c5f 6374726c 5f736574 ..H.pll_ctrl_set + 360: 74696e67 5f356768 7a000000 48d0636d ting_5ghz...H.cm + 370: 6e6f735f 64656c61 795f7573 00000049 nos_delay_us...I + 380: 30636d6e 6f735f6d 696c6c69 7365636f 0cmnos_milliseco + 390: 6e647300 0000495b 636d6e6f 735f7265 nds...I[cmnos_re + 3a0: 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 3b0: 00498a63 6d6e6f73 5f756172 745f6672 .I.cmnos_uart_fr + 3c0: 65717565 6e637900 000049b7 636d6e6f equency...I.cmno + 3d0: 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. + 3e0: 000049df 636d6e6f 735f636c 6f636b72 ..I.cmnos_clockr + 3f0: 6567735f 696e6974 0000004a 08636d6e egs_init...J.cmn + 400: 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set + 410: 0000004a 49636d6e 6f735f70 6c6c5f69 ...JIcmnos_pll_i + 420: 6e697400 00004a6c 636d6e6f 735f636c nit...Jlcmnos_cl + 430: 6f636b5f 696e6974 0000004a c1636d6e ock_init...J.cmn + 440: 6f735f74 69636b00 00004b1c 636d6e6f os_tick...K.cmno + 450: 735f636c 6f636b5f 6d6f6475 6c655f69 s_clock_module_i + 460: 6e737461 6c6c0000 00000000 0000d100 nstall.......... + 470: 020000f3 df000000 00000046 d0656570 ...........F.eep + 480: 5f737461 74650000 0046e665 65705f65 _state...F.eep_e + 490: 78697374 00000047 48636d6e 6f735f65 xist...GHcmnos_e + 4a0: 6570726f 6d5f7772 6974655f 68776f72 eprom_write_hwor + 4b0: 64000000 479c636d 6e6f735f 65657072 d...G.cmnos_eepr + 4c0: 6f6d5f72 6561645f 68776f72 64000000 om_read_hword... + 4d0: 47fe636d 6e6f735f 6565705f 69735f65 G.cmnos_eep_is_e + 4e0: 78697374 00000048 39636d6e 6f735f65 xist...H9cmnos_e + 4f0: 65705f77 72697465 00000048 dc636d6e ep_write...H.cmn + 500: 6f735f65 65705f72 65616400 00004977 os_eep_read...Iw + 510: 636d6e6f 735f6565 705f696e 69740000 cmnos_eep_init.. + 520: 0049c963 6d6e6f73 5f656570 5f6d6f64 .I.cmnos_eep_mod + 530: 756c655f 696e7374 616c6c00 00000000 ule_install..... + 540: 000000ed 00020001 3de70000 00000000 ........=....... + 550: 487a636d 6e6f735f 6973725f 696e666f Hzcmnos_isr_info + 560: 00000048 95636d6e 6f735f65 6e61626c ...H.cmnos_enabl + 570: 65645f69 6e746572 72757074 73000000 ed_interrupts... + 580: 48d4636d 6e6f735f 696e7472 5f64756d H.cmnos_intr_dum + 590: 6d790000 00491163 6d6e6f73 5f696e74 my...I.cmnos_int + 5a0: 725f696e 69740000 00494163 6d6e6f73 r_init...IAcmnos + 5b0: 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. + 5c0: 000049a1 636d6e6f 735f696e 74725f75 ..I.cmnos_intr_u + 5d0: 6e6d6173 6b5f696e 756d0000 004a0563 nmask_inum...J.c + 5e0: 6d6e6f73 5f696e74 725f6174 74616368 mnos_intr_attach + 5f0: 5f697372 0000004a 6a636d6e 6f735f69 _isr...Jjcmnos_i + 600: 6e74725f 696e766f 6b655f69 73720000 ntr_invoke_isr.. + 610: 004aab63 6d6e6f73 5f696e74 725f6d6f .J.cmnos_intr_mo + 620: 64756c65 5f696e73 74616c6c 00000000 dule_install.... + 630: 00000000 3e000200 0188d000 00000000 ....>........... + 640: 0046ed63 6d6e6f73 5f6d656d 5f696e69 .F.cmnos_mem_ini + 650: 74000000 4710636d 6e6f735f 6d656d5f t...G.cmnos_mem_ + 660: 6d6f6475 6c655f69 6e737461 6c6c0000 module_install.. + 670: 00000000 00013600 020001d0 1d000000 ......6......... + 680: 00000048 56617373 6c6f6f70 00000048 ...HVassloop...H + 690: 6a617373 7072696e 74000000 48936375 jassprint...H.cu + 6a0: 7272656e 745f6475 6d700000 00493663 rrent_dump...I6c + 6b0: 6d6e6f73 5f737973 74656d5f 72657365 mnos_system_rese + 6c0: 74000000 495d636d 6e6f735f 6d61635f t...I]cmnos_mac_ + 6d0: 72657365 74000000 4981636d 6e6f735f reset...I.cmnos_ + 6e0: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 6f0: 68616e64 6c657200 000049c6 636d6e6f handler...I.cmno + 700: 735f6173 73666169 6c000000 4a11636d s_assfail...J.cm + 710: 6e6f735f 7265706f 72745f66 61696c75 nos_report_failu + 720: 72655f74 6f5f686f 73740000 004a6263 re_to_host...Jbc + 730: 6d6e6f73 5f746172 6765745f 69645f67 mnos_target_id_g + 740: 65740000 004a8e63 6d6e6f73 5f676574 et...J.cmnos_get + 750: 5f6b6268 69740000 004ae963 6d6e6f73 _kbhit...J.cmnos + 760: 5f69735f 686f7374 5f707265 73656e74 _is_host_present + 770: 0000004b 31636d6e 6f735f72 6f6d5f76 ...K1cmnos_rom_v + 780: 65727369 6f6e5f67 65740000 004b5f63 ersion_get...K_c + 790: 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module + 7a0: 5f696e73 74616c6c 00000000 00000000 _install........ + 7b0: 74000200 021bba00 00000000 0046c65f t............F._ + 7c0: 70757463 00000047 78636d6e 6f735f77 putc...Gxcmnos_w + 7d0: 72697465 5f636861 72000000 49b3636d rite_char...I.cm + 7e0: 6e6f735f 7072696e 74660000 0049fe63 nos_printf...I.c + 7f0: 6d6e6f73 5f707269 6e74665f 696e6974 mnos_printf_init + 800: 0000004a 25636d6e 6f735f70 72696e74 ...J%cmnos_print + 810: 665f6d6f 64756c65 5f696e73 74616c6c f_module_install + ... + 828: c8000200 02662100 00000000 0046c370 .....f!......F.p + 838: 61746368 5f737461 72740000 0046db70 atch_start...F.p + 848: 61746368 5f616464 72000000 476c5f70 atch_addr...Gl_p + 858: 61746368 5f64756d 70000000 47a45f72 atch_dump...G._r + 868: 6561645f 726f6d5f 70617463 68000000 ead_rom_patch... + 878: 4806636d 6e6f735f 726f6d70 5f646563 H.cmnos_romp_dec + 888: 6f646500 00004889 636d6e6f 735f726f ode...H.cmnos_ro + 898: 6d705f69 6e737461 6c6c0000 0048b463 mp_install...H.c + 8a8: 6d6e6f73 5f726f6d 705f646f 776e6c6f mnos_romp_downlo + 8b8: 61640000 00493363 6d6e6f73 5f726f6d ad...I3cmnos_rom + 8c8: 705f696e 69740000 00495763 6d6e6f73 p_init...IWcmnos + 8d8: 5f726f6d 705f6d6f 64756c65 5f696e73 _romp_module_ins + 8e8: 74616c6c 00000000 00000000 44000200 tall........D... + 8f8: 02afb600 00000000 0046c663 6d6e6f73 .........F.cmnos + 908: 5f737472 696e675f 696e6974 00000046 _string_init...F + 918: ec636d6e 6f735f73 7472696e 675f6d6f .cmnos_string_mo + 928: 64756c65 5f696e73 74616c6c 00000000 dule_install.... + 938: 00000000 ad000200 02f6e200 00000000 ................ + 948: 00472663 6d6e6f73 5f746173 6b6c6574 .G&cmnos_tasklet + 958: 5f696e69 74000000 474d636d 6e6f735f _init...GMcmnos_ + 968: 7461736b 6c65745f 696e6974 5f746173 tasklet_init_tas + 978: 6b000000 47a8636d 6e6f735f 7461736b k...G.cmnos_task + 988: 6c65745f 73636865 64756c65 00000047 let_schedule...G + 998: e9636d6e 6f735f74 61736b6c 65745f64 .cmnos_tasklet_d + 9a8: 69736162 6c650000 00483c63 6d6e6f73 isable...H_ + cc8: 5f706369 5f676574 5f72785f 656e6700 _pci_get_rx_eng. + cd8: 0000487a 5f5f7063 695f656e 61626c65 ..Hz__pci_enable + ce8: 00000048 ac5f5f70 63695f72 65736574 ...H.__pci_reset + cf8: 00000048 e05f5f70 63695f62 6f6f745f ...H.__pci_boot_ + d08: 696e6974 00000049 045f5f70 63695f69 init...I.__pci_i + d18: 6e697400 0000493d 5f5f7063 695f6366 nit...I=__pci_cf + d28: 675f7069 70650000 0049a95f 5f706369 g_pipe...I.__pci + d38: 5f737461 72740000 0049dd5f 5f706369 _start...I.__pci + d48: 5f726567 5f63616c 6c626163 6b000000 _reg_callback... + d58: 4a2d5f5f 7063695f 72656170 5f786d69 J-__pci_reap_xmi + d68: 74746564 0000004a 8c5f5f70 63695f72 tted...J.__pci_r + d78: 6561705f 72656376 0000004a de5f5f70 eap_recv...J.__p + d88: 63695f69 73725f68 616e646c 65720000 ci_isr_handler.. + d98: 004b2a5f 5f706369 5f786d69 745f6275 .K*__pci_xmit_bu + da8: 66000000 4b8c5f5f 7063695f 72657475 f...K.__pci_retu + db8: 726e5f72 65637600 00004bec 5f5f7063 rn_recv...K.__pc + dc8: 695f6973 5f706970 655f7375 70706f72 i_is_pipe_suppor + dd8: 74656400 00004c3f 5f5f7063 695f6765 ted...L?__pci_ge + de8: 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... + df8: 4c905f5f 7063695f 6765745f 72657365 L.__pci_get_rese + e08: 72766564 5f686561 64726f6f 6d000000 rved_headroom... + e18: 4cd85f5f 7063695f 73687574 646f776e L.__pci_shutdown + e28: 0000004d 0f5f5f70 63695f67 65745f64 ...M.__pci_get_d + e38: 65665f70 69706500 00004d71 6869665f ef_pipe...Mqhif_ + e48: 7063695f 6d6f6475 6c655f69 6e737461 pci_module_insta + e58: 6c6c0000 004db168 69665f70 63695f61 ll...M.hif_pci_a + e68: 70695f69 6e737461 6c6c0000 00000000 pi_install...... + e78: 0001ad00 02000469 e2000000 00000047 .......i.......G + e88: 6e675f68 69665553 42437478 00000049 ng_hifUSBCtx...I + e98: 7d5f4849 46757362 5f696e69 74000000 }_HIFusb_init... + ea8: 49c85f48 49467573 625f7368 7574646f I._HIFusb_shutdo + eb8: 776e0000 004a025f 48494675 73625f72 wn...J._HIFusb_r + ec8: 65676973 7465725f 63616c6c 6261636b egister_callback + ed8: 0000004a 565f4849 46757362 5f737461 ...JV_HIFusb_sta + ee8: 72740000 004b4c5f 48494675 73625f63 rt...KL_HIFusb_c + ef8: 6f6e6669 675f7069 70650000 004bd35f onfig_pipe...K._ + f08: 48494675 73625f73 656e645f 62756666 HIFusb_send_buff + f18: 65720000 004c345f 48494675 73625f72 er...L4_HIFusb_r + f28: 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + f38: 004c9b5f 48494675 73625f73 65745f72 .L._HIFusb_set_r + f48: 6563765f 62756673 7a000000 4cfc5f48 ecv_bufsz...L._H + f58: 49467573 625f7061 7573655f 72656376 IFusb_pause_recv + f68: 0000004d 495f4849 46757362 5f726573 ...MI_HIFusb_res + f78: 756d655f 72656376 0000004d 975f4849 ume_recv...M._HI + f88: 46757362 5f69735f 70697065 5f737570 Fusb_is_pipe_sup + f98: 706f7274 65640000 004def5f 48494675 ported...M._HIFu + fa8: 73625f67 65745f6d 61785f6d 73675f6c sb_get_max_msg_l + fb8: 656e0000 004e455f 48494675 73625f69 en...NE_HIFusb_i + fc8: 73725f68 616e646c 65720000 004e955f sr_handler...N._ + fd8: 48494675 73625f67 65745f64 65666175 HIFusb_get_defau + fe8: 6c745f70 69706500 00004f07 6869665f lt_pipe...O.hif_ + ff8: 7573625f 6d6f6475 6c655f69 6e737461 usb_module_insta + 1008: 6c6c0000 004f4748 49467573 625f4465 ll...OGHIFusb_De + 1018: 73635472 61636544 756d7000 00000000 scTraceDump..... + 1028: 0000020e 00020004 b9550000 00000000 .........U...... + 1038: 473c436f 6e74726f 6c436d64 00000047 G: + 0: 00000075 00020000 00000401 00000000 ...u............ + 10: 008e1000 008e102a 63727431 2d74696e .......*crt1-tin + 20: 792e5300 2f666f6c 6b732f72 6873752f y.S./folks/rhsu/ + 30: 70726f6a 6563742f 7034762f 70657266 project/p4v/perf + 40: 6f726365 2f70305f 636f7265 2f70726f orce/p0_core/pro + 50: 6a656374 2f737263 2f726f6d 2f6f732f ject/src/rom/os/ + 60: 7372632f 78746f73 00474e55 20415320 src/xtos.GNU AS + 70: 322e3136 2e310080 01000000 78000200 2.16.1......x... + 80: 00001404 01000000 87008e0c 20008e0c ............ ... + 90: 26646562 75672d76 6563746f 722e5300 &debug-vector.S. + a0: 2f666f6c 6b732f72 6873752f 70726f6a /folks/rhsu/proj + b0: 6563742f 7034762f 70657266 6f726365 ect/p4v/perforce + c0: 2f70305f 636f7265 2f70726f 6a656374 /p0_core/project + d0: 2f737263 2f726f6d 2f6f732f 7372632f /src/rom/os/src/ + e0: 78746f73 00474e55 20415320 322e3136 xtos.GNU AS 2.16 + f0: 2e310080 01000000 79000200 00002804 .1......y.....(. + 100: 01000000 c9008e0f 20008e0f 26646f75 ........ ...&dou + 110: 626c652d 76656374 6f722e53 002f666f ble-vector.S./fo + 120: 6c6b732f 72687375 2f70726f 6a656374 lks/rhsu/project + 130: 2f703476 2f706572 666f7263 652f7030 /p4v/perforce/p0 + 140: 5f636f72 652f7072 6f6a6563 742f7372 _core/project/sr + 150: 632f726f 6d2f6f73 2f737263 2f78746f c/rom/os/src/xto + 160: 7300474e 55204153 20322e31 362e3100 s.GNU AS 2.16.1. + 170: 80010000 00790002 0000003c 04010000 .....y.....<.... + 180: 010c008e 0d40008e 0d466b65 726e656c .....@...Fkernel + 190: 2d766563 746f722e 53002f66 6f6c6b73 -vector.S./folks + 1a0: 2f726873 752f7072 6f6a6563 742f7034 /rhsu/project/p4 + 1b0: 762f7065 72666f72 63652f70 305f636f v/perforce/p0_co + 1c0: 72652f70 726f6a65 63742f73 72632f72 re/project/src/r + 1d0: 6f6d2f6f 732f7372 632f7874 6f730047 om/os/src/xtos.G + 1e0: 4e552041 5320322e 31362e31 00800100 NU AS 2.16.1.... + 1f0: 00007800 02000000 50040100 00014f00 ..x.....P.....O. + 200: 8e000000 8e00de72 65736574 2d766563 .......reset-vec + 210: 746f722e 53002f66 6f6c6b73 2f726873 tor.S./folks/rhs + 220: 752f7072 6f6a6563 742f7034 762f7065 u/project/p4v/pe + 230: 72666f72 63652f70 305f636f 72652f70 rforce/p0_core/p + 240: 726f6a65 63742f73 72632f72 6f6d2f6f roject/src/rom/o + 250: 732f7372 632f7874 6f730047 4e552041 s/src/xtos.GNU A + 260: 5320322e 31362e31 00800100 00007700 S 2.16.1......w. + 270: 02000000 64040100 0002f900 8e0e2000 ....d......... . + 280: 8e0e3775 7365722d 76656374 6f722e53 ..7user-vector.S + 290: 002f666f 6c6b732f 72687375 2f70726f ./folks/rhsu/pro + 2a0: 6a656374 2f703476 2f706572 666f7263 ject/p4v/perforc + 2b0: 652f7030 5f636f72 652f7072 6f6a6563 e/p0_core/projec + 2c0: 742f7372 632f726f 6d2f6f73 2f737263 t/src/rom/os/src + 2d0: 2f78746f 7300474e 55204153 20322e31 /xtos.GNU AS 2.1 + 2e0: 362e3100 80010000 00760002 00000078 6.1......v.....x + 2f0: 04010000 0364008e 0ce4008e 0ce76e6d .....d........nm + 300: 692d7665 63746f72 2e53002f 666f6c6b i-vector.S./folk + 310: 732f7268 73752f70 726f6a65 63742f70 s/rhsu/project/p + 320: 34762f70 6572666f 7263652f 70305f63 4v/perforce/p0_c + 330: 6f72652f 70726f6a 6563742f 7372632f ore/project/src/ + 340: 726f6d2f 6f732f73 72632f78 746f7300 rom/os/src/xtos. + 350: 474e5520 41532032 2e31362e 31008001 GNU AS 2.16.1... + 360: 0000007a 00020000 008c0401 0000039e ...z............ + 370: 008e0800 008e096a 77696e64 6f772d76 .......jwindow-v + 380: 6563746f 72732e53 002f666f 6c6b732f ectors.S./folks/ + 390: 72687375 2f70726f 6a656374 2f703476 rhsu/project/p4v + 3a0: 2f706572 666f7263 652f7030 5f636f72 /perforce/p0_cor + 3b0: 652f7072 6f6a6563 742f7372 632f726f e/project/src/ro + 3c0: 6d2f6f73 2f737263 2f78746f 7300474e m/os/src/xtos.GN + 3d0: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 3e0: 00760002 000000a0 04010000 0533008e .v...........3.. + 3f0: 0a20008e 0a26696e 742d7665 63746f72 . ...&int-vector + 400: 2e53002f 666f6c6b 732f7268 73752f70 .S./folks/rhsu/p + 410: 726f6a65 63742f70 34762f70 6572666f roject/p4v/perfo + 420: 7263652f 70305f63 6f72652f 70726f6a rce/p0_core/proj + 430: 6563742f 7372632f 726f6d2f 6f732f73 ect/src/rom/os/s + 440: 72632f78 746f7300 474e5520 41532032 rc/xtos.GNU AS 2 + 450: 2e31362e 31008001 00000076 00020000 .16.1......v.... + 460: 00b40401 00000573 008e0b20 008e0b26 .......s... ...& + 470: 696e742d 76656374 6f722e53 002f666f int-vector.S./fo + 480: 6c6b732f 72687375 2f70726f 6a656374 lks/rhsu/project + 490: 2f703476 2f706572 666f7263 652f7030 /p4v/perforce/p0 + 4a0: 5f636f72 652f7072 6f6a6563 742f7372 _core/project/sr + 4b0: 632f726f 6d2f6f73 2f737263 2f78746f c/rom/os/src/xto + 4c0: 7300474e 55204153 20322e31 362e3100 s.GNU AS 2.16.1. + 4d0: 80010000 007e0002 000000c8 04010000 .....~.......... + 4e0: 05b3008e 102c008e 11036578 632d616c .....,....exc-al + 4f0: 6c6f6361 2d68616e 646c6572 2e53002f loca-handler.S./ + 500: 666f6c6b 732f7268 73752f70 726f6a65 folks/rhsu/proje + 510: 63742f70 34762f70 6572666f 7263652f ct/p4v/perforce/ + 520: 70305f63 6f72652f 70726f6a 6563742f p0_core/project/ + 530: 7372632f 726f6d2f 6f732f73 72632f78 src/rom/os/src/x + 540: 746f7300 474e5520 41532032 2e31362e tos.GNU AS 2.16. + 550: 31008001 0000007f 00020000 00dc0401 1............... + 560: 0000079a 008e1104 008e1173 6578632d ...........sexc- + 570: 73797363 616c6c2d 68616e64 6c65722e syscall-handler. + 580: 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr + 590: 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor + 5a0: 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje + 5b0: 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr + 5c0: 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. + 5d0: 31362e31 00800100 00008100 02000000 16.1............ + 5e0: f0040100 0008d400 8e117400 8e11e269 ..........t....i + 5f0: 6e742d6c 6f777072 692d6469 73706174 nt-lowpri-dispat + 600: 63686572 2e53002f 666f6c6b 732f7268 cher.S./folks/rh + 610: 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p + 620: 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ + 630: 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ + 640: 6f732f73 72632f78 746f7300 474e5520 os/src/xtos.GNU + 650: 41532032 2e31362e 31008001 000003ae AS 2.16.1....... + 660: 00020000 01040401 696e742d 73657468 ........int-seth + 670: 616e646c 65722e63 002f666f 6c6b732f andler.c./folks/ + 680: 72687375 2f70726f 6a656374 2f703476 rhsu/project/p4v + 690: 2f706572 666f7263 652f7030 5f636f72 /perforce/p0_cor + 6a0: 652f7072 6f6a6563 742f7372 632f726f e/project/src/ro + 6b0: 6d2f6f73 2f737263 2f78746f 73007874 m/os/src/xtos.xt + 6c0: 2d786363 20666f72 20372e31 2e30202d -xcc for 7.1.0 - + 6d0: 4f50543a 616c6967 6e5f696e 73747275 OPT:align_instru + 6e0: 6374696f 6e733d33 32202d4f 32202d67 ctions=32 -O2 -g + 6f0: 33000100 000009d8 026c6f6e 6720696e 3........long in + 700: 74000504 03000000 9c100000 00b50403 t............... + 710: 00052800 00011406 70630000 00009c02 ..(.....pc...... + 720: 23000670 73000000 009c0223 04066172 #..ps......#..ar + 730: 65670000 0000a802 23080673 61720000 eg......#..sar.. + 740: 00009c02 2318066c 636f756e 74000000 ....#..lcount... + 750: 009c0223 1c066c62 65670000 00009c02 ...#..lbeg...... + 760: 2320066c 656e6400 0000009c 02232400 # .lend......#$. + 770: 05300000 01b00670 63000000 009c0223 .0.....pc......# + 780: 00067073 00000000 9c022304 06736172 ..ps......#..sar + 790: 00000000 9c022308 06767072 69000000 ......#..vpri... + 7a0: 009c0223 0c066132 00000000 9c022310 ...#..a2......#. + 7b0: 06613300 0000009c 02231406 61340000 .a3......#..a4.. + 7c0: 00009c02 23180661 35000000 009c0223 ....#..a5......# + 7d0: 1c066578 63636175 73650000 00009c02 ..exccause...... + 7e0: 2320066c 636f756e 74000000 009c0223 # .lcount......# + 7f0: 24066c62 65670000 00009c02 2328066c $.lbeg......#(.l + 800: 656e6400 0000009c 02232c00 0701085f end......#,...._ + 810: 78746f73 5f68616e 646c6572 5f66756e xtos_handler_fun + 820: 63000000 01b00900 0001b204 00085f78 c............._x + 830: 746f735f 68616e64 6c657200 000001ca tos_handler..... + 840: 0a04000b 58746f73 496e7448 616e646c ....XtosIntHandl + 850: 6572456e 74727900 08000002 1e066861 erEntry.......ha + 860: 6e646c65 72000000 01d10223 00066172 ndler......#..ar + 870: 67000000 01e40223 04000275 6e736967 g......#...unsig + 880: 6e656420 696e7400 07040b58 746f7349 ned int....XtosI + 890: 6e744d61 736b456e 74727900 08000002 ntMaskEntry..... + 8a0: 6b067670 72695f6d 61736b00 0000021e k.vpri_mask..... + 8b0: 02230006 6c657665 6c5f6d61 736b0000 .#..level_mask.. + 8c0: 00021e02 23040002 696e7400 05040c00 ....#...int..... + 8d0: 0001d101 02756e73 69676e65 64206368 .....unsigned ch + 8e0: 61720007 010d0000 02780d00 00027803 ar.......x....x. + 8f0: 0000028e 20000002 a0041f00 0d000002 .... ........... + 900: 930d0000 02780300 0002a520 000002b7 .....x..... .... + 910: 041f0008 58746f73 496e7448 616e646c ....XtosIntHandl + 920: 6572456e 74727900 000001e7 09000002 erEntry......... + 930: b7040003 000002b7 98000002 e4041200 ................ + 940: 09000001 b004000c 000001d1 010e011f ................ + 950: 5f78746f 735f7365 745f696e 74657272 _xtos_set_interr + 960: 7570745f 68616e64 6c65725f 61726700 upt_handler_arg. + 970: 000001d1 01010392 01200290 00008e11 ......... ...... + 980: e4008e12 30000003 650f011f 6e000000 ....0...e...n... + 990: 026b0152 0f011f66 00000001 d101530f .k.R...f......S. + 9a0: 011f6172 67000000 01e40154 10656e74 ..arg......T.ent + 9b0: 72790000 0002d010 6f6c6400 000001d1 ry......old..... + 9c0: 00110139 5f78746f 735f7365 745f696e ...9_xtos_set_in + 9d0: 74657272 7570745f 68616e64 6c657200 terrupt_handler. + 9e0: 000001d1 01010392 01200290 00008e12 ......... ...... + 9f0: 30008e12 400f0139 6e000000 026b0152 0...@..9n....k.R + a00: 0f013966 00000001 d1015300 00000000 ..9f......S..... + a10: 00810002 000001d2 04010000 0cb0008e ................ + a20: 1240008e 12f1696e 742d6d65 64707269 .@....int-medpri + a30: 2d646973 70617463 6865722e 53002f66 -dispatcher.S./f + a40: 6f6c6b73 2f726873 752f7072 6f6a6563 olks/rhsu/projec + a50: 742f7034 762f7065 72666f72 63652f70 t/p4v/perforce/p + a60: 305f636f 72652f70 726f6a65 63742f73 0_core/project/s + a70: 72632f72 6f6d2f6f 732f7372 632f7874 rc/rom/os/src/xt + a80: 6f730047 4e552041 5320322e 31362e31 os.GNU AS 2.16.1 + a90: 00800100 00008100 02000001 e6040100 ................ + aa0: 000e2100 8e12f400 8e13a269 6e742d6d ..!........int-m + ab0: 65647072 692d6469 73706174 63686572 edpri-dispatcher + ac0: 2e53002f 666f6c6b 732f7268 73752f70 .S./folks/rhsu/p + ad0: 726f6a65 63742f70 34762f70 6572666f roject/p4v/perfo + ae0: 7263652f 70305f63 6f72652f 70726f6a rce/p0_core/proj + af0: 6563742f 7372632f 726f6d2f 6f732f73 ect/src/rom/os/s + b00: 72632f78 746f7300 474e5520 41532032 rc/xtos.GNU AS 2 + b10: 2e31362e 31008001 0000007b 00020000 .16.1......{.... + b20: 01fa0401 00000f8c 008e6920 008e6928 ..........i ..i( + b30: 696e7465 72727570 742d7461 626c652e interrupt-table. + b40: 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr + b50: 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor + b60: 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje + b70: 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr + b80: 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. + b90: 31362e31 00800100 00007900 02000002 16.1......y..... + ba0: 0e040100 000fd800 8e692800 8e693565 .........i(..i5e + bb0: 78632d75 6e68616e 646c6564 2e53002f xc-unhandled.S./ + bc0: 666f6c6b 732f7268 73752f70 726f6a65 folks/rhsu/proje + bd0: 63742f70 34762f70 6572666f 7263652f ct/p4v/perforce/ + be0: 70305f63 6f72652f 70726f6a 6563742f p0_core/project/ + bf0: 7372632f 726f6d2f 6f732f73 72632f78 src/rom/os/src/x + c00: 746f7300 474e5520 41532032 2e31362e tos.GNU AS 2.16. + c10: 31008001 00000076 00020000 02220401 1......v.....".. + c20: 0000102d 008e6938 008e6950 6578632d ...-..i8..iPexc- + c30: 72657475 726e2e53 002f666f 6c6b732f return.S./folks/ + c40: 72687375 2f70726f 6a656374 2f703476 rhsu/project/p4v + c50: 2f706572 666f7263 652f7030 5f636f72 /perforce/p0_cor + c60: 652f7072 6f6a6563 742f7372 632f726f e/project/src/ro + c70: 6d2f6f73 2f737263 2f78746f 7300474e m/os/src/xtos.GN + c80: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + c90: 53780002 00000236 04012f72 6f6f742f Sx.....6../root/ + ca0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + cb0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + cc0: 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m + cd0: 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. + ce0: 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ + cf0: 315f312f 726f6d2f 6174686f 732f7372 1_1/rom/athos/sr + d00: 632f6174 686f735f 6d61696e 2e63002f c/athos_main.c./ + d10: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + d20: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + d30: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + d40: 6d2f6174 686f7300 78742d78 63632066 m/athos.xt-xcc f + d50: 6f722037 2e312e30 202d4f50 543a616c or 7.1.0 -OPT:al + d60: 69676e5f 696e7374 72756374 696f6e73 ign_instructions + d70: 3d333220 2d4f3220 2d673320 2d4f5054 =32 -O2 -g3 -OPT + d80: 3a737061 63650001 00000010 9d020103 :space.......... + d90: 000000ff 04000469 6e740005 04046368 .......int....ch + da0: 61720007 01050000 010f0500 00010f03 ar.............. + db0: 0000011c 04000600 00010801 03000001 ................ + dc0: 28040007 7072696e 74665f61 70690008 (...printf_api.. + dd0: 0000016c 085f7072 696e7466 5f696e69 ...l._printf_ini + de0: 74000000 01010223 00085f70 72696e74 t......#.._print + df0: 66000000 012e0223 04000473 686f7274 f......#...short + e00: 20756e73 69676e65 6420696e 74000702 unsigned int... + e10: 0975696e 7431365f 74000000 016c046c .uint16_t....l.l + e20: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + e30: 00070409 75696e74 33325f74 00000001 ....uint32_t.... + e40: 90077561 72745f66 69666f00 08000001 ..uart_fifo..... + e50: fe087374 6172745f 696e6465 78000000 ..start_index... + e60: 01820223 0008656e 645f696e 64657800 ...#..end_index. + e70: 00000182 02230208 6f766572 72756e5f .....#..overrun_ + e80: 65727200 000001a5 02230400 07756172 err......#...uar + e90: 745f6170 69002000 0002b708 5f756172 t_api. ....._uar + ea0: 745f696e 69740000 00030e02 2300085f t_init......#.._ + eb0: 75617274 5f636861 725f7075 74000000 uart_char_put... + ec0: 03350223 04085f75 6172745f 63686172 .5.#.._uart_char + ed0: 5f676574 00000003 49022308 085f7561 _get....I.#.._ua + ee0: 72745f73 74725f6f 75740000 00035202 rt_str_out....R. + ef0: 230c085f 75617274 5f746173 6b000000 #.._uart_task... + f00: 01010223 10085f75 6172745f 73746174 ...#.._uart_stat + f10: 75730000 00030e02 2314085f 75617274 us......#.._uart + f20: 5f636f6e 66696700 0000035b 02231808 _config....[.#.. + f30: 5f756172 745f6877 696e6974 00000003 _uart_hwinit.... + f40: 6402231c 00030000 01fe0400 07756172 d.#..........uar + f50: 745f626c 6b001000 00030808 64656275 t_blk.......debu + f60: 675f6d6f 64650000 00018202 23000862 g_mode......#..b + f70: 61756400 00000182 02230208 5f756172 aud......#.._uar + f80: 74000000 02b70223 04085f74 78000000 t......#.._tx... + f90: 01b30223 08000600 0001a501 03000003 ...#............ + fa0: 08040004 756e7369 676e6564 20636861 ....unsigned cha + fb0: 72000701 0975696e 74385f74 00000003 r....uint8_t.... + fc0: 15020103 00000333 04000300 00032604 .......3......&. + fd0: 00060000 01820103 00000343 04000201 ...........C.... + fe0: 03000003 50040002 01030000 03590400 ....P........Y.. + ff0: 02010300 00036204 00030000 010f0400 ......b......... + 1000: 06000001 08010300 00037204 00074442 ..........r...DB + 1010: 5f434f4d 4d414e44 5f535452 55435400 _COMMAND_STRUCT. + 1020: 0c000003 ca08636d 645f7374 72000000 ......cmd_str... + 1030: 036b0223 00086865 6c705f73 74720000 .k.#..help_str.. + 1040: 00036b02 23040863 6d645f66 756e6300 ..k.#..cmd_func. + 1050: 00000378 02230800 07646267 5f617069 ...x.#...dbg_api + 1060: 00080000 03fd085f 6462675f 696e6974 ......._dbg_init + 1070: 00000001 01022300 085f6462 675f7461 ......#.._dbg_ta + 1080: 736b0000 00010102 2304000a 04000475 sk......#......u + 1090: 6e736967 6e656420 696e7400 07040600 nsigned int..... + 10a0: 0003fd01 03000004 1004000b 0b030000 ................ + 10b0: 041e0400 06000003 fd010300 00042604 ..............&. + 10c0: 00060000 01080103 00000433 0400076d ...........3...m + 10d0: 656d5f61 70690014 000004a2 085f6d65 em_api......._me + 10e0: 6d5f696e 69740000 00010102 2300085f m_init......#.._ + 10f0: 6d656d73 65740000 00041602 2304085f memset......#.._ + 1100: 6d656d63 70790000 00042c02 2308085f memcpy....,.#.._ + 1110: 6d656d6d 6f766500 0000042c 02230c08 memmove....,.#.. + 1120: 5f6d656d 636d7000 00000439 02231000 _memcmp....9.#.. + 1130: 07726567 69737465 725f6475 6d705f73 .register_dump_s + 1140: 00900000 05090874 61726765 745f6964 .......target_id + 1150: 00000001 a5022300 08617373 6c696e65 ......#..assline + 1160: 00000001 a5022304 08706300 000001a5 ......#..pc..... + 1170: 02230808 62616476 61646472 00000001 .#..badvaddr.... + 1180: a502230c 08657863 5f667261 6d650000 ..#..exc_frame.. + 1190: 00482502 23100003 000004a2 04000201 .H%.#........... + 11a0: 03000005 10040002 01030000 05190400 ................ + 11b0: 06000001 08010300 00052204 000c686f .........."...ho + 11c0: 73746966 5f730004 0000057e 0d484946 stif_s.....~.HIF + 11d0: 5f555342 00000d48 49465f50 43494500 _USB...HIF_PCIE. + 11e0: 010d4849 465f474d 41430002 0d484946 ..HIF_GMAC...HIF + 11f0: 5f504349 00030d48 49465f4e 554d0004 _PCI...HIF_NUM.. + 1200: 0d484946 5f4e4f4e 45000500 09415f48 .HIF_NONE....A_H + 1210: 4f535449 46000000 052f0600 00057e01 OSTIF..../....~. + 1220: 03000005 8c040006 00000326 01030000 ...........&.... + 1230: 05990400 06000001 82010300 0005a604 ................ + 1240: 00076d69 73635f61 70690024 00000696 ..misc_api.$.... + 1250: 085f7379 7374656d 5f726573 65740000 ._system_reset.. + 1260: 00010102 2300085f 6d61635f 72657365 ....#.._mac_rese + 1270: 74000000 01010223 04085f61 73736661 t......#.._assfa + 1280: 696c0000 00051202 2308085f 6d697361 il......#.._misa + 1290: 6c69676e 65645f6c 6f61645f 68616e64 ligned_load_hand + 12a0: 6c657200 00000512 02230c08 5f726570 ler......#.._rep + 12b0: 6f72745f 6661696c 7572655f 746f5f68 ort_failure_to_h + 12c0: 6f737400 0000051b 02231008 5f746172 ost......#.._tar + 12d0: 6765745f 69645f67 65740000 00052802 get_id_get....(. + 12e0: 2314085f 69735f68 6f73745f 70726573 #.._is_host_pres + 12f0: 656e7400 00000592 02231808 5f6b6268 ent......#.._kbh + 1300: 69740000 00059f02 231c085f 726f6d5f it......#.._rom_ + 1310: 76657273 696f6e5f 67657400 000005ac version_get..... + 1320: 02232000 06000003 6b010300 00069604 .# .....k....... + 1330: 00060000 036b0103 000006a3 04000600 .....k.......... + 1340: 00010801 03000006 b0040006 00000108 ................ + 1350: 01030000 06bd0400 06000001 08010300 ................ + 1360: 0006ca04 00077374 72696e67 5f617069 ......string_api + 1370: 00180000 0750085f 73747269 6e675f69 .....P._string_i + 1380: 6e697400 00000101 02230008 5f737472 nit......#.._str + 1390: 63707900 0000069c 02230408 5f737472 cpy......#.._str + 13a0: 6e637079 00000006 a9022308 085f7374 ncpy......#.._st + 13b0: 726c656e 00000006 b602230c 085f7374 rlen......#.._st + 13c0: 72636d70 00000006 c3022310 085f7374 rcmp......#.._st + 13d0: 726e636d 70000000 06d00223 14000e00 rncmp......#.... + 13e0: 00040014 0000075d 0f040009 5f415f54 .......]...._A_T + 13f0: 494d4552 5f535041 43450000 00075009 IMER_SPACE....P. + 1400: 415f7469 6d65725f 74000000 075d0300 A_timer_t....].. + 1410: 00077104 00020103 00000787 04000201 ..q............. + 1420: 03000007 90040009 415f4841 4e444c45 ........A_HANDLE + 1430: 00000004 00020109 415f5449 4d45525f ........A_TIMER_ + 1440: 46554e43 00000007 a7030000 07a90400 FUNC............ + 1450: 02010300 0007c204 00077469 6d65725f ..........timer_ + 1460: 61706900 14000008 41085f74 696d6572 api.....A._timer + 1470: 5f696e69 74000000 01010223 00085f74 _init......#.._t + 1480: 696d6572 5f61726d 00000007 89022304 imer_arm......#. + 1490: 085f7469 6d65725f 64697361 726d0000 ._timer_disarm.. + 14a0: 00079202 2308085f 74696d65 725f7365 ....#.._timer_se + 14b0: 74666e00 000007c4 02230c08 5f74696d tfn......#.._tim + 14c0: 65725f72 756e0000 00010102 23100009 er_run......#... + 14d0: 424f4f4c 45414e00 00000182 06000008 BOOLEAN......... + 14e0: 41010300 00084e04 00060000 08410103 A.....N......A.. + 14f0: 0000085b 04000600 00084101 03000008 ...[......A..... + 1500: 68040007 726f6d70 5f617069 00100000 h...romp_api.... + 1510: 08da085f 726f6d70 5f696e69 74000000 ..._romp_init... + 1520: 01010223 00085f72 6f6d705f 646f776e ...#.._romp_down + 1530: 6c6f6164 00000008 54022304 085f726f load....T.#.._ro + 1540: 6d705f69 6e737461 6c6c0000 00086102 mp_install....a. + 1550: 2308085f 726f6d70 5f646563 6f646500 #.._romp_decode. + 1560: 0000086e 02230c00 07726f6d 5f706174 ...n.#...rom_pat + 1570: 63685f73 74001000 00093608 63726331 ch_st.....6.crc1 + 1580: 36000000 01820223 00086c65 6e000000 6......#..len... + 1590: 01820223 02086c64 5f616464 72000000 ...#..ld_addr... + 15a0: 01a50223 04086675 6e5f6164 64720000 ...#..fun_addr.. + 15b0: 0001a502 23080870 66756e00 0000033c ....#..pfun....< + 15c0: 02230c00 07656570 5f726564 69725f61 .#...eep_redir_a + 15d0: 64647200 04000009 68086f66 66736574 ddr.....h.offset + 15e0: 00000001 82022300 0873697a 65000000 ......#..size... + 15f0: 01820223 02000941 5f55494e 54333200 ...#...A_UINT32. + 1600: 00000400 06000003 fd010300 00097604 ..............v. + 1610: 0007616c 6c6f6372 616d5f61 7069000c ..allocram_api.. + 1620: 000009e7 08636d6e 6f735f61 6c6c6f63 .....cmnos_alloc + 1630: 72616d5f 696e6974 00000009 7c022300 ram_init....|.#. + 1640: 08636d6e 6f735f61 6c6c6f63 72616d00 .cmnos_allocram. + 1650: 0000097c 02230408 636d6e6f 735f616c ...|.#..cmnos_al + 1660: 6c6f6372 616d5f64 65627567 00000001 locram_debug.... + 1670: 01022308 00020103 000009e7 04000941 ..#............A + 1680: 5f544153 4b4c4554 5f46554e 43000000 _TASKLET_FUNC... + 1690: 09e9075f 7461736b 6c657400 1000000a ..._tasklet..... + 16a0: 48086675 6e630000 0009f002 23000861 H.func......#..a + 16b0: 72670000 0003fd02 23040873 74617465 rg......#..state + 16c0: 00000001 08022308 086e6578 74000000 ......#..next... + 16d0: 0a480223 0c000300 000a0404 00030000 .H.#............ + 16e0: 0a040400 09415f74 61736b6c 65745f74 .....A_tasklet_t + 16f0: 0000000a 04030000 0a560400 02010300 .........V...... + 1700: 000a6e04 00020103 00000a77 04000774 ..n........w...t + 1710: 61736b6c 65745f61 70690014 00000b0c asklet_api...... + 1720: 085f7461 736b6c65 745f696e 69740000 ._tasklet_init.. + 1730: 00010102 2300085f 7461736b 6c65745f ....#.._tasklet_ + 1740: 696e6974 5f746173 6b000000 0a700223 init_task....p.# + 1750: 04085f74 61736b6c 65745f64 69736162 .._tasklet_disab + 1760: 6c650000 000a7902 2308085f 7461736b le....y.#.._task + 1770: 6c65745f 73636865 64756c65 0000000a let_schedule.... + 1780: 7902230c 085f7461 736b6c65 745f7275 y.#.._tasklet_ru + 1790: 6e000000 01010223 10000201 0300000b n......#........ + 17a0: 0c040006 00000968 01030000 0b150400 .......h........ + 17b0: 02010300 000b2204 0007636c 6f636b5f ......"...clock_ + 17c0: 61706900 2400000c 04085f63 6c6f636b api.$....._clock + 17d0: 5f696e69 74000000 0b0e0223 00085f63 _init......#.._c + 17e0: 6c6f636b 72656773 5f696e69 74000000 lockregs_init... + 17f0: 01010223 04085f75 6172745f 66726571 ...#.._uart_freq + 1800: 75656e63 79000000 0b1b0223 08085f64 uency......#.._d + 1810: 656c6179 5f757300 00000b24 02230c08 elay_us....$.#.. + 1820: 5f776c61 6e5f6261 6e645f73 65740000 _wlan_band_set.. + 1830: 000b2402 2310085f 72656663 6c6b5f73 ..$.#.._refclk_s + 1840: 70656564 5f676574 0000000b 1b022314 peed_get......#. + 1850: 085f6d69 6c6c6973 65636f6e 64730000 ._milliseconds.. + 1860: 000b1b02 2318085f 73797363 6c6b5f63 ....#.._sysclk_c + 1870: 68616e67 65000000 01010223 1c085f63 hange......#.._c + 1880: 6c6f636b 5f746963 6b000000 01010223 lock_tick......# + 1890: 20000600 0001a501 0300000c 04040009 ............... + 18a0: 415f6f6c 645f696e 74725f74 00000001 A_old_intr_t.... + 18b0: a5060000 0c110103 00000c23 04000201 ...........#.... + 18c0: 0300000c 30040002 01030000 0c390400 ....0........9.. + 18d0: 06000001 a5010300 000c4204 0009415f ..........B...A_ + 18e0: 6973725f 74000000 0c480201 0300000c isr_t....H...... + 18f0: 5c040006 00000400 01030000 0c650400 \............e.. + 1900: 02010300 000c7204 0007696e 74725f61 ......r...intr_a + 1910: 7069002c 00000d94 085f696e 74725f69 pi.,....._intr_i + 1920: 6e697400 00000101 02230008 5f696e74 nit......#.._int + 1930: 725f696e 766f6b65 5f697372 0000000c r_invoke_isr.... + 1940: 0a022304 085f696e 74725f64 69736162 ..#.._intr_disab + 1950: 6c650000 000c2902 2308085f 696e7472 le....).#.._intr + 1960: 5f726573 746f7265 0000000c 3202230c _restore....2.#. + 1970: 085f696e 74725f6d 61736b5f 696e756d ._intr_mask_inum + 1980: 0000000c 3b022310 085f696e 74725f75 ....;.#.._intr_u + 1990: 6e6d6173 6b5f696e 756d0000 000c3b02 nmask_inum....;. + 19a0: 2314085f 696e7472 5f617474 6163685f #.._intr_attach_ + 19b0: 69737200 00000c5e 02231808 5f676574 isr....^.#.._get + 19c0: 5f696e74 72656e61 626c6500 00000c6b _intrenable....k + 19d0: 02231c08 5f736574 5f696e74 72656e61 .#.._set_intrena + 19e0: 626c6500 00000c74 02232008 5f676574 ble....t.# ._get + 19f0: 5f696e74 7270656e 64696e67 0000000c _intrpending.... + 1a00: 6b022324 085f756e 626c6f63 6b5f616c k.#$._unblock_al + 1a10: 6c5f696e 74726c76 6c000000 01010223 l_intrlvl......# + 1a20: 28001004 00000dba 0874696d 656f7574 (........timeout + 1a30: 00000001 a5022300 08616374 696f6e00 ......#..action. + 1a40: 000001a5 02230000 11080000 0dd50863 .....#.........c + 1a50: 6d640000 0001a502 23001200 000d9402 md......#....... + 1a60: 23040009 545f5744 545f434d 44000000 #...T_WDT_CMD... + 1a70: 0dba0201 0300000d e4040013 0400000e ................ + 1a80: 3a0d454e 554d5f57 44545f42 4f4f5400 :.ENUM_WDT_BOOT. + 1a90: 010d454e 554d5f43 4f4c445f 424f4f54 ..ENUM_COLD_BOOT + 1aa0: 00020d45 4e554d5f 53555350 5f424f4f ...ENUM_SUSP_BOO + 1ab0: 5400030d 454e554d 5f554e4b 4e4f574e T...ENUM_UNKNOWN + 1ac0: 5f424f4f 54000400 09545f42 4f4f545f _BOOT....T_BOOT_ + 1ad0: 54595045 0000000d ed060000 0e3a0103 TYPE.........:.. + 1ae0: 00000e4b 04000777 64745f61 7069001c ...K...wdt_api.. + 1af0: 00000eef 085f7764 745f696e 69740000 ....._wdt_init.. + 1b00: 00010102 2300085f 7764745f 656e6162 ....#.._wdt_enab + 1b10: 6c650000 00010102 2304085f 7764745f le......#.._wdt_ + 1b20: 64697361 626c6500 00000101 02230808 disable......#.. + 1b30: 5f776474 5f736574 0000000d e602230c _wdt_set......#. + 1b40: 085f7764 745f7461 736b0000 00010102 ._wdt_task...... + 1b50: 2310085f 7764745f 72657365 74000000 #.._wdt_reset... + 1b60: 01010223 14085f77 64745f6c 6173745f ...#.._wdt_last_ + 1b70: 626f6f74 0000000e 51022318 00130400 boot....Q.#..... + 1b80: 000f560d 5245545f 53554343 45535300 ..V.RET_SUCCESS. + 1b90: 000d5245 545f4e4f 545f494e 49540001 ..RET_NOT_INIT.. + 1ba0: 0d524554 5f4e4f54 5f455849 53540002 .RET_NOT_EXIST.. + 1bb0: 0d524554 5f454550 5f434f52 52555054 .RET_EEP_CORRUPT + 1bc0: 00030d52 45545f45 45505f4f 56455246 ...RET_EEP_OVERF + 1bd0: 4c4f5700 040d5245 545f554e 4b4e4f57 LOW...RET_UNKNOW + 1be0: 4e000500 09545f45 45505f52 45540000 N....T_EEP_RET.. + 1bf0: 000eef03 00000182 04000600 000f5601 ..............V. + 1c00: 0300000f 6c040006 00000f56 01030000 ....l......V.... + 1c10: 0f790400 07656570 5f617069 00100000 .y...eep_api.... + 1c20: 0fe2085f 6565705f 696e6974 00000001 ..._eep_init.... + 1c30: 01022300 085f6565 705f7265 61640000 ..#.._eep_read.. + 1c40: 000f7202 2304085f 6565705f 77726974 ..r.#.._eep_writ + 1c50: 65000000 0f720223 08085f65 65705f69 e....r.#.._eep_i + 1c60: 735f6578 69737400 00000f7f 02230c00 s_exist......#.. + 1c70: 07757362 5f617069 00700000 128f085f .usb_api.p....._ + 1c80: 7573625f 696e6974 00000001 01022300 usb_init......#. + 1c90: 085f7573 625f726f 6d5f7461 736b0000 ._usb_rom_task.. + 1ca0: 00010102 2304085f 7573625f 66775f74 ....#.._usb_fw_t + 1cb0: 61736b00 00000101 02230808 5f757362 ask......#.._usb + 1cc0: 5f696e69 745f7068 79000000 01010223 _init_phy......# + 1cd0: 0c085f75 73625f65 70305f73 65747570 .._usb_ep0_setup + 1ce0: 00000001 01022310 085f7573 625f6570 ......#.._usb_ep + 1cf0: 305f7478 00000001 01022314 085f7573 0_tx......#.._us + 1d00: 625f6570 305f7278 00000001 01022318 b_ep0_rx......#. + 1d10: 085f7573 625f6765 745f696e 74657266 ._usb_get_interf + 1d20: 61636500 00000861 02231c08 5f757362 ace....a.#.._usb + 1d30: 5f736574 5f696e74 65726661 63650000 _set_interface.. + 1d40: 00086102 2320085f 7573625f 6765745f ..a.# ._usb_get_ + 1d50: 636f6e66 69677572 6174696f 6e000000 configuration... + 1d60: 08610223 24085f75 73625f73 65745f63 .a.#$._usb_set_c + 1d70: 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 1d80: 61022328 085f7573 625f7374 616e6461 a.#(._usb_standa + 1d90: 72645f63 6d640000 00086102 232c085f rd_cmd....a.#,._ + 1da0: 7573625f 76656e64 6f725f63 6d640000 usb_vendor_cmd.. + 1db0: 00010102 2330085f 7573625f 706f7765 ....#0._usb_powe + 1dc0: 725f6f66 66000000 01010223 34085f75 r_off......#4._u + 1dd0: 73625f72 65736574 5f666966 6f000000 sb_reset_fifo... + 1de0: 01010223 38085f75 73625f67 656e5f77 ...#8._usb_gen_w + 1df0: 64740000 00010102 233c085f 7573625f dt......#<._usb_ + 1e00: 6a756d70 5f626f6f 74000000 01010223 jump_boot......# + 1e10: 40085f75 73625f63 6c725f66 65617475 @._usb_clr_featu + 1e20: 72650000 00086102 2344085f 7573625f re....a.#D._usb_ + 1e30: 7365745f 66656174 75726500 00000861 set_feature....a + 1e40: 02234808 5f757362 5f736574 5f616464 .#H._usb_set_add + 1e50: 72657373 00000008 6102234c 085f7573 ress....a.#L._us + 1e60: 625f6765 745f6465 73637269 70746f72 b_get_descriptor + 1e70: 00000008 61022350 085f7573 625f6765 ....a.#P._usb_ge + 1e80: 745f7374 61747573 00000008 61022354 t_status....a.#T + 1e90: 085f7573 625f7365 7475705f 64657363 ._usb_setup_desc + 1ea0: 00000001 01022358 085f7573 625f7265 ......#X._usb_re + 1eb0: 675f6f75 74000000 01010223 5c085f75 g_out......#\._u + 1ec0: 73625f73 74617475 735f696e 00000001 sb_status_in.... + 1ed0: 01022360 085f7573 625f6570 305f7478 ..#`._usb_ep0_tx + 1ee0: 5f646174 61000000 01010223 64085f75 _data......#d._u + 1ef0: 73625f65 70305f72 785f6461 74610000 sb_ep0_rx_data.. + 1f00: 00010102 2368085f 7573625f 636c6b5f ....#h._usb_clk_ + 1f10: 696e6974 00000001 0102236c 00075f56 init......#l.._V + 1f20: 44455343 00240000 131b086e 6578745f DESC.$.....next_ + 1f30: 64657363 00000013 1b022300 08627566 desc......#..buf + 1f40: 5f616464 72000000 132f0223 04086275 _addr..../.#..bu + 1f50: 665f7369 7a650000 00133602 23080864 f_size....6.#..d + 1f60: 6174615f 6f666673 65740000 00133602 ata_offset....6. + 1f70: 230a0864 6174615f 73697a65 00000013 #..data_size.... + 1f80: 3602230c 08636f6e 74726f6c 00000013 6.#..control.... + 1f90: 3602230e 0868775f 64657363 5f627566 6.#..hw_desc_buf + 1fa0: 00000013 44022310 00030000 128f0400 ....D.#......... + 1fb0: 09415f55 494e5438 00000003 15030000 .A_UINT8........ + 1fc0: 13220400 09415f55 494e5431 36000000 ."...A_UINT16... + 1fd0: 016c0e00 00132214 00001351 0f130003 .l...."....Q.... + 1fe0: 0000128f 04000956 44455343 00000012 .......VDESC.... + 1ff0: 8f030000 13580400 06000013 63010300 .....X......c... + 2000: 00136a04 00060000 132f0103 00001377 ..j....../.....w + 2010: 04000201 03000013 84040007 76646573 ............vdes + 2020: 635f6170 69001400 0013fc08 5f696e69 c_api......._ini + 2030: 74000000 0b240223 00085f61 6c6c6f63 t....$.#.._alloc + 2040: 5f766465 73630000 00137002 2304085f _vdesc....p.#.._ + 2050: 6765745f 68775f64 65736300 0000137d get_hw_desc....} + 2060: 02230808 5f737761 705f7664 65736300 .#.._swap_vdesc. + 2070: 00001386 02230c08 70526573 65727665 .....#..pReserve + 2080: 64000000 03fd0223 1000075f 56425546 d......#..._VBUF + 2090: 00200000 145c0864 6573635f 6c697374 . ...\.desc_list + 20a0: 00000013 63022300 086e6578 745f6275 ....c.#..next_bu + 20b0: 66000000 145c0223 04086275 665f6c65 f....\.#..buf_le + 20c0: 6e677468 00000013 36022308 08726573 ngth....6.#..res + 20d0: 65727665 64000000 14630223 0a086374 erved....c.#..ct + 20e0: 78000000 13440223 0c000300 0013fc04 x....D.#........ + 20f0: 000e0000 13220200 0014700f 01000300 ....."....p..... + 2100: 0013fc04 00095642 55460000 0013fc03 ......VBUF...... + 2110: 00001477 04000600 00148101 03000014 ...w............ + 2120: 88040006 00001481 01030000 14950400 ................ + 2130: 02010300 0014a204 00077662 75665f61 ..........vbuf_a + 2140: 70690014 00001520 085f696e 69740000 pi..... ._init.. + 2150: 000b2402 2300085f 616c6c6f 635f7662 ..$.#.._alloc_vb + 2160: 75660000 00148e02 2304085f 616c6c6f uf......#.._allo + 2170: 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 2180: 00000014 9b022308 085f6672 65655f76 ......#.._free_v + 2190: 62756600 000014a4 02230c08 70526573 buf......#..pRes + 21a0: 65727665 64000000 03fd0223 1000075f erved......#..._ + 21b0: 5f616466 5f646576 69636500 04000015 _adf_device..... + 21c0: 42086475 6d6d7900 00000108 02230000 B.dummy......#.. + 21d0: 03000009 68040007 5f5f6164 665f646d ....h...__adf_dm + 21e0: 615f6d61 70000c00 00158908 62756600 a_map.......buf. + 21f0: 00001481 02230008 64735f61 64647200 .....#..ds_addr. + 2200: 00001542 02230408 64735f6c 656e0000 ...B.#..ds_len.. + 2210: 00133602 23080011 0c000015 c3085f5f ..6.#.........__ + 2220: 76615f73 746b0000 00036b02 2300085f va_stk....k.#.._ + 2230: 5f76615f 72656700 0000036b 02230408 _va_reg....k.#.. + 2240: 5f5f7661 5f6e6478 00000001 08022308 __va_ndx......#. + 2250: 00095f5f 6164665f 6f735f64 6d615f61 ..__adf_os_dma_a + 2260: 6464725f 74000000 09680961 64665f6f ddr_t....h.adf_o + 2270: 735f646d 615f6164 64725f74 00000015 s_dma_addr_t.... + 2280: c3095f5f 6164665f 6f735f64 6d615f73 ..__adf_os_dma_s + 2290: 697a655f 74000000 09680961 64665f6f ize_t....h.adf_o + 22a0: 735f646d 615f7369 7a655f74 00000015 s_dma_size_t.... + 22b0: f3075f5f 646d615f 73656773 00080000 ..__dma_segs.... + 22c0: 164f0870 61646472 00000015 dc022300 .O.paddr......#. + 22d0: 086c656e 00000016 0c022304 00095f5f .len......#...__ + 22e0: 615f7569 6e743332 5f740000 00096809 a_uint32_t....h. + 22f0: 615f7569 6e743332 5f740000 00164f0e a_uint32_t....O. + 2300: 00001623 08000016 7e0f0000 07616466 ...#....~....adf + 2310: 5f6f735f 646d616d 61705f69 6e666f00 _os_dmamap_info. + 2320: 0c000016 b7086e73 65677300 00001661 ......nsegs....a + 2330: 02230008 646d615f 73656773 00000016 .#..dma_segs.... + 2340: 71022304 00095f5f 615f7569 6e74385f q.#...__a_uint8_ + 2350: 74000000 13220961 5f75696e 74385f74 t....".a_uint8_t + 2360: 00000016 b7030000 16c80400 075f5f73 .............__s + 2370: 675f7365 67730008 00001709 08766164 g_segs.......vad + 2380: 64720000 0016d702 2300086c 656e0000 dr......#..len.. + 2390: 00166102 2304000e 000016de 20000017 ..a.#....... ... + 23a0: 160f0300 07616466 5f6f735f 73676c69 .....adf_os_sgli + 23b0: 73740024 00001749 086e7365 67730000 st.$...I.nsegs.. + 23c0: 00166102 23000873 675f7365 67730000 ..a.#..sg_segs.. + 23d0: 00170902 23040011 10000017 92087665 ....#.........ve + 23e0: 6e646f72 00000016 61022300 08646576 ndor....a.#..dev + 23f0: 69636500 00001661 02230408 73756276 ice....a.#..subv + 2400: 656e646f 72000000 16610223 08087375 endor....a.#..su + 2410: 62646576 69636500 00001661 02230c00 bdevice....a.#.. + 2420: 046c6f6e 67206c6f 6e672075 6e736967 .long long unsig + 2430: 6e656420 696e7400 07080941 5f55494e ned int....A_UIN + 2440: 54363400 00001792 095f5f61 5f75696e T64......__a_uin + 2450: 7436345f 74000000 17ac0961 5f75696e t64_t......a_uin + 2460: 7436345f 74000000 17ba1304 00001818 t64_t........... + 2470: 0d414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 2480: 5f545950 455f4d45 4d00000d 4144465f _TYPE_MEM...ADF_ + 2490: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 24a0: 5f494f00 01000961 64665f6f 735f7265 _IO....adf_os_re + 24b0: 736f7572 63655f74 7970655f 74000000 source_type_t... + 24c0: 17dc1118 00001862 08737461 72740000 .......b.start.. + 24d0: 0017cc02 23000865 6e640000 0017cc02 ....#..end...... + 24e0: 23080874 79706500 00001818 02231000 #..type......#.. + 24f0: 09616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 2500: 69645f74 00000017 49030000 18620400 id_t....I....b.. + 2510: 10040000 18a10870 63690000 00187b02 .......pci....{. + 2520: 23000872 61770000 0003fd02 23000010 #..raw......#... + 2530: 10000018 c0087063 69000000 18620223 ......pci....b.# + 2540: 00087261 77000000 03fd0223 00000961 ..raw......#...a + 2550: 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 2560: 000003fd 09616466 5f6f735f 7265736f .....adf_os_reso + 2570: 75726365 5f740000 00183403 000018d6 urce_t....4..... + 2580: 04000961 64665f6f 735f6174 74616368 ...adf_os_attach + 2590: 5f646174 615f7400 000018a1 03000018 _data_t......... + 25a0: f4040003 00001520 0400095f 5f616466 ....... ...__adf + 25b0: 5f6f735f 64657669 63655f74 00000019 _os_device_t.... + 25c0: 15096164 665f6f73 5f646576 6963655f ..adf_os_device_ + 25d0: 74000000 191c0600 0018c001 03000019 t............... + 25e0: 48040002 01030000 19550400 09616466 H........U...adf + 25f0: 5f6f735f 706d5f74 00000003 fd020103 _os_pm_t........ + 2600: 0000196f 04001304 000019af 0d414446 ...o.........ADF + 2610: 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 2620: 00010d41 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 2630: 50455f47 454e4552 49430002 00096164 PE_GENERIC....ad + 2640: 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 2650: 00001978 09616466 5f6f735f 6275735f ...x.adf_os_bus_ + 2660: 7265675f 64617461 5f740000 00188203 reg_data_t...... + 2670: 00000315 0400075f 6164665f 6472765f ......._adf_drv_ + 2680: 696e666f 00200000 1a8c0864 72765f61 info. .....drv_a + 2690: 74746163 68000000 194e0223 00086472 ttach....N.#..dr + 26a0: 765f6465 74616368 00000019 57022304 v_detach....W.#. + 26b0: 08647276 5f737573 70656e64 00000019 .drv_suspend.... + 26c0: 71022308 08647276 5f726573 756d6500 q.#..drv_resume. + 26d0: 00001957 02230c08 6275735f 74797065 ...W.#..bus_type + 26e0: 00000019 af022310 08627573 5f646174 ......#..bus_dat + 26f0: 61000000 19c60223 14086d6f 645f6e61 a......#..mod_na + 2700: 6d650000 0019e102 23180869 666e616d me......#..ifnam + 2710: 65000000 19e10223 1c000961 64665f6f e......#...adf_o + 2720: 735f6861 6e646c65 5f740000 0003fd03 s_handle_t...... + 2730: 000016b7 04000201 0201095f 5f616466 ...........__adf + 2740: 5f6f735f 73697a65 5f740000 00040013 _os_size_t...... + 2750: 0400001a db0d415f 46414c53 4500000d ......A_FALSE... + 2760: 415f5452 55450001 0009615f 626f6f6c A_TRUE....a_bool + 2770: 5f740000 001ac103 00001549 0400095f _t.........I..._ + 2780: 5f616466 5f6f735f 646d615f 6d61705f _adf_os_dma_map_ + 2790: 74000000 1ae90201 0c616466 5f6f735f t........adf_os_ + 27a0: 63616368 655f7379 6e630004 00001b73 cache_sync.....s + 27b0: 0d414446 5f53594e 435f5052 45524541 .ADF_SYNC_PREREA + 27c0: 4400000d 4144465f 53594e43 5f505245 D...ADF_SYNC_PRE + 27d0: 57524954 4500020d 4144465f 53594e43 WRITE...ADF_SYNC + 27e0: 5f504f53 54524541 4400010d 4144465f _POSTREAD...ADF_ + 27f0: 53594e43 5f504f53 54575249 54450003 SYNC_POSTWRITE.. + 2800: 00096164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 2810: 796e635f 74000000 1b0a0201 09616466 ync_t........adf + 2820: 5f6f735f 73697a65 5f740000 001aac06 _os_size_t...... + 2830: 00001b8e 01096164 665f6f73 5f646d61 ......adf_os_dma + 2840: 5f6d6170 5f740000 001af003 00001ba7 _map_t.......... + 2850: 04000600 0003fd01 0300001a f0040006 ................ + 2860: 000003fd 01020106 000015dc 01020104 ................ + 2870: 73686f72 7420696e 74000502 09415f49 short int....A_I + 2880: 4e543136 0000001b e1095f5f 615f696e NT16......__a_in + 2890: 7431365f 74000000 1bee0961 5f696e74 t16_t......a_int + 28a0: 31365f74 0000001b fb047369 676e6564 16_t......signed + 28b0: 20636861 72000501 09415f49 4e543800 char....A_INT8. + 28c0: 00001c1b 095f5f61 5f696e74 385f7400 .....__a_int8_t. + 28d0: 00001c2a 09615f69 6e74385f 74000000 ...*.a_int8_t... + 28e0: 1c36110c 00001cad 08737570 706f7274 .6.......support + 28f0: 65640000 00166102 23000861 64766572 ed....a.#..adver + 2900: 74697a65 64000000 16610223 04087370 tized....a.#..sp + 2910: 65656400 00001c0c 02230808 6475706c eed......#..dupl + 2920: 65780000 001c4602 230a0861 75746f6e ex....F.#..auton + 2930: 65670000 0016c802 230b000e 000016c8 eg......#....... + 2940: 0600001c ba0f0500 07616466 5f6e6574 .........adf_net + 2950: 5f657468 61646472 00060000 1cde0861 _ethaddr.......a + 2960: 64647200 00001cad 02230000 095f5f61 ddr......#...__a + 2970: 5f75696e 7431365f 74000000 13360961 _uint16_t....6.a + 2980: 5f75696e 7431365f 74000000 1cde110e _uint16_t....... + 2990: 00001d42 08657468 65725f64 686f7374 ...B.ether_dhost + 29a0: 0000001c ad022300 08657468 65725f73 ......#..ether_s + 29b0: 686f7374 0000001c ad022306 08657468 host......#..eth + 29c0: 65725f74 79706500 00001cf0 02230c00 er_type......#.. + 29d0: 11140000 1e031469 705f7665 7273696f .......ip_versio + 29e0: 6e000000 16c80100 04022300 1469705f n.........#..ip_ + 29f0: 686c0000 0016c801 04040223 00086970 hl.........#..ip + 2a00: 5f746f73 00000016 c8022301 0869705f _tos......#..ip_ + 2a10: 6c656e00 00001cf0 02230208 69705f69 len......#..ip_i + 2a20: 64000000 1cf00223 04086970 5f667261 d......#..ip_fra + 2a30: 675f6f66 66000000 1cf00223 06086970 g_off......#..ip + 2a40: 5f74746c 00000016 c8022308 0869705f _ttl......#..ip_ + 2a50: 70726f74 6f000000 16c80223 09086970 proto......#..ip + 2a60: 5f636865 636b0000 001cf002 230a0869 _check......#..i + 2a70: 705f7361 64647200 00001661 02230c08 p_saddr....a.#.. + 2a80: 69705f64 61646472 00000016 61022310 ip_daddr....a.#. + 2a90: 00076164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 2aa0: 72000400 001e5508 74706964 0000001c r.....U.tpid.... + 2ab0: f0022300 14707269 6f000000 16c80100 ..#..prio....... + 2ac0: 03022302 14636669 00000016 c8010301 ..#..cfi........ + 2ad0: 02230214 76696400 00001cf0 02040c02 .#..vid......... + 2ae0: 23020007 6164665f 6e65745f 76696400 #...adf_net_vid. + 2af0: 0200001e 86147265 73000000 16c80100 ......res....... + 2b00: 04022300 1476616c 0000001c f002040c ..#..val........ + 2b10: 02230000 110c0000 1ec20872 785f6275 .#.........rx_bu + 2b20: 6673697a 65000000 16610223 00087278 fsize....a.#..rx + 2b30: 5f6e6465 73630000 00166102 23040874 _ndesc....a.#..t + 2b40: 785f6e64 65736300 00001661 02230800 x_ndesc....a.#.. + 2b50: 11080000 1ee80870 6f6c6c65 64000000 .......polled... + 2b60: 1adb0223 0008706f 6c6c5f77 74000000 ...#..poll_wt... + 2b70: 16610223 04000e00 0016c840 00001ef5 .a.#.......@.... + 2b80: 0f3f0011 4600001f 1d086966 5f6e616d .?..F.....if_nam + 2b90: 65000000 1ee80223 00086465 765f6164 e......#..dev_ad + 2ba0: 64720000 001cad02 23400013 0400001f dr......#@...... + 2bb0: 540d4144 465f4f53 5f444d41 5f4d4153 T.ADF_OS_DMA_MAS + 2bc0: 4b5f3332 42495400 000d4144 465f4f53 K_32BIT...ADF_OS + 2bd0: 5f444d41 5f4d4153 4b5f3634 42495400 _DMA_MASK_64BIT. + 2be0: 01000961 64665f6f 735f646d 615f6d61 ...adf_os_dma_ma + 2bf0: 736b5f74 0000001f 1d076164 665f646d sk_t......adf_dm + 2c00: 615f696e 666f0008 00001fa1 08646d61 a_info.......dma + 2c10: 5f6d6173 6b000000 1f540223 00087367 _mask....T.#..sg + 2c20: 5f6e7365 67730000 00166102 23040013 _nsegs....a.#... + 2c30: 0400001f f70d4144 465f4e45 545f434b ......ADF_NET_CK + 2c40: 53554d5f 4e4f4e45 00000d41 44465f4e SUM_NONE...ADF_N + 2c50: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 2c60: 5f495076 3400010d 4144465f 4e45545f _IPv4...ADF_NET_ + 2c70: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 2c80: 76360002 00096164 665f6e65 745f636b v6....adf_net_ck + 2c90: 73756d5f 74797065 5f740000 001fa111 sum_type_t...... + 2ca0: 08000020 3a087478 5f636b73 756d0000 ... :.tx_cksum.. + 2cb0: 001ff702 23000872 785f636b 73756d00 ....#..rx_cksum. + 2cc0: 00001ff7 02230400 09616466 5f6e6574 .....#...adf_net + 2cd0: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 2ce0: 20111304 00002093 0d414446 5f4e4554 ..... ..ADF_NET + 2cf0: 5f54534f 5f4e4f4e 4500000d 4144465f _TSO_NONE...ADF_ + 2d00: 4e45545f 54534f5f 49505634 00010d41 NET_TSO_IPV4...A + 2d10: 44465f4e 45545f54 534f5f41 4c4c0002 DF_NET_TSO_ALL.. + 2d20: 00096164 665f6e65 745f7473 6f5f7479 ..adf_net_tso_ty + 2d30: 70655f74 00000020 54111000 0020e708 pe_t... T.... .. + 2d40: 636b7375 6d5f6361 70000000 203a0223 cksum_cap... :.# + 2d50: 00087473 6f000000 20930223 0808766c ..tso... ..#..vl + 2d60: 616e5f73 7570706f 72746564 00000016 an_supported.... + 2d70: c802230c 00112000 00218008 74785f70 ..#... ..!..tx_p + 2d80: 61636b65 74730000 00166102 23000872 ackets....a.#..r + 2d90: 785f7061 636b6574 73000000 16610223 x_packets....a.# + 2da0: 04087478 5f627974 65730000 00166102 ..tx_bytes....a. + 2db0: 23080872 785f6279 74657300 00001661 #..rx_bytes....a + 2dc0: 02230c08 74785f64 726f7070 65640000 .#..tx_dropped.. + 2dd0: 00166102 23100872 785f6472 6f707065 ..a.#..rx_droppe + 2de0: 64000000 16610223 14087278 5f657272 d....a.#..rx_err + 2df0: 6f727300 00001661 02231808 74785f65 ors....a.#..tx_e + 2e00: 72726f72 73000000 16610223 1c000961 rrors....a.#...a + 2e10: 64665f6e 65745f65 74686164 64725f74 df_net_ethaddr_t + 2e20: 0000001c ba150000 21800300 000021a5 ........!.....!. + 2e30: 0f7f0016 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 2e40: 6d636164 64720003 04000021 dc086e65 mcaddr.....!..ne + 2e50: 6c656d00 00001661 02230008 6d636173 lem....a.#..mcas + 2e60: 74000000 21970223 04000961 64665f6e t...!..#...adf_n + 2e70: 65745f63 6d645f6c 696e6b5f 696e666f et_cmd_link_info + 2e80: 5f740000 001c5409 6164665f 6e65745f _t....T.adf_net_ + 2e90: 636d645f 706f6c6c 5f696e66 6f5f7400 cmd_poll_info_t. + 2ea0: 00001ec2 09616466 5f6e6574 5f636d64 .....adf_net_cmd + 2eb0: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 2ec0: 203a0961 64665f6e 65745f63 6d645f72 :.adf_net_cmd_r + 2ed0: 696e675f 696e666f 5f740000 001e8609 ing_info_t...... + 2ee0: 6164665f 6e65745f 636d645f 646d615f adf_net_cmd_dma_ + 2ef0: 696e666f 5f740000 001f6b09 6164665f info_t....k.adf_ + 2f00: 6e65745f 636d645f 7669645f 74000000 net_cmd_vid_t... + 2f10: 1cf00961 64665f6e 65745f63 6d645f6f ...adf_net_cmd_o + 2f20: 66666c6f 61645f63 61705f74 00000020 ffload_cap_t... + 2f30: ab096164 665f6e65 745f636d 645f7374 ..adf_net_cmd_st + 2f40: 6174735f 74000000 20e70961 64665f6e ats_t... ..adf_n + 2f50: 65745f63 6d645f6d 63616464 725f7400 et_cmd_mcaddr_t. + 2f60: 000021a5 0c616466 5f6e6574 5f636d64 ..!..adf_net_cmd + 2f70: 5f6d6361 73745f63 61700004 0000231e _mcast_cap....#. + 2f80: 0d414446 5f4e4554 5f4d4341 53545f53 .ADF_NET_MCAST_S + 2f90: 55500000 0d414446 5f4e4554 5f4d4341 UP...ADF_NET_MCA + 2fa0: 53545f4e 4f545355 50000100 09616466 ST_NOTSUP....adf + 2fb0: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 2fc0: 61705f74 00000022 d6170304 000023f0 ap_t..."......#. + 2fd0: 086c696e 6b5f696e 666f0000 0021dc02 .link_info...!.. + 2fe0: 23000870 6f6c6c5f 696e666f 00000021 #..poll_info...! + 2ff0: f9022300 08636b73 756d5f69 6e666f00 ..#..cksum_info. + 3000: 00002216 02230008 72696e67 5f696e66 .."..#..ring_inf + 3010: 6f000000 22340223 0008646d 615f696e o..."4.#..dma_in + 3020: 666f0000 00225102 23000876 69640000 fo..."Q.#..vid.. + 3030: 00226d02 2300086f 66666c6f 61645f63 ."m.#..offload_c + 3040: 61700000 00228402 23000873 74617473 ap..."..#..stats + 3050: 00000022 a3022300 086d6361 73745f69 ..."..#..mcast_i + 3060: 6e666f00 000022bc 02230008 6d636173 nfo..."..#..mcas + 3070: 745f6361 70000000 231e0223 00001304 t_cap...#..#.... + 3080: 00002447 0d414446 5f4e4255 465f5258 ..$G.ADF_NBUF_RX + 3090: 5f434b53 554d5f4e 4f4e4500 000d4144 _CKSUM_NONE...AD + 30a0: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 30b0: 48570001 0d414446 5f4e4255 465f5258 HW...ADF_NBUF_RX + 30c0: 5f434b53 554d5f55 4e4e4543 45535341 _CKSUM_UNNECESSA + 30d0: 52590002 00096164 665f6e62 75665f72 RY....adf_nbuf_r + 30e0: 785f636b 73756d5f 74797065 5f740000 x_cksum_type_t.. + 30f0: 0023f011 08000024 87087265 73756c74 .#.....$..result + 3100: 00000024 47022300 0876616c 00000016 ...$G.#..val.... + 3110: 61022304 00110800 0024b708 74797065 a.#......$..type + 3120: 00000020 93022300 086d7373 0000001c ... ..#..mss.... + 3130: f0022304 08686472 5f6f6666 00000016 ..#..hdr_off.... + 3140: c8022306 00075f5f 6164665f 6e627566 ..#...__adf_nbuf + 3150: 5f716865 6164000c 000024f6 08686561 _qhead....$..hea + 3160: 64000000 14810223 00087461 696c0000 d......#..tail.. + 3170: 00148102 23040871 6c656e00 00001661 ....#..qlen....a + 3180: 02230800 095f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 3190: 74000000 14810300 0016d704 00030000 t............... + 31a0: 16610400 02010600 00136301 06000016 .a........c..... + 31b0: 61010600 0016d701 06000016 d7010300 a............... + 31c0: 00134404 00095f5f 6164665f 6e627566 ..D...__adf_nbuf + 31d0: 5f716865 61645f74 00000024 b7095f5f _qhead_t...$..__ + 31e0: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 31f0: 00000025 37030000 254f0400 06000024 ...%7...%O.....$ + 3200: f6010600 0024f601 13040000 266f0d41 .....$......&o.A + 3210: 5f535441 5455535f 4f4b0000 0d415f53 _STATUS_OK...A_S + 3220: 54415455 535f4641 494c4544 00010d41 TATUS_FAILED...A + 3230: 5f535441 5455535f 454e4f45 4e540002 _STATUS_ENOENT.. + 3240: 0d415f53 54415455 535f454e 4f4d454d .A_STATUS_ENOMEM + 3250: 00030d41 5f535441 5455535f 45494e56 ...A_STATUS_EINV + 3260: 414c0004 0d415f53 54415455 535f4549 AL...A_STATUS_EI + 3270: 4e50524f 47524553 5300050d 415f5354 NPROGRESS...A_ST + 3280: 41545553 5f454e4f 54535550 5000060d ATUS_ENOTSUPP... + 3290: 415f5354 41545553 5f454255 53590007 A_STATUS_EBUSY.. + 32a0: 0d415f53 54415455 535f4532 42494700 .A_STATUS_E2BIG. + 32b0: 080d415f 53544154 55535f45 41444452 ..A_STATUS_EADDR + 32c0: 4e4f5441 5641494c 00090d41 5f535441 NOTAVAIL...A_STA + 32d0: 5455535f 454e5849 4f000a0d 415f5354 TUS_ENXIO...A_ST + 32e0: 41545553 5f454641 554c5400 0b0d415f ATUS_EFAULT...A_ + 32f0: 53544154 55535f45 494f000c 0009615f STATUS_EIO....a_ + 3300: 73746174 75735f74 00000025 7a060000 status_t...%z... + 3310: 266f0106 00000108 01020109 6164665f &o..........adf_ + 3320: 6e627566 5f740000 0024f613 04000026 nbuf_t...$.....& + 3330: d40d4144 465f4f53 5f444d41 5f544f5f ..ADF_OS_DMA_TO_ + 3340: 44455649 43450000 0d414446 5f4f535f DEVICE...ADF_OS_ + 3350: 444d415f 46524f4d 5f444556 49434500 DMA_FROM_DEVICE. + 3360: 01000961 64665f6f 735f646d 615f6469 ...adf_os_dma_di + 3370: 725f7400 0000269d 06000026 6f010201 r_t...&....&o... + 3380: 09616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 3390: 6e666f5f 74000000 167e0300 0026f204 nfo_t....~...&.. + 33a0: 00020102 01060000 268d0106 000024f6 ........&.....$. + 33b0: 01020102 01060000 268d0106 000024f6 ........&.....$. + 33c0: 01060000 268d0106 000024f6 01060000 ....&.....$..... + 33d0: 268d0102 01020106 00001661 01060000 &..........a.... + 33e0: 16d70102 01020106 00001b8e 01060000 ................ + 33f0: 1adb0106 00001adb 01096164 665f6f73 ..........adf_os + 3400: 5f73676c 6973745f 74000000 17160300 _sglist_t....... + 3410: 00276b04 00020102 01020106 000016d7 .'k............. + 3420: 01096164 665f6e62 75665f71 75657565 ..adf_nbuf_queue + 3430: 5f740000 00254f03 00002793 04000201 _t...%O...'..... + 3440: 03000025 37040002 01020102 01060000 ...%7........... + 3450: 268d0106 000024f6 01060000 16610106 &.....$......a.. + 3460: 00001661 01060000 1adb0106 00001adb ...a............ + 3470: 01060000 1ff70106 00001661 01096164 ...........a..ad + 3480: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 3490: 74000000 24650300 0027ef04 00020102 t...$e...'...... + 34a0: 01096164 665f6e62 75665f74 736f5f74 ..adf_nbuf_tso_t + 34b0: 00000024 87030000 28130400 02010201 ...$....(....... + 34c0: 09616466 5f6e6574 5f68616e 646c655f .adf_net_handle_ + 34d0: 74000000 03fd0961 64665f6e 65745f76 t......adf_net_v + 34e0: 6c616e68 64725f74 0000001e 03030000 lanhdr_t........ + 34f0: 28480400 06000026 6f010600 00266f01 (H.....&o....&o. + 3500: 02010201 075f4849 465f434f 4e464947 ....._HIF_CONFIG + 3510: 00040000 28970864 756d6d79 00000001 ....(..dummy.... + 3520: 08022300 00020103 00002897 04000201 ..#.......(..... + 3530: 03000028 a0040007 5f484946 5f43414c ...(...._HIF_CAL + 3540: 4c424143 4b000c00 0028f508 73656e64 LBACK....(..send + 3550: 5f627566 5f646f6e 65000000 28990223 _buf_done...(..# + 3560: 00087265 63765f62 75660000 0028a202 ..recv_buf...(.. + 3570: 23040863 6f6e7465 78740000 0003fd02 #..context...... + 3580: 23080009 6869665f 68616e64 6c655f74 #...hif_handle_t + 3590: 00000003 fd094849 465f434f 4e464947 ......HIF_CONFIG + 35a0: 00000028 76030000 29070400 06000028 ...(v...)......( + 35b0: f5010300 00291e04 00020103 0000292b .....)........)+ + 35c0: 04000948 49465f43 414c4c42 41434b00 ...HIF_CALLBACK. + 35d0: 000028a9 03000029 34040002 01030000 ..(....)4....... + 35e0: 294d0400 06000001 08010300 00295604 )M...........)V. + 35f0: 00020103 00002963 04000600 00010801 ......)c........ + 3600: 03000029 6c040002 01030000 29790400 ...)l.......)y.. + 3610: 06000001 08010300 00298204 00020103 .........)...... + 3620: 0000298f 04000768 69665f61 70690038 ..)....hif_api.8 + 3630: 00002ae8 085f696e 69740000 00292402 ..*.._init...)$. + 3640: 2300085f 73687574 646f776e 00000029 #.._shutdown...) + 3650: 2d022304 085f7265 67697374 65725f63 -.#.._register_c + 3660: 616c6c62 61636b00 0000294f 02230808 allback...)O.#.. + 3670: 5f676574 5f746f74 616c5f63 72656469 _get_total_credi + 3680: 745f636f 756e7400 0000295c 02230c08 t_count...)\.#.. + 3690: 5f737461 72740000 00292d02 2310085f _start...)-.#.._ + 36a0: 636f6e66 69675f70 69706500 00002965 config_pipe...)e + 36b0: 02231408 5f73656e 645f6275 66666572 .#.._send_buffer + 36c0: 00000029 72022318 085f7265 7475726e ...)r.#.._return + 36d0: 5f726563 765f6275 66000000 297b0223 _recv_buf...){.# + 36e0: 1c085f69 735f7069 70655f73 7570706f .._is_pipe_suppo + 36f0: 72746564 00000029 88022320 085f6765 rted...)..# ._ge + 3700: 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... + 3710: 29880223 24085f67 65745f72 65736572 )..#$._get_reser + 3720: 7665645f 68656164 726f6f6d 00000029 ved_headroom...) + 3730: 5c022328 085f6973 725f6861 6e646c65 \.#(._isr_handle + 3740: 72000000 292d0223 2c085f67 65745f64 r...)-.#,._get_d + 3750: 65666175 6c745f70 69706500 00002991 efault_pipe...). + 3760: 02233008 70526573 65727665 64000000 .#0.pReserved... + 3770: 03fd0223 34000c64 6d615f65 6e67696e ...#4..dma_engin + 3780: 65000400 002b710d 444d415f 454e4749 e....+q.DMA_ENGI + 3790: 4e455f52 58300000 0d444d41 5f454e47 NE_RX0...DMA_ENG + 37a0: 494e455f 52583100 010d444d 415f454e INE_RX1...DMA_EN + 37b0: 47494e45 5f525832 00020d44 4d415f45 GINE_RX2...DMA_E + 37c0: 4e47494e 455f5258 3300030d 444d415f NGINE_RX3...DMA_ + 37d0: 454e4749 4e455f54 58300004 0d444d41 ENGINE_TX0...DMA + 37e0: 5f454e47 494e455f 54583100 050d444d _ENGINE_TX1...DM + 37f0: 415f454e 47494e45 5f4d4158 00060009 A_ENGINE_MAX.... + 3800: 646d615f 656e6769 6e655f74 0000002a dma_engine_t...* + 3810: e80c646d 615f6966 74797065 00040000 ..dma_iftype.... + 3820: 2bbe0d44 4d415f49 465f474d 41430000 +..DMA_IF_GMAC.. + 3830: 0d444d41 5f49465f 50434900 010d444d .DMA_IF_PCI...DM + 3840: 415f4946 5f504349 45000200 09646d61 A_IF_PCIE....dma + 3850: 5f696674 7970655f 74000000 2b830600 _iftype_t...+... + 3860: 00133601 0300002b d0040002 01030000 ..6....+........ + 3870: 2bdd0400 02010300 002be604 00060000 +........+...... + 3880: 09680103 00002bef 04000600 00133601 .h....+.......6. + 3890: 0300002b fc040006 00001336 01030000 ...+.......6.... + 38a0: 2c090400 06000014 81010300 002c1604 ,............,.. + 38b0: 00020103 00002c23 04000764 6d615f6c ......,#...dma_l + 38c0: 69625f61 70690034 00002d2a 0874785f ib_api.4..-*.tx_ + 38d0: 696e6974 0000002b d6022300 0874785f init...+..#..tx_ + 38e0: 73746172 74000000 2bdf0223 04087278 start...+..#..rx + 38f0: 5f696e69 74000000 2bd60223 08087278 _init...+..#..rx + 3900: 5f636f6e 66696700 00002be8 02230c08 _config...+..#.. + 3910: 72785f73 74617274 0000002b df022310 rx_start...+..#. + 3920: 08696e74 725f7374 61747573 0000002b .intr_status...+ + 3930: f5022314 08686172 645f786d 69740000 ..#..hard_xmit.. + 3940: 002c0202 23180866 6c757368 5f786d69 .,..#..flush_xmi + 3950: 74000000 2bdf0223 1c08786d 69745f64 t...+..#..xmit_d + 3960: 6f6e6500 00002c0f 02232008 72656170 one...,..# .reap + 3970: 5f786d69 74746564 0000002c 1c022324 _xmitted...,..#$ + 3980: 08726561 705f7265 63760000 002c1c02 .reap_recv...,.. + 3990: 23280872 65747572 6e5f7265 63760000 #(.return_recv.. + 39a0: 002c2502 232c0872 6563765f 706b7400 .,%.#,.recv_pkt. + 39b0: 00002c0f 02233000 075f5f70 63695f73 ..,..#0..__pci_s + 39c0: 6f667463 000c0000 2d480873 77000000 oftc....-H.sw... + 39d0: 29340223 0000095f 5f706369 5f736f66 )4.#...__pci_sof + 39e0: 74635f74 0000002d 2a030000 2d480400 tc_t...-*...-H.. + 39f0: 02010300 002d6204 00060000 13220103 .....-b......".. + 3a00: 00002d6b 04000c68 69665f70 63695f70 ..-k...hif_pci_p + 3a10: 6970655f 74780004 00002dcb 0d484946 ipe_tx....-..HIF + 3a20: 5f504349 5f504950 455f5458 3000000d _PCI_PIPE_TX0... + 3a30: 4849465f 5043495f 50495045 5f545831 HIF_PCI_PIPE_TX1 + 3a40: 00010d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 3a50: 54585f4d 41580002 00096869 665f7063 TX_MAX....hif_pc + 3a60: 695f7069 70655f74 785f7400 00002d78 i_pipe_tx_t...-x + 3a70: 0600002b 71010300 002de204 000c6869 ...+q....-....hi + 3a80: 665f7063 695f7069 70655f72 78000400 f_pci_pipe_rx... + 3a90: 002e680d 4849465f 5043495f 50495045 ..h.HIF_PCI_PIPE + 3aa0: 5f525830 00000d48 49465f50 43495f50 _RX0...HIF_PCI_P + 3ab0: 4950455f 52583100 010d4849 465f5043 IPE_RX1...HIF_PC + 3ac0: 495f5049 50455f52 58320002 0d484946 I_PIPE_RX2...HIF + 3ad0: 5f504349 5f504950 455f5258 3300030d _PCI_PIPE_RX3... + 3ae0: 4849465f 5043495f 50495045 5f52585f HIF_PCI_PIPE_RX_ + 3af0: 4d415800 04000968 69665f70 63695f70 MAX....hif_pci_p + 3b00: 6970655f 72785f74 0000002d ef060000 ipe_rx_t...-.... + 3b10: 2b710103 00002e7f 04000768 69665f70 +q.........hif_p + 3b20: 63695f61 70690024 00002f5d 08706369 ci_api.$../].pci + 3b30: 5f626f6f 745f696e 69740000 00010102 _boot_init...... + 3b40: 23000870 63695f69 6e697400 00002924 #..pci_init...)$ + 3b50: 02230408 7063695f 72657365 74000000 .#..pci_reset... + 3b60: 01010223 08087063 695f656e 61626c65 ...#..pci_enable + 3b70: 00000001 0102230c 08706369 5f726561 ......#..pci_rea + 3b80: 705f786d 69747465 64000000 2d640223 p_xmitted...-d.# + 3b90: 10087063 695f7265 61705f72 65637600 ..pci_reap_recv. + 3ba0: 00002d64 02231408 7063695f 6765745f ..-d.#..pci_get_ + 3bb0: 70697065 0000002d 71022318 08706369 pipe...-q.#..pci + 3bc0: 5f676574 5f74785f 656e6700 00002de8 _get_tx_eng...-. + 3bd0: 02231c08 7063695f 6765745f 72785f65 .#..pci_get_rx_e + 3be0: 6e670000 002e8502 23200007 676d6163 ng......# ..gmac + 3bf0: 5f617069 00040000 2f840867 6d61635f _api..../..gmac_ + 3c00: 626f6f74 5f696e69 74000000 01010223 boot_init......# + 3c10: 00000e00 00031506 00002f91 0f050007 ........../..... + 3c20: 5f5f6574 68686472 000e0000 2fc70864 __ethhdr..../..d + 3c30: 73740000 002f8402 23000873 72630000 st.../..#..src.. + 3c40: 002f8402 23060865 74797065 00000013 ./..#..etype.... + 3c50: 3602230c 00075f5f 61746868 64720004 6.#...__athhdr.. + 3c60: 00003015 14726573 00000013 22010002 ..0..res...."... + 3c70: 02230014 70726f74 6f000000 13220102 .#..proto....".. + 3c80: 06022300 08726573 5f6c6f00 00001322 ..#..res_lo...." + 3c90: 02230108 7265735f 68690000 00133602 .#..res_hi....6. + 3ca0: 23020007 5f5f676d 61635f68 64720014 #...__gmac_hdr.. + 3cb0: 00003051 08657468 0000002f 91022300 ..0Q.eth.../..#. + 3cc0: 08617468 0000002f c702230e 08616c69 .ath.../..#..ali + 3cd0: 676e5f70 61640000 00133602 23120009 gn_pad....6.#... + 3ce0: 5f5f676d 61635f68 64725f74 00000030 __gmac_hdr_t...0 + 3cf0: 15075f5f 676d6163 5f736f66 74630024 ..__gmac_softc.$ + 3d00: 0000309b 08686472 00000030 51022300 ..0..hdr...0Q.#. + 3d10: 08677261 6e000000 13360223 14087377 .gran....6.#..sw + 3d20: 00000029 34022318 00075f41 5f6f735f ...)4.#..._A_os_ + 3d30: 6c696e6b 6167655f 63686563 6b000800 linkage_check... + 3d40: 0030d408 76657273 696f6e00 00000108 .0..version..... + 3d50: 02230008 7461626c 65000000 01080223 .#..table......# + 3d60: 04000300 00309b04 00060000 01080103 .....0.......... + 3d70: 000030db 04000300 00040004 00165f41 ..0..........._A + 3d80: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 3d90: 6f6e5f74 61626c65 0001b800 00322b08 on_table.....2+. + 3da0: 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 3db0: 6b000000 30e10223 00087374 6172745f k...0..#..start_ + 3dc0: 62737300 000030e8 02230408 6170705f bss...0..#..app_ + 3dd0: 73746172 74000000 01010223 08086d65 start......#..me + 3de0: 6d000000 04400223 0c086d69 73630000 m....@.#..misc.. + 3df0: 0005b302 23200870 72696e74 66000000 ....# .printf... + 3e00: 01350223 44087561 72740000 0001fe02 .5.#D.uart...... + 3e10: 234c0867 6d616300 00002f5d 02236c08 #L.gmac.../].#l. + 3e20: 75736200 00000fe2 02237008 636c6f63 usb......#p.cloc + 3e30: 6b000000 0b2b0323 e0010874 696d6572 k....+.#...timer + 3e40: 00000007 cb032384 0208696e 74720000 ......#...intr.. + 3e50: 000c7b03 23980208 616c6c6f 6372616d ..{.#...allocram + 3e60: 00000009 830323c4 0208726f 6d700000 ......#...romp.. + 3e70: 00087503 23d00208 7764745f 74696d65 ..u.#...wdt_time + 3e80: 72000000 0e580323 e0020865 65700000 r....X.#...eep.. + 3e90: 000f8603 23fc0208 73747269 6e670000 ....#...string.. + 3ea0: 0006d703 238c0308 7461736b 6c657400 ....#...tasklet. + 3eb0: 00000a80 0323a403 00075f55 53425f46 .....#...._USB_F + 3ec0: 49464f5f 434f4e46 49470010 0000329e IFO_CONFIG....2. + 3ed0: 08676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 3ee0: 00000014 8e022300 08726563 765f636f ......#..recv_co + 3ef0: 6d6d616e 64000000 14a40223 04086765 mmand......#..ge + 3f00: 745f6576 656e745f 62756600 0000148e t_event_buf..... + 3f10: 02230808 73656e64 5f657665 6e745f64 .#..send_event_d + 3f20: 6f6e6500 000014a4 02230c00 09555342 one......#...USB + 3f30: 5f464946 4f5f434f 4e464947 00000032 _FIFO_CONFIG...2 + 3f40: 2b030000 329e0400 02010300 0032ba04 +...2........2.. + 3f50: 00077573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 3f60: 00331008 5f696e69 74000000 32bc0223 .3.._init...2..# + 3f70: 00085f65 6e61626c 655f6576 656e745f .._enable_event_ + 3f80: 69737200 00000101 02230408 70526573 isr......#..pRes + 3f90: 65727665 64000000 03fd0223 08000e00 erved......#.... + 3fa0: 0016c802 0000331d 0f010007 5f485443 ......3....._HTC + 3fb0: 5f465241 4d455f48 44520008 0000338f _FRAME_HDR....3. + 3fc0: 08456e64 706f696e 74494400 000016c8 .EndpointID..... + 3fd0: 02230008 466c6167 73000000 16c80223 .#..Flags......# + 3fe0: 01085061 796c6f61 644c656e 0000001c ..PayloadLen.... + 3ff0: f0022302 08436f6e 74726f6c 42797465 ..#..ControlByte + 4000: 73000000 33100223 0408486f 73745365 s...3..#..HostSe + 4010: 714e756d 0000001c f0022306 00110200 qNum......#..... + 4020: 0033a808 4d657373 61676549 44000000 .3..MessageID... + 4030: 1cf00223 00001108 0000340b 084d6573 ...#......4..Mes + 4040: 73616765 49440000 001cf002 23000843 sageID......#..C + 4050: 72656469 74436f75 6e740000 001cf002 reditCount...... + 4060: 23020843 72656469 7453697a 65000000 #..CreditSize... + 4070: 1cf00223 04084d61 78456e64 706f696e ...#..MaxEndpoin + 4080: 74730000 0016c802 2306085f 50616431 ts......#.._Pad1 + 4090: 00000016 c8022307 00110a00 0034a208 ......#......4.. + 40a0: 4d657373 61676549 44000000 1cf00223 MessageID......# + 40b0: 00085365 72766963 65494400 00001cf0 ..ServiceID..... + 40c0: 02230208 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 40d0: 61677300 00001cf0 02230408 446f776e ags......#..Down + 40e0: 4c696e6b 50697065 49440000 0016c802 LinkPipeID...... + 40f0: 23060855 704c696e 6b506970 65494400 #..UpLinkPipeID. + 4100: 000016c8 02230708 53657276 6963654d .....#..ServiceM + 4110: 6574614c 656e6774 68000000 16c80223 etaLength......# + 4120: 08085f50 61643100 000016c8 02230900 .._Pad1......#.. + 4130: 110a0000 352a084d 65737361 67654944 ....5*.MessageID + 4140: 0000001c f0022300 08536572 76696365 ......#..Service + 4150: 49440000 001cf002 23020853 74617475 ID......#..Statu + 4160: 73000000 16c80223 0408456e 64706f69 s......#..Endpoi + 4170: 6e744944 00000016 c8022305 084d6178 ntID......#..Max + 4180: 4d736753 697a6500 00001cf0 02230608 MsgSize......#.. + 4190: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 41a0: 68000000 16c80223 08085f50 61643100 h......#.._Pad1. + 41b0: 000016c8 02230900 11020000 3543084d .....#......5C.M + 41c0: 65737361 67654944 0000001c f0022300 essageID......#. + 41d0: 00110400 00357f08 4d657373 61676549 .....5..MessageI + 41e0: 44000000 1cf00223 00085069 70654944 D......#..PipeID + 41f0: 00000016 c8022302 08437265 64697443 ......#..CreditC + 4200: 6f756e74 00000016 c8022303 00110400 ount......#..... + 4210: 0035b608 4d657373 61676549 44000000 .5..MessageID... + 4220: 1cf00223 00085069 70654944 00000016 ...#..PipeID.... + 4230: c8022302 08537461 74757300 000016c8 ..#..Status..... + 4240: 02230300 11020000 35dd0852 65636f72 .#......5..Recor + 4250: 64494400 000016c8 02230008 4c656e67 dID......#..Leng + 4260: 74680000 0016c802 23010011 02000036 th......#......6 + 4270: 0708456e 64706f69 6e744944 00000016 ..EndpointID.... + 4280: c8022300 08437265 64697473 00000016 ..#..Credits.... + 4290: c8022301 00110400 00364808 456e6470 ..#......6H.Endp + 42a0: 6f696e74 49440000 0016c802 23000843 ointID......#..C + 42b0: 72656469 74730000 0016c802 23010854 redits......#..T + 42c0: 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 42d0: 1cf00223 02000e00 0016c804 00003655 ...#..........6U + 42e0: 0f030011 06000036 91085072 6556616c .......6..PreVal + 42f0: 69640000 0016c802 2300084c 6f6f6b41 id......#..LookA + 4300: 68656164 00000036 48022301 08506f73 head...6H.#..Pos + 4310: 7456616c 69640000 0016c802 23050009 tValid......#... + 4320: 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 4330: 03fd0600 00369101 03000036 a4040002 .....6.....6.... + 4340: 01030000 36b10400 13040000 372f0d50 ....6.......7/.P + 4350: 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 4360: 4f4c0000 0d504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 4370: 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 4380: 0d504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 4390: 5f455645 4e540002 0d504f4f 4c5f4944 _EVENT...POOL_ID + 43a0: 5f574c41 4e5f5258 5f425546 00030d50 _WLAN_RX_BUF...P + 43b0: 4f4f4c5f 49445f4d 4158000a 00094255 OOL_ID_MAX....BU + 43c0: 465f504f 4f4c5f49 44000000 36ba0201 F_POOL_ID...6... + 43d0: 03000037 40040006 0000268d 01030000 ...7@.....&..... + 43e0: 37490400 06000026 8d010300 00375604 7I.....&.....7V. + 43f0: 00020103 00003763 04000762 75665f70 ......7c...buf_p + 4400: 6f6f6c5f 61706900 1c000038 05085f69 ool_api....8.._i + 4410: 6e697400 000036aa 02230008 5f736875 nit...6..#.._shu + 4420: 74646f77 6e000000 36b30223 04085f63 tdown...6..#.._c + 4430: 72656174 655f706f 6f6c0000 00374202 reate_pool...7B. + 4440: 2308085f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 4450: 374f0223 0c085f61 6c6c6f63 5f627566 7O.#.._alloc_buf + 4460: 5f616c69 676e0000 00375c02 2310085f _align...7\.#.._ + 4470: 66726565 5f627566 00000037 65022314 free_buf...7e.#. + 4480: 08705265 73657276 65640000 0003fd02 .pReserved...... + 4490: 23180007 5f485443 5f534552 56494345 #..._HTC_SERVICE + 44a0: 001c0000 38e40870 4e657874 00000038 ....8..pNext...8 + 44b0: e4022300 0850726f 63657373 52656376 ..#..ProcessRecv + 44c0: 4d736700 00003999 02230408 50726f63 Msg...9..#..Proc + 44d0: 65737353 656e6442 75666665 72436f6d essSendBufferCom + 44e0: 706c6574 65000000 39a20223 08085072 plete...9..#..Pr + 44f0: 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 4500: b602230c 08536572 76696365 49440000 ..#..ServiceID.. + 4510: 00133602 23100853 65727669 6365466c ..6.#..ServiceFl + 4520: 61677300 00001336 02231208 4d617853 ags....6.#..MaxS + 4530: 76634d73 6753697a 65000000 13360223 vcMsgSize....6.# + 4540: 14085472 61696c65 72537063 43686563 ..TrailerSpcChec + 4550: 6b4c696d 69740000 00133602 23160853 kLimit....6.#..S + 4560: 65727669 63654374 78000000 03fd0223 erviceCtx......# + 4570: 18000300 00380504 00130400 00398218 .....8.......9.. + 4580: 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 4590: ffffffff 0d454e44 504f494e 54300000 .....ENDPOINT0.. + 45a0: 0d454e44 504f494e 54310001 0d454e44 .ENDPOINT1...END + 45b0: 504f494e 54320002 0d454e44 504f494e POINT2...ENDPOIN + 45c0: 54330003 0d454e44 504f494e 54340004 T3...ENDPOINT4.. + 45d0: 0d454e44 504f494e 54350005 0d454e44 .ENDPOINT5...END + 45e0: 504f494e 54360006 0d454e44 504f494e POINT6...ENDPOIN + 45f0: 54370007 0d454e44 504f494e 54380008 T7...ENDPOINT8.. + 4600: 0d454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 4610: 09485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 4620: 00000038 eb020103 00003997 04000201 ...8......9..... + 4630: 03000039 a0040003 00000108 04000600 ...9............ + 4640: 00132201 03000039 b0040003 00003805 .."....9......8. + 4650: 0400075f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 4660: 00003a35 08437265 64697453 697a6500 ..:5.CreditSize. + 4670: 00000108 02230008 43726564 69744e75 .....#..CreditNu + 4680: 6d626572 00000001 08022304 084f5348 mber......#..OSH + 4690: 616e646c 65000000 1a8c0223 08084849 andle......#..HI + 46a0: 4648616e 646c6500 000028f5 02230c08 FHandle...(..#.. + 46b0: 506f6f6c 48616e64 6c650000 00369102 PoolHandle...6.. + 46c0: 23100007 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 46d0: 54455854 00020000 3a710865 6e645f70 TEXT....:q.end_p + 46e0: 6f696e74 00000013 22022300 08687463 oint....".#..htc + 46f0: 5f666c61 67730000 00132202 23010009 _flags....".#... + 4700: 6874635f 68616e64 6c655f74 00000003 htc_handle_t.... + 4710: fd094854 435f5345 5455505f 434f4d50 ..HTC_SETUP_COMP + 4720: 4c455445 5f434200 00000101 09485443 LETE_CB......HTC + 4730: 5f434f4e 46494700 000039c4 0300003a _CONFIG...9....: + 4740: 9e040006 00003a71 01030000 3ab50400 ......:q....:... + 4750: 02010300 003ac204 00094854 435f5345 .....:....HTC_SE + 4760: 52564943 45000000 38050300 003acb04 RVICE...8....:.. + 4770: 00020103 00003ae3 04000201 0300003a ......:........: + 4780: ec040002 01030000 3af50400 06000001 ........:....... + 4790: 08010300 003afe04 00076874 635f6170 .....:....htc_ap + 47a0: 69730034 00003c7b 085f4854 435f496e is.4..<{._HTC_In + 47b0: 69740000 003abb02 2300085f 4854435f it...:..#.._HTC_ + 47c0: 53687574 646f776e 0000003a c4022304 Shutdown...:..#. + 47d0: 085f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 47e0: 72766963 65000000 3ae50223 08085f48 rvice...:..#.._H + 47f0: 54435f52 65616479 0000003a c402230c TC_Ready...:..#. + 4800: 085f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 4810: 65727300 00003aee 02231008 5f485443 ers...:..#.._HTC + 4820: 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 4830: 73740000 003af702 2314085f 4854435f st...:..#.._HTC_ + 4840: 53656e64 4d736700 00003aee 02231808 SendMsg...:..#.. + 4850: 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 4860: 48656164 726f6f6d 0000003b 0402231c Headroom...;..#. + 4870: 085f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 4880: 646c6572 00000028 a2022320 085f4854 dler...(..# ._HT + 4890: 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 48a0: 72000000 28990223 24085f48 54435f43 r...(..#$._HTC_C + 48b0: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 48c0: 4d736700 00003999 02232808 5f485443 Msg...9..#(._HTC + 48d0: 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 48e0: 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 48f0: 0039a202 232c0870 52657365 72766564 .9..#,.pReserved + 4900: 00000003 fd022330 0007686f 73745f61 ......#0..host_a + 4910: 70705f61 7265615f 73000400 003cab08 pp_area_s....<.. + 4920: 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 4930: 00000016 61022300 00110e00 003ce208 ....a.#......<.. + 4940: 6473744d 61630000 001cad02 23000873 dstMac......#..s + 4950: 72634d61 63000000 1cad0223 06087479 rcMac......#..ty + 4960: 70654f72 4c656e00 00001cf0 02230c00 peOrLen......#.. + 4970: 0e000016 c8030000 3cef0f02 00110800 ........<....... + 4980: 003d3f08 64736170 00000016 c8022300 .=?.dsap......#. + 4990: 08737361 70000000 16c80223 0108636e .ssap......#..cn + 49a0: 746c0000 0016c802 2302086f 7267436f tl......#..orgCo + 49b0: 64650000 003ce202 23030865 74686572 de...<..#..ether + 49c0: 54797065 0000001c f0022306 00110200 Type......#..... + 49d0: 003d6008 72737369 0000001c 46022300 .=`.rssi....F.#. + 49e0: 08696e66 6f000000 16c80223 01001104 .info......#.... + 49f0: 00003d87 08636f6d 6d616e64 49640000 ..=..commandId.. + 4a00: 001cf002 23000873 65714e6f 0000001c ....#..seqNo.... + 4a10: f0022302 000e0000 16c80100 003d940f ..#..........=.. + 4a20: 00001102 00003dbb 086d7367 53697a65 ......=..msgSize + 4a30: 00000016 c8022300 086d7367 44617461 ......#..msgData + 4a40: 0000003d 87022301 00110800 003e0208 ...=..#......>.. + 4a50: 61646472 6573734c 0000001c f0022300 addressL......#. + 4a60: 08616464 72657373 48000000 1cf00223 .addressH......# + 4a70: 02087661 6c75654c 0000001c f0022304 ..valueL......#. + 4a80: 0876616c 75654800 00001cf0 02230600 .valueH......#.. + 4a90: 09574d49 5f415654 0000003d bb0e0000 .WMI_AVT...=.... + 4aa0: 3e020800 003e1c0f 0000110c 00003e53 >....>........>S + 4ab0: 08747570 6c654e75 6d4c0000 001cf002 .tupleNumL...... + 4ac0: 23000874 75706c65 4e756d48 0000001c #..tupleNumH.... + 4ad0: f0022302 08617674 0000003e 0f022304 ..#..avt...>..#. + 4ae0: 00110100 003e7508 62656163 6f6e5065 .....>u.beaconPe + 4af0: 6e64696e 67436f75 6e740000 0016c802 ndingCount...... + 4b00: 23000007 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON + 4b10: 46494700 1000003e de084874 6348616e FIG....>..HtcHan + 4b20: 646c6500 00003a71 02230008 506f6f6c dle...:q.#..Pool + 4b30: 48616e64 6c650000 00369102 2304084d Handle...6..#..M + 4b40: 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 4b50: 00010802 2308084d 61784576 656e7445 ....#..MaxEventE + 4b60: 76747300 00000108 02230c00 02010300 vts......#...... + 4b70: 003ede04 0009574d 495f434d 445f4841 .>....WMI_CMD_HA + 4b80: 4e444c45 52000000 3ee0075f 574d495f NDLER...>.._WMI_ + 4b90: 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 4ba0: 00003f47 0870436d 6448616e 646c6572 ..?G.pCmdHandler + 4bb0: 0000003e e7022300 08436d64 49440000 ...>..#..CmdID.. + 4bc0: 00133602 23040846 6c616773 00000013 ..6.#..Flags.... + 4bd0: 36022306 00075f57 4d495f44 49535041 6.#..._WMI_DISPA + 4be0: 5443485f 5441424c 45001000 003fa808 TCH_TABLE....?.. + 4bf0: 704e6578 74000000 3fa80223 00087043 pNext...?..#..pC + 4c00: 6f6e7465 78740000 0003fd02 2304084e ontext......#..N + 4c10: 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 4c20: 00010802 23080870 5461626c 65000000 ....#..pTable... + 4c30: 3fc70223 0c000300 003f4704 0009574d ?..#.....?G...WM + 4c40: 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 4c50: 0000003e fc030000 3faf0400 0300003f ...>....?......? + 4c60: 47040009 4854435f 4255465f 434f4e54 G...HTC_BUF_CONT + 4c70: 45585400 00003a35 0c574d49 5f455654 EXT...:5.WMI_EVT + 4c80: 5f434c41 53530004 0000405f 18574d49 _CLASS....@_.WMI + 4c90: 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 4ca0: ffffffff 0d574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 4cb0: 53535f43 4d445f45 56454e54 00000d57 SS_CMD_EVENT...W + 4cc0: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 4cd0: 5f524550 4c590001 0d574d49 5f455654 _REPLY...WMI_EVT + 4ce0: 5f434c41 53535f4d 41580002 0009574d _CLASS_MAX....WM + 4cf0: 495f4556 545f434c 41535300 00003fea I_EVT_CLASS...?. + 4d00: 075f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 4d10: 54000c00 0040bd08 48746342 75664374 T....@..HtcBufCt + 4d20: 78000000 3fd50223 00084576 656e7443 x...?..#..EventC + 4d30: 6c617373 00000040 5f022304 08466c61 lass...@_.#..Fla + 4d40: 67730000 00133602 23080009 776d695f gs....6.#...wmi_ + 4d50: 68616e64 6c655f74 00000003 fd09574d handle_t......WM + 4d60: 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> + 4d70: 75030000 40cf0400 06000040 bd010300 u...@......@.... + 4d80: 0040ea04 0009574d 495f4449 53504154 .@....WMI_DISPAT + 4d90: 43485f54 41424c45 0000003f 47030000 CH_TABLE...?G... + 4da0: 40f70400 02010300 00411604 00060000 @........A...... + 4db0: 268d0103 0000411f 04000201 03000041 &.....A........A + 4dc0: 2c040006 00000108 01030000 41350400 ,...........A5.. + 4dd0: 02010300 00414204 00060000 13220103 .....AB......".. + 4de0: 0000414b 0400075f 776d695f 7376635f ..AK..._wmi_svc_ + 4df0: 61706973 002c0000 4293085f 574d495f apis.,..B.._WMI_ + 4e00: 496e6974 00000040 f0022300 085f574d Init...@..#.._WM + 4e10: 495f5265 67697374 65724469 73706174 I_RegisterDispat + 4e20: 63685461 626c6500 00004118 02230408 chTable...A..#.. + 4e30: 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 4e40: 00004125 02230808 5f574d49 5f53656e ..A%.#.._WMI_Sen + 4e50: 64457665 6e740000 00412e02 230c085f dEvent...A..#.._ + 4e60: 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 4e70: 656e7473 436f756e 74000000 413b0223 entsCount...A;.# + 4e80: 10085f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 4e90: 65746548 616e646c 65720000 0039a202 eteHandler...9.. + 4ea0: 2314085f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 4eb0: 6f6c4570 00000041 3b022318 085f574d olEp...A;.#.._WM + 4ec0: 495f5368 7574646f 776e0000 00414402 I_Shutdown...AD. + 4ed0: 231c085f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 4ee0: 61676548 616e646c 65720000 00399902 ageHandler...9.. + 4ef0: 2320085f 574d495f 53657276 69636543 # ._WMI_ServiceC + 4f00: 6f6e6e65 63740000 00415102 23240870 onnect...AQ.#$.p + 4f10: 52657365 72766564 00000003 fd022328 Reserved......#( + 4f20: 00077a73 446d6144 65736300 14000043 ..zsDmaDesc....C + 4f30: 15086374 726c0000 00016c02 23000873 ..ctrl....l.#..s + 4f40: 74617475 73000000 016c0223 0208746f tatus....l.#..to + 4f50: 74616c4c 656e0000 00016c02 23040864 talLen....l.#..d + 4f60: 61746153 697a6500 0000016c 02230608 ataSize....l.#.. + 4f70: 6c617374 41646472 00000043 15022308 lastAddr...C..#. + 4f80: 08646174 61416464 72000000 01900223 .dataAddr......# + 4f90: 0c086e65 78744164 64720000 00431502 ..nextAddr...C.. + 4fa0: 23100003 00004293 04000300 00429304 #.....B......B.. + 4fb0: 00077a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 4fc0: 43550868 65616400 0000431c 02230008 CU.head...C..#.. + 4fd0: 7465726d 696e6174 6f720000 00431c02 terminator...C.. + 4fe0: 23040007 7a735478 446d6151 75657565 #...zsTxDmaQueue + 4ff0: 00100000 43b90868 65616400 0000431c ....C..head...C. + 5000: 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 5010: 00431c02 23040878 6d697465 645f6275 .C..#..xmited_bu + 5020: 665f6865 61640000 00148102 23080878 f_head......#..x + 5030: 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 5040: 00148102 230c0002 01030000 43b90400 ....#.......C... + 5050: 03000043 23040002 01030000 43c90400 ...C#.......C... + 5060: 03000043 55040002 01030000 43d90400 ...CU.......C... + 5070: 02010300 0043e204 00020103 000043eb .....C........C. + 5080: 04000600 00148101 03000043 f4040002 ...........C.... + 5090: 01030000 44010400 06000014 81010300 ....D........... + 50a0: 00440a04 00020103 00004417 04000600 .D........D..... + 50b0: 00010801 03000044 20040006 0000431c .......D .....C. + 50c0: 01030000 442d0400 02010300 00443a04 ....D-.......D:. + 50d0: 0007646d 615f656e 67696e65 5f617069 ..dma_engine_api + 50e0: 00400000 45b0085f 696e6974 00000043 .@..E.._init...C + 50f0: bb022300 085f696e 69745f72 785f7175 ..#.._init_rx_qu + 5100: 65756500 000043cb 02230408 5f696e69 eue...C..#.._ini + 5110: 745f7478 5f717565 75650000 0043db02 t_tx_queue...C.. + 5120: 2308085f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 5130: 65756500 000043e4 02230c08 5f786d69 eue...C..#.._xmi + 5140: 745f6275 66000000 43ed0223 10085f66 t_buf...C..#.._f + 5150: 6c757368 5f786d69 74000000 43cb0223 lush_xmit...C..# + 5160: 14085f72 6561705f 72656376 5f627566 .._reap_recv_buf + 5170: 00000043 fa022318 085f7265 7475726e ...C..#.._return + 5180: 5f726563 765f6275 66000000 44030223 _recv_buf...D..# + 5190: 1c085f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 51a0: 75660000 00441002 2320085f 73776170 uf...D..# ._swap + 51b0: 5f646174 61000000 44190223 24085f68 _data...D..#$._h + 51c0: 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 51d0: 00000044 26022328 085f6465 73635f64 ...D&.#(._desc_d + 51e0: 756d7000 000043cb 02232c08 5f676574 ump...C..#,._get + 51f0: 5f706163 6b657400 00004433 02233008 _packet...D3.#0. + 5200: 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 5210: 0000443c 02233408 5f707574 5f706163 ..D<.#4._put_pac + 5220: 6b657400 0000443c 02233808 70526573 ket...D<.#8.pRes + 5230: 65727665 64000000 03fd0223 3c00095f erved......#<.._ + 5240: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 5250: 696f6e5f 7461626c 655f7400 000030ef ion_table_t...0. + 5260: 09574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 5270: 4158165f 415f6d61 67706965 5f696e64 AX._A_magpie_ind + 5280: 69726563 74696f6e 5f746162 6c650003 irection_table.. + 5290: 4c000046 de08636d 6e6f7300 000045b0 L..F..cmnos...E. + 52a0: 02230008 64626700 000003ca 0323b803 .#..dbg......#.. + 52b0: 08686966 00000029 980323c0 03086874 .hif...)..#...ht + 52c0: 63000000 3b0b0323 f8030877 6d695f73 c...;..#...wmi_s + 52d0: 76635f61 70690000 0045d203 23ac0408 vc_api...E..#... + 52e0: 75736266 69666f5f 61706900 000032c3 usbfifo_api...2. + 52f0: 0323d804 08627566 5f706f6f 6c000000 .#...buf_pool... + 5300: 376c0323 e4040876 62756600 000014ab 7l.#...vbuf..... + 5310: 03238005 08766465 73630000 00138d03 .#...vdesc...... + 5320: 23940508 616c6c6f 6372616d 00000009 #...allocram.... + 5330: 830323a8 0508646d 615f656e 67696e65 ..#...dma_engine + 5340: 00000044 430323b4 0508646d 615f6c69 ...DC.#...dma_li + 5350: 62000000 2c2c0323 f4050868 69665f70 b...,,.#...hif_p + 5360: 63690000 002e8c03 23a80600 095f415f ci......#...._A_ + 5370: 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 5380: 6f6e5f74 61626c65 5f740000 0045e411 on_table_t...E.. + 5390: 10000047 34086130 00000001 a5022300 ...G4.a0......#. + 53a0: 08613100 000001a5 02230408 61320000 .a1......#..a2.. + 53b0: 0001a502 23080861 33000000 01a50223 ....#..a3......# + 53c0: 0c000e00 00470150 00004741 0f040007 .....G.P..GA.... + 53d0: 5854656e 73615f65 78636570 74696f6e XTensa_exception + 53e0: 5f667261 6d655f73 00800000 48250878 _frame_s....H%.x + 53f0: 745f7063 00000001 a5022300 0878745f t_pc......#..xt_ + 5400: 70730000 0001a502 23040878 745f7361 ps......#..xt_sa + 5410: 72000000 01a50223 08087874 5f767072 r......#..xt_vpr + 5420: 69000000 01a50223 0c087874 5f613200 i......#..xt_a2. + 5430: 000001a5 02231008 78745f61 33000000 .....#..xt_a3... + 5440: 01a50223 14087874 5f613400 000001a5 ...#..xt_a4..... + 5450: 02231808 78745f61 35000000 01a50223 .#..xt_a5......# + 5460: 1c087874 5f657863 63617573 65000000 ..xt_exccause... + 5470: 01a50223 20087874 5f6c636f 756e7400 ...# .xt_lcount. + 5480: 000001a5 02232408 78745f6c 62656700 .....#$.xt_lbeg. + 5490: 000001a5 02232808 78745f6c 656e6400 .....#(.xt_lend. + 54a0: 000001a5 02232c08 77620000 00473402 .....#,.wb...G4. + 54b0: 23300009 4350555f 65786365 7074696f #0..CPU_exceptio + 54c0: 6e5f6672 616d655f 74000000 47411108 n_frame_t...GA.. + 54d0: 00004874 086d6f72 655f6461 74610000 ..Ht.more_data.. + 54e0: 001cf002 2300086c 656e0000 001cf002 ....#..len...... + 54f0: 2302086f 66667365 74000000 16610223 #..offset....a.# + 5500: 04001108 00004896 08727370 00000016 ......H..rsp.... + 5510: 61022300 086f6666 73657400 00001661 a.#..offset....a + 5520: 02230400 110c0000 48dd0861 64647200 .#......H..addr. + 5530: 00001661 02230008 6869665f 68616e64 ...a.#..hif_hand + 5540: 6c650000 0028f502 23040872 785f7069 le...(..#..rx_pi + 5550: 70650000 0016c802 23080874 785f7069 pe......#..tx_pi + 5560: 70650000 0016c802 23090009 415f494e pe......#...A_IN + 5570: 54333200 00000108 095f5f61 5f696e74 T32......__a_int + 5580: 33325f74 00000048 dd09615f 696e7433 32_t...H..a_int3 + 5590: 325f7400 000048ea 076d6469 6f5f6277 2_t...H..mdio_bw + 55a0: 5f657865 63001800 00499208 73746172 _exec....I..star + 55b0: 745f6164 64726573 73000000 16610223 t_address....a.# + 55c0: 00086c65 6e677468 00000016 61022304 ..length....a.#. + 55d0: 08657865 635f6164 64726573 73000000 .exec_address... + 55e0: 16610223 08086368 65636b73 756d0000 .a.#..checksum.. + 55f0: 00166102 230c0866 77645f73 74617465 ..a.#..fwd_state + 5600: 00000048 fb022310 08637572 72656e74 ...H..#..current + 5610: 5f77725f 70747200 000016d7 02231400 _wr_ptr......#.. + 5620: 06000001 08010300 0001a504 00190000 ................ + 5630: 01a50100 0049ab1a 00050000 499f1900 .....I......I... + 5640: 0001a501 000049bc 1a001b5f 726f6d5f ......I...._rom_ + 5650: 73746f72 655f7461 626c6500 000049ab store_table...I. + 5660: 0503004e 8720010e 000001a5 10000049 ...N. .........I + 5670: e60f0300 0e000001 0f0b0000 49f30f0a ............I... + 5680: 00030000 49e60400 1c000001 901c0000 ....I........... + 5690: 01900300 0049ff04 00030000 48250400 .....I......H%.. + 56a0: 02010e00 00010f3c 00004a21 0f3b0003 .......<..J!.;.. + 56b0: 00004a14 04000e00 00010f07 00004a35 ..J...........J5 + 56c0: 0f060003 00004a28 04000e00 00010f12 ......J(........ + 56d0: 00004a49 0f110003 00004a3c 04000300 ..JI......J<.... + 56e0: 0001a504 000e0000 010f4300 004a640f ..........C..Jd. + 56f0: 42000300 004a5704 000e0000 010f2d00 B....JW.......-. + 5700: 004a780f 2c000300 004a6b04 000e0000 .Jx.,....Jk..... + 5710: 010f1b00 004a8c0f 1a000300 004a7f04 .....J.......J.. + 5720: 00110800 004abd08 696e7374 616c6c5f .....J..install_ + 5730: 666e0000 0009e902 23000861 70695f74 fn......#..api_t + 5740: 626c0000 0003fd02 23040019 00004a93 bl......#.....J. + 5750: 0100004a ca0f1600 1b626173 69635f52 ...J.....basic_R + 5760: 4f4d5f6d 6f64756c 655f7461 626c6500 OM_module_table. + 5770: 00004abd 05030050 06400103 00000440 ..J....P.@.....@ + 5780: 04000201 03000045 b0040003 000046de .......E......F. + 5790: 04000300 0005b304 00020103 00000135 ...............5 + 57a0: 04000201 02010300 000fe204 00020103 ................ + 57b0: 00000c7b 04000201 03000007 cb040002 ...{............ + 57c0: 01030000 0b2b0400 02010300 00098304 .....+.......... + 57d0: 00020103 00000875 04000201 0300000e .......u........ + 57e0: 58040002 01030000 0f860400 02010300 X............... + 57f0: 002f5d04 00030000 06d70400 02010300 ./]............. + 5800: 000a8004 00020103 0000138d 04000201 ................ + 5810: 03000014 ab040002 01030000 29980400 ............)... + 5820: 02010300 002e8c04 00020103 00002c2c ..............,, + 5830: 04000201 03000037 6c040002 01030000 .......7l....... + 5840: 32c30400 02010300 00444304 00020109 2........DC..... + 5850: 5f78746f 735f6861 6e646c65 725f6675 _xtos_handler_fu + 5860: 6e630000 0043b903 00004bc1 0400095f nc...C....K...._ + 5870: 78746f73 5f68616e 646c6572 0000004b xtos_handler...K + 5880: d9060000 4be00103 00004a12 04000201 ....K.....J..... + 5890: 0300004c 00040002 010e0000 010f1400 ...L............ + 58a0: 004c180f 13000300 004c0b04 000e0000 .L.......L...... + 58b0: 010f0500 004c2c0f 04000300 004c1f04 .....L,......L.. + 58c0: 00060000 0841010e 0000010f 1100004c .....A.........L + 58d0: 460f1000 0300004c 39040003 000043b9 F......L9.....C. + 58e0: 04000e00 00010f1c 00004c61 0f1b0003 ..........La.... + 58f0: 00004c54 04000300 0043b904 000e0000 ..LT.....C...... + 5900: 010f0200 004c7c0f 01000300 004c6f04 .....L|......Lo. + 5910: 000e0000 010f1000 004c900f 0f000300 .........L...... + 5920: 004c8304 000e0000 010f1900 004ca40f .L...........L.. + 5930: 18000300 004c9704 000e0000 010f1e00 .....L.......... + 5940: 004cb80f 1d000300 004cab04 000e0000 .L.......L...... + 5950: 010f0f00 004ccc0f 0e000300 004cbf04 .....L.......L.. + 5960: 000e0000 010f0d00 004ce00f 0c000300 .........L...... + 5970: 004cd304 00060000 28f5010e 0000010f .L......(....... + 5980: 1a00004c fa0f1900 0300004c ed040002 ...L.......L.... + 5990: 01096d64 696f5f62 775f6578 65635f74 ..mdio_bw_exec_t + 59a0: 00000049 0a030000 4d030400 06000001 ...I....M....... + 59b0: 0801096a 6d705f66 756e6300 00000101 ...jmp_func..... + 59c0: 1d017770 6f737400 01010392 01300290 ..wpost......0.. + 59d0: 00008e13 a4008e13 f700004d 701e7061 ...........Mp.pa + 59e0: 74746572 6e000000 49d90291 501f6900 ttern...I...P.i. + 59f0: 00000182 1f746d70 00000001 a5001d01 .....tmp........ + 5a00: bc4d6167 7069655f 66617461 6c5f6578 .Magpie_fatal_ex + 5a10: 63657074 696f6e5f 68616e64 6c657200 ception_handler. + 5a20: 01010492 01b00102 9000008e 13f8008e ................ + 5a30: 14280000 4dca2001 bc657863 5f667261 .(..M. ..exc_fra + 5a40: 6d650000 004a0b01 521e6475 6d700000 me...J..R.dump.. + 5a50: 0004a203 91d07e00 2101d161 74686f73 ......~.!..athos + 5a60: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 5a70: 00010801 03920120 02900000 8e142800 ....... ......(. + 5a80: 8e145100 004e1a20 01d1737a 00000001 ..Q..N. ..sz.... + 5a90: 08015220 01d16c69 6e6b5f63 6865636b ..R ..link_check + 5aa0: 00000030 d4015300 2201ef61 74686f73 ...0..S."..athos + 5ab0: 5f626c6f 636b5f61 6c6c5f69 6e74726c _block_all_intrl + 5ac0: 766c0000 0001a501 01039201 20029000 vl.......... ... + 5ad0: 008e1454 008e145f 00004e58 1f746d70 ...T..._..NX.tmp + 5ae0: 00000001 a5001d01 fe617468 6f735f75 .........athos_u + 5af0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 5b00: 766c0001 01039201 20029000 008e1460 vl...... ......` + 5b10: 008e1468 00004e94 1f746d70 00000004 ...h..N..tmp.... + 5b20: 00002301 01066174 686f735f 72657374 ..#...athos_rest + 5b30: 6f72655f 696e7472 6c766c00 01010392 ore_intrlvl..... + 5b40: 01200290 00008e14 68008e14 7200004e . ......h...r..N + 5b50: d7240101 066f6c64 5f696e74 72000000 .$...old_intr... + 5b60: 01a50152 00250101 10415236 3030325f ...R.%...AR6002_ + 5b70: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 5b80: 68616e64 6c657200 01049201 b0010290 handler......... + 5b90: 00008e14 74008e14 d200004f 45240101 ....t......OE$.. + 5ba0: 10657863 5f667261 6d650000 004a0b01 .exc_frame...J.. + 5bb0: 521e6475 6d700000 0004a203 91d07e1f R.dump........~. + 5bc0: 73746b70 74720000 004a501f 69000000 stkptr...JP.i... + 5bd0: 01080025 01013b41 52363030 325f6661 ...%..;AR6002_fa + 5be0: 74616c5f 65786365 7074696f 6e5f6861 tal_exception_ha + 5bf0: 6e646c65 72000104 9201b001 02900000 ndler........... + 5c00: 8e14d400 8e154500 004fd824 01013b65 ......E..O.$..;e + 5c10: 78635f66 72616d65 0000004a 0b01521f xc_frame...J..R. + 5c20: 72657365 745f6675 6e630000 0001011e reset_func...... + 5c30: 64756d70 00000004 a20391d0 7e1f6570 dump........~.ep + 5c40: 63310000 0001a51f 65706332 00000001 c1......epc2.... + 5c50: a51f6570 63330000 0001a51f 65706334 ..epc3......epc4 + 5c60: 00000001 a5002301 01be6765 6e657269 ......#...generi + 5c70: 635f6869 665f6d6f 64756c65 5f696e73 c_hif_module_ins + 5c80: 74616c6c 00010103 92012002 9000008e tall...... ..... + 5c90: 1548008e 15730000 50282401 01be6170 .H...s..P($...ap + 5ca0: 69730000 004b8b01 521f686f 73746966 is...K..R.hostif + 5cb0: 00000005 7e002301 01d86174 686f735f ....~.#...athos_ + 5cc0: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 5cd0: 655f696e 7374616c 6c000101 03920120 e_install...... + 5ce0: 02900000 8e157400 8e160300 0050721f ......t......Pr. + 5cf0: 74626c00 0000036b 1f690000 00040000 tbl....k.i...... + 5d00: 2301021c 6174686f 735f696e 74657272 #...athos_interr + 5d10: 7570745f 68616e64 6c657200 01010392 upt_handler..... + 5d20: 01200290 00008e16 04008e16 14000050 . .............P + 5d30: cd240102 1c696e75 6d000000 04000152 .$...inum......R + 5d40: 2401021c 696e7465 72727570 745f6672 $...interrupt_fr + 5d50: 616d6500 000030e8 01530023 01022361 ame...0..S.#..#a + 5d60: 74686f73 5f696e74 65727275 70745f69 thos_interrupt_i + 5d70: 6e697400 01010392 01200290 00008e16 nit...... ...... + 5d80: 14008e16 7a000051 031f6900 00000108 ....z..Q..i..... + 5d90: 00230103 9f617468 6f735f69 6e697400 .#...athos_init. + 5da0: 01010392 01200290 00008e16 7c008e16 ..... ......|... + 5db0: ae000051 36240103 9f686966 00000005 ...Q6$...hif.... + 5dc0: 7e015200 260103b5 5f726561 645f7573 ~.R.&..._read_us + 5dd0: 625f6465 73630000 00084101 01039201 b_desc....A..... + 5de0: 30029000 008e16b0 008e16e6 000051ab 0.............Q. + 5df0: 240103b5 70446573 63000000 0f650152 $...pDesc....e.R + 5e00: 240103b5 4f666673 65740000 00018201 $...Offset...... + 5e10: 53240103 b553697a 65000000 01820154 S$...Size......T + 5e20: 1f704473 74000000 0f651e6d 53697a65 .pDst....e.mSize + 5e30: 00000001 82029150 00260103 c8726561 .......P.&...rea + 5e40: 645f7573 625f636f 6e660000 00084101 d_usb_conf....A. + 5e50: 01039201 30029000 008e16e8 008e178b ....0........... + 5e60: 000051e5 1e6d4461 74610000 0001a502 ..Q..mData...... + 5e70: 91500026 0103e073 65745f70 63695f63 .P.&...set_pci_c + 5e80: 6f6e6600 00000841 01010392 01200290 onf....A..... .. + 5e90: 00008e17 8c008e18 5e000052 4e1f6d44 ........^..RN.mD + 5ea0: 61746100 000001a5 1f66726f 6d6f776c ata......fromowl + 5eb0: 00000016 c81f6469 64766964 00000001 ......didvid.... + 5ec0: a51f6770 696f656e 00000001 a51f6770 ..gpioen......gp + 5ed0: 696f6675 6e630000 0001a500 27010410 iofunc......'... + 5ee0: 626f6f74 6c6f6164 00010392 01200290 bootload..... .. + 5ef0: 00008e18 60008e18 9b270104 22706369 ....`....'.."pci + 5f00: 5f676d61 635f626f 6f746c6f 61640001 _gmac_bootload.. + 5f10: 03920120 02900000 8e189c00 8e18c827 ... ...........' + 5f20: 01043474 75726e5f 6f66665f 72630001 ..4turn_off_rc.. + 5f30: 03920120 02900000 8e18c800 8e18fb23 ... ...........# + 5f40: 01044362 6f6f7465 6e747279 00010104 ..Cbootentry.... + 5f50: 9201c000 02900000 8e18fc00 8e1c1400 ................ + 5f60: 00535b1f 686f7374 69660000 00057e1f .S[.hostif....~. + 5f70: 7273745f 73746174 75730000 000e3a1f rst_status....:. + 5f80: 72657445 45500000 000f561e 69000000 retEEP....V.i... + 5f90: 01820503 00500950 1f617374 61727400 .....P.P.astart. + 5fa0: 000003fd 1f617369 7a650000 0001081f .....asize...... + 5fb0: 61737461 72740000 0003fd1f 6173697a astart......asiz + 5fc0: 65000000 01081e66 775f6277 5f737461 e......fw_bw_sta + 5fd0: 74650000 004d0302 91401f66 756e635f te...M...@.func_ + 5fe0: 70747200 00004d24 00280105 8a6d6169 ptr...M$.(...mai + 5ff0: 6e000000 01080101 03920120 02029000 n.......... .... + 6000: 008e1c14 008e1c32 00000000 48740002 .......2....Ht.. + 6010: 00000443 04012f72 6f6f742f 576f726b ...C../root/Work + 6020: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 6030: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 6040: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 6050: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 6060: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 6070: 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + 6080: 616d2f73 72632f63 6d6e6f73 5f616c6c am/src/cmnos_all + 6090: 6f637261 6d2e6300 2f726f6f 742f576f ocram.c./root/Wo + 60a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 60b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 60c0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 60d0: 2f616c6c 6f637261 6d007874 2d786363 /allocram.xt-xcc + 60e0: 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: + 60f0: 616c6967 6e5f696e 73747275 6374696f align_instructio + 6100: 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O + 6110: 50543a73 70616365 00010000 0025ff02 PT:space.....%.. + 6120: 01030000 01150400 04696e74 00050404 .........int.... + 6130: 63686172 00070105 00000125 05000001 char.......%.... + 6140: 25030000 01320400 06000001 1e010300 %....2.......... + 6150: 00013e04 00077072 696e7466 5f617069 ..>...printf_api + 6160: 00080000 0182085f 7072696e 74665f69 ......._printf_i + 6170: 6e697400 00000117 02230008 5f707269 nit......#.._pri + 6180: 6e746600 00000144 02230400 0473686f ntf....D.#...sho + 6190: 72742075 6e736967 6e656420 696e7400 rt unsigned int. + 61a0: 07020975 696e7431 365f7400 00000182 ...uint16_t..... + 61b0: 046c6f6e 6720756e 7369676e 65642069 .long unsigned i + 61c0: 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. + 61d0: 0001a607 75617274 5f666966 6f000800 ....uart_fifo... + 61e0: 00021408 73746172 745f696e 64657800 ....start_index. + 61f0: 00000198 02230008 656e645f 696e6465 .....#..end_inde + 6200: 78000000 01980223 02086f76 65727275 x......#..overru + 6210: 6e5f6572 72000000 01bb0223 04000775 n_err......#...u + 6220: 6172745f 61706900 20000002 cd085f75 art_api. ....._u + 6230: 6172745f 696e6974 00000003 24022300 art_init....$.#. + 6240: 085f7561 72745f63 6861725f 70757400 ._uart_char_put. + 6250: 0000034b 02230408 5f756172 745f6368 ...K.#.._uart_ch + 6260: 61725f67 65740000 00035f02 2308085f ar_get...._.#.._ + 6270: 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 6280: 6802230c 085f7561 72745f74 61736b00 h.#.._uart_task. + 6290: 00000117 02231008 5f756172 745f7374 .....#.._uart_st + 62a0: 61747573 00000003 24022314 085f7561 atus....$.#.._ua + 62b0: 72745f63 6f6e6669 67000000 03710223 rt_config....q.# + 62c0: 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 62d0: 00037a02 231c0003 00000214 04000775 ..z.#..........u + 62e0: 6172745f 626c6b00 10000003 1e086465 art_blk.......de + 62f0: 6275675f 6d6f6465 00000001 98022300 bug_mode......#. + 6300: 08626175 64000000 01980223 02085f75 .baud......#.._u + 6310: 61727400 000002cd 02230408 5f747800 art......#.._tx. + 6320: 000001c9 02230800 06000001 bb010300 .....#.......... + 6330: 00031e04 0004756e 7369676e 65642063 ......unsigned c + 6340: 68617200 07010975 696e7438 5f740000 har....uint8_t.. + 6350: 00032b02 01030000 03490400 03000003 ..+......I...... + 6360: 3c040006 00000198 01030000 03590400 <............Y.. + 6370: 02010300 00036604 00020103 0000036f ......f........o + 6380: 04000201 03000003 78040003 00000125 ........x......% + 6390: 04000600 00011e01 03000003 88040007 ................ + 63a0: 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC + 63b0: 54000c00 0003e008 636d645f 73747200 T.......cmd_str. + 63c0: 00000381 02230008 68656c70 5f737472 .....#..help_str + 63d0: 00000003 81022304 08636d64 5f66756e ......#..cmd_fun + 63e0: 63000000 038e0223 08000764 62675f61 c......#...dbg_a + 63f0: 70690008 00000413 085f6462 675f696e pi......._dbg_in + 6400: 69740000 00011702 2300085f 6462675f it......#.._dbg_ + 6410: 7461736b 00000001 17022304 000a0400 task......#..... + 6420: 04756e73 69676e65 6420696e 74000704 .unsigned int... + 6430: 06000004 13010300 00042604 000b0b03 ..........&..... + 6440: 00000434 04000600 00041301 03000004 ...4............ + 6450: 3c040006 0000011e 01030000 04490400 <............I.. + 6460: 076d656d 5f617069 00140000 04b8085f .mem_api......._ + 6470: 6d656d5f 696e6974 00000001 17022300 mem_init......#. + 6480: 085f6d65 6d736574 00000004 2c022304 ._memset....,.#. + 6490: 085f6d65 6d637079 00000004 42022308 ._memcpy....B.#. + 64a0: 085f6d65 6d6d6f76 65000000 04420223 ._memmove....B.# + 64b0: 0c085f6d 656d636d 70000000 044f0223 .._memcmp....O.# + 64c0: 10000c72 65676973 7465725f 64756d70 ...register_dump + 64d0: 5f730000 01030000 04b80400 02010300 _s.............. + 64e0: 0004d204 00020103 000004db 04000600 ................ + 64f0: 00011e01 03000004 e404000d 686f7374 ............host + 6500: 69665f73 00040000 05400e48 49465f55 if_s.....@.HIF_U + 6510: 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... + 6520: 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P + 6530: 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H + 6540: 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS + 6550: 54494600 000004f1 06000005 40010300 TIF.........@... + 6560: 00054e04 00060000 033c0103 0000055b ..N......<.....[ + 6570: 04000600 00019801 03000005 68040007 ............h... + 6580: 6d697363 5f617069 00240000 0658085f misc_api.$...X._ + 6590: 73797374 656d5f72 65736574 00000001 system_reset.... + 65a0: 17022300 085f6d61 635f7265 73657400 ..#.._mac_reset. + 65b0: 00000117 02230408 5f617373 6661696c .....#.._assfail + 65c0: 00000004 d4022308 085f6d69 73616c69 ......#.._misali + 65d0: 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle + 65e0: 72000000 04d40223 0c085f72 65706f72 r......#.._repor + 65f0: 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos + 6600: 74000000 04dd0223 10085f74 61726765 t......#.._targe + 6610: 745f6964 5f676574 00000004 ea022314 t_id_get......#. + 6620: 085f6973 5f686f73 745f7072 6573656e ._is_host_presen + 6630: 74000000 05540223 18085f6b 62686974 t....T.#.._kbhit + 6640: 00000005 6102231c 085f726f 6d5f7665 ....a.#.._rom_ve + 6650: 7273696f 6e5f6765 74000000 056e0223 rsion_get....n.# + 6660: 20000600 00038101 03000006 58040006 ...........X... + 6670: 00000381 01030000 06650400 06000001 .........e...... + 6680: 1e010300 00067204 00060000 011e0103 ......r......... + 6690: 0000067f 04000600 00011e01 03000006 ................ + 66a0: 8c040007 73747269 6e675f61 70690018 ....string_api.. + 66b0: 00000712 085f7374 72696e67 5f696e69 ....._string_ini + 66c0: 74000000 01170223 00085f73 74726370 t......#.._strcp + 66d0: 79000000 065e0223 04085f73 74726e63 y....^.#.._strnc + 66e0: 70790000 00066b02 2308085f 7374726c py....k.#.._strl + 66f0: 656e0000 00067802 230c085f 73747263 en....x.#.._strc + 6700: 6d700000 00068502 2310085f 7374726e mp......#.._strn + 6710: 636d7000 00000692 02231400 0f000004 cmp......#...... + 6720: 16140000 071f1004 00095f41 5f54494d .........._A_TIM + 6730: 45525f53 50414345 00000007 1209415f ER_SPACE......A_ + 6740: 74696d65 725f7400 0000071f 03000007 timer_t......... + 6750: 33040002 01030000 07490400 02010300 3........I...... + 6760: 00075204 0009415f 48414e44 4c450000 ..R...A_HANDLE.. + 6770: 00041602 0109415f 54494d45 525f4655 ......A_TIMER_FU + 6780: 4e430000 00076903 0000076b 04000201 NC....i....k.... + 6790: 03000007 84040007 74696d65 725f6170 ........timer_ap + 67a0: 69001400 00080308 5f74696d 65725f69 i......._timer_i + 67b0: 6e697400 00000117 02230008 5f74696d nit......#.._tim + 67c0: 65725f61 726d0000 00074b02 2304085f er_arm....K.#.._ + 67d0: 74696d65 725f6469 7361726d 00000007 timer_disarm.... + 67e0: 54022308 085f7469 6d65725f 73657466 T.#.._timer_setf + 67f0: 6e000000 07860223 0c085f74 696d6572 n......#.._timer + 6800: 5f72756e 00000001 17022310 0009424f _run......#...BO + 6810: 4f4c4541 4e000000 01980600 00080301 OLEAN........... + 6820: 03000008 10040006 00000803 01030000 ................ + 6830: 081d0400 06000008 03010300 00082a04 ..............*. + 6840: 0007726f 6d705f61 70690010 0000089c ..romp_api...... + 6850: 085f726f 6d705f69 6e697400 00000117 ._romp_init..... + 6860: 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo + 6870: 61640000 00081602 2304085f 726f6d70 ad......#.._romp + 6880: 5f696e73 74616c6c 00000008 23022308 _install....#.#. + 6890: 085f726f 6d705f64 65636f64 65000000 ._romp_decode... + 68a0: 08300223 0c000772 6f6d5f70 61746368 .0.#...rom_patch + 68b0: 5f737400 10000008 f8086372 63313600 _st.......crc16. + 68c0: 00000198 02230008 6c656e00 00000198 .....#..len..... + 68d0: 02230208 6c645f61 64647200 000001bb .#..ld_addr..... + 68e0: 02230408 66756e5f 61646472 00000001 .#..fun_addr.... + 68f0: bb022308 08706675 6e000000 03520223 ..#..pfun....R.# + 6900: 0c000765 65705f72 65646972 5f616464 ...eep_redir_add + 6910: 72000400 00092a08 6f666673 65740000 r.....*.offset.. + 6920: 00019802 23000873 697a6500 00000198 ....#..size..... + 6930: 02230200 09415f55 494e5433 32000000 .#...A_UINT32... + 6940: 04160600 00041301 03000009 38040007 ............8... + 6950: 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... + 6960: 09a90863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra + 6970: 6d5f696e 69740000 00093e02 23000863 m_init....>.#..c + 6980: 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... + 6990: 093e0223 0408636d 6e6f735f 616c6c6f .>.#..cmnos_allo + 69a0: 6372616d 5f646562 75670000 00011702 cram_debug...... + 69b0: 23080002 01030000 09a90400 09415f54 #............A_T + 69c0: 41534b4c 45545f46 554e4300 000009ab ASKLET_FUNC..... + 69d0: 075f7461 736b6c65 74001000 000a0a08 ._tasklet....... + 69e0: 66756e63 00000009 b2022300 08617267 func......#..arg + 69f0: 00000004 13022304 08737461 74650000 ......#..state.. + 6a00: 00011e02 2308086e 65787400 00000a0a ....#..next..... + 6a10: 02230c00 03000009 c6040003 000009c6 .#.............. + 6a20: 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. + 6a30: 0009c603 00000a18 04000201 0300000a ................ + 6a40: 30040002 01030000 0a390400 07746173 0........9...tas + 6a50: 6b6c6574 5f617069 00140000 0ace085f klet_api......._ + 6a60: 7461736b 6c65745f 696e6974 00000001 tasklet_init.... + 6a70: 17022300 085f7461 736b6c65 745f696e ..#.._tasklet_in + 6a80: 69745f74 61736b00 00000a32 02230408 it_task....2.#.. + 6a90: 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable + 6aa0: 0000000a 3b022308 085f7461 736b6c65 ....;.#.._taskle + 6ab0: 745f7363 68656475 6c650000 000a3b02 t_schedule....;. + 6ac0: 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. + 6ad0: 00000117 02231000 02010300 000ace04 .....#.......... + 6ae0: 00060000 092a0103 00000ad7 04000201 .....*.......... + 6af0: 0300000a e4040007 636c6f63 6b5f6170 ........clock_ap + 6b00: 69002400 000bc608 5f636c6f 636b5f69 i.$....._clock_i + 6b10: 6e697400 00000ad0 02230008 5f636c6f nit......#.._clo + 6b20: 636b7265 67735f69 6e697400 00000117 ckregs_init..... + 6b30: 02230408 5f756172 745f6672 65717565 .#.._uart_freque + 6b40: 6e637900 00000add 02230808 5f64656c ncy......#.._del + 6b50: 61795f75 73000000 0ae60223 0c085f77 ay_us......#.._w + 6b60: 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... + 6b70: e6022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe + 6b80: 65645f67 65740000 000add02 2314085f ed_get......#.._ + 6b90: 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... + 6ba0: dd022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha + 6bb0: 6e676500 00000117 02231c08 5f636c6f nge......#.._clo + 6bc0: 636b5f74 69636b00 00000117 02232000 ck_tick......# . + 6bd0: 06000001 bb010300 000bc604 0009415f ..............A_ + 6be0: 6f6c645f 696e7472 5f740000 0001bb06 old_intr_t...... + 6bf0: 00000bd3 01030000 0be50400 02010300 ................ + 6c00: 000bf204 00020103 00000bfb 04000600 ................ + 6c10: 0001bb01 0300000c 04040009 415f6973 ............A_is + 6c20: 725f7400 00000c0a 02010300 000c1e04 r_t............. + 6c30: 00060000 04160103 00000c27 04000201 ...........'.... + 6c40: 0300000c 34040007 696e7472 5f617069 ....4...intr_api + 6c50: 002c0000 0d56085f 696e7472 5f696e69 .,...V._intr_ini + 6c60: 74000000 01170223 00085f69 6e74725f t......#.._intr_ + 6c70: 696e766f 6b655f69 73720000 000bcc02 invoke_isr...... + 6c80: 2304085f 696e7472 5f646973 61626c65 #.._intr_disable + 6c90: 0000000b eb022308 085f696e 74725f72 ......#.._intr_r + 6ca0: 6573746f 72650000 000bf402 230c085f estore......#.._ + 6cb0: 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. + 6cc0: 000bfd02 2310085f 696e7472 5f756e6d ....#.._intr_unm + 6cd0: 61736b5f 696e756d 0000000b fd022314 ask_inum......#. + 6ce0: 085f696e 74725f61 74746163 685f6973 ._intr_attach_is + 6cf0: 72000000 0c200223 18085f67 65745f69 r.... .#.._get_i + 6d00: 6e747265 6e61626c 65000000 0c2d0223 ntrenable....-.# + 6d10: 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl + 6d20: 65000000 0c360223 20085f67 65745f69 e....6.# ._get_i + 6d30: 6e747270 656e6469 6e670000 000c2d02 ntrpending....-. + 6d40: 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ + 6d50: 696e7472 6c766c00 00000117 02232800 intrlvl......#(. + 6d60: 11040000 0d7c0874 696d656f 75740000 .....|.timeout.. + 6d70: 0001bb02 23000861 6374696f 6e000000 ....#..action... + 6d80: 01bb0223 00001208 00000d97 08636d64 ...#.........cmd + 6d90: 00000001 bb022300 1300000d 56022304 ......#.....V.#. + 6da0: 0009545f 5744545f 434d4400 00000d7c ..T_WDT_CMD....| + 6db0: 02010300 000da604 00140400 000dfc0e ................ + 6dc0: 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... + 6dd0: 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. + 6de0: 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. + 6df0: 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B + 6e00: 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY + 6e10: 50450000 000daf06 00000dfc 01030000 PE.............. + 6e20: 0e0d0400 07776474 5f617069 001c0000 .....wdt_api.... + 6e30: 0eb1085f 7764745f 696e6974 00000001 ..._wdt_init.... + 6e40: 17022300 085f7764 745f656e 61626c65 ..#.._wdt_enable + 6e50: 00000001 17022304 085f7764 745f6469 ......#.._wdt_di + 6e60: 7361626c 65000000 01170223 08085f77 sable......#.._w + 6e70: 64745f73 65740000 000da802 230c085f dt_set......#.._ + 6e80: 7764745f 7461736b 00000001 17022310 wdt_task......#. + 6e90: 085f7764 745f7265 73657400 00000117 ._wdt_reset..... + 6ea0: 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo + 6eb0: 6f740000 000e1302 23180014 0400000f ot......#....... + 6ec0: 180e5245 545f5355 43434553 5300000e ..RET_SUCCESS... + 6ed0: 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R + 6ee0: 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R + 6ef0: 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. + 6f00: 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO + 6f10: 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. + 6f20: 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... + 6f30: b1030000 01980400 0600000f 18010300 ................ + 6f40: 000f2e04 00060000 0f180103 00000f3b ...............; + 6f50: 04000765 65705f61 70690010 00000fa4 ...eep_api...... + 6f60: 085f6565 705f696e 69740000 00011702 ._eep_init...... + 6f70: 2300085f 6565705f 72656164 0000000f #.._eep_read.... + 6f80: 34022304 085f6565 705f7772 69746500 4.#.._eep_write. + 6f90: 00000f34 02230808 5f656570 5f69735f ...4.#.._eep_is_ + 6fa0: 65786973 74000000 0f410223 0c000775 exist....A.#...u + 6fb0: 73625f61 70690070 00001251 085f7573 sb_api.p...Q._us + 6fc0: 625f696e 69740000 00011702 2300085f b_init......#.._ + 6fd0: 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... + 6fe0: 17022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas + 6ff0: 6b000000 01170223 08085f75 73625f69 k......#.._usb_i + 7000: 6e69745f 70687900 00000117 02230c08 nit_phy......#.. + 7010: 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. + 7020: 00011702 2310085f 7573625f 6570305f ....#.._usb_ep0_ + 7030: 74780000 00011702 2314085f 7573625f tx......#.._usb_ + 7040: 6570305f 72780000 00011702 2318085f ep0_rx......#.._ + 7050: 7573625f 6765745f 696e7465 72666163 usb_get_interfac + 7060: 65000000 08230223 1c085f75 73625f73 e....#.#.._usb_s + 7070: 65745f69 6e746572 66616365 00000008 et_interface.... + 7080: 23022320 085f7573 625f6765 745f636f #.# ._usb_get_co + 7090: 6e666967 75726174 696f6e00 00000823 nfiguration....# + 70a0: 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con + 70b0: 66696775 72617469 6f6e0000 00082302 figuration....#. + 70c0: 2328085f 7573625f 7374616e 64617264 #(._usb_standard + 70d0: 5f636d64 00000008 2302232c 085f7573 _cmd....#.#,._us + 70e0: 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... + 70f0: 17022330 085f7573 625f706f 7765725f ..#0._usb_power_ + 7100: 6f666600 00000117 02233408 5f757362 off......#4._usb + 7110: 5f726573 65745f66 69666f00 00000117 _reset_fifo..... + 7120: 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt + 7130: 00000001 1702233c 085f7573 625f6a75 ......#<._usb_ju + 7140: 6d705f62 6f6f7400 00000117 02234008 mp_boot......#@. + 7150: 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature + 7160: 00000008 23022344 085f7573 625f7365 ....#.#D._usb_se + 7170: 745f6665 61747572 65000000 08230223 t_feature....#.# + 7180: 48085f75 73625f73 65745f61 64647265 H._usb_set_addre + 7190: 73730000 00082302 234c085f 7573625f ss....#.#L._usb_ + 71a0: 6765745f 64657363 72697074 6f720000 get_descriptor.. + 71b0: 00082302 2350085f 7573625f 6765745f ..#.#P._usb_get_ + 71c0: 73746174 75730000 00082302 2354085f status....#.#T._ + 71d0: 7573625f 73657475 705f6465 73630000 usb_setup_desc.. + 71e0: 00011702 2358085f 7573625f 7265675f ....#X._usb_reg_ + 71f0: 6f757400 00000117 02235c08 5f757362 out......#\._usb + 7200: 5f737461 7475735f 696e0000 00011702 _status_in...... + 7210: 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d + 7220: 61746100 00000117 02236408 5f757362 ata......#d._usb + 7230: 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... + 7240: 17022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in + 7250: 69740000 00011702 236c0007 5f564445 it......#l.._VDE + 7260: 53430024 000012dd 086e6578 745f6465 SC.$.....next_de + 7270: 73630000 0012dd02 23000862 75665f61 sc......#..buf_a + 7280: 64647200 000012f1 02230408 6275665f ddr......#..buf_ + 7290: 73697a65 00000012 f8022308 08646174 size......#..dat + 72a0: 615f6f66 66736574 00000012 f802230a a_offset......#. + 72b0: 08646174 615f7369 7a650000 0012f802 .data_size...... + 72c0: 230c0863 6f6e7472 6f6c0000 0012f802 #..control...... + 72d0: 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. + 72e0: 00130602 23100003 00001251 04000941 ....#......Q...A + 72f0: 5f55494e 54380000 00032b03 000012e4 _UINT8....+..... + 7300: 04000941 5f55494e 54313600 00000182 ...A_UINT16..... + 7310: 0f000012 e4140000 13131013 00030000 ................ + 7320: 12510400 09564445 53430000 00125103 .Q...VDESC....Q. + 7330: 0000131a 04000600 00132501 03000013 ..........%..... + 7340: 2c040006 000012f1 01030000 13390400 ,............9.. + 7350: 02010300 00134604 00077664 6573635f ......F...vdesc_ + 7360: 61706900 14000013 be085f69 6e697400 api......._init. + 7370: 00000ae6 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 7380: 64657363 00000013 32022304 085f6765 desc....2.#.._ge + 7390: 745f6877 5f646573 63000000 133f0223 t_hw_desc....?.# + 73a0: 08085f73 7761705f 76646573 63000000 .._swap_vdesc... + 73b0: 13480223 0c087052 65736572 76656400 .H.#..pReserved. + 73c0: 00000413 02231000 075f5642 55460020 .....#..._VBUF. + 73d0: 0000141e 08646573 635f6c69 73740000 .....desc_list.. + 73e0: 00132502 2300086e 6578745f 62756600 ..%.#..next_buf. + 73f0: 0000141e 02230408 6275665f 6c656e67 .....#..buf_leng + 7400: 74680000 0012f802 23080872 65736572 th......#..reser + 7410: 76656400 00001425 02230a08 63747800 ved....%.#..ctx. + 7420: 00001306 02230c00 03000013 be04000f .....#.......... + 7430: 000012e4 02000014 32100100 03000013 ........2....... + 7440: be040009 56425546 00000013 be030000 ....VBUF........ + 7450: 14390400 06000014 43010300 00144a04 .9......C.....J. + 7460: 00060000 14430103 00001457 04000201 .....C.....W.... + 7470: 03000014 64040007 76627566 5f617069 ....d...vbuf_api + 7480: 00140000 14e2085f 696e6974 0000000a ......._init.... + 7490: e6022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf + 74a0: 00000014 50022304 085f616c 6c6f635f ....P.#.._alloc_ + 74b0: 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. + 74c0: 00145d02 2308085f 66726565 5f766275 ..].#.._free_vbu + 74d0: 66000000 14660223 0c087052 65736572 f....f.#..pReser + 74e0: 76656400 00000413 02231000 075f5f61 ved......#...__a + 74f0: 64665f64 65766963 65000400 00150408 df_device....... + 7500: 64756d6d 79000000 011e0223 00000300 dummy......#.... + 7510: 00092a04 00075f5f 6164665f 646d615f ..*...__adf_dma_ + 7520: 6d617000 0c000015 4b086275 66000000 map.....K.buf... + 7530: 14430223 00086473 5f616464 72000000 .C.#..ds_addr... + 7540: 15040223 04086473 5f6c656e 00000012 ...#..ds_len.... + 7550: f8022308 00120c00 00158508 5f5f7661 ..#.........__va + 7560: 5f73746b 00000003 81022300 085f5f76 _stk......#..__v + 7570: 615f7265 67000000 03810223 04085f5f a_reg......#..__ + 7580: 76615f6e 64780000 00011e02 23080009 va_ndx......#... + 7590: 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add + 75a0: 725f7400 0000092a 09616466 5f6f735f r_t....*.adf_os_ + 75b0: 646d615f 61646472 5f740000 00158509 dma_addr_t...... + 75c0: 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz + 75d0: 655f7400 0000092a 09616466 5f6f735f e_t....*.adf_os_ + 75e0: 646d615f 73697a65 5f740000 0015b507 dma_size_t...... + 75f0: 5f5f646d 615f7365 67730008 00001611 __dma_segs...... + 7600: 08706164 64720000 00159e02 2300086c .paddr......#..l + 7610: 656e0000 0015ce02 23040009 5f5f615f en......#...__a_ + 7620: 75696e74 33325f74 00000009 2a09615f uint32_t....*.a_ + 7630: 75696e74 33325f74 00000016 110f0000 uint32_t........ + 7640: 15e50800 00164010 00000761 64665f6f ......@....adf_o + 7650: 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... + 7660: 00167908 6e736567 73000000 16230223 ..y.nsegs....#.# + 7670: 0008646d 615f7365 67730000 00163302 ..dma_segs....3. + 7680: 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. + 7690: 000012e4 09615f75 696e7438 5f740000 .....a_uint8_t.. + 76a0: 00167903 0000168a 0400075f 5f73675f ..y........__sg_ + 76b0: 73656773 00080000 16cb0876 61646472 segs.......vaddr + 76c0: 00000016 99022300 086c656e 00000016 ......#..len.... + 76d0: 23022304 000f0000 16a02000 0016d810 #.#....... ..... + 76e0: 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist + 76f0: 00240000 170b086e 73656773 00000016 .$.....nsegs.... + 7700: 23022300 0873675f 73656773 00000016 #.#..sg_segs.... + 7710: cb022304 00121000 00175408 76656e64 ..#.......T.vend + 7720: 6f720000 00162302 23000864 65766963 or....#.#..devic + 7730: 65000000 16230223 04087375 6276656e e....#.#..subven + 7740: 646f7200 00001623 02230808 73756264 dor....#.#..subd + 7750: 65766963 65000000 16230223 0c00046c evice....#.#...l + 7760: 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne + 7770: 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 + 7780: 34000000 1754095f 5f615f75 696e7436 4....T.__a_uint6 + 7790: 345f7400 0000176e 09615f75 696e7436 4_t....n.a_uint6 + 77a0: 345f7400 0000177c 14040000 17da0e41 4_t....|.......A + 77b0: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 77c0: 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS + 77d0: 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I + 77e0: 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso + 77f0: 75726365 5f747970 655f7400 0000179e urce_type_t..... + 7800: 12180000 18240873 74617274 00000017 .....$.start.... + 7810: 8e022300 08656e64 00000017 8e022308 ..#..end......#. + 7820: 08747970 65000000 17da0223 10000961 .type......#...a + 7830: 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id + 7840: 5f740000 00170b03 00001824 04001104 _t.........$.... + 7850: 00001863 08706369 00000018 3d022300 ...c.pci....=.#. + 7860: 08726177 00000004 13022300 00111000 .raw......#..... + 7870: 00188208 70636900 00001824 02230008 ....pci....$.#.. + 7880: 72617700 00000413 02230000 09616466 raw......#...adf + 7890: 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... + 78a0: 04130961 64665f6f 735f7265 736f7572 ...adf_os_resour + 78b0: 63655f74 00000017 f6030000 18980400 ce_t............ + 78c0: 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d + 78d0: 6174615f 74000000 18630300 0018b604 ata_t....c...... + 78e0: 00030000 14e20400 095f5f61 64665f6f .........__adf_o + 78f0: 735f6465 76696365 5f740000 0018d709 s_device_t...... + 7900: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 7910: 000018de 06000018 82010300 00190a04 ................ + 7920: 00020103 00001917 04000961 64665f6f ...........adf_o + 7930: 735f706d 5f740000 00041302 01030000 s_pm_t.......... + 7940: 19310400 14040000 19710e41 44465f4f .1.......q.ADF_O + 7950: 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. + 7960: 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 7970: 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ + 7980: 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... + 7990: 193a0961 64665f6f 735f6275 735f7265 .:.adf_os_bus_re + 79a0: 675f6461 74615f74 00000018 44030000 g_data_t....D... + 79b0: 032b0400 075f6164 665f6472 765f696e .+..._adf_drv_in + 79c0: 666f0020 00001a4e 08647276 5f617474 fo. ...N.drv_att + 79d0: 61636800 00001910 02230008 6472765f ach......#..drv_ + 79e0: 64657461 63680000 00191902 23040864 detach......#..d + 79f0: 72765f73 75737065 6e640000 00193302 rv_suspend....3. + 7a00: 23080864 72765f72 6573756d 65000000 #..drv_resume... + 7a10: 19190223 0c086275 735f7479 70650000 ...#..bus_type.. + 7a20: 00197102 23100862 75735f64 61746100 ..q.#..bus_data. + 7a30: 00001988 02231408 6d6f645f 6e616d65 .....#..mod_name + 7a40: 00000019 a3022318 0869666e 616d6500 ......#..ifname. + 7a50: 000019a3 02231c00 09616466 5f6f735f .....#...adf_os_ + 7a60: 68616e64 6c655f74 00000004 13030000 handle_t........ + 7a70: 16790400 02010201 095f5f61 64665f6f .y.......__adf_o + 7a80: 735f7369 7a655f74 00000004 16140400 s_size_t........ + 7a90: 001a9d0e 415f4641 4c534500 000e415f ....A_FALSE...A_ + 7aa0: 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t + 7ab0: 0000001a 83030000 150b0400 095f5f61 .............__a + 7ac0: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 7ad0: 00001aab 02010d61 64665f6f 735f6361 .......adf_os_ca + 7ae0: 6368655f 73796e63 00040000 1b350e41 che_sync.....5.A + 7af0: 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. + 7b00: 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR + 7b10: 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P + 7b20: 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY + 7b30: 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... + 7b40: 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn + 7b50: 635f7400 00001acc 02010961 64665f6f c_t........adf_o + 7b60: 735f7369 7a655f74 0000001a 6e060000 s_size_t....n... + 7b70: 1b500109 6164665f 6f735f64 6d615f6d .P..adf_os_dma_m + 7b80: 61705f74 0000001a b2030000 1b690400 ap_t.........i.. + 7b90: 06000004 13010300 001ab204 00060000 ................ + 7ba0: 04130102 01060000 159e0102 01047368 ..............sh + 7bb0: 6f727420 696e7400 05020941 5f494e54 ort int....A_INT + 7bc0: 31360000 001ba309 5f5f615f 696e7431 16......__a_int1 + 7bd0: 365f7400 00001bb0 09615f69 6e743136 6_t......a_int16 + 7be0: 5f740000 001bbd04 7369676e 65642063 _t......signed c + 7bf0: 68617200 05010941 5f494e54 38000000 har....A_INT8... + 7c00: 1bdd095f 5f615f69 6e74385f 74000000 ...__a_int8_t... + 7c10: 1bec0961 5f696e74 385f7400 00001bf8 ...a_int8_t..... + 7c20: 120c0000 1c6f0873 7570706f 72746564 .....o.supported + 7c30: 00000016 23022300 08616476 65727469 ....#.#..adverti + 7c40: 7a656400 00001623 02230408 73706565 zed....#.#..spee + 7c50: 64000000 1bce0223 08086475 706c6578 d......#..duplex + 7c60: 0000001c 0802230a 08617574 6f6e6567 ......#..autoneg + 7c70: 00000016 8a02230b 000f0000 168a0600 ......#......... + 7c80: 001c7c10 05000761 64665f6e 65745f65 ..|....adf_net_e + 7c90: 74686164 64720006 00001ca0 08616464 thaddr.......add + 7ca0: 72000000 1c6f0223 0000095f 5f615f75 r....o.#...__a_u + 7cb0: 696e7431 365f7400 000012f8 09615f75 int16_t......a_u + 7cc0: 696e7431 365f7400 00001ca0 120e0000 int16_t......... + 7cd0: 1d040865 74686572 5f64686f 73740000 ...ether_dhost.. + 7ce0: 001c6f02 23000865 74686572 5f73686f ..o.#..ether_sho + 7cf0: 73740000 001c6f02 23060865 74686572 st....o.#..ether + 7d00: 5f747970 65000000 1cb20223 0c001214 _type......#.... + 7d10: 00001dc5 1569705f 76657273 696f6e00 .....ip_version. + 7d20: 0000168a 01000402 23001569 705f686c ........#..ip_hl + 7d30: 00000016 8a010404 02230008 69705f74 .........#..ip_t + 7d40: 6f730000 00168a02 23010869 705f6c65 os......#..ip_le + 7d50: 6e000000 1cb20223 02086970 5f696400 n......#..ip_id. + 7d60: 00001cb2 02230408 69705f66 7261675f .....#..ip_frag_ + 7d70: 6f666600 00001cb2 02230608 69705f74 off......#..ip_t + 7d80: 746c0000 00168a02 23080869 705f7072 tl......#..ip_pr + 7d90: 6f746f00 0000168a 02230908 69705f63 oto......#..ip_c + 7da0: 6865636b 0000001c b202230a 0869705f heck......#..ip_ + 7db0: 73616464 72000000 16230223 0c086970 saddr....#.#..ip + 7dc0: 5f646164 64720000 00162302 23100007 _daddr....#.#... + 7dd0: 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. + 7de0: 0400001e 17087470 69640000 001cb202 ......tpid...... + 7df0: 23001570 72696f00 0000168a 01000302 #..prio......... + 7e00: 23021563 66690000 00168a01 03010223 #..cfi.........# + 7e10: 02157669 64000000 1cb20204 0c022302 ..vid.........#. + 7e20: 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... + 7e30: 001e4815 72657300 0000168a 01000402 ..H.res......... + 7e40: 23001576 616c0000 001cb202 040c0223 #..val.........# + 7e50: 0000120c 00001e84 0872785f 62756673 .........rx_bufs + 7e60: 697a6500 00001623 02230008 72785f6e ize....#.#..rx_n + 7e70: 64657363 00000016 23022304 0874785f desc....#.#..tx_ + 7e80: 6e646573 63000000 16230223 08001208 ndesc....#.#.... + 7e90: 00001eaa 08706f6c 6c656400 00001a9d .....polled..... + 7ea0: 02230008 706f6c6c 5f777400 00001623 .#..poll_wt....# + 7eb0: 02230400 0f000016 8a400000 1eb7103f .#.......@.....? + 7ec0: 00124600 001edf08 69665f6e 616d6500 ..F.....if_name. + 7ed0: 00001eaa 02230008 6465765f 61646472 .....#..dev_addr + 7ee0: 0000001c 6f022340 00140400 001f160e ....o.#@........ + 7ef0: 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 7f00: 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D + 7f10: 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... + 7f20: 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask + 7f30: 5f740000 001edf07 6164665f 646d615f _t......adf_dma_ + 7f40: 696e666f 00080000 1f630864 6d615f6d info.....c.dma_m + 7f50: 61736b00 00001f16 02230008 73675f6e ask......#..sg_n + 7f60: 73656773 00000016 23022304 00140400 segs....#.#..... + 7f70: 001fb90e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU + 7f80: 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET + 7f90: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 7fa0: 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK + 7fb0: 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 + 7fc0: 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu + 7fd0: 6d5f7479 70655f74 0000001f 63120800 m_type_t....c... + 7fe0: 001ffc08 74785f63 6b73756d 0000001f ....tx_cksum.... + 7ff0: b9022300 0872785f 636b7375 6d000000 ..#..rx_cksum... + 8000: 1fb90223 04000961 64665f6e 65745f63 ...#...adf_net_c + 8010: 6b73756d 5f696e66 6f5f7400 00001fd3 ksum_info_t..... + 8020: 14040000 20550e41 44465f4e 45545f54 .... U.ADF_NET_T + 8030: 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE + 8040: 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF + 8050: 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... + 8060: 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type + 8070: 5f740000 00201612 10000020 a908636b _t... ..... ..ck + 8080: 73756d5f 63617000 00001ffc 02230008 sum_cap......#.. + 8090: 74736f00 00002055 02230808 766c616e tso... U.#..vlan + 80a0: 5f737570 706f7274 65640000 00168a02 _supported...... + 80b0: 230c0012 20000021 42087478 5f706163 #... ..!B.tx_pac + 80c0: 6b657473 00000016 23022300 0872785f kets....#.#..rx_ + 80d0: 7061636b 65747300 00001623 02230408 packets....#.#.. + 80e0: 74785f62 79746573 00000016 23022308 tx_bytes....#.#. + 80f0: 0872785f 62797465 73000000 16230223 .rx_bytes....#.# + 8100: 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... + 8110: 23022310 0872785f 64726f70 70656400 #.#..rx_dropped. + 8120: 00001623 02231408 72785f65 72726f72 ...#.#..rx_error + 8130: 73000000 16230223 18087478 5f657272 s....#.#..tx_err + 8140: 6f727300 00001623 02231c00 09616466 ors....#.#...adf + 8150: 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. + 8160: 001c7c16 00002142 03000000 2167107f ..|...!B....!g.. + 8170: 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 8180: 61646472 00030400 00219e08 6e656c65 addr.....!..nele + 8190: 6d000000 16230223 00086d63 61737400 m....#.#..mcast. + 81a0: 00002159 02230400 09616466 5f6e6574 ..!Y.#...adf_net + 81b0: 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t + 81c0: 0000001c 16096164 665f6e65 745f636d ......adf_net_cm + 81d0: 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... + 81e0: 1e840961 64665f6e 65745f63 6d645f63 ...adf_net_cmd_c + 81f0: 6b73756d 5f696e66 6f5f7400 00001ffc ksum_info_t..... + 8200: 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin + 8210: 675f696e 666f5f74 0000001e 48096164 g_info_t....H.ad + 8220: 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in + 8230: 666f5f74 0000001f 2d096164 665f6e65 fo_t....-.adf_ne + 8240: 745f636d 645f7669 645f7400 00001cb2 t_cmd_vid_t..... + 8250: 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off + 8260: 6c6f6164 5f636170 5f740000 00206d09 load_cap_t... m. + 8270: 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat + 8280: 735f7400 000020a9 09616466 5f6e6574 s_t... ..adf_net + 8290: 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... + 82a0: 21670d61 64665f6e 65745f63 6d645f6d !g.adf_net_cmd_m + 82b0: 63617374 5f636170 00040000 22e00e41 cast_cap...."..A + 82c0: 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP + 82d0: 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST + 82e0: 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n + 82f0: 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 8300: 5f740000 00229818 03040000 23b2086c _t..."......#..l + 8310: 696e6b5f 696e666f 00000021 9e022300 ink_info...!..#. + 8320: 08706f6c 6c5f696e 666f0000 0021bb02 .poll_info...!.. + 8330: 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... + 8340: 21d80223 00087269 6e675f69 6e666f00 !..#..ring_info. + 8350: 000021f6 02230008 646d615f 696e666f ..!..#..dma_info + 8360: 00000022 13022300 08766964 00000022 ..."..#..vid..." + 8370: 2f022300 086f6666 6c6f6164 5f636170 /.#..offload_cap + 8380: 00000022 46022300 08737461 74730000 ..."F.#..stats.. + 8390: 00226502 2300086d 63617374 5f696e66 ."e.#..mcast_inf + 83a0: 6f000000 227e0223 00086d63 6173745f o..."~.#..mcast_ + 83b0: 63617000 000022e0 02230000 14040000 cap..."..#...... + 83c0: 24090e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C + 83d0: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 83e0: 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW + 83f0: 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 8400: 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY + 8410: 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 8420: 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# + 8430: b2120800 00244908 72657375 6c740000 .....$I.result.. + 8440: 00240902 23000876 616c0000 00162302 .$..#..val....#. + 8450: 23040012 08000024 79087479 70650000 #......$y.type.. + 8460: 00205502 2300086d 73730000 001cb202 . U.#..mss...... + 8470: 23040868 64725f6f 66660000 00168a02 #..hdr_off...... + 8480: 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q + 8490: 68656164 000c0000 24b80868 65616400 head....$..head. + 84a0: 00001443 02230008 7461696c 00000014 ...C.#..tail.... + 84b0: 43022304 08716c65 6e000000 16230223 C.#..qlen....#.# + 84c0: 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. + 84d0: 00001443 03000016 99040003 00001623 ...C...........# + 84e0: 04000201 06000013 25010600 00162301 ........%.....#. + 84f0: 06000016 99010600 00169901 03000013 ................ + 8500: 06040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q + 8510: 68656164 5f740000 00247909 5f5f6164 head_t...$y.__ad + 8520: 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 8530: 0024f903 00002511 04000600 0024b801 .$....%......$.. + 8540: 06000024 b8011404 00002631 0e415f53 ...$......&1.A_S + 8550: 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA + 8560: 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S + 8570: 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A + 8580: 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. + 8590: 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL + 85a0: 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP + 85b0: 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT + 85c0: 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ + 85d0: 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A + 85e0: 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... + 85f0: 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO + 8600: 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU + 8610: 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT + 8620: 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST + 8630: 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st + 8640: 61747573 5f740000 00253c06 00002631 atus_t...%<...&1 + 8650: 01060000 011e0102 01096164 665f6e62 ..........adf_nb + 8660: 75665f74 00000024 b8140400 0026960e uf_t...$.....&.. + 8670: 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE + 8680: 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM + 8690: 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... + 86a0: 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ + 86b0: 74000000 265f0600 00263101 02010961 t...&_...&1....a + 86c0: 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf + 86d0: 6f5f7400 00001640 03000026 b4040002 o_t....@...&.... + 86e0: 01020106 0000264f 01060000 24b80102 ......&O....$... + 86f0: 01020106 0000264f 01060000 24b80106 ......&O....$... + 8700: 0000264f 01060000 24b80106 0000264f ..&O....$.....&O + 8710: 01020102 01060000 16230106 00001699 .........#...... + 8720: 01020102 01060000 1b500106 00001a9d .........P...... + 8730: 01060000 1a9d0109 6164665f 6f735f73 ........adf_os_s + 8740: 676c6973 745f7400 000016d8 03000027 glist_t........' + 8750: 2d040002 01020102 01060000 16990109 -............... + 8760: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 8770: 00000025 11030000 27550400 02010300 ...%....'U...... + 8780: 0024f904 00020102 01020106 0000264f .$............&O + 8790: 01060000 24b80106 00001623 01060000 ....$......#.... + 87a0: 16230106 00001a9d 01060000 1a9d0106 .#.............. + 87b0: 00001fb9 01060000 16230109 6164665f .........#..adf_ + 87c0: 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. + 87d0: 00002427 03000027 b1040002 01020109 ..$'...'........ + 87e0: 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. + 87f0: 00244903 000027d5 04000201 02010961 .$I...'........a + 8800: 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. + 8810: 00000413 09616466 5f6e6574 5f766c61 .....adf_net_vla + 8820: 6e686472 5f740000 001dc503 0000280a nhdr_t........(. + 8830: 04000600 00263101 06000026 31010201 .....&1....&1... + 8840: 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. + 8850: 00002859 0864756d 6d790000 00011e02 ..(Y.dummy...... + 8860: 23000002 01030000 28590400 02010300 #.......(Y...... + 8870: 00286204 00075f48 49465f43 414c4c42 .(b..._HIF_CALLB + 8880: 41434b00 0c000028 b7087365 6e645f62 ACK....(..send_b + 8890: 75665f64 6f6e6500 0000285b 02230008 uf_done...([.#.. + 88a0: 72656376 5f627566 00000028 64022304 recv_buf...(d.#. + 88b0: 08636f6e 74657874 00000004 13022308 .context......#. + 88c0: 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. + 88d0: 00041309 4849465f 434f4e46 49470000 ....HIF_CONFIG.. + 88e0: 00283803 000028c9 04000600 0028b701 .(8...(......(.. + 88f0: 03000028 e0040002 01030000 28ed0400 ...(........(... + 8900: 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... + 8910: 286b0300 0028f604 00020103 0000290f (k...(........). + 8920: 04000600 00011e01 03000029 18040002 ...........).... + 8930: 01030000 29250400 06000001 1e010300 ....)%.......... + 8940: 00292e04 00020103 0000293b 04000600 .)........);.... + 8950: 00011e01 03000029 44040002 01030000 .......)D....... + 8960: 29510400 07686966 5f617069 00380000 )Q...hif_api.8.. + 8970: 2aaa085f 696e6974 00000028 e6022300 *.._init...(..#. + 8980: 085f7368 7574646f 776e0000 0028ef02 ._shutdown...(.. + 8990: 2304085f 72656769 73746572 5f63616c #.._register_cal + 89a0: 6c626163 6b000000 29110223 08085f67 lback...)..#.._g + 89b0: 65745f74 6f74616c 5f637265 6469745f et_total_credit_ + 89c0: 636f756e 74000000 291e0223 0c085f73 count...)..#.._s + 89d0: 74617274 00000028 ef022310 085f636f tart...(..#.._co + 89e0: 6e666967 5f706970 65000000 29270223 nfig_pipe...)'.# + 89f0: 14085f73 656e645f 62756666 65720000 .._send_buffer.. + 8a00: 00293402 2318085f 72657475 726e5f72 .)4.#.._return_r + 8a10: 6563765f 62756600 0000293d 02231c08 ecv_buf...)=.#.. + 8a20: 5f69735f 70697065 5f737570 706f7274 _is_pipe_support + 8a30: 65640000 00294a02 2320085f 6765745f ed...)J.# ._get_ + 8a40: 6d61785f 6d73675f 6c656e00 0000294a max_msg_len...)J + 8a50: 02232408 5f676574 5f726573 65727665 .#$._get_reserve + 8a60: 645f6865 6164726f 6f6d0000 00291e02 d_headroom...).. + 8a70: 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. + 8a80: 000028ef 02232c08 5f676574 5f646566 ..(..#,._get_def + 8a90: 61756c74 5f706970 65000000 29530223 ault_pipe...)S.# + 8aa0: 30087052 65736572 76656400 00000413 0.pReserved..... + 8ab0: 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. + 8ac0: 0400002b 330e444d 415f454e 47494e45 ...+3.DMA_ENGINE + 8ad0: 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN + 8ae0: 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI + 8af0: 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG + 8b00: 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN + 8b10: 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E + 8b20: 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ + 8b30: 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm + 8b40: 615f656e 67696e65 5f740000 002aaa0d a_engine_t...*.. + 8b50: 646d615f 69667479 70650004 00002b80 dma_iftype....+. + 8b60: 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D + 8b70: 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ + 8b80: 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i + 8b90: 66747970 655f7400 00002b45 06000012 ftype_t...+E.... + 8ba0: f8010300 002b9204 00020103 00002b9f .....+........+. + 8bb0: 04000201 0300002b a8040006 0000092a .......+.......* + 8bc0: 01030000 2bb10400 06000012 f8010300 ....+........... + 8bd0: 002bbe04 00060000 12f80103 00002bcb .+............+. + 8be0: 04000600 00144301 0300002b d8040002 ......C....+.... + 8bf0: 01030000 2be50400 07646d61 5f6c6962 ....+....dma_lib + 8c00: 5f617069 00340000 2cec0874 785f696e _api.4..,..tx_in + 8c10: 69740000 002b9802 23000874 785f7374 it...+..#..tx_st + 8c20: 61727400 00002ba1 02230408 72785f69 art...+..#..rx_i + 8c30: 6e697400 00002b98 02230808 72785f63 nit...+..#..rx_c + 8c40: 6f6e6669 67000000 2baa0223 0c087278 onfig...+..#..rx + 8c50: 5f737461 72740000 002ba102 23100869 _start...+..#..i + 8c60: 6e74725f 73746174 75730000 002bb702 ntr_status...+.. + 8c70: 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ + 8c80: c4022318 08666c75 73685f78 6d697400 ..#..flush_xmit. + 8c90: 00002ba1 02231c08 786d6974 5f646f6e ..+..#..xmit_don + 8ca0: 65000000 2bd10223 20087265 61705f78 e...+..# .reap_x + 8cb0: 6d697474 65640000 002bde02 23240872 mitted...+..#$.r + 8cc0: 6561705f 72656376 0000002b de022328 eap_recv...+..#( + 8cd0: 08726574 75726e5f 72656376 0000002b .return_recv...+ + 8ce0: e702232c 08726563 765f706b 74000000 ..#,.recv_pkt... + 8cf0: 2bd10223 3000075f 5f706369 5f736f66 +..#0..__pci_sof + 8d00: 7463000c 00002d0a 08737700 000028f6 tc....-..sw...(. + 8d10: 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc + 8d20: 5f740000 002cec03 00002d0a 04000201 _t...,....-..... + 8d30: 0300002d 24040006 000012e4 01030000 ...-$........... + 8d40: 2d2d0400 0d686966 5f706369 5f706970 --...hif_pci_pip + 8d50: 655f7478 00040000 2d8d0e48 49465f50 e_tx....-..HIF_P + 8d60: 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI + 8d70: 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. + 8d80: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 8d90: 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ + 8da0: 70697065 5f74785f 74000000 2d3a0600 pipe_tx_t...-:.. + 8db0: 002b3301 0300002d a404000d 6869665f .+3....-....hif_ + 8dc0: 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... + 8dd0: 2a0e4849 465f5043 495f5049 50455f52 *.HIF_PCI_PIPE_R + 8de0: 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 8df0: 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ + 8e00: 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P + 8e10: 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI + 8e20: 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA + 8e30: 58000400 09686966 5f706369 5f706970 X....hif_pci_pip + 8e40: 655f7278 5f740000 002db106 00002b33 e_rx_t...-....+3 + 8e50: 01030000 2e410400 07686966 5f706369 .....A...hif_pci + 8e60: 5f617069 00240000 2f1f0870 63695f62 _api.$../..pci_b + 8e70: 6f6f745f 696e6974 00000001 17022300 oot_init......#. + 8e80: 08706369 5f696e69 74000000 28e60223 .pci_init...(..# + 8e90: 04087063 695f7265 73657400 00000117 ..pci_reset..... + 8ea0: 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. + 8eb0: 00011702 230c0870 63695f72 6561705f ....#..pci_reap_ + 8ec0: 786d6974 74656400 00002d26 02231008 xmitted...-&.#.. + 8ed0: 7063695f 72656170 5f726563 76000000 pci_reap_recv... + 8ee0: 2d260223 14087063 695f6765 745f7069 -&.#..pci_get_pi + 8ef0: 70650000 002d3302 23180870 63695f67 pe...-3.#..pci_g + 8f00: 65745f74 785f656e 67000000 2daa0223 et_tx_eng...-..# + 8f10: 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng + 8f20: 0000002e 47022320 0007676d 61635f61 ....G.# ..gmac_a + 8f30: 70690004 00002f46 08676d61 635f626f pi..../F.gmac_bo + 8f40: 6f745f69 6e697400 00000117 02230000 ot_init......#.. + 8f50: 0f000003 2b060000 2f531005 00075f5f ....+.../S....__ + 8f60: 65746868 6472000e 00002f89 08647374 ethhdr..../..dst + 8f70: 0000002f 46022300 08737263 0000002f .../F.#..src.../ + 8f80: 46022306 08657479 70650000 0012f802 F.#..etype...... + 8f90: 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... + 8fa0: 2fd71572 65730000 0012e401 00020223 /..res.........# + 8fb0: 00157072 6f746f00 000012e4 01020602 ..proto......... + 8fc0: 23000872 65735f6c 6f000000 12e40223 #..res_lo......# + 8fd0: 01087265 735f6869 00000012 f8022302 ..res_hi......#. + 8fe0: 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... + 8ff0: 30130865 74680000 002f5302 23000861 0..eth.../S.#..a + 9000: 74680000 002f8902 230e0861 6c69676e th.../..#..align + 9010: 5f706164 00000012 f8022312 00095f5f _pad......#...__ + 9020: 676d6163 5f686472 5f740000 002fd707 gmac_hdr_t.../.. + 9030: 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. + 9040: 305d0868 64720000 00301302 23000867 0].hdr...0..#..g + 9050: 72616e00 000012f8 02231408 73770000 ran......#..sw.. + 9060: 0028f602 23180007 5f415f6f 735f6c69 .(..#..._A_os_li + 9070: 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 + 9080: 96087665 7273696f 6e000000 011e0223 ..version......# + 9090: 00087461 626c6500 0000011e 02230400 ..table......#.. + 90a0: 03000030 5d040006 0000011e 01030000 ...0]........... + 90b0: 309d0400 03000004 16040017 5f415f63 0..........._A_c + 90c0: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 90d0: 5f746162 6c650001 b8000031 ed086861 _table.....1..ha + 90e0: 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. + 90f0: 000030a3 02230008 73746172 745f6273 ..0..#..start_bs + 9100: 73000000 30aa0223 04086170 705f7374 s...0..#..app_st + 9110: 61727400 00000117 02230808 6d656d00 art......#..mem. + 9120: 00000456 02230c08 6d697363 00000005 ...V.#..misc.... + 9130: 75022320 08707269 6e746600 0000014b u.# .printf....K + 9140: 02234408 75617274 00000002 1402234c .#D.uart......#L + 9150: 08676d61 63000000 2f1f0223 6c087573 .gmac.../..#l.us + 9160: 62000000 0fa40223 7008636c 6f636b00 b......#p.clock. + 9170: 00000aed 0323e001 0874696d 65720000 .....#...timer.. + 9180: 00078d03 23840208 696e7472 0000000c ....#...intr.... + 9190: 3d032398 0208616c 6c6f6372 616d0000 =.#...allocram.. + 91a0: 00094503 23c40208 726f6d70 00000008 ..E.#...romp.... + 91b0: 370323d0 02087764 745f7469 6d657200 7.#...wdt_timer. + 91c0: 00000e1a 0323e002 08656570 0000000f .....#...eep.... + 91d0: 480323fc 02087374 72696e67 00000006 H.#...string.... + 91e0: 9903238c 03087461 736b6c65 74000000 ..#...tasklet... + 91f0: 0a420323 a4030007 5f555342 5f464946 .B.#...._USB_FIF + 9200: 4f5f434f 4e464947 00100000 32600867 O_CONFIG....2`.g + 9210: 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. + 9220: 00145002 23000872 6563765f 636f6d6d ..P.#..recv_comm + 9230: 616e6400 00001466 02230408 6765745f and....f.#..get_ + 9240: 6576656e 745f6275 66000000 14500223 event_buf....P.# + 9250: 08087365 6e645f65 76656e74 5f646f6e ..send_event_don + 9260: 65000000 14660223 0c000955 53425f46 e....f.#...USB_F + 9270: 49464f5f 434f4e46 49470000 0031ed03 IFO_CONFIG...1.. + 9280: 00003260 04000201 03000032 7c040007 ..2`.......2|... + 9290: 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 + 92a0: d2085f69 6e697400 0000327e 02230008 .._init...2~.#.. + 92b0: 5f656e61 626c655f 6576656e 745f6973 _enable_event_is + 92c0: 72000000 01170223 04087052 65736572 r......#..pReser + 92d0: 76656400 00000413 02230800 0f000016 ved......#...... + 92e0: 8a020000 32df1001 00075f48 54435f46 ....2....._HTC_F + 92f0: 52414d45 5f484452 00080000 33510845 RAME_HDR....3Q.E + 9300: 6e64706f 696e7449 44000000 168a0223 ndpointID......# + 9310: 0008466c 61677300 0000168a 02230108 ..Flags......#.. + 9320: 5061796c 6f61644c 656e0000 001cb202 PayloadLen...... + 9330: 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. + 9340: 000032d2 02230408 486f7374 5365714e ..2..#..HostSeqN + 9350: 756d0000 001cb202 23060012 02000033 um......#......3 + 9360: 6a084d65 73736167 65494400 00001cb2 j.MessageID..... + 9370: 02230000 12080000 33cd084d 65737361 .#......3..Messa + 9380: 67654944 0000001c b2022300 08437265 geID......#..Cre + 9390: 64697443 6f756e74 0000001c b2022302 ditCount......#. + 93a0: 08437265 64697453 697a6500 00001cb2 .CreditSize..... + 93b0: 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints + 93c0: 00000016 8a022306 085f5061 64310000 ......#.._Pad1.. + 93d0: 00168a02 23070012 0a000034 64084d65 ....#......4d.Me + 93e0: 73736167 65494400 00001cb2 02230008 ssageID......#.. + 93f0: 53657276 69636549 44000000 1cb20223 ServiceID......# + 9400: 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag + 9410: 73000000 1cb20223 0408446f 776e4c69 s......#..DownLi + 9420: 6e6b5069 70654944 00000016 8a022306 nkPipeID......#. + 9430: 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... + 9440: 168a0223 07085365 72766963 654d6574 ...#..ServiceMet + 9450: 614c656e 67746800 0000168a 02230808 aLength......#.. + 9460: 5f506164 31000000 168a0223 0900120a _Pad1......#.... + 9470: 000034ec 084d6573 73616765 49440000 ..4..MessageID.. + 9480: 001cb202 23000853 65727669 63654944 ....#..ServiceID + 9490: 0000001c b2022302 08537461 74757300 ......#..Status. + 94a0: 0000168a 02230408 456e6470 6f696e74 .....#..Endpoint + 94b0: 49440000 00168a02 2305084d 61784d73 ID......#..MaxMs + 94c0: 6753697a 65000000 1cb20223 06085365 gSize......#..Se + 94d0: 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 94e0: 0000168a 02230808 5f506164 31000000 .....#.._Pad1... + 94f0: 168a0223 09001202 00003505 084d6573 ...#......5..Mes + 9500: 73616765 49440000 001cb202 23000012 sageID......#... + 9510: 04000035 41084d65 73736167 65494400 ...5A.MessageID. + 9520: 00001cb2 02230008 50697065 49440000 .....#..PipeID.. + 9530: 00168a02 23020843 72656469 74436f75 ....#..CreditCou + 9540: 6e740000 00168a02 23030012 04000035 nt......#......5 + 9550: 78084d65 73736167 65494400 00001cb2 x.MessageID..... + 9560: 02230008 50697065 49440000 00168a02 .#..PipeID...... + 9570: 23020853 74617475 73000000 168a0223 #..Status......# + 9580: 03001202 0000359f 08526563 6f726449 ......5..RecordI + 9590: 44000000 168a0223 00084c65 6e677468 D......#..Length + 95a0: 00000016 8a022301 00120200 0035c908 ......#......5.. + 95b0: 456e6470 6f696e74 49440000 00168a02 EndpointID...... + 95c0: 23000843 72656469 74730000 00168a02 #..Credits...... + 95d0: 23010012 04000036 0a08456e 64706f69 #......6..Endpoi + 95e0: 6e744944 00000016 8a022300 08437265 ntID......#..Cre + 95f0: 64697473 00000016 8a022301 08546774 dits......#..Tgt + 9600: 43726564 69745365 714e6f00 00001cb2 CreditSeqNo..... + 9610: 02230200 0f000016 8a040000 36171003 .#..........6... + 9620: 00120600 00365308 50726556 616c6964 .....6S.PreValid + 9630: 00000016 8a022300 084c6f6f 6b416865 ......#..LookAhe + 9640: 61640000 00360a02 23010850 6f737456 ad...6..#..PostV + 9650: 616c6964 00000016 8a022305 0009706f alid......#...po + 9660: 6f6c5f68 616e646c 655f7400 00000413 ol_handle_t..... + 9670: 06000036 53010300 00366604 00020103 ...6S....6f..... + 9680: 00003673 04001404 000036f1 0e504f4f ..6s......6..POO + 9690: 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL + 96a0: 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S + 96b0: 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P + 96c0: 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E + 96d0: 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W + 96e0: 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO + 96f0: 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ + 9700: 504f4f4c 5f494400 0000367c 02010300 POOL_ID...6|.... + 9710: 00370204 00060000 264f0103 0000370b .7......&O....7. + 9720: 04000600 00264f01 03000037 18040002 .....&O....7.... + 9730: 01030000 37250400 07627566 5f706f6f ....7%...buf_poo + 9740: 6c5f6170 69001c00 0037c708 5f696e69 l_api....7.._ini + 9750: 74000000 366c0223 00085f73 68757464 t...6l.#.._shutd + 9760: 6f776e00 00003675 02230408 5f637265 own...6u.#.._cre + 9770: 6174655f 706f6f6c 00000037 04022308 ate_pool...7..#. + 9780: 085f616c 6c6f635f 62756600 00003711 ._alloc_buf...7. + 9790: 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a + 97a0: 6c69676e 00000037 1e022310 085f6672 lign...7..#.._fr + 97b0: 65655f62 75660000 00372702 23140870 ee_buf...7'.#..p + 97c0: 52657365 72766564 00000004 13022318 Reserved......#. + 97d0: 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. + 97e0: 000038a6 08704e65 78740000 0038a602 ..8..pNext...8.. + 97f0: 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs + 9800: 67000000 395b0223 04085072 6f636573 g...9[.#..Proces + 9810: 7353656e 64427566 66657243 6f6d706c sSendBufferCompl + 9820: 65746500 00003964 02230808 50726f63 ete...9d.#..Proc + 9830: 65737343 6f6e6e65 63740000 00397802 essConnect...9x. + 9840: 230c0853 65727669 63654944 00000012 #..ServiceID.... + 9850: f8022310 08536572 76696365 466c6167 ..#..ServiceFlag + 9860: 73000000 12f80223 12084d61 78537663 s......#..MaxSvc + 9870: 4d736753 697a6500 000012f8 02231408 MsgSize......#.. + 9880: 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL + 9890: 696d6974 00000012 f8022316 08536572 imit......#..Ser + 98a0: 76696365 43747800 00000413 02231800 viceCtx......#.. + 98b0: 03000037 c7040014 04000039 4419454e ...7.......9D.EN + 98c0: 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... + 98d0: ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E + 98e0: 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO + 98f0: 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 + 9900: 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E + 9910: 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO + 9920: 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 + 9930: 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E + 9940: 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H + 9950: 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. + 9960: 0038ad02 01030000 39590400 02010300 .8......9Y...... + 9970: 00396204 00030000 011e0400 06000012 .9b............. + 9980: e4010300 00397204 00030000 37c70400 .....9r.....7... + 9990: 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... + 99a0: 39f70843 72656469 7453697a 65000000 9..CreditSize... + 99b0: 011e0223 00084372 65646974 4e756d62 ...#..CreditNumb + 99c0: 65720000 00011e02 2304084f 5348616e er......#..OSHan + 99d0: 646c6500 00001a4e 02230808 48494648 dle....N.#..HIFH + 99e0: 616e646c 65000000 28b70223 0c08506f andle...(..#..Po + 99f0: 6f6c4861 6e646c65 00000036 53022310 olHandle...6S.#. + 9a00: 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE + 9a10: 58540002 00003a33 08656e64 5f706f69 XT....:3.end_poi + 9a20: 6e740000 0012e402 23000868 74635f66 nt......#..htc_f + 9a30: 6c616773 00000012 e4022301 00096874 lags......#...ht + 9a40: 635f6861 6e646c65 5f740000 00041309 c_handle_t...... + 9a50: 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE + 9a60: 54455f43 42000000 01170948 54435f43 TE_CB......HTC_C + 9a70: 4f4e4649 47000000 39860300 003a6004 ONFIG...9....:`. + 9a80: 00060000 3a330103 00003a77 04000201 ....:3....:w.... + 9a90: 0300003a 84040009 4854435f 53455256 ...:....HTC_SERV + 9aa0: 49434500 000037c7 0300003a 8d040002 ICE...7....:.... + 9ab0: 01030000 3aa50400 02010300 003aae04 ....:........:.. + 9ac0: 00020103 00003ab7 04000600 00011e01 ......:......... + 9ad0: 0300003a c0040007 6874635f 61706973 ...:....htc_apis + 9ae0: 00340000 3c3d085f 4854435f 496e6974 .4..<=._HTC_Init + 9af0: 0000003a 7d022300 085f4854 435f5368 ...:}.#.._HTC_Sh + 9b00: 7574646f 776e0000 003a8602 2304085f utdown...:..#.._ + 9b10: 4854435f 52656769 73746572 53657276 HTC_RegisterServ + 9b20: 69636500 00003aa7 02230808 5f485443 ice...:..#.._HTC + 9b30: 5f526561 64790000 003a8602 230c085f _Ready...:..#.._ + 9b40: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 9b50: 73000000 3ab00223 10085f48 54435f52 s...:..#.._HTC_R + 9b60: 65747572 6e427566 66657273 4c697374 eturnBuffersList + 9b70: 0000003a b9022314 085f4854 435f5365 ...:..#.._HTC_Se + 9b80: 6e644d73 67000000 3ab00223 18085f48 ndMsg...:..#.._H + 9b90: 54435f47 65745265 73657276 65644865 TC_GetReservedHe + 9ba0: 6164726f 6f6d0000 003ac602 231c085f adroom...:..#.._ + 9bb0: 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl + 9bc0: 65720000 00286402 2320085f 4854435f er...(d.# ._HTC_ + 9bd0: 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. + 9be0: 0000285b 02232408 5f485443 5f436f6e ..([.#$._HTC_Con + 9bf0: 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs + 9c00: 67000000 395b0223 28085f48 54435f43 g...9[.#(._HTC_C + 9c10: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 9c20: 53656e64 436f6d70 6c657465 00000039 SendComplete...9 + 9c30: 6402232c 08705265 73657276 65640000 d.#,.pReserved.. + 9c40: 00041302 23300007 686f7374 5f617070 ....#0..host_app + 9c50: 5f617265 615f7300 0400003c 6d08776d _area_s......t + 9df0: 75706c65 4e756d4c 0000001c b2022300 upleNumL......#. + 9e00: 08747570 6c654e75 6d480000 001cb202 .tupleNumH...... + 9e10: 23020861 76740000 003dd102 23040012 #..avt...=..#... + 9e20: 0100003e 37086265 61636f6e 50656e64 ...>7.beaconPend + 9e30: 696e6743 6f756e74 00000016 8a022300 ingCount......#. + 9e40: 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI + 9e50: 47001000 003ea008 48746348 616e646c G....>..HtcHandl + 9e60: 65000000 3a330223 0008506f 6f6c4861 e...:3.#..PoolHa + 9e70: 6e646c65 00000036 53022304 084d6178 ndle...6S.#..Max + 9e80: 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... + 9e90: 1e022308 084d6178 4576656e 74457674 ..#..MaxEventEvt + 9ea0: 73000000 011e0223 0c000201 0300003e s......#.......> + 9eb0: a0040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND + 9ec0: 4c455200 00003ea2 075f574d 495f4449 LER...>.._WMI_DI + 9ed0: 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... + 9ee0: 3f090870 436d6448 616e646c 65720000 ?..pCmdHandler.. + 9ef0: 003ea902 23000843 6d644944 00000012 .>..#..CmdID.... + 9f00: f8022304 08466c61 67730000 0012f802 ..#..Flags...... + 9f10: 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC + 9f20: 485f5441 424c4500 1000003f 6a08704e H_TABLE....?j.pN + 9f30: 65787400 00003f6a 02230008 70436f6e ext...?j.#..pCon + 9f40: 74657874 00000004 13022304 084e756d text......#..Num + 9f50: 6265724f 66456e74 72696573 00000001 berOfEntries.... + 9f60: 1e022308 08705461 626c6500 00003f89 ..#..pTable...?. + 9f70: 02230c00 0300003f 09040009 574d495f .#.....?....WMI_ + 9f80: 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. + 9f90: 003ebe03 00003f71 04000300 003f0904 .>....?q.....?.. + 9fa0: 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX + 9fb0: 54000000 39f70d57 4d495f45 56545f43 T...9..WMI_EVT_C + 9fc0: 4c415353 00040000 40211957 4d495f45 LASS....@!.WMI_E + 9fd0: 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... + 9fe0: ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 9ff0: 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI + a000: 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R + a010: 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C + a020: 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ + a030: 4556545f 434c4153 53000000 3fac075f EVT_CLASS...?.._ + a040: 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. + a050: 0c000040 7f084874 63427566 43747800 ...@..HtcBufCtx. + a060: 00003f97 02230008 4576656e 74436c61 ..?..#..EventCla + a070: 73730000 00402102 23040846 6c616773 ss...@!.#..Flags + a080: 00000012 f8022308 0009776d 695f6861 ......#...wmi_ha + a090: 6e646c65 5f740000 00041309 574d495f ndle_t......WMI_ + a0a0: 5356435f 434f4e46 49470000 003e3703 SVC_CONFIG...>7. + a0b0: 00004091 04000600 00407f01 03000040 ..@......@.....@ + a0c0: ac040009 574d495f 44495350 41544348 ....WMI_DISPATCH + a0d0: 5f544142 4c450000 003f0903 000040b9 _TABLE...?....@. + a0e0: 04000201 03000040 d8040006 0000264f .......@......&O + a0f0: 01030000 40e10400 02010300 0040ee04 ....@........@.. + a100: 00060000 011e0103 000040f7 04000201 ..........@..... + a110: 03000041 04040006 000012e4 01030000 ...A............ + a120: 410d0400 075f776d 695f7376 635f6170 A...._wmi_svc_ap + a130: 6973002c 00004255 085f574d 495f496e is.,..BU._WMI_In + a140: 69740000 0040b202 2300085f 574d495f it...@..#.._WMI_ + a150: 52656769 73746572 44697370 61746368 RegisterDispatch + a160: 5461626c 65000000 40da0223 04085f57 Table...@..#.._W + a170: 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... + a180: 40e70223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE + a190: 76656e74 00000040 f002230c 085f574d vent...@..#.._WM + a1a0: 495f4765 7450656e 64696e67 4576656e I_GetPendingEven + a1b0: 7473436f 756e7400 000040fd 02231008 tsCount...@..#.. + a1c0: 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet + a1d0: 6548616e 646c6572 00000039 64022314 eHandler...9d.#. + a1e0: 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl + a1f0: 45700000 0040fd02 2318085f 574d495f Ep...@..#.._WMI_ + a200: 53687574 646f776e 00000041 0602231c Shutdown...A..#. + a210: 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag + a220: 6548616e 646c6572 00000039 5b022320 eHandler...9[.# + a230: 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon + a240: 6e656374 00000041 13022324 08705265 nect...A..#$.pRe + a250: 73657276 65640000 00041302 23280007 served......#(.. + a260: 7a73446d 61446573 63001400 0042d708 zsDmaDesc....B.. + a270: 6374726c 00000001 82022300 08737461 ctrl......#..sta + a280: 74757300 00000182 02230208 746f7461 tus......#..tota + a290: 6c4c656e 00000001 82022304 08646174 lLen......#..dat + a2a0: 6153697a 65000000 01820223 06086c61 aSize......#..la + a2b0: 73744164 64720000 0042d702 23080864 stAddr...B..#..d + a2c0: 61746141 64647200 000001a6 02230c08 ataAddr......#.. + a2d0: 6e657874 41646472 00000042 d7022310 nextAddr...B..#. + a2e0: 00030000 42550400 03000042 55040007 ....BU.....BU... + a2f0: 7a73446d 61517565 75650008 00004317 zsDmaQueue....C. + a300: 08686561 64000000 42de0223 00087465 .head...B..#..te + a310: 726d696e 61746f72 00000042 de022304 rminator...B..#. + a320: 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. + a330: 0000437b 08686561 64000000 42de0223 ..C{.head...B..# + a340: 00087465 726d696e 61746f72 00000042 ..terminator...B + a350: de022304 08786d69 7465645f 6275665f ..#..xmited_buf_ + a360: 68656164 00000014 43022308 08786d69 head....C.#..xmi + a370: 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... + a380: 4302230c 00020103 0000437b 04000300 C.#.......C{.... + a390: 0042e504 00020103 0000438b 04000300 .B........C..... + a3a0: 00431704 00020103 0000439b 04000201 .C........C..... + a3b0: 03000043 a4040002 01030000 43ad0400 ...C........C... + a3c0: 06000014 43010300 0043b604 00020103 ....C....C...... + a3d0: 000043c3 04000600 00144301 03000043 ..C.......C....C + a3e0: cc040002 01030000 43d90400 06000001 ........C....... + a3f0: 1e010300 0043e204 00060000 42de0103 .....C......B... + a400: 000043ef 04000201 03000043 fc040007 ..C........C.... + a410: 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ + a420: 00004572 085f696e 69740000 00437d02 ..Er._init...C}. + a430: 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu + a440: 65000000 438d0223 04085f69 6e69745f e...C..#.._init_ + a450: 74785f71 75657565 00000043 9d022308 tx_queue...C..#. + a460: 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu + a470: 65000000 43a60223 0c085f78 6d69745f e...C..#.._xmit_ + a480: 62756600 000043af 02231008 5f666c75 buf...C..#.._flu + a490: 73685f78 6d697400 0000438d 02231408 sh_xmit...C..#.. + a4a0: 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. + a4b0: 0043bc02 2318085f 72657475 726e5f72 .C..#.._return_r + a4c0: 6563765f 62756600 000043c5 02231c08 ecv_buf...C..#.. + a4d0: 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf + a4e0: 00000043 d2022320 085f7377 61705f64 ...C..# ._swap_d + a4f0: 61746100 000043db 02232408 5f686173 ata...C..#$._has + a500: 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. + a510: 0043e802 2328085f 64657363 5f64756d .C..#(._desc_dum + a520: 70000000 438d0223 2c085f67 65745f70 p...C..#,._get_p + a530: 61636b65 74000000 43f50223 30085f72 acket...C..#0._r + a540: 65636c61 696d5f70 61636b65 74000000 eclaim_packet... + a550: 43fe0223 34085f70 75745f70 61636b65 C..#4._put_packe + a560: 74000000 43fe0223 38087052 65736572 t...C..#8.pReser + a570: 76656400 00000413 02233c00 095f415f ved......#<.._A_ + a580: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + a590: 6e5f7461 626c655f 74000000 30b10957 n_table_t...0..W + a5a0: 4d495f53 56435f41 50495300 0000411a MI_SVC_APIS...A. + a5b0: 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + a5c0: 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. + a5d0: 0046a008 636d6e6f 73000000 45720223 .F..cmnos...Er.# + a5e0: 00086462 67000000 03e00323 b8030868 ..dbg......#...h + a5f0: 69660000 00295a03 23c00308 68746300 if...)Z.#...htc. + a600: 00003acd 0323f803 08776d69 5f737663 ..:..#...wmi_svc + a610: 5f617069 00000045 940323ac 04087573 _api...E..#...us + a620: 62666966 6f5f6170 69000000 32850323 bfifo_api...2..# + a630: d8040862 75665f70 6f6f6c00 0000372e ...buf_pool...7. + a640: 0323e404 08766275 66000000 146d0323 .#...vbuf....m.# + a650: 80050876 64657363 00000013 4f032394 ...vdesc....O.#. + a660: 0508616c 6c6f6372 616d0000 00094503 ..allocram....E. + a670: 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. + a680: 00440503 23b40508 646d615f 6c696200 .D..#...dma_lib. + a690: 00002bee 0323f405 08686966 5f706369 ..+..#...hif_pci + a6a0: 0000002e 4e0323a8 0600095f 415f6d61 ....N.#...._A_ma + a6b0: 67706965 5f696e64 69726563 74696f6e gpie_indirection + a6c0: 5f746162 6c655f74 00000045 a61a616c _table_t...E..al + a6d0: 6c6f6372 616d5f63 75727265 6e745f61 locram_current_a + a6e0: 64647200 0000092a 05030050 0954011a ddr....*...P.T.. + a6f0: 616c6c6f 6372616d 5f72656d 61696e69 allocram_remaini + a700: 6e675f62 79746573 00000009 2a050300 ng_bytes....*... + a710: 50095801 0f000001 25230000 47171022 P.X.....%#..G.." + a720: 00030000 470a0400 0f000001 251c0000 ....G.......%... + a730: 472b101b 00030000 471e0400 0f000001 G+......G....... + a740: 251d0000 473f101c 00030000 47320400 %...G?......G2.. + a750: 03000009 45040002 011b011b 636d6e6f ....E.......cmno + a760: 735f616c 6c6f6372 616d5f69 6e697400 s_allocram_init. + a770: 00000413 01010392 01200290 00008e1c ......... ...... + a780: 34008e1c 44000047 b31c011b 6172656e 4...D..G....aren + a790: 615f7374 61727400 00000413 01521c01 a_start......R.. + a7a0: 1b617265 6e615f73 7a000000 092a0153 .arena_sz....*.S + a7b0: 1d617374 61727400 0000092a 001b014a .astart....*...J + a7c0: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + a7d0: 00041301 01039201 20029000 008e1c44 ........ ......D + a7e0: 008e1c74 0000480d 1c014a77 68696368 ...t..H...Jwhich + a7f0: 5f617265 6e610000 00041301 521c014a _arena......R..J + a800: 6e627974 65730000 00092a01 531d7074 nbytes....*.S.pt + a810: 72000000 0413001e 015c636d 6e6f735f r........\cmnos_ + a820: 616c6c6f 6372616d 5f646562 75670001 allocram_debug.. + a830: 01039201 20029000 008e1c74 008e1c95 .... ......t.... + a840: 1f016363 6d6e6f73 5f616c6c 6f637261 ..ccmnos_allocra + a850: 6d5f6d6f 64756c65 5f696e73 74616c6c m_module_install + a860: 00010103 92012002 9000008e 1c98008e ...... ......... + a870: 1cac1c01 6374626c 00000047 46015200 ....ctbl...GF.R. + a880: 00000000 4b590002 000005ab 04012f72 ....KY......../r + a890: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + a8a0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + a8b0: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + a8c0: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + a8d0: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + a8e0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + a8f0: 732f636c 6f636b2f 7372632f 636d6e6f s/clock/src/cmno + a900: 735f636c 6f636b2e 63002f72 6f6f742f s_clock.c./root/ + a910: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + a920: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + a930: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + a940: 6f732f63 6c6f636b 0078742d 78636320 os/clock.xt-xcc + a950: 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + a960: 6c69676e 5f696e73 74727563 74696f6e lign_instruction + a970: 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + a980: 543a7370 61636500 01000000 33950201 T:space.....3... + a990: 03000001 0c040004 696e7400 05040463 ........int....c + a9a0: 68617200 07010500 00011c05 0000011c har............. + a9b0: 03000001 29040006 00000115 01030000 ....)........... + a9c0: 01350400 07707269 6e74665f 61706900 .5...printf_api. + a9d0: 08000001 79085f70 72696e74 665f696e ....y._printf_in + a9e0: 69740000 00010e02 2300085f 7072696e it......#.._prin + a9f0: 74660000 00013b02 23040004 73686f72 tf....;.#...shor + aa00: 7420756e 7369676e 65642069 6e740007 t unsigned int.. + aa10: 02097569 6e743136 5f740000 00017904 ..uint16_t....y. + aa20: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + aa30: 74000704 0975696e 7433325f 74000000 t....uint32_t... + aa40: 019d0775 6172745f 6669666f 00080000 ...uart_fifo.... + aa50: 020b0873 74617274 5f696e64 65780000 ...start_index.. + aa60: 00018f02 23000865 6e645f69 6e646578 ....#..end_index + aa70: 00000001 8f022302 086f7665 7272756e ......#..overrun + aa80: 5f657272 00000001 b2022304 00077561 _err......#...ua + aa90: 72745f61 70690020 000002c4 085f7561 rt_api. ....._ua + aaa0: 72745f69 6e697400 0000031b 02230008 rt_init......#.. + aab0: 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + aac0: 00034202 2304085f 75617274 5f636861 ..B.#.._uart_cha + aad0: 725f6765 74000000 03560223 08085f75 r_get....V.#.._u + aae0: 6172745f 7374725f 6f757400 0000035f art_str_out...._ + aaf0: 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. + ab00: 00010e02 2310085f 75617274 5f737461 ....#.._uart_sta + ab10: 74757300 0000031b 02231408 5f756172 tus......#.._uar + ab20: 745f636f 6e666967 00000003 68022318 t_config....h.#. + ab30: 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... + ab40: 03710223 1c000300 00020b04 00077561 .q.#..........ua + ab50: 72745f62 6c6b0010 00000315 08646562 rt_blk.......deb + ab60: 75675f6d 6f646500 0000018f 02230008 ug_mode......#.. + ab70: 62617564 00000001 8f022302 085f7561 baud......#.._ua + ab80: 72740000 0002c402 2304085f 74780000 rt......#.._tx.. + ab90: 0001c002 23080006 000001b2 01030000 ....#........... + aba0: 03150400 04756e73 69676e65 64206368 .....unsigned ch + abb0: 61720007 01097569 6e74385f 74000000 ar....uint8_t... + abc0: 03220201 03000003 40040003 00000333 ."......@......3 + abd0: 04000600 00018f01 03000003 50040002 ............P... + abe0: 01030000 035d0400 02010300 00036604 .....]........f. + abf0: 00020103 0000036f 04000300 00011c04 .......o........ + ac00: 00060000 01150103 0000037f 04000744 ...............D + ac10: 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT + ac20: 000c0000 03d70863 6d645f73 74720000 .......cmd_str.. + ac30: 00037802 23000868 656c705f 73747200 ..x.#..help_str. + ac40: 00000378 02230408 636d645f 66756e63 ...x.#..cmd_func + ac50: 00000003 85022308 00076462 675f6170 ......#...dbg_ap + ac60: 69000800 00040a08 5f646267 5f696e69 i......._dbg_ini + ac70: 74000000 010e0223 00085f64 62675f74 t......#.._dbg_t + ac80: 61736b00 0000010e 02230400 0a040004 ask......#...... + ac90: 756e7369 676e6564 20696e74 00070406 unsigned int.... + aca0: 0000040a 01030000 041d0400 0b0b0300 ................ + acb0: 00042b04 00060000 040a0103 00000433 ..+............3 + acc0: 04000600 00011501 03000004 40040007 ............@... + acd0: 6d656d5f 61706900 14000004 af085f6d mem_api......._m + ace0: 656d5f69 6e697400 0000010e 02230008 em_init......#.. + acf0: 5f6d656d 73657400 00000423 02230408 _memset....#.#.. + ad00: 5f6d656d 63707900 00000439 02230808 _memcpy....9.#.. + ad10: 5f6d656d 6d6f7665 00000004 3902230c _memmove....9.#. + ad20: 085f6d65 6d636d70 00000004 46022310 ._memcmp....F.#. + ad30: 000c7265 67697374 65725f64 756d705f ..register_dump_ + ad40: 73000001 03000004 af040002 01030000 s............... + ad50: 04c90400 02010300 0004d204 00060000 ................ + ad60: 01150103 000004db 04000d68 6f737469 ...........hosti + ad70: 665f7300 04000005 370e4849 465f5553 f_s.....7.HIF_US + ad80: 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H + ad90: 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC + ada0: 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI + adb0: 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST + adc0: 49460000 0004e806 00000537 01030000 IF.........7.... + add0: 05450400 06000003 33010300 00055204 .E......3.....R. + ade0: 00060000 018f0103 0000055f 0400076d ..........._...m + adf0: 6973635f 61706900 24000006 4f085f73 isc_api.$...O._s + ae00: 79737465 6d5f7265 73657400 0000010e ystem_reset..... + ae10: 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. + ae20: 00010e02 2304085f 61737366 61696c00 ....#.._assfail. + ae30: 000004cb 02230808 5f6d6973 616c6967 .....#.._misalig + ae40: 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler + ae50: 00000004 cb02230c 085f7265 706f7274 ......#.._report + ae60: 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host + ae70: 00000004 d4022310 085f7461 72676574 ......#.._target + ae80: 5f69645f 67657400 000004e1 02231408 _id_get......#.. + ae90: 5f69735f 686f7374 5f707265 73656e74 _is_host_present + aea0: 00000005 4b022318 085f6b62 68697400 ....K.#.._kbhit. + aeb0: 00000558 02231c08 5f726f6d 5f766572 ...X.#.._rom_ver + aec0: 73696f6e 5f676574 00000005 65022320 sion_get....e.# + aed0: 00060000 03780103 0000064f 04000600 .....x.....O.... + aee0: 00037801 03000006 5c040006 00000115 ..x.....\....... + aef0: 01030000 06690400 06000001 15010300 .....i.......... + af00: 00067604 00060000 01150103 00000683 ..v............. + af10: 04000773 7472696e 675f6170 69001800 ...string_api... + af20: 00070908 5f737472 696e675f 696e6974 ...._string_init + af30: 00000001 0e022300 085f7374 72637079 ......#.._strcpy + af40: 00000006 55022304 085f7374 726e6370 ....U.#.._strncp + af50: 79000000 06620223 08085f73 74726c65 y....b.#.._strle + af60: 6e000000 066f0223 0c085f73 7472636d n....o.#.._strcm + af70: 70000000 067c0223 10085f73 74726e63 p....|.#.._strnc + af80: 6d700000 00068902 2314000f 0000040d mp......#....... + af90: 14000007 16100400 095f415f 54494d45 ........._A_TIME + afa0: 525f5350 41434500 00000709 09415f74 R_SPACE......A_t + afb0: 696d6572 5f740000 00071603 0000072a imer_t.........* + afc0: 04000201 03000007 40040002 01030000 ........@....... + afd0: 07490400 09415f48 414e444c 45000000 .I...A_HANDLE... + afe0: 040d0201 09415f54 494d4552 5f46554e .....A_TIMER_FUN + aff0: 43000000 07600300 00076204 00020103 C....`....b..... + b000: 0000077b 04000774 696d6572 5f617069 ...{...timer_api + b010: 00140000 07fa085f 74696d65 725f696e ......._timer_in + b020: 69740000 00010e02 2300085f 74696d65 it......#.._time + b030: 725f6172 6d000000 07420223 04085f74 r_arm....B.#.._t + b040: 696d6572 5f646973 61726d00 0000074b imer_disarm....K + b050: 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn + b060: 00000007 7d02230c 085f7469 6d65725f ....}.#.._timer_ + b070: 72756e00 0000010e 02231000 09424f4f run......#...BOO + b080: 4c45414e 00000001 8f060000 07fa0103 LEAN............ + b090: 00000807 04000600 0007fa01 03000008 ................ + b0a0: 14040006 000007fa 01030000 08210400 .............!.. + b0b0: 07726f6d 705f6170 69001000 00089308 .romp_api....... + b0c0: 5f726f6d 705f696e 69740000 00010e02 _romp_init...... + b0d0: 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa + b0e0: 64000000 080d0223 04085f72 6f6d705f d......#.._romp_ + b0f0: 696e7374 616c6c00 0000081a 02230808 install......#.. + b100: 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... + b110: 2702230c 0007726f 6d5f7061 7463685f '.#...rom_patch_ + b120: 73740010 000008ef 08637263 31360000 st.......crc16.. + b130: 00018f02 2300086c 656e0000 00018f02 ....#..len...... + b140: 2302086c 645f6164 64720000 0001b202 #..ld_addr...... + b150: 23040866 756e5f61 64647200 000001b2 #..fun_addr..... + b160: 02230808 7066756e 00000003 4902230c .#..pfun....I.#. + b170: 00076565 705f7265 6469725f 61646472 ..eep_redir_addr + b180: 00040000 0921086f 66667365 74000000 .....!.offset... + b190: 018f0223 00087369 7a650000 00018f02 ...#..size...... + b1a0: 23020009 415f5549 4e543332 00000004 #...A_UINT32.... + b1b0: 0d060000 040a0103 0000092f 04000761 .........../...a + b1c0: 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... + b1d0: a008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + b1e0: 5f696e69 74000000 09350223 0008636d _init....5.#..cm + b1f0: 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... + b200: 35022304 08636d6e 6f735f61 6c6c6f63 5.#..cmnos_alloc + b210: 72616d5f 64656275 67000000 010e0223 ram_debug......# + b220: 08000201 03000009 a0040009 415f5441 ............A_TA + b230: 534b4c45 545f4655 4e430000 0009a207 SKLET_FUNC...... + b240: 5f746173 6b6c6574 00100000 0a010866 _tasklet.......f + b250: 756e6300 000009a9 02230008 61726700 unc......#..arg. + b260: 0000040a 02230408 73746174 65000000 .....#..state... + b270: 01150223 08086e65 78740000 000a0102 ...#..next...... + b280: 230c0003 000009bd 04000300 0009bd04 #............... + b290: 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... + b2a0: 09bd0300 000a0f04 00020103 00000a27 ...............' + b2b0: 04000201 0300000a 30040007 7461736b ........0...task + b2c0: 6c65745f 61706900 1400000a c5085f74 let_api......._t + b2d0: 61736b6c 65745f69 6e697400 0000010e asklet_init..... + b2e0: 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini + b2f0: 745f7461 736b0000 000a2902 2304085f t_task....).#.._ + b300: 7461736b 6c65745f 64697361 626c6500 tasklet_disable. + b310: 00000a32 02230808 5f746173 6b6c6574 ...2.#.._tasklet + b320: 5f736368 6564756c 65000000 0a320223 _schedule....2.# + b330: 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. + b340: 00010e02 23100002 01030000 0ac50400 ....#........... + b350: 06000009 21010300 000ace04 00020103 ....!........... + b360: 00000adb 04000763 6c6f636b 5f617069 .......clock_api + b370: 00240000 0bbd085f 636c6f63 6b5f696e .$....._clock_in + b380: 69740000 000ac702 2300085f 636c6f63 it......#.._cloc + b390: 6b726567 735f696e 69740000 00010e02 kregs_init...... + b3a0: 2304085f 75617274 5f667265 7175656e #.._uart_frequen + b3b0: 63790000 000ad402 2308085f 64656c61 cy......#.._dela + b3c0: 795f7573 0000000a dd02230c 085f776c y_us......#.._wl + b3d0: 616e5f62 616e645f 73657400 00000add an_band_set..... + b3e0: 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee + b3f0: 645f6765 74000000 0ad40223 14085f6d d_get......#.._m + b400: 696c6c69 7365636f 6e647300 00000ad4 illiseconds..... + b410: 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan + b420: 67650000 00010e02 231c085f 636c6f63 ge......#.._cloc + b430: 6b5f7469 636b0000 00010e02 23200006 k_tick......# .. + b440: 000001b2 01030000 0bbd0400 09415f6f .............A_o + b450: 6c645f69 6e74725f 74000000 01b20600 ld_intr_t....... + b460: 000bca01 0300000b dc040002 01030000 ................ + b470: 0be90400 02010300 000bf204 00060000 ................ + b480: 01b20103 00000bfb 04000941 5f697372 ...........A_isr + b490: 5f740000 000c0102 01030000 0c150400 _t.............. + b4a0: 06000004 0d010300 000c1e04 00020103 ................ + b4b0: 00000c2b 04000769 6e74725f 61706900 ...+...intr_api. + b4c0: 2c00000d 4d085f69 6e74725f 696e6974 ,...M._intr_init + b4d0: 00000001 0e022300 085f696e 74725f69 ......#.._intr_i + b4e0: 6e766f6b 655f6973 72000000 0bc30223 nvoke_isr......# + b4f0: 04085f69 6e74725f 64697361 626c6500 .._intr_disable. + b500: 00000be2 02230808 5f696e74 725f7265 .....#.._intr_re + b510: 73746f72 65000000 0beb0223 0c085f69 store......#.._i + b520: 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... + b530: 0bf40223 10085f69 6e74725f 756e6d61 ...#.._intr_unma + b540: 736b5f69 6e756d00 00000bf4 02231408 sk_inum......#.. + b550: 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr + b560: 0000000c 17022318 085f6765 745f696e ......#.._get_in + b570: 7472656e 61626c65 0000000c 2402231c trenable....$.#. + b580: 085f7365 745f696e 7472656e 61626c65 ._set_intrenable + b590: 0000000c 2d022320 085f6765 745f696e ....-.# ._get_in + b5a0: 74727065 6e64696e 67000000 0c240223 trpending....$.# + b5b0: 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i + b5c0: 6e74726c 766c0000 00010e02 23280011 ntrlvl......#(.. + b5d0: 0400000d 73087469 6d656f75 74000000 ....s.timeout... + b5e0: 01b20223 00086163 74696f6e 00000001 ...#..action.... + b5f0: b2022300 00120800 000d8e08 636d6400 ..#.........cmd. + b600: 000001b2 02230013 00000d4d 02230400 .....#.....M.#.. + b610: 09545f57 44545f43 4d440000 000d7302 .T_WDT_CMD....s. + b620: 01030000 0d9d0400 14040000 0df30e45 ...............E + b630: 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E + b640: 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... + b650: 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. + b660: 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO + b670: 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP + b680: 45000000 0da60600 000df301 0300000e E............... + b690: 04040007 7764745f 61706900 1c00000e ....wdt_api..... + b6a0: a8085f77 64745f69 6e697400 0000010e .._wdt_init..... + b6b0: 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. + b6c0: 0000010e 02230408 5f776474 5f646973 .....#.._wdt_dis + b6d0: 61626c65 00000001 0e022308 085f7764 able......#.._wd + b6e0: 745f7365 74000000 0d9f0223 0c085f77 t_set......#.._w + b6f0: 64745f74 61736b00 0000010e 02231008 dt_task......#.. + b700: 5f776474 5f726573 65740000 00010e02 _wdt_reset...... + b710: 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo + b720: 74000000 0e0a0223 18001404 00000f0f t......#........ + b730: 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R + b740: 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE + b750: 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE + b760: 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... + b770: 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW + b780: 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. + b790: 0009545f 4545505f 52455400 00000ea8 ..T_EEP_RET..... + b7a0: 03000001 8f040006 00000f0f 01030000 ................ + b7b0: 0f250400 0600000f 0f010300 000f3204 .%............2. + b7c0: 00076565 705f6170 69001000 000f9b08 ..eep_api....... + b7d0: 5f656570 5f696e69 74000000 010e0223 _eep_init......# + b7e0: 00085f65 65705f72 65616400 00000f2b .._eep_read....+ + b7f0: 02230408 5f656570 5f777269 74650000 .#.._eep_write.. + b800: 000f2b02 2308085f 6565705f 69735f65 ..+.#.._eep_is_e + b810: 78697374 0000000f 3802230c 00077573 xist....8.#...us + b820: 625f6170 69007000 00124808 5f757362 b_api.p...H._usb + b830: 5f696e69 74000000 010e0223 00085f75 _init......#.._u + b840: 73625f72 6f6d5f74 61736b00 0000010e sb_rom_task..... + b850: 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task + b860: 00000001 0e022308 085f7573 625f696e ......#.._usb_in + b870: 69745f70 68790000 00010e02 230c085f it_phy......#.._ + b880: 7573625f 6570305f 73657475 70000000 usb_ep0_setup... + b890: 010e0223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t + b8a0: 78000000 010e0223 14085f75 73625f65 x......#.._usb_e + b8b0: 70305f72 78000000 010e0223 18085f75 p0_rx......#.._u + b8c0: 73625f67 65745f69 6e746572 66616365 sb_get_interface + b8d0: 00000008 1a02231c 085f7573 625f7365 ......#.._usb_se + b8e0: 745f696e 74657266 61636500 0000081a t_interface..... + b8f0: 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con + b900: 66696775 72617469 6f6e0000 00081a02 figuration...... + b910: 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf + b920: 69677572 6174696f 6e000000 081a0223 iguration......# + b930: 28085f75 73625f73 74616e64 6172645f (._usb_standard_ + b940: 636d6400 0000081a 02232c08 5f757362 cmd......#,._usb + b950: 5f76656e 646f725f 636d6400 0000010e _vendor_cmd..... + b960: 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o + b970: 66660000 00010e02 2334085f 7573625f ff......#4._usb_ + b980: 72657365 745f6669 666f0000 00010e02 reset_fifo...... + b990: 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. + b9a0: 0000010e 02233c08 5f757362 5f6a756d .....#<._usb_jum + b9b0: 705f626f 6f740000 00010e02 2340085f p_boot......#@._ + b9c0: 7573625f 636c725f 66656174 75726500 usb_clr_feature. + b9d0: 0000081a 02234408 5f757362 5f736574 .....#D._usb_set + b9e0: 5f666561 74757265 00000008 1a022348 _feature......#H + b9f0: 085f7573 625f7365 745f6164 64726573 ._usb_set_addres + ba00: 73000000 081a0223 4c085f75 73625f67 s......#L._usb_g + ba10: 65745f64 65736372 6970746f 72000000 et_descriptor... + ba20: 081a0223 50085f75 73625f67 65745f73 ...#P._usb_get_s + ba30: 74617475 73000000 081a0223 54085f75 tatus......#T._u + ba40: 73625f73 65747570 5f646573 63000000 sb_setup_desc... + ba50: 010e0223 58085f75 73625f72 65675f6f ...#X._usb_reg_o + ba60: 75740000 00010e02 235c085f 7573625f ut......#\._usb_ + ba70: 73746174 75735f69 6e000000 010e0223 status_in......# + ba80: 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da + ba90: 74610000 00010e02 2364085f 7573625f ta......#d._usb_ + baa0: 6570305f 72785f64 61746100 0000010e ep0_rx_data..... + bab0: 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini + bac0: 74000000 010e0223 6c00075f 56444553 t......#l.._VDES + bad0: 43002400 0012d408 6e657874 5f646573 C.$.....next_des + bae0: 63000000 12d40223 00086275 665f6164 c......#..buf_ad + baf0: 64720000 0012e802 23040862 75665f73 dr......#..buf_s + bb00: 697a6500 000012ef 02230808 64617461 ize......#..data + bb10: 5f6f6666 73657400 000012ef 02230a08 _offset......#.. + bb20: 64617461 5f73697a 65000000 12ef0223 data_size......# + bb30: 0c08636f 6e74726f 6c000000 12ef0223 ..control......# + bb40: 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... + bb50: 12fd0223 10000300 00124804 0009415f ...#......H...A_ + bb60: 55494e54 38000000 03220300 0012db04 UINT8...."...... + bb70: 0009415f 55494e54 31360000 0001790f ..A_UINT16....y. + bb80: 000012db 14000013 0a101300 03000012 ................ + bb90: 48040009 56444553 43000000 12480300 H...VDESC....H.. + bba0: 00131104 00060000 131c0103 00001323 ...............# + bbb0: 04000600 0012e801 03000013 30040002 ............0... + bbc0: 01030000 133d0400 07766465 73635f61 .....=...vdesc_a + bbd0: 70690014 000013b5 085f696e 69740000 pi......._init.. + bbe0: 000add02 2300085f 616c6c6f 635f7664 ....#.._alloc_vd + bbf0: 65736300 00001329 02230408 5f676574 esc....).#.._get + bc00: 5f68775f 64657363 00000013 36022308 _hw_desc....6.#. + bc10: 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... + bc20: 3f02230c 08705265 73657276 65640000 ?.#..pReserved.. + bc30: 00040a02 23100007 5f564255 46002000 ....#..._VBUF. . + bc40: 00141508 64657363 5f6c6973 74000000 ....desc_list... + bc50: 131c0223 00086e65 78745f62 75660000 ...#..next_buf.. + bc60: 00141502 23040862 75665f6c 656e6774 ....#..buf_lengt + bc70: 68000000 12ef0223 08087265 73657276 h......#..reserv + bc80: 65640000 00141c02 230a0863 74780000 ed......#..ctx.. + bc90: 0012fd02 230c0003 000013b5 04000f00 ....#........... + bca0: 0012db02 00001429 10010003 000013b5 .......)........ + bcb0: 04000956 42554600 000013b5 03000014 ...VBUF......... + bcc0: 30040006 0000143a 01030000 14410400 0......:.....A.. + bcd0: 06000014 3a010300 00144e04 00020103 ....:.....N..... + bce0: 0000145b 04000776 6275665f 61706900 ...[...vbuf_api. + bcf0: 14000014 d9085f69 6e697400 00000add ......_init..... + bd00: 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. + bd10: 00001447 02230408 5f616c6c 6f635f76 ...G.#.._alloc_v + bd20: 6275665f 77697468 5f73697a 65000000 buf_with_size... + bd30: 14540223 08085f66 7265655f 76627566 .T.#.._free_vbuf + bd40: 00000014 5d02230c 08705265 73657276 ....].#..pReserv + bd50: 65640000 00040a02 23100007 5f5f6164 ed......#...__ad + bd60: 665f6465 76696365 00040000 14fb0864 f_device.......d + bd70: 756d6d79 00000001 15022300 00030000 ummy......#..... + bd80: 09210400 075f5f61 64665f64 6d615f6d .!...__adf_dma_m + bd90: 6170000c 00001542 08627566 00000014 ap.....B.buf.... + bda0: 3a022300 0864735f 61646472 00000014 :.#..ds_addr.... + bdb0: fb022304 0864735f 6c656e00 000012ef ..#..ds_len..... + bdc0: 02230800 120c0000 157c085f 5f76615f .#.......|.__va_ + bdd0: 73746b00 00000378 02230008 5f5f7661 stk....x.#..__va + bde0: 5f726567 00000003 78022304 085f5f76 _reg....x.#..__v + bdf0: 615f6e64 78000000 01150223 0800095f a_ndx......#..._ + be00: 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + be10: 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d + be20: 6d615f61 6464725f 74000000 157c095f ma_addr_t....|._ + be30: 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + be40: 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d + be50: 6d615f73 697a655f 74000000 15ac075f ma_size_t......_ + be60: 5f646d61 5f736567 73000800 00160808 _dma_segs....... + be70: 70616464 72000000 15950223 00086c65 paddr......#..le + be80: 6e000000 15c50223 0400095f 5f615f75 n......#...__a_u + be90: 696e7433 325f7400 00000921 09615f75 int32_t....!.a_u + bea0: 696e7433 325f7400 00001608 0f000015 int32_t......... + beb0: dc080000 16371000 00076164 665f6f73 .....7....adf_os + bec0: 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + bed0: 1670086e 73656773 00000016 1a022300 .p.nsegs......#. + bee0: 08646d61 5f736567 73000000 162a0223 .dma_segs....*.# + bef0: 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + bf00: 0012db09 615f7569 6e74385f 74000000 ....a_uint8_t... + bf10: 16700300 00168104 00075f5f 73675f73 .p........__sg_s + bf20: 65677300 08000016 c2087661 64647200 egs.......vaddr. + bf30: 00001690 02230008 6c656e00 0000161a .....#..len..... + bf40: 02230400 0f000016 97200000 16cf1003 .#....... ...... + bf50: 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + bf60: 24000017 02086e73 65677300 0000161a $.....nsegs..... + bf70: 02230008 73675f73 65677300 000016c2 .#..sg_segs..... + bf80: 02230400 12100000 174b0876 656e646f .#.......K.vendo + bf90: 72000000 161a0223 00086465 76696365 r......#..device + bfa0: 00000016 1a022304 08737562 76656e64 ......#..subvend + bfb0: 6f720000 00161a02 23080873 75626465 or......#..subde + bfc0: 76696365 00000016 1a02230c 00046c6f vice......#...lo + bfd0: 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + bfe0: 20696e74 00070809 415f5549 4e543634 int....A_UINT64 + bff0: 00000017 4b095f5f 615f7569 6e743634 ....K.__a_uint64 + c000: 5f740000 00176509 615f7569 6e743634 _t....e.a_uint64 + c010: 5f740000 00177314 04000017 d10e4144 _t....s.......AD + c020: 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + c030: 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + c040: 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + c050: 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou + c060: 7263655f 74797065 5f740000 00179512 rce_type_t...... + c070: 18000018 1b087374 61727400 00001785 ......start..... + c080: 02230008 656e6400 00001785 02230808 .#..end......#.. + c090: 74797065 00000017 d1022310 00096164 type......#...ad + c0a0: 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + c0b0: 74000000 17020300 00181b04 00110400 t............... + c0c0: 00185a08 70636900 00001834 02230008 ..Z.pci....4.#.. + c0d0: 72617700 0000040a 02230000 11100000 raw......#...... + c0e0: 18790870 63690000 00181b02 23000872 .y.pci......#..r + c0f0: 61770000 00040a02 23000009 6164665f aw......#...adf_ + c100: 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... + c110: 0a096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + c120: 655f7400 000017ed 03000018 8f040009 e_t............. + c130: 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + c140: 74615f74 00000018 5a030000 18ad0400 ta_t....Z....... + c150: 03000014 d9040009 5f5f6164 665f6f73 ........__adf_os + c160: 5f646576 6963655f 74000000 18ce0961 _device_t......a + c170: 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + c180: 0018d506 00001879 01030000 19010400 .......y........ + c190: 02010300 00190e04 00096164 665f6f73 ..........adf_os + c1a0: 5f706d5f 74000000 040a0201 03000019 _pm_t........... + c1b0: 28040014 04000019 680e4144 465f4f53 (.......h.ADF_OS + c1c0: 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + c1d0: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + c1e0: 47454e45 52494300 02000961 64665f6f GENERIC....adf_o + c1f0: 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... + c200: 31096164 665f6f73 5f627573 5f726567 1.adf_os_bus_reg + c210: 5f646174 615f7400 0000183b 03000003 _data_t....;.... + c220: 22040007 5f616466 5f647276 5f696e66 "..._adf_drv_inf + c230: 6f002000 001a4508 6472765f 61747461 o. ...E.drv_atta + c240: 63680000 00190702 23000864 72765f64 ch......#..drv_d + c250: 65746163 68000000 19100223 04086472 etach......#..dr + c260: 765f7375 7370656e 64000000 192a0223 v_suspend....*.# + c270: 08086472 765f7265 73756d65 00000019 ..drv_resume.... + c280: 1002230c 08627573 5f747970 65000000 ..#..bus_type... + c290: 19680223 10086275 735f6461 74610000 .h.#..bus_data.. + c2a0: 00197f02 2314086d 6f645f6e 616d6500 ....#..mod_name. + c2b0: 0000199a 02231808 69666e61 6d650000 .....#..ifname.. + c2c0: 00199a02 231c0009 6164665f 6f735f68 ....#...adf_os_h + c2d0: 616e646c 655f7400 0000040a 03000016 andle_t......... + c2e0: 70040002 01020109 5f5f6164 665f6f73 p.......__adf_os + c2f0: 5f73697a 655f7400 0000040d 14040000 _size_t......... + c300: 1a940e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + c310: 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. + c320: 00001a7a 03000015 02040009 5f5f6164 ...z........__ad + c330: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + c340: 001aa202 010d6164 665f6f73 5f636163 ......adf_os_cac + c350: 68655f73 796e6300 0400001b 2c0e4144 he_sync.....,.AD + c360: 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + c370: 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + c380: 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + c390: 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + c3a0: 435f504f 53545752 49544500 03000961 C_POSTWRITE....a + c3b0: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + c3c0: 5f740000 001ac302 01096164 665f6f73 _t........adf_os + c3d0: 5f73697a 655f7400 00001a65 0600001b _size_t....e.... + c3e0: 47010961 64665f6f 735f646d 615f6d61 G..adf_os_dma_ma + c3f0: 705f7400 00001aa9 0300001b 60040006 p_t.........`... + c400: 0000040a 01030000 1aa90400 06000004 ................ + c410: 0a010201 06000015 95010201 0473686f .............sho + c420: 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 + c430: 36000000 1b9a095f 5f615f69 6e743136 6......__a_int16 + c440: 5f740000 001ba709 615f696e 7431365f _t......a_int16_ + c450: 74000000 1bb40473 69676e65 64206368 t......signed ch + c460: 61720005 0109415f 494e5438 0000001b ar....A_INT8.... + c470: d4095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... + c480: e309615f 696e7438 5f740000 001bef12 ..a_int8_t...... + c490: 0c00001c 66087375 70706f72 74656400 ....f.supported. + c4a0: 0000161a 02230008 61647665 7274697a .....#..advertiz + c4b0: 65640000 00161a02 23040873 70656564 ed......#..speed + c4c0: 0000001b c5022308 08647570 6c657800 ......#..duplex. + c4d0: 00001bff 02230a08 6175746f 6e656700 .....#..autoneg. + c4e0: 00001681 02230b00 0f000016 81060000 .....#.......... + c4f0: 1c731005 00076164 665f6e65 745f6574 .s....adf_net_et + c500: 68616464 72000600 001c9708 61646472 haddr.......addr + c510: 0000001c 66022300 00095f5f 615f7569 ....f.#...__a_ui + c520: 6e743136 5f740000 0012ef09 615f7569 nt16_t......a_ui + c530: 6e743136 5f740000 001c9712 0e00001c nt16_t.......... + c540: fb086574 6865725f 64686f73 74000000 ..ether_dhost... + c550: 1c660223 00086574 6865725f 73686f73 .f.#..ether_shos + c560: 74000000 1c660223 06086574 6865725f t....f.#..ether_ + c570: 74797065 0000001c a902230c 00121400 type......#..... + c580: 001dbc15 69705f76 65727369 6f6e0000 ....ip_version.. + c590: 00168101 00040223 00156970 5f686c00 .......#..ip_hl. + c5a0: 00001681 01040402 23000869 705f746f ........#..ip_to + c5b0: 73000000 16810223 01086970 5f6c656e s......#..ip_len + c5c0: 0000001c a9022302 0869705f 69640000 ......#..ip_id.. + c5d0: 001ca902 23040869 705f6672 61675f6f ....#..ip_frag_o + c5e0: 66660000 001ca902 23060869 705f7474 ff......#..ip_tt + c5f0: 6c000000 16810223 08086970 5f70726f l......#..ip_pro + c600: 746f0000 00168102 23090869 705f6368 to......#..ip_ch + c610: 65636b00 00001ca9 02230a08 69705f73 eck......#..ip_s + c620: 61646472 00000016 1a02230c 0869705f addr......#..ip_ + c630: 64616464 72000000 161a0223 10000761 daddr......#...a + c640: 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + c650: 00001e0e 08747069 64000000 1ca90223 .....tpid......# + c660: 00157072 696f0000 00168101 00030223 ..prio.........# + c670: 02156366 69000000 16810103 01022302 ..cfi.........#. + c680: 15766964 0000001c a902040c 02230200 .vid.........#.. + c690: 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + c6a0: 1e3f1572 65730000 00168101 00040223 .?.res.........# + c6b0: 00157661 6c000000 1ca90204 0c022300 ..val.........#. + c6c0: 00120c00 001e7b08 72785f62 75667369 ......{.rx_bufsi + c6d0: 7a650000 00161a02 23000872 785f6e64 ze......#..rx_nd + c6e0: 65736300 0000161a 02230408 74785f6e esc......#..tx_n + c6f0: 64657363 00000016 1a022308 00120800 desc......#..... + c700: 001ea108 706f6c6c 65640000 001a9402 ....polled...... + c710: 23000870 6f6c6c5f 77740000 00161a02 #..poll_wt...... + c720: 2304000f 00001681 4000001e ae103f00 #.......@.....?. + c730: 12460000 1ed60869 665f6e61 6d650000 .F.....if_name.. + c740: 001ea102 23000864 65765f61 64647200 ....#..dev_addr. + c750: 00001c66 02234000 14040000 1f0d0e41 ...f.#@........A + c760: 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + c770: 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + c780: 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... + c790: 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + c7a0: 74000000 1ed60761 64665f64 6d615f69 t......adf_dma_i + c7b0: 6e666f00 0800001f 5a08646d 615f6d61 nfo.....Z.dma_ma + c7c0: 736b0000 001f0d02 23000873 675f6e73 sk......#..sg_ns + c7d0: 65677300 0000161a 02230400 14040000 egs......#...... + c7e0: 1fb00e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + c7f0: 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + c800: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + c810: 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + c820: 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + c830: 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + c840: 5f747970 655f7400 00001f5a 12080000 _type_t....Z.... + c850: 1ff30874 785f636b 73756d00 00001fb0 ...tx_cksum..... + c860: 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... + c870: b0022304 00096164 665f6e65 745f636b ..#...adf_net_ck + c880: 73756d5f 696e666f 5f740000 001fca14 sum_info_t...... + c890: 04000020 4c0e4144 465f4e45 545f5453 ... L.ADF_NET_TS + c8a0: 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + c8b0: 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + c8c0: 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a + c8d0: 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + c8e0: 74000000 200d1210 000020a0 08636b73 t... ..... ..cks + c8f0: 756d5f63 61700000 001ff302 23000874 um_cap......#..t + c900: 736f0000 00204c02 23080876 6c616e5f so... L.#..vlan_ + c910: 73757070 6f727465 64000000 16810223 supported......# + c920: 0c001220 00002139 0874785f 7061636b ... ..!9.tx_pack + c930: 65747300 0000161a 02230008 72785f70 ets......#..rx_p + c940: 61636b65 74730000 00161a02 23040874 ackets......#..t + c950: 785f6279 74657300 0000161a 02230808 x_bytes......#.. + c960: 72785f62 79746573 00000016 1a02230c rx_bytes......#. + c970: 0874785f 64726f70 70656400 0000161a .tx_dropped..... + c980: 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. + c990: 00161a02 23140872 785f6572 726f7273 ....#..rx_errors + c9a0: 00000016 1a022318 0874785f 6572726f ......#..tx_erro + c9b0: 72730000 00161a02 231c0009 6164665f rs......#...adf_ + c9c0: 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + c9d0: 1c731600 00213903 00000021 5e107f00 .s...!9....!^... + c9e0: 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + c9f0: 64647200 03040000 2195086e 656c656d ddr.....!..nelem + ca00: 00000016 1a022300 086d6361 73740000 ......#..mcast.. + ca10: 00215002 23040009 6164665f 6e65745f .!P.#...adf_net_ + ca20: 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + ca30: 00001c0d 09616466 5f6e6574 5f636d64 .....adf_net_cmd + ca40: 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... + ca50: 7b096164 665f6e65 745f636d 645f636b {.adf_net_cmd_ck + ca60: 73756d5f 696e666f 5f740000 001ff309 sum_info_t...... + ca70: 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + ca80: 5f696e66 6f5f7400 00001e3f 09616466 _info_t....?.adf + ca90: 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + caa0: 6f5f7400 00001f24 09616466 5f6e6574 o_t....$.adf_net + cab0: 5f636d64 5f766964 5f740000 001ca909 _cmd_vid_t...... + cac0: 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + cad0: 6f61645f 6361705f 74000000 20640961 oad_cap_t... d.a + cae0: 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + caf0: 5f740000 0020a009 6164665f 6e65745f _t... ..adf_net_ + cb00: 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! + cb10: 5e0d6164 665f6e65 745f636d 645f6d63 ^.adf_net_cmd_mc + cb20: 6173745f 63617000 04000022 d70e4144 ast_cap...."..AD + cb30: 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + cb40: 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + cb50: 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne + cb60: 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + cb70: 74000000 228f1803 04000023 a9086c69 t..."......#..li + cb80: 6e6b5f69 6e666f00 00002195 02230008 nk_info...!..#.. + cb90: 706f6c6c 5f696e66 6f000000 21b20223 poll_info...!..# + cba0: 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! + cbb0: cf022300 0872696e 675f696e 666f0000 ..#..ring_info.. + cbc0: 0021ed02 23000864 6d615f69 6e666f00 .!..#..dma_info. + cbd0: 0000220a 02230008 76696400 00002226 .."..#..vid..."& + cbe0: 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. + cbf0: 0000223d 02230008 73746174 73000000 .."=.#..stats... + cc00: 225c0223 00086d63 6173745f 696e666f "\.#..mcast_info + cc10: 00000022 75022300 086d6361 73745f63 ..."u.#..mcast_c + cc20: 61700000 0022d702 23000014 04000024 ap..."..#......$ + cc30: 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + cc40: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + cc50: 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + cc60: 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + cc70: 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + cc80: 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + cc90: 6b73756d 5f747970 655f7400 000023a9 ksum_type_t...#. + cca0: 12080000 24400872 6573756c 74000000 ....$@.result... + ccb0: 24000223 00087661 6c000000 161a0223 $..#..val......# + ccc0: 04001208 00002470 08747970 65000000 ......$p.type... + ccd0: 204c0223 00086d73 73000000 1ca90223 L.#..mss......# + cce0: 04086864 725f6f66 66000000 16810223 ..hdr_off......# + ccf0: 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + cd00: 65616400 0c000024 af086865 61640000 ead....$..head.. + cd10: 00143a02 23000874 61696c00 0000143a ..:.#..tail....: + cd20: 02230408 716c656e 00000016 1a022308 .#..qlen......#. + cd30: 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + cd40: 00143a03 00001690 04000300 00161a04 ..:............. + cd50: 00020106 0000131c 01060000 161a0106 ................ + cd60: 00001690 01060000 16900103 000012fd ................ + cd70: 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + cd80: 6561645f 74000000 2470095f 5f616466 ead_t...$p.__adf + cd90: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + cda0: 24f00300 00250804 00060000 24af0106 $....%......$... + cdb0: 000024af 01140400 0026280e 415f5354 ..$......&(.A_ST + cdc0: 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + cdd0: 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + cde0: 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + cdf0: 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + ce00: 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + ce10: 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + ce20: 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + ce30: 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + ce40: 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + ce50: 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + ce60: 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + ce70: 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + ce80: 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + ce90: 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + cea0: 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta + ceb0: 7475735f 74000000 25330600 00262801 tus_t...%3...&(. + cec0: 06000001 15010201 09616466 5f6e6275 .........adf_nbu + ced0: 665f7400 000024af 14040000 268d0e41 f_t...$.....&..A + cee0: 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + cef0: 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + cf00: 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... + cf10: 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + cf20: 00000026 56060000 26280102 01096164 ...&V...&(....ad + cf30: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + cf40: 5f740000 00163703 000026ab 04000201 _t....7...&..... + cf50: 02010600 00264601 06000024 af010201 .....&F....$.... + cf60: 02010600 00264601 06000024 af010600 .....&F....$.... + cf70: 00264601 06000024 af010600 00264601 .&F....$.....&F. + cf80: 02010201 06000016 1a010600 00169001 ................ + cf90: 02010201 0600001b 47010600 001a9401 ........G....... + cfa0: 0600001a 94010961 64665f6f 735f7367 .......adf_os_sg + cfb0: 6c697374 5f740000 0016cf03 00002724 list_t........'$ + cfc0: 04000201 02010201 06000016 90010961 ...............a + cfd0: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + cfe0: 00002508 03000027 4c040002 01030000 ..%....'L....... + cff0: 24f00400 02010201 02010600 00264601 $............&F. + d000: 06000024 af010600 00161a01 06000016 ...$............ + d010: 1a010600 001a9401 0600001a 94010600 ................ + d020: 001fb001 06000016 1a010961 64665f6e ...........adf_n + d030: 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + d040: 00241e03 000027a8 04000201 02010961 .$....'........a + d050: 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + d060: 24400300 0027cc04 00020102 01096164 $@...'........ad + d070: 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + d080: 00040a09 6164665f 6e65745f 766c616e ....adf_net_vlan + d090: 6864725f 74000000 1dbc0300 00280104 hdr_t........(.. + d0a0: 00060000 26280106 00002628 01020102 ....&(....&(.... + d0b0: 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + d0c0: 00285008 64756d6d 79000000 01150223 .(P.dummy......# + d0d0: 00000201 03000028 50040002 01030000 .......(P....... + d0e0: 28590400 075f4849 465f4341 4c4c4241 (Y..._HIF_CALLBA + d0f0: 434b000c 000028ae 0873656e 645f6275 CK....(..send_bu + d100: 665f646f 6e650000 00285202 23000872 f_done...(R.#..r + d110: 6563765f 62756600 0000285b 02230408 ecv_buf...([.#.. + d120: 636f6e74 65787400 0000040a 02230800 context......#.. + d130: 09686966 5f68616e 646c655f 74000000 .hif_handle_t... + d140: 040a0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... + d150: 282f0300 0028c004 00060000 28ae0103 (/...(......(... + d160: 000028d7 04000201 03000028 e4040009 ..(........(.... + d170: 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( + d180: 62030000 28ed0400 02010300 00290604 b...(........).. + d190: 00060000 01150103 0000290f 04000201 ..........)..... + d1a0: 03000029 1c040006 00000115 01030000 ...)............ + d1b0: 29250400 02010300 00293204 00060000 )%.......)2..... + d1c0: 01150103 0000293b 04000201 03000029 ......);.......) + d1d0: 48040007 6869665f 61706900 3800002a H...hif_api.8..* + d1e0: a1085f69 6e697400 000028dd 02230008 .._init...(..#.. + d1f0: 5f736875 74646f77 6e000000 28e60223 _shutdown...(..# + d200: 04085f72 65676973 7465725f 63616c6c .._register_call + d210: 6261636b 00000029 08022308 085f6765 back...)..#.._ge + d220: 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + d230: 6f756e74 00000029 1502230c 085f7374 ount...)..#.._st + d240: 61727400 000028e6 02231008 5f636f6e art...(..#.._con + d250: 6669675f 70697065 00000029 1e022314 fig_pipe...)..#. + d260: 085f7365 6e645f62 75666665 72000000 ._send_buffer... + d270: 292b0223 18085f72 65747572 6e5f7265 )+.#.._return_re + d280: 63765f62 75660000 00293402 231c085f cv_buf...)4.#.._ + d290: 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + d2a0: 64000000 29410223 20085f67 65745f6d d...)A.# ._get_m + d2b0: 61785f6d 73675f6c 656e0000 00294102 ax_msg_len...)A. + d2c0: 2324085f 6765745f 72657365 72766564 #$._get_reserved + d2d0: 5f686561 64726f6f 6d000000 29150223 _headroom...)..# + d2e0: 28085f69 73725f68 616e646c 65720000 (._isr_handler.. + d2f0: 0028e602 232c085f 6765745f 64656661 .(..#,._get_defa + d300: 756c745f 70697065 00000029 4a022330 ult_pipe...)J.#0 + d310: 08705265 73657276 65640000 00040a02 .pReserved...... + d320: 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. + d330: 00002b2a 0e444d41 5f454e47 494e455f ..+*.DMA_ENGINE_ + d340: 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE + d350: 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN + d360: 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI + d370: 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG + d380: 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN + d390: 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E + d3a0: 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma + d3b0: 5f656e67 696e655f 74000000 2aa10d64 _engine_t...*..d + d3c0: 6d615f69 66747970 65000400 002b770e ma_iftype....+w. + d3d0: 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM + d3e0: 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I + d3f0: 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if + d400: 74797065 5f740000 002b3c06 000012ef type_t...+<..... + d410: 01030000 2b890400 02010300 002b9604 ....+........+.. + d420: 00020103 00002b9f 04000600 00092101 ......+.......!. + d430: 0300002b a8040006 000012ef 01030000 ...+............ + d440: 2bb50400 06000012 ef010300 002bc204 +............+.. + d450: 00060000 143a0103 00002bcf 04000201 .....:....+..... + d460: 0300002b dc040007 646d615f 6c69625f ...+....dma_lib_ + d470: 61706900 3400002c e3087478 5f696e69 api.4..,..tx_ini + d480: 74000000 2b8f0223 00087478 5f737461 t...+..#..tx_sta + d490: 72740000 002b9802 23040872 785f696e rt...+..#..rx_in + d4a0: 69740000 002b8f02 23080872 785f636f it...+..#..rx_co + d4b0: 6e666967 0000002b a102230c 0872785f nfig...+..#..rx_ + d4c0: 73746172 74000000 2b980223 1008696e start...+..#..in + d4d0: 74725f73 74617475 73000000 2bae0223 tr_status...+..# + d4e0: 14086861 72645f78 6d697400 00002bbb ..hard_xmit...+. + d4f0: 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. + d500: 002b9802 231c0878 6d69745f 646f6e65 .+..#..xmit_done + d510: 0000002b c8022320 08726561 705f786d ...+..# .reap_xm + d520: 69747465 64000000 2bd50223 24087265 itted...+..#$.re + d530: 61705f72 65637600 00002bd5 02232808 ap_recv...+..#(. + d540: 72657475 726e5f72 65637600 00002bde return_recv...+. + d550: 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ + d560: c8022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft + d570: 63000c00 002d0108 73770000 0028ed02 c....-..sw...(.. + d580: 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ + d590: 74000000 2ce30300 002d0104 00020103 t...,....-...... + d5a0: 00002d1b 04000600 0012db01 0300002d ..-............- + d5b0: 2404000d 6869665f 7063695f 70697065 $...hif_pci_pipe + d5c0: 5f747800 0400002d 840e4849 465f5043 _tx....-..HIF_PC + d5d0: 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF + d5e0: 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... + d5f0: 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ + d600: 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p + d610: 6970655f 74785f74 0000002d 31060000 ipe_tx_t...-1... + d620: 2b2a0103 00002d9b 04000d68 69665f70 +*....-....hif_p + d630: 63695f70 6970655f 72780004 00002e21 ci_pipe_rx.....! + d640: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + d650: 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + d660: 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P + d670: 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC + d680: 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF + d690: 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX + d6a0: 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe + d6b0: 5f72785f 74000000 2da80600 002b2a01 _rx_t...-....+*. + d6c0: 0300002e 38040007 6869665f 7063695f ....8...hif_pci_ + d6d0: 61706900 2400002f 16087063 695f626f api.$../..pci_bo + d6e0: 6f745f69 6e697400 0000010e 02230008 ot_init......#.. + d6f0: 7063695f 696e6974 00000028 dd022304 pci_init...(..#. + d700: 08706369 5f726573 65740000 00010e02 .pci_reset...... + d710: 23080870 63695f65 6e61626c 65000000 #..pci_enable... + d720: 010e0223 0c087063 695f7265 61705f78 ...#..pci_reap_x + d730: 6d697474 65640000 002d1d02 23100870 mitted...-..#..p + d740: 63695f72 6561705f 72656376 0000002d ci_reap_recv...- + d750: 1d022314 08706369 5f676574 5f706970 ..#..pci_get_pip + d760: 65000000 2d2a0223 18087063 695f6765 e...-*.#..pci_ge + d770: 745f7478 5f656e67 0000002d a102231c t_tx_eng...-..#. + d780: 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. + d790: 00002e3e 02232000 07676d61 635f6170 ...>.# ..gmac_ap + d7a0: 69000400 002f3d08 676d6163 5f626f6f i..../=.gmac_boo + d7b0: 745f696e 69740000 00010e02 2300000f t_init......#... + d7c0: 00000322 0600002f 4a100500 075f5f65 ...".../J....__e + d7d0: 74686864 72000e00 002f8008 64737400 thhdr..../..dst. + d7e0: 00002f3d 02230008 73726300 00002f3d ../=.#..src.../= + d7f0: 02230608 65747970 65000000 12ef0223 .#..etype......# + d800: 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ + d810: ce157265 73000000 12db0100 02022300 ..res.........#. + d820: 1570726f 746f0000 0012db01 02060223 .proto.........# + d830: 00087265 735f6c6f 00000012 db022301 ..res_lo......#. + d840: 08726573 5f686900 000012ef 02230200 .res_hi......#.. + d850: 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 + d860: 0a086574 68000000 2f4a0223 00086174 ..eth.../J.#..at + d870: 68000000 2f800223 0e08616c 69676e5f h.../..#..align_ + d880: 70616400 000012ef 02231200 095f5f67 pad......#...__g + d890: 6d61635f 6864725f 74000000 2fce075f mac_hdr_t.../.._ + d8a0: 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 + d8b0: 54086864 72000000 300a0223 00086772 T.hdr...0..#..gr + d8c0: 616e0000 0012ef02 23140873 77000000 an......#..sw... + d8d0: 28ed0223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin + d8e0: 6b616765 5f636865 636b0008 0000308d kage_check....0. + d8f0: 08766572 73696f6e 00000001 15022300 .version......#. + d900: 08746162 6c650000 00011502 23040003 .table......#... + d910: 00003054 04000600 00011501 03000030 ..0T...........0 + d920: 94040003 0000040d 0400175f 415f636d ..........._A_cm + d930: 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ + d940: 7461626c 650001b8 000031e4 0868616c table.....1..hal + d950: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + d960: 00309a02 23000873 74617274 5f627373 .0..#..start_bss + d970: 00000030 a1022304 08617070 5f737461 ...0..#..app_sta + d980: 72740000 00010e02 2308086d 656d0000 rt......#..mem.. + d990: 00044d02 230c086d 69736300 0000056c ..M.#..misc....l + d9a0: 02232008 7072696e 74660000 00014202 .# .printf....B. + d9b0: 23440875 61727400 0000020b 02234c08 #D.uart......#L. + d9c0: 676d6163 0000002f 1602236c 08757362 gmac.../..#l.usb + d9d0: 0000000f 9b022370 08636c6f 636b0000 ......#p.clock.. + d9e0: 000ae403 23e00108 74696d65 72000000 ....#...timer... + d9f0: 07840323 84020869 6e747200 00000c34 ...#...intr....4 + da00: 03239802 08616c6c 6f637261 6d000000 .#...allocram... + da10: 093c0323 c4020872 6f6d7000 0000082e .<.#...romp..... + da20: 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. + da30: 000e1103 23e00208 65657000 00000f3f ....#...eep....? + da40: 0323fc02 08737472 696e6700 00000690 .#...string..... + da50: 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... + da60: 390323a4 0300075f 5553425f 4649464f 9.#...._USB_FIFO + da70: 5f434f4e 46494700 10000032 57086765 _CONFIG....2W.ge + da80: 745f636f 6d6d616e 645f6275 66000000 t_command_buf... + da90: 14470223 00087265 63765f63 6f6d6d61 .G.#..recv_comma + daa0: 6e640000 00145d02 23040867 65745f65 nd....].#..get_e + dab0: 76656e74 5f627566 00000014 47022308 vent_buf....G.#. + dac0: 0873656e 645f6576 656e745f 646f6e65 .send_event_done + dad0: 00000014 5d02230c 00095553 425f4649 ....].#...USB_FI + dae0: 464f5f43 4f4e4649 47000000 31e40300 FO_CONFIG...1... + daf0: 00325704 00020103 00003273 04000775 .2W.......2s...u + db00: 73626669 666f5f61 7069000c 000032c9 sbfifo_api....2. + db10: 085f696e 69740000 00327502 2300085f ._init...2u.#.._ + db20: 656e6162 6c655f65 76656e74 5f697372 enable_event_isr + db30: 00000001 0e022304 08705265 73657276 ......#..pReserv + db40: 65640000 00040a02 2308000f 00001681 ed......#....... + db50: 02000032 d6100100 075f4854 435f4652 ...2....._HTC_FR + db60: 414d455f 48445200 08000033 4808456e AME_HDR....3H.En + db70: 64706f69 6e744944 00000016 81022300 dpointID......#. + db80: 08466c61 67730000 00168102 23010850 .Flags......#..P + db90: 61796c6f 61644c65 6e000000 1ca90223 ayloadLen......# + dba0: 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. + dbb0: 0032c902 23040848 6f737453 65714e75 .2..#..HostSeqNu + dbc0: 6d000000 1ca90223 06001202 00003361 m......#......3a + dbd0: 084d6573 73616765 49440000 001ca902 .MessageID...... + dbe0: 23000012 08000033 c4084d65 73736167 #......3..Messag + dbf0: 65494400 00001ca9 02230008 43726564 eID......#..Cred + dc00: 6974436f 756e7400 00001ca9 02230208 itCount......#.. + dc10: 43726564 69745369 7a650000 001ca902 CreditSize...... + dc20: 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. + dc30: 00001681 02230608 5f506164 31000000 .....#.._Pad1... + dc40: 16810223 0700120a 0000345b 084d6573 ...#......4[.Mes + dc50: 73616765 49440000 001ca902 23000853 sageID......#..S + dc60: 65727669 63654944 0000001c a9022302 erviceID......#. + dc70: 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags + dc80: 0000001c a9022304 08446f77 6e4c696e ......#..DownLin + dc90: 6b506970 65494400 00001681 02230608 kPipeID......#.. + dca0: 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... + dcb0: 81022307 08536572 76696365 4d657461 ..#..ServiceMeta + dcc0: 4c656e67 74680000 00168102 2308085f Length......#.._ + dcd0: 50616431 00000016 81022309 00120a00 Pad1......#..... + dce0: 0034e308 4d657373 61676549 44000000 .4..MessageID... + dcf0: 1ca90223 00085365 72766963 65494400 ...#..ServiceID. + dd00: 00001ca9 02230208 53746174 75730000 .....#..Status.. + dd10: 00168102 23040845 6e64706f 696e7449 ....#..EndpointI + dd20: 44000000 16810223 05084d61 784d7367 D......#..MaxMsg + dd30: 53697a65 0000001c a9022306 08536572 Size......#..Ser + dd40: 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + dd50: 00168102 2308085f 50616431 00000016 ....#.._Pad1.... + dd60: 81022309 00120200 0034fc08 4d657373 ..#......4..Mess + dd70: 61676549 44000000 1ca90223 00001204 ageID......#.... + dd80: 00003538 084d6573 73616765 49440000 ..58.MessageID.. + dd90: 001ca902 23000850 69706549 44000000 ....#..PipeID... + dda0: 16810223 02084372 65646974 436f756e ...#..CreditCoun + ddb0: 74000000 16810223 03001204 0000356f t......#......5o + ddc0: 084d6573 73616765 49440000 001ca902 .MessageID...... + ddd0: 23000850 69706549 44000000 16810223 #..PipeID......# + dde0: 02085374 61747573 00000016 81022303 ..Status......#. + ddf0: 00120200 00359608 5265636f 72644944 .....5..RecordID + de00: 00000016 81022300 084c656e 67746800 ......#..Length. + de10: 00001681 02230100 12020000 35c00845 .....#......5..E + de20: 6e64706f 696e7449 44000000 16810223 ndpointID......# + de30: 00084372 65646974 73000000 16810223 ..Credits......# + de40: 01001204 00003601 08456e64 706f696e ......6..Endpoin + de50: 74494400 00001681 02230008 43726564 tID......#..Cred + de60: 69747300 00001681 02230108 54677443 its......#..TgtC + de70: 72656469 74536571 4e6f0000 001ca902 reditSeqNo...... + de80: 2302000f 00001681 04000036 0e100300 #..........6.... + de90: 12060000 364a0850 72655661 6c696400 ....6J.PreValid. + dea0: 00001681 02230008 4c6f6f6b 41686561 .....#..LookAhea + deb0: 64000000 36010223 0108506f 73745661 d...6..#..PostVa + dec0: 6c696400 00001681 02230500 09706f6f lid......#...poo + ded0: 6c5f6861 6e646c65 5f740000 00040a06 l_handle_t...... + dee0: 0000364a 01030000 365d0400 02010300 ..6J....6]...... + def0: 00366a04 00140400 0036e80e 504f4f4c .6j......6..POOL + df00: 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. + df10: 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + df20: 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO + df30: 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV + df40: 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL + df50: 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL + df60: 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P + df70: 4f4f4c5f 49440000 00367302 01030000 OOL_ID...6s..... + df80: 36f90400 06000026 46010300 00370204 6......&F....7.. + df90: 00060000 26460103 0000370f 04000201 ....&F....7..... + dfa0: 03000037 1c040007 6275665f 706f6f6c ...7....buf_pool + dfb0: 5f617069 001c0000 37be085f 696e6974 _api....7.._init + dfc0: 00000036 63022300 085f7368 7574646f ...6c.#.._shutdo + dfd0: 776e0000 00366c02 2304085f 63726561 wn...6l.#.._crea + dfe0: 74655f70 6f6f6c00 000036fb 02230808 te_pool...6..#.. + dff0: 5f616c6c 6f635f62 75660000 00370802 _alloc_buf...7.. + e000: 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al + e010: 69676e00 00003715 02231008 5f667265 ign...7..#.._fre + e020: 655f6275 66000000 371e0223 14087052 e_buf...7..#..pR + e030: 65736572 76656400 0000040a 02231800 eserved......#.. + e040: 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... + e050: 00389d08 704e6578 74000000 389d0223 .8..pNext...8..# + e060: 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg + e070: 00000039 52022304 0850726f 63657373 ...9R.#..Process + e080: 53656e64 42756666 6572436f 6d706c65 SendBufferComple + e090: 74650000 00395b02 23080850 726f6365 te...9[.#..Proce + e0a0: 7373436f 6e6e6563 74000000 396f0223 ssConnect...9o.# + e0b0: 0c085365 72766963 65494400 000012ef ..ServiceID..... + e0c0: 02231008 53657276 69636546 6c616773 .#..ServiceFlags + e0d0: 00000012 ef022312 084d6178 5376634d ......#..MaxSvcM + e0e0: 73675369 7a650000 0012ef02 23140854 sgSize......#..T + e0f0: 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi + e100: 6d697400 000012ef 02231608 53657276 mit......#..Serv + e110: 69636543 74780000 00040a02 23180003 iceCtx......#... + e120: 000037be 04001404 0000393b 19454e44 ..7.......9;.END + e130: 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... + e140: ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN + e150: 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI + e160: 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. + e170: 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN + e180: 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI + e190: 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. + e1a0: 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN + e1b0: 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT + e1c0: 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... + e1d0: 38a40201 03000039 50040002 01030000 8......9P....... + e1e0: 39590400 03000001 15040006 000012db 9Y.............. + e1f0: 01030000 39690400 03000037 be040007 ....9i.....7.... + e200: 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 + e210: ee084372 65646974 53697a65 00000001 ..CreditSize.... + e220: 15022300 08437265 6469744e 756d6265 ..#..CreditNumbe + e230: 72000000 01150223 04084f53 48616e64 r......#..OSHand + e240: 6c650000 001a4502 23080848 49464861 le....E.#..HIFHa + e250: 6e646c65 00000028 ae02230c 08506f6f ndle...(..#..Poo + e260: 6c48616e 646c6500 0000364a 02231000 lHandle...6J.#.. + e270: 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX + e280: 54000200 003a2a08 656e645f 706f696e T....:*.end_poin + e290: 74000000 12db0223 00086874 635f666c t......#..htc_fl + e2a0: 61677300 000012db 02230100 09687463 ags......#...htc + e2b0: 5f68616e 646c655f 74000000 040a0948 _handle_t......H + e2c0: 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET + e2d0: 455f4342 00000001 0e094854 435f434f E_CB......HTC_CO + e2e0: 4e464947 00000039 7d030000 3a570400 NFIG...9}...:W.. + e2f0: 0600003a 2a010300 003a6e04 00020103 ...:*....:n..... + e300: 00003a7b 04000948 54435f53 45525649 ..:{...HTC_SERVI + e310: 43450000 0037be03 00003a84 04000201 CE...7....:..... + e320: 0300003a 9c040002 01030000 3aa50400 ...:........:... + e330: 02010300 003aae04 00060000 01150103 .....:.......... + e340: 00003ab7 04000768 74635f61 70697300 ..:....htc_apis. + e350: 3400003c 34085f48 54435f49 6e697400 4..<4._HTC_Init. + e360: 00003a74 02230008 5f485443 5f536875 ..:t.#.._HTC_Shu + e370: 74646f77 6e000000 3a7d0223 04085f48 tdown...:}.#.._H + e380: 54435f52 65676973 74657253 65727669 TC_RegisterServi + e390: 63650000 003a9e02 2308085f 4854435f ce...:..#.._HTC_ + e3a0: 52656164 79000000 3a7d0223 0c085f48 Ready...:}.#.._H + e3b0: 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers + e3c0: 0000003a a7022310 085f4854 435f5265 ...:..#.._HTC_Re + e3d0: 7475726e 42756666 6572734c 69737400 turnBuffersList. + e3e0: 00003ab0 02231408 5f485443 5f53656e ..:..#.._HTC_Sen + e3f0: 644d7367 0000003a a7022318 085f4854 dMsg...:..#.._HT + e400: 435f4765 74526573 65727665 64486561 C_GetReservedHea + e410: 64726f6f 6d000000 3abd0223 1c085f48 droom...:..#.._H + e420: 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle + e430: 72000000 285b0223 20085f48 54435f53 r...([.# ._HTC_S + e440: 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. + e450: 00285202 2324085f 4854435f 436f6e74 .(R.#$._HTC_Cont + e460: 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg + e470: 00000039 52022328 085f4854 435f436f ...9R.#(._HTC_Co + e480: 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS + e490: 656e6443 6f6d706c 65746500 0000395b endComplete...9[ + e4a0: 02232c08 70526573 65727665 64000000 .#,.pReserved... + e4b0: 040a0223 30000768 6f73745f 6170705f ...#0..host_app_ + e4c0: 61726561 5f730004 00003c64 08776d69 area_s......tu + e660: 706c654e 756d4c00 00001ca9 02230008 pleNumL......#.. + e670: 7475706c 654e756d 48000000 1ca90223 tupleNumH......# + e680: 02086176 74000000 3dc80223 04001201 ..avt...=..#.... + e690: 00003e2e 08626561 636f6e50 656e6469 ..>..beaconPendi + e6a0: 6e67436f 756e7400 00001681 02230000 ngCount......#.. + e6b0: 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG + e6c0: 00100000 3e970848 74634861 6e646c65 ....>..HtcHandle + e6d0: 0000003a 2a022300 08506f6f 6c48616e ...:*.#..PoolHan + e6e0: 646c6500 0000364a 02230408 4d617843 dle...6J.#..MaxC + e6f0: 6d645265 706c7945 76747300 00000115 mdReplyEvts..... + e700: 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts + e710: 00000001 1502230c 00020103 00003e97 ......#.......>. + e720: 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL + e730: 45520000 003e9907 5f574d49 5f444953 ER...>.._WMI_DIS + e740: 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? + e750: 00087043 6d644861 6e646c65 72000000 ..pCmdHandler... + e760: 3ea00223 0008436d 64494400 000012ef >..#..CmdID..... + e770: 02230408 466c6167 73000000 12ef0223 .#..Flags......# + e780: 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH + e790: 5f544142 4c450010 00003f61 08704e65 _TABLE....?a.pNe + e7a0: 78740000 003f6102 23000870 436f6e74 xt...?a.#..pCont + e7b0: 65787400 0000040a 02230408 4e756d62 ext......#..Numb + e7c0: 65724f66 456e7472 69657300 00000115 erOfEntries..... + e7d0: 02230808 70546162 6c650000 003f8002 .#..pTable...?.. + e7e0: 230c0003 00003f00 04000957 4d495f44 #.....?....WMI_D + e7f0: 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... + e800: 3eb50300 003f6804 00030000 3f000400 >....?h.....?... + e810: 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT + e820: 00000039 ee0d574d 495f4556 545f434c ...9..WMI_EVT_CL + e830: 41535300 04000040 1819574d 495f4556 ASS....@..WMI_EV + e840: 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... + e850: ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + e860: 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ + e870: 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE + e880: 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL + e890: 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E + e8a0: 56545f43 4c415353 0000003f a3075f57 VT_CLASS...?.._W + e8b0: 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. + e8c0: 00004076 08487463 42756643 74780000 ..@v.HtcBufCtx.. + e8d0: 003f8e02 23000845 76656e74 436c6173 .?..#..EventClas + e8e0: 73000000 40180223 0408466c 61677300 s...@..#..Flags. + e8f0: 000012ef 02230800 09776d69 5f68616e .....#...wmi_han + e900: 646c655f 74000000 040a0957 4d495f53 dle_t......WMI_S + e910: 56435f43 4f4e4649 47000000 3e2e0300 VC_CONFIG...>... + e920: 00408804 00060000 40760103 000040a3 .@......@v....@. + e930: 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + e940: 5441424c 45000000 3f000300 0040b004 TABLE...?....@.. + e950: 00020103 000040cf 04000600 00264601 ......@......&F. + e960: 03000040 d8040002 01030000 40e50400 ...@........@... + e970: 06000001 15010300 0040ee04 00020103 .........@...... + e980: 000040fb 04000600 0012db01 03000041 ..@............A + e990: 04040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api + e9a0: 73002c00 00424c08 5f574d49 5f496e69 s.,..BL._WMI_Ini + e9b0: 74000000 40a90223 00085f57 4d495f52 t...@..#.._WMI_R + e9c0: 65676973 74657244 69737061 74636854 egisterDispatchT + e9d0: 61626c65 00000040 d1022304 085f574d able...@..#.._WM + e9e0: 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ + e9f0: de022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv + ea00: 656e7400 000040e7 02230c08 5f574d49 ent...@..#.._WMI + ea10: 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent + ea20: 73436f75 6e740000 0040f402 2310085f sCount...@..#.._ + ea30: 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete + ea40: 48616e64 6c657200 0000395b 02231408 Handler...9[.#.. + ea50: 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE + ea60: 70000000 40f40223 18085f57 4d495f53 p...@..#.._WMI_S + ea70: 68757464 6f776e00 000040fd 02231c08 hutdown...@..#.. + ea80: 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage + ea90: 48616e64 6c657200 00003952 02232008 Handler...9R.# . + eaa0: 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn + eab0: 65637400 0000410a 02232408 70526573 ect...A..#$.pRes + eac0: 65727665 64000000 040a0223 2800077a erved......#(..z + ead0: 73446d61 44657363 00140000 42ce0863 sDmaDesc....B..c + eae0: 74726c00 00000179 02230008 73746174 trl....y.#..stat + eaf0: 75730000 00017902 23020874 6f74616c us....y.#..total + eb00: 4c656e00 00000179 02230408 64617461 Len....y.#..data + eb10: 53697a65 00000001 79022306 086c6173 Size....y.#..las + eb20: 74416464 72000000 42ce0223 08086461 tAddr...B..#..da + eb30: 74614164 64720000 00019d02 230c086e taAddr......#..n + eb40: 65787441 64647200 000042ce 02231000 extAddr...B..#.. + eb50: 03000042 4c040003 0000424c 0400077a ...BL.....BL...z + eb60: 73446d61 51756575 65000800 00430e08 sDmaQueue....C.. + eb70: 68656164 00000042 d5022300 08746572 head...B..#..ter + eb80: 6d696e61 746f7200 000042d5 02230400 minator...B..#.. + eb90: 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + eba0: 00437208 68656164 00000042 d5022300 .Cr.head...B..#. + ebb0: 08746572 6d696e61 746f7200 000042d5 .terminator...B. + ebc0: 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h + ebd0: 65616400 0000143a 02230808 786d6974 ead....:.#..xmit + ebe0: 65645f62 75665f74 61696c00 0000143a ed_buf_tail....: + ebf0: 02230c00 02010300 00437204 00030000 .#.......Cr..... + ec00: 42dc0400 02010300 00438204 00030000 B........C...... + ec10: 430e0400 02010300 00439204 00020103 C........C...... + ec20: 0000439b 04000201 03000043 a4040006 ..C........C.... + ec30: 0000143a 01030000 43ad0400 02010300 ...:....C....... + ec40: 0043ba04 00060000 143a0103 000043c3 .C.......:....C. + ec50: 04000201 03000043 d0040006 00000115 .......C........ + ec60: 01030000 43d90400 06000042 d5010300 ....C......B.... + ec70: 0043e604 00020103 000043f3 04000764 .C........C....d + ec80: 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + ec90: 00456908 5f696e69 74000000 43740223 .Ei._init...Ct.# + eca0: 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue + ecb0: 00000043 84022304 085f696e 69745f74 ...C..#.._init_t + ecc0: 785f7175 65756500 00004394 02230808 x_queue...C..#.. + ecd0: 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + ece0: 00000043 9d02230c 085f786d 69745f62 ...C..#.._xmit_b + ecf0: 75660000 0043a602 2310085f 666c7573 uf...C..#.._flus + ed00: 685f786d 69740000 00438402 2314085f h_xmit...C..#.._ + ed10: 72656170 5f726563 765f6275 66000000 reap_recv_buf... + ed20: 43b30223 18085f72 65747572 6e5f7265 C..#.._return_re + ed30: 63765f62 75660000 0043bc02 231c085f cv_buf...C..#.._ + ed40: 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + ed50: 000043c9 02232008 5f737761 705f6461 ..C..# ._swap_da + ed60: 74610000 0043d202 2324085f 6861735f ta...C..#$._has_ + ed70: 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + ed80: 43df0223 28085f64 6573635f 64756d70 C..#(._desc_dump + ed90: 00000043 8402232c 085f6765 745f7061 ...C..#,._get_pa + eda0: 636b6574 00000043 ec022330 085f7265 cket...C..#0._re + edb0: 636c6169 6d5f7061 636b6574 00000043 claim_packet...C + edc0: f5022334 085f7075 745f7061 636b6574 ..#4._put_packet + edd0: 00000043 f5022338 08705265 73657276 ...C..#8.pReserv + ede0: 65640000 00040a02 233c0009 5f415f63 ed......#<.._A_c + edf0: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + ee00: 5f746162 6c655f74 00000030 a809574d _table_t...0..WM + ee10: 495f5356 435f4150 49530000 00411117 I_SVC_APIS...A.. + ee20: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + ee30: 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. + ee40: 46970863 6d6e6f73 00000045 69022300 F..cmnos...Ei.#. + ee50: 08646267 00000003 d70323b8 03086869 .dbg......#...hi + ee60: 66000000 29510323 c0030868 74630000 f...)Q.#...htc.. + ee70: 003ac403 23f80308 776d695f 7376635f .:..#...wmi_svc_ + ee80: 61706900 0000458b 0323ac04 08757362 api...E..#...usb + ee90: 6669666f 5f617069 00000032 7c0323d8 fifo_api...2|.#. + eea0: 04086275 665f706f 6f6c0000 00372503 ..buf_pool...7%. + eeb0: 23e40408 76627566 00000014 64032380 #...vbuf....d.#. + eec0: 05087664 65736300 00001346 03239405 ..vdesc....F.#.. + eed0: 08616c6c 6f637261 6d000000 093c0323 .allocram....<.# + eee0: a8050864 6d615f65 6e67696e 65000000 ...dma_engine... + eef0: 43fc0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. + ef00: 002be503 23f40508 6869665f 70636900 .+..#...hif_pci. + ef10: 00002e45 0323a806 00095f41 5f6d6167 ...E.#...._A_mag + ef20: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + ef30: 7461626c 655f7400 0000459d 1a637469 table_t...E..cti + ef40: 636b7300 00000921 05030050 095c011a cks....!...P.\.. + ef50: 63757272 5f62616e 64000000 01150503 curr_band....... + ef60: 00500960 01140400 00474e19 5359535f .P.`.....GN.SYS_ + ef70: 4346475f 52454643 4c4b5f55 4e4b4e4f CFG_REFCLK_UNKNO + ef80: 574e00ff ffffff0e 5359535f 4346475f WN......SYS_CFG_ + ef90: 52454643 4c4b5f31 305f4d48 5a00000e REFCLK_10_MHZ... + efa0: 5359535f 4346475f 52454643 4c4b5f32 SYS_CFG_REFCLK_2 + efb0: 305f4d48 5a00010e 5359535f 4346475f 0_MHZ...SYS_CFG_ + efc0: 52454643 4c4b5f34 305f4d48 5a000200 REFCLK_40_MHZ... + efd0: 09415f72 6566636c 6b5f7370 6565645f .A_refclk_speed_ + efe0: 74000000 46e30763 6d6e6f73 5f636c6f t...F..cmnos_clo + eff0: 636b5f73 00140000 47eb0872 6566636c ck_s....G..refcl + f000: 6b5f7370 65656400 0000474e 02230008 k_speed...GN.#.. + f010: 7469636b 735f7065 725f7365 63000000 ticks_per_sec... + f020: 09210223 0408706c 6c5f6374 726c5f35 .!.#..pll_ctrl_5 + f030: 67687a00 00000921 02230808 706c6c5f ghz....!.#..pll_ + f040: 6374726c 5f323467 687a0000 00092102 ctrl_24ghz....!. + f050: 230c0870 6c6c5f73 6574746c 696e675f #..pll_settling_ + f060: 74696d65 00000009 21022310 00050000 time....!.#..... + f070: 47640500 0047eb1b 000047f0 01000048 Gd...G....G....H + f080: 011c0005 000047f5 05000047 eb1b0000 ......G....G.... + f090: 48060100 0048171c 001a636d 6e6f735f H....H....cmnos_ + f0a0: 636c6f63 6b696e67 5f746162 6c650000 clocking_table.. + f0b0: 00480105 03004e87 50010300 00476404 .H....N.P....Gd. + f0c0: 001a636c 6f636b5f 696e666f 00000048 ..clock_info...H + f0d0: 38050300 50096401 1a636d6e 6f735f73 8...P.d..cmnos_s + f0e0: 6b69705f 706c6c5f 696e6974 00000001 kip_pll_init.... + f0f0: 15050300 50096801 1a706c6c 5f637472 ....P.h..pll_ctr + f100: 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. + f110: 00000921 05030050 096c011a 706c6c5f ...!...P.l..pll_ + f120: 6374726c 5f736574 74696e67 5f356768 ctrl_setting_5gh + f130: 7a000000 09210503 00500970 01050000 z....!...P.p.... + f140: 47eb0300 0048bb04 00030000 0ae40400 G....H.......... + f150: 02011d01 6d636d6e 6f735f64 656c6179 ....mcmnos_delay + f160: 5f757300 01010392 01200290 00008e1c _us...... ...... + f170: ac008e1c cc000049 301e016d 75730000 .......I0..mus.. + f180: 00011501 521f7265 665f636c 6b000000 ....R.ref_clk... + f190: 09211f73 74617274 5f74696d 65000000 .!.start_time... + f1a0: 09211f6e 756d5f74 69636b73 00000004 .!.num_ticks.... + f1b0: 0d002001 81636d6e 6f735f6d 696c6c69 .. ..cmnos_milli + f1c0: 7365636f 6e647300 00000921 01010392 seconds....!.... + f1d0: 01200290 00008e1c cc008e1c d920019a . ........... .. + f1e0: 636d6e6f 735f7265 66636c6b 5f737065 cmnos_refclk_spe + f1f0: 65645f67 65740000 00092101 01039201 ed_get....!..... + f200: 20029000 008e1cdc 008e1ce8 2001a163 ........... ..c + f210: 6d6e6f73 5f756172 745f6672 65717565 mnos_uart_freque + f220: 6e637900 00000921 01010392 01200290 ncy....!..... .. + f230: 00008e1c e8008e1c ed2101b5 636d6e6f .........!..cmno + f240: 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. + f250: 01010392 01200290 00008e1c f0008e1c ..... .......... + f260: f52101bc 636d6e6f 735f636c 6f636b72 .!..cmnos_clockr + f270: 6567735f 696e6974 00010103 92012002 egs_init...... . + f280: 9000008e 1cf8008e 1cfd1d01 c9636d6e .............cmn + f290: 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set + f2a0: 00010103 92012002 9000008e 1d00008e ...... ......... + f2b0: 1d050000 4a491e01 c9776869 63685f62 ....JI...which_b + f2c0: 616e6400 00000115 01520021 01d0636d and......R.!..cm + f2d0: 6e6f735f 706c6c5f 696e6974 00010103 nos_pll_init.... + f2e0: 92012002 9000008e 1d08008e 1d0d1d01 .. ............. + f2f0: d7636d6e 6f735f63 6c6f636b 5f696e69 .cmnos_clock_ini + f300: 74000101 03920120 02900000 8e1d1000 t...... ........ + f310: 8e1d4300 004ac11e 01d77265 665f636c ..C..J....ref_cl + f320: 6b000000 09210152 1f690000 00040d1f k....!.R.i...... + f330: 7469636b 735f7065 725f7365 63000000 ticks_per_sec... + f340: 0921001d 01f4636d 6e6f735f 7469636b .!....cmnos_tick + f350: 00010103 92012002 9000008e 1d44008e ...... ......D.. + f360: 1d6a0000 4b1c226c 6173745f 7469636b .j..K."last_tick + f370: 00000009 21050300 5009741f 63757272 ....!...P.t.curr + f380: 656e745f 7469636b 00000009 211f6465 ent_tick....!.de + f390: 6c74615f 7469636b 00000009 21002301 lta_tick....!.#. + f3a0: 0115636d 6e6f735f 636c6f63 6b5f6d6f ..cmnos_clock_mo + f3b0: 64756c65 5f696e73 74616c6c 00010103 dule_install.... + f3c0: 92012002 9000008e 1d6c008e 1d9e2401 .. ......l....$. + f3d0: 01157462 6c000000 48c70152 00000000 ..tbl...H..R.... + f3e0: 004a0400 02000007 5404012f 726f6f74 .J......T../root + f3f0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + f400: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + f410: 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + f420: 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + f430: 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + f440: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + f450: 6570726f 6d2f7372 632f636d 6e6f735f eprom/src/cmnos_ + f460: 65657072 6f6d2e63 002f726f 6f742f57 eeprom.c./root/W + f470: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + f480: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + f490: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + f4a0: 732f6565 70726f6d 0078742d 78636320 s/eeprom.xt-xcc + f4b0: 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + f4c0: 6c69676e 5f696e73 74727563 74696f6e lign_instruction + f4d0: 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + f4e0: 543a7370 61636500 01000000 41b00201 T:space.....A... + f4f0: 03000001 0f040004 696e7400 05040463 ........int....c + f500: 68617200 07010500 00011f05 0000011f har............. + f510: 03000001 2c040006 00000118 01030000 ....,........... + f520: 01380400 07707269 6e74665f 61706900 .8...printf_api. + f530: 08000001 7c085f70 72696e74 665f696e ....|._printf_in + f540: 69740000 00011102 2300085f 7072696e it......#.._prin + f550: 74660000 00013e02 23040004 73686f72 tf....>.#...shor + f560: 7420756e 7369676e 65642069 6e740007 t unsigned int.. + f570: 02097569 6e743136 5f740000 00017c04 ..uint16_t....|. + f580: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + f590: 74000704 0975696e 7433325f 74000000 t....uint32_t... + f5a0: 01a00775 6172745f 6669666f 00080000 ...uart_fifo.... + f5b0: 020e0873 74617274 5f696e64 65780000 ...start_index.. + f5c0: 00019202 23000865 6e645f69 6e646578 ....#..end_index + f5d0: 00000001 92022302 086f7665 7272756e ......#..overrun + f5e0: 5f657272 00000001 b5022304 00077561 _err......#...ua + f5f0: 72745f61 70690020 000002c7 085f7561 rt_api. ....._ua + f600: 72745f69 6e697400 0000031e 02230008 rt_init......#.. + f610: 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + f620: 00034502 2304085f 75617274 5f636861 ..E.#.._uart_cha + f630: 725f6765 74000000 03590223 08085f75 r_get....Y.#.._u + f640: 6172745f 7374725f 6f757400 00000362 art_str_out....b + f650: 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. + f660: 00011102 2310085f 75617274 5f737461 ....#.._uart_sta + f670: 74757300 0000031e 02231408 5f756172 tus......#.._uar + f680: 745f636f 6e666967 00000003 6b022318 t_config....k.#. + f690: 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... + f6a0: 03740223 1c000300 00020e04 00077561 .t.#..........ua + f6b0: 72745f62 6c6b0010 00000318 08646562 rt_blk.......deb + f6c0: 75675f6d 6f646500 00000192 02230008 ug_mode......#.. + f6d0: 62617564 00000001 92022302 085f7561 baud......#.._ua + f6e0: 72740000 0002c702 2304085f 74780000 rt......#.._tx.. + f6f0: 0001c302 23080006 000001b5 01030000 ....#........... + f700: 03180400 04756e73 69676e65 64206368 .....unsigned ch + f710: 61720007 01097569 6e74385f 74000000 ar....uint8_t... + f720: 03250201 03000003 43040003 00000336 .%......C......6 + f730: 04000600 00019201 03000003 53040002 ............S... + f740: 01030000 03600400 02010300 00036904 .....`........i. + f750: 00020103 00000372 04000300 00011f04 .......r........ + f760: 00060000 01180103 00000382 04000744 ...............D + f770: 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT + f780: 000c0000 03da0863 6d645f73 74720000 .......cmd_str.. + f790: 00037b02 23000868 656c705f 73747200 ..{.#..help_str. + f7a0: 0000037b 02230408 636d645f 66756e63 ...{.#..cmd_func + f7b0: 00000003 88022308 00076462 675f6170 ......#...dbg_ap + f7c0: 69000800 00040d08 5f646267 5f696e69 i......._dbg_ini + f7d0: 74000000 01110223 00085f64 62675f74 t......#.._dbg_t + f7e0: 61736b00 00000111 02230400 0a040004 ask......#...... + f7f0: 756e7369 676e6564 20696e74 00070406 unsigned int.... + f800: 0000040d 01030000 04200400 0b0b0300 ......... ...... + f810: 00042e04 00060000 040d0103 00000436 ...............6 + f820: 04000600 00011801 03000004 43040007 ............C... + f830: 6d656d5f 61706900 14000004 b2085f6d mem_api......._m + f840: 656d5f69 6e697400 00000111 02230008 em_init......#.. + f850: 5f6d656d 73657400 00000426 02230408 _memset....&.#.. + f860: 5f6d656d 63707900 0000043c 02230808 _memcpy....<.#.. + f870: 5f6d656d 6d6f7665 00000004 3c02230c _memmove....<.#. + f880: 085f6d65 6d636d70 00000004 49022310 ._memcmp....I.#. + f890: 000c7265 67697374 65725f64 756d705f ..register_dump_ + f8a0: 73000001 03000004 b2040002 01030000 s............... + f8b0: 04cc0400 02010300 0004d504 00060000 ................ + f8c0: 01180103 000004de 04000d68 6f737469 ...........hosti + f8d0: 665f7300 04000005 3a0e4849 465f5553 f_s.....:.HIF_US + f8e0: 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H + f8f0: 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC + f900: 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI + f910: 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST + f920: 49460000 0004eb06 0000053a 01030000 IF.........:.... + f930: 05480400 06000003 36010300 00055504 .H......6.....U. + f940: 00060000 01920103 00000562 0400076d ...........b...m + f950: 6973635f 61706900 24000006 52085f73 isc_api.$...R._s + f960: 79737465 6d5f7265 73657400 00000111 ystem_reset..... + f970: 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. + f980: 00011102 2304085f 61737366 61696c00 ....#.._assfail. + f990: 000004ce 02230808 5f6d6973 616c6967 .....#.._misalig + f9a0: 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler + f9b0: 00000004 ce02230c 085f7265 706f7274 ......#.._report + f9c0: 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host + f9d0: 00000004 d7022310 085f7461 72676574 ......#.._target + f9e0: 5f69645f 67657400 000004e4 02231408 _id_get......#.. + f9f0: 5f69735f 686f7374 5f707265 73656e74 _is_host_present + fa00: 00000005 4e022318 085f6b62 68697400 ....N.#.._kbhit. + fa10: 0000055b 02231c08 5f726f6d 5f766572 ...[.#.._rom_ver + fa20: 73696f6e 5f676574 00000005 68022320 sion_get....h.# + fa30: 00060000 037b0103 00000652 04000600 .....{.....R.... + fa40: 00037b01 03000006 5f040006 00000118 ..{....._....... + fa50: 01030000 066c0400 06000001 18010300 .....l.......... + fa60: 00067904 00060000 01180103 00000686 ..y............. + fa70: 04000773 7472696e 675f6170 69001800 ...string_api... + fa80: 00070c08 5f737472 696e675f 696e6974 ...._string_init + fa90: 00000001 11022300 085f7374 72637079 ......#.._strcpy + faa0: 00000006 58022304 085f7374 726e6370 ....X.#.._strncp + fab0: 79000000 06650223 08085f73 74726c65 y....e.#.._strle + fac0: 6e000000 06720223 0c085f73 7472636d n....r.#.._strcm + fad0: 70000000 067f0223 10085f73 74726e63 p......#.._strnc + fae0: 6d700000 00068c02 2314000f 00000410 mp......#....... + faf0: 14000007 19100400 095f415f 54494d45 ........._A_TIME + fb00: 525f5350 41434500 0000070c 09415f74 R_SPACE......A_t + fb10: 696d6572 5f740000 00071903 0000072d imer_t.........- + fb20: 04000201 03000007 43040002 01030000 ........C....... + fb30: 074c0400 09415f48 414e444c 45000000 .L...A_HANDLE... + fb40: 04100201 09415f54 494d4552 5f46554e .....A_TIMER_FUN + fb50: 43000000 07630300 00076504 00020103 C....c....e..... + fb60: 0000077e 04000774 696d6572 5f617069 ...~...timer_api + fb70: 00140000 07fd085f 74696d65 725f696e ......._timer_in + fb80: 69740000 00011102 2300085f 74696d65 it......#.._time + fb90: 725f6172 6d000000 07450223 04085f74 r_arm....E.#.._t + fba0: 696d6572 5f646973 61726d00 0000074e imer_disarm....N + fbb0: 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn + fbc0: 00000007 8002230c 085f7469 6d65725f ......#.._timer_ + fbd0: 72756e00 00000111 02231000 09424f4f run......#...BOO + fbe0: 4c45414e 00000001 92060000 07fd0103 LEAN............ + fbf0: 0000080a 04000600 0007fd01 03000008 ................ + fc00: 17040006 000007fd 01030000 08240400 .............$.. + fc10: 07726f6d 705f6170 69001000 00089608 .romp_api....... + fc20: 5f726f6d 705f696e 69740000 00011102 _romp_init...... + fc30: 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa + fc40: 64000000 08100223 04085f72 6f6d705f d......#.._romp_ + fc50: 696e7374 616c6c00 0000081d 02230808 install......#.. + fc60: 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... + fc70: 2a02230c 0007726f 6d5f7061 7463685f *.#...rom_patch_ + fc80: 73740010 000008f2 08637263 31360000 st.......crc16.. + fc90: 00019202 2300086c 656e0000 00019202 ....#..len...... + fca0: 2302086c 645f6164 64720000 0001b502 #..ld_addr...... + fcb0: 23040866 756e5f61 64647200 000001b5 #..fun_addr..... + fcc0: 02230808 7066756e 00000003 4c02230c .#..pfun....L.#. + fcd0: 00076565 705f7265 6469725f 61646472 ..eep_redir_addr + fce0: 00040000 0924086f 66667365 74000000 .....$.offset... + fcf0: 01920223 00087369 7a650000 00019202 ...#..size...... + fd00: 23020009 415f5549 4e543332 00000004 #...A_UINT32.... + fd10: 10060000 040d0103 00000932 04000761 ...........2...a + fd20: 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... + fd30: a308636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + fd40: 5f696e69 74000000 09380223 0008636d _init....8.#..cm + fd50: 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... + fd60: 38022304 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc + fd70: 72616d5f 64656275 67000000 01110223 ram_debug......# + fd80: 08000201 03000009 a3040009 415f5441 ............A_TA + fd90: 534b4c45 545f4655 4e430000 0009a507 SKLET_FUNC...... + fda0: 5f746173 6b6c6574 00100000 0a040866 _tasklet.......f + fdb0: 756e6300 000009ac 02230008 61726700 unc......#..arg. + fdc0: 0000040d 02230408 73746174 65000000 .....#..state... + fdd0: 01180223 08086e65 78740000 000a0402 ...#..next...... + fde0: 230c0003 000009c0 04000300 0009c004 #............... + fdf0: 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... + fe00: 09c00300 000a1204 00020103 00000a2a ...............* + fe10: 04000201 0300000a 33040007 7461736b ........3...task + fe20: 6c65745f 61706900 1400000a c8085f74 let_api......._t + fe30: 61736b6c 65745f69 6e697400 00000111 asklet_init..... + fe40: 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini + fe50: 745f7461 736b0000 000a2c02 2304085f t_task....,.#.._ + fe60: 7461736b 6c65745f 64697361 626c6500 tasklet_disable. + fe70: 00000a35 02230808 5f746173 6b6c6574 ...5.#.._tasklet + fe80: 5f736368 6564756c 65000000 0a350223 _schedule....5.# + fe90: 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. + fea0: 00011102 23100002 01030000 0ac80400 ....#........... + feb0: 06000009 24010300 000ad104 00020103 ....$........... + fec0: 00000ade 04000763 6c6f636b 5f617069 .......clock_api + fed0: 00240000 0bc0085f 636c6f63 6b5f696e .$....._clock_in + fee0: 69740000 000aca02 2300085f 636c6f63 it......#.._cloc + fef0: 6b726567 735f696e 69740000 00011102 kregs_init...... + ff00: 2304085f 75617274 5f667265 7175656e #.._uart_frequen + ff10: 63790000 000ad702 2308085f 64656c61 cy......#.._dela + ff20: 795f7573 0000000a e002230c 085f776c y_us......#.._wl + ff30: 616e5f62 616e645f 73657400 00000ae0 an_band_set..... + ff40: 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee + ff50: 645f6765 74000000 0ad70223 14085f6d d_get......#.._m + ff60: 696c6c69 7365636f 6e647300 00000ad7 illiseconds..... + ff70: 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan + ff80: 67650000 00011102 231c085f 636c6f63 ge......#.._cloc + ff90: 6b5f7469 636b0000 00011102 23200006 k_tick......# .. + ffa0: 000001b5 01030000 0bc00400 09415f6f .............A_o + ffb0: 6c645f69 6e74725f 74000000 01b50600 ld_intr_t....... + ffc0: 000bcd01 0300000b df040002 01030000 ................ + ffd0: 0bec0400 02010300 000bf504 00060000 ................ + ffe0: 01b50103 00000bfe 04000941 5f697372 ...........A_isr + fff0: 5f740000 000c0402 01030000 0c180400 _t.............. + 10000: 06000004 10010300 000c2104 00020103 ..........!..... + 10010: 00000c2e 04000769 6e74725f 61706900 .......intr_api. + 10020: 2c00000d 50085f69 6e74725f 696e6974 ,...P._intr_init + 10030: 00000001 11022300 085f696e 74725f69 ......#.._intr_i + 10040: 6e766f6b 655f6973 72000000 0bc60223 nvoke_isr......# + 10050: 04085f69 6e74725f 64697361 626c6500 .._intr_disable. + 10060: 00000be5 02230808 5f696e74 725f7265 .....#.._intr_re + 10070: 73746f72 65000000 0bee0223 0c085f69 store......#.._i + 10080: 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... + 10090: 0bf70223 10085f69 6e74725f 756e6d61 ...#.._intr_unma + 100a0: 736b5f69 6e756d00 00000bf7 02231408 sk_inum......#.. + 100b0: 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr + 100c0: 0000000c 1a022318 085f6765 745f696e ......#.._get_in + 100d0: 7472656e 61626c65 0000000c 2702231c trenable....'.#. + 100e0: 085f7365 745f696e 7472656e 61626c65 ._set_intrenable + 100f0: 0000000c 30022320 085f6765 745f696e ....0.# ._get_in + 10100: 74727065 6e64696e 67000000 0c270223 trpending....'.# + 10110: 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i + 10120: 6e74726c 766c0000 00011102 23280011 ntrlvl......#(.. + 10130: 0400000d 76087469 6d656f75 74000000 ....v.timeout... + 10140: 01b50223 00086163 74696f6e 00000001 ...#..action.... + 10150: b5022300 00120800 000d9108 636d6400 ..#.........cmd. + 10160: 000001b5 02230013 00000d50 02230400 .....#.....P.#.. + 10170: 09545f57 44545f43 4d440000 000d7602 .T_WDT_CMD....v. + 10180: 01030000 0da00400 14040000 0df60e45 ...............E + 10190: 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E + 101a0: 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... + 101b0: 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. + 101c0: 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO + 101d0: 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP + 101e0: 45000000 0da90600 000df601 0300000e E............... + 101f0: 07040007 7764745f 61706900 1c00000e ....wdt_api..... + 10200: ab085f77 64745f69 6e697400 00000111 .._wdt_init..... + 10210: 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. + 10220: 00000111 02230408 5f776474 5f646973 .....#.._wdt_dis + 10230: 61626c65 00000001 11022308 085f7764 able......#.._wd + 10240: 745f7365 74000000 0da20223 0c085f77 t_set......#.._w + 10250: 64745f74 61736b00 00000111 02231008 dt_task......#.. + 10260: 5f776474 5f726573 65740000 00011102 _wdt_reset...... + 10270: 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo + 10280: 74000000 0e0d0223 18001404 00000f12 t......#........ + 10290: 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R + 102a0: 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE + 102b0: 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE + 102c0: 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... + 102d0: 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW + 102e0: 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. + 102f0: 0009545f 4545505f 52455400 00000eab ..T_EEP_RET..... + 10300: 03000001 92040006 00000f12 01030000 ................ + 10310: 0f280400 0600000f 12010300 000f3504 .(............5. + 10320: 00076565 705f6170 69001000 000f9e08 ..eep_api....... + 10330: 5f656570 5f696e69 74000000 01110223 _eep_init......# + 10340: 00085f65 65705f72 65616400 00000f2e .._eep_read..... + 10350: 02230408 5f656570 5f777269 74650000 .#.._eep_write.. + 10360: 000f2e02 2308085f 6565705f 69735f65 ....#.._eep_is_e + 10370: 78697374 0000000f 3b02230c 00077573 xist....;.#...us + 10380: 625f6170 69007000 00124b08 5f757362 b_api.p...K._usb + 10390: 5f696e69 74000000 01110223 00085f75 _init......#.._u + 103a0: 73625f72 6f6d5f74 61736b00 00000111 sb_rom_task..... + 103b0: 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task + 103c0: 00000001 11022308 085f7573 625f696e ......#.._usb_in + 103d0: 69745f70 68790000 00011102 230c085f it_phy......#.._ + 103e0: 7573625f 6570305f 73657475 70000000 usb_ep0_setup... + 103f0: 01110223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t + 10400: 78000000 01110223 14085f75 73625f65 x......#.._usb_e + 10410: 70305f72 78000000 01110223 18085f75 p0_rx......#.._u + 10420: 73625f67 65745f69 6e746572 66616365 sb_get_interface + 10430: 00000008 1d02231c 085f7573 625f7365 ......#.._usb_se + 10440: 745f696e 74657266 61636500 0000081d t_interface..... + 10450: 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con + 10460: 66696775 72617469 6f6e0000 00081d02 figuration...... + 10470: 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf + 10480: 69677572 6174696f 6e000000 081d0223 iguration......# + 10490: 28085f75 73625f73 74616e64 6172645f (._usb_standard_ + 104a0: 636d6400 0000081d 02232c08 5f757362 cmd......#,._usb + 104b0: 5f76656e 646f725f 636d6400 00000111 _vendor_cmd..... + 104c0: 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o + 104d0: 66660000 00011102 2334085f 7573625f ff......#4._usb_ + 104e0: 72657365 745f6669 666f0000 00011102 reset_fifo...... + 104f0: 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. + 10500: 00000111 02233c08 5f757362 5f6a756d .....#<._usb_jum + 10510: 705f626f 6f740000 00011102 2340085f p_boot......#@._ + 10520: 7573625f 636c725f 66656174 75726500 usb_clr_feature. + 10530: 0000081d 02234408 5f757362 5f736574 .....#D._usb_set + 10540: 5f666561 74757265 00000008 1d022348 _feature......#H + 10550: 085f7573 625f7365 745f6164 64726573 ._usb_set_addres + 10560: 73000000 081d0223 4c085f75 73625f67 s......#L._usb_g + 10570: 65745f64 65736372 6970746f 72000000 et_descriptor... + 10580: 081d0223 50085f75 73625f67 65745f73 ...#P._usb_get_s + 10590: 74617475 73000000 081d0223 54085f75 tatus......#T._u + 105a0: 73625f73 65747570 5f646573 63000000 sb_setup_desc... + 105b0: 01110223 58085f75 73625f72 65675f6f ...#X._usb_reg_o + 105c0: 75740000 00011102 235c085f 7573625f ut......#\._usb_ + 105d0: 73746174 75735f69 6e000000 01110223 status_in......# + 105e0: 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da + 105f0: 74610000 00011102 2364085f 7573625f ta......#d._usb_ + 10600: 6570305f 72785f64 61746100 00000111 ep0_rx_data..... + 10610: 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini + 10620: 74000000 01110223 6c00075f 56444553 t......#l.._VDES + 10630: 43002400 0012d708 6e657874 5f646573 C.$.....next_des + 10640: 63000000 12d70223 00086275 665f6164 c......#..buf_ad + 10650: 64720000 0012eb02 23040862 75665f73 dr......#..buf_s + 10660: 697a6500 000012f2 02230808 64617461 ize......#..data + 10670: 5f6f6666 73657400 000012f2 02230a08 _offset......#.. + 10680: 64617461 5f73697a 65000000 12f20223 data_size......# + 10690: 0c08636f 6e74726f 6c000000 12f20223 ..control......# + 106a0: 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... + 106b0: 13000223 10000300 00124b04 0009415f ...#......K...A_ + 106c0: 55494e54 38000000 03250300 0012de04 UINT8....%...... + 106d0: 0009415f 55494e54 31360000 00017c0f ..A_UINT16....|. + 106e0: 000012de 14000013 0d101300 03000012 ................ + 106f0: 4b040009 56444553 43000000 124b0300 K...VDESC....K.. + 10700: 00131404 00060000 131f0103 00001326 ...............& + 10710: 04000600 0012eb01 03000013 33040002 ............3... + 10720: 01030000 13400400 07766465 73635f61 .....@...vdesc_a + 10730: 70690014 000013b8 085f696e 69740000 pi......._init.. + 10740: 000ae002 2300085f 616c6c6f 635f7664 ....#.._alloc_vd + 10750: 65736300 0000132c 02230408 5f676574 esc....,.#.._get + 10760: 5f68775f 64657363 00000013 39022308 _hw_desc....9.#. + 10770: 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... + 10780: 4202230c 08705265 73657276 65640000 B.#..pReserved.. + 10790: 00040d02 23100007 5f564255 46002000 ....#..._VBUF. . + 107a0: 00141808 64657363 5f6c6973 74000000 ....desc_list... + 107b0: 131f0223 00086e65 78745f62 75660000 ...#..next_buf.. + 107c0: 00141802 23040862 75665f6c 656e6774 ....#..buf_lengt + 107d0: 68000000 12f20223 08087265 73657276 h......#..reserv + 107e0: 65640000 00141f02 230a0863 74780000 ed......#..ctx.. + 107f0: 00130002 230c0003 000013b8 04000f00 ....#........... + 10800: 0012de02 0000142c 10010003 000013b8 .......,........ + 10810: 04000956 42554600 000013b8 03000014 ...VBUF......... + 10820: 33040006 0000143d 01030000 14440400 3......=.....D.. + 10830: 06000014 3d010300 00145104 00020103 ....=.....Q..... + 10840: 0000145e 04000776 6275665f 61706900 ...^...vbuf_api. + 10850: 14000014 dc085f69 6e697400 00000ae0 ......_init..... + 10860: 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. + 10870: 0000144a 02230408 5f616c6c 6f635f76 ...J.#.._alloc_v + 10880: 6275665f 77697468 5f73697a 65000000 buf_with_size... + 10890: 14570223 08085f66 7265655f 76627566 .W.#.._free_vbuf + 108a0: 00000014 6002230c 08705265 73657276 ....`.#..pReserv + 108b0: 65640000 00040d02 23100007 5f5f6164 ed......#...__ad + 108c0: 665f6465 76696365 00040000 14fe0864 f_device.......d + 108d0: 756d6d79 00000001 18022300 00030000 ummy......#..... + 108e0: 09240400 075f5f61 64665f64 6d615f6d .$...__adf_dma_m + 108f0: 6170000c 00001545 08627566 00000014 ap.....E.buf.... + 10900: 3d022300 0864735f 61646472 00000014 =.#..ds_addr.... + 10910: fe022304 0864735f 6c656e00 000012f2 ..#..ds_len..... + 10920: 02230800 120c0000 157f085f 5f76615f .#.........__va_ + 10930: 73746b00 0000037b 02230008 5f5f7661 stk....{.#..__va + 10940: 5f726567 00000003 7b022304 085f5f76 _reg....{.#..__v + 10950: 615f6e64 78000000 01180223 0800095f a_ndx......#..._ + 10960: 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 10970: 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d + 10980: 6d615f61 6464725f 74000000 157f095f ma_addr_t......_ + 10990: 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 109a0: 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d + 109b0: 6d615f73 697a655f 74000000 15af075f ma_size_t......_ + 109c0: 5f646d61 5f736567 73000800 00160b08 _dma_segs....... + 109d0: 70616464 72000000 15980223 00086c65 paddr......#..le + 109e0: 6e000000 15c80223 0400095f 5f615f75 n......#...__a_u + 109f0: 696e7433 325f7400 00000924 09615f75 int32_t....$.a_u + 10a00: 696e7433 325f7400 0000160b 0f000015 int32_t......... + 10a10: df080000 163a1000 00076164 665f6f73 .....:....adf_os + 10a20: 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 10a30: 1673086e 73656773 00000016 1d022300 .s.nsegs......#. + 10a40: 08646d61 5f736567 73000000 162d0223 .dma_segs....-.# + 10a50: 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 10a60: 0012de09 615f7569 6e74385f 74000000 ....a_uint8_t... + 10a70: 16730300 00168404 00075f5f 73675f73 .s........__sg_s + 10a80: 65677300 08000016 c5087661 64647200 egs.......vaddr. + 10a90: 00001693 02230008 6c656e00 0000161d .....#..len..... + 10aa0: 02230400 0f000016 9a200000 16d21003 .#....... ...... + 10ab0: 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 10ac0: 24000017 05086e73 65677300 0000161d $.....nsegs..... + 10ad0: 02230008 73675f73 65677300 000016c5 .#..sg_segs..... + 10ae0: 02230400 12100000 174e0876 656e646f .#.......N.vendo + 10af0: 72000000 161d0223 00086465 76696365 r......#..device + 10b00: 00000016 1d022304 08737562 76656e64 ......#..subvend + 10b10: 6f720000 00161d02 23080873 75626465 or......#..subde + 10b20: 76696365 00000016 1d02230c 00046c6f vice......#...lo + 10b30: 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 10b40: 20696e74 00070809 415f5549 4e543634 int....A_UINT64 + 10b50: 00000017 4e095f5f 615f7569 6e743634 ....N.__a_uint64 + 10b60: 5f740000 00176809 615f7569 6e743634 _t....h.a_uint64 + 10b70: 5f740000 00177614 04000017 d40e4144 _t....v.......AD + 10b80: 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 10b90: 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 10ba0: 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 10bb0: 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou + 10bc0: 7263655f 74797065 5f740000 00179812 rce_type_t...... + 10bd0: 18000018 1e087374 61727400 00001788 ......start..... + 10be0: 02230008 656e6400 00001788 02230808 .#..end......#.. + 10bf0: 74797065 00000017 d4022310 00096164 type......#...ad + 10c00: 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 10c10: 74000000 17050300 00181e04 00110400 t............... + 10c20: 00185d08 70636900 00001837 02230008 ..].pci....7.#.. + 10c30: 72617700 0000040d 02230000 11100000 raw......#...... + 10c40: 187c0870 63690000 00181e02 23000872 .|.pci......#..r + 10c50: 61770000 00040d02 23000009 6164665f aw......#...adf_ + 10c60: 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... + 10c70: 0d096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 10c80: 655f7400 000017f0 03000018 92040009 e_t............. + 10c90: 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 10ca0: 74615f74 00000018 5d030000 18b00400 ta_t....]....... + 10cb0: 03000014 dc040009 5f5f6164 665f6f73 ........__adf_os + 10cc0: 5f646576 6963655f 74000000 18d10961 _device_t......a + 10cd0: 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 10ce0: 0018d806 0000187c 01030000 19040400 .......|........ + 10cf0: 02010300 00191104 00096164 665f6f73 ..........adf_os + 10d00: 5f706d5f 74000000 040d0201 03000019 _pm_t........... + 10d10: 2b040014 04000019 6b0e4144 465f4f53 +.......k.ADF_OS + 10d20: 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 10d30: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 10d40: 47454e45 52494300 02000961 64665f6f GENERIC....adf_o + 10d50: 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... + 10d60: 34096164 665f6f73 5f627573 5f726567 4.adf_os_bus_reg + 10d70: 5f646174 615f7400 0000183e 03000003 _data_t....>.... + 10d80: 25040007 5f616466 5f647276 5f696e66 %..._adf_drv_inf + 10d90: 6f002000 001a4808 6472765f 61747461 o. ...H.drv_atta + 10da0: 63680000 00190a02 23000864 72765f64 ch......#..drv_d + 10db0: 65746163 68000000 19130223 04086472 etach......#..dr + 10dc0: 765f7375 7370656e 64000000 192d0223 v_suspend....-.# + 10dd0: 08086472 765f7265 73756d65 00000019 ..drv_resume.... + 10de0: 1302230c 08627573 5f747970 65000000 ..#..bus_type... + 10df0: 196b0223 10086275 735f6461 74610000 .k.#..bus_data.. + 10e00: 00198202 2314086d 6f645f6e 616d6500 ....#..mod_name. + 10e10: 0000199d 02231808 69666e61 6d650000 .....#..ifname.. + 10e20: 00199d02 231c0009 6164665f 6f735f68 ....#...adf_os_h + 10e30: 616e646c 655f7400 0000040d 03000016 andle_t......... + 10e40: 73040002 01020109 5f5f6164 665f6f73 s.......__adf_os + 10e50: 5f73697a 655f7400 00000410 14040000 _size_t......... + 10e60: 1a970e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 10e70: 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. + 10e80: 00001a7d 03000015 05040009 5f5f6164 ...}........__ad + 10e90: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 10ea0: 001aa502 010d6164 665f6f73 5f636163 ......adf_os_cac + 10eb0: 68655f73 796e6300 0400001b 2f0e4144 he_sync...../.AD + 10ec0: 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 10ed0: 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 10ee0: 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 10ef0: 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 10f00: 435f504f 53545752 49544500 03000961 C_POSTWRITE....a + 10f10: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 10f20: 5f740000 001ac602 01096164 665f6f73 _t........adf_os + 10f30: 5f73697a 655f7400 00001a68 0600001b _size_t....h.... + 10f40: 4a010961 64665f6f 735f646d 615f6d61 J..adf_os_dma_ma + 10f50: 705f7400 00001aac 0300001b 63040006 p_t.........c... + 10f60: 0000040d 01030000 1aac0400 06000004 ................ + 10f70: 0d010201 06000015 98010201 0473686f .............sho + 10f80: 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 + 10f90: 36000000 1b9d095f 5f615f69 6e743136 6......__a_int16 + 10fa0: 5f740000 001baa09 615f696e 7431365f _t......a_int16_ + 10fb0: 74000000 1bb70473 69676e65 64206368 t......signed ch + 10fc0: 61720005 0109415f 494e5438 0000001b ar....A_INT8.... + 10fd0: d7095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... + 10fe0: e609615f 696e7438 5f740000 001bf212 ..a_int8_t...... + 10ff0: 0c00001c 69087375 70706f72 74656400 ....i.supported. + 11000: 0000161d 02230008 61647665 7274697a .....#..advertiz + 11010: 65640000 00161d02 23040873 70656564 ed......#..speed + 11020: 0000001b c8022308 08647570 6c657800 ......#..duplex. + 11030: 00001c02 02230a08 6175746f 6e656700 .....#..autoneg. + 11040: 00001684 02230b00 0f000016 84060000 .....#.......... + 11050: 1c761005 00076164 665f6e65 745f6574 .v....adf_net_et + 11060: 68616464 72000600 001c9a08 61646472 haddr.......addr + 11070: 0000001c 69022300 00095f5f 615f7569 ....i.#...__a_ui + 11080: 6e743136 5f740000 0012f209 615f7569 nt16_t......a_ui + 11090: 6e743136 5f740000 001c9a12 0e00001c nt16_t.......... + 110a0: fe086574 6865725f 64686f73 74000000 ..ether_dhost... + 110b0: 1c690223 00086574 6865725f 73686f73 .i.#..ether_shos + 110c0: 74000000 1c690223 06086574 6865725f t....i.#..ether_ + 110d0: 74797065 0000001c ac02230c 00121400 type......#..... + 110e0: 001dbf15 69705f76 65727369 6f6e0000 ....ip_version.. + 110f0: 00168401 00040223 00156970 5f686c00 .......#..ip_hl. + 11100: 00001684 01040402 23000869 705f746f ........#..ip_to + 11110: 73000000 16840223 01086970 5f6c656e s......#..ip_len + 11120: 0000001c ac022302 0869705f 69640000 ......#..ip_id.. + 11130: 001cac02 23040869 705f6672 61675f6f ....#..ip_frag_o + 11140: 66660000 001cac02 23060869 705f7474 ff......#..ip_tt + 11150: 6c000000 16840223 08086970 5f70726f l......#..ip_pro + 11160: 746f0000 00168402 23090869 705f6368 to......#..ip_ch + 11170: 65636b00 00001cac 02230a08 69705f73 eck......#..ip_s + 11180: 61646472 00000016 1d02230c 0869705f addr......#..ip_ + 11190: 64616464 72000000 161d0223 10000761 daddr......#...a + 111a0: 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 111b0: 00001e11 08747069 64000000 1cac0223 .....tpid......# + 111c0: 00157072 696f0000 00168401 00030223 ..prio.........# + 111d0: 02156366 69000000 16840103 01022302 ..cfi.........#. + 111e0: 15766964 0000001c ac02040c 02230200 .vid.........#.. + 111f0: 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 11200: 1e421572 65730000 00168401 00040223 .B.res.........# + 11210: 00157661 6c000000 1cac0204 0c022300 ..val.........#. + 11220: 00120c00 001e7e08 72785f62 75667369 ......~.rx_bufsi + 11230: 7a650000 00161d02 23000872 785f6e64 ze......#..rx_nd + 11240: 65736300 0000161d 02230408 74785f6e esc......#..tx_n + 11250: 64657363 00000016 1d022308 00120800 desc......#..... + 11260: 001ea408 706f6c6c 65640000 001a9702 ....polled...... + 11270: 23000870 6f6c6c5f 77740000 00161d02 #..poll_wt...... + 11280: 2304000f 00001684 4000001e b1103f00 #.......@.....?. + 11290: 12460000 1ed90869 665f6e61 6d650000 .F.....if_name.. + 112a0: 001ea402 23000864 65765f61 64647200 ....#..dev_addr. + 112b0: 00001c69 02234000 14040000 1f100e41 ...i.#@........A + 112c0: 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 112d0: 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 112e0: 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... + 112f0: 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 11300: 74000000 1ed90761 64665f64 6d615f69 t......adf_dma_i + 11310: 6e666f00 0800001f 5d08646d 615f6d61 nfo.....].dma_ma + 11320: 736b0000 001f1002 23000873 675f6e73 sk......#..sg_ns + 11330: 65677300 0000161d 02230400 14040000 egs......#...... + 11340: 1fb30e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 11350: 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 11360: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 11370: 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 11380: 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 11390: 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 113a0: 5f747970 655f7400 00001f5d 12080000 _type_t....].... + 113b0: 1ff60874 785f636b 73756d00 00001fb3 ...tx_cksum..... + 113c0: 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... + 113d0: b3022304 00096164 665f6e65 745f636b ..#...adf_net_ck + 113e0: 73756d5f 696e666f 5f740000 001fcd14 sum_info_t...... + 113f0: 04000020 4f0e4144 465f4e45 545f5453 ... O.ADF_NET_TS + 11400: 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 11410: 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 11420: 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a + 11430: 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 11440: 74000000 20101210 000020a3 08636b73 t... ..... ..cks + 11450: 756d5f63 61700000 001ff602 23000874 um_cap......#..t + 11460: 736f0000 00204f02 23080876 6c616e5f so... O.#..vlan_ + 11470: 73757070 6f727465 64000000 16840223 supported......# + 11480: 0c001220 0000213c 0874785f 7061636b ... ..!<.tx_pack + 11490: 65747300 0000161d 02230008 72785f70 ets......#..rx_p + 114a0: 61636b65 74730000 00161d02 23040874 ackets......#..t + 114b0: 785f6279 74657300 0000161d 02230808 x_bytes......#.. + 114c0: 72785f62 79746573 00000016 1d02230c rx_bytes......#. + 114d0: 0874785f 64726f70 70656400 0000161d .tx_dropped..... + 114e0: 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. + 114f0: 00161d02 23140872 785f6572 726f7273 ....#..rx_errors + 11500: 00000016 1d022318 0874785f 6572726f ......#..tx_erro + 11510: 72730000 00161d02 231c0009 6164665f rs......#...adf_ + 11520: 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 11530: 1c761600 00213c03 00000021 61107f00 .v...!<....!a... + 11540: 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 11550: 64647200 03040000 2198086e 656c656d ddr.....!..nelem + 11560: 00000016 1d022300 086d6361 73740000 ......#..mcast.. + 11570: 00215302 23040009 6164665f 6e65745f .!S.#...adf_net_ + 11580: 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 11590: 00001c10 09616466 5f6e6574 5f636d64 .....adf_net_cmd + 115a0: 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... + 115b0: 7e096164 665f6e65 745f636d 645f636b ~.adf_net_cmd_ck + 115c0: 73756d5f 696e666f 5f740000 001ff609 sum_info_t...... + 115d0: 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 115e0: 5f696e66 6f5f7400 00001e42 09616466 _info_t....B.adf + 115f0: 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 11600: 6f5f7400 00001f27 09616466 5f6e6574 o_t....'.adf_net + 11610: 5f636d64 5f766964 5f740000 001cac09 _cmd_vid_t...... + 11620: 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 11630: 6f61645f 6361705f 74000000 20670961 oad_cap_t... g.a + 11640: 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 11650: 5f740000 0020a309 6164665f 6e65745f _t... ..adf_net_ + 11660: 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! + 11670: 610d6164 665f6e65 745f636d 645f6d63 a.adf_net_cmd_mc + 11680: 6173745f 63617000 04000022 da0e4144 ast_cap...."..AD + 11690: 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 116a0: 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 116b0: 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne + 116c0: 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 116d0: 74000000 22921803 04000023 ac086c69 t..."......#..li + 116e0: 6e6b5f69 6e666f00 00002198 02230008 nk_info...!..#.. + 116f0: 706f6c6c 5f696e66 6f000000 21b50223 poll_info...!..# + 11700: 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! + 11710: d2022300 0872696e 675f696e 666f0000 ..#..ring_info.. + 11720: 0021f002 23000864 6d615f69 6e666f00 .!..#..dma_info. + 11730: 0000220d 02230008 76696400 00002229 .."..#..vid...") + 11740: 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. + 11750: 00002240 02230008 73746174 73000000 .."@.#..stats... + 11760: 225f0223 00086d63 6173745f 696e666f "_.#..mcast_info + 11770: 00000022 78022300 086d6361 73745f63 ..."x.#..mcast_c + 11780: 61700000 0022da02 23000014 04000024 ap..."..#......$ + 11790: 030e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 117a0: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 117b0: 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 117c0: 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 117d0: 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 117e0: 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 117f0: 6b73756d 5f747970 655f7400 000023ac ksum_type_t...#. + 11800: 12080000 24430872 6573756c 74000000 ....$C.result... + 11810: 24030223 00087661 6c000000 161d0223 $..#..val......# + 11820: 04001208 00002473 08747970 65000000 ......$s.type... + 11830: 204f0223 00086d73 73000000 1cac0223 O.#..mss......# + 11840: 04086864 725f6f66 66000000 16840223 ..hdr_off......# + 11850: 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 11860: 65616400 0c000024 b2086865 61640000 ead....$..head.. + 11870: 00143d02 23000874 61696c00 0000143d ..=.#..tail....= + 11880: 02230408 716c656e 00000016 1d022308 .#..qlen......#. + 11890: 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 118a0: 00143d03 00001693 04000300 00161d04 ..=............. + 118b0: 00020106 0000131f 01060000 161d0106 ................ + 118c0: 00001693 01060000 16930103 00001300 ................ + 118d0: 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 118e0: 6561645f 74000000 2473095f 5f616466 ead_t...$s.__adf + 118f0: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 11900: 24f30300 00250b04 00060000 24b20106 $....%......$... + 11910: 000024b2 01140400 00262b0e 415f5354 ..$......&+.A_ST + 11920: 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 11930: 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 11940: 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 11950: 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 11960: 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 11970: 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 11980: 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 11990: 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 119a0: 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 119b0: 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 119c0: 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 119d0: 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 119e0: 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 119f0: 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 11a00: 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta + 11a10: 7475735f 74000000 25360600 00262b01 tus_t...%6...&+. + 11a20: 06000001 18010201 09616466 5f6e6275 .........adf_nbu + 11a30: 665f7400 000024b2 14040000 26900e41 f_t...$.....&..A + 11a40: 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 11a50: 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 11a60: 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... + 11a70: 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 11a80: 00000026 59060000 262b0102 01096164 ...&Y...&+....ad + 11a90: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 11aa0: 5f740000 00163a03 000026ae 04000201 _t....:...&..... + 11ab0: 02010600 00264901 06000024 b2010201 .....&I....$.... + 11ac0: 02010600 00264901 06000024 b2010600 .....&I....$.... + 11ad0: 00264901 06000024 b2010600 00264901 .&I....$.....&I. + 11ae0: 02010201 06000016 1d010600 00169301 ................ + 11af0: 02010201 0600001b 4a010600 001a9701 ........J....... + 11b00: 0600001a 97010961 64665f6f 735f7367 .......adf_os_sg + 11b10: 6c697374 5f740000 0016d203 00002727 list_t........'' + 11b20: 04000201 02010201 06000016 93010961 ...............a + 11b30: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 11b40: 0000250b 03000027 4f040002 01030000 ..%....'O....... + 11b50: 24f30400 02010201 02010600 00264901 $............&I. + 11b60: 06000024 b2010600 00161d01 06000016 ...$............ + 11b70: 1d010600 001a9701 0600001a 97010600 ................ + 11b80: 001fb301 06000016 1d010961 64665f6e ...........adf_n + 11b90: 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 11ba0: 00242103 000027ab 04000201 02010961 .$!...'........a + 11bb0: 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 11bc0: 24430300 0027cf04 00020102 01096164 $C...'........ad + 11bd0: 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 11be0: 00040d09 6164665f 6e65745f 766c616e ....adf_net_vlan + 11bf0: 6864725f 74000000 1dbf0300 00280404 hdr_t........(.. + 11c00: 00060000 262b0106 0000262b 01020102 ....&+....&+.... + 11c10: 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 11c20: 00285308 64756d6d 79000000 01180223 .(S.dummy......# + 11c30: 00000201 03000028 53040002 01030000 .......(S....... + 11c40: 285c0400 075f4849 465f4341 4c4c4241 (\..._HIF_CALLBA + 11c50: 434b000c 000028b1 0873656e 645f6275 CK....(..send_bu + 11c60: 665f646f 6e650000 00285502 23000872 f_done...(U.#..r + 11c70: 6563765f 62756600 0000285e 02230408 ecv_buf...(^.#.. + 11c80: 636f6e74 65787400 0000040d 02230800 context......#.. + 11c90: 09686966 5f68616e 646c655f 74000000 .hif_handle_t... + 11ca0: 040d0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... + 11cb0: 28320300 0028c304 00060000 28b10103 (2...(......(... + 11cc0: 000028da 04000201 03000028 e7040009 ..(........(.... + 11cd0: 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( + 11ce0: 65030000 28f00400 02010300 00290904 e...(........).. + 11cf0: 00060000 01180103 00002912 04000201 ..........)..... + 11d00: 03000029 1f040006 00000118 01030000 ...)............ + 11d10: 29280400 02010300 00293504 00060000 )(.......)5..... + 11d20: 01180103 0000293e 04000201 03000029 ......)>.......) + 11d30: 4b040007 6869665f 61706900 3800002a K...hif_api.8..* + 11d40: a4085f69 6e697400 000028e0 02230008 .._init...(..#.. + 11d50: 5f736875 74646f77 6e000000 28e90223 _shutdown...(..# + 11d60: 04085f72 65676973 7465725f 63616c6c .._register_call + 11d70: 6261636b 00000029 0b022308 085f6765 back...)..#.._ge + 11d80: 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 11d90: 6f756e74 00000029 1802230c 085f7374 ount...)..#.._st + 11da0: 61727400 000028e9 02231008 5f636f6e art...(..#.._con + 11db0: 6669675f 70697065 00000029 21022314 fig_pipe...)!.#. + 11dc0: 085f7365 6e645f62 75666665 72000000 ._send_buffer... + 11dd0: 292e0223 18085f72 65747572 6e5f7265 )..#.._return_re + 11de0: 63765f62 75660000 00293702 231c085f cv_buf...)7.#.._ + 11df0: 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 11e00: 64000000 29440223 20085f67 65745f6d d...)D.# ._get_m + 11e10: 61785f6d 73675f6c 656e0000 00294402 ax_msg_len...)D. + 11e20: 2324085f 6765745f 72657365 72766564 #$._get_reserved + 11e30: 5f686561 64726f6f 6d000000 29180223 _headroom...)..# + 11e40: 28085f69 73725f68 616e646c 65720000 (._isr_handler.. + 11e50: 0028e902 232c085f 6765745f 64656661 .(..#,._get_defa + 11e60: 756c745f 70697065 00000029 4d022330 ult_pipe...)M.#0 + 11e70: 08705265 73657276 65640000 00040d02 .pReserved...... + 11e80: 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. + 11e90: 00002b2d 0e444d41 5f454e47 494e455f ..+-.DMA_ENGINE_ + 11ea0: 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE + 11eb0: 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN + 11ec0: 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI + 11ed0: 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG + 11ee0: 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN + 11ef0: 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E + 11f00: 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma + 11f10: 5f656e67 696e655f 74000000 2aa40d64 _engine_t...*..d + 11f20: 6d615f69 66747970 65000400 002b7a0e ma_iftype....+z. + 11f30: 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM + 11f40: 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I + 11f50: 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if + 11f60: 74797065 5f740000 002b3f06 000012f2 type_t...+?..... + 11f70: 01030000 2b8c0400 02010300 002b9904 ....+........+.. + 11f80: 00020103 00002ba2 04000600 00092401 ......+.......$. + 11f90: 0300002b ab040006 000012f2 01030000 ...+............ + 11fa0: 2bb80400 06000012 f2010300 002bc504 +............+.. + 11fb0: 00060000 143d0103 00002bd2 04000201 .....=....+..... + 11fc0: 0300002b df040007 646d615f 6c69625f ...+....dma_lib_ + 11fd0: 61706900 3400002c e6087478 5f696e69 api.4..,..tx_ini + 11fe0: 74000000 2b920223 00087478 5f737461 t...+..#..tx_sta + 11ff0: 72740000 002b9b02 23040872 785f696e rt...+..#..rx_in + 12000: 69740000 002b9202 23080872 785f636f it...+..#..rx_co + 12010: 6e666967 0000002b a402230c 0872785f nfig...+..#..rx_ + 12020: 73746172 74000000 2b9b0223 1008696e start...+..#..in + 12030: 74725f73 74617475 73000000 2bb10223 tr_status...+..# + 12040: 14086861 72645f78 6d697400 00002bbe ..hard_xmit...+. + 12050: 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. + 12060: 002b9b02 231c0878 6d69745f 646f6e65 .+..#..xmit_done + 12070: 0000002b cb022320 08726561 705f786d ...+..# .reap_xm + 12080: 69747465 64000000 2bd80223 24087265 itted...+..#$.re + 12090: 61705f72 65637600 00002bd8 02232808 ap_recv...+..#(. + 120a0: 72657475 726e5f72 65637600 00002be1 return_recv...+. + 120b0: 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ + 120c0: cb022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft + 120d0: 63000c00 002d0408 73770000 0028f002 c....-..sw...(.. + 120e0: 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ + 120f0: 74000000 2ce60300 002d0404 00020103 t...,....-...... + 12100: 00002d1e 04000600 0012de01 0300002d ..-............- + 12110: 2704000d 6869665f 7063695f 70697065 '...hif_pci_pipe + 12120: 5f747800 0400002d 870e4849 465f5043 _tx....-..HIF_PC + 12130: 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF + 12140: 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... + 12150: 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ + 12160: 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p + 12170: 6970655f 74785f74 0000002d 34060000 ipe_tx_t...-4... + 12180: 2b2d0103 00002d9e 04000d68 69665f70 +-....-....hif_p + 12190: 63695f70 6970655f 72780004 00002e24 ci_pipe_rx.....$ + 121a0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 121b0: 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 121c0: 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P + 121d0: 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC + 121e0: 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF + 121f0: 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX + 12200: 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe + 12210: 5f72785f 74000000 2dab0600 002b2d01 _rx_t...-....+-. + 12220: 0300002e 3b040007 6869665f 7063695f ....;...hif_pci_ + 12230: 61706900 2400002f 19087063 695f626f api.$../..pci_bo + 12240: 6f745f69 6e697400 00000111 02230008 ot_init......#.. + 12250: 7063695f 696e6974 00000028 e0022304 pci_init...(..#. + 12260: 08706369 5f726573 65740000 00011102 .pci_reset...... + 12270: 23080870 63695f65 6e61626c 65000000 #..pci_enable... + 12280: 01110223 0c087063 695f7265 61705f78 ...#..pci_reap_x + 12290: 6d697474 65640000 002d2002 23100870 mitted...- .#..p + 122a0: 63695f72 6561705f 72656376 0000002d ci_reap_recv...- + 122b0: 20022314 08706369 5f676574 5f706970 .#..pci_get_pip + 122c0: 65000000 2d2d0223 18087063 695f6765 e...--.#..pci_ge + 122d0: 745f7478 5f656e67 0000002d a402231c t_tx_eng...-..#. + 122e0: 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. + 122f0: 00002e41 02232000 07676d61 635f6170 ...A.# ..gmac_ap + 12300: 69000400 002f4008 676d6163 5f626f6f i..../@.gmac_boo + 12310: 745f696e 69740000 00011102 2300000f t_init......#... + 12320: 00000325 0600002f 4d100500 075f5f65 ...%.../M....__e + 12330: 74686864 72000e00 002f8308 64737400 thhdr..../..dst. + 12340: 00002f40 02230008 73726300 00002f40 ../@.#..src.../@ + 12350: 02230608 65747970 65000000 12f20223 .#..etype......# + 12360: 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ + 12370: d1157265 73000000 12de0100 02022300 ..res.........#. + 12380: 1570726f 746f0000 0012de01 02060223 .proto.........# + 12390: 00087265 735f6c6f 00000012 de022301 ..res_lo......#. + 123a0: 08726573 5f686900 000012f2 02230200 .res_hi......#.. + 123b0: 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 + 123c0: 0d086574 68000000 2f4d0223 00086174 ..eth.../M.#..at + 123d0: 68000000 2f830223 0e08616c 69676e5f h.../..#..align_ + 123e0: 70616400 000012f2 02231200 095f5f67 pad......#...__g + 123f0: 6d61635f 6864725f 74000000 2fd1075f mac_hdr_t.../.._ + 12400: 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 + 12410: 57086864 72000000 300d0223 00086772 W.hdr...0..#..gr + 12420: 616e0000 0012f202 23140873 77000000 an......#..sw... + 12430: 28f00223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin + 12440: 6b616765 5f636865 636b0008 00003090 kage_check....0. + 12450: 08766572 73696f6e 00000001 18022300 .version......#. + 12460: 08746162 6c650000 00011802 23040003 .table......#... + 12470: 00003057 04000600 00011801 03000030 ..0W...........0 + 12480: 97040003 00000410 0400175f 415f636d ..........._A_cm + 12490: 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ + 124a0: 7461626c 650001b8 000031e7 0868616c table.....1..hal + 124b0: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 124c0: 00309d02 23000873 74617274 5f627373 .0..#..start_bss + 124d0: 00000030 a4022304 08617070 5f737461 ...0..#..app_sta + 124e0: 72740000 00011102 2308086d 656d0000 rt......#..mem.. + 124f0: 00045002 230c086d 69736300 0000056f ..P.#..misc....o + 12500: 02232008 7072696e 74660000 00014502 .# .printf....E. + 12510: 23440875 61727400 0000020e 02234c08 #D.uart......#L. + 12520: 676d6163 0000002f 1902236c 08757362 gmac.../..#l.usb + 12530: 0000000f 9e022370 08636c6f 636b0000 ......#p.clock.. + 12540: 000ae703 23e00108 74696d65 72000000 ....#...timer... + 12550: 07870323 84020869 6e747200 00000c37 ...#...intr....7 + 12560: 03239802 08616c6c 6f637261 6d000000 .#...allocram... + 12570: 093f0323 c4020872 6f6d7000 00000831 .?.#...romp....1 + 12580: 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. + 12590: 000e1403 23e00208 65657000 00000f42 ....#...eep....B + 125a0: 0323fc02 08737472 696e6700 00000693 .#...string..... + 125b0: 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... + 125c0: 3c0323a4 0300075f 5553425f 4649464f <.#...._USB_FIFO + 125d0: 5f434f4e 46494700 10000032 5a086765 _CONFIG....2Z.ge + 125e0: 745f636f 6d6d616e 645f6275 66000000 t_command_buf... + 125f0: 144a0223 00087265 63765f63 6f6d6d61 .J.#..recv_comma + 12600: 6e640000 00146002 23040867 65745f65 nd....`.#..get_e + 12610: 76656e74 5f627566 00000014 4a022308 vent_buf....J.#. + 12620: 0873656e 645f6576 656e745f 646f6e65 .send_event_done + 12630: 00000014 6002230c 00095553 425f4649 ....`.#...USB_FI + 12640: 464f5f43 4f4e4649 47000000 31e70300 FO_CONFIG...1... + 12650: 00325a04 00020103 00003276 04000775 .2Z.......2v...u + 12660: 73626669 666f5f61 7069000c 000032cc sbfifo_api....2. + 12670: 085f696e 69740000 00327802 2300085f ._init...2x.#.._ + 12680: 656e6162 6c655f65 76656e74 5f697372 enable_event_isr + 12690: 00000001 11022304 08705265 73657276 ......#..pReserv + 126a0: 65640000 00040d02 2308000f 00001684 ed......#....... + 126b0: 02000032 d9100100 075f4854 435f4652 ...2....._HTC_FR + 126c0: 414d455f 48445200 08000033 4b08456e AME_HDR....3K.En + 126d0: 64706f69 6e744944 00000016 84022300 dpointID......#. + 126e0: 08466c61 67730000 00168402 23010850 .Flags......#..P + 126f0: 61796c6f 61644c65 6e000000 1cac0223 ayloadLen......# + 12700: 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. + 12710: 0032cc02 23040848 6f737453 65714e75 .2..#..HostSeqNu + 12720: 6d000000 1cac0223 06001202 00003364 m......#......3d + 12730: 084d6573 73616765 49440000 001cac02 .MessageID...... + 12740: 23000012 08000033 c7084d65 73736167 #......3..Messag + 12750: 65494400 00001cac 02230008 43726564 eID......#..Cred + 12760: 6974436f 756e7400 00001cac 02230208 itCount......#.. + 12770: 43726564 69745369 7a650000 001cac02 CreditSize...... + 12780: 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. + 12790: 00001684 02230608 5f506164 31000000 .....#.._Pad1... + 127a0: 16840223 0700120a 0000345e 084d6573 ...#......4^.Mes + 127b0: 73616765 49440000 001cac02 23000853 sageID......#..S + 127c0: 65727669 63654944 0000001c ac022302 erviceID......#. + 127d0: 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags + 127e0: 0000001c ac022304 08446f77 6e4c696e ......#..DownLin + 127f0: 6b506970 65494400 00001684 02230608 kPipeID......#.. + 12800: 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... + 12810: 84022307 08536572 76696365 4d657461 ..#..ServiceMeta + 12820: 4c656e67 74680000 00168402 2308085f Length......#.._ + 12830: 50616431 00000016 84022309 00120a00 Pad1......#..... + 12840: 0034e608 4d657373 61676549 44000000 .4..MessageID... + 12850: 1cac0223 00085365 72766963 65494400 ...#..ServiceID. + 12860: 00001cac 02230208 53746174 75730000 .....#..Status.. + 12870: 00168402 23040845 6e64706f 696e7449 ....#..EndpointI + 12880: 44000000 16840223 05084d61 784d7367 D......#..MaxMsg + 12890: 53697a65 0000001c ac022306 08536572 Size......#..Ser + 128a0: 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 128b0: 00168402 2308085f 50616431 00000016 ....#.._Pad1.... + 128c0: 84022309 00120200 0034ff08 4d657373 ..#......4..Mess + 128d0: 61676549 44000000 1cac0223 00001204 ageID......#.... + 128e0: 0000353b 084d6573 73616765 49440000 ..5;.MessageID.. + 128f0: 001cac02 23000850 69706549 44000000 ....#..PipeID... + 12900: 16840223 02084372 65646974 436f756e ...#..CreditCoun + 12910: 74000000 16840223 03001204 00003572 t......#......5r + 12920: 084d6573 73616765 49440000 001cac02 .MessageID...... + 12930: 23000850 69706549 44000000 16840223 #..PipeID......# + 12940: 02085374 61747573 00000016 84022303 ..Status......#. + 12950: 00120200 00359908 5265636f 72644944 .....5..RecordID + 12960: 00000016 84022300 084c656e 67746800 ......#..Length. + 12970: 00001684 02230100 12020000 35c30845 .....#......5..E + 12980: 6e64706f 696e7449 44000000 16840223 ndpointID......# + 12990: 00084372 65646974 73000000 16840223 ..Credits......# + 129a0: 01001204 00003604 08456e64 706f696e ......6..Endpoin + 129b0: 74494400 00001684 02230008 43726564 tID......#..Cred + 129c0: 69747300 00001684 02230108 54677443 its......#..TgtC + 129d0: 72656469 74536571 4e6f0000 001cac02 reditSeqNo...... + 129e0: 2302000f 00001684 04000036 11100300 #..........6.... + 129f0: 12060000 364d0850 72655661 6c696400 ....6M.PreValid. + 12a00: 00001684 02230008 4c6f6f6b 41686561 .....#..LookAhea + 12a10: 64000000 36040223 0108506f 73745661 d...6..#..PostVa + 12a20: 6c696400 00001684 02230500 09706f6f lid......#...poo + 12a30: 6c5f6861 6e646c65 5f740000 00040d06 l_handle_t...... + 12a40: 0000364d 01030000 36600400 02010300 ..6M....6`...... + 12a50: 00366d04 00140400 0036eb0e 504f4f4c .6m......6..POOL + 12a60: 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. + 12a70: 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 12a80: 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO + 12a90: 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV + 12aa0: 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL + 12ab0: 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL + 12ac0: 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P + 12ad0: 4f4f4c5f 49440000 00367602 01030000 OOL_ID...6v..... + 12ae0: 36fc0400 06000026 49010300 00370504 6......&I....7.. + 12af0: 00060000 26490103 00003712 04000201 ....&I....7..... + 12b00: 03000037 1f040007 6275665f 706f6f6c ...7....buf_pool + 12b10: 5f617069 001c0000 37c1085f 696e6974 _api....7.._init + 12b20: 00000036 66022300 085f7368 7574646f ...6f.#.._shutdo + 12b30: 776e0000 00366f02 2304085f 63726561 wn...6o.#.._crea + 12b40: 74655f70 6f6f6c00 000036fe 02230808 te_pool...6..#.. + 12b50: 5f616c6c 6f635f62 75660000 00370b02 _alloc_buf...7.. + 12b60: 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al + 12b70: 69676e00 00003718 02231008 5f667265 ign...7..#.._fre + 12b80: 655f6275 66000000 37210223 14087052 e_buf...7!.#..pR + 12b90: 65736572 76656400 0000040d 02231800 eserved......#.. + 12ba0: 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... + 12bb0: 0038a008 704e6578 74000000 38a00223 .8..pNext...8..# + 12bc0: 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg + 12bd0: 00000039 55022304 0850726f 63657373 ...9U.#..Process + 12be0: 53656e64 42756666 6572436f 6d706c65 SendBufferComple + 12bf0: 74650000 00395e02 23080850 726f6365 te...9^.#..Proce + 12c00: 7373436f 6e6e6563 74000000 39720223 ssConnect...9r.# + 12c10: 0c085365 72766963 65494400 000012f2 ..ServiceID..... + 12c20: 02231008 53657276 69636546 6c616773 .#..ServiceFlags + 12c30: 00000012 f2022312 084d6178 5376634d ......#..MaxSvcM + 12c40: 73675369 7a650000 0012f202 23140854 sgSize......#..T + 12c50: 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi + 12c60: 6d697400 000012f2 02231608 53657276 mit......#..Serv + 12c70: 69636543 74780000 00040d02 23180003 iceCtx......#... + 12c80: 000037c1 04001404 0000393e 19454e44 ..7.......9>.END + 12c90: 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... + 12ca0: ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN + 12cb0: 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI + 12cc0: 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. + 12cd0: 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN + 12ce0: 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI + 12cf0: 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. + 12d00: 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN + 12d10: 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT + 12d20: 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... + 12d30: 38a70201 03000039 53040002 01030000 8......9S....... + 12d40: 395c0400 03000001 18040006 000012de 9\.............. + 12d50: 01030000 396c0400 03000037 c1040007 ....9l.....7.... + 12d60: 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 + 12d70: f1084372 65646974 53697a65 00000001 ..CreditSize.... + 12d80: 18022300 08437265 6469744e 756d6265 ..#..CreditNumbe + 12d90: 72000000 01180223 04084f53 48616e64 r......#..OSHand + 12da0: 6c650000 001a4802 23080848 49464861 le....H.#..HIFHa + 12db0: 6e646c65 00000028 b102230c 08506f6f ndle...(..#..Poo + 12dc0: 6c48616e 646c6500 0000364d 02231000 lHandle...6M.#.. + 12dd0: 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX + 12de0: 54000200 003a2d08 656e645f 706f696e T....:-.end_poin + 12df0: 74000000 12de0223 00086874 635f666c t......#..htc_fl + 12e00: 61677300 000012de 02230100 09687463 ags......#...htc + 12e10: 5f68616e 646c655f 74000000 040d0948 _handle_t......H + 12e20: 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET + 12e30: 455f4342 00000001 11094854 435f434f E_CB......HTC_CO + 12e40: 4e464947 00000039 80030000 3a5a0400 NFIG...9....:Z.. + 12e50: 0600003a 2d010300 003a7104 00020103 ...:-....:q..... + 12e60: 00003a7e 04000948 54435f53 45525649 ..:~...HTC_SERVI + 12e70: 43450000 0037c103 00003a87 04000201 CE...7....:..... + 12e80: 0300003a 9f040002 01030000 3aa80400 ...:........:... + 12e90: 02010300 003ab104 00060000 01180103 .....:.......... + 12ea0: 00003aba 04000768 74635f61 70697300 ..:....htc_apis. + 12eb0: 3400003c 37085f48 54435f49 6e697400 4..<7._HTC_Init. + 12ec0: 00003a77 02230008 5f485443 5f536875 ..:w.#.._HTC_Shu + 12ed0: 74646f77 6e000000 3a800223 04085f48 tdown...:..#.._H + 12ee0: 54435f52 65676973 74657253 65727669 TC_RegisterServi + 12ef0: 63650000 003aa102 2308085f 4854435f ce...:..#.._HTC_ + 12f00: 52656164 79000000 3a800223 0c085f48 Ready...:..#.._H + 12f10: 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers + 12f20: 0000003a aa022310 085f4854 435f5265 ...:..#.._HTC_Re + 12f30: 7475726e 42756666 6572734c 69737400 turnBuffersList. + 12f40: 00003ab3 02231408 5f485443 5f53656e ..:..#.._HTC_Sen + 12f50: 644d7367 0000003a aa022318 085f4854 dMsg...:..#.._HT + 12f60: 435f4765 74526573 65727665 64486561 C_GetReservedHea + 12f70: 64726f6f 6d000000 3ac00223 1c085f48 droom...:..#.._H + 12f80: 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle + 12f90: 72000000 285e0223 20085f48 54435f53 r...(^.# ._HTC_S + 12fa0: 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. + 12fb0: 00285502 2324085f 4854435f 436f6e74 .(U.#$._HTC_Cont + 12fc0: 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg + 12fd0: 00000039 55022328 085f4854 435f436f ...9U.#(._HTC_Co + 12fe0: 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS + 12ff0: 656e6443 6f6d706c 65746500 0000395e endComplete...9^ + 13000: 02232c08 70526573 65727665 64000000 .#,.pReserved... + 13010: 040d0223 30000768 6f73745f 6170705f ...#0..host_app_ + 13020: 61726561 5f730004 00003c67 08776d69 area_s......tu + 131c0: 706c654e 756d4c00 00001cac 02230008 pleNumL......#.. + 131d0: 7475706c 654e756d 48000000 1cac0223 tupleNumH......# + 131e0: 02086176 74000000 3dcb0223 04001201 ..avt...=..#.... + 131f0: 00003e31 08626561 636f6e50 656e6469 ..>1.beaconPendi + 13200: 6e67436f 756e7400 00001684 02230000 ngCount......#.. + 13210: 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG + 13220: 00100000 3e9a0848 74634861 6e646c65 ....>..HtcHandle + 13230: 0000003a 2d022300 08506f6f 6c48616e ...:-.#..PoolHan + 13240: 646c6500 0000364d 02230408 4d617843 dle...6M.#..MaxC + 13250: 6d645265 706c7945 76747300 00000118 mdReplyEvts..... + 13260: 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts + 13270: 00000001 1802230c 00020103 00003e9a ......#.......>. + 13280: 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL + 13290: 45520000 003e9c07 5f574d49 5f444953 ER...>.._WMI_DIS + 132a0: 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? + 132b0: 03087043 6d644861 6e646c65 72000000 ..pCmdHandler... + 132c0: 3ea30223 0008436d 64494400 000012f2 >..#..CmdID..... + 132d0: 02230408 466c6167 73000000 12f20223 .#..Flags......# + 132e0: 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH + 132f0: 5f544142 4c450010 00003f64 08704e65 _TABLE....?d.pNe + 13300: 78740000 003f6402 23000870 436f6e74 xt...?d.#..pCont + 13310: 65787400 0000040d 02230408 4e756d62 ext......#..Numb + 13320: 65724f66 456e7472 69657300 00000118 erOfEntries..... + 13330: 02230808 70546162 6c650000 003f8302 .#..pTable...?.. + 13340: 230c0003 00003f03 04000957 4d495f44 #.....?....WMI_D + 13350: 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... + 13360: 3eb80300 003f6b04 00030000 3f030400 >....?k.....?... + 13370: 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT + 13380: 00000039 f10d574d 495f4556 545f434c ...9..WMI_EVT_CL + 13390: 41535300 04000040 1b19574d 495f4556 ASS....@..WMI_EV + 133a0: 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... + 133b0: ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 133c0: 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ + 133d0: 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE + 133e0: 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL + 133f0: 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E + 13400: 56545f43 4c415353 0000003f a6075f57 VT_CLASS...?.._W + 13410: 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. + 13420: 00004079 08487463 42756643 74780000 ..@y.HtcBufCtx.. + 13430: 003f9102 23000845 76656e74 436c6173 .?..#..EventClas + 13440: 73000000 401b0223 0408466c 61677300 s...@..#..Flags. + 13450: 000012f2 02230800 09776d69 5f68616e .....#...wmi_han + 13460: 646c655f 74000000 040d0957 4d495f53 dle_t......WMI_S + 13470: 56435f43 4f4e4649 47000000 3e310300 VC_CONFIG...>1.. + 13480: 00408b04 00060000 40790103 000040a6 .@......@y....@. + 13490: 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 134a0: 5441424c 45000000 3f030300 0040b304 TABLE...?....@.. + 134b0: 00020103 000040d2 04000600 00264901 ......@......&I. + 134c0: 03000040 db040002 01030000 40e80400 ...@........@... + 134d0: 06000001 18010300 0040f104 00020103 .........@...... + 134e0: 000040fe 04000600 0012de01 03000041 ..@............A + 134f0: 07040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api + 13500: 73002c00 00424f08 5f574d49 5f496e69 s.,..BO._WMI_Ini + 13510: 74000000 40ac0223 00085f57 4d495f52 t...@..#.._WMI_R + 13520: 65676973 74657244 69737061 74636854 egisterDispatchT + 13530: 61626c65 00000040 d4022304 085f574d able...@..#.._WM + 13540: 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ + 13550: e1022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv + 13560: 656e7400 000040ea 02230c08 5f574d49 ent...@..#.._WMI + 13570: 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent + 13580: 73436f75 6e740000 0040f702 2310085f sCount...@..#.._ + 13590: 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete + 135a0: 48616e64 6c657200 0000395e 02231408 Handler...9^.#.. + 135b0: 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE + 135c0: 70000000 40f70223 18085f57 4d495f53 p...@..#.._WMI_S + 135d0: 68757464 6f776e00 00004100 02231c08 hutdown...A..#.. + 135e0: 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage + 135f0: 48616e64 6c657200 00003955 02232008 Handler...9U.# . + 13600: 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn + 13610: 65637400 0000410d 02232408 70526573 ect...A..#$.pRes + 13620: 65727665 64000000 040d0223 2800077a erved......#(..z + 13630: 73446d61 44657363 00140000 42d10863 sDmaDesc....B..c + 13640: 74726c00 0000017c 02230008 73746174 trl....|.#..stat + 13650: 75730000 00017c02 23020874 6f74616c us....|.#..total + 13660: 4c656e00 0000017c 02230408 64617461 Len....|.#..data + 13670: 53697a65 00000001 7c022306 086c6173 Size....|.#..las + 13680: 74416464 72000000 42d10223 08086461 tAddr...B..#..da + 13690: 74614164 64720000 0001a002 230c086e taAddr......#..n + 136a0: 65787441 64647200 000042d1 02231000 extAddr...B..#.. + 136b0: 03000042 4f040003 0000424f 0400077a ...BO.....BO...z + 136c0: 73446d61 51756575 65000800 00431108 sDmaQueue....C.. + 136d0: 68656164 00000042 d8022300 08746572 head...B..#..ter + 136e0: 6d696e61 746f7200 000042d8 02230400 minator...B..#.. + 136f0: 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 13700: 00437508 68656164 00000042 d8022300 .Cu.head...B..#. + 13710: 08746572 6d696e61 746f7200 000042d8 .terminator...B. + 13720: 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h + 13730: 65616400 0000143d 02230808 786d6974 ead....=.#..xmit + 13740: 65645f62 75665f74 61696c00 0000143d ed_buf_tail....= + 13750: 02230c00 02010300 00437504 00030000 .#.......Cu..... + 13760: 42df0400 02010300 00438504 00030000 B........C...... + 13770: 43110400 02010300 00439504 00020103 C........C...... + 13780: 0000439e 04000201 03000043 a7040006 ..C........C.... + 13790: 0000143d 01030000 43b00400 02010300 ...=....C....... + 137a0: 0043bd04 00060000 143d0103 000043c6 .C.......=....C. + 137b0: 04000201 03000043 d3040006 00000118 .......C........ + 137c0: 01030000 43dc0400 06000042 d8010300 ....C......B.... + 137d0: 0043e904 00020103 000043f6 04000764 .C........C....d + 137e0: 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 137f0: 00456c08 5f696e69 74000000 43770223 .El._init...Cw.# + 13800: 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue + 13810: 00000043 87022304 085f696e 69745f74 ...C..#.._init_t + 13820: 785f7175 65756500 00004397 02230808 x_queue...C..#.. + 13830: 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 13840: 00000043 a002230c 085f786d 69745f62 ...C..#.._xmit_b + 13850: 75660000 0043a902 2310085f 666c7573 uf...C..#.._flus + 13860: 685f786d 69740000 00438702 2314085f h_xmit...C..#.._ + 13870: 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 13880: 43b60223 18085f72 65747572 6e5f7265 C..#.._return_re + 13890: 63765f62 75660000 0043bf02 231c085f cv_buf...C..#.._ + 138a0: 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 138b0: 000043cc 02232008 5f737761 705f6461 ..C..# ._swap_da + 138c0: 74610000 0043d502 2324085f 6861735f ta...C..#$._has_ + 138d0: 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 138e0: 43e20223 28085f64 6573635f 64756d70 C..#(._desc_dump + 138f0: 00000043 8702232c 085f6765 745f7061 ...C..#,._get_pa + 13900: 636b6574 00000043 ef022330 085f7265 cket...C..#0._re + 13910: 636c6169 6d5f7061 636b6574 00000043 claim_packet...C + 13920: f8022334 085f7075 745f7061 636b6574 ..#4._put_packet + 13930: 00000043 f8022338 08705265 73657276 ...C..#8.pReserv + 13940: 65640000 00040d02 233c0009 5f415f63 ed......#<.._A_c + 13950: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 13960: 5f746162 6c655f74 00000030 ab09574d _table_t...0..WM + 13970: 495f5356 435f4150 49530000 00411417 I_SVC_APIS...A.. + 13980: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 13990: 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. + 139a0: 469a0863 6d6e6f73 00000045 6c022300 F..cmnos...El.#. + 139b0: 08646267 00000003 da0323b8 03086869 .dbg......#...hi + 139c0: 66000000 29540323 c0030868 74630000 f...)T.#...htc.. + 139d0: 003ac703 23f80308 776d695f 7376635f .:..#...wmi_svc_ + 139e0: 61706900 0000458e 0323ac04 08757362 api...E..#...usb + 139f0: 6669666f 5f617069 00000032 7f0323d8 fifo_api...2..#. + 13a00: 04086275 665f706f 6f6c0000 00372803 ..buf_pool...7(. + 13a10: 23e40408 76627566 00000014 67032380 #...vbuf....g.#. + 13a20: 05087664 65736300 00001349 03239405 ..vdesc....I.#.. + 13a30: 08616c6c 6f637261 6d000000 093f0323 .allocram....?.# + 13a40: a8050864 6d615f65 6e67696e 65000000 ...dma_engine... + 13a50: 43ff0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. + 13a60: 002be803 23f40508 6869665f 70636900 .+..#...hif_pci. + 13a70: 00002e48 0323a806 00095f41 5f6d6167 ...H.#...._A_mag + 13a80: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 13a90: 7461626c 655f7400 000045a0 06000007 table_t...E..... + 13aa0: fd010300 0001b504 00060000 07fd011a ................ + 13ab0: 6565705f 73746174 65000000 07fd0503 eep_state....... + 13ac0: 00500978 011a6565 705f6578 69737400 .P.x..eep_exist. + 13ad0: 000007fd 05030050 097a010f 0000011f .......P.z...... + 13ae0: 06000047 09100500 03000046 fc040004 ...G.......F.... + 13af0: 6c6f6e67 20696e74 00050409 696e7433 long int....int3 + 13b00: 325f7400 00004710 1b000001 181b0000 2_t...G......... + 13b10: 01a01b00 0001a003 00004733 04000300 ..........G3.... + 13b20: 000f4204 0002011c 012d636d 6e6f735f ..B......-cmnos_ + 13b30: 65657072 6f6d5f77 72697465 5f68776f eeprom_write_hwo + 13b40: 72640000 0007fd01 01039201 20029000 rd.......... ... + 13b50: 008e1da0 008e1df7 0000479c 1d012d6f ..........G...-o + 13b60: 66667365 74000000 01920152 1d012d64 ffset......R..-d + 13b70: 61746100 00000192 0153001c 015a636d ata......S...Zcm + 13b80: 6e6f735f 65657072 6f6d5f72 6561645f nos_eeprom_read_ + 13b90: 68776f72 64000000 07fd0101 03920120 hword.......... + 13ba0: 02900000 8e1df800 8e1e2300 0047fe1d ..........#..G.. + 13bb0: 015a6f66 66736574 00000001 9201521d .Zoffset......R. + 13bc0: 015a6d44 61746100 00000f21 01531e6d .ZmData....!.S.m + 13bd0: 53747344 61746100 000001b5 001c017a StsData........z + 13be0: 636d6e6f 735f6565 705f6973 5f657869 cmnos_eep_is_exi + 13bf0: 73740000 000f1201 01039201 20029000 st.......... ... + 13c00: 008e1e24 008e1e5b 00004839 1e6d4461 ...$...[..H9.mDa + 13c10: 74610000 00019200 1c019963 6d6e6f73 ta.........cmnos + 13c20: 5f656570 5f777269 74650000 000f1201 _eep_write...... + 13c30: 01039201 20029000 008e1e5c 008e1ebc .... ......\.... + 13c40: 000048dc 1d01996f 66667365 74000000 ..H....offset... + 13c50: 01920152 1d01996c 656e0000 00019201 ...R...len...... + 13c60: 531d0199 70427566 0000000f 2101541e S...pBuf....!.T. + 13c70: 70446174 61000000 0f211e65 65705f73 pData....!.eep_s + 13c80: 74617274 5f6f6673 74000000 01921e65 tart_ofst......e + 13c90: 65705f65 6e645f6f 66737400 00000192 ep_end_ofst..... + 13ca0: 1e726574 56616c00 00000f12 1e690000 .retVal......i.. + 13cb0: 0001921e 6a000000 0192001c 01c7636d ....j.........cm + 13cc0: 6e6f735f 6565705f 72656164 0000000f nos_eep_read.... + 13cd0: 12010103 92012002 9000008e 1ebc008e ...... ......... + 13ce0: 1f010000 49771d01 c76f6666 73657400 ....Iw...offset. + 13cf0: 00000192 01521d01 c76c656e 00000001 .....R...len.... + 13d00: 9201531d 01c77042 75660000 000f2101 ..S...pBuf....!. + 13d10: 541e6d44 61746100 00000f21 1e656570 T.mData....!.eep + 13d20: 5f737461 72745f6f 66737400 00000192 _start_ofst..... + 13d30: 1e656570 5f656e64 5f6f6673 74000000 .eep_end_ofst... + 13d40: 01921e72 65745661 6c000000 0f121e69 ...retVal......i + 13d50: 00000001 92001f01 f9636d6e 6f735f65 .........cmnos_e + 13d60: 65705f69 6e697400 01010392 01300290 ep_init......0.. + 13d70: 00008e1f 04008e21 6c000049 c9206900 .......!l..I. i. + 13d80: 0000471c 02915020 7265675f 76616c75 ..G...P reg_valu + 13d90: 65000000 47290291 541e6d53 74734461 e...G)..T.mStsDa + 13da0: 74610000 0001b500 210101a0 636d6e6f ta......!...cmno + 13db0: 735f6565 705f6d6f 64756c65 5f696e73 s_eep_module_ins + 13dc0: 74616c6c 00010103 92012002 9000008e tall...... ..... + 13dd0: 216c008e 21852201 01a07462 6c000000 !l..!."...tbl... + 13de0: 473f0152 00000000 004ae500 02000008 G?.R.....J...... + 13df0: df04012f 726f6f74 2f576f72 6b737061 .../root/Workspa + 13e00: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13e10: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13e20: 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. + 13e30: 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil + 13e40: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 13e50: 2f636d6e 6f732f69 6e74722f 7372632f /cmnos/intr/src/ + 13e60: 636d6e6f 735f696e 74722e63 002f726f cmnos_intr.c./ro + 13e70: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 13e80: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 13e90: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 13ea0: 636d6e6f 732f696e 74720078 742d7863 cmnos/intr.xt-xc + 13eb0: 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 13ec0: 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 13ed0: 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - + 13ee0: 4f50543a 73706163 65000100 000051dc OPT:space.....Q. + 13ef0: 02010300 00010904 0004696e 74000504 ..........int... + 13f00: 04636861 72000701 05000001 19050000 .char........... + 13f10: 01190300 00012604 00060000 01120103 ......&......... + 13f20: 00000132 04000770 72696e74 665f6170 ...2...printf_ap + 13f30: 69000800 00017608 5f707269 6e74665f i.....v._printf_ + 13f40: 696e6974 00000001 0b022300 085f7072 init......#.._pr + 13f50: 696e7466 00000001 38022304 00047368 intf....8.#...sh + 13f60: 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 13f70: 00070209 75696e74 31365f74 00000001 ....uint16_t.... + 13f80: 76046c6f 6e672075 6e736967 6e656420 v.long unsigned + 13f90: 696e7400 07040975 696e7433 325f7400 int....uint32_t. + 13fa0: 0000019a 07756172 745f6669 666f0008 .....uart_fifo.. + 13fb0: 00000208 08737461 72745f69 6e646578 .....start_index + 13fc0: 00000001 8c022300 08656e64 5f696e64 ......#..end_ind + 13fd0: 65780000 00018c02 2302086f 76657272 ex......#..overr + 13fe0: 756e5f65 72720000 0001af02 23040007 un_err......#... + 13ff0: 75617274 5f617069 00200000 02c1085f uart_api. ....._ + 14000: 75617274 5f696e69 74000000 03180223 uart_init......# + 14010: 00085f75 6172745f 63686172 5f707574 .._uart_char_put + 14020: 00000003 3f022304 085f7561 72745f63 ....?.#.._uart_c + 14030: 6861725f 67657400 00000353 02230808 har_get....S.#.. + 14040: 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 14050: 035c0223 0c085f75 6172745f 7461736b .\.#.._uart_task + 14060: 00000001 0b022310 085f7561 72745f73 ......#.._uart_s + 14070: 74617475 73000000 03180223 14085f75 tatus......#.._u + 14080: 6172745f 636f6e66 69670000 00036502 art_config....e. + 14090: 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. + 140a0: 0000036e 02231c00 03000002 08040007 ...n.#.......... + 140b0: 75617274 5f626c6b 00100000 03120864 uart_blk.......d + 140c0: 65627567 5f6d6f64 65000000 018c0223 ebug_mode......# + 140d0: 00086261 75640000 00018c02 2302085f ..baud......#.._ + 140e0: 75617274 00000002 c1022304 085f7478 uart......#.._tx + 140f0: 00000001 bd022308 00060000 01af0103 ......#......... + 14100: 00000312 04000475 6e736967 6e656420 .......unsigned + 14110: 63686172 00070109 75696e74 385f7400 char....uint8_t. + 14120: 0000031f 02010300 00033d04 00030000 ..........=..... + 14130: 03300400 06000001 8c010300 00034d04 .0............M. + 14140: 00020103 0000035a 04000201 03000003 .......Z........ + 14150: 63040002 01030000 036c0400 03000001 c........l...... + 14160: 19040006 00000112 01030000 037c0400 .............|.. + 14170: 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU + 14180: 4354000c 000003d4 08636d64 5f737472 CT.......cmd_str + 14190: 00000003 75022300 0868656c 705f7374 ....u.#..help_st + 141a0: 72000000 03750223 0408636d 645f6675 r....u.#..cmd_fu + 141b0: 6e630000 00038202 23080007 6462675f nc......#...dbg_ + 141c0: 61706900 08000004 07085f64 62675f69 api......._dbg_i + 141d0: 6e697400 0000010b 02230008 5f646267 nit......#.._dbg + 141e0: 5f746173 6b000000 010b0223 04000a04 _task......#.... + 141f0: 0004756e 7369676e 65642069 6e740007 ..unsigned int.. + 14200: 04060000 04070103 0000041a 04000b0b ................ + 14210: 03000004 28040006 00000407 01030000 ....(........... + 14220: 04300400 06000001 12010300 00043d04 .0............=. + 14230: 00076d65 6d5f6170 69001400 0004ac08 ..mem_api....... + 14240: 5f6d656d 5f696e69 74000000 010b0223 _mem_init......# + 14250: 00085f6d 656d7365 74000000 04200223 .._memset.... .# + 14260: 04085f6d 656d6370 79000000 04360223 .._memcpy....6.# + 14270: 08085f6d 656d6d6f 76650000 00043602 .._memmove....6. + 14280: 230c085f 6d656d63 6d700000 00044302 #.._memcmp....C. + 14290: 23100007 72656769 73746572 5f64756d #...register_dum + 142a0: 705f7300 90000005 13087461 72676574 p_s.......target + 142b0: 5f696400 000001af 02230008 6173736c _id......#..assl + 142c0: 696e6500 000001af 02230408 70630000 ine......#..pc.. + 142d0: 0001af02 23080862 61647661 64647200 ....#..badvaddr. + 142e0: 000001af 02230c08 6578635f 6672616d .....#..exc_fram + 142f0: 65000000 482f0223 10000300 0004ac04 e...H/.#........ + 14300: 00020103 0000051a 04000201 03000005 ................ + 14310: 23040006 00000112 01030000 052c0400 #............,.. + 14320: 0c686f73 7469665f 73000400 0005880d .hostif_s....... + 14330: 4849465f 55534200 000d4849 465f5043 HIF_USB...HIF_PC + 14340: 49450001 0d484946 5f474d41 4300020d IE...HIF_GMAC... + 14350: 4849465f 50434900 030d4849 465f4e55 HIF_PCI...HIF_NU + 14360: 4d00040d 4849465f 4e4f4e45 00050009 M...HIF_NONE.... + 14370: 415f484f 53544946 00000005 39060000 A_HOSTIF....9... + 14380: 05880103 00000596 04000600 00033001 ..............0. + 14390: 03000005 a3040006 0000018c 01030000 ................ + 143a0: 05b00400 076d6973 635f6170 69002400 .....misc_api.$. + 143b0: 0006a008 5f737973 74656d5f 72657365 ...._system_rese + 143c0: 74000000 010b0223 00085f6d 61635f72 t......#.._mac_r + 143d0: 65736574 00000001 0b022304 085f6173 eset......#.._as + 143e0: 73666169 6c000000 051c0223 08085f6d sfail......#.._m + 143f0: 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 14400: 616e646c 65720000 00051c02 230c085f andler......#.._ + 14410: 7265706f 72745f66 61696c75 72655f74 report_failure_t + 14420: 6f5f686f 73740000 00052502 2310085f o_host....%.#.._ + 14430: 74617267 65745f69 645f6765 74000000 target_id_get... + 14440: 05320223 14085f69 735f686f 73745f70 .2.#.._is_host_p + 14450: 72657365 6e740000 00059c02 2318085f resent......#.._ + 14460: 6b626869 74000000 05a90223 1c085f72 kbhit......#.._r + 14470: 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 14480: 0005b602 23200006 00000375 01030000 ....# .....u.... + 14490: 06a00400 06000003 75010300 0006ad04 ........u....... + 144a0: 00060000 01120103 000006ba 04000600 ................ + 144b0: 00011201 03000006 c7040006 00000112 ................ + 144c0: 01030000 06d40400 07737472 696e675f .........string_ + 144d0: 61706900 18000007 5a085f73 7472696e api.....Z._strin + 144e0: 675f696e 69740000 00010b02 2300085f g_init......#.._ + 144f0: 73747263 70790000 0006a602 2304085f strcpy......#.._ + 14500: 7374726e 63707900 000006b3 02230808 strncpy......#.. + 14510: 5f737472 6c656e00 000006c0 02230c08 _strlen......#.. + 14520: 5f737472 636d7000 000006cd 02231008 _strcmp......#.. + 14530: 5f737472 6e636d70 00000006 da022314 _strncmp......#. + 14540: 000e0000 040a1400 0007670f 0400095f ..........g...._ + 14550: 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 14560: 075a0941 5f74696d 65725f74 00000007 .Z.A_timer_t.... + 14570: 67030000 077b0400 02010300 00079104 g....{.......... + 14580: 00020103 0000079a 04000941 5f48414e ...........A_HAN + 14590: 444c4500 0000040a 02010941 5f54494d DLE........A_TIM + 145a0: 45525f46 554e4300 000007b1 03000007 ER_FUNC......... + 145b0: b3040002 01030000 07cc0400 0774696d .............tim + 145c0: 65725f61 70690014 0000084b 085f7469 er_api.....K._ti + 145d0: 6d65725f 696e6974 00000001 0b022300 mer_init......#. + 145e0: 085f7469 6d65725f 61726d00 00000793 ._timer_arm..... + 145f0: 02230408 5f74696d 65725f64 69736172 .#.._timer_disar + 14600: 6d000000 079c0223 08085f74 696d6572 m......#.._timer + 14610: 5f736574 666e0000 0007ce02 230c085f _setfn......#.._ + 14620: 74696d65 725f7275 6e000000 010b0223 timer_run......# + 14630: 10000942 4f4f4c45 414e0000 00018c06 ...BOOLEAN...... + 14640: 0000084b 01030000 08580400 06000008 ...K.....X...... + 14650: 4b010300 00086504 00060000 084b0103 K.....e......K.. + 14660: 00000872 04000772 6f6d705f 61706900 ...r...romp_api. + 14670: 10000008 e4085f72 6f6d705f 696e6974 ......_romp_init + 14680: 00000001 0b022300 085f726f 6d705f64 ......#.._romp_d + 14690: 6f776e6c 6f616400 0000085e 02230408 ownload....^.#.. + 146a0: 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 146b0: 086b0223 08085f72 6f6d705f 6465636f .k.#.._romp_deco + 146c0: 64650000 00087802 230c0007 726f6d5f de....x.#...rom_ + 146d0: 70617463 685f7374 00100000 09400863 patch_st.....@.c + 146e0: 72633136 00000001 8c022300 086c656e rc16......#..len + 146f0: 00000001 8c022302 086c645f 61646472 ......#..ld_addr + 14700: 00000001 af022304 0866756e 5f616464 ......#..fun_add + 14710: 72000000 01af0223 08087066 756e0000 r......#..pfun.. + 14720: 00034602 230c0007 6565705f 72656469 ..F.#...eep_redi + 14730: 725f6164 64720004 00000972 086f6666 r_addr.....r.off + 14740: 73657400 0000018c 02230008 73697a65 set......#..size + 14750: 00000001 8c022302 0009415f 55494e54 ......#...A_UINT + 14760: 33320000 00040a06 00000407 01030000 32.............. + 14770: 09800400 07616c6c 6f637261 6d5f6170 .....allocram_ap + 14780: 69000c00 0009f108 636d6e6f 735f616c i.......cmnos_al + 14790: 6c6f6372 616d5f69 6e697400 00000986 locram_init..... + 147a0: 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 147b0: 616d0000 00098602 23040863 6d6e6f73 am......#..cmnos + 147c0: 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 147d0: 0000010b 02230800 02010300 0009f104 .....#.......... + 147e0: 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 147f0: 00000009 f3075f74 61736b6c 65740010 ......_tasklet.. + 14800: 00000a52 0866756e 63000000 09fa0223 ...R.func......# + 14810: 00086172 67000000 04070223 04087374 ..arg......#..st + 14820: 61746500 00000112 02230808 6e657874 ate......#..next + 14830: 0000000a 5202230c 00030000 0a0e0400 ....R.#......... + 14840: 0300000a 0e040009 415f7461 736b6c65 ........A_taskle + 14850: 745f7400 00000a0e 0300000a 60040002 t_t.........`... + 14860: 01030000 0a780400 02010300 000a8104 .....x.......... + 14870: 00077461 736b6c65 745f6170 69001400 ..tasklet_api... + 14880: 000b1608 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 14890: 74000000 010b0223 00085f74 61736b6c t......#.._taskl + 148a0: 65745f69 6e69745f 7461736b 0000000a et_init_task.... + 148b0: 7a022304 085f7461 736b6c65 745f6469 z.#.._tasklet_di + 148c0: 7361626c 65000000 0a830223 08085f74 sable......#.._t + 148d0: 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 148e0: 00000a83 02230c08 5f746173 6b6c6574 .....#.._tasklet + 148f0: 5f72756e 00000001 0b022310 00020103 _run......#..... + 14900: 00000b16 04000600 00097201 0300000b ..........r..... + 14910: 1f040002 01030000 0b2c0400 07636c6f .........,...clo + 14920: 636b5f61 70690024 00000c0e 085f636c ck_api.$....._cl + 14930: 6f636b5f 696e6974 0000000b 18022300 ock_init......#. + 14940: 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init + 14950: 00000001 0b022304 085f7561 72745f66 ......#.._uart_f + 14960: 72657175 656e6379 0000000b 25022308 requency....%.#. + 14970: 085f6465 6c61795f 75730000 000b2e02 ._delay_us...... + 14980: 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se + 14990: 74000000 0b2e0223 10085f72 6566636c t......#.._refcl + 149a0: 6b5f7370 6565645f 67657400 00000b25 k_speed_get....% + 149b0: 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond + 149c0: 73000000 0b250223 18085f73 7973636c s....%.#.._syscl + 149d0: 6b5f6368 616e6765 00000001 0b02231c k_change......#. + 149e0: 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... + 149f0: 0b022320 00060000 01af0103 00000c0e ..# ............ + 14a00: 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. + 14a10: 000001af 0600000c 1b010300 000c2d04 ..............-. + 14a20: 00020103 00000c3a 04000201 0300000c .......:........ + 14a30: 43040006 000001af 01030000 0c4c0400 C............L.. + 14a40: 09415f69 73725f74 0000000c 52020103 .A_isr_t....R... + 14a50: 00000c66 04000600 00040a01 0300000c ...f............ + 14a60: 6f040002 01030000 0c7c0400 07696e74 o........|...int + 14a70: 725f6170 69002c00 000d9e08 5f696e74 r_api.,....._int + 14a80: 725f696e 69740000 00010b02 2300085f r_init......#.._ + 14a90: 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. + 14aa0: 00000c14 02230408 5f696e74 725f6469 .....#.._intr_di + 14ab0: 7361626c 65000000 0c330223 08085f69 sable....3.#.._i + 14ac0: 6e74725f 72657374 6f726500 00000c3c ntr_restore....< + 14ad0: 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i + 14ae0: 6e756d00 00000c45 02231008 5f696e74 num....E.#.._int + 14af0: 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... + 14b00: 0c450223 14085f69 6e74725f 61747461 .E.#.._intr_atta + 14b10: 63685f69 73720000 000c6802 2318085f ch_isr....h.#.._ + 14b20: 6765745f 696e7472 656e6162 6c650000 get_intrenable.. + 14b30: 000c7502 231c085f 7365745f 696e7472 ..u.#.._set_intr + 14b40: 656e6162 6c650000 000c7e02 2320085f enable....~.# ._ + 14b50: 6765745f 696e7472 70656e64 696e6700 get_intrpending. + 14b60: 00000c75 02232408 5f756e62 6c6f636b ...u.#$._unblock + 14b70: 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... + 14b80: 0b022328 00100400 000dc408 74696d65 ..#(........time + 14b90: 6f757400 000001af 02230008 61637469 out......#..acti + 14ba0: 6f6e0000 0001af02 23000011 0800000d on......#....... + 14bb0: df08636d 64000000 01af0223 00120000 ..cmd......#.... + 14bc0: 0d9e0223 04000954 5f574454 5f434d44 ...#...T_WDT_CMD + 14bd0: 0000000d c4020103 00000dee 04001304 ................ + 14be0: 00000e44 0d454e55 4d5f5744 545f424f ...D.ENUM_WDT_BO + 14bf0: 4f540001 0d454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B + 14c00: 4f4f5400 020d454e 554d5f53 5553505f OOT...ENUM_SUSP_ + 14c10: 424f4f54 00030d45 4e554d5f 554e4b4e BOOT...ENUM_UNKN + 14c20: 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO + 14c30: 4f545f54 59504500 00000df7 0600000e OT_TYPE......... + 14c40: 44010300 000e5504 00077764 745f6170 D.....U...wdt_ap + 14c50: 69001c00 000ef908 5f776474 5f696e69 i......._wdt_ini + 14c60: 74000000 010b0223 00085f77 64745f65 t......#.._wdt_e + 14c70: 6e61626c 65000000 010b0223 04085f77 nable......#.._w + 14c80: 64745f64 69736162 6c650000 00010b02 dt_disable...... + 14c90: 2308085f 7764745f 73657400 00000df0 #.._wdt_set..... + 14ca0: 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... + 14cb0: 010b0223 10085f77 64745f72 65736574 ...#.._wdt_reset + 14cc0: 00000001 0b022314 085f7764 745f6c61 ......#.._wdt_la + 14cd0: 73745f62 6f6f7400 00000e5b 02231800 st_boot....[.#.. + 14ce0: 13040000 0f600d52 45545f53 55434345 .....`.RET_SUCCE + 14cf0: 53530000 0d524554 5f4e4f54 5f494e49 SS...RET_NOT_INI + 14d00: 5400010d 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS + 14d10: 5400020d 5245545f 4545505f 434f5252 T...RET_EEP_CORR + 14d20: 55505400 030d5245 545f4545 505f4f56 UPT...RET_EEP_OV + 14d30: 4552464c 4f570004 0d524554 5f554e4b ERFLOW...RET_UNK + 14d40: 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE + 14d50: 54000000 0ef90300 00018c04 00060000 T............... + 14d60: 0f600103 00000f76 04000600 000f6001 .`.....v......`. + 14d70: 0300000f 83040007 6565705f 61706900 ........eep_api. + 14d80: 1000000f ec085f65 65705f69 6e697400 ......_eep_init. + 14d90: 0000010b 02230008 5f656570 5f726561 .....#.._eep_rea + 14da0: 64000000 0f7c0223 04085f65 65705f77 d....|.#.._eep_w + 14db0: 72697465 0000000f 7c022308 085f6565 rite....|.#.._ee + 14dc0: 705f6973 5f657869 73740000 000f8902 p_is_exist...... + 14dd0: 230c0007 7573625f 61706900 70000012 #...usb_api.p... + 14de0: 99085f75 73625f69 6e697400 0000010b .._usb_init..... + 14df0: 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas + 14e00: 6b000000 010b0223 04085f75 73625f66 k......#.._usb_f + 14e10: 775f7461 736b0000 00010b02 2308085f w_task......#.._ + 14e20: 7573625f 696e6974 5f706879 00000001 usb_init_phy.... + 14e30: 0b02230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se + 14e40: 74757000 0000010b 02231008 5f757362 tup......#.._usb + 14e50: 5f657030 5f747800 0000010b 02231408 _ep0_tx......#.. + 14e60: 5f757362 5f657030 5f727800 0000010b _usb_ep0_rx..... + 14e70: 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int + 14e80: 65726661 63650000 00086b02 231c085f erface....k.#.._ + 14e90: 7573625f 7365745f 696e7465 72666163 usb_set_interfac + 14ea0: 65000000 086b0223 20085f75 73625f67 e....k.# ._usb_g + 14eb0: 65745f63 6f6e6669 67757261 74696f6e et_configuration + 14ec0: 00000008 6b022324 085f7573 625f7365 ....k.#$._usb_se + 14ed0: 745f636f 6e666967 75726174 696f6e00 t_configuration. + 14ee0: 0000086b 02232808 5f757362 5f737461 ...k.#(._usb_sta + 14ef0: 6e646172 645f636d 64000000 086b0223 ndard_cmd....k.# + 14f00: 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm + 14f10: 64000000 010b0223 30085f75 73625f70 d......#0._usb_p + 14f20: 6f776572 5f6f6666 00000001 0b022334 ower_off......#4 + 14f30: 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo + 14f40: 00000001 0b022338 085f7573 625f6765 ......#8._usb_ge + 14f50: 6e5f7764 74000000 010b0223 3c085f75 n_wdt......#<._u + 14f60: 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... + 14f70: 0b022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe + 14f80: 61747572 65000000 086b0223 44085f75 ature....k.#D._u + 14f90: 73625f73 65745f66 65617475 72650000 sb_set_feature.. + 14fa0: 00086b02 2348085f 7573625f 7365745f ..k.#H._usb_set_ + 14fb0: 61646472 65737300 0000086b 02234c08 address....k.#L. + 14fc0: 5f757362 5f676574 5f646573 63726970 _usb_get_descrip + 14fd0: 746f7200 0000086b 02235008 5f757362 tor....k.#P._usb + 14fe0: 5f676574 5f737461 74757300 0000086b _get_status....k + 14ff0: 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d + 15000: 65736300 0000010b 02235808 5f757362 esc......#X._usb + 15010: 5f726567 5f6f7574 00000001 0b02235c _reg_out......#\ + 15020: 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. + 15030: 0000010b 02236008 5f757362 5f657030 .....#`._usb_ep0 + 15040: 5f74785f 64617461 00000001 0b022364 _tx_data......#d + 15050: 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat + 15060: 61000000 010b0223 68085f75 73625f63 a......#h._usb_c + 15070: 6c6b5f69 6e697400 0000010b 02236c00 lk_init......#l. + 15080: 075f5644 45534300 24000013 25086e65 ._VDESC.$...%.ne + 15090: 78745f64 65736300 00001325 02230008 xt_desc....%.#.. + 150a0: 6275665f 61646472 00000013 39022304 buf_addr....9.#. + 150b0: 08627566 5f73697a 65000000 13400223 .buf_size....@.# + 150c0: 08086461 74615f6f 66667365 74000000 ..data_offset... + 150d0: 13400223 0a086461 74615f73 697a6500 .@.#..data_size. + 150e0: 00001340 02230c08 636f6e74 726f6c00 ...@.#..control. + 150f0: 00001340 02230e08 68775f64 6573635f ...@.#..hw_desc_ + 15100: 62756600 0000134e 02231000 03000012 buf....N.#...... + 15110: 99040009 415f5549 4e543800 0000031f ....A_UINT8..... + 15120: 03000013 2c040009 415f5549 4e543136 ....,...A_UINT16 + 15130: 00000001 760e0000 132c1400 00135b0f ....v....,....[. + 15140: 13000300 00129904 00095644 45534300 ..........VDESC. + 15150: 00001299 03000013 62040006 0000136d ........b......m + 15160: 01030000 13740400 06000013 39010300 .....t......9... + 15170: 00138104 00020103 0000138e 04000776 ...............v + 15180: 64657363 5f617069 00140000 1406085f desc_api......._ + 15190: 696e6974 0000000b 2e022300 085f616c init......#.._al + 151a0: 6c6f635f 76646573 63000000 137a0223 loc_vdesc....z.# + 151b0: 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. + 151c0: 00138702 2308085f 73776170 5f766465 ....#.._swap_vde + 151d0: 73630000 00139002 230c0870 52657365 sc......#..pRese + 151e0: 72766564 00000004 07022310 00075f56 rved......#..._V + 151f0: 42554600 20000014 66086465 73635f6c BUF. ...f.desc_l + 15200: 69737400 0000136d 02230008 6e657874 ist....m.#..next + 15210: 5f627566 00000014 66022304 08627566 _buf....f.#..buf + 15220: 5f6c656e 67746800 00001340 02230808 _length....@.#.. + 15230: 72657365 72766564 00000014 6d02230a reserved....m.#. + 15240: 08637478 00000013 4e02230c 00030000 .ctx....N.#..... + 15250: 14060400 0e000013 2c020000 147a0f01 ........,....z.. + 15260: 00030000 14060400 09564255 46000000 .........VBUF... + 15270: 14060300 00148104 00060000 148b0103 ................ + 15280: 00001492 04000600 00148b01 03000014 ................ + 15290: 9f040002 01030000 14ac0400 07766275 .............vbu + 152a0: 665f6170 69001400 00152a08 5f696e69 f_api.....*._ini + 152b0: 74000000 0b2e0223 00085f61 6c6c6f63 t......#.._alloc + 152c0: 5f766275 66000000 14980223 04085f61 _vbuf......#.._a + 152d0: 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s + 152e0: 697a6500 000014a5 02230808 5f667265 ize......#.._fre + 152f0: 655f7662 75660000 0014ae02 230c0870 e_vbuf......#..p + 15300: 52657365 72766564 00000004 07022310 Reserved......#. + 15310: 00075f5f 6164665f 64657669 63650004 ..__adf_device.. + 15320: 0000154c 0864756d 6d790000 00011202 ...L.dummy...... + 15330: 23000003 00000972 0400075f 5f616466 #......r...__adf + 15340: 5f646d61 5f6d6170 000c0000 15930862 _dma_map.......b + 15350: 75660000 00148b02 23000864 735f6164 uf......#..ds_ad + 15360: 64720000 00154c02 23040864 735f6c65 dr....L.#..ds_le + 15370: 6e000000 13400223 0800110c 000015cd n....@.#........ + 15380: 085f5f76 615f7374 6b000000 03750223 .__va_stk....u.# + 15390: 00085f5f 76615f72 65670000 00037502 ..__va_reg....u. + 153a0: 2304085f 5f76615f 6e647800 00000112 #..__va_ndx..... + 153b0: 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm + 153c0: 615f6164 64725f74 00000009 72096164 a_addr_t....r.ad + 153d0: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 153e0: 000015cd 095f5f61 64665f6f 735f646d .....__adf_os_dm + 153f0: 615f7369 7a655f74 00000009 72096164 a_size_t....r.ad + 15400: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 15410: 000015fd 075f5f64 6d615f73 65677300 .....__dma_segs. + 15420: 08000016 59087061 64647200 000015e6 ....Y.paddr..... + 15430: 02230008 6c656e00 00001616 02230400 .#..len......#.. + 15440: 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... + 15450: 09720961 5f75696e 7433325f 74000000 .r.a_uint32_t... + 15460: 16590e00 00162d08 00001688 0f000007 .Y....-......... + 15470: 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 15480: 666f000c 000016c1 086e7365 67730000 fo.......nsegs.. + 15490: 00166b02 23000864 6d615f73 65677300 ..k.#..dma_segs. + 154a0: 0000167b 02230400 095f5f61 5f75696e ...{.#...__a_uin + 154b0: 74385f74 00000013 2c09615f 75696e74 t8_t....,.a_uint + 154c0: 385f7400 000016c1 03000016 d2040007 8_t............. + 154d0: 5f5f7367 5f736567 73000800 00171308 __sg_segs....... + 154e0: 76616464 72000000 16e10223 00086c65 vaddr......#..le + 154f0: 6e000000 166b0223 04000e00 0016e820 n....k.#....... + 15500: 00001720 0f030007 6164665f 6f735f73 ... ....adf_os_s + 15510: 676c6973 74002400 00175308 6e736567 glist.$...S.nseg + 15520: 73000000 166b0223 00087367 5f736567 s....k.#..sg_seg + 15530: 73000000 17130223 04001110 0000179c s......#........ + 15540: 0876656e 646f7200 0000166b 02230008 .vendor....k.#.. + 15550: 64657669 63650000 00166b02 23040873 device....k.#..s + 15560: 75627665 6e646f72 00000016 6b022308 ubvendor....k.#. + 15570: 08737562 64657669 63650000 00166b02 .subdevice....k. + 15580: 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un + 15590: 7369676e 65642069 6e740007 0809415f signed int....A_ + 155a0: 55494e54 36340000 00179c09 5f5f615f UINT64......__a_ + 155b0: 75696e74 36345f74 00000017 b609615f uint64_t......a_ + 155c0: 75696e74 36345f74 00000017 c4130400 uint64_t........ + 155d0: 0018220d 4144465f 4f535f52 45534f55 ..".ADF_OS_RESOU + 155e0: 5243455f 54595045 5f4d454d 00000d41 RCE_TYPE_MEM...A + 155f0: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 15600: 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os + 15610: 5f726573 6f757263 655f7479 70655f74 _resource_type_t + 15620: 00000017 e6111800 00186c08 73746172 ..........l.star + 15630: 74000000 17d60223 0008656e 64000000 t......#..end... + 15640: 17d60223 08087479 70650000 00182202 ...#..type....". + 15650: 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d + 15660: 65765f69 645f7400 00001753 03000018 ev_id_t....S.... + 15670: 6c040010 04000018 ab087063 69000000 l.........pci... + 15680: 18850223 00087261 77000000 04070223 ...#..raw......# + 15690: 00001010 000018ca 08706369 00000018 .........pci.... + 156a0: 6c022300 08726177 00000004 07022300 l.#..raw......#. + 156b0: 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle + 156c0: 5f740000 00040709 6164665f 6f735f72 _t......adf_os_r + 156d0: 65736f75 7263655f 74000000 183e0300 esource_t....>.. + 156e0: 0018e004 00096164 665f6f73 5f617474 ......adf_os_att + 156f0: 6163685f 64617461 5f740000 0018ab03 ach_data_t...... + 15700: 000018fe 04000300 00152a04 00095f5f ..........*...__ + 15710: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 15720: 0000191f 09616466 5f6f735f 64657669 .....adf_os_devi + 15730: 63655f74 00000019 26060000 18ca0103 ce_t....&....... + 15740: 00001952 04000201 03000019 5f040009 ...R........_... + 15750: 6164665f 6f735f70 6d5f7400 00000407 adf_os_pm_t..... + 15760: 02010300 00197904 00130400 0019b90d ......y......... + 15770: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 15780: 50434900 010d4144 465f4f53 5f425553 PCI...ADF_OS_BUS + 15790: 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... + 157a0: 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type + 157b0: 5f740000 00198209 6164665f 6f735f62 _t......adf_os_b + 157c0: 75735f72 65675f64 6174615f 74000000 us_reg_data_t... + 157d0: 188c0300 00031f04 00075f61 64665f64 .........._adf_d + 157e0: 72765f69 6e666f00 2000001a 96086472 rv_info. .....dr + 157f0: 765f6174 74616368 00000019 58022300 v_attach....X.#. + 15800: 08647276 5f646574 61636800 00001961 .drv_detach....a + 15810: 02230408 6472765f 73757370 656e6400 .#..drv_suspend. + 15820: 0000197b 02230808 6472765f 72657375 ...{.#..drv_resu + 15830: 6d650000 00196102 230c0862 75735f74 me....a.#..bus_t + 15840: 79706500 000019b9 02231008 6275735f ype......#..bus_ + 15850: 64617461 00000019 d0022314 086d6f64 data......#..mod + 15860: 5f6e616d 65000000 19eb0223 18086966 _name......#..if + 15870: 6e616d65 00000019 eb02231c 00096164 name......#...ad + 15880: 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... + 15890: 04070300 0016c104 00020102 01095f5f ..............__ + 158a0: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 158b0: 040a1304 00001ae5 0d415f46 414c5345 .........A_FALSE + 158c0: 00000d41 5f545255 45000100 09615f62 ...A_TRUE....a_b + 158d0: 6f6f6c5f 74000000 1acb0300 00155304 ool_t.........S. + 158e0: 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m + 158f0: 61705f74 0000001a f302010c 6164665f ap_t........adf_ + 15900: 6f735f63 61636865 5f73796e 63000400 os_cache_sync... + 15910: 001b7d0d 4144465f 53594e43 5f505245 ..}.ADF_SYNC_PRE + 15920: 52454144 00000d41 44465f53 594e435f READ...ADF_SYNC_ + 15930: 50524557 52495445 00020d41 44465f53 PREWRITE...ADF_S + 15940: 594e435f 504f5354 52454144 00010d41 YNC_POSTREAD...A + 15950: 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT + 15960: 45000300 09616466 5f6f735f 63616368 E....adf_os_cach + 15970: 655f7379 6e635f74 0000001b 14020109 e_sync_t........ + 15980: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 15990: 1ab60600 001b9801 09616466 5f6f735f .........adf_os_ + 159a0: 646d615f 6d61705f 74000000 1afa0300 dma_map_t....... + 159b0: 001bb104 00060000 04070103 00001afa ................ + 159c0: 04000600 00040701 02010600 0015e601 ................ + 159d0: 02010473 686f7274 20696e74 00050209 ...short int.... + 159e0: 415f494e 54313600 00001beb 095f5f61 A_INT16......__a + 159f0: 5f696e74 31365f74 0000001b f809615f _int16_t......a_ + 15a00: 696e7431 365f7400 00001c05 04736967 int16_t......sig + 15a10: 6e656420 63686172 00050109 415f494e ned char....A_IN + 15a20: 54380000 001c2509 5f5f615f 696e7438 T8....%.__a_int8 + 15a30: 5f740000 001c3409 615f696e 74385f74 _t....4.a_int8_t + 15a40: 0000001c 40110c00 001cb708 73757070 ....@.......supp + 15a50: 6f727465 64000000 166b0223 00086164 orted....k.#..ad + 15a60: 76657274 697a6564 00000016 6b022304 vertized....k.#. + 15a70: 08737065 65640000 001c1602 23080864 .speed......#..d + 15a80: 75706c65 78000000 1c500223 0a086175 uplex....P.#..au + 15a90: 746f6e65 67000000 16d20223 0b000e00 toneg......#.... + 15aa0: 0016d206 00001cc4 0f050007 6164665f ............adf_ + 15ab0: 6e65745f 65746861 64647200 0600001c net_ethaddr..... + 15ac0: e8086164 64720000 001cb702 23000009 ..addr......#... + 15ad0: 5f5f615f 75696e74 31365f74 00000013 __a_uint16_t.... + 15ae0: 4009615f 75696e74 31365f74 0000001c @.a_uint16_t.... + 15af0: e8110e00 001d4c08 65746865 725f6468 ......L.ether_dh + 15b00: 6f737400 00001cb7 02230008 65746865 ost......#..ethe + 15b10: 725f7368 6f737400 00001cb7 02230608 r_shost......#.. + 15b20: 65746865 725f7479 70650000 001cfa02 ether_type...... + 15b30: 230c0011 1400001e 0d146970 5f766572 #.........ip_ver + 15b40: 73696f6e 00000016 d2010004 02230014 sion.........#.. + 15b50: 69705f68 6c000000 16d20104 04022300 ip_hl.........#. + 15b60: 0869705f 746f7300 000016d2 02230108 .ip_tos......#.. + 15b70: 69705f6c 656e0000 001cfa02 23020869 ip_len......#..i + 15b80: 705f6964 0000001c fa022304 0869705f p_id......#..ip_ + 15b90: 66726167 5f6f6666 0000001c fa022306 frag_off......#. + 15ba0: 0869705f 74746c00 000016d2 02230808 .ip_ttl......#.. + 15bb0: 69705f70 726f746f 00000016 d2022309 ip_proto......#. + 15bc0: 0869705f 63686563 6b000000 1cfa0223 .ip_check......# + 15bd0: 0a086970 5f736164 64720000 00166b02 ..ip_saddr....k. + 15be0: 230c0869 705f6461 64647200 0000166b #..ip_daddr....k + 15bf0: 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla + 15c00: 6e686472 00040000 1e5f0874 70696400 nhdr....._.tpid. + 15c10: 00001cfa 02230014 7072696f 00000016 .....#..prio.... + 15c20: d2010003 02230214 63666900 000016d2 .....#..cfi..... + 15c30: 01030102 23021476 69640000 001cfa02 ....#..vid...... + 15c40: 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v + 15c50: 69640002 00001e90 14726573 00000016 id.......res.... + 15c60: d2010004 02230014 76616c00 00001cfa .....#..val..... + 15c70: 02040c02 23000011 0c00001e cc087278 ....#.........rx + 15c80: 5f627566 73697a65 00000016 6b022300 _bufsize....k.#. + 15c90: 0872785f 6e646573 63000000 166b0223 .rx_ndesc....k.# + 15ca0: 04087478 5f6e6465 73630000 00166b02 ..tx_ndesc....k. + 15cb0: 23080011 0800001e f208706f 6c6c6564 #.........polled + 15cc0: 0000001a e5022300 08706f6c 6c5f7774 ......#..poll_wt + 15cd0: 00000016 6b022304 000e0000 16d24000 ....k.#.......@. + 15ce0: 001eff0f 3f001146 00001f27 0869665f ....?..F...'.if_ + 15cf0: 6e616d65 0000001e f2022300 08646576 name......#..dev + 15d00: 5f616464 72000000 1cb70223 40001304 _addr......#@... + 15d10: 00001f5e 0d414446 5f4f535f 444d415f ...^.ADF_OS_DMA_ + 15d20: 4d41534b 5f333242 49540000 0d414446 MASK_32BIT...ADF + 15d30: 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B + 15d40: 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma + 15d50: 5f6d6173 6b5f7400 00001f27 07616466 _mask_t....'.adf + 15d60: 5f646d61 5f696e66 6f000800 001fab08 _dma_info....... + 15d70: 646d615f 6d61736b 0000001f 5e022300 dma_mask....^.#. + 15d80: 0873675f 6e736567 73000000 166b0223 .sg_nsegs....k.# + 15d90: 04001304 00002001 0d414446 5f4e4554 ...... ..ADF_NET + 15da0: 5f434b53 554d5f4e 4f4e4500 000d4144 _CKSUM_NONE...AD + 15db0: 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 15dc0: 5544505f 49507634 00010d41 44465f4e UDP_IPv4...ADF_N + 15dd0: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 15de0: 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net + 15df0: 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 15e00: 1fab1108 00002044 0874785f 636b7375 ...... D.tx_cksu + 15e10: 6d000000 20010223 00087278 5f636b73 m... ..#..rx_cks + 15e20: 756d0000 00200102 23040009 6164665f um... ..#...adf_ + 15e30: 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t + 15e40: 00000020 1b130400 00209d0d 4144465f ... ..... ..ADF_ + 15e50: 4e45545f 54534f5f 4e4f4e45 00000d41 NET_TSO_NONE...A + 15e60: 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. + 15e70: 010d4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL + 15e80: 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso + 15e90: 5f747970 655f7400 0000205e 11100000 _type_t... ^.... + 15ea0: 20f10863 6b73756d 5f636170 00000020 ..cksum_cap... + 15eb0: 44022300 0874736f 00000020 9d022308 D.#..tso... ..#. + 15ec0: 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. + 15ed0: 000016d2 02230c00 11200000 218a0874 .....#... ..!..t + 15ee0: 785f7061 636b6574 73000000 166b0223 x_packets....k.# + 15ef0: 00087278 5f706163 6b657473 00000016 ..rx_packets.... + 15f00: 6b022304 0874785f 62797465 73000000 k.#..tx_bytes... + 15f10: 166b0223 08087278 5f627974 65730000 .k.#..rx_bytes.. + 15f20: 00166b02 230c0874 785f6472 6f707065 ..k.#..tx_droppe + 15f30: 64000000 166b0223 10087278 5f64726f d....k.#..rx_dro + 15f40: 70706564 00000016 6b022314 0872785f pped....k.#..rx_ + 15f50: 6572726f 72730000 00166b02 23180874 errors....k.#..t + 15f60: 785f6572 726f7273 00000016 6b02231c x_errors....k.#. + 15f70: 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 15f80: 725f7400 00001cc4 15000021 8a030000 r_t........!.... + 15f90: 0021af0f 7f001661 64665f6e 65745f63 .!.....adf_net_c + 15fa0: 6d645f6d 63616464 72000304 000021e6 md_mcaddr.....!. + 15fb0: 086e656c 656d0000 00166b02 2300086d .nelem....k.#..m + 15fc0: 63617374 00000021 a1022304 00096164 cast...!..#...ad + 15fd0: 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i + 15fe0: 6e666f5f 74000000 1c5e0961 64665f6e nfo_t....^.adf_n + 15ff0: 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info + 16000: 5f740000 001ecc09 6164665f 6e65745f _t......adf_net_ + 16010: 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t + 16020: 00000020 44096164 665f6e65 745f636d ... D.adf_net_cm + 16030: 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... + 16040: 1e900961 64665f6e 65745f63 6d645f64 ...adf_net_cmd_d + 16050: 6d615f69 6e666f5f 74000000 1f750961 ma_info_t....u.a + 16060: 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t + 16070: 0000001c fa096164 665f6e65 745f636d ......adf_net_cm + 16080: 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. + 16090: 000020b5 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd + 160a0: 5f737461 74735f74 00000020 f1096164 _stats_t... ..ad + 160b0: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 160c0: 5f740000 0021af0c 6164665f 6e65745f _t...!..adf_net_ + 160d0: 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... + 160e0: 0023280d 4144465f 4e45545f 4d434153 .#(.ADF_NET_MCAS + 160f0: 545f5355 5000000d 4144465f 4e45545f T_SUP...ADF_NET_ + 16100: 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... + 16110: 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 16120: 745f6361 705f7400 000022e0 17030400 t_cap_t..."..... + 16130: 0023fa08 6c696e6b 5f696e66 6f000000 .#..link_info... + 16140: 21e60223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. + 16150: 00002203 02230008 636b7375 6d5f696e .."..#..cksum_in + 16160: 666f0000 00222002 23000872 696e675f fo..." .#..ring_ + 16170: 696e666f 00000022 3e022300 08646d61 info...">.#..dma + 16180: 5f696e66 6f000000 225b0223 00087669 _info..."[.#..vi + 16190: 64000000 22770223 00086f66 666c6f61 d..."w.#..offloa + 161a0: 645f6361 70000000 228e0223 00087374 d_cap..."..#..st + 161b0: 61747300 000022ad 02230008 6d636173 ats..."..#..mcas + 161c0: 745f696e 666f0000 0022c602 2300086d t_info..."..#..m + 161d0: 63617374 5f636170 00000023 28022300 cast_cap...#(.#. + 161e0: 00130400 0024510d 4144465f 4e425546 .....$Q.ADF_NBUF + 161f0: 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. + 16200: 0d414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 16210: 554d5f48 5700010d 4144465f 4e425546 UM_HW...ADF_NBUF + 16220: 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE + 16230: 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu + 16240: 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ + 16250: 74000000 23fa1108 00002491 08726573 t...#.....$..res + 16260: 756c7400 00002451 02230008 76616c00 ult...$Q.#..val. + 16270: 0000166b 02230400 11080000 24c10874 ...k.#......$..t + 16280: 79706500 0000209d 02230008 6d737300 ype... ..#..mss. + 16290: 00001cfa 02230408 6864725f 6f666600 .....#..hdr_off. + 162a0: 000016d2 02230600 075f5f61 64665f6e .....#...__adf_n + 162b0: 6275665f 71686561 64000c00 00250008 buf_qhead....%.. + 162c0: 68656164 00000014 8b022300 08746169 head......#..tai + 162d0: 6c000000 148b0223 0408716c 656e0000 l......#..qlen.. + 162e0: 00166b02 23080009 5f5f6164 665f6e62 ..k.#...__adf_nb + 162f0: 75665f74 00000014 8b030000 16e10400 uf_t............ + 16300: 03000016 6b040002 01060000 136d0106 ....k........m.. + 16310: 0000166b 01060000 16e10106 000016e1 ...k............ + 16320: 01030000 134e0400 095f5f61 64665f6e .....N...__adf_n + 16330: 6275665f 71686561 645f7400 000024c1 buf_qhead_t...$. + 16340: 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu + 16350: 655f7400 00002541 03000025 59040006 e_t...%A...%Y... + 16360: 00002500 01060000 25000113 04000026 ..%.....%......& + 16370: 790d415f 53544154 55535f4f 4b00000d y.A_STATUS_OK... + 16380: 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. + 16390: 010d415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN + 163a0: 5400020d 415f5354 41545553 5f454e4f T...A_STATUS_ENO + 163b0: 4d454d00 030d415f 53544154 55535f45 MEM...A_STATUS_E + 163c0: 494e5641 4c00040d 415f5354 41545553 INVAL...A_STATUS + 163d0: 5f45494e 50524f47 52455353 00050d41 _EINPROGRESS...A + 163e0: 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP + 163f0: 00060d41 5f535441 5455535f 45425553 ...A_STATUS_EBUS + 16400: 5900070d 415f5354 41545553 5f453242 Y...A_STATUS_E2B + 16410: 49470008 0d415f53 54415455 535f4541 IG...A_STATUS_EA + 16420: 4444524e 4f544156 41494c00 090d415f DDRNOTAVAIL...A_ + 16430: 53544154 55535f45 4e58494f 000a0d41 STATUS_ENXIO...A + 16440: 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. + 16450: 0d415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... + 16460: 09615f73 74617475 735f7400 00002584 .a_status_t...%. + 16470: 06000026 79010600 00011201 02010961 ...&y..........a + 16480: 64665f6e 6275665f 74000000 25001304 df_nbuf_t...%... + 16490: 000026de 0d414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ + 164a0: 544f5f44 45564943 4500000d 4144465f TO_DEVICE...ADF_ + 164b0: 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI + 164c0: 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma + 164d0: 5f646972 5f740000 0026a706 00002679 _dir_t...&....&y + 164e0: 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama + 164f0: 705f696e 666f5f74 00000016 88030000 p_info_t........ + 16500: 26fc0400 02010201 06000026 97010600 &..........&.... + 16510: 00250001 02010201 06000026 97010600 .%.........&.... + 16520: 00250001 06000026 97010600 00250001 .%.....&.....%.. + 16530: 06000026 97010201 02010600 00166b01 ...&..........k. + 16540: 06000016 e1010201 02010600 001b9801 ................ + 16550: 0600001a e5010600 001ae501 09616466 .............adf + 16560: 5f6f735f 73676c69 73745f74 00000017 _os_sglist_t.... + 16570: 20030000 27750400 02010201 02010600 ...'u.......... + 16580: 0016e101 09616466 5f6e6275 665f7175 .....adf_nbuf_qu + 16590: 6575655f 74000000 25590300 00279d04 eue_t...%Y...'.. + 165a0: 00020103 00002541 04000201 02010201 ......%A........ + 165b0: 06000026 97010600 00250001 06000016 ...&.....%...... + 165c0: 6b010600 00166b01 0600001a e5010600 k.....k......... + 165d0: 001ae501 06000020 01010600 00166b01 ....... ......k. + 165e0: 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 165f0: 756d5f74 00000024 6f030000 27f90400 um_t...$o...'... + 16600: 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts + 16610: 6f5f7400 00002491 03000028 1d040002 o_t...$....(.... + 16620: 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand + 16630: 6c655f74 00000004 07096164 665f6e65 le_t......adf_ne + 16640: 745f766c 616e6864 725f7400 00001e0d t_vlanhdr_t..... + 16650: 03000028 52040006 00002679 01060000 ...(R.....&y.... + 16660: 26790102 01020107 5f484946 5f434f4e &y......_HIF_CON + 16670: 46494700 04000028 a1086475 6d6d7900 FIG....(..dummy. + 16680: 00000112 02230000 02010300 0028a104 .....#.......(.. + 16690: 00020103 000028aa 0400075f 4849465f ......(...._HIF_ + 166a0: 43414c4c 4241434b 000c0000 28ff0873 CALLBACK....(..s + 166b0: 656e645f 6275665f 646f6e65 00000028 end_buf_done...( + 166c0: a3022300 08726563 765f6275 66000000 ..#..recv_buf... + 166d0: 28ac0223 0408636f 6e746578 74000000 (..#..context... + 166e0: 04070223 08000968 69665f68 616e646c ...#...hif_handl + 166f0: 655f7400 00000407 09484946 5f434f4e e_t......HIF_CON + 16700: 46494700 00002880 03000029 11040006 FIG...(....).... + 16710: 000028ff 01030000 29280400 02010300 ..(.....)(...... + 16720: 00293504 00094849 465f4341 4c4c4241 .)5...HIF_CALLBA + 16730: 434b0000 0028b303 0000293e 04000201 CK...(....)>.... + 16740: 03000029 57040006 00000112 01030000 ...)W........... + 16750: 29600400 02010300 00296d04 00060000 )`.......)m..... + 16760: 01120103 00002976 04000201 03000029 ......)v.......) + 16770: 83040006 00000112 01030000 298c0400 ............)... + 16780: 02010300 00299904 00076869 665f6170 .....)....hif_ap + 16790: 69003800 002af208 5f696e69 74000000 i.8..*.._init... + 167a0: 292e0223 00085f73 68757464 6f776e00 )..#.._shutdown. + 167b0: 00002937 02230408 5f726567 69737465 ..)7.#.._registe + 167c0: 725f6361 6c6c6261 636b0000 00295902 r_callback...)Y. + 167d0: 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr + 167e0: 65646974 5f636f75 6e740000 00296602 edit_count...)f. + 167f0: 230c085f 73746172 74000000 29370223 #.._start...)7.# + 16800: 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. + 16810: 00296f02 2314085f 73656e64 5f627566 .)o.#.._send_buf + 16820: 66657200 0000297c 02231808 5f726574 fer...)|.#.._ret + 16830: 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) + 16840: 8502231c 085f6973 5f706970 655f7375 ..#.._is_pipe_su + 16850: 70706f72 74656400 00002992 02232008 pported...)..# . + 16860: 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len + 16870: 00000029 92022324 085f6765 745f7265 ...)..#$._get_re + 16880: 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 16890: 00002966 02232808 5f697372 5f68616e ..)f.#(._isr_han + 168a0: 646c6572 00000029 3702232c 085f6765 dler...)7.#,._ge + 168b0: 745f6465 6661756c 745f7069 70650000 t_default_pipe.. + 168c0: 00299b02 23300870 52657365 72766564 .)..#0.pReserved + 168d0: 00000004 07022334 000c646d 615f656e ......#4..dma_en + 168e0: 67696e65 00040000 2b7b0d44 4d415f45 gine....+{.DMA_E + 168f0: 4e47494e 455f5258 3000000d 444d415f NGINE_RX0...DMA_ + 16900: 454e4749 4e455f52 58310001 0d444d41 ENGINE_RX1...DMA + 16910: 5f454e47 494e455f 52583200 020d444d _ENGINE_RX2...DM + 16920: 415f454e 47494e45 5f525833 00030d44 A_ENGINE_RX3...D + 16930: 4d415f45 4e47494e 455f5458 3000040d MA_ENGINE_TX0... + 16940: 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. + 16950: 0d444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. + 16960: 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. + 16970: 00002af2 0c646d61 5f696674 79706500 ..*..dma_iftype. + 16980: 0400002b c80d444d 415f4946 5f474d41 ...+..DMA_IF_GMA + 16990: 4300000d 444d415f 49465f50 43490001 C...DMA_IF_PCI.. + 169a0: 0d444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... + 169b0: 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ + 169c0: 8d060000 13400103 00002bda 04000201 .....@....+..... + 169d0: 0300002b e7040002 01030000 2bf00400 ...+........+... + 169e0: 06000009 72010300 002bf904 00060000 ....r....+...... + 169f0: 13400103 00002c06 04000600 00134001 .@....,.......@. + 16a00: 0300002c 13040006 0000148b 01030000 ...,............ + 16a10: 2c200400 02010300 002c2d04 0007646d , .......,-...dm + 16a20: 615f6c69 625f6170 69003400 002d3408 a_lib_api.4..-4. + 16a30: 74785f69 6e697400 00002be0 02230008 tx_init...+..#.. + 16a40: 74785f73 74617274 0000002b e9022304 tx_start...+..#. + 16a50: 0872785f 696e6974 0000002b e0022308 .rx_init...+..#. + 16a60: 0872785f 636f6e66 69670000 002bf202 .rx_config...+.. + 16a70: 230c0872 785f7374 61727400 00002be9 #..rx_start...+. + 16a80: 02231008 696e7472 5f737461 74757300 .#..intr_status. + 16a90: 00002bff 02231408 68617264 5f786d69 ..+..#..hard_xmi + 16aa0: 74000000 2c0c0223 1808666c 7573685f t...,..#..flush_ + 16ab0: 786d6974 0000002b e902231c 08786d69 xmit...+..#..xmi + 16ac0: 745f646f 6e650000 002c1902 23200872 t_done...,..# .r + 16ad0: 6561705f 786d6974 74656400 00002c26 eap_xmitted...,& + 16ae0: 02232408 72656170 5f726563 76000000 .#$.reap_recv... + 16af0: 2c260223 28087265 7475726e 5f726563 ,&.#(.return_rec + 16b00: 76000000 2c2f0223 2c087265 63765f70 v...,/.#,.recv_p + 16b10: 6b740000 002c1902 23300007 5f5f7063 kt...,..#0..__pc + 16b20: 695f736f 66746300 0c00002d 52087377 i_softc....-R.sw + 16b30: 00000029 3e022300 00095f5f 7063695f ...)>.#...__pci_ + 16b40: 736f6674 635f7400 00002d34 0300002d softc_t...-4...- + 16b50: 52040002 01030000 2d6c0400 06000013 R.......-l...... + 16b60: 2c010300 002d7504 000c6869 665f7063 ,....-u...hif_pc + 16b70: 695f7069 70655f74 78000400 002dd50d i_pipe_tx....-.. + 16b80: 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 + 16b90: 00000d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 16ba0: 54583100 010d4849 465f5043 495f5049 TX1...HIF_PCI_PI + 16bb0: 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif + 16bc0: 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. + 16bd0: 002d8206 00002b7b 01030000 2dec0400 .-....+{....-... + 16be0: 0c686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 16bf0: 00040000 2e720d48 49465f50 43495f50 .....r.HIF_PCI_P + 16c00: 4950455f 52583000 000d4849 465f5043 IPE_RX0...HIF_PC + 16c10: 495f5049 50455f52 58310001 0d484946 I_PIPE_RX1...HIF + 16c20: 5f504349 5f504950 455f5258 3200020d _PCI_PIPE_RX2... + 16c30: 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 + 16c40: 00030d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 16c50: 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc + 16c60: 695f7069 70655f72 785f7400 00002df9 i_pipe_rx_t...-. + 16c70: 0600002b 7b010300 002e8904 00076869 ...+{.........hi + 16c80: 665f7063 695f6170 69002400 002f6708 f_pci_api.$../g. + 16c90: 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... + 16ca0: 010b0223 00087063 695f696e 69740000 ...#..pci_init.. + 16cb0: 00292e02 23040870 63695f72 65736574 .)..#..pci_reset + 16cc0: 00000001 0b022308 08706369 5f656e61 ......#..pci_ena + 16cd0: 626c6500 0000010b 02230c08 7063695f ble......#..pci_ + 16ce0: 72656170 5f786d69 74746564 0000002d reap_xmitted...- + 16cf0: 6e022310 08706369 5f726561 705f7265 n.#..pci_reap_re + 16d00: 63760000 002d6e02 23140870 63695f67 cv...-n.#..pci_g + 16d10: 65745f70 69706500 00002d7b 02231808 et_pipe...-{.#.. + 16d20: 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. + 16d30: 002df202 231c0870 63695f67 65745f72 .-..#..pci_get_r + 16d40: 785f656e 67000000 2e8f0223 20000767 x_eng......# ..g + 16d50: 6d61635f 61706900 0400002f 8e08676d mac_api..../..gm + 16d60: 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... + 16d70: 0b022300 000e0000 031f0600 002f9b0f ..#........../.. + 16d80: 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ + 16d90: d1086473 74000000 2f8e0223 00087372 ..dst.../..#..sr + 16da0: 63000000 2f8e0223 06086574 79706500 c.../..#..etype. + 16db0: 00001340 02230c00 075f5f61 74686864 ...@.#...__athhd + 16dc0: 72000400 00301f14 72657300 0000132c r....0..res...., + 16dd0: 01000202 23001470 726f746f 00000013 ....#..proto.... + 16de0: 2c010206 02230008 7265735f 6c6f0000 ,....#..res_lo.. + 16df0: 00132c02 23010872 65735f68 69000000 ..,.#..res_hi... + 16e00: 13400223 0200075f 5f676d61 635f6864 .@.#...__gmac_hd + 16e10: 72001400 00305b08 65746800 00002f9b r....0[.eth.../. + 16e20: 02230008 61746800 00002fd1 02230e08 .#..ath.../..#.. + 16e30: 616c6967 6e5f7061 64000000 13400223 align_pad....@.# + 16e40: 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. + 16e50: 0000301f 075f5f67 6d61635f 736f6674 ..0..__gmac_soft + 16e60: 63002400 0030a508 68647200 0000305b c.$..0..hdr...0[ + 16e70: 02230008 6772616e 00000013 40022314 .#..gran....@.#. + 16e80: 08737700 0000293e 02231800 075f415f .sw...)>.#..._A_ + 16e90: 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check + 16ea0: 00080000 30de0876 65727369 6f6e0000 ....0..version.. + 16eb0: 00011202 23000874 61626c65 00000001 ....#..table.... + 16ec0: 12022304 00030000 30a50400 06000001 ..#.....0....... + 16ed0: 12010300 0030e504 00030000 040a0400 .....0.......... + 16ee0: 165f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire + 16ef0: 6374696f 6e5f7461 626c6500 01b80000 ction_table..... + 16f00: 32350868 616c5f6c 696e6b61 67655f63 25.hal_linkage_c + 16f10: 6865636b 00000030 eb022300 08737461 heck...0..#..sta + 16f20: 72745f62 73730000 0030f202 23040861 rt_bss...0..#..a + 16f30: 70705f73 74617274 00000001 0b022308 pp_start......#. + 16f40: 086d656d 00000004 4a02230c 086d6973 .mem....J.#..mis + 16f50: 63000000 05bd0223 20087072 696e7466 c......# .printf + 16f60: 00000001 3f022344 08756172 74000000 ....?.#D.uart... + 16f70: 02080223 4c08676d 61630000 002f6702 ...#L.gmac.../g. + 16f80: 236c0875 73620000 000fec02 23700863 #l.usb......#p.c + 16f90: 6c6f636b 0000000b 350323e0 01087469 lock....5.#...ti + 16fa0: 6d657200 000007d5 03238402 08696e74 mer......#...int + 16fb0: 72000000 0c850323 98020861 6c6c6f63 r......#...alloc + 16fc0: 72616d00 0000098d 0323c402 08726f6d ram......#...rom + 16fd0: 70000000 087f0323 d0020877 64745f74 p......#...wdt_t + 16fe0: 696d6572 0000000e 620323e0 02086565 imer....b.#...ee + 16ff0: 70000000 0f900323 fc020873 7472696e p......#...strin + 17000: 67000000 06e10323 8c030874 61736b6c g......#...taskl + 17010: 65740000 000a8a03 23a40300 075f5553 et......#...._US + 17020: 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... + 17030: 0032a808 6765745f 636f6d6d 616e645f .2..get_command_ + 17040: 62756600 00001498 02230008 72656376 buf......#..recv + 17050: 5f636f6d 6d616e64 00000014 ae022304 _command......#. + 17060: 08676574 5f657665 6e745f62 75660000 .get_event_buf.. + 17070: 00149802 23080873 656e645f 6576656e ....#..send_even + 17080: 745f646f 6e650000 0014ae02 230c0009 t_done......#... + 17090: 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 170a0: 00003235 03000032 a8040002 01030000 ..25...2........ + 170b0: 32c40400 07757362 6669666f 5f617069 2....usbfifo_api + 170c0: 000c0000 331a085f 696e6974 00000032 ....3.._init...2 + 170d0: c6022300 085f656e 61626c65 5f657665 ..#.._enable_eve + 170e0: 6e745f69 73720000 00010b02 23040870 nt_isr......#..p + 170f0: 52657365 72766564 00000004 07022308 Reserved......#. + 17100: 000e0000 16d20200 0033270f 0100075f .........3'...._ + 17110: 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... + 17120: 00339908 456e6470 6f696e74 49440000 .3..EndpointID.. + 17130: 0016d202 23000846 6c616773 00000016 ....#..Flags.... + 17140: d2022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. + 17150: 00001cfa 02230208 436f6e74 726f6c42 .....#..ControlB + 17160: 79746573 00000033 1a022304 08486f73 ytes...3..#..Hos + 17170: 74536571 4e756d00 00001cfa 02230600 tSeqNum......#.. + 17180: 11020000 33b2084d 65737361 67654944 ....3..MessageID + 17190: 0000001c fa022300 00110800 00341508 ......#......4.. + 171a0: 4d657373 61676549 44000000 1cfa0223 MessageID......# + 171b0: 00084372 65646974 436f756e 74000000 ..CreditCount... + 171c0: 1cfa0223 02084372 65646974 53697a65 ...#..CreditSize + 171d0: 0000001c fa022304 084d6178 456e6470 ......#..MaxEndp + 171e0: 6f696e74 73000000 16d20223 06085f50 oints......#.._P + 171f0: 61643100 000016d2 02230700 110a0000 ad1......#...... + 17200: 34ac084d 65737361 67654944 0000001c 4..MessageID.... + 17210: fa022300 08536572 76696365 49440000 ..#..ServiceID.. + 17220: 001cfa02 23020843 6f6e6e65 6374696f ....#..Connectio + 17230: 6e466c61 67730000 001cfa02 23040844 nFlags......#..D + 17240: 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 17250: 16d20223 06085570 4c696e6b 50697065 ...#..UpLinkPipe + 17260: 49440000 0016d202 23070853 65727669 ID......#..Servi + 17270: 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 17280: d2022308 085f5061 64310000 0016d202 ..#.._Pad1...... + 17290: 23090011 0a000035 34084d65 73736167 #......54.Messag + 172a0: 65494400 00001cfa 02230008 53657276 eID......#..Serv + 172b0: 69636549 44000000 1cfa0223 02085374 iceID......#..St + 172c0: 61747573 00000016 d2022304 08456e64 atus......#..End + 172d0: 706f696e 74494400 000016d2 02230508 pointID......#.. + 172e0: 4d61784d 73675369 7a650000 001cfa02 MaxMsgSize...... + 172f0: 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe + 17300: 6e677468 00000016 d2022308 085f5061 ngth......#.._Pa + 17310: 64310000 0016d202 23090011 02000035 d1......#......5 + 17320: 4d084d65 73736167 65494400 00001cfa M.MessageID..... + 17330: 02230000 11040000 3589084d 65737361 .#......5..Messa + 17340: 67654944 0000001c fa022300 08506970 geID......#..Pip + 17350: 65494400 000016d2 02230208 43726564 eID......#..Cred + 17360: 6974436f 756e7400 000016d2 02230300 itCount......#.. + 17370: 11040000 35c0084d 65737361 67654944 ....5..MessageID + 17380: 0000001c fa022300 08506970 65494400 ......#..PipeID. + 17390: 000016d2 02230208 53746174 75730000 .....#..Status.. + 173a0: 0016d202 23030011 02000035 e7085265 ....#......5..Re + 173b0: 636f7264 49440000 0016d202 2300084c cordID......#..L + 173c0: 656e6774 68000000 16d20223 01001102 ength......#.... + 173d0: 00003611 08456e64 706f696e 74494400 ..6..EndpointID. + 173e0: 000016d2 02230008 43726564 69747300 .....#..Credits. + 173f0: 000016d2 02230100 11040000 36520845 .....#......6R.E + 17400: 6e64706f 696e7449 44000000 16d20223 ndpointID......# + 17410: 00084372 65646974 73000000 16d20223 ..Credits......# + 17420: 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo + 17430: 0000001c fa022302 000e0000 16d20400 ......#......... + 17440: 00365f0f 03001106 0000369b 08507265 .6_.......6..Pre + 17450: 56616c69 64000000 16d20223 00084c6f Valid......#..Lo + 17460: 6f6b4168 65616400 00003652 02230108 okAhead...6R.#.. + 17470: 506f7374 56616c69 64000000 16d20223 PostValid......# + 17480: 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t + 17490: 00000004 07060000 369b0103 000036ae ........6.....6. + 174a0: 04000201 03000036 bb040013 04000037 .......6.......7 + 174b0: 390d504f 4f4c5f49 445f4854 435f434f 9.POOL_ID_HTC_CO + 174c0: 4e54524f 4c00000d 504f4f4c 5f49445f NTROL...POOL_ID_ + 174d0: 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL + 174e0: 5900010d 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ + 174f0: 5356435f 4556454e 5400020d 504f4f4c SVC_EVENT...POOL + 17500: 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. + 17510: 030d504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... + 17520: 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 + 17530: c4020103 0000374a 04000600 00269701 ......7J.....&.. + 17540: 03000037 53040006 00002697 01030000 ...7S.....&..... + 17550: 37600400 02010300 00376d04 00076275 7`.......7m...bu + 17560: 665f706f 6f6c5f61 7069001c 0000380f f_pool_api....8. + 17570: 085f696e 69740000 0036b402 2300085f ._init...6..#.._ + 17580: 73687574 646f776e 00000036 bd022304 shutdown...6..#. + 17590: 085f6372 65617465 5f706f6f 6c000000 ._create_pool... + 175a0: 374c0223 08085f61 6c6c6f63 5f627566 7L.#.._alloc_buf + 175b0: 00000037 5902230c 085f616c 6c6f635f ...7Y.#.._alloc_ + 175c0: 6275665f 616c6967 6e000000 37660223 buf_align...7f.# + 175d0: 10085f66 7265655f 62756600 0000376f .._free_buf...7o + 175e0: 02231408 70526573 65727665 64000000 .#..pReserved... + 175f0: 04070223 1800075f 4854435f 53455256 ...#..._HTC_SERV + 17600: 49434500 1c000038 ee08704e 65787400 ICE....8..pNext. + 17610: 000038ee 02230008 50726f63 65737352 ..8..#..ProcessR + 17620: 6563764d 73670000 0039a302 23040850 ecvMsg...9..#..P + 17630: 726f6365 73735365 6e644275 66666572 rocessSendBuffer + 17640: 436f6d70 6c657465 00000039 ac022308 Complete...9..#. + 17650: 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. + 17660: 000039c0 02230c08 53657276 69636549 ..9..#..ServiceI + 17670: 44000000 13400223 10085365 72766963 D....@.#..Servic + 17680: 65466c61 67730000 00134002 2312084d eFlags....@.#..M + 17690: 61785376 634d7367 53697a65 00000013 axSvcMsgSize.... + 176a0: 40022314 08547261 696c6572 53706343 @.#..TrailerSpcC + 176b0: 6865636b 4c696d69 74000000 13400223 heckLimit....@.# + 176c0: 16085365 72766963 65437478 00000004 ..ServiceCtx.... + 176d0: 07022318 00030000 380f0400 13040000 ..#.....8....... + 176e0: 398c1845 4e44504f 494e545f 554e5553 9..ENDPOINT_UNUS + 176f0: 454400ff ffffff0d 454e4450 4f494e54 ED......ENDPOINT + 17700: 3000000d 454e4450 4f494e54 3100010d 0...ENDPOINT1... + 17710: 454e4450 4f494e54 3200020d 454e4450 ENDPOINT2...ENDP + 17720: 4f494e54 3300030d 454e4450 4f494e54 OINT3...ENDPOINT + 17730: 3400040d 454e4450 4f494e54 3500050d 4...ENDPOINT5... + 17740: 454e4450 4f494e54 3600060d 454e4450 ENDPOINT6...ENDP + 17750: 4f494e54 3700070d 454e4450 4f494e54 OINT7...ENDPOINT + 17760: 3800080d 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX + 17770: 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT + 17780: 5f494400 000038f5 02010300 0039a104 _ID...8......9.. + 17790: 00020103 000039aa 04000300 00011204 ......9......... + 177a0: 00060000 132c0103 000039ba 04000300 .....,....9..... + 177b0: 00380f04 00075f48 54435f43 4f4e4649 .8...._HTC_CONFI + 177c0: 47001400 003a3f08 43726564 69745369 G....:?.CreditSi + 177d0: 7a650000 00011202 23000843 72656469 ze......#..Credi + 177e0: 744e756d 62657200 00000112 02230408 tNumber......#.. + 177f0: 4f534861 6e646c65 0000001a 96022308 OSHandle......#. + 17800: 08484946 48616e64 6c650000 0028ff02 .HIFHandle...(.. + 17810: 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 17820: 369b0223 1000075f 4854435f 4255465f 6..#..._HTC_BUF_ + 17830: 434f4e54 45585400 0200003a 7b08656e CONTEXT....:{.en + 17840: 645f706f 696e7400 0000132c 02230008 d_point....,.#.. + 17850: 6874635f 666c6167 73000000 132c0223 htc_flags....,.# + 17860: 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. + 17870: 00000407 09485443 5f534554 55505f43 .....HTC_SETUP_C + 17880: 4f4d504c 4554455f 43420000 00010b09 OMPLETE_CB...... + 17890: 4854435f 434f4e46 49470000 0039ce03 HTC_CONFIG...9.. + 178a0: 00003aa8 04000600 003a7b01 0300003a ..:......:{....: + 178b0: bf040002 01030000 3acc0400 09485443 ........:....HTC + 178c0: 5f534552 56494345 00000038 0f030000 _SERVICE...8.... + 178d0: 3ad50400 02010300 003aed04 00020103 :........:...... + 178e0: 00003af6 04000201 0300003a ff040006 ..:........:.... + 178f0: 00000112 01030000 3b080400 07687463 ........;....htc + 17900: 5f617069 73003400 003c8508 5f485443 _apis.4..<.._HTC + 17910: 5f496e69 74000000 3ac50223 00085f48 _Init...:..#.._H + 17920: 54435f53 68757464 6f776e00 00003ace TC_Shutdown...:. + 17930: 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe + 17940: 72536572 76696365 0000003a ef022308 rService...:..#. + 17950: 085f4854 435f5265 61647900 00003ace ._HTC_Ready...:. + 17960: 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 17970: 75666665 72730000 003af802 2310085f uffers...:..#.._ + 17980: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 17990: 734c6973 74000000 3b010223 14085f48 sList...;..#.._H + 179a0: 54435f53 656e644d 73670000 003af802 TC_SendMsg...:.. + 179b0: 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser + 179c0: 76656448 65616472 6f6f6d00 00003b0e vedHeadroom...;. + 179d0: 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv + 179e0: 48616e64 6c657200 000028ac 02232008 Handler...(..# . + 179f0: 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan + 17a00: 646c6572 00000028 a3022324 085f4854 dler...(..#$._HT + 17a10: 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 17a20: 6573734d 73670000 0039a302 2328085f essMsg...9..#(._ + 17a30: 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 17a40: 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet + 17a50: 65000000 39ac0223 2c087052 65736572 e...9..#,.pReser + 17a60: 76656400 00000407 02233000 07686f73 ved......#0..hos + 17a70: 745f6170 705f6172 65615f73 00040000 t_app_area_s.... + 17a80: 3cb50877 6d695f70 726f746f 636f6c5f <..wmi_protocol_ + 17a90: 76657200 0000166b 02230000 110e0000 ver....k.#...... + 17aa0: 3cec0864 73744d61 63000000 1cb70223 <..dstMac......# + 17ab0: 00087372 634d6163 0000001c b7022306 ..srcMac......#. + 17ac0: 08747970 654f724c 656e0000 001cfa02 .typeOrLen...... + 17ad0: 230c000e 000016d2 0300003c f90f0200 #..........<.... + 17ae0: 11080000 3d490864 73617000 000016d2 ....=I.dsap..... + 17af0: 02230008 73736170 00000016 d2022301 .#..ssap......#. + 17b00: 08636e74 6c000000 16d20223 02086f72 .cntl......#..or + 17b10: 67436f64 65000000 3cec0223 03086574 gCode...<..#..et + 17b20: 68657254 79706500 00001cfa 02230600 herType......#.. + 17b30: 11020000 3d6a0872 73736900 00001c50 ....=j.rssi....P + 17b40: 02230008 696e666f 00000016 d2022301 .#..info......#. + 17b50: 00110400 003d9108 636f6d6d 616e6449 .....=..commandI + 17b60: 64000000 1cfa0223 00087365 714e6f00 d......#..seqNo. + 17b70: 00001cfa 02230200 0e000016 d2010000 .....#.......... + 17b80: 3d9e0f00 00110200 003dc508 6d736753 =........=..msgS + 17b90: 697a6500 000016d2 02230008 6d736744 ize......#..msgD + 17ba0: 61746100 00003d91 02230100 11080000 ata...=..#...... + 17bb0: 3e0c0861 64647265 73734c00 00001cfa >..addressL..... + 17bc0: 02230008 61646472 65737348 0000001c .#..addressH.... + 17bd0: fa022302 0876616c 75654c00 00001cfa ..#..valueL..... + 17be0: 02230408 76616c75 65480000 001cfa02 .#..valueH...... + 17bf0: 23060009 574d495f 41565400 00003dc5 #...WMI_AVT...=. + 17c00: 0e00003e 0c080000 3e260f00 00110c00 ...>....>&...... + 17c10: 003e5d08 7475706c 654e756d 4c000000 .>].tupleNumL... + 17c20: 1cfa0223 00087475 706c654e 756d4800 ...#..tupleNumH. + 17c30: 00001cfa 02230208 61767400 00003e19 .....#..avt...>. + 17c40: 02230400 11010000 3e7f0862 6561636f .#......>..beaco + 17c50: 6e50656e 64696e67 436f756e 74000000 nPendingCount... + 17c60: 16d20223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ + 17c70: 434f4e46 49470010 00003ee8 08487463 CONFIG....>..Htc + 17c80: 48616e64 6c650000 003a7b02 23000850 Handle...:{.#..P + 17c90: 6f6f6c48 616e646c 65000000 369b0223 oolHandle...6..# + 17ca0: 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt + 17cb0: 73000000 01120223 08084d61 78457665 s......#..MaxEve + 17cc0: 6e744576 74730000 00011202 230c0002 ntEvts......#... + 17cd0: 01030000 3ee80400 09574d49 5f434d44 ....>....WMI_CMD + 17ce0: 5f48414e 444c4552 0000003e ea075f57 _HANDLER...>.._W + 17cf0: 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 17d00: 59000800 003f5108 70436d64 48616e64 Y....?Q.pCmdHand + 17d10: 6c657200 00003ef1 02230008 436d6449 ler...>..#..CmdI + 17d20: 44000000 13400223 0408466c 61677300 D....@.#..Flags. + 17d30: 00001340 02230600 075f574d 495f4449 ...@.#..._WMI_DI + 17d40: 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... + 17d50: 3fb20870 4e657874 0000003f b2022300 ?..pNext...?..#. + 17d60: 0870436f 6e746578 74000000 04070223 .pContext......# + 17d70: 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie + 17d80: 73000000 01120223 08087054 61626c65 s......#..pTable + 17d90: 0000003f d102230c 00030000 3f510400 ...?..#.....?Q.. + 17da0: 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN + 17db0: 54525900 00003f06 0300003f b9040003 TRY...?....?.... + 17dc0: 00003f51 04000948 54435f42 55465f43 ..?Q...HTC_BUF_C + 17dd0: 4f4e5445 58540000 003a3f0c 574d495f ONTEXT...:?.WMI_ + 17de0: 4556545f 434c4153 53000400 00406918 EVT_CLASS....@i. + 17df0: 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO + 17e00: 4e4500ff ffffff0d 574d495f 4556545f NE......WMI_EVT_ + 17e10: 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. + 17e20: 000d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 17e30: 434d445f 5245504c 5900010d 574d495f CMD_REPLY...WMI_ + 17e40: 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... + 17e50: 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. + 17e60: 003ff407 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON + 17e70: 54455854 000c0000 40c70848 74634275 TEXT....@..HtcBu + 17e80: 66437478 0000003f df022300 08457665 fCtx...?..#..Eve + 17e90: 6e74436c 61737300 00004069 02230408 ntClass...@i.#.. + 17ea0: 466c6167 73000000 13400223 08000977 Flags....@.#...w + 17eb0: 6d695f68 616e646c 655f7400 00000407 mi_handle_t..... + 17ec0: 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. + 17ed0: 00003e7f 03000040 d9040006 000040c7 ..>....@......@. + 17ee0: 01030000 40f40400 09574d49 5f444953 ....@....WMI_DIS + 17ef0: 50415443 485f5441 424c4500 00003f51 PATCH_TABLE...?Q + 17f00: 03000041 01040002 01030000 41200400 ...A........A .. + 17f10: 06000026 97010300 00412904 00020103 ...&.....A)..... + 17f20: 00004136 04000600 00011201 03000041 ..A6...........A + 17f30: 3f040002 01030000 414c0400 06000013 ?.......AL...... + 17f40: 2c010300 00415504 00075f77 6d695f73 ,....AU..._wmi_s + 17f50: 76635f61 70697300 2c000042 9d085f57 vc_apis.,..B.._W + 17f60: 4d495f49 6e697400 000040fa 02230008 MI_Init...@..#.. + 17f70: 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis + 17f80: 70617463 68546162 6c650000 00412202 patchTable...A". + 17f90: 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve + 17fa0: 6e740000 00412f02 2308085f 574d495f nt...A/.#.._WMI_ + 17fb0: 53656e64 4576656e 74000000 41380223 SendEvent...A8.# + 17fc0: 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin + 17fd0: 67457665 6e747343 6f756e74 00000041 gEventsCount...A + 17fe0: 45022310 085f574d 495f5365 6e64436f E.#.._WMI_SendCo + 17ff0: 6d706c65 74654861 6e646c65 72000000 mpleteHandler... + 18000: 39ac0223 14085f57 4d495f47 6574436f 9..#.._WMI_GetCo + 18010: 6e74726f 6c457000 00004145 02231808 ntrolEp...AE.#.. + 18020: 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... + 18030: 414e0223 1c085f57 4d495f52 6563764d AN.#.._WMI_RecvM + 18040: 65737361 67654861 6e646c65 72000000 essageHandler... + 18050: 39a30223 20085f57 4d495f53 65727669 9..# ._WMI_Servi + 18060: 6365436f 6e6e6563 74000000 415b0223 ceConnect...A[.# + 18070: 24087052 65736572 76656400 00000407 $.pReserved..... + 18080: 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. + 18090: 0000431f 08637472 6c000000 01760223 ..C..ctrl....v.# + 180a0: 00087374 61747573 00000001 76022302 ..status....v.#. + 180b0: 08746f74 616c4c65 6e000000 01760223 .totalLen....v.# + 180c0: 04086461 74615369 7a650000 00017602 ..dataSize....v. + 180d0: 2306086c 61737441 64647200 0000431f #..lastAddr...C. + 180e0: 02230808 64617461 41646472 00000001 .#..dataAddr.... + 180f0: 9a02230c 086e6578 74416464 72000000 ..#..nextAddr... + 18100: 431f0223 10000300 00429d04 00030000 C..#.....B...... + 18110: 429d0400 077a7344 6d615175 65756500 B....zsDmaQueue. + 18120: 08000043 5f086865 61640000 00432602 ...C_.head...C&. + 18130: 23000874 65726d69 6e61746f 72000000 #..terminator... + 18140: 43260223 0400077a 73547844 6d615175 C&.#...zsTxDmaQu + 18150: 65756500 10000043 c3086865 61640000 eue....C..head.. + 18160: 00432602 23000874 65726d69 6e61746f .C&.#..terminato + 18170: 72000000 43260223 0408786d 69746564 r...C&.#..xmited + 18180: 5f627566 5f686561 64000000 148b0223 _buf_head......# + 18190: 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai + 181a0: 6c000000 148b0223 0c000201 03000043 l......#.......C + 181b0: c3040003 0000432d 04000201 03000043 ......C-.......C + 181c0: d3040003 0000435f 04000201 03000043 ......C_.......C + 181d0: e3040002 01030000 43ec0400 02010300 ........C....... + 181e0: 0043f504 00060000 148b0103 000043fe .C............C. + 181f0: 04000201 03000044 0b040006 0000148b .......D........ + 18200: 01030000 44140400 02010300 00442104 ....D........D!. + 18210: 00060000 01120103 0000442a 04000600 ..........D*.... + 18220: 00432601 03000044 37040002 01030000 .C&....D7....... + 18230: 44440400 07646d61 5f656e67 696e655f DD...dma_engine_ + 18240: 61706900 40000045 ba085f69 6e697400 api.@..E.._init. + 18250: 000043c5 02230008 5f696e69 745f7278 ..C..#.._init_rx + 18260: 5f717565 75650000 0043d502 2304085f _queue...C..#.._ + 18270: 696e6974 5f74785f 71756575 65000000 init_tx_queue... + 18280: 43e50223 08085f63 6f6e6669 675f7278 C..#.._config_rx + 18290: 5f717565 75650000 0043ee02 230c085f _queue...C..#.._ + 182a0: 786d6974 5f627566 00000043 f7022310 xmit_buf...C..#. + 182b0: 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C + 182c0: d5022314 085f7265 61705f72 6563765f ..#.._reap_recv_ + 182d0: 62756600 00004404 02231808 5f726574 buf...D..#.._ret + 182e0: 75726e5f 72656376 5f627566 00000044 urn_recv_buf...D + 182f0: 0d02231c 085f7265 61705f78 6d697465 ..#.._reap_xmite + 18300: 645f6275 66000000 441a0223 20085f73 d_buf...D..# ._s + 18310: 7761705f 64617461 00000044 23022324 wap_data...D#.#$ + 18320: 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack + 18330: 65747300 00004430 02232808 5f646573 ets...D0.#(._des + 18340: 635f6475 6d700000 0043d502 232c085f c_dump...C..#,._ + 18350: 6765745f 7061636b 65740000 00443d02 get_packet...D=. + 18360: 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack + 18370: 65740000 00444602 2334085f 7075745f et...DF.#4._put_ + 18380: 7061636b 65740000 00444602 23380870 packet...DF.#8.p + 18390: 52657365 72766564 00000004 0702233c Reserved......#< + 183a0: 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 183b0: 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 183c0: 0030f909 574d495f 5356435f 41504953 .0..WMI_SVC_APIS + 183d0: 00000041 62165f41 5f6d6167 7069655f ...Ab._A_magpie_ + 183e0: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 183f0: 6500034c 000046e8 08636d6e 6f730000 e..L..F..cmnos.. + 18400: 0045ba02 23000864 62670000 0003d403 .E..#..dbg...... + 18410: 23b80308 68696600 000029a2 0323c003 #...hif...)..#.. + 18420: 08687463 0000003b 150323f8 0308776d .htc...;..#...wm + 18430: 695f7376 635f6170 69000000 45dc0323 i_svc_api...E..# + 18440: ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. + 18450: 0032cd03 23d80408 6275665f 706f6f6c .2..#...buf_pool + 18460: 00000037 760323e4 04087662 75660000 ...7v.#...vbuf.. + 18470: 0014b503 23800508 76646573 63000000 ....#...vdesc... + 18480: 13970323 94050861 6c6c6f63 72616d00 ...#...allocram. + 18490: 0000098d 0323a805 08646d61 5f656e67 .....#...dma_eng + 184a0: 696e6500 0000444d 0323b405 08646d61 ine...DM.#...dma + 184b0: 5f6c6962 0000002c 360323f4 05086869 _lib...,6.#...hi + 184c0: 665f7063 69000000 2e960323 a8060009 f_pci......#.... + 184d0: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 184e0: 6374696f 6e5f7461 626c655f 74000000 ction_table_t... + 184f0: 45ee1110 0000473e 08613000 000001af E.....G>.a0..... + 18500: 02230008 61310000 0001af02 23040861 .#..a1......#..a + 18510: 32000000 01af0223 08086133 00000001 2......#..a3.... + 18520: af02230c 000e0000 470b5000 00474b0f ..#.....G.P..GK. + 18530: 04000758 54656e73 615f6578 63657074 ...XTensa_except + 18540: 696f6e5f 6672616d 655f7300 80000048 ion_frame_s....H + 18550: 2f087874 5f706300 000001af 02230008 /.xt_pc......#.. + 18560: 78745f70 73000000 01af0223 04087874 xt_ps......#..xt + 18570: 5f736172 00000001 af022308 0878745f _sar......#..xt_ + 18580: 76707269 00000001 af02230c 0878745f vpri......#..xt_ + 18590: 61320000 0001af02 23100878 745f6133 a2......#..xt_a3 + 185a0: 00000001 af022314 0878745f 61340000 ......#..xt_a4.. + 185b0: 0001af02 23180878 745f6135 00000001 ....#..xt_a5.... + 185c0: af02231c 0878745f 65786363 61757365 ..#..xt_exccause + 185d0: 00000001 af022320 0878745f 6c636f75 ......# .xt_lcou + 185e0: 6e740000 0001af02 23240878 745f6c62 nt......#$.xt_lb + 185f0: 65670000 0001af02 23280878 745f6c65 eg......#(.xt_le + 18600: 6e640000 0001af02 232c0877 62000000 nd......#,.wb... + 18610: 473e0223 30000943 50555f65 78636570 G>.#0..CPU_excep + 18620: 74696f6e 5f667261 6d655f74 00000047 tion_frame_t...G + 18630: 4b110800 00486d08 69737200 00000c59 K....Hm.isr....Y + 18640: 02230008 6973725f 61726700 00000407 .#..isr_arg..... + 18650: 02230400 0e000048 4a980000 487a0f12 .#.....HJ...Hz.. + 18660: 0019636d 6e6f735f 6973725f 696e666f ..cmnos_isr_info + 18670: 00000048 6d050300 50099001 19636d6e ...Hm...P....cmn + 18680: 6f735f65 6e61626c 65645f69 6e746572 os_enabled_inter + 18690: 72757074 73000000 01af0503 00500980 rupts........P.. + 186a0: 011a0000 01121a00 00011203 000048bf ..............H. + 186b0: 04000300 000c8504 0002011b 011e636d ..............cm + 186c0: 6e6f735f 696e7472 5f64756d 6d790000 nos_intr_dummy.. + 186d0: 0001af01 01039201 20029000 008e2188 ........ .....!. + 186e0: 008e218d 00004911 1c011e70 5061726d ..!...I....pParm + 186f0: 00000004 07015200 1d012a63 6d6e6f73 ......R...*cmnos + 18700: 5f696e74 725f696e 69740001 01039201 _intr_init...... + 18710: 20029000 008e2190 008e21b6 00004941 .....!...!...IA + 18720: 1e690000 0001af00 1d013c63 6d6e6f73 .i..........tupleNumL. + 1c6b0: 00001ca3 02230008 7475706c 654e756d .....#..tupleNum + 1c6c0: 48000000 1ca30223 02086176 74000000 H......#..avt... + 1c6d0: 3dc20223 04001201 00003e28 08626561 =..#......>(.bea + 1c6e0: 636f6e50 656e6469 6e67436f 756e7400 conPendingCount. + 1c6f0: 0000167b 02230000 075f574d 495f5356 ...{.#..._WMI_SV + 1c700: 435f434f 4e464947 00100000 3e910848 C_CONFIG....>..H + 1c710: 74634861 6e646c65 0000003a 24022300 tcHandle...:$.#. + 1c720: 08506f6f 6c48616e 646c6500 00003644 .PoolHandle...6D + 1c730: 02230408 4d617843 6d645265 706c7945 .#..MaxCmdReplyE + 1c740: 76747300 0000010f 02230808 4d617845 vts......#..MaxE + 1c750: 76656e74 45767473 00000001 0f02230c ventEvts......#. + 1c760: 00020103 00003e91 04000957 4d495f43 ......>....WMI_C + 1c770: 4d445f48 414e444c 45520000 003e9307 MD_HANDLER...>.. + 1c780: 5f574d49 5f444953 50415443 485f454e _WMI_DISPATCH_EN + 1c790: 54525900 0800003e fa087043 6d644861 TRY....>..pCmdHa + 1c7a0: 6e646c65 72000000 3e9a0223 0008436d ndler...>..#..Cm + 1c7b0: 64494400 000012e9 02230408 466c6167 dID......#..Flag + 1c7c0: 73000000 12e90223 0600075f 574d495f s......#..._WMI_ + 1c7d0: 44495350 41544348 5f544142 4c450010 DISPATCH_TABLE.. + 1c7e0: 00003f5b 08704e65 78740000 003f5b02 ..?[.pNext...?[. + 1c7f0: 23000870 436f6e74 65787400 00000404 #..pContext..... + 1c800: 02230408 4e756d62 65724f66 456e7472 .#..NumberOfEntr + 1c810: 69657300 0000010f 02230808 70546162 ies......#..pTab + 1c820: 6c650000 003f7a02 230c0003 00003efa le...?z.#.....>. + 1c830: 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 1c840: 454e5452 59000000 3eaf0300 003f6204 ENTRY...>....?b. + 1c850: 00030000 3efa0400 09485443 5f425546 ....>....HTC_BUF + 1c860: 5f434f4e 54455854 00000039 e80d574d _CONTEXT...9..WM + 1c870: 495f4556 545f434c 41535300 04000040 I_EVT_CLASS....@ + 1c880: 1219574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 1c890: 4e4f4e45 00ffffff ff0e574d 495f4556 NONE......WMI_EV + 1c8a0: 545f434c 4153535f 434d445f 4556454e T_CLASS_CMD_EVEN + 1c8b0: 5400000e 574d495f 4556545f 434c4153 T...WMI_EVT_CLAS + 1c8c0: 535f434d 445f5245 504c5900 010e574d S_CMD_REPLY...WM + 1c8d0: 495f4556 545f434c 4153535f 4d415800 I_EVT_CLASS_MAX. + 1c8e0: 02000957 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 1c8f0: 0000003f 9d075f57 4d495f42 55465f43 ...?.._WMI_BUF_C + 1c900: 4f4e5445 5854000c 00004070 08487463 ONTEXT....@p.Htc + 1c910: 42756643 74780000 003f8802 23000845 BufCtx...?..#..E + 1c920: 76656e74 436c6173 73000000 40120223 ventClass...@..# + 1c930: 0408466c 61677300 000012e9 02230800 ..Flags......#.. + 1c940: 09776d69 5f68616e 646c655f 74000000 .wmi_handle_t... + 1c950: 04040957 4d495f53 56435f43 4f4e4649 ...WMI_SVC_CONFI + 1c960: 47000000 3e280300 00408204 00060000 G...>(...@...... + 1c970: 40700103 0000409d 04000957 4d495f44 @p....@....WMI_D + 1c980: 49535041 5443485f 5441424c 45000000 ISPATCH_TABLE... + 1c990: 3efa0300 0040aa04 00020103 000040c9 >....@........@. + 1c9a0: 04000600 00264001 03000040 d2040002 .....&@....@.... + 1c9b0: 01030000 40df0400 06000001 0f010300 ....@........... + 1c9c0: 0040e804 00020103 000040f5 04000600 .@........@..... + 1c9d0: 0012d501 03000040 fe040007 5f776d69 .......@...._wmi + 1c9e0: 5f737663 5f617069 73002c00 00424608 _svc_apis.,..BF. + 1c9f0: 5f574d49 5f496e69 74000000 40a30223 _WMI_Init...@..# + 1ca00: 00085f57 4d495f52 65676973 74657244 .._WMI_RegisterD + 1ca10: 69737061 74636854 61626c65 00000040 ispatchTable...@ + 1ca20: cb022304 085f574d 495f416c 6c6f6345 ..#.._WMI_AllocE + 1ca30: 76656e74 00000040 d8022308 085f574d vent...@..#.._WM + 1ca40: 495f5365 6e644576 656e7400 000040e1 I_SendEvent...@. + 1ca50: 02230c08 5f574d49 5f476574 50656e64 .#.._WMI_GetPend + 1ca60: 696e6745 76656e74 73436f75 6e740000 ingEventsCount.. + 1ca70: 0040ee02 2310085f 574d495f 53656e64 .@..#.._WMI_Send + 1ca80: 436f6d70 6c657465 48616e64 6c657200 CompleteHandler. + 1ca90: 00003955 02231408 5f574d49 5f476574 ..9U.#.._WMI_Get + 1caa0: 436f6e74 726f6c45 70000000 40ee0223 ControlEp...@..# + 1cab0: 18085f57 4d495f53 68757464 6f776e00 .._WMI_Shutdown. + 1cac0: 000040f7 02231c08 5f574d49 5f526563 ..@..#.._WMI_Rec + 1cad0: 764d6573 73616765 48616e64 6c657200 vMessageHandler. + 1cae0: 0000394c 02232008 5f574d49 5f536572 ..9L.# ._WMI_Ser + 1caf0: 76696365 436f6e6e 65637400 00004104 viceConnect...A. + 1cb00: 02232408 70526573 65727665 64000000 .#$.pReserved... + 1cb10: 04040223 2800077a 73446d61 44657363 ...#(..zsDmaDesc + 1cb20: 00140000 42c80863 74726c00 00000173 ....B..ctrl....s + 1cb30: 02230008 73746174 75730000 00017302 .#..status....s. + 1cb40: 23020874 6f74616c 4c656e00 00000173 #..totalLen....s + 1cb50: 02230408 64617461 53697a65 00000001 .#..dataSize.... + 1cb60: 73022306 086c6173 74416464 72000000 s.#..lastAddr... + 1cb70: 42c80223 08086461 74614164 64720000 B..#..dataAddr.. + 1cb80: 00019702 230c086e 65787441 64647200 ....#..nextAddr. + 1cb90: 000042c8 02231000 03000042 46040003 ..B..#.....BF... + 1cba0: 00004246 0400077a 73446d61 51756575 ..BF...zsDmaQueu + 1cbb0: 65000800 00430808 68656164 00000042 e....C..head...B + 1cbc0: cf022300 08746572 6d696e61 746f7200 ..#..terminator. + 1cbd0: 000042cf 02230400 077a7354 78446d61 ..B..#...zsTxDma + 1cbe0: 51756575 65001000 00436c08 68656164 Queue....Cl.head + 1cbf0: 00000042 cf022300 08746572 6d696e61 ...B..#..termina + 1cc00: 746f7200 000042cf 02230408 786d6974 tor...B..#..xmit + 1cc10: 65645f62 75665f68 65616400 00001434 ed_buf_head....4 + 1cc20: 02230808 786d6974 65645f62 75665f74 .#..xmited_buf_t + 1cc30: 61696c00 00001434 02230c00 02010300 ail....4.#...... + 1cc40: 00436c04 00030000 42d60400 02010300 .Cl.....B....... + 1cc50: 00437c04 00030000 43080400 02010300 .C|.....C....... + 1cc60: 00438c04 00020103 00004395 04000201 .C........C..... + 1cc70: 03000043 9e040006 00001434 01030000 ...C.......4.... + 1cc80: 43a70400 02010300 0043b404 00060000 C........C...... + 1cc90: 14340103 000043bd 04000201 03000043 .4....C........C + 1cca0: ca040006 0000010f 01030000 43d30400 ............C... + 1ccb0: 06000042 cf010300 0043e004 00020103 ...B.....C...... + 1ccc0: 000043ed 04000764 6d615f65 6e67696e ..C....dma_engin + 1ccd0: 655f6170 69004000 00456308 5f696e69 e_api.@..Ec._ini + 1cce0: 74000000 436e0223 00085f69 6e69745f t...Cn.#.._init_ + 1ccf0: 72785f71 75657565 00000043 7e022304 rx_queue...C~.#. + 1cd00: 085f696e 69745f74 785f7175 65756500 ._init_tx_queue. + 1cd10: 0000438e 02230808 5f636f6e 6669675f ..C..#.._config_ + 1cd20: 72785f71 75657565 00000043 9702230c rx_queue...C..#. + 1cd30: 085f786d 69745f62 75660000 0043a002 ._xmit_buf...C.. + 1cd40: 2310085f 666c7573 685f786d 69740000 #.._flush_xmit.. + 1cd50: 00437e02 2314085f 72656170 5f726563 .C~.#.._reap_rec + 1cd60: 765f6275 66000000 43ad0223 18085f72 v_buf...C..#.._r + 1cd70: 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + 1cd80: 0043b602 231c085f 72656170 5f786d69 .C..#.._reap_xmi + 1cd90: 7465645f 62756600 000043c3 02232008 ted_buf...C..# . + 1cda0: 5f737761 705f6461 74610000 0043cc02 _swap_data...C.. + 1cdb0: 2324085f 6861735f 636f6d70 6c5f7061 #$._has_compl_pa + 1cdc0: 636b6574 73000000 43d90223 28085f64 ckets...C..#(._d + 1cdd0: 6573635f 64756d70 00000043 7e02232c esc_dump...C~.#, + 1cde0: 085f6765 745f7061 636b6574 00000043 ._get_packet...C + 1cdf0: e6022330 085f7265 636c6169 6d5f7061 ..#0._reclaim_pa + 1ce00: 636b6574 00000043 ef022334 085f7075 cket...C..#4._pu + 1ce10: 745f7061 636b6574 00000043 ef022338 t_packet...C..#8 + 1ce20: 08705265 73657276 65640000 00040402 .pReserved...... + 1ce30: 233c0009 5f415f63 6d6e6f73 5f696e64 #<.._A_cmnos_ind + 1ce40: 69726563 74696f6e 5f746162 6c655f74 irection_table_t + 1ce50: 00000030 a209574d 495f5356 435f4150 ...0..WMI_SVC_AP + 1ce60: 49530000 00410b17 5f415f6d 61677069 IS...A.._A_magpi + 1ce70: 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta + 1ce80: 626c6500 034c0000 46910863 6d6e6f73 ble..L..F..cmnos + 1ce90: 00000045 63022300 08646267 00000003 ...Ec.#..dbg.... + 1cea0: d10323b8 03086869 66000000 294b0323 ..#...hif...)K.# + 1ceb0: c0030868 74630000 003abe03 23f80308 ...htc...:..#... + 1cec0: 776d695f 7376635f 61706900 00004585 wmi_svc_api...E. + 1ced0: 0323ac04 08757362 6669666f 5f617069 .#...usbfifo_api + 1cee0: 00000032 760323d8 04086275 665f706f ...2v.#...buf_po + 1cef0: 6f6c0000 00371f03 23e40408 76627566 ol...7..#...vbuf + 1cf00: 00000014 5e032380 05087664 65736300 ....^.#...vdesc. + 1cf10: 00001340 03239405 08616c6c 6f637261 ...@.#...allocra + 1cf20: 6d000000 09360323 a8050864 6d615f65 m....6.#...dma_e + 1cf30: 6e67696e 65000000 43f60323 b4050864 ngine...C..#...d + 1cf40: 6d615f6c 69620000 002bdf03 23f40508 ma_lib...+..#... + 1cf50: 6869665f 70636900 00002e3f 0323a806 hif_pci....?.#.. + 1cf60: 00095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 1cf70: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 1cf80: 00004597 03000004 47040002 01060000 ..E.....G....... + 1cf90: 04040103 000046bd 04000b0b 03000046 ......F........F + 1cfa0: cb040006 00000404 01030000 46d30400 ............F... + 1cfb0: 06000001 0f010300 0046e004 001a010a .........F...... + 1cfc0: 636d6e6f 735f6d65 6d5f696e 69740001 cmnos_mem_init.. + 1cfd0: 01039201 20029000 008e2318 008e231d .... .....#...#. + 1cfe0: 1b011663 6d6e6f73 5f6d656d 5f6d6f64 ...cmnos_mem_mod + 1cff0: 756c655f 696e7374 616c6c00 01010392 ule_install..... + 1d000: 01200290 00008e23 20008e23 3e1c0116 . .....# ..#>... + 1d010: 74626c00 000046b4 01520000 0000004b tbl...F..R.....K + 1d020: 99000200 000b7c04 012f726f 6f742f57 ......|../root/W + 1d030: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1d040: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1d050: 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + 1d060: 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + 1d070: 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + 1d080: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 1d090: 632f7372 632f636d 6e6f735f 6d697363 c/src/cmnos_misc + 1d0a0: 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa + 1d0b0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 1d0c0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 1d0d0: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 1d0e0: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 1d0f0: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 1d100: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 1d110: 202d6733 202d4f50 543a7370 61636500 -g3 -OPT:space. + 1d120: 01000000 6d830201 03000001 09040004 ....m........... + 1d130: 696e7400 05040463 68617200 07010500 int....char..... + 1d140: 00011905 00000119 03000001 26040006 ............&... + 1d150: 00000112 01030000 01320400 07707269 .........2...pri + 1d160: 6e74665f 61706900 08000001 76085f70 ntf_api.....v._p + 1d170: 72696e74 665f696e 69740000 00010b02 rintf_init...... + 1d180: 2300085f 7072696e 74660000 00013802 #.._printf....8. + 1d190: 23040004 73686f72 7420756e 7369676e #...short unsign + 1d1a0: 65642069 6e740007 02097569 6e743136 ed int....uint16 + 1d1b0: 5f740000 00017604 6c6f6e67 20756e73 _t....v.long uns + 1d1c0: 69676e65 6420696e 74000704 0975696e igned int....uin + 1d1d0: 7433325f 74000000 019a0775 6172745f t32_t......uart_ + 1d1e0: 6669666f 00080000 02080873 74617274 fifo.......start + 1d1f0: 5f696e64 65780000 00018c02 23000865 _index......#..e + 1d200: 6e645f69 6e646578 00000001 8c022302 nd_index......#. + 1d210: 086f7665 7272756e 5f657272 00000001 .overrun_err.... + 1d220: af022304 00077561 72745f61 70690020 ..#...uart_api. + 1d230: 000002c1 085f7561 72745f69 6e697400 ....._uart_init. + 1d240: 00000318 02230008 5f756172 745f6368 .....#.._uart_ch + 1d250: 61725f70 75740000 00033f02 2304085f ar_put....?.#.._ + 1d260: 75617274 5f636861 725f6765 74000000 uart_char_get... + 1d270: 03530223 08085f75 6172745f 7374725f .S.#.._uart_str_ + 1d280: 6f757400 0000035c 02230c08 5f756172 out....\.#.._uar + 1d290: 745f7461 736b0000 00010b02 2310085f t_task......#.._ + 1d2a0: 75617274 5f737461 74757300 00000318 uart_status..... + 1d2b0: 02231408 5f756172 745f636f 6e666967 .#.._uart_config + 1d2c0: 00000003 65022318 085f7561 72745f68 ....e.#.._uart_h + 1d2d0: 77696e69 74000000 036e0223 1c000300 winit....n.#.... + 1d2e0: 00020804 00077561 72745f62 6c6b0010 ......uart_blk.. + 1d2f0: 00000312 08646562 75675f6d 6f646500 .....debug_mode. + 1d300: 0000018c 02230008 62617564 00000001 .....#..baud.... + 1d310: 8c022302 085f7561 72740000 0002c102 ..#.._uart...... + 1d320: 2304085f 74780000 0001bd02 23080006 #.._tx......#... + 1d330: 000001af 01030000 03120400 04756e73 .............uns + 1d340: 69676e65 64206368 61720007 01097569 igned char....ui + 1d350: 6e74385f 74000000 031f0201 03000003 nt8_t........... + 1d360: 3d040003 00000330 04000600 00018c01 =......0........ + 1d370: 03000003 4d040002 01030000 035a0400 ....M........Z.. + 1d380: 02010300 00036304 00020103 0000036c ......c........l + 1d390: 04000300 00011904 00060000 01120103 ................ + 1d3a0: 0000037c 04000744 425f434f 4d4d414e ...|...DB_COMMAN + 1d3b0: 445f5354 52554354 000c0000 03d40863 D_STRUCT.......c + 1d3c0: 6d645f73 74720000 00037502 23000868 md_str....u.#..h + 1d3d0: 656c705f 73747200 00000375 02230408 elp_str....u.#.. + 1d3e0: 636d645f 66756e63 00000003 82022308 cmd_func......#. + 1d3f0: 00076462 675f6170 69000800 00040708 ..dbg_api....... + 1d400: 5f646267 5f696e69 74000000 010b0223 _dbg_init......# + 1d410: 00085f64 62675f74 61736b00 0000010b .._dbg_task..... + 1d420: 02230400 0a040004 756e7369 676e6564 .#......unsigned + 1d430: 20696e74 00070406 00000407 01030000 int............ + 1d440: 041a0400 0b0b0300 00042804 00060000 ..........(..... + 1d450: 04070103 00000430 04000600 00011201 .......0........ + 1d460: 03000004 3d040007 6d656d5f 61706900 ....=...mem_api. + 1d470: 14000004 ac085f6d 656d5f69 6e697400 ......_mem_init. + 1d480: 0000010b 02230008 5f6d656d 73657400 .....#.._memset. + 1d490: 00000420 02230408 5f6d656d 63707900 ... .#.._memcpy. + 1d4a0: 00000436 02230808 5f6d656d 6d6f7665 ...6.#.._memmove + 1d4b0: 00000004 3602230c 085f6d65 6d636d70 ....6.#.._memcmp + 1d4c0: 00000004 43022310 00077265 67697374 ....C.#...regist + 1d4d0: 65725f64 756d705f 73009000 00051308 er_dump_s....... + 1d4e0: 74617267 65745f69 64000000 01af0223 target_id......# + 1d4f0: 00086173 736c696e 65000000 01af0223 ..assline......# + 1d500: 04087063 00000001 af022308 08626164 ..pc......#..bad + 1d510: 76616464 72000000 01af0223 0c086578 vaddr......#..ex + 1d520: 635f6672 616d6500 0000482f 02231000 c_frame...H/.#.. + 1d530: 03000004 ac040002 01030000 051a0400 ................ + 1d540: 02010300 00052304 00060000 01120103 ......#......... + 1d550: 0000052c 04000c68 6f737469 665f7300 ...,...hostif_s. + 1d560: 04000005 880d4849 465f5553 4200000d ......HIF_USB... + 1d570: 4849465f 50434945 00010d48 49465f47 HIF_PCIE...HIF_G + 1d580: 4d414300 020d4849 465f5043 4900030d MAC...HIF_PCI... + 1d590: 4849465f 4e554d00 040d4849 465f4e4f HIF_NUM...HIF_NO + 1d5a0: 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. + 1d5b0: 00053906 00000588 01030000 05960400 ..9............. + 1d5c0: 06000003 30010300 0005a304 00060000 ....0........... + 1d5d0: 018c0103 000005b0 0400076d 6973635f ...........misc_ + 1d5e0: 61706900 24000006 a0085f73 79737465 api.$....._syste + 1d5f0: 6d5f7265 73657400 0000010b 02230008 m_reset......#.. + 1d600: 5f6d6163 5f726573 65740000 00010b02 _mac_reset...... + 1d610: 2304085f 61737366 61696c00 0000051c #.._assfail..... + 1d620: 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ + 1d630: 6c6f6164 5f68616e 646c6572 00000005 load_handler.... + 1d640: 1c02230c 085f7265 706f7274 5f666169 ..#.._report_fai + 1d650: 6c757265 5f746f5f 686f7374 00000005 lure_to_host.... + 1d660: 25022310 085f7461 72676574 5f69645f %.#.._target_id_ + 1d670: 67657400 00000532 02231408 5f69735f get....2.#.._is_ + 1d680: 686f7374 5f707265 73656e74 00000005 host_present.... + 1d690: 9c022318 085f6b62 68697400 000005a9 ..#.._kbhit..... + 1d6a0: 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version + 1d6b0: 5f676574 00000005 b6022320 00060000 _get......# .... + 1d6c0: 03750103 000006a0 04000600 00037501 .u............u. + 1d6d0: 03000006 ad040006 00000112 01030000 ................ + 1d6e0: 06ba0400 06000001 12010300 0006c704 ................ + 1d6f0: 00060000 01120103 000006d4 04000773 ...............s + 1d700: 7472696e 675f6170 69001800 00075a08 tring_api.....Z. + 1d710: 5f737472 696e675f 696e6974 00000001 _string_init.... + 1d720: 0b022300 085f7374 72637079 00000006 ..#.._strcpy.... + 1d730: a6022304 085f7374 726e6370 79000000 ..#.._strncpy... + 1d740: 06b30223 08085f73 74726c65 6e000000 ...#.._strlen... + 1d750: 06c00223 0c085f73 7472636d 70000000 ...#.._strcmp... + 1d760: 06cd0223 10085f73 74726e63 6d700000 ...#.._strncmp.. + 1d770: 0006da02 2314000e 0000040a 14000007 ....#........... + 1d780: 670f0400 095f415f 54494d45 525f5350 g...._A_TIMER_SP + 1d790: 41434500 0000075a 09415f74 696d6572 ACE....Z.A_timer + 1d7a0: 5f740000 00076703 0000077b 04000201 _t....g....{.... + 1d7b0: 03000007 91040002 01030000 079a0400 ................ + 1d7c0: 09415f48 414e444c 45000000 040a0201 .A_HANDLE....... + 1d7d0: 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... + 1d7e0: 07b10300 0007b304 00020103 000007cc ................ + 1d7f0: 04000774 696d6572 5f617069 00140000 ...timer_api.... + 1d800: 084b085f 74696d65 725f696e 69740000 .K._timer_init.. + 1d810: 00010b02 2300085f 74696d65 725f6172 ....#.._timer_ar + 1d820: 6d000000 07930223 04085f74 696d6572 m......#.._timer + 1d830: 5f646973 61726d00 0000079c 02230808 _disarm......#.. + 1d840: 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... + 1d850: ce02230c 085f7469 6d65725f 72756e00 ..#.._timer_run. + 1d860: 0000010b 02231000 09424f4f 4c45414e .....#...BOOLEAN + 1d870: 00000001 8c060000 084b0103 00000858 .........K.....X + 1d880: 04000600 00084b01 03000008 65040006 ......K.....e... + 1d890: 0000084b 01030000 08720400 07726f6d ...K.....r...rom + 1d8a0: 705f6170 69001000 0008e408 5f726f6d p_api......._rom + 1d8b0: 705f696e 69740000 00010b02 2300085f p_init......#.._ + 1d8c0: 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... + 1d8d0: 085e0223 04085f72 6f6d705f 696e7374 .^.#.._romp_inst + 1d8e0: 616c6c00 0000086b 02230808 5f726f6d all....k.#.._rom + 1d8f0: 705f6465 636f6465 00000008 7802230c p_decode....x.#. + 1d900: 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. + 1d910: 00000940 08637263 31360000 00018c02 ...@.crc16...... + 1d920: 2300086c 656e0000 00018c02 2302086c #..len......#..l + 1d930: 645f6164 64720000 0001af02 23040866 d_addr......#..f + 1d940: 756e5f61 64647200 000001af 02230808 un_addr......#.. + 1d950: 7066756e 00000003 4602230c 00076565 pfun....F.#...ee + 1d960: 705f7265 6469725f 61646472 00040000 p_redir_addr.... + 1d970: 0972086f 66667365 74000000 018c0223 .r.offset......# + 1d980: 00087369 7a650000 00018c02 23020009 ..size......#... + 1d990: 415f5549 4e543332 00000004 0a060000 A_UINT32........ + 1d9a0: 04070103 00000980 04000761 6c6c6f63 ...........alloc + 1d9b0: 72616d5f 61706900 0c000009 f108636d ram_api.......cm + 1d9c0: 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini + 1d9d0: 74000000 09860223 0008636d 6e6f735f t......#..cmnos_ + 1d9e0: 616c6c6f 6372616d 00000009 86022304 allocram......#. + 1d9f0: 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ + 1da00: 64656275 67000000 010b0223 08000201 debug......#.... + 1da10: 03000009 f1040009 415f5441 534b4c45 ........A_TASKLE + 1da20: 545f4655 4e430000 0009f307 5f746173 T_FUNC......_tas + 1da30: 6b6c6574 00100000 0a520866 756e6300 klet.....R.func. + 1da40: 000009fa 02230008 61726700 00000407 .....#..arg..... + 1da50: 02230408 73746174 65000000 01120223 .#..state......# + 1da60: 08086e65 78740000 000a5202 230c0003 ..next....R.#... + 1da70: 00000a0e 04000300 000a0e04 0009415f ..............A_ + 1da80: 7461736b 6c65745f 74000000 0a0e0300 tasklet_t....... + 1da90: 000a6004 00020103 00000a78 04000201 ..`........x.... + 1daa0: 0300000a 81040007 7461736b 6c65745f ........tasklet_ + 1dab0: 61706900 1400000b 16085f74 61736b6c api......._taskl + 1dac0: 65745f69 6e697400 0000010b 02230008 et_init......#.. + 1dad0: 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta + 1dae0: 736b0000 000a7a02 2304085f 7461736b sk....z.#.._task + 1daf0: 6c65745f 64697361 626c6500 00000a83 let_disable..... + 1db00: 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch + 1db10: 6564756c 65000000 0a830223 0c085f74 edule......#.._t + 1db20: 61736b6c 65745f72 756e0000 00010b02 asklet_run...... + 1db30: 23100002 01030000 0b160400 06000009 #............... + 1db40: 72010300 000b1f04 00020103 00000b2c r.............., + 1db50: 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. + 1db60: 0c0e085f 636c6f63 6b5f696e 69740000 ..._clock_init.. + 1db70: 000b1802 2300085f 636c6f63 6b726567 ....#.._clockreg + 1db80: 735f696e 69740000 00010b02 2304085f s_init......#.._ + 1db90: 75617274 5f667265 7175656e 63790000 uart_frequency.. + 1dba0: 000b2502 2308085f 64656c61 795f7573 ..%.#.._delay_us + 1dbb0: 0000000b 2e02230c 085f776c 616e5f62 ......#.._wlan_b + 1dbc0: 616e645f 73657400 00000b2e 02231008 and_set......#.. + 1dbd0: 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 1dbe0: 74000000 0b250223 14085f6d 696c6c69 t....%.#.._milli + 1dbf0: 7365636f 6e647300 00000b25 02231808 seconds....%.#.. + 1dc00: 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 1dc10: 00010b02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti + 1dc20: 636b0000 00010b02 23200006 000001af ck......# ...... + 1dc30: 01030000 0c0e0400 09415f6f 6c645f69 .........A_old_i + 1dc40: 6e74725f 74000000 01af0600 000c1b01 ntr_t........... + 1dc50: 0300000c 2d040002 01030000 0c3a0400 ....-........:.. + 1dc60: 02010300 000c4304 00060000 01af0103 ......C......... + 1dc70: 00000c4c 04000941 5f697372 5f740000 ...L...A_isr_t.. + 1dc80: 000c5202 01030000 0c660400 06000004 ..R......f...... + 1dc90: 0a010300 000c6f04 00020103 00000c7c ......o........| + 1dca0: 04000769 6e74725f 61706900 2c00000d ...intr_api.,... + 1dcb0: 9e085f69 6e74725f 696e6974 00000001 .._intr_init.... + 1dcc0: 0b022300 085f696e 74725f69 6e766f6b ..#.._intr_invok + 1dcd0: 655f6973 72000000 0c140223 04085f69 e_isr......#.._i + 1dce0: 6e74725f 64697361 626c6500 00000c33 ntr_disable....3 + 1dcf0: 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor + 1dd00: 65000000 0c3c0223 0c085f69 6e74725f e....<.#.._intr_ + 1dd10: 6d61736b 5f696e75 6d000000 0c450223 mask_inum....E.# + 1dd20: 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 1dd30: 6e756d00 00000c45 02231408 5f696e74 num....E.#.._int + 1dd40: 725f6174 74616368 5f697372 0000000c r_attach_isr.... + 1dd50: 68022318 085f6765 745f696e 7472656e h.#.._get_intren + 1dd60: 61626c65 0000000c 7502231c 085f7365 able....u.#.._se + 1dd70: 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 1dd80: 7e022320 085f6765 745f696e 74727065 ~.# ._get_intrpe + 1dd90: 6e64696e 67000000 0c750223 24085f75 nding....u.#$._u + 1dda0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 1ddb0: 766c0000 00010b02 23280010 0400000d vl......#(...... + 1ddc0: c4087469 6d656f75 74000000 01af0223 ..timeout......# + 1ddd0: 00086163 74696f6e 00000001 af022300 ..action......#. + 1dde0: 00110800 000ddf08 636d6400 000001af ........cmd..... + 1ddf0: 02230012 00000d9e 02230400 09545f57 .#.......#...T_W + 1de00: 44545f43 4d440000 000dc402 01030000 DT_CMD.......... + 1de10: 0dee0400 13040000 0e440d45 4e554d5f .........D.ENUM_ + 1de20: 5744545f 424f4f54 00010d45 4e554d5f WDT_BOOT...ENUM_ + 1de30: 434f4c44 5f424f4f 5400020d 454e554d COLD_BOOT...ENUM + 1de40: 5f535553 505f424f 4f540003 0d454e55 _SUSP_BOOT...ENU + 1de50: 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 1de60: 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 1de70: 0df70600 000e4401 0300000e 55040007 ......D.....U... + 1de80: 7764745f 61706900 1c00000e f9085f77 wdt_api......._w + 1de90: 64745f69 6e697400 0000010b 02230008 dt_init......#.. + 1dea0: 5f776474 5f656e61 626c6500 0000010b _wdt_enable..... + 1deb0: 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable + 1dec0: 00000001 0b022308 085f7764 745f7365 ......#.._wdt_se + 1ded0: 74000000 0df00223 0c085f77 64745f74 t......#.._wdt_t + 1dee0: 61736b00 0000010b 02231008 5f776474 ask......#.._wdt + 1def0: 5f726573 65740000 00010b02 2314085f _reset......#.._ + 1df00: 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 1df10: 0e5b0223 18001304 00000f60 0d524554 .[.#.......`.RET + 1df20: 5f535543 43455353 00000d52 45545f4e _SUCCESS...RET_N + 1df30: 4f545f49 4e495400 010d5245 545f4e4f OT_INIT...RET_NO + 1df40: 545f4558 49535400 020d5245 545f4545 T_EXIST...RET_EE + 1df50: 505f434f 52525550 5400030d 5245545f P_CORRUPT...RET_ + 1df60: 4545505f 4f564552 464c4f57 00040d52 EEP_OVERFLOW...R + 1df70: 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ + 1df80: 4545505f 52455400 00000ef9 03000001 EEP_RET......... + 1df90: 8c040006 00000f60 01030000 0f760400 .......`.....v.. + 1dfa0: 0600000f 60010300 000f8304 00076565 ....`.........ee + 1dfb0: 705f6170 69001000 000fec08 5f656570 p_api......._eep + 1dfc0: 5f696e69 74000000 010b0223 00085f65 _init......#.._e + 1dfd0: 65705f72 65616400 00000f7c 02230408 ep_read....|.#.. + 1dfe0: 5f656570 5f777269 74650000 000f7c02 _eep_write....|. + 1dff0: 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist + 1e000: 0000000f 8902230c 00077573 625f6170 ......#...usb_ap + 1e010: 69007000 00129908 5f757362 5f696e69 i.p....._usb_ini + 1e020: 74000000 010b0223 00085f75 73625f72 t......#.._usb_r + 1e030: 6f6d5f74 61736b00 0000010b 02230408 om_task......#.. + 1e040: 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... + 1e050: 0b022308 085f7573 625f696e 69745f70 ..#.._usb_init_p + 1e060: 68790000 00010b02 230c085f 7573625f hy......#.._usb_ + 1e070: 6570305f 73657475 70000000 010b0223 ep0_setup......# + 1e080: 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 1e090: 010b0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r + 1e0a0: 78000000 010b0223 18085f75 73625f67 x......#.._usb_g + 1e0b0: 65745f69 6e746572 66616365 00000008 et_interface.... + 1e0c0: 6b02231c 085f7573 625f7365 745f696e k.#.._usb_set_in + 1e0d0: 74657266 61636500 0000086b 02232008 terface....k.# . + 1e0e0: 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 1e0f0: 72617469 6f6e0000 00086b02 2324085f ration....k.#$._ + 1e100: 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 1e110: 6174696f 6e000000 086b0223 28085f75 ation....k.#(._u + 1e120: 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 1e130: 0000086b 02232c08 5f757362 5f76656e ...k.#,._usb_ven + 1e140: 646f725f 636d6400 0000010b 02233008 dor_cmd......#0. + 1e150: 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 1e160: 00010b02 2334085f 7573625f 72657365 ....#4._usb_rese + 1e170: 745f6669 666f0000 00010b02 2338085f t_fifo......#8._ + 1e180: 7573625f 67656e5f 77647400 0000010b usb_gen_wdt..... + 1e190: 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 1e1a0: 6f740000 00010b02 2340085f 7573625f ot......#@._usb_ + 1e1b0: 636c725f 66656174 75726500 0000086b clr_feature....k + 1e1c0: 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea + 1e1d0: 74757265 00000008 6b022348 085f7573 ture....k.#H._us + 1e1e0: 625f7365 745f6164 64726573 73000000 b_set_address... + 1e1f0: 086b0223 4c085f75 73625f67 65745f64 .k.#L._usb_get_d + 1e200: 65736372 6970746f 72000000 086b0223 escriptor....k.# + 1e210: 50085f75 73625f67 65745f73 74617475 P._usb_get_statu + 1e220: 73000000 086b0223 54085f75 73625f73 s....k.#T._usb_s + 1e230: 65747570 5f646573 63000000 010b0223 etup_desc......# + 1e240: 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 1e250: 00010b02 235c085f 7573625f 73746174 ....#\._usb_stat + 1e260: 75735f69 6e000000 010b0223 60085f75 us_in......#`._u + 1e270: 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 1e280: 00010b02 2364085f 7573625f 6570305f ....#d._usb_ep0_ + 1e290: 72785f64 61746100 0000010b 02236808 rx_data......#h. + 1e2a0: 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 1e2b0: 010b0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. + 1e2c0: 00132508 6e657874 5f646573 63000000 ..%.next_desc... + 1e2d0: 13250223 00086275 665f6164 64720000 .%.#..buf_addr.. + 1e2e0: 00133902 23040862 75665f73 697a6500 ..9.#..buf_size. + 1e2f0: 00001340 02230808 64617461 5f6f6666 ...@.#..data_off + 1e300: 73657400 00001340 02230a08 64617461 set....@.#..data + 1e310: 5f73697a 65000000 13400223 0c08636f _size....@.#..co + 1e320: 6e74726f 6c000000 13400223 0e086877 ntrol....@.#..hw + 1e330: 5f646573 635f6275 66000000 134e0223 _desc_buf....N.# + 1e340: 10000300 00129904 0009415f 55494e54 ..........A_UINT + 1e350: 38000000 031f0300 00132c04 0009415f 8.........,...A_ + 1e360: 55494e54 31360000 0001760e 0000132c UINT16....v...., + 1e370: 14000013 5b0f1300 03000012 99040009 ....[........... + 1e380: 56444553 43000000 12990300 00136204 VDESC.........b. + 1e390: 00060000 136d0103 00001374 04000600 .....m.....t.... + 1e3a0: 00133901 03000013 81040002 01030000 ..9............. + 1e3b0: 138e0400 07766465 73635f61 70690014 .....vdesc_api.. + 1e3c0: 00001406 085f696e 69740000 000b2e02 ....._init...... + 1e3d0: 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 1e3e0: 0000137a 02230408 5f676574 5f68775f ...z.#.._get_hw_ + 1e3f0: 64657363 00000013 87022308 085f7377 desc......#.._sw + 1e400: 61705f76 64657363 00000013 9002230c ap_vdesc......#. + 1e410: 08705265 73657276 65640000 00040702 .pReserved...... + 1e420: 23100007 5f564255 46002000 00146608 #..._VBUF. ...f. + 1e430: 64657363 5f6c6973 74000000 136d0223 desc_list....m.# + 1e440: 00086e65 78745f62 75660000 00146602 ..next_buf....f. + 1e450: 23040862 75665f6c 656e6774 68000000 #..buf_length... + 1e460: 13400223 08087265 73657276 65640000 .@.#..reserved.. + 1e470: 00146d02 230a0863 74780000 00134e02 ..m.#..ctx....N. + 1e480: 230c0003 00001406 04000e00 00132c02 #.............,. + 1e490: 0000147a 0f010003 00001406 04000956 ...z...........V + 1e4a0: 42554600 00001406 03000014 81040006 BUF............. + 1e4b0: 0000148b 01030000 14920400 06000014 ................ + 1e4c0: 8b010300 00149f04 00020103 000014ac ................ + 1e4d0: 04000776 6275665f 61706900 14000015 ...vbuf_api..... + 1e4e0: 2a085f69 6e697400 00000b2e 02230008 *._init......#.. + 1e4f0: 5f616c6c 6f635f76 62756600 00001498 _alloc_vbuf..... + 1e500: 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 1e510: 77697468 5f73697a 65000000 14a50223 with_size......# + 1e520: 08085f66 7265655f 76627566 00000014 .._free_vbuf.... + 1e530: ae02230c 08705265 73657276 65640000 ..#..pReserved.. + 1e540: 00040702 23100007 5f5f6164 665f6465 ....#...__adf_de + 1e550: 76696365 00040000 154c0864 756d6d79 vice.....L.dummy + 1e560: 00000001 12022300 00030000 09720400 ......#......r.. + 1e570: 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 1e580: 00001593 08627566 00000014 8b022300 .....buf......#. + 1e590: 0864735f 61646472 00000015 4c022304 .ds_addr....L.#. + 1e5a0: 0864735f 6c656e00 00001340 02230800 .ds_len....@.#.. + 1e5b0: 110c0000 15cd085f 5f76615f 73746b00 .......__va_stk. + 1e5c0: 00000375 02230008 5f5f7661 5f726567 ...u.#..__va_reg + 1e5d0: 00000003 75022304 085f5f76 615f6e64 ....u.#..__va_nd + 1e5e0: 78000000 01120223 0800095f 5f616466 x......#...__adf + 1e5f0: 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 1e600: 00097209 6164665f 6f735f64 6d615f61 ..r.adf_os_dma_a + 1e610: 6464725f 74000000 15cd095f 5f616466 ddr_t......__adf + 1e620: 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 1e630: 00097209 6164665f 6f735f64 6d615f73 ..r.adf_os_dma_s + 1e640: 697a655f 74000000 15fd075f 5f646d61 ize_t......__dma + 1e650: 5f736567 73000800 00165908 70616464 _segs.....Y.padd + 1e660: 72000000 15e60223 00086c65 6e000000 r......#..len... + 1e670: 16160223 0400095f 5f615f75 696e7433 ...#...__a_uint3 + 1e680: 325f7400 00000972 09615f75 696e7433 2_t....r.a_uint3 + 1e690: 325f7400 00001659 0e000016 2d080000 2_t....Y....-... + 1e6a0: 16880f00 00076164 665f6f73 5f646d61 ......adf_os_dma + 1e6b0: 6d61705f 696e666f 000c0000 16c1086e map_info.......n + 1e6c0: 73656773 00000016 6b022300 08646d61 segs....k.#..dma + 1e6d0: 5f736567 73000000 167b0223 0400095f _segs....{.#..._ + 1e6e0: 5f615f75 696e7438 5f740000 00132c09 _a_uint8_t....,. + 1e6f0: 615f7569 6e74385f 74000000 16c10300 a_uint8_t....... + 1e700: 0016d204 00075f5f 73675f73 65677300 ......__sg_segs. + 1e710: 08000017 13087661 64647200 000016e1 ......vaddr..... + 1e720: 02230008 6c656e00 0000166b 02230400 .#..len....k.#.. + 1e730: 0e000016 e8200000 17200f03 00076164 ..... ... ....ad + 1e740: 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... + 1e750: 53086e73 65677300 0000166b 02230008 S.nsegs....k.#.. + 1e760: 73675f73 65677300 00001713 02230400 sg_segs......#.. + 1e770: 11100000 179c0876 656e646f 72000000 .......vendor... + 1e780: 166b0223 00086465 76696365 00000016 .k.#..device.... + 1e790: 6b022304 08737562 76656e64 6f720000 k.#..subvendor.. + 1e7a0: 00166b02 23080873 75626465 76696365 ..k.#..subdevice + 1e7b0: 00000016 6b02230c 00046c6f 6e67206c ....k.#...long l + 1e7c0: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 1e7d0: 00070809 415f5549 4e543634 00000017 ....A_UINT64.... + 1e7e0: 9c095f5f 615f7569 6e743634 5f740000 ..__a_uint64_t.. + 1e7f0: 0017b609 615f7569 6e743634 5f740000 ....a_uint64_t.. + 1e800: 0017c413 04000018 220d4144 465f4f53 ........".ADF_OS + 1e810: 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 1e820: 454d0000 0d414446 5f4f535f 5245534f EM...ADF_OS_RESO + 1e830: 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... + 1e840: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 1e850: 74797065 5f740000 0017e611 18000018 type_t.......... + 1e860: 6c087374 61727400 000017d6 02230008 l.start......#.. + 1e870: 656e6400 000017d6 02230808 74797065 end......#..type + 1e880: 00000018 22022310 00096164 665f6f73 ....".#...adf_os + 1e890: 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 1e8a0: 17530300 00186c04 00100400 0018ab08 .S....l......... + 1e8b0: 70636900 00001885 02230008 72617700 pci......#..raw. + 1e8c0: 00000407 02230000 10100000 18ca0870 .....#.........p + 1e8d0: 63690000 00186c02 23000872 61770000 ci....l.#..raw.. + 1e8e0: 00040702 23000009 6164665f 6472765f ....#...adf_drv_ + 1e8f0: 68616e64 6c655f74 00000004 07096164 handle_t......ad + 1e900: 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 1e910: 0000183e 03000018 e0040009 6164665f ...>........adf_ + 1e920: 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 1e930: 00000018 ab030000 18fe0400 03000015 ................ + 1e940: 2a040009 5f5f6164 665f6f73 5f646576 *...__adf_os_dev + 1e950: 6963655f 74000000 191f0961 64665f6f ice_t......adf_o + 1e960: 735f6465 76696365 5f740000 00192606 s_device_t....&. + 1e970: 000018ca 01030000 19520400 02010300 .........R...... + 1e980: 00195f04 00096164 665f6f73 5f706d5f .._...adf_os_pm_ + 1e990: 74000000 04070201 03000019 79040013 t...........y... + 1e9a0: 04000019 b90d4144 465f4f53 5f425553 ......ADF_OS_BUS + 1e9b0: 5f545950 455f5043 4900010d 4144465f _TYPE_PCI...ADF_ + 1e9c0: 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 1e9d0: 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu + 1e9e0: 735f7479 70655f74 00000019 82096164 s_type_t......ad + 1e9f0: 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 1ea00: 615f7400 0000188c 03000003 1f040007 a_t............. + 1ea10: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 1ea20: 001a9608 6472765f 61747461 63680000 ....drv_attach.. + 1ea30: 00195802 23000864 72765f64 65746163 ..X.#..drv_detac + 1ea40: 68000000 19610223 04086472 765f7375 h....a.#..drv_su + 1ea50: 7370656e 64000000 197b0223 08086472 spend....{.#..dr + 1ea60: 765f7265 73756d65 00000019 6102230c v_resume....a.#. + 1ea70: 08627573 5f747970 65000000 19b90223 .bus_type......# + 1ea80: 10086275 735f6461 74610000 0019d002 ..bus_data...... + 1ea90: 2314086d 6f645f6e 616d6500 000019eb #..mod_name..... + 1eaa0: 02231808 69666e61 6d650000 0019eb02 .#..ifname...... + 1eab0: 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl + 1eac0: 655f7400 00000407 03000016 c1040002 e_t............. + 1ead0: 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 1eae0: 655f7400 0000040a 13040000 1ae50d41 e_t............A + 1eaf0: 5f46414c 53450000 0d415f54 52554500 _FALSE...A_TRUE. + 1eb00: 01000961 5f626f6f 6c5f7400 00001acb ...a_bool_t..... + 1eb10: 03000015 53040009 5f5f6164 665f6f73 ....S...__adf_os + 1eb20: 5f646d61 5f6d6170 5f740000 001af302 _dma_map_t...... + 1eb30: 010c6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 1eb40: 796e6300 0400001b 7d0d4144 465f5359 ync.....}.ADF_SY + 1eb50: 4e435f50 52455245 41440000 0d414446 NC_PREREAD...ADF + 1eb60: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 1eb70: 0d414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 1eb80: 41440001 0d414446 5f53594e 435f504f AD...ADF_SYNC_PO + 1eb90: 53545752 49544500 03000961 64665f6f STWRITE....adf_o + 1eba0: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 1ebb0: 001b1402 01096164 665f6f73 5f73697a ......adf_os_siz + 1ebc0: 655f7400 00001ab6 0600001b 98010961 e_t............a + 1ebd0: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 1ebe0: 00001afa 0300001b b1040006 00000407 ................ + 1ebf0: 01030000 1afa0400 06000004 07010201 ................ + 1ec00: 06000015 e6010201 0473686f 72742069 .........short i + 1ec10: 6e740005 0209415f 494e5431 36000000 nt....A_INT16... + 1ec20: 1beb095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 1ec30: 001bf809 615f696e 7431365f 74000000 ....a_int16_t... + 1ec40: 1c050473 69676e65 64206368 61720005 ...signed char.. + 1ec50: 0109415f 494e5438 0000001c 25095f5f ..A_INT8....%.__ + 1ec60: 615f696e 74385f74 0000001c 3409615f a_int8_t....4.a_ + 1ec70: 696e7438 5f740000 001c4011 0c00001c int8_t....@..... + 1ec80: b7087375 70706f72 74656400 0000166b ..supported....k + 1ec90: 02230008 61647665 7274697a 65640000 .#..advertized.. + 1eca0: 00166b02 23040873 70656564 0000001c ..k.#..speed.... + 1ecb0: 16022308 08647570 6c657800 00001c50 ..#..duplex....P + 1ecc0: 02230a08 6175746f 6e656700 000016d2 .#..autoneg..... + 1ecd0: 02230b00 0e000016 d2060000 1cc40f05 .#.............. + 1ece0: 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 1ecf0: 72000600 001ce808 61646472 0000001c r.......addr.... + 1ed00: b7022300 00095f5f 615f7569 6e743136 ..#...__a_uint16 + 1ed10: 5f740000 00134009 615f7569 6e743136 _t....@.a_uint16 + 1ed20: 5f740000 001ce811 0e00001d 4c086574 _t..........L.et + 1ed30: 6865725f 64686f73 74000000 1cb70223 her_dhost......# + 1ed40: 00086574 6865725f 73686f73 74000000 ..ether_shost... + 1ed50: 1cb70223 06086574 6865725f 74797065 ...#..ether_type + 1ed60: 0000001c fa02230c 00111400 001e0d14 ......#......... + 1ed70: 69705f76 65727369 6f6e0000 0016d201 ip_version...... + 1ed80: 00040223 00146970 5f686c00 000016d2 ...#..ip_hl..... + 1ed90: 01040402 23000869 705f746f 73000000 ....#..ip_tos... + 1eda0: 16d20223 01086970 5f6c656e 0000001c ...#..ip_len.... + 1edb0: fa022302 0869705f 69640000 001cfa02 ..#..ip_id...... + 1edc0: 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. + 1edd0: 001cfa02 23060869 705f7474 6c000000 ....#..ip_ttl... + 1ede0: 16d20223 08086970 5f70726f 746f0000 ...#..ip_proto.. + 1edf0: 0016d202 23090869 705f6368 65636b00 ....#..ip_check. + 1ee00: 00001cfa 02230a08 69705f73 61646472 .....#..ip_saddr + 1ee10: 00000016 6b02230c 0869705f 64616464 ....k.#..ip_dadd + 1ee20: 72000000 166b0223 10000761 64665f6e r....k.#...adf_n + 1ee30: 65745f76 6c616e68 64720004 00001e5f et_vlanhdr....._ + 1ee40: 08747069 64000000 1cfa0223 00147072 .tpid......#..pr + 1ee50: 696f0000 0016d201 00030223 02146366 io.........#..cf + 1ee60: 69000000 16d20103 01022302 14766964 i.........#..vid + 1ee70: 0000001c fa02040c 02230200 07616466 .........#...adf + 1ee80: 5f6e6574 5f766964 00020000 1e901472 _net_vid.......r + 1ee90: 65730000 0016d201 00040223 00147661 es.........#..va + 1eea0: 6c000000 1cfa0204 0c022300 00110c00 l.........#..... + 1eeb0: 001ecc08 72785f62 75667369 7a650000 ....rx_bufsize.. + 1eec0: 00166b02 23000872 785f6e64 65736300 ..k.#..rx_ndesc. + 1eed0: 0000166b 02230408 74785f6e 64657363 ...k.#..tx_ndesc + 1eee0: 00000016 6b022308 00110800 001ef208 ....k.#......... + 1eef0: 706f6c6c 65640000 001ae502 23000870 polled......#..p + 1ef00: 6f6c6c5f 77740000 00166b02 2304000e oll_wt....k.#... + 1ef10: 000016d2 4000001e ff0f3f00 11460000 ....@.....?..F.. + 1ef20: 1f270869 665f6e61 6d650000 001ef202 .'.if_name...... + 1ef30: 23000864 65765f61 64647200 00001cb7 #..dev_addr..... + 1ef40: 02234000 13040000 1f5e0d41 44465f4f .#@......^.ADF_O + 1ef50: 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 1ef60: 00000d41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 1ef70: 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ + 1ef80: 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 1ef90: 1f270761 64665f64 6d615f69 6e666f00 .'.adf_dma_info. + 1efa0: 0800001f ab08646d 615f6d61 736b0000 ......dma_mask.. + 1efb0: 001f5e02 23000873 675f6e73 65677300 ..^.#..sg_nsegs. + 1efc0: 0000166b 02230400 13040000 20010d41 ...k.#...... ..A + 1efd0: 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 1efe0: 4500000d 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 1eff0: 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 1f000: 0d414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 1f010: 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a + 1f020: 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 1f030: 655f7400 00001fab 11080000 20440874 e_t......... D.t + 1f040: 785f636b 73756d00 00002001 02230008 x_cksum... ..#.. + 1f050: 72785f63 6b73756d 00000020 01022304 rx_cksum... ..#. + 1f060: 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 1f070: 696e666f 5f740000 00201b13 04000020 info_t... ..... + 1f080: 9d0d4144 465f4e45 545f5453 4f5f4e4f ..ADF_NET_TSO_NO + 1f090: 4e450000 0d414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 1f0a0: 5f495056 3400010d 4144465f 4e45545f _IPV4...ADF_NET_ + 1f0b0: 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n + 1f0c0: 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 1f0d0: 205e1110 000020f1 08636b73 756d5f63 ^.... ..cksum_c + 1f0e0: 61700000 00204402 23000874 736f0000 ap... D.#..tso.. + 1f0f0: 00209d02 23080876 6c616e5f 73757070 . ..#..vlan_supp + 1f100: 6f727465 64000000 16d20223 0c001120 orted......#... + 1f110: 0000218a 0874785f 7061636b 65747300 ..!..tx_packets. + 1f120: 0000166b 02230008 72785f70 61636b65 ...k.#..rx_packe + 1f130: 74730000 00166b02 23040874 785f6279 ts....k.#..tx_by + 1f140: 74657300 0000166b 02230808 72785f62 tes....k.#..rx_b + 1f150: 79746573 00000016 6b02230c 0874785f ytes....k.#..tx_ + 1f160: 64726f70 70656400 0000166b 02231008 dropped....k.#.. + 1f170: 72785f64 726f7070 65640000 00166b02 rx_dropped....k. + 1f180: 23140872 785f6572 726f7273 00000016 #..rx_errors.... + 1f190: 6b022318 0874785f 6572726f 72730000 k.#..tx_errors.. + 1f1a0: 00166b02 231c0009 6164665f 6e65745f ..k.#...adf_net_ + 1f1b0: 65746861 6464725f 74000000 1cc41500 ethaddr_t....... + 1f1c0: 00218a03 00000021 af0f7f00 16616466 .!.....!.....adf + 1f1d0: 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 1f1e0: 03040000 21e6086e 656c656d 00000016 ....!..nelem.... + 1f1f0: 6b022300 086d6361 73740000 0021a102 k.#..mcast...!.. + 1f200: 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 1f210: 6c696e6b 5f696e66 6f5f7400 00001c5e link_info_t....^ + 1f220: 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 1f230: 6c5f696e 666f5f74 0000001e cc096164 l_info_t......ad + 1f240: 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 1f250: 696e666f 5f740000 00204409 6164665f info_t... D.adf_ + 1f260: 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 1f270: 6f5f7400 00001e90 09616466 5f6e6574 o_t......adf_net + 1f280: 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 1f290: 00001f75 09616466 5f6e6574 5f636d64 ...u.adf_net_cmd + 1f2a0: 5f766964 5f740000 001cfa09 6164665f _vid_t......adf_ + 1f2b0: 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 1f2c0: 6361705f 74000000 20b50961 64665f6e cap_t... ..adf_n + 1f2d0: 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 1f2e0: 0020f109 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 1f2f0: 6d636164 64725f74 00000021 af0c6164 mcaddr_t...!..ad + 1f300: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 1f310: 63617000 04000023 280d4144 465f4e45 cap....#(.ADF_NE + 1f320: 545f4d43 4153545f 53555000 000d4144 T_MCAST_SUP...AD + 1f330: 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 1f340: 55500001 00096164 665f6e65 745f636d UP....adf_net_cm + 1f350: 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 1f360: 22e01703 04000023 fa086c69 6e6b5f69 "......#..link_i + 1f370: 6e666f00 000021e6 02230008 706f6c6c nfo...!..#..poll + 1f380: 5f696e66 6f000000 22030223 0008636b _info..."..#..ck + 1f390: 73756d5f 696e666f 00000022 20022300 sum_info..." .#. + 1f3a0: 0872696e 675f696e 666f0000 00223e02 .ring_info...">. + 1f3b0: 23000864 6d615f69 6e666f00 0000225b #..dma_info..."[ + 1f3c0: 02230008 76696400 00002277 02230008 .#..vid..."w.#.. + 1f3d0: 6f66666c 6f61645f 63617000 0000228e offload_cap...". + 1f3e0: 02230008 73746174 73000000 22ad0223 .#..stats..."..# + 1f3f0: 00086d63 6173745f 696e666f 00000022 ..mcast_info..." + 1f400: c6022300 086d6361 73745f63 61700000 ..#..mcast_cap.. + 1f410: 00232802 23000013 04000024 510d4144 .#(.#......$Q.AD + 1f420: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 1f430: 4e4f4e45 00000d41 44465f4e 4255465f NONE...ADF_NBUF_ + 1f440: 52585f43 4b53554d 5f485700 010d4144 RX_CKSUM_HW...AD + 1f450: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 1f460: 554e4e45 43455353 41525900 02000961 UNNECESSARY....a + 1f470: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 1f480: 5f747970 655f7400 000023fa 11080000 _type_t...#..... + 1f490: 24910872 6573756c 74000000 24510223 $..result...$Q.# + 1f4a0: 00087661 6c000000 166b0223 04001108 ..val....k.#.... + 1f4b0: 000024c1 08747970 65000000 209d0223 ..$..type... ..# + 1f4c0: 00086d73 73000000 1cfa0223 04086864 ..mss......#..hd + 1f4d0: 725f6f66 66000000 16d20223 0600075f r_off......#..._ + 1f4e0: 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 1f4f0: 0c000025 00086865 61640000 00148b02 ...%..head...... + 1f500: 23000874 61696c00 0000148b 02230408 #..tail......#.. + 1f510: 716c656e 00000016 6b022308 00095f5f qlen....k.#...__ + 1f520: 6164665f 6e627566 5f740000 00148b03 adf_nbuf_t...... + 1f530: 000016e1 04000300 00166b04 00020106 ..........k..... + 1f540: 0000136d 01060000 166b0106 000016e1 ...m.....k...... + 1f550: 01060000 16e10103 0000134e 0400095f ...........N..._ + 1f560: 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 1f570: 74000000 24c1095f 5f616466 5f6e6275 t...$..__adf_nbu + 1f580: 665f7175 6575655f 74000000 25410300 f_queue_t...%A.. + 1f590: 00255904 00060000 25000106 00002500 .%Y.....%.....%. + 1f5a0: 01130400 0026790d 415f5354 41545553 .....&y.A_STATUS + 1f5b0: 5f4f4b00 000d415f 53544154 55535f46 _OK...A_STATUS_F + 1f5c0: 41494c45 4400010d 415f5354 41545553 AILED...A_STATUS + 1f5d0: 5f454e4f 454e5400 020d415f 53544154 _ENOENT...A_STAT + 1f5e0: 55535f45 4e4f4d45 4d00030d 415f5354 US_ENOMEM...A_ST + 1f5f0: 41545553 5f45494e 56414c00 040d415f ATUS_EINVAL...A_ + 1f600: 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 1f610: 53530005 0d415f53 54415455 535f454e SS...A_STATUS_EN + 1f620: 4f545355 50500006 0d415f53 54415455 OTSUPP...A_STATU + 1f630: 535f4542 55535900 070d415f 53544154 S_EBUSY...A_STAT + 1f640: 55535f45 32424947 00080d41 5f535441 US_E2BIG...A_STA + 1f650: 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 1f660: 4c00090d 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 1f670: 494f000a 0d415f53 54415455 535f4546 IO...A_STATUS_EF + 1f680: 41554c54 000b0d41 5f535441 5455535f AULT...A_STATUS_ + 1f690: 45494f00 0c000961 5f737461 7475735f EIO....a_status_ + 1f6a0: 74000000 25840600 00267901 06000001 t...%....&y..... + 1f6b0: 12010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. + 1f6c0: 00002500 13040000 26de0d41 44465f4f ..%.....&..ADF_O + 1f6d0: 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 1f6e0: 000d4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 1f6f0: 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ + 1f700: 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 1f710: a7060000 26790102 01096164 665f6f73 ....&y....adf_os + 1f720: 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 1f730: 00168803 000026fc 04000201 02010600 ......&......... + 1f740: 00269701 06000025 00010201 02010600 .&.....%........ + 1f750: 00269701 06000025 00010600 00269701 .&.....%.....&.. + 1f760: 06000025 00010600 00269701 02010201 ...%.....&...... + 1f770: 06000016 6b010600 0016e101 02010201 ....k........... + 1f780: 0600001b 98010600 001ae501 0600001a ................ + 1f790: e5010961 64665f6f 735f7367 6c697374 ...adf_os_sglist + 1f7a0: 5f740000 00172003 00002775 04000201 _t.... ...'u.... + 1f7b0: 02010201 06000016 e1010961 64665f6e ...........adf_n + 1f7c0: 6275665f 71756575 655f7400 00002559 buf_queue_t...%Y + 1f7d0: 03000027 9d040002 01030000 25410400 ...'........%A.. + 1f7e0: 02010201 02010600 00269701 06000025 .........&.....% + 1f7f0: 00010600 00166b01 06000016 6b010600 ......k.....k... + 1f800: 001ae501 0600001a e5010600 00200101 ............. .. + 1f810: 06000016 6b010961 64665f6e 6275665f ....k..adf_nbuf_ + 1f820: 72785f63 6b73756d 5f740000 00246f03 rx_cksum_t...$o. + 1f830: 000027f9 04000201 02010961 64665f6e ..'........adf_n + 1f840: 6275665f 74736f5f 74000000 24910300 buf_tso_t...$... + 1f850: 00281d04 00020102 01096164 665f6e65 .(........adf_ne + 1f860: 745f6861 6e646c65 5f740000 00040709 t_handle_t...... + 1f870: 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 1f880: 74000000 1e0d0300 00285204 00060000 t........(R..... + 1f890: 26790106 00002679 01020102 01075f48 &y....&y......_H + 1f8a0: 49465f43 4f4e4649 47000400 0028a108 IF_CONFIG....(.. + 1f8b0: 64756d6d 79000000 01120223 00000201 dummy......#.... + 1f8c0: 03000028 a1040002 01030000 28aa0400 ...(........(... + 1f8d0: 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 1f8e0: 000028ff 0873656e 645f6275 665f646f ..(..send_buf_do + 1f8f0: 6e650000 0028a302 23000872 6563765f ne...(..#..recv_ + 1f900: 62756600 000028ac 02230408 636f6e74 buf...(..#..cont + 1f910: 65787400 00000407 02230800 09686966 ext......#...hif + 1f920: 5f68616e 646c655f 74000000 04070948 _handle_t......H + 1f930: 49465f43 4f4e4649 47000000 28800300 IF_CONFIG...(... + 1f940: 00291104 00060000 28ff0103 00002928 .)......(.....)( + 1f950: 04000201 03000029 35040009 4849465f .......)5...HIF_ + 1f960: 43414c4c 4241434b 00000028 b3030000 CALLBACK...(.... + 1f970: 293e0400 02010300 00295704 00060000 )>.......)W..... + 1f980: 01120103 00002960 04000201 03000029 ......)`.......) + 1f990: 6d040006 00000112 01030000 29760400 m...........)v.. + 1f9a0: 02010300 00298304 00060000 01120103 .....).......... + 1f9b0: 0000298c 04000201 03000029 99040007 ..)........).... + 1f9c0: 6869665f 61706900 3800002a f2085f69 hif_api.8..*.._i + 1f9d0: 6e697400 0000292e 02230008 5f736875 nit...)..#.._shu + 1f9e0: 74646f77 6e000000 29370223 04085f72 tdown...)7.#.._r + 1f9f0: 65676973 7465725f 63616c6c 6261636b egister_callback + 1fa00: 00000029 59022308 085f6765 745f746f ...)Y.#.._get_to + 1fa10: 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 1fa20: 00000029 6602230c 085f7374 61727400 ...)f.#.._start. + 1fa30: 00002937 02231008 5f636f6e 6669675f ..)7.#.._config_ + 1fa40: 70697065 00000029 6f022314 085f7365 pipe...)o.#.._se + 1fa50: 6e645f62 75666665 72000000 297c0223 nd_buffer...)|.# + 1fa60: 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 1fa70: 75660000 00298502 231c085f 69735f70 uf...)..#.._is_p + 1fa80: 6970655f 73757070 6f727465 64000000 ipe_supported... + 1fa90: 29920223 20085f67 65745f6d 61785f6d )..# ._get_max_m + 1faa0: 73675f6c 656e0000 00299202 2324085f sg_len...)..#$._ + 1fab0: 6765745f 72657365 72766564 5f686561 get_reserved_hea + 1fac0: 64726f6f 6d000000 29660223 28085f69 droom...)f.#(._i + 1fad0: 73725f68 616e646c 65720000 00293702 sr_handler...)7. + 1fae0: 232c085f 6765745f 64656661 756c745f #,._get_default_ + 1faf0: 70697065 00000029 9b022330 08705265 pipe...)..#0.pRe + 1fb00: 73657276 65640000 00040702 2334000c served......#4.. + 1fb10: 646d615f 656e6769 6e650004 00002b7b dma_engine....+{ + 1fb20: 0d444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 1fb30: 000d444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 1fb40: 00010d44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 1fb50: 3200020d 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 1fb60: 58330003 0d444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 1fb70: 54583000 040d444d 415f454e 47494e45 TX0...DMA_ENGINE + 1fb80: 5f545831 00050d44 4d415f45 4e47494e _TX1...DMA_ENGIN + 1fb90: 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng + 1fba0: 696e655f 74000000 2af20c64 6d615f69 ine_t...*..dma_i + 1fbb0: 66747970 65000400 002bc80d 444d415f ftype....+..DMA_ + 1fbc0: 49465f47 4d414300 000d444d 415f4946 IF_GMAC...DMA_IF + 1fbd0: 5f504349 00010d44 4d415f49 465f5043 _PCI...DMA_IF_PC + 1fbe0: 49450002 0009646d 615f6966 74797065 IE....dma_iftype + 1fbf0: 5f740000 002b8d06 00001340 01030000 _t...+.....@.... + 1fc00: 2bda0400 02010300 002be704 00020103 +........+...... + 1fc10: 00002bf0 04000600 00097201 0300002b ..+.......r....+ + 1fc20: f9040006 00001340 01030000 2c060400 .......@....,... + 1fc30: 06000013 40010300 002c1304 00060000 ....@....,...... + 1fc40: 148b0103 00002c20 04000201 0300002c ......, ......., + 1fc50: 2d040007 646d615f 6c69625f 61706900 -...dma_lib_api. + 1fc60: 3400002d 34087478 5f696e69 74000000 4..-4.tx_init... + 1fc70: 2be00223 00087478 5f737461 72740000 +..#..tx_start.. + 1fc80: 002be902 23040872 785f696e 69740000 .+..#..rx_init.. + 1fc90: 002be002 23080872 785f636f 6e666967 .+..#..rx_config + 1fca0: 0000002b f202230c 0872785f 73746172 ...+..#..rx_star + 1fcb0: 74000000 2be90223 1008696e 74725f73 t...+..#..intr_s + 1fcc0: 74617475 73000000 2bff0223 14086861 tatus...+..#..ha + 1fcd0: 72645f78 6d697400 00002c0c 02231808 rd_xmit...,..#.. + 1fce0: 666c7573 685f786d 69740000 002be902 flush_xmit...+.. + 1fcf0: 231c0878 6d69745f 646f6e65 0000002c #..xmit_done..., + 1fd00: 19022320 08726561 705f786d 69747465 ..# .reap_xmitte + 1fd10: 64000000 2c260223 24087265 61705f72 d...,&.#$.reap_r + 1fd20: 65637600 00002c26 02232808 72657475 ecv...,&.#(.retu + 1fd30: 726e5f72 65637600 00002c2f 02232c08 rn_recv...,/.#,. + 1fd40: 72656376 5f706b74 0000002c 19022330 recv_pkt...,..#0 + 1fd50: 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 1fd60: 002d5208 73770000 00293e02 23000009 .-R.sw...)>.#... + 1fd70: 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 1fd80: 2d340300 002d5204 00020103 00002d6c -4...-R.......-l + 1fd90: 04000600 00132c01 0300002d 7504000c ......,....-u... + 1fda0: 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 1fdb0: 0400002d d50d4849 465f5043 495f5049 ...-..HIF_PCI_PI + 1fdc0: 50455f54 58300000 0d484946 5f504349 PE_TX0...HIF_PCI + 1fdd0: 5f504950 455f5458 3100010d 4849465f _PIPE_TX1...HIF_ + 1fde0: 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 1fdf0: 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 1fe00: 74785f74 0000002d 82060000 2b7b0103 tx_t...-....+{.. + 1fe10: 00002dec 04000c68 69665f70 63695f70 ..-....hif_pci_p + 1fe20: 6970655f 72780004 00002e72 0d484946 ipe_rx.....r.HIF + 1fe30: 5f504349 5f504950 455f5258 3000000d _PCI_PIPE_RX0... + 1fe40: 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 1fe50: 00010d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 1fe60: 52583200 020d4849 465f5043 495f5049 RX2...HIF_PCI_PI + 1fe70: 50455f52 58330003 0d484946 5f504349 PE_RX3...HIF_PCI + 1fe80: 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... + 1fe90: 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 1fea0: 74000000 2df90600 002b7b01 0300002e t...-....+{..... + 1feb0: 89040007 6869665f 7063695f 61706900 ....hif_pci_api. + 1fec0: 2400002f 67087063 695f626f 6f745f69 $../g.pci_boot_i + 1fed0: 6e697400 0000010b 02230008 7063695f nit......#..pci_ + 1fee0: 696e6974 00000029 2e022304 08706369 init...)..#..pci + 1fef0: 5f726573 65740000 00010b02 23080870 _reset......#..p + 1ff00: 63695f65 6e61626c 65000000 010b0223 ci_enable......# + 1ff10: 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 1ff20: 65640000 002d6e02 23100870 63695f72 ed...-n.#..pci_r + 1ff30: 6561705f 72656376 0000002d 6e022314 eap_recv...-n.#. + 1ff40: 08706369 5f676574 5f706970 65000000 .pci_get_pipe... + 1ff50: 2d7b0223 18087063 695f6765 745f7478 -{.#..pci_get_tx + 1ff60: 5f656e67 0000002d f202231c 08706369 _eng...-..#..pci + 1ff70: 5f676574 5f72785f 656e6700 00002e8f _get_rx_eng..... + 1ff80: 02232000 07676d61 635f6170 69000400 .# ..gmac_api... + 1ff90: 002f8e08 676d6163 5f626f6f 745f696e ./..gmac_boot_in + 1ffa0: 69740000 00010b02 2300000e 0000031f it......#....... + 1ffb0: 0600002f 9b0f0500 075f5f65 74686864 .../.....__ethhd + 1ffc0: 72000e00 002fd108 64737400 00002f8e r..../..dst.../. + 1ffd0: 02230008 73726300 00002f8e 02230608 .#..src.../..#.. + 1ffe0: 65747970 65000000 13400223 0c00075f etype....@.#..._ + 1fff0: 5f617468 68647200 04000030 1f147265 _athhdr....0..re + 20000: 73000000 132c0100 02022300 1470726f s....,....#..pro + 20010: 746f0000 00132c01 02060223 00087265 to....,....#..re + 20020: 735f6c6f 00000013 2c022301 08726573 s_lo....,.#..res + 20030: 5f686900 00001340 02230200 075f5f67 _hi....@.#...__g + 20040: 6d61635f 68647200 14000030 5b086574 mac_hdr....0[.et + 20050: 68000000 2f9b0223 00086174 68000000 h.../..#..ath... + 20060: 2fd10223 0e08616c 69676e5f 70616400 /..#..align_pad. + 20070: 00001340 02231200 095f5f67 6d61635f ...@.#...__gmac_ + 20080: 6864725f 74000000 301f075f 5f676d61 hdr_t...0..__gma + 20090: 635f736f 66746300 24000030 a5086864 c_softc.$..0..hd + 200a0: 72000000 305b0223 00086772 616e0000 r...0[.#..gran.. + 200b0: 00134002 23140873 77000000 293e0223 ..@.#..sw...)>.# + 200c0: 1800075f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 200d0: 5f636865 636b0008 000030de 08766572 _check....0..ver + 200e0: 73696f6e 00000001 12022300 08746162 sion......#..tab + 200f0: 6c650000 00011202 23040003 000030a5 le......#.....0. + 20100: 04000600 00011201 03000030 e5040003 ...........0.... + 20110: 0000040a 0400165f 415f636d 6e6f735f ......._A_cmnos_ + 20120: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 20130: 650001b8 00003235 0868616c 5f6c696e e.....25.hal_lin + 20140: 6b616765 5f636865 636b0000 0030eb02 kage_check...0.. + 20150: 23000873 74617274 5f627373 00000030 #..start_bss...0 + 20160: f2022304 08617070 5f737461 72740000 ..#..app_start.. + 20170: 00010b02 2308086d 656d0000 00044a02 ....#..mem....J. + 20180: 230c086d 69736300 000005bd 02232008 #..misc......# . + 20190: 7072696e 74660000 00013f02 23440875 printf....?.#D.u + 201a0: 61727400 00000208 02234c08 676d6163 art......#L.gmac + 201b0: 0000002f 6702236c 08757362 0000000f .../g.#l.usb.... + 201c0: ec022370 08636c6f 636b0000 000b3503 ..#p.clock....5. + 201d0: 23e00108 74696d65 72000000 07d50323 #...timer......# + 201e0: 84020869 6e747200 00000c85 03239802 ...intr......#.. + 201f0: 08616c6c 6f637261 6d000000 098d0323 .allocram......# + 20200: c4020872 6f6d7000 0000087f 0323d002 ...romp......#.. + 20210: 08776474 5f74696d 65720000 000e6203 .wdt_timer....b. + 20220: 23e00208 65657000 00000f90 0323fc02 #...eep......#.. + 20230: 08737472 696e6700 000006e1 03238c03 .string......#.. + 20240: 08746173 6b6c6574 0000000a 8a0323a4 .tasklet......#. + 20250: 0300075f 5553425f 4649464f 5f434f4e ..._USB_FIFO_CON + 20260: 46494700 10000032 a8086765 745f636f FIG....2..get_co + 20270: 6d6d616e 645f6275 66000000 14980223 mmand_buf......# + 20280: 00087265 63765f63 6f6d6d61 6e640000 ..recv_command.. + 20290: 0014ae02 23040867 65745f65 76656e74 ....#..get_event + 202a0: 5f627566 00000014 98022308 0873656e _buf......#..sen + 202b0: 645f6576 656e745f 646f6e65 00000014 d_event_done.... + 202c0: ae02230c 00095553 425f4649 464f5f43 ..#...USB_FIFO_C + 202d0: 4f4e4649 47000000 32350300 0032a804 ONFIG...25...2.. + 202e0: 00020103 000032c4 04000775 73626669 ......2....usbfi + 202f0: 666f5f61 7069000c 0000331a 085f696e fo_api....3.._in + 20300: 69740000 0032c602 2300085f 656e6162 it...2..#.._enab + 20310: 6c655f65 76656e74 5f697372 00000001 le_event_isr.... + 20320: 0b022304 08705265 73657276 65640000 ..#..pReserved.. + 20330: 00040702 2308000e 000016d2 02000033 ....#..........3 + 20340: 270f0100 075f4854 435f4652 414d455f '...._HTC_FRAME_ + 20350: 48445200 08000033 9908456e 64706f69 HDR....3..Endpoi + 20360: 6e744944 00000016 d2022300 08466c61 ntID......#..Fla + 20370: 67730000 0016d202 23010850 61796c6f gs......#..Paylo + 20380: 61644c65 6e000000 1cfa0223 0208436f adLen......#..Co + 20390: 6e74726f 6c427974 65730000 00331a02 ntrolBytes...3.. + 203a0: 23040848 6f737453 65714e75 6d000000 #..HostSeqNum... + 203b0: 1cfa0223 06001102 000033b2 084d6573 ...#......3..Mes + 203c0: 73616765 49440000 001cfa02 23000011 sageID......#... + 203d0: 08000034 15084d65 73736167 65494400 ...4..MessageID. + 203e0: 00001cfa 02230008 43726564 6974436f .....#..CreditCo + 203f0: 756e7400 00001cfa 02230208 43726564 unt......#..Cred + 20400: 69745369 7a650000 001cfa02 2304084d itSize......#..M + 20410: 6178456e 64706f69 6e747300 000016d2 axEndpoints..... + 20420: 02230608 5f506164 31000000 16d20223 .#.._Pad1......# + 20430: 0700110a 000034ac 084d6573 73616765 ......4..Message + 20440: 49440000 001cfa02 23000853 65727669 ID......#..Servi + 20450: 63654944 0000001c fa022302 08436f6e ceID......#..Con + 20460: 6e656374 696f6e46 6c616773 0000001c nectionFlags.... + 20470: fa022304 08446f77 6e4c696e 6b506970 ..#..DownLinkPip + 20480: 65494400 000016d2 02230608 55704c69 eID......#..UpLi + 20490: 6e6b5069 70654944 00000016 d2022307 nkPipeID......#. + 204a0: 08536572 76696365 4d657461 4c656e67 .ServiceMetaLeng + 204b0: 74680000 0016d202 2308085f 50616431 th......#.._Pad1 + 204c0: 00000016 d2022309 00110a00 00353408 ......#......54. + 204d0: 4d657373 61676549 44000000 1cfa0223 MessageID......# + 204e0: 00085365 72766963 65494400 00001cfa ..ServiceID..... + 204f0: 02230208 53746174 75730000 0016d202 .#..Status...... + 20500: 23040845 6e64706f 696e7449 44000000 #..EndpointID... + 20510: 16d20223 05084d61 784d7367 53697a65 ...#..MaxMsgSize + 20520: 0000001c fa022306 08536572 76696365 ......#..Service + 20530: 4d657461 4c656e67 74680000 0016d202 MetaLength...... + 20540: 2308085f 50616431 00000016 d2022309 #.._Pad1......#. + 20550: 00110200 00354d08 4d657373 61676549 .....5M.MessageI + 20560: 44000000 1cfa0223 00001104 00003589 D......#......5. + 20570: 084d6573 73616765 49440000 001cfa02 .MessageID...... + 20580: 23000850 69706549 44000000 16d20223 #..PipeID......# + 20590: 02084372 65646974 436f756e 74000000 ..CreditCount... + 205a0: 16d20223 03001104 000035c0 084d6573 ...#......5..Mes + 205b0: 73616765 49440000 001cfa02 23000850 sageID......#..P + 205c0: 69706549 44000000 16d20223 02085374 ipeID......#..St + 205d0: 61747573 00000016 d2022303 00110200 atus......#..... + 205e0: 0035e708 5265636f 72644944 00000016 .5..RecordID.... + 205f0: d2022300 084c656e 67746800 000016d2 ..#..Length..... + 20600: 02230100 11020000 36110845 6e64706f .#......6..Endpo + 20610: 696e7449 44000000 16d20223 00084372 intID......#..Cr + 20620: 65646974 73000000 16d20223 01001104 edits......#.... + 20630: 00003652 08456e64 706f696e 74494400 ..6R.EndpointID. + 20640: 000016d2 02230008 43726564 69747300 .....#..Credits. + 20650: 000016d2 02230108 54677443 72656469 .....#..TgtCredi + 20660: 74536571 4e6f0000 001cfa02 2302000e tSeqNo......#... + 20670: 000016d2 04000036 5f0f0300 11060000 .......6_....... + 20680: 369b0850 72655661 6c696400 000016d2 6..PreValid..... + 20690: 02230008 4c6f6f6b 41686561 64000000 .#..LookAhead... + 206a0: 36520223 0108506f 73745661 6c696400 6R.#..PostValid. + 206b0: 000016d2 02230500 09706f6f 6c5f6861 .....#...pool_ha + 206c0: 6e646c65 5f740000 00040706 0000369b ndle_t........6. + 206d0: 01030000 36ae0400 02010300 0036bb04 ....6........6.. + 206e0: 00130400 0037390d 504f4f4c 5f49445f .....79.POOL_ID_ + 206f0: 4854435f 434f4e54 524f4c00 000d504f HTC_CONTROL...PO + 20700: 4f4c5f49 445f574d 495f5356 435f434d OL_ID_WMI_SVC_CM + 20710: 445f5245 504c5900 010d504f 4f4c5f49 D_REPLY...POOL_I + 20720: 445f574d 495f5356 435f4556 454e5400 D_WMI_SVC_EVENT. + 20730: 020d504f 4f4c5f49 445f574c 414e5f52 ..POOL_ID_WLAN_R + 20740: 585f4255 4600030d 504f4f4c 5f49445f X_BUF...POOL_ID_ + 20750: 4d415800 0a000942 55465f50 4f4f4c5f MAX....BUF_POOL_ + 20760: 49440000 0036c402 01030000 374a0400 ID...6......7J.. + 20770: 06000026 97010300 00375304 00060000 ...&.....7S..... + 20780: 26970103 00003760 04000201 03000037 &.....7`.......7 + 20790: 6d040007 6275665f 706f6f6c 5f617069 m...buf_pool_api + 207a0: 001c0000 380f085f 696e6974 00000036 ....8.._init...6 + 207b0: b4022300 085f7368 7574646f 776e0000 ..#.._shutdown.. + 207c0: 0036bd02 2304085f 63726561 74655f70 .6..#.._create_p + 207d0: 6f6f6c00 0000374c 02230808 5f616c6c ool...7L.#.._all + 207e0: 6f635f62 75660000 00375902 230c085f oc_buf...7Y.#.._ + 207f0: 616c6c6f 635f6275 665f616c 69676e00 alloc_buf_align. + 20800: 00003766 02231008 5f667265 655f6275 ..7f.#.._free_bu + 20810: 66000000 376f0223 14087052 65736572 f...7o.#..pReser + 20820: 76656400 00000407 02231800 075f4854 ved......#..._HT + 20830: 435f5345 52564943 45001c00 0038ee08 C_SERVICE....8.. + 20840: 704e6578 74000000 38ee0223 00085072 pNext...8..#..Pr + 20850: 6f636573 73526563 764d7367 00000039 ocessRecvMsg...9 + 20860: a3022304 0850726f 63657373 53656e64 ..#..ProcessSend + 20870: 42756666 6572436f 6d706c65 74650000 BufferComplete.. + 20880: 0039ac02 23080850 726f6365 7373436f .9..#..ProcessCo + 20890: 6e6e6563 74000000 39c00223 0c085365 nnect...9..#..Se + 208a0: 72766963 65494400 00001340 02231008 rviceID....@.#.. + 208b0: 53657276 69636546 6c616773 00000013 ServiceFlags.... + 208c0: 40022312 084d6178 5376634d 73675369 @.#..MaxSvcMsgSi + 208d0: 7a650000 00134002 23140854 7261696c ze....@.#..Trail + 208e0: 65725370 63436865 636b4c69 6d697400 erSpcCheckLimit. + 208f0: 00001340 02231608 53657276 69636543 ...@.#..ServiceC + 20900: 74780000 00040702 23180003 0000380f tx......#.....8. + 20910: 04001304 0000398c 18454e44 504f494e ......9..ENDPOIN + 20920: 545f554e 55534544 00ffffff ff0d454e T_UNUSED......EN + 20930: 44504f49 4e543000 000d454e 44504f49 DPOINT0...ENDPOI + 20940: 4e543100 010d454e 44504f49 4e543200 NT1...ENDPOINT2. + 20950: 020d454e 44504f49 4e543300 030d454e ..ENDPOINT3...EN + 20960: 44504f49 4e543400 040d454e 44504f49 DPOINT4...ENDPOI + 20970: 4e543500 050d454e 44504f49 4e543600 NT5...ENDPOINT6. + 20980: 060d454e 44504f49 4e543700 070d454e ..ENDPOINT7...EN + 20990: 44504f49 4e543800 080d454e 44504f49 DPOINT8...ENDPOI + 209a0: 4e545f4d 41580016 00094854 435f454e NT_MAX....HTC_EN + 209b0: 44504f49 4e545f49 44000000 38f50201 DPOINT_ID...8... + 209c0: 03000039 a1040002 01030000 39aa0400 ...9........9... + 209d0: 03000001 12040006 0000132c 01030000 ...........,.... + 209e0: 39ba0400 03000038 0f040007 5f485443 9......8...._HTC + 209f0: 5f434f4e 46494700 1400003a 3f084372 _CONFIG....:?.Cr + 20a00: 65646974 53697a65 00000001 12022300 editSize......#. + 20a10: 08437265 6469744e 756d6265 72000000 .CreditNumber... + 20a20: 01120223 04084f53 48616e64 6c650000 ...#..OSHandle.. + 20a30: 001a9602 23080848 49464861 6e646c65 ....#..HIFHandle + 20a40: 00000028 ff02230c 08506f6f 6c48616e ...(..#..PoolHan + 20a50: 646c6500 0000369b 02231000 075f4854 dle...6..#..._HT + 20a60: 435f4255 465f434f 4e544558 54000200 C_BUF_CONTEXT... + 20a70: 003a7b08 656e645f 706f696e 74000000 .:{.end_point... + 20a80: 132c0223 00086874 635f666c 61677300 .,.#..htc_flags. + 20a90: 0000132c 02230100 09687463 5f68616e ...,.#...htc_han + 20aa0: 646c655f 74000000 04070948 54435f53 dle_t......HTC_S + 20ab0: 45545550 5f434f4d 504c4554 455f4342 ETUP_COMPLETE_CB + 20ac0: 00000001 0b094854 435f434f 4e464947 ......HTC_CONFIG + 20ad0: 00000039 ce030000 3aa80400 0600003a ...9....:......: + 20ae0: 7b010300 003abf04 00020103 00003acc {....:........:. + 20af0: 04000948 54435f53 45525649 43450000 ...HTC_SERVICE.. + 20b00: 00380f03 00003ad5 04000201 0300003a .8....:........: + 20b10: ed040002 01030000 3af60400 02010300 ........:....... + 20b20: 003aff04 00060000 01120103 00003b08 .:............;. + 20b30: 04000768 74635f61 70697300 3400003c ...htc_apis.4..< + 20b40: 85085f48 54435f49 6e697400 00003ac5 .._HTC_Init...:. + 20b50: 02230008 5f485443 5f536875 74646f77 .#.._HTC_Shutdow + 20b60: 6e000000 3ace0223 04085f48 54435f52 n...:..#.._HTC_R + 20b70: 65676973 74657253 65727669 63650000 egisterService.. + 20b80: 003aef02 2308085f 4854435f 52656164 .:..#.._HTC_Read + 20b90: 79000000 3ace0223 0c085f48 54435f52 y...:..#.._HTC_R + 20ba0: 65747572 6e427566 66657273 0000003a eturnBuffers...: + 20bb0: f8022310 085f4854 435f5265 7475726e ..#.._HTC_Return + 20bc0: 42756666 6572734c 69737400 00003b01 BuffersList...;. + 20bd0: 02231408 5f485443 5f53656e 644d7367 .#.._HTC_SendMsg + 20be0: 0000003a f8022318 085f4854 435f4765 ...:..#.._HTC_Ge + 20bf0: 74526573 65727665 64486561 64726f6f tReservedHeadroo + 20c00: 6d000000 3b0e0223 1c085f48 54435f4d m...;..#.._HTC_M + 20c10: 73675265 63764861 6e646c65 72000000 sgRecvHandler... + 20c20: 28ac0223 20085f48 54435f53 656e6444 (..# ._HTC_SendD + 20c30: 6f6e6548 616e646c 65720000 0028a302 oneHandler...(.. + 20c40: 2324085f 4854435f 436f6e74 726f6c53 #$._HTC_ControlS + 20c50: 76635072 6f636573 734d7367 00000039 vcProcessMsg...9 + 20c60: a3022328 085f4854 435f436f 6e74726f ..#(._HTC_Contro + 20c70: 6c537663 50726f63 65737353 656e6443 lSvcProcessSendC + 20c80: 6f6d706c 65746500 000039ac 02232c08 omplete...9..#,. + 20c90: 70526573 65727665 64000000 04070223 pReserved......# + 20ca0: 30000768 6f73745f 6170705f 61726561 0..host_app_area + 20cb0: 5f730004 00003cb5 08776d69 5f70726f _s....<..wmi_pro + 20cc0: 746f636f 6c5f7665 72000000 166b0223 tocol_ver....k.# + 20cd0: 0000110e 00003cec 08647374 4d616300 ......<..dstMac. + 20ce0: 00001cb7 02230008 7372634d 61630000 .....#..srcMac.. + 20cf0: 001cb702 23060874 7970654f 724c656e ....#..typeOrLen + 20d00: 0000001c fa02230c 000e0000 16d20300 ......#......... + 20d10: 003cf90f 02001108 00003d49 08647361 .<........=I.dsa + 20d20: 70000000 16d20223 00087373 61700000 p......#..ssap.. + 20d30: 0016d202 23010863 6e746c00 000016d2 ....#..cntl..... + 20d40: 02230208 6f726743 6f646500 00003cec .#..orgCode...<. + 20d50: 02230308 65746865 72547970 65000000 .#..etherType... + 20d60: 1cfa0223 06001102 00003d6a 08727373 ...#......=j.rss + 20d70: 69000000 1c500223 0008696e 666f0000 i....P.#..info.. + 20d80: 0016d202 23010011 0400003d 9108636f ....#......=..co + 20d90: 6d6d616e 64496400 00001cfa 02230008 mmandId......#.. + 20da0: 7365714e 6f000000 1cfa0223 02000e00 seqNo......#.... + 20db0: 0016d201 00003d9e 0f000011 0200003d ......=........= + 20dc0: c5086d73 6753697a 65000000 16d20223 ..msgSize......# + 20dd0: 00086d73 67446174 61000000 3d910223 ..msgData...=..# + 20de0: 01001108 00003e0c 08616464 72657373 ......>..address + 20df0: 4c000000 1cfa0223 00086164 64726573 L......#..addres + 20e00: 73480000 001cfa02 23020876 616c7565 sH......#..value + 20e10: 4c000000 1cfa0223 04087661 6c756548 L......#..valueH + 20e20: 0000001c fa022306 0009574d 495f4156 ......#...WMI_AV + 20e30: 54000000 3dc50e00 003e0c08 00003e26 T...=....>....>& + 20e40: 0f000011 0c00003e 5d087475 706c654e .......>].tupleN + 20e50: 756d4c00 00001cfa 02230008 7475706c umL......#..tupl + 20e60: 654e756d 48000000 1cfa0223 02086176 eNumH......#..av + 20e70: 74000000 3e190223 04001101 00003e7f t...>..#......>. + 20e80: 08626561 636f6e50 656e6469 6e67436f .beaconPendingCo + 20e90: 756e7400 000016d2 02230000 075f574d unt......#..._WM + 20ea0: 495f5356 435f434f 4e464947 00100000 I_SVC_CONFIG.... + 20eb0: 3ee80848 74634861 6e646c65 0000003a >..HtcHandle...: + 20ec0: 7b022300 08506f6f 6c48616e 646c6500 {.#..PoolHandle. + 20ed0: 0000369b 02230408 4d617843 6d645265 ..6..#..MaxCmdRe + 20ee0: 706c7945 76747300 00000112 02230808 plyEvts......#.. + 20ef0: 4d617845 76656e74 45767473 00000001 MaxEventEvts.... + 20f00: 1202230c 00020103 00003ee8 04000957 ..#.......>....W + 20f10: 4d495f43 4d445f48 414e444c 45520000 MI_CMD_HANDLER.. + 20f20: 003eea07 5f574d49 5f444953 50415443 .>.._WMI_DISPATC + 20f30: 485f454e 54525900 0800003f 51087043 H_ENTRY....?Q.pC + 20f40: 6d644861 6e646c65 72000000 3ef10223 mdHandler...>..# + 20f50: 0008436d 64494400 00001340 02230408 ..CmdID....@.#.. + 20f60: 466c6167 73000000 13400223 0600075f Flags....@.#..._ + 20f70: 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB + 20f80: 4c450010 00003fb2 08704e65 78740000 LE....?..pNext.. + 20f90: 003fb202 23000870 436f6e74 65787400 .?..#..pContext. + 20fa0: 00000407 02230408 4e756d62 65724f66 .....#..NumberOf + 20fb0: 456e7472 69657300 00000112 02230808 Entries......#.. + 20fc0: 70546162 6c650000 003fd102 230c0003 pTable...?..#... + 20fd0: 00003f51 04000957 4d495f44 49535041 ..?Q...WMI_DISPA + 20fe0: 5443485f 454e5452 59000000 3f060300 TCH_ENTRY...?... + 20ff0: 003fb904 00030000 3f510400 09485443 .?......?Q...HTC + 21000: 5f425546 5f434f4e 54455854 0000003a _BUF_CONTEXT...: + 21010: 3f0c574d 495f4556 545f434c 41535300 ?.WMI_EVT_CLASS. + 21020: 04000040 6918574d 495f4556 545f434c ...@i.WMI_EVT_CL + 21030: 4153535f 4e4f4e45 00ffffff ff0d574d ASS_NONE......WM + 21040: 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 21050: 4556454e 5400000d 574d495f 4556545f EVENT...WMI_EVT_ + 21060: 434c4153 535f434d 445f5245 504c5900 CLASS_CMD_REPLY. + 21070: 010d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 21080: 4d415800 02000957 4d495f45 56545f43 MAX....WMI_EVT_C + 21090: 4c415353 0000003f f4075f57 4d495f42 LASS...?.._WMI_B + 210a0: 55465f43 4f4e5445 5854000c 000040c7 UF_CONTEXT....@. + 210b0: 08487463 42756643 74780000 003fdf02 .HtcBufCtx...?.. + 210c0: 23000845 76656e74 436c6173 73000000 #..EventClass... + 210d0: 40690223 0408466c 61677300 00001340 @i.#..Flags....@ + 210e0: 02230800 09776d69 5f68616e 646c655f .#...wmi_handle_ + 210f0: 74000000 04070957 4d495f53 56435f43 t......WMI_SVC_C + 21100: 4f4e4649 47000000 3e7f0300 0040d904 ONFIG...>....@.. + 21110: 00060000 40c70103 000040f4 04000957 ....@.....@....W + 21120: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 21130: 45000000 3f510300 00410104 00020103 E...?Q...A...... + 21140: 00004120 04000600 00269701 03000041 ..A .....&.....A + 21150: 29040002 01030000 41360400 06000001 ).......A6...... + 21160: 12010300 00413f04 00020103 0000414c .....A?.......AL + 21170: 04000600 00132c01 03000041 55040007 ......,....AU... + 21180: 5f776d69 5f737663 5f617069 73002c00 _wmi_svc_apis.,. + 21190: 00429d08 5f574d49 5f496e69 74000000 .B.._WMI_Init... + 211a0: 40fa0223 00085f57 4d495f52 65676973 @..#.._WMI_Regis + 211b0: 74657244 69737061 74636854 61626c65 terDispatchTable + 211c0: 00000041 22022304 085f574d 495f416c ...A".#.._WMI_Al + 211d0: 6c6f6345 76656e74 00000041 2f022308 locEvent...A/.#. + 211e0: 085f574d 495f5365 6e644576 656e7400 ._WMI_SendEvent. + 211f0: 00004138 02230c08 5f574d49 5f476574 ..A8.#.._WMI_Get + 21200: 50656e64 696e6745 76656e74 73436f75 PendingEventsCou + 21210: 6e740000 00414502 2310085f 574d495f nt...AE.#.._WMI_ + 21220: 53656e64 436f6d70 6c657465 48616e64 SendCompleteHand + 21230: 6c657200 000039ac 02231408 5f574d49 ler...9..#.._WMI + 21240: 5f476574 436f6e74 726f6c45 70000000 _GetControlEp... + 21250: 41450223 18085f57 4d495f53 68757464 AE.#.._WMI_Shutd + 21260: 6f776e00 0000414e 02231c08 5f574d49 own...AN.#.._WMI + 21270: 5f526563 764d6573 73616765 48616e64 _RecvMessageHand + 21280: 6c657200 000039a3 02232008 5f574d49 ler...9..# ._WMI + 21290: 5f536572 76696365 436f6e6e 65637400 _ServiceConnect. + 212a0: 0000415b 02232408 70526573 65727665 ..A[.#$.pReserve + 212b0: 64000000 04070223 2800077a 73446d61 d......#(..zsDma + 212c0: 44657363 00140000 431f0863 74726c00 Desc....C..ctrl. + 212d0: 00000176 02230008 73746174 75730000 ...v.#..status.. + 212e0: 00017602 23020874 6f74616c 4c656e00 ..v.#..totalLen. + 212f0: 00000176 02230408 64617461 53697a65 ...v.#..dataSize + 21300: 00000001 76022306 086c6173 74416464 ....v.#..lastAdd + 21310: 72000000 431f0223 08086461 74614164 r...C..#..dataAd + 21320: 64720000 00019a02 230c086e 65787441 dr......#..nextA + 21330: 64647200 0000431f 02231000 03000042 ddr...C..#.....B + 21340: 9d040003 0000429d 0400077a 73446d61 ......B....zsDma + 21350: 51756575 65000800 00435f08 68656164 Queue....C_.head + 21360: 00000043 26022300 08746572 6d696e61 ...C&.#..termina + 21370: 746f7200 00004326 02230400 077a7354 tor...C&.#...zsT + 21380: 78446d61 51756575 65001000 0043c308 xDmaQueue....C.. + 21390: 68656164 00000043 26022300 08746572 head...C&.#..ter + 213a0: 6d696e61 746f7200 00004326 02230408 minator...C&.#.. + 213b0: 786d6974 65645f62 75665f68 65616400 xmited_buf_head. + 213c0: 0000148b 02230808 786d6974 65645f62 .....#..xmited_b + 213d0: 75665f74 61696c00 0000148b 02230c00 uf_tail......#.. + 213e0: 02010300 0043c304 00030000 432d0400 .....C......C-.. + 213f0: 02010300 0043d304 00030000 435f0400 .....C......C_.. + 21400: 02010300 0043e304 00020103 000043ec .....C........C. + 21410: 04000201 03000043 f5040006 0000148b .......C........ + 21420: 01030000 43fe0400 02010300 00440b04 ....C........D.. + 21430: 00060000 148b0103 00004414 04000201 ..........D..... + 21440: 03000044 21040006 00000112 01030000 ...D!........... + 21450: 442a0400 06000043 26010300 00443704 D*.....C&....D7. + 21460: 00020103 00004444 04000764 6d615f65 ......DD...dma_e + 21470: 6e67696e 655f6170 69004000 0045ba08 ngine_api.@..E.. + 21480: 5f696e69 74000000 43c50223 00085f69 _init...C..#.._i + 21490: 6e69745f 72785f71 75657565 00000043 nit_rx_queue...C + 214a0: d5022304 085f696e 69745f74 785f7175 ..#.._init_tx_qu + 214b0: 65756500 000043e5 02230808 5f636f6e eue...C..#.._con + 214c0: 6669675f 72785f71 75657565 00000043 fig_rx_queue...C + 214d0: ee02230c 085f786d 69745f62 75660000 ..#.._xmit_buf.. + 214e0: 0043f702 2310085f 666c7573 685f786d .C..#.._flush_xm + 214f0: 69740000 0043d502 2314085f 72656170 it...C..#.._reap + 21500: 5f726563 765f6275 66000000 44040223 _recv_buf...D..# + 21510: 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 21520: 75660000 00440d02 231c085f 72656170 uf...D..#.._reap + 21530: 5f786d69 7465645f 62756600 0000441a _xmited_buf...D. + 21540: 02232008 5f737761 705f6461 74610000 .# ._swap_data.. + 21550: 00442302 2324085f 6861735f 636f6d70 .D#.#$._has_comp + 21560: 6c5f7061 636b6574 73000000 44300223 l_packets...D0.# + 21570: 28085f64 6573635f 64756d70 00000043 (._desc_dump...C + 21580: d502232c 085f6765 745f7061 636b6574 ..#,._get_packet + 21590: 00000044 3d022330 085f7265 636c6169 ...D=.#0._reclai + 215a0: 6d5f7061 636b6574 00000044 46022334 m_packet...DF.#4 + 215b0: 085f7075 745f7061 636b6574 00000044 ._put_packet...D + 215c0: 46022338 08705265 73657276 65640000 F.#8.pReserved.. + 215d0: 00040702 233c0009 5f415f63 6d6e6f73 ....#<.._A_cmnos + 215e0: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 215f0: 6c655f74 00000030 f909574d 495f5356 le_t...0..WMI_SV + 21600: 435f4150 49530000 00416216 5f415f6d C_APIS...Ab._A_m + 21610: 61677069 655f696e 64697265 6374696f agpie_indirectio + 21620: 6e5f7461 626c6500 034c0000 46e80863 n_table..L..F..c + 21630: 6d6e6f73 00000045 ba022300 08646267 mnos...E..#..dbg + 21640: 00000003 d40323b8 03086869 66000000 ......#...hif... + 21650: 29a20323 c0030868 74630000 003b1503 )..#...htc...;.. + 21660: 23f80308 776d695f 7376635f 61706900 #...wmi_svc_api. + 21670: 000045dc 0323ac04 08757362 6669666f ..E..#...usbfifo + 21680: 5f617069 00000032 cd0323d8 04086275 _api...2..#...bu + 21690: 665f706f 6f6c0000 00377603 23e40408 f_pool...7v.#... + 216a0: 76627566 00000014 b5032380 05087664 vbuf......#...vd + 216b0: 65736300 00001397 03239405 08616c6c esc......#...all + 216c0: 6f637261 6d000000 098d0323 a8050864 ocram......#...d + 216d0: 6d615f65 6e67696e 65000000 444d0323 ma_engine...DM.# + 216e0: b4050864 6d615f6c 69620000 002c3603 ...dma_lib...,6. + 216f0: 23f40508 6869665f 70636900 00002e96 #...hif_pci..... + 21700: 0323a806 00095f41 5f6d6167 7069655f .#...._A_magpie_ + 21710: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 21720: 655f7400 000045ee 11100000 473e0861 e_t...E.....G>.a + 21730: 30000000 01af0223 00086131 00000001 0......#..a1.... + 21740: af022304 08613200 000001af 02230808 ..#..a2......#.. + 21750: 61330000 0001af02 230c000e 0000470b a3......#.....G. + 21760: 50000047 4b0f0400 07585465 6e73615f P..GK....XTensa_ + 21770: 65786365 7074696f 6e5f6672 616d655f exception_frame_ + 21780: 73008000 00482f08 78745f70 63000000 s....H/.xt_pc... + 21790: 01af0223 00087874 5f707300 000001af ...#..xt_ps..... + 217a0: 02230408 78745f73 61720000 0001af02 .#..xt_sar...... + 217b0: 23080878 745f7670 72690000 0001af02 #..xt_vpri...... + 217c0: 230c0878 745f6132 00000001 af022310 #..xt_a2......#. + 217d0: 0878745f 61330000 0001af02 23140878 .xt_a3......#..x + 217e0: 745f6134 00000001 af022318 0878745f t_a4......#..xt_ + 217f0: 61350000 0001af02 231c0878 745f6578 a5......#..xt_ex + 21800: 63636175 73650000 0001af02 23200878 ccause......# .x + 21810: 745f6c63 6f756e74 00000001 af022324 t_lcount......#$ + 21820: 0878745f 6c626567 00000001 af022328 .xt_lbeg......#( + 21830: 0878745f 6c656e64 00000001 af02232c .xt_lend......#, + 21840: 08776200 0000473e 02233000 09435055 .wb...G>.#0..CPU + 21850: 5f657863 65707469 6f6e5f66 72616d65 _exception_frame + 21860: 5f740000 00474b03 000001af 04001900 _t...GK......... + 21870: 0001121a 6173736c 6f6f7000 00004851 ....assloop...HQ + 21880: 05030050 06f8011a 61737370 72696e74 ...P....assprint + 21890: 00000001 12050300 5006fc01 0e000001 ........P....... + 218a0: 19370000 488c0f36 00030000 487f0400 .7..H..6....H... + 218b0: 1a637572 72656e74 5f64756d 70000000 .current_dump... + 218c0: 05130503 00500a28 010e0000 01193400 .....P.(......4. + 218d0: 0048b90f 33000300 0048ac04 000e0000 .H..3....H...... + 218e0: 01191600 0048cd0f 15000300 0048c004 .....H.......H.. + 218f0: 000e0000 01190c00 0048e10f 0b000300 .........H...... + 21900: 0048d404 000e0000 01190200 0048f50f .H...........H.. + 21910: 01000300 0048e804 000e0000 01190800 .....H.......... + 21920: 0049090f 07000300 0048fc04 00190000 .I.......H...... + 21930: 019a1900 00019a03 00004915 04001b00 ..........I..... + 21940: 00018c01 0000492d 1c000300 0005bd04 ......I-........ + 21950: 0002011d 0115636d 6e6f735f 73797374 ......cmnos_syst + 21960: 656d5f72 65736574 00010103 92012002 em_reset...... . + 21970: 9000008e 2340008e 23511d01 2c636d6e ....#@..#Q..,cmn + 21980: 6f735f6d 61635f72 65736574 00010103 os_mac_reset.... + 21990: 92012002 9000008e 2354008e 23591e01 .. .....#T..#Y.. + 219a0: 3a636d6e 6f735f6d 6973616c 69676e65 :cmnos_misaligne + 219b0: 645f6c6f 61645f68 616e646c 65720001 d_load_handler.. + 219c0: 01039201 20029000 008e235c 008e23ad .... .....#\..#. + 219d0: 000049c6 1f013a64 756d7000 00000513 ..I...:dump..... + 219e0: 0152001e 015a636d 6e6f735f 61737366 .R...Zcmnos_assf + 219f0: 61696c00 01010392 01200290 00008e23 ail...... .....# + 21a00: b0008e24 1c00004a 111f015a 64756d70 ...$...J...Zdump + 21a10: 00000005 13015220 74617267 65745f69 ......R target_i + 21a20: 64000000 09722069 00000004 0a001e01 d....r i........ + 21a30: 86636d6e 6f735f72 65706f72 745f6661 .cmnos_report_fa + 21a40: 696c7572 655f746f 5f686f73 74000101 ilure_to_host... + 21a50: 03920120 02900000 8e241c00 8e242100 ... .....$...$!. + 21a60: 004a621f 01866475 6d700000 00051301 .Jb...dump...... + 21a70: 521f0186 6c656e00 00000112 01530021 R...len......S.! + 21a80: 018f636d 6e6f735f 74617267 65745f69 ..cmnos_target_i + 21a90: 645f6765 74000000 01120101 03920120 d_get.......... + 21aa0: 02900000 8e242400 8e242922 0198636d .....$$..$)"..cm + 21ab0: 6e6f735f 6765745f 6b626869 74000000 nos_get_kbhit... + 21ac0: 03300101 03920130 02900000 8e242c00 .0.....0.....$,. + 21ad0: 8e245900 004ae91f 01986465 6c617900 .$Y..J....delay. + 21ae0: 00000330 0152206c 6173745f 63636f75 ...0.R last_ccou + 21af0: 6e740000 0001af23 6b626869 74000000 nt.....#kbhit... + 21b00: 03300291 50002201 b0636d6e 6f735f69 .0..P."..cmnos_i + 21b10: 735f686f 73745f70 72657365 6e740000 s_host_present.. + 21b20: 00058801 01039201 20029000 008e245c ........ .....$\ + 21b30: 008e247b 00004b31 206d4869 66000000 ..${..K1 mHif... + 21b40: 0588206d 44617461 00000001 af002101 .. mData......!. + 21b50: e0636d6e 6f735f72 6f6d5f76 65727369 .cmnos_rom_versi + 21b60: 6f6e5f67 65740000 00018c01 01039201 on_get.......... + 21b70: 20029000 008e247c 008e2487 2401eb63 .....$|..$.$..c + 21b80: 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module + 21b90: 5f696e73 74616c6c 00010103 92012002 _install...... . + 21ba0: 9000008e 2488008e 24b51f01 eb74626c ....$...$....tbl + 21bb0: 00000049 2d015200 00000000 4a630002 ...I-.R.....Jc.. + 21bc0: 00000d2d 04012f72 6f6f742f 576f726b ...-../root/Work + 21bd0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 21be0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 21bf0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 21c00: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 21c10: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 21c20: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 21c30: 2f737263 2f636d6e 6f735f70 72696e74 /src/cmnos_print + 21c40: 662e6300 2f726f6f 742f576f 726b7370 f.c./root/Worksp + 21c50: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 21c60: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 21c70: 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri + 21c80: 6e746600 78742d78 63632066 6f722037 ntf.xt-xcc for 7 + 21c90: 2e312e30 202d4f50 543a616c 69676e5f .1.0 -OPT:align_ + 21ca0: 696e7374 72756374 696f6e73 3d333220 instructions=32 + 21cb0: 2d4f3220 2d673320 2d4f5054 3a737061 -O2 -g3 -OPT:spa + 21cc0: 63650001 0000007c 2e020103 0000010f ce.....|........ + 21cd0: 04000469 6e740005 04046368 61720007 ...int....char.. + 21ce0: 01050000 011f0500 00011f03 0000012c ..............., + 21cf0: 04000600 00011801 03000001 38040007 ............8... + 21d00: 7072696e 74665f61 70690008 0000017c printf_api.....| + 21d10: 085f7072 696e7466 5f696e69 74000000 ._printf_init... + 21d20: 01110223 00085f70 72696e74 66000000 ...#.._printf... + 21d30: 013e0223 04000473 686f7274 20756e73 .>.#...short uns + 21d40: 69676e65 6420696e 74000702 0975696e igned int....uin + 21d50: 7431365f 74000000 017c046c 6f6e6720 t16_t....|.long + 21d60: 756e7369 676e6564 20696e74 00070409 unsigned int.... + 21d70: 75696e74 33325f74 00000001 a0077561 uint32_t......ua + 21d80: 72745f66 69666f00 08000002 0e087374 rt_fifo.......st + 21d90: 6172745f 696e6465 78000000 01920223 art_index......# + 21da0: 0008656e 645f696e 64657800 00000192 ..end_index..... + 21db0: 02230208 6f766572 72756e5f 65727200 .#..overrun_err. + 21dc0: 000001b5 02230400 07756172 745f6170 .....#...uart_ap + 21dd0: 69002000 0002c708 5f756172 745f696e i. ....._uart_in + 21de0: 69740000 00031e02 2300085f 75617274 it......#.._uart + 21df0: 5f636861 725f7075 74000000 03450223 _char_put....E.# + 21e00: 04085f75 6172745f 63686172 5f676574 .._uart_char_get + 21e10: 00000003 59022308 085f7561 72745f73 ....Y.#.._uart_s + 21e20: 74725f6f 75740000 00036202 230c085f tr_out....b.#.._ + 21e30: 75617274 5f746173 6b000000 01110223 uart_task......# + 21e40: 10085f75 6172745f 73746174 75730000 .._uart_status.. + 21e50: 00031e02 2314085f 75617274 5f636f6e ....#.._uart_con + 21e60: 66696700 0000036b 02231808 5f756172 fig....k.#.._uar + 21e70: 745f6877 696e6974 00000003 7402231c t_hwinit....t.#. + 21e80: 00030000 020e0400 07756172 745f626c .........uart_bl + 21e90: 6b001000 00031808 64656275 675f6d6f k.......debug_mo + 21ea0: 64650000 00019202 23000862 61756400 de......#..baud. + 21eb0: 00000192 02230208 5f756172 74000000 .....#.._uart... + 21ec0: 02c70223 04085f74 78000000 01c30223 ...#.._tx......# + 21ed0: 08000600 0001b501 03000003 18040004 ................ + 21ee0: 756e7369 676e6564 20636861 72000701 unsigned char... + 21ef0: 0975696e 74385f74 00000003 25020103 .uint8_t....%... + 21f00: 00000343 04000300 00033604 00060000 ...C......6..... + 21f10: 01920103 00000353 04000201 03000003 .......S........ + 21f20: 60040002 01030000 03690400 02010300 `........i...... + 21f30: 00037204 00030000 011f0400 06000001 ..r............. + 21f40: 18010300 00038204 00074442 5f434f4d ..........DB_COM + 21f50: 4d414e44 5f535452 55435400 0c000003 MAND_STRUCT..... + 21f60: da08636d 645f7374 72000000 037b0223 ..cmd_str....{.# + 21f70: 00086865 6c705f73 74720000 00037b02 ..help_str....{. + 21f80: 23040863 6d645f66 756e6300 00000388 #..cmd_func..... + 21f90: 02230800 07646267 5f617069 00080000 .#...dbg_api.... + 21fa0: 040d085f 6462675f 696e6974 00000001 ..._dbg_init.... + 21fb0: 11022300 085f6462 675f7461 736b0000 ..#.._dbg_task.. + 21fc0: 00011102 2304000a 04000475 6e736967 ....#......unsig + 21fd0: 6e656420 696e7400 07040600 00040d01 ned int......... + 21fe0: 03000004 2004000b 0b030000 042e0400 .... ........... + 21ff0: 06000004 0d010300 00043604 00060000 ..........6..... + 22000: 01180103 00000443 0400076d 656d5f61 .......C...mem_a + 22010: 70690014 000004b2 085f6d65 6d5f696e pi......._mem_in + 22020: 69740000 00011102 2300085f 6d656d73 it......#.._mems + 22030: 65740000 00042602 2304085f 6d656d63 et....&.#.._memc + 22040: 70790000 00043c02 2308085f 6d656d6d py....<.#.._memm + 22050: 6f766500 0000043c 02230c08 5f6d656d ove....<.#.._mem + 22060: 636d7000 00000449 02231000 0c726567 cmp....I.#...reg + 22070: 69737465 725f6475 6d705f73 00000103 ister_dump_s.... + 22080: 000004b2 04000201 03000004 cc040002 ................ + 22090: 01030000 04d50400 06000001 18010300 ................ + 220a0: 0004de04 000d686f 73746966 5f730004 ......hostif_s.. + 220b0: 0000053a 0e484946 5f555342 00000e48 ...:.HIF_USB...H + 220c0: 49465f50 43494500 010e4849 465f474d IF_PCIE...HIF_GM + 220d0: 41430002 0e484946 5f504349 00030e48 AC...HIF_PCI...H + 220e0: 49465f4e 554d0004 0e484946 5f4e4f4e IF_NUM...HIF_NON + 220f0: 45000500 09415f48 4f535449 46000000 E....A_HOSTIF... + 22100: 04eb0600 00053a01 03000005 48040006 ......:.....H... + 22110: 00000336 01030000 05550400 06000001 ...6.....U...... + 22120: 92010300 00056204 00076d69 73635f61 ......b...misc_a + 22130: 70690024 00000652 085f7379 7374656d pi.$...R._system + 22140: 5f726573 65740000 00011102 2300085f _reset......#.._ + 22150: 6d61635f 72657365 74000000 01110223 mac_reset......# + 22160: 04085f61 73736661 696c0000 0004ce02 .._assfail...... + 22170: 2308085f 6d697361 6c69676e 65645f6c #.._misaligned_l + 22180: 6f61645f 68616e64 6c657200 000004ce oad_handler..... + 22190: 02230c08 5f726570 6f72745f 6661696c .#.._report_fail + 221a0: 7572655f 746f5f68 6f737400 000004d7 ure_to_host..... + 221b0: 02231008 5f746172 6765745f 69645f67 .#.._target_id_g + 221c0: 65740000 0004e402 2314085f 69735f68 et......#.._is_h + 221d0: 6f73745f 70726573 656e7400 0000054e ost_present....N + 221e0: 02231808 5f6b6268 69740000 00055b02 .#.._kbhit....[. + 221f0: 231c085f 726f6d5f 76657273 696f6e5f #.._rom_version_ + 22200: 67657400 00000568 02232000 06000003 get....h.# ..... + 22210: 7b010300 00065204 00060000 037b0103 {.....R......{.. + 22220: 0000065f 04000600 00011801 03000006 ..._............ + 22230: 6c040006 00000118 01030000 06790400 l............y.. + 22240: 06000001 18010300 00068604 00077374 ..............st + 22250: 72696e67 5f617069 00180000 070c085f ring_api......._ + 22260: 73747269 6e675f69 6e697400 00000111 string_init..... + 22270: 02230008 5f737472 63707900 00000658 .#.._strcpy....X + 22280: 02230408 5f737472 6e637079 00000006 .#.._strncpy.... + 22290: 65022308 085f7374 726c656e 00000006 e.#.._strlen.... + 222a0: 7202230c 085f7374 72636d70 00000006 r.#.._strcmp.... + 222b0: 7f022310 085f7374 726e636d 70000000 ..#.._strncmp... + 222c0: 068c0223 14000f00 00041014 00000719 ...#............ + 222d0: 10040009 5f415f54 494d4552 5f535041 ...._A_TIMER_SPA + 222e0: 43450000 00070c09 415f7469 6d65725f CE......A_timer_ + 222f0: 74000000 07190300 00072d04 00020103 t.........-..... + 22300: 00000743 04000201 03000007 4c040009 ...C........L... + 22310: 415f4841 4e444c45 00000004 10020109 A_HANDLE........ + 22320: 415f5449 4d45525f 46554e43 00000007 A_TIMER_FUNC.... + 22330: 63030000 07650400 02010300 00077e04 c....e........~. + 22340: 00077469 6d65725f 61706900 14000007 ..timer_api..... + 22350: fd085f74 696d6572 5f696e69 74000000 .._timer_init... + 22360: 01110223 00085f74 696d6572 5f61726d ...#.._timer_arm + 22370: 00000007 45022304 085f7469 6d65725f ....E.#.._timer_ + 22380: 64697361 726d0000 00074e02 2308085f disarm....N.#.._ + 22390: 74696d65 725f7365 74666e00 00000780 timer_setfn..... + 223a0: 02230c08 5f74696d 65725f72 756e0000 .#.._timer_run.. + 223b0: 00011102 23100009 424f4f4c 45414e00 ....#...BOOLEAN. + 223c0: 00000192 06000007 fd010300 00080a04 ................ + 223d0: 00060000 07fd0103 00000817 04000600 ................ + 223e0: 0007fd01 03000008 24040007 726f6d70 ........$...romp + 223f0: 5f617069 00100000 0896085f 726f6d70 _api......._romp + 22400: 5f696e69 74000000 01110223 00085f72 _init......#.._r + 22410: 6f6d705f 646f776e 6c6f6164 00000008 omp_download.... + 22420: 10022304 085f726f 6d705f69 6e737461 ..#.._romp_insta + 22430: 6c6c0000 00081d02 2308085f 726f6d70 ll......#.._romp + 22440: 5f646563 6f646500 0000082a 02230c00 _decode....*.#.. + 22450: 07726f6d 5f706174 63685f73 74001000 .rom_patch_st... + 22460: 0008f208 63726331 36000000 01920223 ....crc16......# + 22470: 00086c65 6e000000 01920223 02086c64 ..len......#..ld + 22480: 5f616464 72000000 01b50223 04086675 _addr......#..fu + 22490: 6e5f6164 64720000 0001b502 23080870 n_addr......#..p + 224a0: 66756e00 0000034c 02230c00 07656570 fun....L.#...eep + 224b0: 5f726564 69725f61 64647200 04000009 _redir_addr..... + 224c0: 24086f66 66736574 00000001 92022300 $.offset......#. + 224d0: 0873697a 65000000 01920223 02000941 .size......#...A + 224e0: 5f55494e 54333200 00000410 06000004 _UINT32......... + 224f0: 0d010300 00093204 0007616c 6c6f6372 ......2...allocr + 22500: 616d5f61 7069000c 000009a3 08636d6e am_api.......cmn + 22510: 6f735f61 6c6c6f63 72616d5f 696e6974 os_allocram_init + 22520: 00000009 38022300 08636d6e 6f735f61 ....8.#..cmnos_a + 22530: 6c6c6f63 72616d00 00000938 02230408 llocram....8.#.. + 22540: 636d6e6f 735f616c 6c6f6372 616d5f64 cmnos_allocram_d + 22550: 65627567 00000001 11022308 00020103 ebug......#..... + 22560: 000009a3 04000941 5f544153 4b4c4554 .......A_TASKLET + 22570: 5f46554e 43000000 09a5075f 7461736b _FUNC......_task + 22580: 6c657400 1000000a 04086675 6e630000 let.......func.. + 22590: 0009ac02 23000861 72670000 00040d02 ....#..arg...... + 225a0: 23040873 74617465 00000001 18022308 #..state......#. + 225b0: 086e6578 74000000 0a040223 0c000300 .next......#.... + 225c0: 0009c004 00030000 09c00400 09415f74 .............A_t + 225d0: 61736b6c 65745f74 00000009 c0030000 asklet_t........ + 225e0: 0a120400 02010300 000a2a04 00020103 ..........*..... + 225f0: 00000a33 04000774 61736b6c 65745f61 ...3...tasklet_a + 22600: 70690014 00000ac8 085f7461 736b6c65 pi......._taskle + 22610: 745f696e 69740000 00011102 2300085f t_init......#.._ + 22620: 7461736b 6c65745f 696e6974 5f746173 tasklet_init_tas + 22630: 6b000000 0a2c0223 04085f74 61736b6c k....,.#.._taskl + 22640: 65745f64 69736162 6c650000 000a3502 et_disable....5. + 22650: 2308085f 7461736b 6c65745f 73636865 #.._tasklet_sche + 22660: 64756c65 0000000a 3502230c 085f7461 dule....5.#.._ta + 22670: 736b6c65 745f7275 6e000000 01110223 sklet_run......# + 22680: 10000201 0300000a c8040006 00000924 ...............$ + 22690: 01030000 0ad10400 02010300 000ade04 ................ + 226a0: 0007636c 6f636b5f 61706900 2400000b ..clock_api.$... + 226b0: c0085f63 6c6f636b 5f696e69 74000000 .._clock_init... + 226c0: 0aca0223 00085f63 6c6f636b 72656773 ...#.._clockregs + 226d0: 5f696e69 74000000 01110223 04085f75 _init......#.._u + 226e0: 6172745f 66726571 75656e63 79000000 art_frequency... + 226f0: 0ad70223 08085f64 656c6179 5f757300 ...#.._delay_us. + 22700: 00000ae0 02230c08 5f776c61 6e5f6261 .....#.._wlan_ba + 22710: 6e645f73 65740000 000ae002 2310085f nd_set......#.._ + 22720: 72656663 6c6b5f73 70656564 5f676574 refclk_speed_get + 22730: 0000000a d7022314 085f6d69 6c6c6973 ......#.._millis + 22740: 65636f6e 64730000 000ad702 2318085f econds......#.._ + 22750: 73797363 6c6b5f63 68616e67 65000000 sysclk_change... + 22760: 01110223 1c085f63 6c6f636b 5f746963 ...#.._clock_tic + 22770: 6b000000 01110223 20000600 0001b501 k......# ....... + 22780: 0300000b c0040009 415f6f6c 645f696e ........A_old_in + 22790: 74725f74 00000001 b5060000 0bcd0103 tr_t............ + 227a0: 00000bdf 04000201 0300000b ec040002 ................ + 227b0: 01030000 0bf50400 06000001 b5010300 ................ + 227c0: 000bfe04 0009415f 6973725f 74000000 ......A_isr_t... + 227d0: 0c040201 0300000c 18040006 00000410 ................ + 227e0: 01030000 0c210400 02010300 000c2e04 .....!.......... + 227f0: 0007696e 74725f61 7069002c 00000d50 ..intr_api.,...P + 22800: 085f696e 74725f69 6e697400 00000111 ._intr_init..... + 22810: 02230008 5f696e74 725f696e 766f6b65 .#.._intr_invoke + 22820: 5f697372 0000000b c6022304 085f696e _isr......#.._in + 22830: 74725f64 69736162 6c650000 000be502 tr_disable...... + 22840: 2308085f 696e7472 5f726573 746f7265 #.._intr_restore + 22850: 0000000b ee02230c 085f696e 74725f6d ......#.._intr_m + 22860: 61736b5f 696e756d 0000000b f7022310 ask_inum......#. + 22870: 085f696e 74725f75 6e6d6173 6b5f696e ._intr_unmask_in + 22880: 756d0000 000bf702 2314085f 696e7472 um......#.._intr + 22890: 5f617474 6163685f 69737200 00000c1a _attach_isr..... + 228a0: 02231808 5f676574 5f696e74 72656e61 .#.._get_intrena + 228b0: 626c6500 00000c27 02231c08 5f736574 ble....'.#.._set + 228c0: 5f696e74 72656e61 626c6500 00000c30 _intrenable....0 + 228d0: 02232008 5f676574 5f696e74 7270656e .# ._get_intrpen + 228e0: 64696e67 0000000c 27022324 085f756e ding....'.#$._un + 228f0: 626c6f63 6b5f616c 6c5f696e 74726c76 block_all_intrlv + 22900: 6c000000 01110223 28001104 00000d76 l......#(......v + 22910: 0874696d 656f7574 00000001 b5022300 .timeout......#. + 22920: 08616374 696f6e00 000001b5 02230000 .action......#.. + 22930: 12080000 0d910863 6d640000 0001b502 .......cmd...... + 22940: 23001300 000d5002 23040009 545f5744 #.....P.#...T_WD + 22950: 545f434d 44000000 0d760201 0300000d T_CMD....v...... + 22960: a0040014 0400000d f60e454e 554d5f57 ..........ENUM_W + 22970: 44545f42 4f4f5400 010e454e 554d5f43 DT_BOOT...ENUM_C + 22980: 4f4c445f 424f4f54 00020e45 4e554d5f OLD_BOOT...ENUM_ + 22990: 53555350 5f424f4f 5400030e 454e554d SUSP_BOOT...ENUM + 229a0: 5f554e4b 4e4f574e 5f424f4f 54000400 _UNKNOWN_BOOT... + 229b0: 09545f42 4f4f545f 54595045 0000000d .T_BOOT_TYPE.... + 229c0: a9060000 0df60103 00000e07 04000777 ...............w + 229d0: 64745f61 7069001c 00000eab 085f7764 dt_api......._wd + 229e0: 745f696e 69740000 00011102 2300085f t_init......#.._ + 229f0: 7764745f 656e6162 6c650000 00011102 wdt_enable...... + 22a00: 2304085f 7764745f 64697361 626c6500 #.._wdt_disable. + 22a10: 00000111 02230808 5f776474 5f736574 .....#.._wdt_set + 22a20: 0000000d a202230c 085f7764 745f7461 ......#.._wdt_ta + 22a30: 736b0000 00011102 2310085f 7764745f sk......#.._wdt_ + 22a40: 72657365 74000000 01110223 14085f77 reset......#.._w + 22a50: 64745f6c 6173745f 626f6f74 0000000e dt_last_boot.... + 22a60: 0d022318 00140400 000f120e 5245545f ..#.........RET_ + 22a70: 53554343 45535300 000e5245 545f4e4f SUCCESS...RET_NO + 22a80: 545f494e 49540001 0e524554 5f4e4f54 T_INIT...RET_NOT + 22a90: 5f455849 53540002 0e524554 5f454550 _EXIST...RET_EEP + 22aa0: 5f434f52 52555054 00030e52 45545f45 _CORRUPT...RET_E + 22ab0: 45505f4f 56455246 4c4f5700 040e5245 EP_OVERFLOW...RE + 22ac0: 545f554e 4b4e4f57 4e000500 09545f45 T_UNKNOWN....T_E + 22ad0: 45505f52 45540000 000eab03 00000192 EP_RET.......... + 22ae0: 04000600 000f1201 0300000f 28040006 ............(... + 22af0: 00000f12 01030000 0f350400 07656570 .........5...eep + 22b00: 5f617069 00100000 0f9e085f 6565705f _api......._eep_ + 22b10: 696e6974 00000001 11022300 085f6565 init......#.._ee + 22b20: 705f7265 61640000 000f2e02 2304085f p_read......#.._ + 22b30: 6565705f 77726974 65000000 0f2e0223 eep_write......# + 22b40: 08085f65 65705f69 735f6578 69737400 .._eep_is_exist. + 22b50: 00000f3b 02230c00 07757362 5f617069 ...;.#...usb_api + 22b60: 00700000 124b085f 7573625f 696e6974 .p...K._usb_init + 22b70: 00000001 11022300 085f7573 625f726f ......#.._usb_ro + 22b80: 6d5f7461 736b0000 00011102 2304085f m_task......#.._ + 22b90: 7573625f 66775f74 61736b00 00000111 usb_fw_task..... + 22ba0: 02230808 5f757362 5f696e69 745f7068 .#.._usb_init_ph + 22bb0: 79000000 01110223 0c085f75 73625f65 y......#.._usb_e + 22bc0: 70305f73 65747570 00000001 11022310 p0_setup......#. + 22bd0: 085f7573 625f6570 305f7478 00000001 ._usb_ep0_tx.... + 22be0: 11022314 085f7573 625f6570 305f7278 ..#.._usb_ep0_rx + 22bf0: 00000001 11022318 085f7573 625f6765 ......#.._usb_ge + 22c00: 745f696e 74657266 61636500 0000081d t_interface..... + 22c10: 02231c08 5f757362 5f736574 5f696e74 .#.._usb_set_int + 22c20: 65726661 63650000 00081d02 2320085f erface......# ._ + 22c30: 7573625f 6765745f 636f6e66 69677572 usb_get_configur + 22c40: 6174696f 6e000000 081d0223 24085f75 ation......#$._u + 22c50: 73625f73 65745f63 6f6e6669 67757261 sb_set_configura + 22c60: 74696f6e 00000008 1d022328 085f7573 tion......#(._us + 22c70: 625f7374 616e6461 72645f63 6d640000 b_standard_cmd.. + 22c80: 00081d02 232c085f 7573625f 76656e64 ....#,._usb_vend + 22c90: 6f725f63 6d640000 00011102 2330085f or_cmd......#0._ + 22ca0: 7573625f 706f7765 725f6f66 66000000 usb_power_off... + 22cb0: 01110223 34085f75 73625f72 65736574 ...#4._usb_reset + 22cc0: 5f666966 6f000000 01110223 38085f75 _fifo......#8._u + 22cd0: 73625f67 656e5f77 64740000 00011102 sb_gen_wdt...... + 22ce0: 233c085f 7573625f 6a756d70 5f626f6f #<._usb_jump_boo + 22cf0: 74000000 01110223 40085f75 73625f63 t......#@._usb_c + 22d00: 6c725f66 65617475 72650000 00081d02 lr_feature...... + 22d10: 2344085f 7573625f 7365745f 66656174 #D._usb_set_feat + 22d20: 75726500 0000081d 02234808 5f757362 ure......#H._usb + 22d30: 5f736574 5f616464 72657373 00000008 _set_address.... + 22d40: 1d02234c 085f7573 625f6765 745f6465 ..#L._usb_get_de + 22d50: 73637269 70746f72 00000008 1d022350 scriptor......#P + 22d60: 085f7573 625f6765 745f7374 61747573 ._usb_get_status + 22d70: 00000008 1d022354 085f7573 625f7365 ......#T._usb_se + 22d80: 7475705f 64657363 00000001 11022358 tup_desc......#X + 22d90: 085f7573 625f7265 675f6f75 74000000 ._usb_reg_out... + 22da0: 01110223 5c085f75 73625f73 74617475 ...#\._usb_statu + 22db0: 735f696e 00000001 11022360 085f7573 s_in......#`._us + 22dc0: 625f6570 305f7478 5f646174 61000000 b_ep0_tx_data... + 22dd0: 01110223 64085f75 73625f65 70305f72 ...#d._usb_ep0_r + 22de0: 785f6461 74610000 00011102 2368085f x_data......#h._ + 22df0: 7573625f 636c6b5f 696e6974 00000001 usb_clk_init.... + 22e00: 1102236c 00075f56 44455343 00240000 ..#l.._VDESC.$.. + 22e10: 12d7086e 6578745f 64657363 00000012 ...next_desc.... + 22e20: d7022300 08627566 5f616464 72000000 ..#..buf_addr... + 22e30: 12eb0223 04086275 665f7369 7a650000 ...#..buf_size.. + 22e40: 0012f202 23080864 6174615f 6f666673 ....#..data_offs + 22e50: 65740000 0012f202 230a0864 6174615f et......#..data_ + 22e60: 73697a65 00000012 f202230c 08636f6e size......#..con + 22e70: 74726f6c 00000012 f202230e 0868775f trol......#..hw_ + 22e80: 64657363 5f627566 00000013 00022310 desc_buf......#. + 22e90: 00030000 124b0400 09415f55 494e5438 .....K...A_UINT8 + 22ea0: 00000003 25030000 12de0400 09415f55 ....%........A_U + 22eb0: 494e5431 36000000 017c0f00 0012de14 INT16....|...... + 22ec0: 0000130d 10130003 0000124b 04000956 ...........K...V + 22ed0: 44455343 00000012 4b030000 13140400 DESC....K....... + 22ee0: 06000013 1f010300 00132604 00060000 ..........&..... + 22ef0: 12eb0103 00001333 04000201 03000013 .......3........ + 22f00: 40040007 76646573 635f6170 69001400 @...vdesc_api... + 22f10: 0013b808 5f696e69 74000000 0ae00223 ...._init......# + 22f20: 00085f61 6c6c6f63 5f766465 73630000 .._alloc_vdesc.. + 22f30: 00132c02 2304085f 6765745f 68775f64 ..,.#.._get_hw_d + 22f40: 65736300 00001339 02230808 5f737761 esc....9.#.._swa + 22f50: 705f7664 65736300 00001342 02230c08 p_vdesc....B.#.. + 22f60: 70526573 65727665 64000000 040d0223 pReserved......# + 22f70: 1000075f 56425546 00200000 14180864 ..._VBUF. .....d + 22f80: 6573635f 6c697374 00000013 1f022300 esc_list......#. + 22f90: 086e6578 745f6275 66000000 14180223 .next_buf......# + 22fa0: 04086275 665f6c65 6e677468 00000012 ..buf_length.... + 22fb0: f2022308 08726573 65727665 64000000 ..#..reserved... + 22fc0: 141f0223 0a086374 78000000 13000223 ...#..ctx......# + 22fd0: 0c000300 0013b804 000f0000 12de0200 ................ + 22fe0: 00142c10 01000300 0013b804 00095642 ..,...........VB + 22ff0: 55460000 0013b803 00001433 04000600 UF.........3.... + 23000: 00143d01 03000014 44040006 0000143d ..=.....D......= + 23010: 01030000 14510400 02010300 00145e04 .....Q........^. + 23020: 00077662 75665f61 70690014 000014dc ..vbuf_api...... + 23030: 085f696e 69740000 000ae002 2300085f ._init......#.._ + 23040: 616c6c6f 635f7662 75660000 00144a02 alloc_vbuf....J. + 23050: 2304085f 616c6c6f 635f7662 75665f77 #.._alloc_vbuf_w + 23060: 6974685f 73697a65 00000014 57022308 ith_size....W.#. + 23070: 085f6672 65655f76 62756600 00001460 ._free_vbuf....` + 23080: 02230c08 70526573 65727665 64000000 .#..pReserved... + 23090: 040d0223 1000075f 5f616466 5f646576 ...#...__adf_dev + 230a0: 69636500 04000014 fe086475 6d6d7900 ice.......dummy. + 230b0: 00000118 02230000 03000009 24040007 .....#......$... + 230c0: 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... + 230d0: 00154508 62756600 0000143d 02230008 ..E.buf....=.#.. + 230e0: 64735f61 64647200 000014fe 02230408 ds_addr......#.. + 230f0: 64735f6c 656e0000 0012f202 23080012 ds_len......#... + 23100: 0c000015 7f085f5f 76615f73 746b0000 ......__va_stk.. + 23110: 00037b02 2300085f 5f76615f 72656700 ..{.#..__va_reg. + 23120: 0000037b 02230408 5f5f7661 5f6e6478 ...{.#..__va_ndx + 23130: 00000001 18022308 00095f5f 6164665f ......#...__adf_ + 23140: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 23150: 09240961 64665f6f 735f646d 615f6164 .$.adf_os_dma_ad + 23160: 64725f74 00000015 7f095f5f 6164665f dr_t......__adf_ + 23170: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 23180: 09240961 64665f6f 735f646d 615f7369 .$.adf_os_dma_si + 23190: 7a655f74 00000015 af075f5f 646d615f ze_t......__dma_ + 231a0: 73656773 00080000 160b0870 61646472 segs.......paddr + 231b0: 00000015 98022300 086c656e 00000015 ......#..len.... + 231c0: c8022304 00095f5f 615f7569 6e743332 ..#...__a_uint32 + 231d0: 5f740000 00092409 615f7569 6e743332 _t....$.a_uint32 + 231e0: 5f740000 00160b0f 000015df 08000016 _t.............. + 231f0: 3a100000 07616466 5f6f735f 646d616d :....adf_os_dmam + 23200: 61705f69 6e666f00 0c000016 73086e73 ap_info.....s.ns + 23210: 65677300 0000161d 02230008 646d615f egs......#..dma_ + 23220: 73656773 00000016 2d022304 00095f5f segs....-.#...__ + 23230: 615f7569 6e74385f 74000000 12de0961 a_uint8_t......a + 23240: 5f75696e 74385f74 00000016 73030000 _uint8_t....s... + 23250: 16840400 075f5f73 675f7365 67730008 .....__sg_segs.. + 23260: 000016c5 08766164 64720000 00169302 .....vaddr...... + 23270: 2300086c 656e0000 00161d02 2304000f #..len......#... + 23280: 0000169a 20000016 d2100300 07616466 .... ........adf + 23290: 5f6f735f 73676c69 73740024 00001705 _os_sglist.$.... + 232a0: 086e7365 67730000 00161d02 23000873 .nsegs......#..s + 232b0: 675f7365 67730000 0016c502 23040012 g_segs......#... + 232c0: 10000017 4e087665 6e646f72 00000016 ....N.vendor.... + 232d0: 1d022300 08646576 69636500 0000161d ..#..device..... + 232e0: 02230408 73756276 656e646f 72000000 .#..subvendor... + 232f0: 161d0223 08087375 62646576 69636500 ...#..subdevice. + 23300: 0000161d 02230c00 046c6f6e 67206c6f .....#...long lo + 23310: 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 23320: 07080941 5f55494e 54363400 0000174e ...A_UINT64....N + 23330: 095f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... + 23340: 17680961 5f75696e 7436345f 74000000 .h.a_uint64_t... + 23350: 17761404 000017d4 0e414446 5f4f535f .v.......ADF_OS_ + 23360: 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME + 23370: 4d00000e 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU + 23380: 5243455f 54595045 5f494f00 01000961 RCE_TYPE_IO....a + 23390: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 233a0: 7970655f 74000000 17981218 0000181e ype_t........... + 233b0: 08737461 72740000 00178802 23000865 .start......#..e + 233c0: 6e640000 00178802 23080874 79706500 nd......#..type. + 233d0: 000017d4 02231000 09616466 5f6f735f .....#...adf_os_ + 233e0: 7063695f 6465765f 69645f74 00000017 pci_dev_id_t.... + 233f0: 05030000 181e0400 11040000 185d0870 .............].p + 23400: 63690000 00183702 23000872 61770000 ci....7.#..raw.. + 23410: 00040d02 23000011 10000018 7c087063 ....#.......|.pc + 23420: 69000000 181e0223 00087261 77000000 i......#..raw... + 23430: 040d0223 00000961 64665f64 72765f68 ...#...adf_drv_h + 23440: 616e646c 655f7400 0000040d 09616466 andle_t......adf + 23450: 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. + 23460: 0017f003 00001892 04000961 64665f6f ...........adf_o + 23470: 735f6174 74616368 5f646174 615f7400 s_attach_data_t. + 23480: 0000185d 03000018 b0040003 000014dc ...]............ + 23490: 0400095f 5f616466 5f6f735f 64657669 ...__adf_os_devi + 234a0: 63655f74 00000018 d1096164 665f6f73 ce_t......adf_os + 234b0: 5f646576 6963655f 74000000 18d80600 _device_t....... + 234c0: 00187c01 03000019 04040002 01030000 ..|............. + 234d0: 19110400 09616466 5f6f735f 706d5f74 .....adf_os_pm_t + 234e0: 00000004 0d020103 0000192b 04001404 ...........+.... + 234f0: 0000196b 0e414446 5f4f535f 4255535f ...k.ADF_OS_BUS_ + 23500: 54595045 5f504349 00010e41 44465f4f TYPE_PCI...ADF_O + 23510: 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER + 23520: 49430002 00096164 665f6f73 5f627573 IC....adf_os_bus + 23530: 5f747970 655f7400 00001934 09616466 _type_t....4.adf + 23540: 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data + 23550: 5f740000 00183e03 00000325 0400075f _t....>....%..._ + 23560: 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. + 23570: 1a480864 72765f61 74746163 68000000 .H.drv_attach... + 23580: 190a0223 00086472 765f6465 74616368 ...#..drv_detach + 23590: 00000019 13022304 08647276 5f737573 ......#..drv_sus + 235a0: 70656e64 00000019 2d022308 08647276 pend....-.#..drv + 235b0: 5f726573 756d6500 00001913 02230c08 _resume......#.. + 235c0: 6275735f 74797065 00000019 6b022310 bus_type....k.#. + 235d0: 08627573 5f646174 61000000 19820223 .bus_data......# + 235e0: 14086d6f 645f6e61 6d650000 00199d02 ..mod_name...... + 235f0: 23180869 666e616d 65000000 199d0223 #..ifname......# + 23600: 1c000961 64665f6f 735f6861 6e646c65 ...adf_os_handle + 23610: 5f740000 00040d03 00001673 04000201 _t.........s.... + 23620: 0201095f 5f616466 5f6f735f 73697a65 ...__adf_os_size + 23630: 5f740000 00041014 0400001a 970e415f _t............A_ + 23640: 46414c53 4500000e 415f5452 55450001 FALSE...A_TRUE.. + 23650: 0009615f 626f6f6c 5f740000 001a7d03 ..a_bool_t....}. + 23660: 00001505 0400095f 5f616466 5f6f735f .......__adf_os_ + 23670: 646d615f 6d61705f 74000000 1aa50201 dma_map_t....... + 23680: 0d616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 23690: 6e630004 00001b2f 0e414446 5f53594e nc...../.ADF_SYN + 236a0: 435f5052 45524541 4400000e 4144465f C_PREREAD...ADF_ + 236b0: 53594e43 5f505245 57524954 4500020e SYNC_PREWRITE... + 236c0: 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA + 236d0: 4400010e 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS + 236e0: 54575249 54450003 00096164 665f6f73 TWRITE....adf_os + 236f0: 5f636163 68655f73 796e635f 74000000 _cache_sync_t... + 23700: 1ac60201 09616466 5f6f735f 73697a65 .....adf_os_size + 23710: 5f740000 001a6806 00001b4a 01096164 _t....h....J..ad + 23720: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 23730: 001aac03 00001b63 04000600 00040d01 .......c........ + 23740: 0300001a ac040006 0000040d 01020106 ................ + 23750: 00001598 01020104 73686f72 7420696e ........short in + 23760: 74000502 09415f49 4e543136 0000001b t....A_INT16.... + 23770: 9d095f5f 615f696e 7431365f 74000000 ..__a_int16_t... + 23780: 1baa0961 5f696e74 31365f74 0000001b ...a_int16_t.... + 23790: b7047369 676e6564 20636861 72000501 ..signed char... + 237a0: 09415f49 4e543800 00001bd7 095f5f61 .A_INT8......__a + 237b0: 5f696e74 385f7400 00001be6 09615f69 _int8_t......a_i + 237c0: 6e74385f 74000000 1bf2120c 00001c69 nt8_t..........i + 237d0: 08737570 706f7274 65640000 00161d02 .supported...... + 237e0: 23000861 64766572 74697a65 64000000 #..advertized... + 237f0: 161d0223 04087370 65656400 00001bc8 ...#..speed..... + 23800: 02230808 6475706c 65780000 001c0202 .#..duplex...... + 23810: 230a0861 75746f6e 65670000 00168402 #..autoneg...... + 23820: 230b000f 00001684 0600001c 76100500 #...........v... + 23830: 07616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr + 23840: 00060000 1c9a0861 64647200 00001c69 .......addr....i + 23850: 02230000 095f5f61 5f75696e 7431365f .#...__a_uint16_ + 23860: 74000000 12f20961 5f75696e 7431365f t......a_uint16_ + 23870: 74000000 1c9a120e 00001cfe 08657468 t............eth + 23880: 65725f64 686f7374 0000001c 69022300 er_dhost....i.#. + 23890: 08657468 65725f73 686f7374 0000001c .ether_shost.... + 238a0: 69022306 08657468 65725f74 79706500 i.#..ether_type. + 238b0: 00001cac 02230c00 12140000 1dbf1569 .....#.........i + 238c0: 705f7665 7273696f 6e000000 16840100 p_version....... + 238d0: 04022300 1569705f 686c0000 00168401 ..#..ip_hl...... + 238e0: 04040223 00086970 5f746f73 00000016 ...#..ip_tos.... + 238f0: 84022301 0869705f 6c656e00 00001cac ..#..ip_len..... + 23900: 02230208 69705f69 64000000 1cac0223 .#..ip_id......# + 23910: 04086970 5f667261 675f6f66 66000000 ..ip_frag_off... + 23920: 1cac0223 06086970 5f74746c 00000016 ...#..ip_ttl.... + 23930: 84022308 0869705f 70726f74 6f000000 ..#..ip_proto... + 23940: 16840223 09086970 5f636865 636b0000 ...#..ip_check.. + 23950: 001cac02 230a0869 705f7361 64647200 ....#..ip_saddr. + 23960: 0000161d 02230c08 69705f64 61646472 .....#..ip_daddr + 23970: 00000016 1d022310 00076164 665f6e65 ......#...adf_ne + 23980: 745f766c 616e6864 72000400 001e1108 t_vlanhdr....... + 23990: 74706964 0000001c ac022300 15707269 tpid......#..pri + 239a0: 6f000000 16840100 03022302 15636669 o.........#..cfi + 239b0: 00000016 84010301 02230215 76696400 .........#..vid. + 239c0: 00001cac 02040c02 23020007 6164665f ........#...adf_ + 239d0: 6e65745f 76696400 0200001e 42157265 net_vid.....B.re + 239e0: 73000000 16840100 04022300 1576616c s.........#..val + 239f0: 0000001c ac02040c 02230000 120c0000 .........#...... + 23a00: 1e7e0872 785f6275 6673697a 65000000 .~.rx_bufsize... + 23a10: 161d0223 00087278 5f6e6465 73630000 ...#..rx_ndesc.. + 23a20: 00161d02 23040874 785f6e64 65736300 ....#..tx_ndesc. + 23a30: 0000161d 02230800 12080000 1ea40870 .....#.........p + 23a40: 6f6c6c65 64000000 1a970223 0008706f olled......#..po + 23a50: 6c6c5f77 74000000 161d0223 04000f00 ll_wt......#.... + 23a60: 00168440 00001eb1 103f0012 4600001e ...@.....?..F... + 23a70: d9086966 5f6e616d 65000000 1ea40223 ..if_name......# + 23a80: 00086465 765f6164 64720000 001c6902 ..dev_addr....i. + 23a90: 23400014 0400001f 100e4144 465f4f53 #@........ADF_OS + 23aa0: 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. + 23ab0: 000e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 23ac0: 4b5f3634 42495400 01000961 64665f6f K_64BIT....adf_o + 23ad0: 735f646d 615f6d61 736b5f74 0000001e s_dma_mask_t.... + 23ae0: d9076164 665f646d 615f696e 666f0008 ..adf_dma_info.. + 23af0: 00001f5d 08646d61 5f6d6173 6b000000 ...].dma_mask... + 23b00: 1f100223 00087367 5f6e7365 67730000 ...#..sg_nsegs.. + 23b10: 00161d02 23040014 0400001f b30e4144 ....#.........AD + 23b20: 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE + 23b30: 00000e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 23b40: 5f544350 5f554450 5f495076 3400010e _TCP_UDP_IPv4... + 23b50: 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 23b60: 505f5544 505f4950 76360002 00096164 P_UDP_IPv6....ad + 23b70: 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type + 23b80: 5f740000 001f5d12 0800001f f6087478 _t....].......tx + 23b90: 5f636b73 756d0000 001fb302 23000872 _cksum......#..r + 23ba0: 785f636b 73756d00 00001fb3 02230400 x_cksum......#.. + 23bb0: 09616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i + 23bc0: 6e666f5f 74000000 1fcd1404 0000204f nfo_t......... O + 23bd0: 0e414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON + 23be0: 4500000e 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ + 23bf0: 49505634 00010e41 44465f4e 45545f54 IPV4...ADF_NET_T + 23c00: 534f5f41 4c4c0002 00096164 665f6e65 SO_ALL....adf_ne + 23c10: 745f7473 6f5f7479 70655f74 00000020 t_tso_type_t... + 23c20: 10121000 0020a308 636b7375 6d5f6361 ..... ..cksum_ca + 23c30: 70000000 1ff60223 00087473 6f000000 p......#..tso... + 23c40: 204f0223 0808766c 616e5f73 7570706f O.#..vlan_suppo + 23c50: 72746564 00000016 8402230c 00122000 rted......#... . + 23c60: 00213c08 74785f70 61636b65 74730000 .!<.tx_packets.. + 23c70: 00161d02 23000872 785f7061 636b6574 ....#..rx_packet + 23c80: 73000000 161d0223 04087478 5f627974 s......#..tx_byt + 23c90: 65730000 00161d02 23080872 785f6279 es......#..rx_by + 23ca0: 74657300 0000161d 02230c08 74785f64 tes......#..tx_d + 23cb0: 726f7070 65640000 00161d02 23100872 ropped......#..r + 23cc0: 785f6472 6f707065 64000000 161d0223 x_dropped......# + 23cd0: 14087278 5f657272 6f727300 0000161d ..rx_errors..... + 23ce0: 02231808 74785f65 72726f72 73000000 .#..tx_errors... + 23cf0: 161d0223 1c000961 64665f6e 65745f65 ...#...adf_net_e + 23d00: 74686164 64725f74 0000001c 76160000 thaddr_t....v... + 23d10: 213c0300 00002161 107f0017 6164665f !<....!a....adf_ + 23d20: 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. + 23d30: 04000021 98086e65 6c656d00 0000161d ...!..nelem..... + 23d40: 02230008 6d636173 74000000 21530223 .#..mcast...!S.# + 23d50: 04000961 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l + 23d60: 696e6b5f 696e666f 5f740000 001c1009 ink_info_t...... + 23d70: 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll + 23d80: 5f696e66 6f5f7400 00001e7e 09616466 _info_t....~.adf + 23d90: 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i + 23da0: 6e666f5f 74000000 1ff60961 64665f6e nfo_t......adf_n + 23db0: 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info + 23dc0: 5f740000 001e4209 6164665f 6e65745f _t....B.adf_net_ + 23dd0: 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. + 23de0: 001f2709 6164665f 6e65745f 636d645f ..'.adf_net_cmd_ + 23df0: 7669645f 74000000 1cac0961 64665f6e vid_t......adf_n + 23e00: 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c + 23e10: 61705f74 00000020 67096164 665f6e65 ap_t... g.adf_ne + 23e20: 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... + 23e30: 20a30961 64665f6e 65745f63 6d645f6d ..adf_net_cmd_m + 23e40: 63616464 725f7400 00002161 0d616466 caddr_t...!a.adf + 23e50: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 23e60: 61700004 000022da 0e414446 5f4e4554 ap...."..ADF_NET + 23e70: 5f4d4341 53545f53 55500000 0e414446 _MCAST_SUP...ADF + 23e80: 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU + 23e90: 50000100 09616466 5f6e6574 5f636d64 P....adf_net_cmd + 23ea0: 5f6d6361 73745f63 61705f74 00000022 _mcast_cap_t..." + 23eb0: 92180304 000023ac 086c696e 6b5f696e ......#..link_in + 23ec0: 666f0000 00219802 23000870 6f6c6c5f fo...!..#..poll_ + 23ed0: 696e666f 00000021 b5022300 08636b73 info...!..#..cks + 23ee0: 756d5f69 6e666f00 000021d2 02230008 um_info...!..#.. + 23ef0: 72696e67 5f696e66 6f000000 21f00223 ring_info...!..# + 23f00: 0008646d 615f696e 666f0000 00220d02 ..dma_info...".. + 23f10: 23000876 69640000 00222902 2300086f #..vid...").#..o + 23f20: 66666c6f 61645f63 61700000 00224002 ffload_cap..."@. + 23f30: 23000873 74617473 00000022 5f022300 #..stats..."_.#. + 23f40: 086d6361 73745f69 6e666f00 00002278 .mcast_info..."x + 23f50: 02230008 6d636173 745f6361 70000000 .#..mcast_cap... + 23f60: 22da0223 00001404 00002403 0e414446 "..#......$..ADF + 23f70: 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N + 23f80: 4f4e4500 000e4144 465f4e42 55465f52 ONE...ADF_NBUF_R + 23f90: 585f434b 53554d5f 48570001 0e414446 X_CKSUM_HW...ADF + 23fa0: 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U + 23fb0: 4e4e4543 45535341 52590002 00096164 NNECESSARY....ad + 23fc0: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 23fd0: 74797065 5f740000 0023ac12 08000024 type_t...#.....$ + 23fe0: 43087265 73756c74 00000024 03022300 C.result...$..#. + 23ff0: 0876616c 00000016 1d022304 00120800 .val......#..... + 24000: 00247308 74797065 00000020 4f022300 .$s.type... O.#. + 24010: 086d7373 0000001c ac022304 08686472 .mss......#..hdr + 24020: 5f6f6666 00000016 84022306 00075f5f _off......#...__ + 24030: 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. + 24040: 000024b2 08686561 64000000 143d0223 ..$..head....=.# + 24050: 00087461 696c0000 00143d02 23040871 ..tail....=.#..q + 24060: 6c656e00 0000161d 02230800 095f5f61 len......#...__a + 24070: 64665f6e 6275665f 74000000 143d0300 df_nbuf_t....=.. + 24080: 00169304 00030000 161d0400 02010600 ................ + 24090: 00131f01 06000016 1d010600 00169301 ................ + 240a0: 06000016 93010300 00130004 00095f5f ..............__ + 240b0: 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t + 240c0: 00000024 73095f5f 6164665f 6e627566 ...$s.__adf_nbuf + 240d0: 5f717565 75655f74 00000024 f3030000 _queue_t...$.... + 240e0: 250b0400 06000024 b2010600 0024b201 %......$.....$.. + 240f0: 14040000 262b0e41 5f535441 5455535f ....&+.A_STATUS_ + 24100: 4f4b0000 0e415f53 54415455 535f4641 OK...A_STATUS_FA + 24110: 494c4544 00010e41 5f535441 5455535f ILED...A_STATUS_ + 24120: 454e4f45 4e540002 0e415f53 54415455 ENOENT...A_STATU + 24130: 535f454e 4f4d454d 00030e41 5f535441 S_ENOMEM...A_STA + 24140: 5455535f 45494e56 414c0004 0e415f53 TUS_EINVAL...A_S + 24150: 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES + 24160: 5300050e 415f5354 41545553 5f454e4f S...A_STATUS_ENO + 24170: 54535550 5000060e 415f5354 41545553 TSUPP...A_STATUS + 24180: 5f454255 53590007 0e415f53 54415455 _EBUSY...A_STATU + 24190: 535f4532 42494700 080e415f 53544154 S_E2BIG...A_STAT + 241a0: 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL + 241b0: 00090e41 5f535441 5455535f 454e5849 ...A_STATUS_ENXI + 241c0: 4f000a0e 415f5354 41545553 5f454641 O...A_STATUS_EFA + 241d0: 554c5400 0b0e415f 53544154 55535f45 ULT...A_STATUS_E + 241e0: 494f000c 0009615f 73746174 75735f74 IO....a_status_t + 241f0: 00000025 36060000 262b0106 00000118 ...%6...&+...... + 24200: 01020109 6164665f 6e627566 5f740000 ....adf_nbuf_t.. + 24210: 0024b214 04000026 900e4144 465f4f53 .$.....&..ADF_OS + 24220: 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. + 24230: 0e414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM + 24240: 5f444556 49434500 01000961 64665f6f _DEVICE....adf_o + 24250: 735f646d 615f6469 725f7400 00002659 s_dma_dir_t...&Y + 24260: 06000026 2b010201 09616466 5f6f735f ...&+....adf_os_ + 24270: 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... + 24280: 163a0300 0026ae04 00020102 01060000 .:...&.......... + 24290: 26490106 000024b2 01020102 01060000 &I....$......... + 242a0: 26490106 000024b2 01060000 26490106 &I....$.....&I.. + 242b0: 000024b2 01060000 26490102 01020106 ..$.....&I...... + 242c0: 0000161d 01060000 16930102 01020106 ................ + 242d0: 00001b4a 01060000 1a970106 00001a97 ...J............ + 242e0: 01096164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ + 242f0: 74000000 16d20300 00272704 00020102 t........''..... + 24300: 01020106 00001693 01096164 665f6e62 ..........adf_nb + 24310: 75665f71 75657565 5f740000 00250b03 uf_queue_t...%.. + 24320: 0000274f 04000201 03000024 f3040002 ..'O.......$.... + 24330: 01020102 01060000 26490106 000024b2 ........&I....$. + 24340: 01060000 161d0106 0000161d 01060000 ................ + 24350: 1a970106 00001a97 01060000 1fb30106 ................ + 24360: 0000161d 01096164 665f6e62 75665f72 ......adf_nbuf_r + 24370: 785f636b 73756d5f 74000000 24210300 x_cksum_t...$!.. + 24380: 0027ab04 00020102 01096164 665f6e62 .'........adf_nb + 24390: 75665f74 736f5f74 00000024 43030000 uf_tso_t...$C... + 243a0: 27cf0400 02010201 09616466 5f6e6574 '........adf_net + 243b0: 5f68616e 646c655f 74000000 040d0961 _handle_t......a + 243c0: 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t + 243d0: 0000001d bf030000 28040400 06000026 ........(......& + 243e0: 2b010600 00262b01 02010201 075f4849 +....&+......_HI + 243f0: 465f434f 4e464947 00040000 28530864 F_CONFIG....(S.d + 24400: 756d6d79 00000001 18022300 00020103 ummy......#..... + 24410: 00002853 04000201 03000028 5c040007 ..(S.......(\... + 24420: 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... + 24430: 0028b108 73656e64 5f627566 5f646f6e .(..send_buf_don + 24440: 65000000 28550223 00087265 63765f62 e...(U.#..recv_b + 24450: 75660000 00285e02 23040863 6f6e7465 uf...(^.#..conte + 24460: 78740000 00040d02 23080009 6869665f xt......#...hif_ + 24470: 68616e64 6c655f74 00000004 0d094849 handle_t......HI + 24480: 465f434f 4e464947 00000028 32030000 F_CONFIG...(2... + 24490: 28c30400 06000028 b1010300 0028da04 (......(.....(.. + 244a0: 00020103 000028e7 04000948 49465f43 ......(....HIF_C + 244b0: 414c4c42 41434b00 00002865 03000028 ALLBACK...(e...( + 244c0: f0040002 01030000 29090400 06000001 ........)....... + 244d0: 18010300 00291204 00020103 0000291f .....)........). + 244e0: 04000600 00011801 03000029 28040002 ...........)(... + 244f0: 01030000 29350400 06000001 18010300 ....)5.......... + 24500: 00293e04 00020103 0000294b 04000768 .)>.......)K...h + 24510: 69665f61 70690038 00002aa4 085f696e if_api.8..*.._in + 24520: 69740000 0028e002 2300085f 73687574 it...(..#.._shut + 24530: 646f776e 00000028 e9022304 085f7265 down...(..#.._re + 24540: 67697374 65725f63 616c6c62 61636b00 gister_callback. + 24550: 0000290b 02230808 5f676574 5f746f74 ..)..#.._get_tot + 24560: 616c5f63 72656469 745f636f 756e7400 al_credit_count. + 24570: 00002918 02230c08 5f737461 72740000 ..)..#.._start.. + 24580: 0028e902 2310085f 636f6e66 69675f70 .(..#.._config_p + 24590: 69706500 00002921 02231408 5f73656e ipe...)!.#.._sen + 245a0: 645f6275 66666572 00000029 2e022318 d_buffer...)..#. + 245b0: 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 245c0: 66000000 29370223 1c085f69 735f7069 f...)7.#.._is_pi + 245d0: 70655f73 7570706f 72746564 00000029 pe_supported...) + 245e0: 44022320 085f6765 745f6d61 785f6d73 D.# ._get_max_ms + 245f0: 675f6c65 6e000000 29440223 24085f67 g_len...)D.#$._g + 24600: 65745f72 65736572 7665645f 68656164 et_reserved_head + 24610: 726f6f6d 00000029 18022328 085f6973 room...)..#(._is + 24620: 725f6861 6e646c65 72000000 28e90223 r_handler...(..# + 24630: 2c085f67 65745f64 65666175 6c745f70 ,._get_default_p + 24640: 69706500 0000294d 02233008 70526573 ipe...)M.#0.pRes + 24650: 65727665 64000000 040d0223 34000d64 erved......#4..d + 24660: 6d615f65 6e67696e 65000400 002b2d0e ma_engine....+-. + 24670: 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. + 24680: 0e444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. + 24690: 010e444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 + 246a0: 00020e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 246b0: 3300030e 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T + 246c0: 58300004 0e444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 246d0: 54583100 050e444d 415f454e 47494e45 TX1...DMA_ENGINE + 246e0: 5f4d4158 00060009 646d615f 656e6769 _MAX....dma_engi + 246f0: 6e655f74 0000002a a40d646d 615f6966 ne_t...*..dma_if + 24700: 74797065 00040000 2b7a0e44 4d415f49 type....+z.DMA_I + 24710: 465f474d 41430000 0e444d41 5f49465f F_GMAC...DMA_IF_ + 24720: 50434900 010e444d 415f4946 5f504349 PCI...DMA_IF_PCI + 24730: 45000200 09646d61 5f696674 7970655f E....dma_iftype_ + 24740: 74000000 2b3f0600 0012f201 0300002b t...+?.........+ + 24750: 8c040002 01030000 2b990400 02010300 ........+....... + 24760: 002ba204 00060000 09240103 00002bab .+.......$....+. + 24770: 04000600 0012f201 0300002b b8040006 ...........+.... + 24780: 000012f2 01030000 2bc50400 06000014 ........+....... + 24790: 3d010300 002bd204 00020103 00002bdf =....+........+. + 247a0: 04000764 6d615f6c 69625f61 70690034 ...dma_lib_api.4 + 247b0: 00002ce6 0874785f 696e6974 0000002b ..,..tx_init...+ + 247c0: 92022300 0874785f 73746172 74000000 ..#..tx_start... + 247d0: 2b9b0223 04087278 5f696e69 74000000 +..#..rx_init... + 247e0: 2b920223 08087278 5f636f6e 66696700 +..#..rx_config. + 247f0: 00002ba4 02230c08 72785f73 74617274 ..+..#..rx_start + 24800: 0000002b 9b022310 08696e74 725f7374 ...+..#..intr_st + 24810: 61747573 0000002b b1022314 08686172 atus...+..#..har + 24820: 645f786d 69740000 002bbe02 23180866 d_xmit...+..#..f + 24830: 6c757368 5f786d69 74000000 2b9b0223 lush_xmit...+..# + 24840: 1c08786d 69745f64 6f6e6500 00002bcb ..xmit_done...+. + 24850: 02232008 72656170 5f786d69 74746564 .# .reap_xmitted + 24860: 0000002b d8022324 08726561 705f7265 ...+..#$.reap_re + 24870: 63760000 002bd802 23280872 65747572 cv...+..#(.retur + 24880: 6e5f7265 63760000 002be102 232c0872 n_recv...+..#,.r + 24890: 6563765f 706b7400 00002bcb 02233000 ecv_pkt...+..#0. + 248a0: 075f5f70 63695f73 6f667463 000c0000 .__pci_softc.... + 248b0: 2d040873 77000000 28f00223 0000095f -..sw...(..#..._ + 248c0: 5f706369 5f736f66 74635f74 0000002c _pci_softc_t..., + 248d0: e6030000 2d040400 02010300 002d1e04 ....-........-.. + 248e0: 00060000 12de0103 00002d27 04000d68 ..........-'...h + 248f0: 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. + 24900: 00002d87 0e484946 5f504349 5f504950 ..-..HIF_PCI_PIP + 24910: 455f5458 3000000e 4849465f 5043495f E_TX0...HIF_PCI_ + 24920: 50495045 5f545831 00010e48 49465f50 PIPE_TX1...HIF_P + 24930: 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. + 24940: 00096869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 24950: 785f7400 00002d34 0600002b 2d010300 x_t...-4...+-... + 24960: 002d9e04 000d6869 665f7063 695f7069 .-....hif_pci_pi + 24970: 70655f72 78000400 002e240e 4849465f pe_rx.....$.HIF_ + 24980: 5043495f 50495045 5f525830 00000e48 PCI_PIPE_RX0...H + 24990: 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. + 249a0: 010e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 249b0: 58320002 0e484946 5f504349 5f504950 X2...HIF_PCI_PIP + 249c0: 455f5258 3300030e 4849465f 5043495f E_RX3...HIF_PCI_ + 249d0: 50495045 5f52585f 4d415800 04000968 PIPE_RX_MAX....h + 249e0: 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t + 249f0: 0000002d ab060000 2b2d0103 00002e3b ...-....+-.....; + 24a00: 04000768 69665f70 63695f61 70690024 ...hif_pci_api.$ + 24a10: 00002f19 08706369 5f626f6f 745f696e ../..pci_boot_in + 24a20: 69740000 00011102 23000870 63695f69 it......#..pci_i + 24a30: 6e697400 000028e0 02230408 7063695f nit...(..#..pci_ + 24a40: 72657365 74000000 01110223 08087063 reset......#..pc + 24a50: 695f656e 61626c65 00000001 1102230c i_enable......#. + 24a60: 08706369 5f726561 705f786d 69747465 .pci_reap_xmitte + 24a70: 64000000 2d200223 10087063 695f7265 d...- .#..pci_re + 24a80: 61705f72 65637600 00002d20 02231408 ap_recv...- .#.. + 24a90: 7063695f 6765745f 70697065 0000002d pci_get_pipe...- + 24aa0: 2d022318 08706369 5f676574 5f74785f -.#..pci_get_tx_ + 24ab0: 656e6700 00002da4 02231c08 7063695f eng...-..#..pci_ + 24ac0: 6765745f 72785f65 6e670000 002e4102 get_rx_eng....A. + 24ad0: 23200007 676d6163 5f617069 00040000 # ..gmac_api.... + 24ae0: 2f400867 6d61635f 626f6f74 5f696e69 /@.gmac_boot_ini + 24af0: 74000000 01110223 00000f00 00032506 t......#......%. + 24b00: 00002f4d 10050007 5f5f6574 68686472 ../M....__ethhdr + 24b10: 000e0000 2f830864 73740000 002f4002 ..../..dst.../@. + 24b20: 23000873 72630000 002f4002 23060865 #..src.../@.#..e + 24b30: 74797065 00000012 f202230c 00075f5f type......#...__ + 24b40: 61746868 64720004 00002fd1 15726573 athhdr..../..res + 24b50: 00000012 de010002 02230015 70726f74 .........#..prot + 24b60: 6f000000 12de0102 06022300 08726573 o.........#..res + 24b70: 5f6c6f00 000012de 02230108 7265735f _lo......#..res_ + 24b80: 68690000 0012f202 23020007 5f5f676d hi......#...__gm + 24b90: 61635f68 64720014 0000300d 08657468 ac_hdr....0..eth + 24ba0: 0000002f 4d022300 08617468 0000002f .../M.#..ath.../ + 24bb0: 8302230e 08616c69 676e5f70 61640000 ..#..align_pad.. + 24bc0: 0012f202 23120009 5f5f676d 61635f68 ....#...__gmac_h + 24bd0: 64725f74 0000002f d1075f5f 676d6163 dr_t.../..__gmac + 24be0: 5f736f66 74630024 00003057 08686472 _softc.$..0W.hdr + 24bf0: 00000030 0d022300 08677261 6e000000 ...0..#..gran... + 24c00: 12f20223 14087377 00000028 f0022318 ...#..sw...(..#. + 24c10: 00075f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ + 24c20: 63686563 6b000800 00309008 76657273 check....0..vers + 24c30: 696f6e00 00000118 02230008 7461626c ion......#..tabl + 24c40: 65000000 01180223 04000300 00305704 e......#.....0W. + 24c50: 00060000 01180103 00003097 04000300 ..........0..... + 24c60: 00041004 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 24c70: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 24c80: 0001b800 0031e708 68616c5f 6c696e6b .....1..hal_link + 24c90: 6167655f 63686563 6b000000 309d0223 age_check...0..# + 24ca0: 00087374 6172745f 62737300 000030a4 ..start_bss...0. + 24cb0: 02230408 6170705f 73746172 74000000 .#..app_start... + 24cc0: 01110223 08086d65 6d000000 04500223 ...#..mem....P.# + 24cd0: 0c086d69 73630000 00056f02 23200870 ..misc....o.# .p + 24ce0: 72696e74 66000000 01450223 44087561 rintf....E.#D.ua + 24cf0: 72740000 00020e02 234c0867 6d616300 rt......#L.gmac. + 24d00: 00002f19 02236c08 75736200 00000f9e ../..#l.usb..... + 24d10: 02237008 636c6f63 6b000000 0ae70323 .#p.clock......# + 24d20: e0010874 696d6572 00000007 87032384 ...timer......#. + 24d30: 0208696e 74720000 000c3703 23980208 ..intr....7.#... + 24d40: 616c6c6f 6372616d 00000009 3f0323c4 allocram....?.#. + 24d50: 0208726f 6d700000 00083103 23d00208 ..romp....1.#... + 24d60: 7764745f 74696d65 72000000 0e140323 wdt_timer......# + 24d70: e0020865 65700000 000f4203 23fc0208 ...eep....B.#... + 24d80: 73747269 6e670000 00069303 238c0308 string......#... + 24d90: 7461736b 6c657400 00000a3c 0323a403 tasklet....<.#.. + 24da0: 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 24db0: 49470010 0000325a 08676574 5f636f6d IG....2Z.get_com + 24dc0: 6d616e64 5f627566 00000014 4a022300 mand_buf....J.#. + 24dd0: 08726563 765f636f 6d6d616e 64000000 .recv_command... + 24de0: 14600223 04086765 745f6576 656e745f .`.#..get_event_ + 24df0: 62756600 0000144a 02230808 73656e64 buf....J.#..send + 24e00: 5f657665 6e745f64 6f6e6500 00001460 _event_done....` + 24e10: 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO + 24e20: 4e464947 00000031 e7030000 325a0400 NFIG...1....2Z.. + 24e30: 02010300 00327604 00077573 62666966 .....2v...usbfif + 24e40: 6f5f6170 69000c00 0032cc08 5f696e69 o_api....2.._ini + 24e50: 74000000 32780223 00085f65 6e61626c t...2x.#.._enabl + 24e60: 655f6576 656e745f 69737200 00000111 e_event_isr..... + 24e70: 02230408 70526573 65727665 64000000 .#..pReserved... + 24e80: 040d0223 08000f00 00168402 000032d9 ...#..........2. + 24e90: 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 24ea0: 44520008 0000334b 08456e64 706f696e DR....3K.Endpoin + 24eb0: 74494400 00001684 02230008 466c6167 tID......#..Flag + 24ec0: 73000000 16840223 01085061 796c6f61 s......#..Payloa + 24ed0: 644c656e 0000001c ac022302 08436f6e dLen......#..Con + 24ee0: 74726f6c 42797465 73000000 32cc0223 trolBytes...2..# + 24ef0: 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... + 24f00: ac022306 00120200 00336408 4d657373 ..#......3d.Mess + 24f10: 61676549 44000000 1cac0223 00001208 ageID......#.... + 24f20: 000033c7 084d6573 73616765 49440000 ..3..MessageID.. + 24f30: 001cac02 23000843 72656469 74436f75 ....#..CreditCou + 24f40: 6e740000 001cac02 23020843 72656469 nt......#..Credi + 24f50: 7453697a 65000000 1cac0223 04084d61 tSize......#..Ma + 24f60: 78456e64 706f696e 74730000 00168402 xEndpoints...... + 24f70: 2306085f 50616431 00000016 84022307 #.._Pad1......#. + 24f80: 00120a00 00345e08 4d657373 61676549 .....4^.MessageI + 24f90: 44000000 1cac0223 00085365 72766963 D......#..Servic + 24fa0: 65494400 00001cac 02230208 436f6e6e eID......#..Conn + 24fb0: 65637469 6f6e466c 61677300 00001cac ectionFlags..... + 24fc0: 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 24fd0: 49440000 00168402 23060855 704c696e ID......#..UpLin + 24fe0: 6b506970 65494400 00001684 02230708 kPipeID......#.. + 24ff0: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 25000: 68000000 16840223 08085f50 61643100 h......#.._Pad1. + 25010: 00001684 02230900 120a0000 34e6084d .....#......4..M + 25020: 65737361 67654944 0000001c ac022300 essageID......#. + 25030: 08536572 76696365 49440000 001cac02 .ServiceID...... + 25040: 23020853 74617475 73000000 16840223 #..Status......# + 25050: 0408456e 64706f69 6e744944 00000016 ..EndpointID.... + 25060: 84022305 084d6178 4d736753 697a6500 ..#..MaxMsgSize. + 25070: 00001cac 02230608 53657276 6963654d .....#..ServiceM + 25080: 6574614c 656e6774 68000000 16840223 etaLength......# + 25090: 08085f50 61643100 00001684 02230900 .._Pad1......#.. + 250a0: 12020000 34ff084d 65737361 67654944 ....4..MessageID + 250b0: 0000001c ac022300 00120400 00353b08 ......#......5;. + 250c0: 4d657373 61676549 44000000 1cac0223 MessageID......# + 250d0: 00085069 70654944 00000016 84022302 ..PipeID......#. + 250e0: 08437265 64697443 6f756e74 00000016 .CreditCount.... + 250f0: 84022303 00120400 00357208 4d657373 ..#......5r.Mess + 25100: 61676549 44000000 1cac0223 00085069 ageID......#..Pi + 25110: 70654944 00000016 84022302 08537461 peID......#..Sta + 25120: 74757300 00001684 02230300 12020000 tus......#...... + 25130: 35990852 65636f72 64494400 00001684 5..RecordID..... + 25140: 02230008 4c656e67 74680000 00168402 .#..Length...... + 25150: 23010012 02000035 c308456e 64706f69 #......5..Endpoi + 25160: 6e744944 00000016 84022300 08437265 ntID......#..Cre + 25170: 64697473 00000016 84022301 00120400 dits......#..... + 25180: 00360408 456e6470 6f696e74 49440000 .6..EndpointID.. + 25190: 00168402 23000843 72656469 74730000 ....#..Credits.. + 251a0: 00168402 23010854 67744372 65646974 ....#..TgtCredit + 251b0: 5365714e 6f000000 1cac0223 02000f00 SeqNo......#.... + 251c0: 00168404 00003611 10030012 06000036 ......6........6 + 251d0: 4d085072 6556616c 69640000 00168402 M.PreValid...... + 251e0: 2300084c 6f6f6b41 68656164 00000036 #..LookAhead...6 + 251f0: 04022301 08506f73 7456616c 69640000 ..#..PostValid.. + 25200: 00168402 23050009 706f6f6c 5f68616e ....#...pool_han + 25210: 646c655f 74000000 040d0600 00364d01 dle_t........6M. + 25220: 03000036 60040002 01030000 366d0400 ...6`.......6m.. + 25230: 14040000 36eb0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H + 25240: 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO + 25250: 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 25260: 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID + 25270: 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 25280: 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 25290: 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 252a0: 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I + 252b0: 44000000 36760201 03000036 fc040006 D...6v.....6.... + 252c0: 00002649 01030000 37050400 06000026 ..&I....7......& + 252d0: 49010300 00371204 00020103 0000371f I....7........7. + 252e0: 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 252f0: 1c000037 c1085f69 6e697400 00003666 ...7.._init...6f + 25300: 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 25310: 366f0223 04085f63 72656174 655f706f 6o.#.._create_po + 25320: 6f6c0000 0036fe02 2308085f 616c6c6f ol...6..#.._allo + 25330: 635f6275 66000000 370b0223 0c085f61 c_buf...7..#.._a + 25340: 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 25350: 00371802 2310085f 66726565 5f627566 .7..#.._free_buf + 25360: 00000037 21022314 08705265 73657276 ...7!.#..pReserv + 25370: 65640000 00040d02 23180007 5f485443 ed......#..._HTC + 25380: 5f534552 56494345 001c0000 38a00870 _SERVICE....8..p + 25390: 4e657874 00000038 a0022300 0850726f Next...8..#..Pro + 253a0: 63657373 52656376 4d736700 00003955 cessRecvMsg...9U + 253b0: 02230408 50726f63 65737353 656e6442 .#..ProcessSendB + 253c0: 75666665 72436f6d 706c6574 65000000 ufferComplete... + 253d0: 395e0223 08085072 6f636573 73436f6e 9^.#..ProcessCon + 253e0: 6e656374 00000039 7202230c 08536572 nect...9r.#..Ser + 253f0: 76696365 49440000 0012f202 23100853 viceID......#..S + 25400: 65727669 6365466c 61677300 000012f2 erviceFlags..... + 25410: 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz + 25420: 65000000 12f20223 14085472 61696c65 e......#..Traile + 25430: 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. + 25440: 0012f202 23160853 65727669 63654374 ....#..ServiceCt + 25450: 78000000 040d0223 18000300 0037c104 x......#.....7.. + 25460: 00140400 00393e19 454e4450 4f494e54 .....9>.ENDPOINT + 25470: 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END + 25480: 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN + 25490: 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. + 254a0: 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END + 254b0: 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN + 254c0: 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. + 254d0: 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END + 254e0: 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN + 254f0: 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END + 25500: 504f494e 545f4944 00000038 a7020103 POINT_ID...8.... + 25510: 00003953 04000201 03000039 5c040003 ..9S.......9\... + 25520: 00000118 04000600 0012de01 03000039 ...............9 + 25530: 6c040003 000037c1 0400075f 4854435f l.....7...._HTC_ + 25540: 434f4e46 49470014 000039f1 08437265 CONFIG....9..Cre + 25550: 64697453 697a6500 00000118 02230008 ditSize......#.. + 25560: 43726564 69744e75 6d626572 00000001 CreditNumber.... + 25570: 18022304 084f5348 616e646c 65000000 ..#..OSHandle... + 25580: 1a480223 08084849 4648616e 646c6500 .H.#..HIFHandle. + 25590: 000028b1 02230c08 506f6f6c 48616e64 ..(..#..PoolHand + 255a0: 6c650000 00364d02 23100007 5f485443 le...6M.#..._HTC + 255b0: 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 255c0: 3a2d0865 6e645f70 6f696e74 00000012 :-.end_point.... + 255d0: de022300 08687463 5f666c61 67730000 ..#..htc_flags.. + 255e0: 0012de02 23010009 6874635f 68616e64 ....#...htc_hand + 255f0: 6c655f74 00000004 0d094854 435f5345 le_t......HTC_SE + 25600: 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 25610: 00000111 09485443 5f434f4e 46494700 .....HTC_CONFIG. + 25620: 00003980 0300003a 5a040006 00003a2d ..9....:Z.....:- + 25630: 01030000 3a710400 02010300 003a7e04 ....:q.......:~. + 25640: 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... + 25650: 37c10300 003a8704 00020103 00003a9f 7....:........:. + 25660: 04000201 0300003a a8040002 01030000 .......:........ + 25670: 3ab10400 06000001 18010300 003aba04 :............:.. + 25680: 00076874 635f6170 69730034 00003c37 ..htc_apis.4..<7 + 25690: 085f4854 435f496e 69740000 003a7702 ._HTC_Init...:w. + 256a0: 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown + 256b0: 0000003a 80022304 085f4854 435f5265 ...:..#.._HTC_Re + 256c0: 67697374 65725365 72766963 65000000 gisterService... + 256d0: 3aa10223 08085f48 54435f52 65616479 :..#.._HTC_Ready + 256e0: 0000003a 8002230c 085f4854 435f5265 ...:..#.._HTC_Re + 256f0: 7475726e 42756666 65727300 00003aaa turnBuffers...:. + 25700: 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 25710: 75666665 72734c69 73740000 003ab302 uffersList...:.. + 25720: 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. + 25730: 00003aaa 02231808 5f485443 5f476574 ..:..#.._HTC_Get + 25740: 52657365 72766564 48656164 726f6f6d ReservedHeadroom + 25750: 0000003a c002231c 085f4854 435f4d73 ...:..#.._HTC_Ms + 25760: 67526563 7648616e 646c6572 00000028 gRecvHandler...( + 25770: 5e022320 085f4854 435f5365 6e64446f ^.# ._HTC_SendDo + 25780: 6e654861 6e646c65 72000000 28550223 neHandler...(U.# + 25790: 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv + 257a0: 6350726f 63657373 4d736700 00003955 cProcessMsg...9U + 257b0: 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control + 257c0: 53766350 726f6365 73735365 6e64436f SvcProcessSendCo + 257d0: 6d706c65 74650000 00395e02 232c0870 mplete...9^.#,.p + 257e0: 52657365 72766564 00000004 0d022330 Reserved......#0 + 257f0: 0007686f 73745f61 70705f61 7265615f ..host_app_area_ + 25800: 73000400 003c6708 776d695f 70726f74 s......tupleNu + 259a0: 6d4c0000 001cac02 23000874 75706c65 mL......#..tuple + 259b0: 4e756d48 0000001c ac022302 08617674 NumH......#..avt + 259c0: 0000003d cb022304 00120100 003e3108 ...=..#......>1. + 259d0: 62656163 6f6e5065 6e64696e 67436f75 beaconPendingCou + 259e0: 6e740000 00168402 23000007 5f574d49 nt......#..._WMI + 259f0: 5f535643 5f434f4e 46494700 1000003e _SVC_CONFIG....> + 25a00: 9a084874 6348616e 646c6500 00003a2d ..HtcHandle...:- + 25a10: 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 25a20: 00364d02 2304084d 6178436d 64526570 .6M.#..MaxCmdRep + 25a30: 6c794576 74730000 00011802 2308084d lyEvts......#..M + 25a40: 61784576 656e7445 76747300 00000118 axEventEvts..... + 25a50: 02230c00 02010300 003e9a04 0009574d .#.......>....WM + 25a60: 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 25a70: 3e9c075f 574d495f 44495350 41544348 >.._WMI_DISPATCH + 25a80: 5f454e54 52590008 00003f03 0870436d _ENTRY....?..pCm + 25a90: 6448616e 646c6572 0000003e a3022300 dHandler...>..#. + 25aa0: 08436d64 49440000 0012f202 23040846 .CmdID......#..F + 25ab0: 6c616773 00000012 f2022306 00075f57 lags......#..._W + 25ac0: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 25ad0: 45001000 003f6408 704e6578 74000000 E....?d.pNext... + 25ae0: 3f640223 00087043 6f6e7465 78740000 ?d.#..pContext.. + 25af0: 00040d02 2304084e 756d6265 724f6645 ....#..NumberOfE + 25b00: 6e747269 65730000 00011802 23080870 ntries......#..p + 25b10: 5461626c 65000000 3f830223 0c000300 Table...?..#.... + 25b20: 003f0304 0009574d 495f4449 53504154 .?....WMI_DISPAT + 25b30: 43485f45 4e545259 0000003e b8030000 CH_ENTRY...>.... + 25b40: 3f6b0400 0300003f 03040009 4854435f ?k.....?....HTC_ + 25b50: 4255465f 434f4e54 45585400 000039f1 BUF_CONTEXT...9. + 25b60: 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 25b70: 0000401b 19574d49 5f455654 5f434c41 ..@..WMI_EVT_CLA + 25b80: 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI + 25b90: 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 25ba0: 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C + 25bb0: 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 25bc0: 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 25bd0: 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL + 25be0: 41535300 00003fa6 075f574d 495f4255 ASS...?.._WMI_BU + 25bf0: 465f434f 4e544558 54000c00 00407908 F_CONTEXT....@y. + 25c00: 48746342 75664374 78000000 3f910223 HtcBufCtx...?..# + 25c10: 00084576 656e7443 6c617373 00000040 ..EventClass...@ + 25c20: 1b022304 08466c61 67730000 0012f202 ..#..Flags...... + 25c30: 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t + 25c40: 00000004 0d09574d 495f5356 435f434f ......WMI_SVC_CO + 25c50: 4e464947 0000003e 31030000 408b0400 NFIG...>1...@... + 25c60: 06000040 79010300 0040a604 0009574d ...@y....@....WM + 25c70: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 25c80: 0000003f 03030000 40b30400 02010300 ...?....@....... + 25c90: 0040d204 00060000 26490103 000040db .@......&I....@. + 25ca0: 04000201 03000040 e8040006 00000118 .......@........ + 25cb0: 01030000 40f10400 02010300 0040fe04 ....@........@.. + 25cc0: 00060000 12de0103 00004107 0400075f ..........A...._ + 25cd0: 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 25ce0: 424f085f 574d495f 496e6974 00000040 BO._WMI_Init...@ + 25cf0: ac022300 085f574d 495f5265 67697374 ..#.._WMI_Regist + 25d00: 65724469 73706174 63685461 626c6500 erDispatchTable. + 25d10: 000040d4 02230408 5f574d49 5f416c6c ..@..#.._WMI_All + 25d20: 6f634576 656e7400 000040e1 02230808 ocEvent...@..#.. + 25d30: 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 25d40: 0040ea02 230c085f 574d495f 47657450 .@..#.._WMI_GetP + 25d50: 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 25d60: 74000000 40f70223 10085f57 4d495f53 t...@..#.._WMI_S + 25d70: 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 25d80: 65720000 00395e02 2314085f 574d495f er...9^.#.._WMI_ + 25d90: 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ + 25da0: f7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo + 25db0: 776e0000 00410002 231c085f 574d495f wn...A..#.._WMI_ + 25dc0: 52656376 4d657373 61676548 616e646c RecvMessageHandl + 25dd0: 65720000 00395502 2320085f 574d495f er...9U.# ._WMI_ + 25de0: 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. + 25df0: 00410d02 23240870 52657365 72766564 .A..#$.pReserved + 25e00: 00000004 0d022328 00077a73 446d6144 ......#(..zsDmaD + 25e10: 65736300 14000042 d1086374 726c0000 esc....B..ctrl.. + 25e20: 00017c02 23000873 74617475 73000000 ..|.#..status... + 25e30: 017c0223 0208746f 74616c4c 656e0000 .|.#..totalLen.. + 25e40: 00017c02 23040864 61746153 697a6500 ..|.#..dataSize. + 25e50: 0000017c 02230608 6c617374 41646472 ...|.#..lastAddr + 25e60: 00000042 d1022308 08646174 61416464 ...B..#..dataAdd + 25e70: 72000000 01a00223 0c086e65 78744164 r......#..nextAd + 25e80: 64720000 0042d102 23100003 0000424f dr...B..#.....BO + 25e90: 04000300 00424f04 00077a73 446d6151 .....BO...zsDmaQ + 25ea0: 75657565 00080000 43110868 65616400 ueue....C..head. + 25eb0: 000042d8 02230008 7465726d 696e6174 ..B..#..terminat + 25ec0: 6f720000 0042d802 23040007 7a735478 or...B..#...zsTx + 25ed0: 446d6151 75657565 00100000 43750868 DmaQueue....Cu.h + 25ee0: 65616400 000042d8 02230008 7465726d ead...B..#..term + 25ef0: 696e6174 6f720000 0042d802 23040878 inator...B..#..x + 25f00: 6d697465 645f6275 665f6865 61640000 mited_buf_head.. + 25f10: 00143d02 23080878 6d697465 645f6275 ..=.#..xmited_bu + 25f20: 665f7461 696c0000 00143d02 230c0002 f_tail....=.#... + 25f30: 01030000 43750400 03000042 df040002 ....Cu.....B.... + 25f40: 01030000 43850400 03000043 11040002 ....C......C.... + 25f50: 01030000 43950400 02010300 00439e04 ....C........C.. + 25f60: 00020103 000043a7 04000600 00143d01 ......C.......=. + 25f70: 03000043 b0040002 01030000 43bd0400 ...C........C... + 25f80: 06000014 3d010300 0043c604 00020103 ....=....C...... + 25f90: 000043d3 04000600 00011801 03000043 ..C............C + 25fa0: dc040006 000042d8 01030000 43e90400 ......B.....C... + 25fb0: 02010300 0043f604 0007646d 615f656e .....C....dma_en + 25fc0: 67696e65 5f617069 00400000 456c085f gine_api.@..El._ + 25fd0: 696e6974 00000043 77022300 085f696e init...Cw.#.._in + 25fe0: 69745f72 785f7175 65756500 00004387 it_rx_queue...C. + 25ff0: 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que + 26000: 75650000 00439702 2308085f 636f6e66 ue...C..#.._conf + 26010: 69675f72 785f7175 65756500 000043a0 ig_rx_queue...C. + 26020: 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... + 26030: 43a90223 10085f66 6c757368 5f786d69 C..#.._flush_xmi + 26040: 74000000 43870223 14085f72 6561705f t...C..#.._reap_ + 26050: 72656376 5f627566 00000043 b6022318 recv_buf...C..#. + 26060: 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 26070: 66000000 43bf0223 1c085f72 6561705f f...C..#.._reap_ + 26080: 786d6974 65645f62 75660000 0043cc02 xmited_buf...C.. + 26090: 2320085f 73776170 5f646174 61000000 # ._swap_data... + 260a0: 43d50223 24085f68 61735f63 6f6d706c C..#$._has_compl + 260b0: 5f706163 6b657473 00000043 e2022328 _packets...C..#( + 260c0: 085f6465 73635f64 756d7000 00004387 ._desc_dump...C. + 260d0: 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. + 260e0: 000043ef 02233008 5f726563 6c61696d ..C..#0._reclaim + 260f0: 5f706163 6b657400 000043f8 02233408 _packet...C..#4. + 26100: 5f707574 5f706163 6b657400 000043f8 _put_packet...C. + 26110: 02233808 70526573 65727665 64000000 .#8.pReserved... + 26120: 040d0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ + 26130: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 26140: 655f7400 000030ab 09574d49 5f535643 e_t...0..WMI_SVC + 26150: 5f415049 53000000 4114175f 415f6d61 _APIS...A.._A_ma + 26160: 67706965 5f696e64 69726563 74696f6e gpie_indirection + 26170: 5f746162 6c650003 4c000046 9a08636d _table..L..F..cm + 26180: 6e6f7300 0000456c 02230008 64626700 nos...El.#..dbg. + 26190: 000003da 0323b803 08686966 00000029 .....#...hif...) + 261a0: 540323c0 03086874 63000000 3ac70323 T.#...htc...:..# + 261b0: f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. + 261c0: 00458e03 23ac0408 75736266 69666f5f .E..#...usbfifo_ + 261d0: 61706900 0000327f 0323d804 08627566 api...2..#...buf + 261e0: 5f706f6f 6c000000 37280323 e4040876 _pool...7(.#...v + 261f0: 62756600 00001467 03238005 08766465 buf....g.#...vde + 26200: 73630000 00134903 23940508 616c6c6f sc....I.#...allo + 26210: 6372616d 00000009 3f0323a8 0508646d cram....?.#...dm + 26220: 615f656e 67696e65 00000043 ff0323b4 a_engine...C..#. + 26230: 0508646d 615f6c69 62000000 2be80323 ..dma_lib...+..# + 26240: f4050868 69665f70 63690000 002e4803 ...hif_pci....H. + 26250: 23a80600 095f415f 6d616770 69655f69 #...._A_magpie_i + 26260: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 26270: 5f740000 0045a002 01030000 46bd0400 _t...E......F... + 26280: 1a5f7075 74630000 0046bf05 03005007 ._putc...F....P. + 26290: 0001046c 6f6e6720 696e7400 05040600 ...long int..... + 262a0: 00011801 0f000001 1f500000 46f7104f .........P..F..O + 262b0: 00095f5f 676e7563 5f76615f 6c697374 ..__gnuc_va_list + 262c0: 00000015 45060000 0118010f 0000011f ....E........... + 262d0: 20000047 1e101f00 03000046 d804000f ..G.......F.... + 262e0: 0000011f 0b000047 32100a00 03000047 .......G2......G + 262f0: 2504000f 0000011f 11000047 46101000 %..........GF... + 26300: 03000047 39040003 0000037b 04000f00 ...G9......{.... + 26310: 00011f07 00004761 10060003 00004754 ......Ga......GT + 26320: 04000300 0046f704 00030000 01450400 .....F.......E.. + 26330: 02011b01 3b636d6e 6f735f77 72697465 ....;cmnos_write + 26340: 5f636861 72000101 03920120 02900000 _char...... .... + 26350: 8e24b800 8e24e200 0047ad1c 013b6300 .$...$...G...;c. + 26360: 0000011f 0152001d 01485f63 76740000 .....R...H_cvt.. + 26370: 00011801 049201f0 00029000 008e24e4 ..............$. + 26380: 008e2538 0000482a 1c014876 616c0000 ..%8..H*..Hval.. + 26390: 0001a001 521c0148 62756600 0000037b ....R..Hbuf....{ + 263a0: 01531c01 48726164 69780000 0046d801 .S..Hradix...F.. + 263b0: 541c0148 64696769 74730000 00037b01 T..Hdigits....{. + 263c0: 551e6370 00000003 7b1f7465 6d700000 U.cp....{.temp.. + 263d0: 0046ea03 91907f1e 6c656e67 74680000 .F......length.. + 263e0: 00011800 1d016063 6d6e6f73 5f767072 ......`cmnos_vpr + 263f0: 696e7466 00000001 18010492 01f00002 intf............ + 26400: 9000008e 2538008e 297d0000 49b31c01 ....%8..)}..I... + 26410: 60707574 63000000 46bf0152 1c016066 `putc...F..R..`f + 26420: 6d740000 00013101 531c0160 61700000 mt....1.S..`ap.. + 26430: 0046f701 541e6370 00000003 7b1f6275 .F..T.cp....{.bu + 26440: 66000000 47110391 907f1e76 616c0000 f...G......val.. + 26450: 0046d81e 72657300 00000118 1e6c656e .F..res......len + 26460: 67746800 00000118 1e630000 00011f1e gth......c...... + 26470: 69736c6f 6e676c6f 6e670000 0001181e islonglong...... + 26480: 69736c6f 6e670000 0001181e 7061645f islong......pad_ + 26490: 6f6e5f72 69676874 00000001 181e7269 on_right......ri + 264a0: 6768745f 70726563 00000001 181e6c65 ght_prec......le + 264b0: 66745f70 72656300 00000118 1e7a6572 ft_prec......zer + 264c0: 6f5f6669 6c6c0000 0001181e 7369676e o_fill......sign + 264d0: 00000001 1f1e6f72 69675f6e 64780000 ......orig_ndx.. + 264e0: 0001181e 5f5f6172 72617900 0000037b ....__array....{ + 264f0: 1e6f7269 675f6e64 78000000 01181e5f .orig_ndx......_ + 26500: 5f617272 61790000 00037b1e 6f726967 _array....{.orig + 26510: 5f6e6478 00000001 181e5f5f 61727261 _ndx......__arra + 26520: 79000000 037b1e6f 7269675f 6e647800 y....{.orig_ndx. + 26530: 00000118 1e5f5f61 72726179 00000003 .....__array.... + 26540: 7b1e6f72 69675f6e 64780000 0001181e {.orig_ndx...... + 26550: 5f5f6172 72617900 0000037b 1e690000 __array....{.i.. + 26560: 0001181e 70616400 00000118 00200101 ....pad...... .. + 26570: 24636d6e 6f735f70 72696e74 66000000 $cmnos_printf... + 26580: 01180101 049201d0 00029000 008e2980 ..............). + 26590: 008e29b3 000049fe 21010124 666d7400 ..)...I.!..$fmt. + 265a0: 00000131 01521e61 70000000 46f71e72 ...1.R.ap...F..r + 265b0: 65740000 00011800 22010138 636d6e6f et......"..8cmno + 265c0: 735f7072 696e7466 5f696e69 74000101 s_printf_init... + 265d0: 03920120 02900000 8e29b400 8e29b923 ... .....)...).# + 265e0: 01013c63 6d6e6f73 5f707269 6e74665f .....pri + 26770: 6e74665f 61706900 08000001 82085f70 ntf_api......._p + 26780: 72696e74 665f696e 69740000 00011702 rintf_init...... + 26790: 2300085f 7072696e 74660000 00014402 #.._printf....D. + 267a0: 23040004 73686f72 7420756e 7369676e #...short unsign + 267b0: 65642069 6e740007 02097569 6e743136 ed int....uint16 + 267c0: 5f740000 00018204 6c6f6e67 20756e73 _t......long uns + 267d0: 69676e65 6420696e 74000704 0975696e igned int....uin + 267e0: 7433325f 74000000 01a60775 6172745f t32_t......uart_ + 267f0: 6669666f 00080000 02140873 74617274 fifo.......start + 26800: 5f696e64 65780000 00019802 23000865 _index......#..e + 26810: 6e645f69 6e646578 00000001 98022302 nd_index......#. + 26820: 086f7665 7272756e 5f657272 00000001 .overrun_err.... + 26830: bb022304 00077561 72745f61 70690020 ..#...uart_api. + 26840: 000002cd 085f7561 72745f69 6e697400 ....._uart_init. + 26850: 00000324 02230008 5f756172 745f6368 ...$.#.._uart_ch + 26860: 61725f70 75740000 00034b02 2304085f ar_put....K.#.._ + 26870: 75617274 5f636861 725f6765 74000000 uart_char_get... + 26880: 035f0223 08085f75 6172745f 7374725f ._.#.._uart_str_ + 26890: 6f757400 00000368 02230c08 5f756172 out....h.#.._uar + 268a0: 745f7461 736b0000 00011702 2310085f t_task......#.._ + 268b0: 75617274 5f737461 74757300 00000324 uart_status....$ + 268c0: 02231408 5f756172 745f636f 6e666967 .#.._uart_config + 268d0: 00000003 71022318 085f7561 72745f68 ....q.#.._uart_h + 268e0: 77696e69 74000000 037a0223 1c000300 winit....z.#.... + 268f0: 00021404 00077561 72745f62 6c6b0010 ......uart_blk.. + 26900: 0000031e 08646562 75675f6d 6f646500 .....debug_mode. + 26910: 00000198 02230008 62617564 00000001 .....#..baud.... + 26920: 98022302 085f7561 72740000 0002cd02 ..#.._uart...... + 26930: 2304085f 74780000 0001c902 23080006 #.._tx......#... + 26940: 000001bb 01030000 031e0400 04756e73 .............uns + 26950: 69676e65 64206368 61720007 01097569 igned char....ui + 26960: 6e74385f 74000000 032b0201 03000003 nt8_t....+...... + 26970: 49040003 0000033c 04000600 00019801 I......<........ + 26980: 03000003 59040002 01030000 03660400 ....Y........f.. + 26990: 02010300 00036f04 00020103 00000378 ......o........x + 269a0: 04000300 00012504 00060000 011e0103 ......%......... + 269b0: 00000388 04000744 425f434f 4d4d414e .......DB_COMMAN + 269c0: 445f5354 52554354 000c0000 03e00863 D_STRUCT.......c + 269d0: 6d645f73 74720000 00038102 23000868 md_str......#..h + 269e0: 656c705f 73747200 00000381 02230408 elp_str......#.. + 269f0: 636d645f 66756e63 00000003 8e022308 cmd_func......#. + 26a00: 00076462 675f6170 69000800 00041308 ..dbg_api....... + 26a10: 5f646267 5f696e69 74000000 01170223 _dbg_init......# + 26a20: 00085f64 62675f74 61736b00 00000117 .._dbg_task..... + 26a30: 02230400 0a040004 756e7369 676e6564 .#......unsigned + 26a40: 20696e74 00070406 00000413 01030000 int............ + 26a50: 04260400 0b0b0300 00043404 00060000 .&........4..... + 26a60: 04130103 0000043c 04000600 00011e01 .......<........ + 26a70: 03000004 49040007 6d656d5f 61706900 ....I...mem_api. + 26a80: 14000004 b8085f6d 656d5f69 6e697400 ......_mem_init. + 26a90: 00000117 02230008 5f6d656d 73657400 .....#.._memset. + 26aa0: 0000042c 02230408 5f6d656d 63707900 ...,.#.._memcpy. + 26ab0: 00000442 02230808 5f6d656d 6d6f7665 ...B.#.._memmove + 26ac0: 00000004 4202230c 085f6d65 6d636d70 ....B.#.._memcmp + 26ad0: 00000004 4f022310 000c7265 67697374 ....O.#...regist + 26ae0: 65725f64 756d705f 73000001 03000004 er_dump_s....... + 26af0: b8040002 01030000 04d20400 02010300 ................ + 26b00: 0004db04 00060000 011e0103 000004e4 ................ + 26b10: 04000d68 6f737469 665f7300 04000005 ...hostif_s..... + 26b20: 400e4849 465f5553 4200000e 4849465f @.HIF_USB...HIF_ + 26b30: 50434945 00010e48 49465f47 4d414300 PCIE...HIF_GMAC. + 26b40: 020e4849 465f5043 4900030e 4849465f ..HIF_PCI...HIF_ + 26b50: 4e554d00 040e4849 465f4e4f 4e450005 NUM...HIF_NONE.. + 26b60: 0009415f 484f5354 49460000 0004f106 ..A_HOSTIF...... + 26b70: 00000540 01030000 054e0400 06000003 ...@.....N...... + 26b80: 3c010300 00055b04 00060000 01980103 <.....[......... + 26b90: 00000568 0400076d 6973635f 61706900 ...h...misc_api. + 26ba0: 24000006 58085f73 79737465 6d5f7265 $...X._system_re + 26bb0: 73657400 00000117 02230008 5f6d6163 set......#.._mac + 26bc0: 5f726573 65740000 00011702 2304085f _reset......#.._ + 26bd0: 61737366 61696c00 000004d4 02230808 assfail......#.. + 26be0: 5f6d6973 616c6967 6e65645f 6c6f6164 _misaligned_load + 26bf0: 5f68616e 646c6572 00000004 d402230c _handler......#. + 26c00: 085f7265 706f7274 5f666169 6c757265 ._report_failure + 26c10: 5f746f5f 686f7374 00000004 dd022310 _to_host......#. + 26c20: 085f7461 72676574 5f69645f 67657400 ._target_id_get. + 26c30: 000004ea 02231408 5f69735f 686f7374 .....#.._is_host + 26c40: 5f707265 73656e74 00000005 54022318 _present....T.#. + 26c50: 085f6b62 68697400 00000561 02231c08 ._kbhit....a.#.. + 26c60: 5f726f6d 5f766572 73696f6e 5f676574 _rom_version_get + 26c70: 00000005 6e022320 00060000 03810103 ....n.# ........ + 26c80: 00000658 04000600 00038101 03000006 ...X............ + 26c90: 65040006 0000011e 01030000 06720400 e............r.. + 26ca0: 06000001 1e010300 00067f04 00060000 ................ + 26cb0: 011e0103 0000068c 04000773 7472696e ...........strin + 26cc0: 675f6170 69001800 00071208 5f737472 g_api......._str + 26cd0: 696e675f 696e6974 00000001 17022300 ing_init......#. + 26ce0: 085f7374 72637079 00000006 5e022304 ._strcpy....^.#. + 26cf0: 085f7374 726e6370 79000000 066b0223 ._strncpy....k.# + 26d00: 08085f73 74726c65 6e000000 06780223 .._strlen....x.# + 26d10: 0c085f73 7472636d 70000000 06850223 .._strcmp......# + 26d20: 10085f73 74726e63 6d700000 00069202 .._strncmp...... + 26d30: 2314000f 00000416 14000007 1f100400 #............... + 26d40: 095f415f 54494d45 525f5350 41434500 ._A_TIMER_SPACE. + 26d50: 00000712 09415f74 696d6572 5f740000 .....A_timer_t.. + 26d60: 00071f03 00000733 04000201 03000007 .......3........ + 26d70: 49040002 01030000 07520400 09415f48 I........R...A_H + 26d80: 414e444c 45000000 04160201 09415f54 ANDLE........A_T + 26d90: 494d4552 5f46554e 43000000 07690300 IMER_FUNC....i.. + 26da0: 00076b04 00020103 00000784 04000774 ..k............t + 26db0: 696d6572 5f617069 00140000 0803085f imer_api......._ + 26dc0: 74696d65 725f696e 69740000 00011702 timer_init...... + 26dd0: 2300085f 74696d65 725f6172 6d000000 #.._timer_arm... + 26de0: 074b0223 04085f74 696d6572 5f646973 .K.#.._timer_dis + 26df0: 61726d00 00000754 02230808 5f74696d arm....T.#.._tim + 26e00: 65725f73 6574666e 00000007 8602230c er_setfn......#. + 26e10: 085f7469 6d65725f 72756e00 00000117 ._timer_run..... + 26e20: 02231000 09424f4f 4c45414e 00000001 .#...BOOLEAN.... + 26e30: 98060000 08030103 00000810 04000600 ................ + 26e40: 00080301 03000008 1d040006 00000803 ................ + 26e50: 01030000 082a0400 07726f6d 705f6170 .....*...romp_ap + 26e60: 69001000 00089c08 5f726f6d 705f696e i......._romp_in + 26e70: 69740000 00011702 2300085f 726f6d70 it......#.._romp + 26e80: 5f646f77 6e6c6f61 64000000 08160223 _download......# + 26e90: 04085f72 6f6d705f 696e7374 616c6c00 .._romp_install. + 26ea0: 00000823 02230808 5f726f6d 705f6465 ...#.#.._romp_de + 26eb0: 636f6465 00000008 3002230c 0007726f code....0.#...ro + 26ec0: 6d5f7061 7463685f 73740010 000008f8 m_patch_st...... + 26ed0: 08637263 31360000 00019802 2300086c .crc16......#..l + 26ee0: 656e0000 00019802 2302086c 645f6164 en......#..ld_ad + 26ef0: 64720000 0001bb02 23040866 756e5f61 dr......#..fun_a + 26f00: 64647200 000001bb 02230808 7066756e ddr......#..pfun + 26f10: 00000003 5202230c 00076565 705f7265 ....R.#...eep_re + 26f20: 6469725f 61646472 00040000 092a086f dir_addr.....*.o + 26f30: 66667365 74000000 01980223 00087369 ffset......#..si + 26f40: 7a650000 00019802 23020009 415f5549 ze......#...A_UI + 26f50: 4e543332 00000004 16060000 04130103 NT32............ + 26f60: 00000938 04000761 6c6c6f63 72616d5f ...8...allocram_ + 26f70: 61706900 0c000009 a908636d 6e6f735f api.......cmnos_ + 26f80: 616c6c6f 6372616d 5f696e69 74000000 allocram_init... + 26f90: 093e0223 0008636d 6e6f735f 616c6c6f .>.#..cmnos_allo + 26fa0: 6372616d 00000009 3e022304 08636d6e cram....>.#..cmn + 26fb0: 6f735f61 6c6c6f63 72616d5f 64656275 os_allocram_debu + 26fc0: 67000000 01170223 08000201 03000009 g......#........ + 26fd0: a9040009 415f5441 534b4c45 545f4655 ....A_TASKLET_FU + 26fe0: 4e430000 0009ab07 5f746173 6b6c6574 NC......_tasklet + 26ff0: 00100000 0a0a0866 756e6300 000009b2 .......func..... + 27000: 02230008 61726700 00000413 02230408 .#..arg......#.. + 27010: 73746174 65000000 011e0223 08086e65 state......#..ne + 27020: 78740000 000a0a02 230c0003 000009c6 xt......#....... + 27030: 04000300 0009c604 0009415f 7461736b ..........A_task + 27040: 6c65745f 74000000 09c60300 000a1804 let_t........... + 27050: 00020103 00000a30 04000201 0300000a .......0........ + 27060: 39040007 7461736b 6c65745f 61706900 9...tasklet_api. + 27070: 1400000a ce085f74 61736b6c 65745f69 ......_tasklet_i + 27080: 6e697400 00000117 02230008 5f746173 nit......#.._tas + 27090: 6b6c6574 5f696e69 745f7461 736b0000 klet_init_task.. + 270a0: 000a3202 2304085f 7461736b 6c65745f ..2.#.._tasklet_ + 270b0: 64697361 626c6500 00000a3b 02230808 disable....;.#.. + 270c0: 5f746173 6b6c6574 5f736368 6564756c _tasklet_schedul + 270d0: 65000000 0a3b0223 0c085f74 61736b6c e....;.#.._taskl + 270e0: 65745f72 756e0000 00011702 23100002 et_run......#... + 270f0: 01030000 0ace0400 06000009 2a010300 ............*... + 27100: 000ad704 00020103 00000ae4 04000763 ...............c + 27110: 6c6f636b 5f617069 00240000 0bc6085f lock_api.$....._ + 27120: 636c6f63 6b5f696e 69740000 000ad002 clock_init...... + 27130: 2300085f 636c6f63 6b726567 735f696e #.._clockregs_in + 27140: 69740000 00011702 2304085f 75617274 it......#.._uart + 27150: 5f667265 7175656e 63790000 000add02 _frequency...... + 27160: 2308085f 64656c61 795f7573 0000000a #.._delay_us.... + 27170: e602230c 085f776c 616e5f62 616e645f ..#.._wlan_band_ + 27180: 73657400 00000ae6 02231008 5f726566 set......#.._ref + 27190: 636c6b5f 73706565 645f6765 74000000 clk_speed_get... + 271a0: 0add0223 14085f6d 696c6c69 7365636f ...#.._milliseco + 271b0: 6e647300 00000add 02231808 5f737973 nds......#.._sys + 271c0: 636c6b5f 6368616e 67650000 00011702 clk_change...... + 271d0: 231c085f 636c6f63 6b5f7469 636b0000 #.._clock_tick.. + 271e0: 00011702 23200006 000001bb 01030000 ....# .......... + 271f0: 0bc60400 09415f6f 6c645f69 6e74725f .....A_old_intr_ + 27200: 74000000 01bb0600 000bd301 0300000b t............... + 27210: e5040002 01030000 0bf20400 02010300 ................ + 27220: 000bfb04 00060000 01bb0103 00000c04 ................ + 27230: 04000941 5f697372 5f740000 000c0a02 ...A_isr_t...... + 27240: 01030000 0c1e0400 06000004 16010300 ................ + 27250: 000c2704 00020103 00000c34 04000769 ..'........4...i + 27260: 6e74725f 61706900 2c00000d 56085f69 ntr_api.,...V._i + 27270: 6e74725f 696e6974 00000001 17022300 ntr_init......#. + 27280: 085f696e 74725f69 6e766f6b 655f6973 ._intr_invoke_is + 27290: 72000000 0bcc0223 04085f69 6e74725f r......#.._intr_ + 272a0: 64697361 626c6500 00000beb 02230808 disable......#.. + 272b0: 5f696e74 725f7265 73746f72 65000000 _intr_restore... + 272c0: 0bf40223 0c085f69 6e74725f 6d61736b ...#.._intr_mask + 272d0: 5f696e75 6d000000 0bfd0223 10085f69 _inum......#.._i + 272e0: 6e74725f 756e6d61 736b5f69 6e756d00 ntr_unmask_inum. + 272f0: 00000bfd 02231408 5f696e74 725f6174 .....#.._intr_at + 27300: 74616368 5f697372 0000000c 20022318 tach_isr.... .#. + 27310: 085f6765 745f696e 7472656e 61626c65 ._get_intrenable + 27320: 0000000c 2d02231c 085f7365 745f696e ....-.#.._set_in + 27330: 7472656e 61626c65 0000000c 36022320 trenable....6.# + 27340: 085f6765 745f696e 74727065 6e64696e ._get_intrpendin + 27350: 67000000 0c2d0223 24085f75 6e626c6f g....-.#$._unblo + 27360: 636b5f61 6c6c5f69 6e74726c 766c0000 ck_all_intrlvl.. + 27370: 00011702 23280011 0400000d 7c087469 ....#(......|.ti + 27380: 6d656f75 74000000 01bb0223 00086163 meout......#..ac + 27390: 74696f6e 00000001 bb022300 00120800 tion......#..... + 273a0: 000d9708 636d6400 000001bb 02230013 ....cmd......#.. + 273b0: 00000d56 02230400 09545f57 44545f43 ...V.#...T_WDT_C + 273c0: 4d440000 000d7c02 01030000 0da60400 MD....|......... + 273d0: 14040000 0dfc0e45 4e554d5f 5744545f .......ENUM_WDT_ + 273e0: 424f4f54 00010e45 4e554d5f 434f4c44 BOOT...ENUM_COLD + 273f0: 5f424f4f 5400020e 454e554d 5f535553 _BOOT...ENUM_SUS + 27400: 505f424f 4f540003 0e454e55 4d5f554e P_BOOT...ENUM_UN + 27410: 4b4e4f57 4e5f424f 4f540004 0009545f KNOWN_BOOT....T_ + 27420: 424f4f54 5f545950 45000000 0daf0600 BOOT_TYPE....... + 27430: 000dfc01 0300000e 0d040007 7764745f ............wdt_ + 27440: 61706900 1c00000e b1085f77 64745f69 api......._wdt_i + 27450: 6e697400 00000117 02230008 5f776474 nit......#.._wdt + 27460: 5f656e61 626c6500 00000117 02230408 _enable......#.. + 27470: 5f776474 5f646973 61626c65 00000001 _wdt_disable.... + 27480: 17022308 085f7764 745f7365 74000000 ..#.._wdt_set... + 27490: 0da80223 0c085f77 64745f74 61736b00 ...#.._wdt_task. + 274a0: 00000117 02231008 5f776474 5f726573 .....#.._wdt_res + 274b0: 65740000 00011702 2314085f 7764745f et......#.._wdt_ + 274c0: 6c617374 5f626f6f 74000000 0e130223 last_boot......# + 274d0: 18001404 00000f18 0e524554 5f535543 .........RET_SUC + 274e0: 43455353 00000e52 45545f4e 4f545f49 CESS...RET_NOT_I + 274f0: 4e495400 010e5245 545f4e4f 545f4558 NIT...RET_NOT_EX + 27500: 49535400 020e5245 545f4545 505f434f IST...RET_EEP_CO + 27510: 52525550 5400030e 5245545f 4545505f RRUPT...RET_EEP_ + 27520: 4f564552 464c4f57 00040e52 45545f55 OVERFLOW...RET_U + 27530: 4e4b4e4f 574e0005 0009545f 4545505f NKNOWN....T_EEP_ + 27540: 52455400 00000eb1 03000001 98040006 RET............. + 27550: 00000f18 01030000 0f2e0400 0600000f ................ + 27560: 18010300 000f3b04 00076565 705f6170 ......;...eep_ap + 27570: 69001000 000fa408 5f656570 5f696e69 i......._eep_ini + 27580: 74000000 01170223 00085f65 65705f72 t......#.._eep_r + 27590: 65616400 00000f34 02230408 5f656570 ead....4.#.._eep + 275a0: 5f777269 74650000 000f3402 2308085f _write....4.#.._ + 275b0: 6565705f 69735f65 78697374 0000000f eep_is_exist.... + 275c0: 4102230c 00077573 625f6170 69007000 A.#...usb_api.p. + 275d0: 00125108 5f757362 5f696e69 74000000 ..Q._usb_init... + 275e0: 01170223 00085f75 73625f72 6f6d5f74 ...#.._usb_rom_t + 275f0: 61736b00 00000117 02230408 5f757362 ask......#.._usb + 27600: 5f66775f 7461736b 00000001 17022308 _fw_task......#. + 27610: 085f7573 625f696e 69745f70 68790000 ._usb_init_phy.. + 27620: 00011702 230c085f 7573625f 6570305f ....#.._usb_ep0_ + 27630: 73657475 70000000 01170223 10085f75 setup......#.._u + 27640: 73625f65 70305f74 78000000 01170223 sb_ep0_tx......# + 27650: 14085f75 73625f65 70305f72 78000000 .._usb_ep0_rx... + 27660: 01170223 18085f75 73625f67 65745f69 ...#.._usb_get_i + 27670: 6e746572 66616365 00000008 2302231c nterface....#.#. + 27680: 085f7573 625f7365 745f696e 74657266 ._usb_set_interf + 27690: 61636500 00000823 02232008 5f757362 ace....#.# ._usb + 276a0: 5f676574 5f636f6e 66696775 72617469 _get_configurati + 276b0: 6f6e0000 00082302 2324085f 7573625f on....#.#$._usb_ + 276c0: 7365745f 636f6e66 69677572 6174696f set_configuratio + 276d0: 6e000000 08230223 28085f75 73625f73 n....#.#(._usb_s + 276e0: 74616e64 6172645f 636d6400 00000823 tandard_cmd....# + 276f0: 02232c08 5f757362 5f76656e 646f725f .#,._usb_vendor_ + 27700: 636d6400 00000117 02233008 5f757362 cmd......#0._usb + 27710: 5f706f77 65725f6f 66660000 00011702 _power_off...... + 27720: 2334085f 7573625f 72657365 745f6669 #4._usb_reset_fi + 27730: 666f0000 00011702 2338085f 7573625f fo......#8._usb_ + 27740: 67656e5f 77647400 00000117 02233c08 gen_wdt......#<. + 27750: 5f757362 5f6a756d 705f626f 6f740000 _usb_jump_boot.. + 27760: 00011702 2340085f 7573625f 636c725f ....#@._usb_clr_ + 27770: 66656174 75726500 00000823 02234408 feature....#.#D. + 27780: 5f757362 5f736574 5f666561 74757265 _usb_set_feature + 27790: 00000008 23022348 085f7573 625f7365 ....#.#H._usb_se + 277a0: 745f6164 64726573 73000000 08230223 t_address....#.# + 277b0: 4c085f75 73625f67 65745f64 65736372 L._usb_get_descr + 277c0: 6970746f 72000000 08230223 50085f75 iptor....#.#P._u + 277d0: 73625f67 65745f73 74617475 73000000 sb_get_status... + 277e0: 08230223 54085f75 73625f73 65747570 .#.#T._usb_setup + 277f0: 5f646573 63000000 01170223 58085f75 _desc......#X._u + 27800: 73625f72 65675f6f 75740000 00011702 sb_reg_out...... + 27810: 235c085f 7573625f 73746174 75735f69 #\._usb_status_i + 27820: 6e000000 01170223 60085f75 73625f65 n......#`._usb_e + 27830: 70305f74 785f6461 74610000 00011702 p0_tx_data...... + 27840: 2364085f 7573625f 6570305f 72785f64 #d._usb_ep0_rx_d + 27850: 61746100 00000117 02236808 5f757362 ata......#h._usb + 27860: 5f636c6b 5f696e69 74000000 01170223 _clk_init......# + 27870: 6c00075f 56444553 43002400 0012dd08 l.._VDESC.$..... + 27880: 6e657874 5f646573 63000000 12dd0223 next_desc......# + 27890: 00086275 665f6164 64720000 0012f102 ..buf_addr...... + 278a0: 23040862 75665f73 697a6500 000012f8 #..buf_size..... + 278b0: 02230808 64617461 5f6f6666 73657400 .#..data_offset. + 278c0: 000012f8 02230a08 64617461 5f73697a .....#..data_siz + 278d0: 65000000 12f80223 0c08636f 6e74726f e......#..contro + 278e0: 6c000000 12f80223 0e086877 5f646573 l......#..hw_des + 278f0: 635f6275 66000000 13060223 10000300 c_buf......#.... + 27900: 00125104 0009415f 55494e54 38000000 ..Q...A_UINT8... + 27910: 032b0300 0012e404 0009415f 55494e54 .+........A_UINT + 27920: 31360000 0001820f 000012e4 14000013 16.............. + 27930: 13101300 03000012 51040009 56444553 ........Q...VDES + 27940: 43000000 12510300 00131a04 00060000 C....Q.......... + 27950: 13250103 0000132c 04000600 0012f101 .%.....,........ + 27960: 03000013 39040002 01030000 13460400 ....9........F.. + 27970: 07766465 73635f61 70690014 000013be .vdesc_api...... + 27980: 085f696e 69740000 000ae602 2300085f ._init......#.._ + 27990: 616c6c6f 635f7664 65736300 00001332 alloc_vdesc....2 + 279a0: 02230408 5f676574 5f68775f 64657363 .#.._get_hw_desc + 279b0: 00000013 3f022308 085f7377 61705f76 ....?.#.._swap_v + 279c0: 64657363 00000013 4802230c 08705265 desc....H.#..pRe + 279d0: 73657276 65640000 00041302 23100007 served......#... + 279e0: 5f564255 46002000 00141e08 64657363 _VBUF. .....desc + 279f0: 5f6c6973 74000000 13250223 00086e65 _list....%.#..ne + 27a00: 78745f62 75660000 00141e02 23040862 xt_buf......#..b + 27a10: 75665f6c 656e6774 68000000 12f80223 uf_length......# + 27a20: 08087265 73657276 65640000 00142502 ..reserved....%. + 27a30: 230a0863 74780000 00130602 230c0003 #..ctx......#... + 27a40: 000013be 04000f00 0012e402 00001432 ...............2 + 27a50: 10010003 000013be 04000956 42554600 ...........VBUF. + 27a60: 000013be 03000014 39040006 00001443 ........9......C + 27a70: 01030000 144a0400 06000014 43010300 .....J......C... + 27a80: 00145704 00020103 00001464 04000776 ..W........d...v + 27a90: 6275665f 61706900 14000014 e2085f69 buf_api......._i + 27aa0: 6e697400 00000ae6 02230008 5f616c6c nit......#.._all + 27ab0: 6f635f76 62756600 00001450 02230408 oc_vbuf....P.#.. + 27ac0: 5f616c6c 6f635f76 6275665f 77697468 _alloc_vbuf_with + 27ad0: 5f73697a 65000000 145d0223 08085f66 _size....].#.._f + 27ae0: 7265655f 76627566 00000014 6602230c ree_vbuf....f.#. + 27af0: 08705265 73657276 65640000 00041302 .pReserved...... + 27b00: 23100007 5f5f6164 665f6465 76696365 #...__adf_device + 27b10: 00040000 15040864 756d6d79 00000001 .......dummy.... + 27b20: 1e022300 00030000 092a0400 075f5f61 ..#......*...__a + 27b30: 64665f64 6d615f6d 6170000c 0000154b df_dma_map.....K + 27b40: 08627566 00000014 43022300 0864735f .buf....C.#..ds_ + 27b50: 61646472 00000015 04022304 0864735f addr......#..ds_ + 27b60: 6c656e00 000012f8 02230800 120c0000 len......#...... + 27b70: 1585085f 5f76615f 73746b00 00000381 ...__va_stk..... + 27b80: 02230008 5f5f7661 5f726567 00000003 .#..__va_reg.... + 27b90: 81022304 085f5f76 615f6e64 78000000 ..#..__va_ndx... + 27ba0: 011e0223 0800095f 5f616466 5f6f735f ...#...__adf_os_ + 27bb0: 646d615f 61646472 5f740000 00092a09 dma_addr_t....*. + 27bc0: 6164665f 6f735f64 6d615f61 6464725f adf_os_dma_addr_ + 27bd0: 74000000 1585095f 5f616466 5f6f735f t......__adf_os_ + 27be0: 646d615f 73697a65 5f740000 00092a09 dma_size_t....*. + 27bf0: 6164665f 6f735f64 6d615f73 697a655f adf_os_dma_size_ + 27c00: 74000000 15b5075f 5f646d61 5f736567 t......__dma_seg + 27c10: 73000800 00161108 70616464 72000000 s.......paddr... + 27c20: 159e0223 00086c65 6e000000 15ce0223 ...#..len......# + 27c30: 0400095f 5f615f75 696e7433 325f7400 ...__a_uint32_t. + 27c40: 0000092a 09615f75 696e7433 325f7400 ...*.a_uint32_t. + 27c50: 00001611 0f000015 e5080000 16401000 .............@.. + 27c60: 00076164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 27c70: 696e666f 000c0000 1679086e 73656773 info.....y.nsegs + 27c80: 00000016 23022300 08646d61 5f736567 ....#.#..dma_seg + 27c90: 73000000 16330223 0400095f 5f615f75 s....3.#...__a_u + 27ca0: 696e7438 5f740000 0012e409 615f7569 int8_t......a_ui + 27cb0: 6e74385f 74000000 16790300 00168a04 nt8_t....y...... + 27cc0: 00075f5f 73675f73 65677300 08000016 ..__sg_segs..... + 27cd0: cb087661 64647200 00001699 02230008 ..vaddr......#.. + 27ce0: 6c656e00 00001623 02230400 0f000016 len....#.#...... + 27cf0: a0200000 16d81003 00076164 665f6f73 . ........adf_os + 27d00: 5f73676c 69737400 24000017 0b086e73 _sglist.$.....ns + 27d10: 65677300 00001623 02230008 73675f73 egs....#.#..sg_s + 27d20: 65677300 000016cb 02230400 12100000 egs......#...... + 27d30: 17540876 656e646f 72000000 16230223 .T.vendor....#.# + 27d40: 00086465 76696365 00000016 23022304 ..device....#.#. + 27d50: 08737562 76656e64 6f720000 00162302 .subvendor....#. + 27d60: 23080873 75626465 76696365 00000016 #..subdevice.... + 27d70: 2302230c 00046c6f 6e67206c 6f6e6720 #.#...long long + 27d80: 756e7369 676e6564 20696e74 00070809 unsigned int.... + 27d90: 415f5549 4e543634 00000017 54095f5f A_UINT64....T.__ + 27da0: 615f7569 6e743634 5f740000 00176e09 a_uint64_t....n. + 27db0: 615f7569 6e743634 5f740000 00177c14 a_uint64_t....|. + 27dc0: 04000017 da0e4144 465f4f53 5f524553 ......ADF_OS_RES + 27dd0: 4f555243 455f5459 50455f4d 454d0000 OURCE_TYPE_MEM.. + 27de0: 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 27df0: 5f545950 455f494f 00010009 6164665f _TYPE_IO....adf_ + 27e00: 6f735f72 65736f75 7263655f 74797065 os_resource_type + 27e10: 5f740000 00179e12 18000018 24087374 _t..........$.st + 27e20: 61727400 0000178e 02230008 656e6400 art......#..end. + 27e30: 0000178e 02230808 74797065 00000017 .....#..type.... + 27e40: da022310 00096164 665f6f73 5f706369 ..#...adf_os_pci + 27e50: 5f646576 5f69645f 74000000 170b0300 _dev_id_t....... + 27e60: 00182404 00110400 00186308 70636900 ..$.......c.pci. + 27e70: 0000183d 02230008 72617700 00000413 ...=.#..raw..... + 27e80: 02230000 11100000 18820870 63690000 .#.........pci.. + 27e90: 00182402 23000872 61770000 00041302 ..$.#..raw...... + 27ea0: 23000009 6164665f 6472765f 68616e64 #...adf_drv_hand + 27eb0: 6c655f74 00000004 13096164 665f6f73 le_t......adf_os + 27ec0: 5f726573 6f757263 655f7400 000017f6 _resource_t..... + 27ed0: 03000018 98040009 6164665f 6f735f61 ........adf_os_a + 27ee0: 74746163 685f6461 74615f74 00000018 ttach_data_t.... + 27ef0: 63030000 18b60400 03000014 e2040009 c............... + 27f00: 5f5f6164 665f6f73 5f646576 6963655f __adf_os_device_ + 27f10: 74000000 18d70961 64665f6f 735f6465 t......adf_os_de + 27f20: 76696365 5f740000 0018de06 00001882 vice_t.......... + 27f30: 01030000 190a0400 02010300 00191704 ................ + 27f40: 00096164 665f6f73 5f706d5f 74000000 ..adf_os_pm_t... + 27f50: 04130201 03000019 31040014 04000019 ........1....... + 27f60: 710e4144 465f4f53 5f425553 5f545950 q.ADF_OS_BUS_TYP + 27f70: 455f5043 4900010e 4144465f 4f535f42 E_PCI...ADF_OS_B + 27f80: 55535f54 5950455f 47454e45 52494300 US_TYPE_GENERIC. + 27f90: 02000961 64665f6f 735f6275 735f7479 ...adf_os_bus_ty + 27fa0: 70655f74 00000019 3a096164 665f6f73 pe_t....:.adf_os + 27fb0: 5f627573 5f726567 5f646174 615f7400 _bus_reg_data_t. + 27fc0: 00001844 03000003 2b040007 5f616466 ...D....+..._adf + 27fd0: 5f647276 5f696e66 6f002000 001a4e08 _drv_info. ...N. + 27fe0: 6472765f 61747461 63680000 00191002 drv_attach...... + 27ff0: 23000864 72765f64 65746163 68000000 #..drv_detach... + 28000: 19190223 04086472 765f7375 7370656e ...#..drv_suspen + 28010: 64000000 19330223 08086472 765f7265 d....3.#..drv_re + 28020: 73756d65 00000019 1902230c 08627573 sume......#..bus + 28030: 5f747970 65000000 19710223 10086275 _type....q.#..bu + 28040: 735f6461 74610000 00198802 2314086d s_data......#..m + 28050: 6f645f6e 616d6500 000019a3 02231808 od_name......#.. + 28060: 69666e61 6d650000 0019a302 231c0009 ifname......#... + 28070: 6164665f 6f735f68 616e646c 655f7400 adf_os_handle_t. + 28080: 00000413 03000016 79040002 01020109 ........y....... + 28090: 5f5f6164 665f6f73 5f73697a 655f7400 __adf_os_size_t. + 280a0: 00000416 14040000 1a9d0e41 5f46414c ...........A_FAL + 280b0: 53450000 0e415f54 52554500 01000961 SE...A_TRUE....a + 280c0: 5f626f6f 6c5f7400 00001a83 03000015 _bool_t......... + 280d0: 0b040009 5f5f6164 665f6f73 5f646d61 ....__adf_os_dma + 280e0: 5f6d6170 5f740000 001aab02 010d6164 _map_t........ad + 280f0: 665f6f73 5f636163 68655f73 796e6300 f_os_cache_sync. + 28100: 0400001b 350e4144 465f5359 4e435f50 ....5.ADF_SYNC_P + 28110: 52455245 41440000 0e414446 5f53594e REREAD...ADF_SYN + 28120: 435f5052 45575249 54450002 0e414446 C_PREWRITE...ADF + 28130: 5f53594e 435f504f 53545245 41440001 _SYNC_POSTREAD.. + 28140: 0e414446 5f53594e 435f504f 53545752 .ADF_SYNC_POSTWR + 28150: 49544500 03000961 64665f6f 735f6361 ITE....adf_os_ca + 28160: 6368655f 73796e63 5f740000 001acc02 che_sync_t...... + 28170: 01096164 665f6f73 5f73697a 655f7400 ..adf_os_size_t. + 28180: 00001a6e 0600001b 50010961 64665f6f ...n....P..adf_o + 28190: 735f646d 615f6d61 705f7400 00001ab2 s_dma_map_t..... + 281a0: 0300001b 69040006 00000413 01030000 ....i........... + 281b0: 1ab20400 06000004 13010201 06000015 ................ + 281c0: 9e010201 0473686f 72742069 6e740005 .....short int.. + 281d0: 0209415f 494e5431 36000000 1ba3095f ..A_INT16......_ + 281e0: 5f615f69 6e743136 5f740000 001bb009 _a_int16_t...... + 281f0: 615f696e 7431365f 74000000 1bbd0473 a_int16_t......s + 28200: 69676e65 64206368 61720005 0109415f igned char....A_ + 28210: 494e5438 0000001b dd095f5f 615f696e INT8......__a_in + 28220: 74385f74 0000001b ec09615f 696e7438 t8_t......a_int8 + 28230: 5f740000 001bf812 0c00001c 6f087375 _t..........o.su + 28240: 70706f72 74656400 00001623 02230008 pported....#.#.. + 28250: 61647665 7274697a 65640000 00162302 advertized....#. + 28260: 23040873 70656564 0000001b ce022308 #..speed......#. + 28270: 08647570 6c657800 00001c08 02230a08 .duplex......#.. + 28280: 6175746f 6e656700 0000168a 02230b00 autoneg......#.. + 28290: 0f000016 8a060000 1c7c1005 00076164 .........|....ad + 282a0: 665f6e65 745f6574 68616464 72000600 f_net_ethaddr... + 282b0: 001ca008 61646472 0000001c 6f022300 ....addr....o.#. + 282c0: 00095f5f 615f7569 6e743136 5f740000 ..__a_uint16_t.. + 282d0: 0012f809 615f7569 6e743136 5f740000 ....a_uint16_t.. + 282e0: 001ca012 0e00001d 04086574 6865725f ..........ether_ + 282f0: 64686f73 74000000 1c6f0223 00086574 dhost....o.#..et + 28300: 6865725f 73686f73 74000000 1c6f0223 her_shost....o.# + 28310: 06086574 6865725f 74797065 0000001c ..ether_type.... + 28320: b202230c 00121400 001dc515 69705f76 ..#.........ip_v + 28330: 65727369 6f6e0000 00168a01 00040223 ersion.........# + 28340: 00156970 5f686c00 0000168a 01040402 ..ip_hl......... + 28350: 23000869 705f746f 73000000 168a0223 #..ip_tos......# + 28360: 01086970 5f6c656e 0000001c b2022302 ..ip_len......#. + 28370: 0869705f 69640000 001cb202 23040869 .ip_id......#..i + 28380: 705f6672 61675f6f 66660000 001cb202 p_frag_off...... + 28390: 23060869 705f7474 6c000000 168a0223 #..ip_ttl......# + 283a0: 08086970 5f70726f 746f0000 00168a02 ..ip_proto...... + 283b0: 23090869 705f6368 65636b00 00001cb2 #..ip_check..... + 283c0: 02230a08 69705f73 61646472 00000016 .#..ip_saddr.... + 283d0: 2302230c 0869705f 64616464 72000000 #.#..ip_daddr... + 283e0: 16230223 10000761 64665f6e 65745f76 .#.#...adf_net_v + 283f0: 6c616e68 64720004 00001e17 08747069 lanhdr.......tpi + 28400: 64000000 1cb20223 00157072 696f0000 d......#..prio.. + 28410: 00168a01 00030223 02156366 69000000 .......#..cfi... + 28420: 168a0103 01022302 15766964 0000001c ......#..vid.... + 28430: b202040c 02230200 07616466 5f6e6574 .....#...adf_net + 28440: 5f766964 00020000 1e481572 65730000 _vid.....H.res.. + 28450: 00168a01 00040223 00157661 6c000000 .......#..val... + 28460: 1cb20204 0c022300 00120c00 001e8408 ......#......... + 28470: 72785f62 75667369 7a650000 00162302 rx_bufsize....#. + 28480: 23000872 785f6e64 65736300 00001623 #..rx_ndesc....# + 28490: 02230408 74785f6e 64657363 00000016 .#..tx_ndesc.... + 284a0: 23022308 00120800 001eaa08 706f6c6c #.#.........poll + 284b0: 65640000 001a9d02 23000870 6f6c6c5f ed......#..poll_ + 284c0: 77740000 00162302 2304000f 0000168a wt....#.#....... + 284d0: 4000001e b7103f00 12460000 1edf0869 @.....?..F.....i + 284e0: 665f6e61 6d650000 001eaa02 23000864 f_name......#..d + 284f0: 65765f61 64647200 00001c6f 02234000 ev_addr....o.#@. + 28500: 14040000 1f160e41 44465f4f 535f444d .......ADF_OS_DM + 28510: 415f4d41 534b5f33 32424954 00000e41 A_MASK_32BIT...A + 28520: 44465f4f 535f444d 415f4d41 534b5f36 DF_OS_DMA_MASK_6 + 28530: 34424954 00010009 6164665f 6f735f64 4BIT....adf_os_d + 28540: 6d615f6d 61736b5f 74000000 1edf0761 ma_mask_t......a + 28550: 64665f64 6d615f69 6e666f00 0800001f df_dma_info..... + 28560: 6308646d 615f6d61 736b0000 001f1602 c.dma_mask...... + 28570: 23000873 675f6e73 65677300 00001623 #..sg_nsegs....# + 28580: 02230400 14040000 1fb90e41 44465f4e .#.........ADF_N + 28590: 45545f43 4b53554d 5f4e4f4e 4500000e ET_CKSUM_NONE... + 285a0: 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 285b0: 505f5544 505f4950 76340001 0e414446 P_UDP_IPv4...ADF + 285c0: 5f4e4554 5f434b53 554d5f54 43505f55 _NET_CKSUM_TCP_U + 285d0: 44505f49 50763600 02000961 64665f6e DP_IPv6....adf_n + 285e0: 65745f63 6b73756d 5f747970 655f7400 et_cksum_type_t. + 285f0: 00001f63 12080000 1ffc0874 785f636b ...c.......tx_ck + 28600: 73756d00 00001fb9 02230008 72785f63 sum......#..rx_c + 28610: 6b73756d 0000001f b9022304 00096164 ksum......#...ad + 28620: 665f6e65 745f636b 73756d5f 696e666f f_net_cksum_info + 28630: 5f740000 001fd314 04000020 550e4144 _t......... U.AD + 28640: 465f4e45 545f5453 4f5f4e4f 4e450000 F_NET_TSO_NONE.. + 28650: 0e414446 5f4e4554 5f54534f 5f495056 .ADF_NET_TSO_IPV + 28660: 3400010e 4144465f 4e45545f 54534f5f 4...ADF_NET_TSO_ + 28670: 414c4c00 02000961 64665f6e 65745f74 ALL....adf_net_t + 28680: 736f5f74 7970655f 74000000 20161210 so_type_t... ... + 28690: 000020a9 08636b73 756d5f63 61700000 .. ..cksum_cap.. + 286a0: 001ffc02 23000874 736f0000 00205502 ....#..tso... U. + 286b0: 23080876 6c616e5f 73757070 6f727465 #..vlan_supporte + 286c0: 64000000 168a0223 0c001220 00002142 d......#... ..!B + 286d0: 0874785f 7061636b 65747300 00001623 .tx_packets....# + 286e0: 02230008 72785f70 61636b65 74730000 .#..rx_packets.. + 286f0: 00162302 23040874 785f6279 74657300 ..#.#..tx_bytes. + 28700: 00001623 02230808 72785f62 79746573 ...#.#..rx_bytes + 28710: 00000016 2302230c 0874785f 64726f70 ....#.#..tx_drop + 28720: 70656400 00001623 02231008 72785f64 ped....#.#..rx_d + 28730: 726f7070 65640000 00162302 23140872 ropped....#.#..r + 28740: 785f6572 726f7273 00000016 23022318 x_errors....#.#. + 28750: 0874785f 6572726f 72730000 00162302 .tx_errors....#. + 28760: 231c0009 6164665f 6e65745f 65746861 #...adf_net_etha + 28770: 6464725f 74000000 1c7c1600 00214203 ddr_t....|...!B. + 28780: 00000021 67107f00 17616466 5f6e6574 ...!g....adf_net + 28790: 5f636d64 5f6d6361 64647200 03040000 _cmd_mcaddr..... + 287a0: 219e086e 656c656d 00000016 23022300 !..nelem....#.#. + 287b0: 086d6361 73740000 00215902 23040009 .mcast...!Y.#... + 287c0: 6164665f 6e65745f 636d645f 6c696e6b adf_net_cmd_link + 287d0: 5f696e66 6f5f7400 00001c16 09616466 _info_t......adf + 287e0: 5f6e6574 5f636d64 5f706f6c 6c5f696e _net_cmd_poll_in + 287f0: 666f5f74 0000001e 84096164 665f6e65 fo_t......adf_ne + 28800: 745f636d 645f636b 73756d5f 696e666f t_cmd_cksum_info + 28810: 5f740000 001ffc09 6164665f 6e65745f _t......adf_net_ + 28820: 636d645f 72696e67 5f696e66 6f5f7400 cmd_ring_info_t. + 28830: 00001e48 09616466 5f6e6574 5f636d64 ...H.adf_net_cmd + 28840: 5f646d61 5f696e66 6f5f7400 00001f2d _dma_info_t....- + 28850: 09616466 5f6e6574 5f636d64 5f766964 .adf_net_cmd_vid + 28860: 5f740000 001cb209 6164665f 6e65745f _t......adf_net_ + 28870: 636d645f 6f66666c 6f61645f 6361705f cmd_offload_cap_ + 28880: 74000000 206d0961 64665f6e 65745f63 t... m.adf_net_c + 28890: 6d645f73 74617473 5f740000 0020a909 md_stats_t... .. + 288a0: 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad + 288b0: 64725f74 00000021 670d6164 665f6e65 dr_t...!g.adf_ne + 288c0: 745f636d 645f6d63 6173745f 63617000 t_cmd_mcast_cap. + 288d0: 04000022 e00e4144 465f4e45 545f4d43 ..."..ADF_NET_MC + 288e0: 4153545f 53555000 000e4144 465f4e45 AST_SUP...ADF_NE + 288f0: 545f4d43 4153545f 4e4f5453 55500001 T_MCAST_NOTSUP.. + 28900: 00096164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 28910: 6173745f 6361705f 74000000 22981803 ast_cap_t..."... + 28920: 04000023 b2086c69 6e6b5f69 6e666f00 ...#..link_info. + 28930: 0000219e 02230008 706f6c6c 5f696e66 ..!..#..poll_inf + 28940: 6f000000 21bb0223 0008636b 73756d5f o...!..#..cksum_ + 28950: 696e666f 00000021 d8022300 0872696e info...!..#..rin + 28960: 675f696e 666f0000 0021f602 23000864 g_info...!..#..d + 28970: 6d615f69 6e666f00 00002213 02230008 ma_info..."..#.. + 28980: 76696400 0000222f 02230008 6f66666c vid..."/.#..offl + 28990: 6f61645f 63617000 00002246 02230008 oad_cap..."F.#.. + 289a0: 73746174 73000000 22650223 00086d63 stats..."e.#..mc + 289b0: 6173745f 696e666f 00000022 7e022300 ast_info..."~.#. + 289c0: 086d6361 73745f63 61700000 0022e002 .mcast_cap...".. + 289d0: 23000014 04000024 090e4144 465f4e42 #......$..ADF_NB + 289e0: 55465f52 585f434b 53554d5f 4e4f4e45 UF_RX_CKSUM_NONE + 289f0: 00000e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 28a00: 4b53554d 5f485700 010e4144 465f4e42 KSUM_HW...ADF_NB + 28a10: 55465f52 585f434b 53554d5f 554e4e45 UF_RX_CKSUM_UNNE + 28a20: 43455353 41525900 02000961 64665f6e CESSARY....adf_n + 28a30: 6275665f 72785f63 6b73756d 5f747970 buf_rx_cksum_typ + 28a40: 655f7400 000023b2 12080000 24490872 e_t...#.....$I.r + 28a50: 6573756c 74000000 24090223 00087661 esult...$..#..va + 28a60: 6c000000 16230223 04001208 00002479 l....#.#......$y + 28a70: 08747970 65000000 20550223 00086d73 .type... U.#..ms + 28a80: 73000000 1cb20223 04086864 725f6f66 s......#..hdr_of + 28a90: 66000000 168a0223 0600075f 5f616466 f......#...__adf + 28aa0: 5f6e6275 665f7168 65616400 0c000024 _nbuf_qhead....$ + 28ab0: b8086865 61640000 00144302 23000874 ..head....C.#..t + 28ac0: 61696c00 00001443 02230408 716c656e ail....C.#..qlen + 28ad0: 00000016 23022308 00095f5f 6164665f ....#.#...__adf_ + 28ae0: 6e627566 5f740000 00144303 00001699 nbuf_t....C..... + 28af0: 04000300 00162304 00020106 00001325 ......#........% + 28b00: 01060000 16230106 00001699 01060000 .....#.......... + 28b10: 16990103 00001306 0400095f 5f616466 ...........__adf + 28b20: 5f6e6275 665f7168 6561645f 74000000 _nbuf_qhead_t... + 28b30: 2479095f 5f616466 5f6e6275 665f7175 $y.__adf_nbuf_qu + 28b40: 6575655f 74000000 24f90300 00251104 eue_t...$....%.. + 28b50: 00060000 24b80106 000024b8 01140400 ....$.....$..... + 28b60: 0026310e 415f5354 41545553 5f4f4b00 .&1.A_STATUS_OK. + 28b70: 000e415f 53544154 55535f46 41494c45 ..A_STATUS_FAILE + 28b80: 4400010e 415f5354 41545553 5f454e4f D...A_STATUS_ENO + 28b90: 454e5400 020e415f 53544154 55535f45 ENT...A_STATUS_E + 28ba0: 4e4f4d45 4d00030e 415f5354 41545553 NOMEM...A_STATUS + 28bb0: 5f45494e 56414c00 040e415f 53544154 _EINVAL...A_STAT + 28bc0: 55535f45 494e5052 4f475245 53530005 US_EINPROGRESS.. + 28bd0: 0e415f53 54415455 535f454e 4f545355 .A_STATUS_ENOTSU + 28be0: 50500006 0e415f53 54415455 535f4542 PP...A_STATUS_EB + 28bf0: 55535900 070e415f 53544154 55535f45 USY...A_STATUS_E + 28c00: 32424947 00080e41 5f535441 5455535f 2BIG...A_STATUS_ + 28c10: 45414444 524e4f54 41564149 4c00090e EADDRNOTAVAIL... + 28c20: 415f5354 41545553 5f454e58 494f000a A_STATUS_ENXIO.. + 28c30: 0e415f53 54415455 535f4546 41554c54 .A_STATUS_EFAULT + 28c40: 000b0e41 5f535441 5455535f 45494f00 ...A_STATUS_EIO. + 28c50: 0c000961 5f737461 7475735f 74000000 ...a_status_t... + 28c60: 253c0600 00263101 06000001 1e010201 %<...&1......... + 28c70: 09616466 5f6e6275 665f7400 000024b8 .adf_nbuf_t...$. + 28c80: 14040000 26960e41 44465f4f 535f444d ....&..ADF_OS_DM + 28c90: 415f544f 5f444556 49434500 000e4144 A_TO_DEVICE...AD + 28ca0: 465f4f53 5f444d41 5f46524f 4d5f4445 F_OS_DMA_FROM_DE + 28cb0: 56494345 00010009 6164665f 6f735f64 VICE....adf_os_d + 28cc0: 6d615f64 69725f74 00000026 5f060000 ma_dir_t...&_... + 28cd0: 26310102 01096164 665f6f73 5f646d61 &1....adf_os_dma + 28ce0: 6d61705f 696e666f 5f740000 00164003 map_info_t....@. + 28cf0: 000026b4 04000201 02010600 00264f01 ..&..........&O. + 28d00: 06000024 b8010201 02010600 00264f01 ...$.........&O. + 28d10: 06000024 b8010600 00264f01 06000024 ...$.....&O....$ + 28d20: b8010600 00264f01 02010201 06000016 .....&O......... + 28d30: 23010600 00169901 02010201 0600001b #............... + 28d40: 50010600 001a9d01 0600001a 9d010961 P..............a + 28d50: 64665f6f 735f7367 6c697374 5f740000 df_os_sglist_t.. + 28d60: 0016d803 0000272d 04000201 02010201 ......'-........ + 28d70: 06000016 99010961 64665f6e 6275665f .......adf_nbuf_ + 28d80: 71756575 655f7400 00002511 03000027 queue_t...%....' + 28d90: 55040002 01030000 24f90400 02010201 U.......$....... + 28da0: 02010600 00264f01 06000024 b8010600 .....&O....$.... + 28db0: 00162301 06000016 23010600 001a9d01 ..#.....#....... + 28dc0: 0600001a 9d010600 001fb901 06000016 ................ + 28dd0: 23010961 64665f6e 6275665f 72785f63 #..adf_nbuf_rx_c + 28de0: 6b73756d 5f740000 00242703 000027b1 ksum_t...$'...'. + 28df0: 04000201 02010961 64665f6e 6275665f .......adf_nbuf_ + 28e00: 74736f5f 74000000 24490300 0027d504 tso_t...$I...'.. + 28e10: 00020102 01096164 665f6e65 745f6861 ......adf_net_ha + 28e20: 6e646c65 5f740000 00041309 6164665f ndle_t......adf_ + 28e30: 6e65745f 766c616e 6864725f 74000000 net_vlanhdr_t... + 28e40: 1dc50300 00280a04 00060000 26310106 .....(......&1.. + 28e50: 00002631 01020102 01075f48 49465f43 ..&1......_HIF_C + 28e60: 4f4e4649 47000400 00285908 64756d6d ONFIG....(Y.dumm + 28e70: 79000000 011e0223 00000201 03000028 y......#.......( + 28e80: 59040002 01030000 28620400 075f4849 Y.......(b..._HI + 28e90: 465f4341 4c4c4241 434b000c 000028b7 F_CALLBACK....(. + 28ea0: 0873656e 645f6275 665f646f 6e650000 .send_buf_done.. + 28eb0: 00285b02 23000872 6563765f 62756600 .([.#..recv_buf. + 28ec0: 00002864 02230408 636f6e74 65787400 ..(d.#..context. + 28ed0: 00000413 02230800 09686966 5f68616e .....#...hif_han + 28ee0: 646c655f 74000000 04130948 49465f43 dle_t......HIF_C + 28ef0: 4f4e4649 47000000 28380300 0028c904 ONFIG...(8...(.. + 28f00: 00060000 28b70103 000028e0 04000201 ....(.....(..... + 28f10: 03000028 ed040009 4849465f 43414c4c ...(....HIF_CALL + 28f20: 4241434b 00000028 6b030000 28f60400 BACK...(k...(... + 28f30: 02010300 00290f04 00060000 011e0103 .....).......... + 28f40: 00002918 04000201 03000029 25040006 ..)........)%... + 28f50: 0000011e 01030000 292e0400 02010300 ........)....... + 28f60: 00293b04 00060000 011e0103 00002944 .);...........)D + 28f70: 04000201 03000029 51040007 6869665f .......)Q...hif_ + 28f80: 61706900 3800002a aa085f69 6e697400 api.8..*.._init. + 28f90: 000028e6 02230008 5f736875 74646f77 ..(..#.._shutdow + 28fa0: 6e000000 28ef0223 04085f72 65676973 n...(..#.._regis + 28fb0: 7465725f 63616c6c 6261636b 00000029 ter_callback...) + 28fc0: 11022308 085f6765 745f746f 74616c5f ..#.._get_total_ + 28fd0: 63726564 69745f63 6f756e74 00000029 credit_count...) + 28fe0: 1e02230c 085f7374 61727400 000028ef ..#.._start...(. + 28ff0: 02231008 5f636f6e 6669675f 70697065 .#.._config_pipe + 29000: 00000029 27022314 085f7365 6e645f62 ...)'.#.._send_b + 29010: 75666665 72000000 29340223 18085f72 uffer...)4.#.._r + 29020: 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + 29030: 00293d02 231c085f 69735f70 6970655f .)=.#.._is_pipe_ + 29040: 73757070 6f727465 64000000 294a0223 supported...)J.# + 29050: 20085f67 65745f6d 61785f6d 73675f6c ._get_max_msg_l + 29060: 656e0000 00294a02 2324085f 6765745f en...)J.#$._get_ + 29070: 72657365 72766564 5f686561 64726f6f reserved_headroo + 29080: 6d000000 291e0223 28085f69 73725f68 m...)..#(._isr_h + 29090: 616e646c 65720000 0028ef02 232c085f andler...(..#,._ + 290a0: 6765745f 64656661 756c745f 70697065 get_default_pipe + 290b0: 00000029 53022330 08705265 73657276 ...)S.#0.pReserv + 290c0: 65640000 00041302 2334000d 646d615f ed......#4..dma_ + 290d0: 656e6769 6e650004 00002b33 0e444d41 engine....+3.DMA + 290e0: 5f454e47 494e455f 52583000 000e444d _ENGINE_RX0...DM + 290f0: 415f454e 47494e45 5f525831 00010e44 A_ENGINE_RX1...D + 29100: 4d415f45 4e47494e 455f5258 3200020e MA_ENGINE_RX2... + 29110: 444d415f 454e4749 4e455f52 58330003 DMA_ENGINE_RX3.. + 29120: 0e444d41 5f454e47 494e455f 54583000 .DMA_ENGINE_TX0. + 29130: 040e444d 415f454e 47494e45 5f545831 ..DMA_ENGINE_TX1 + 29140: 00050e44 4d415f45 4e47494e 455f4d41 ...DMA_ENGINE_MA + 29150: 58000600 09646d61 5f656e67 696e655f X....dma_engine_ + 29160: 74000000 2aaa0d64 6d615f69 66747970 t...*..dma_iftyp + 29170: 65000400 002b800e 444d415f 49465f47 e....+..DMA_IF_G + 29180: 4d414300 000e444d 415f4946 5f504349 MAC...DMA_IF_PCI + 29190: 00010e44 4d415f49 465f5043 49450002 ...DMA_IF_PCIE.. + 291a0: 0009646d 615f6966 74797065 5f740000 ..dma_iftype_t.. + 291b0: 002b4506 000012f8 01030000 2b920400 .+E.........+... + 291c0: 02010300 002b9f04 00020103 00002ba8 .....+........+. + 291d0: 04000600 00092a01 0300002b b1040006 ......*....+.... + 291e0: 000012f8 01030000 2bbe0400 06000012 ........+....... + 291f0: f8010300 002bcb04 00060000 14430103 .....+.......C.. + 29200: 00002bd8 04000201 0300002b e5040007 ..+........+.... + 29210: 646d615f 6c69625f 61706900 3400002c dma_lib_api.4.., + 29220: ec087478 5f696e69 74000000 2b980223 ..tx_init...+..# + 29230: 00087478 5f737461 72740000 002ba102 ..tx_start...+.. + 29240: 23040872 785f696e 69740000 002b9802 #..rx_init...+.. + 29250: 23080872 785f636f 6e666967 0000002b #..rx_config...+ + 29260: aa02230c 0872785f 73746172 74000000 ..#..rx_start... + 29270: 2ba10223 1008696e 74725f73 74617475 +..#..intr_statu + 29280: 73000000 2bb70223 14086861 72645f78 s...+..#..hard_x + 29290: 6d697400 00002bc4 02231808 666c7573 mit...+..#..flus + 292a0: 685f786d 69740000 002ba102 231c0878 h_xmit...+..#..x + 292b0: 6d69745f 646f6e65 0000002b d1022320 mit_done...+..# + 292c0: 08726561 705f786d 69747465 64000000 .reap_xmitted... + 292d0: 2bde0223 24087265 61705f72 65637600 +..#$.reap_recv. + 292e0: 00002bde 02232808 72657475 726e5f72 ..+..#(.return_r + 292f0: 65637600 00002be7 02232c08 72656376 ecv...+..#,.recv + 29300: 5f706b74 0000002b d1022330 00075f5f _pkt...+..#0..__ + 29310: 7063695f 736f6674 63000c00 002d0a08 pci_softc....-.. + 29320: 73770000 0028f602 23000009 5f5f7063 sw...(..#...__pc + 29330: 695f736f 6674635f 74000000 2cec0300 i_softc_t...,... + 29340: 002d0a04 00020103 00002d24 04000600 .-........-$.... + 29350: 0012e401 0300002d 2d04000d 6869665f .......--...hif_ + 29360: 7063695f 70697065 5f747800 0400002d pci_pipe_tx....- + 29370: 8d0e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 29380: 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 29390: 455f5458 3100010e 4849465f 5043495f E_TX1...HIF_PCI_ + 293a0: 50495045 5f54585f 4d415800 02000968 PIPE_TX_MAX....h + 293b0: 69665f70 63695f70 6970655f 74785f74 if_pci_pipe_tx_t + 293c0: 0000002d 3a060000 2b330103 00002da4 ...-:...+3....-. + 293d0: 04000d68 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 293e0: 72780004 00002e2a 0e484946 5f504349 rx.....*.HIF_PCI + 293f0: 5f504950 455f5258 3000000e 4849465f _PIPE_RX0...HIF_ + 29400: 5043495f 50495045 5f525831 00010e48 PCI_PIPE_RX1...H + 29410: 49465f50 43495f50 4950455f 52583200 IF_PCI_PIPE_RX2. + 29420: 020e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 29430: 58330003 0e484946 5f504349 5f504950 X3...HIF_PCI_PIP + 29440: 455f5258 5f4d4158 00040009 6869665f E_RX_MAX....hif_ + 29450: 7063695f 70697065 5f72785f 74000000 pci_pipe_rx_t... + 29460: 2db10600 002b3301 0300002e 41040007 -....+3.....A... + 29470: 6869665f 7063695f 61706900 2400002f hif_pci_api.$../ + 29480: 1f087063 695f626f 6f745f69 6e697400 ..pci_boot_init. + 29490: 00000117 02230008 7063695f 696e6974 .....#..pci_init + 294a0: 00000028 e6022304 08706369 5f726573 ...(..#..pci_res + 294b0: 65740000 00011702 23080870 63695f65 et......#..pci_e + 294c0: 6e61626c 65000000 01170223 0c087063 nable......#..pc + 294d0: 695f7265 61705f78 6d697474 65640000 i_reap_xmitted.. + 294e0: 002d2602 23100870 63695f72 6561705f .-&.#..pci_reap_ + 294f0: 72656376 0000002d 26022314 08706369 recv...-&.#..pci + 29500: 5f676574 5f706970 65000000 2d330223 _get_pipe...-3.# + 29510: 18087063 695f6765 745f7478 5f656e67 ..pci_get_tx_eng + 29520: 0000002d aa02231c 08706369 5f676574 ...-..#..pci_get + 29530: 5f72785f 656e6700 00002e47 02232000 _rx_eng....G.# . + 29540: 07676d61 635f6170 69000400 002f4608 .gmac_api..../F. + 29550: 676d6163 5f626f6f 745f696e 69740000 gmac_boot_init.. + 29560: 00011702 2300000f 0000032b 0600002f ....#......+.../ + 29570: 53100500 075f5f65 74686864 72000e00 S....__ethhdr... + 29580: 002f8908 64737400 00002f46 02230008 ./..dst.../F.#.. + 29590: 73726300 00002f46 02230608 65747970 src.../F.#..etyp + 295a0: 65000000 12f80223 0c00075f 5f617468 e......#...__ath + 295b0: 68647200 0400002f d7157265 73000000 hdr..../..res... + 295c0: 12e40100 02022300 1570726f 746f0000 ......#..proto.. + 295d0: 0012e401 02060223 00087265 735f6c6f .......#..res_lo + 295e0: 00000012 e4022301 08726573 5f686900 ......#..res_hi. + 295f0: 000012f8 02230200 075f5f67 6d61635f .....#...__gmac_ + 29600: 68647200 14000030 13086574 68000000 hdr....0..eth... + 29610: 2f530223 00086174 68000000 2f890223 /S.#..ath.../..# + 29620: 0e08616c 69676e5f 70616400 000012f8 ..align_pad..... + 29630: 02231200 095f5f67 6d61635f 6864725f .#...__gmac_hdr_ + 29640: 74000000 2fd7075f 5f676d61 635f736f t.../..__gmac_so + 29650: 66746300 24000030 5d086864 72000000 ftc.$..0].hdr... + 29660: 30130223 00086772 616e0000 0012f802 0..#..gran...... + 29670: 23140873 77000000 28f60223 1800075f #..sw...(..#..._ + 29680: 415f6f73 5f6c696e 6b616765 5f636865 A_os_linkage_che + 29690: 636b0008 00003096 08766572 73696f6e ck....0..version + 296a0: 00000001 1e022300 08746162 6c650000 ......#..table.. + 296b0: 00011e02 23040003 0000305d 04000600 ....#.....0].... + 296c0: 00011e01 03000030 9d040003 00000416 .......0........ + 296d0: 0400175f 415f636d 6e6f735f 696e6469 ..._A_cmnos_indi + 296e0: 72656374 696f6e5f 7461626c 650001b8 rection_table... + 296f0: 000031ed 0868616c 5f6c696e 6b616765 ..1..hal_linkage + 29700: 5f636865 636b0000 0030a302 23000873 _check...0..#..s + 29710: 74617274 5f627373 00000030 aa022304 tart_bss...0..#. + 29720: 08617070 5f737461 72740000 00011702 .app_start...... + 29730: 2308086d 656d0000 00045602 230c086d #..mem....V.#..m + 29740: 69736300 00000575 02232008 7072696e isc....u.# .prin + 29750: 74660000 00014b02 23440875 61727400 tf....K.#D.uart. + 29760: 00000214 02234c08 676d6163 0000002f .....#L.gmac.../ + 29770: 1f02236c 08757362 0000000f a4022370 ..#l.usb......#p + 29780: 08636c6f 636b0000 000aed03 23e00108 .clock......#... + 29790: 74696d65 72000000 078d0323 84020869 timer......#...i + 297a0: 6e747200 00000c3d 03239802 08616c6c ntr....=.#...all + 297b0: 6f637261 6d000000 09450323 c4020872 ocram....E.#...r + 297c0: 6f6d7000 00000837 0323d002 08776474 omp....7.#...wdt + 297d0: 5f74696d 65720000 000e1a03 23e00208 _timer......#... + 297e0: 65657000 00000f48 0323fc02 08737472 eep....H.#...str + 297f0: 696e6700 00000699 03238c03 08746173 ing......#...tas + 29800: 6b6c6574 0000000a 420323a4 0300075f klet....B.#...._ + 29810: 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 29820: 10000032 60086765 745f636f 6d6d616e ...2`.get_comman + 29830: 645f6275 66000000 14500223 00087265 d_buf....P.#..re + 29840: 63765f63 6f6d6d61 6e640000 00146602 cv_command....f. + 29850: 23040867 65745f65 76656e74 5f627566 #..get_event_buf + 29860: 00000014 50022308 0873656e 645f6576 ....P.#..send_ev + 29870: 656e745f 646f6e65 00000014 6602230c ent_done....f.#. + 29880: 00095553 425f4649 464f5f43 4f4e4649 ..USB_FIFO_CONFI + 29890: 47000000 31ed0300 00326004 00020103 G...1....2`..... + 298a0: 0000327c 04000775 73626669 666f5f61 ..2|...usbfifo_a + 298b0: 7069000c 000032d2 085f696e 69740000 pi....2.._init.. + 298c0: 00327e02 2300085f 656e6162 6c655f65 .2~.#.._enable_e + 298d0: 76656e74 5f697372 00000001 17022304 vent_isr......#. + 298e0: 08705265 73657276 65640000 00041302 .pReserved...... + 298f0: 2308000f 0000168a 02000032 df100100 #..........2.... + 29900: 075f4854 435f4652 414d455f 48445200 ._HTC_FRAME_HDR. + 29910: 08000033 5108456e 64706f69 6e744944 ...3Q.EndpointID + 29920: 00000016 8a022300 08466c61 67730000 ......#..Flags.. + 29930: 00168a02 23010850 61796c6f 61644c65 ....#..PayloadLe + 29940: 6e000000 1cb20223 0208436f 6e74726f n......#..Contro + 29950: 6c427974 65730000 0032d202 23040848 lBytes...2..#..H + 29960: 6f737453 65714e75 6d000000 1cb20223 ostSeqNum......# + 29970: 06001202 0000336a 084d6573 73616765 ......3j.Message + 29980: 49440000 001cb202 23000012 08000033 ID......#......3 + 29990: cd084d65 73736167 65494400 00001cb2 ..MessageID..... + 299a0: 02230008 43726564 6974436f 756e7400 .#..CreditCount. + 299b0: 00001cb2 02230208 43726564 69745369 .....#..CreditSi + 299c0: 7a650000 001cb202 2304084d 6178456e ze......#..MaxEn + 299d0: 64706f69 6e747300 0000168a 02230608 dpoints......#.. + 299e0: 5f506164 31000000 168a0223 0700120a _Pad1......#.... + 299f0: 00003464 084d6573 73616765 49440000 ..4d.MessageID.. + 29a00: 001cb202 23000853 65727669 63654944 ....#..ServiceID + 29a10: 0000001c b2022302 08436f6e 6e656374 ......#..Connect + 29a20: 696f6e46 6c616773 0000001c b2022304 ionFlags......#. + 29a30: 08446f77 6e4c696e 6b506970 65494400 .DownLinkPipeID. + 29a40: 0000168a 02230608 55704c69 6e6b5069 .....#..UpLinkPi + 29a50: 70654944 00000016 8a022307 08536572 peID......#..Ser + 29a60: 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 29a70: 00168a02 2308085f 50616431 00000016 ....#.._Pad1.... + 29a80: 8a022309 00120a00 0034ec08 4d657373 ..#......4..Mess + 29a90: 61676549 44000000 1cb20223 00085365 ageID......#..Se + 29aa0: 72766963 65494400 00001cb2 02230208 rviceID......#.. + 29ab0: 53746174 75730000 00168a02 23040845 Status......#..E + 29ac0: 6e64706f 696e7449 44000000 168a0223 ndpointID......# + 29ad0: 05084d61 784d7367 53697a65 0000001c ..MaxMsgSize.... + 29ae0: b2022306 08536572 76696365 4d657461 ..#..ServiceMeta + 29af0: 4c656e67 74680000 00168a02 2308085f Length......#.._ + 29b00: 50616431 00000016 8a022309 00120200 Pad1......#..... + 29b10: 00350508 4d657373 61676549 44000000 .5..MessageID... + 29b20: 1cb20223 00001204 00003541 084d6573 ...#......5A.Mes + 29b30: 73616765 49440000 001cb202 23000850 sageID......#..P + 29b40: 69706549 44000000 168a0223 02084372 ipeID......#..Cr + 29b50: 65646974 436f756e 74000000 168a0223 editCount......# + 29b60: 03001204 00003578 084d6573 73616765 ......5x.Message + 29b70: 49440000 001cb202 23000850 69706549 ID......#..PipeI + 29b80: 44000000 168a0223 02085374 61747573 D......#..Status + 29b90: 00000016 8a022303 00120200 00359f08 ......#......5.. + 29ba0: 5265636f 72644944 00000016 8a022300 RecordID......#. + 29bb0: 084c656e 67746800 0000168a 02230100 .Length......#.. + 29bc0: 12020000 35c90845 6e64706f 696e7449 ....5..EndpointI + 29bd0: 44000000 168a0223 00084372 65646974 D......#..Credit + 29be0: 73000000 168a0223 01001204 0000360a s......#......6. + 29bf0: 08456e64 706f696e 74494400 0000168a .EndpointID..... + 29c00: 02230008 43726564 69747300 0000168a .#..Credits..... + 29c10: 02230108 54677443 72656469 74536571 .#..TgtCreditSeq + 29c20: 4e6f0000 001cb202 2302000f 0000168a No......#....... + 29c30: 04000036 17100300 12060000 36530850 ...6........6S.P + 29c40: 72655661 6c696400 0000168a 02230008 reValid......#.. + 29c50: 4c6f6f6b 41686561 64000000 360a0223 LookAhead...6..# + 29c60: 0108506f 73745661 6c696400 0000168a ..PostValid..... + 29c70: 02230500 09706f6f 6c5f6861 6e646c65 .#...pool_handle + 29c80: 5f740000 00041306 00003653 01030000 _t........6S.... + 29c90: 36660400 02010300 00367304 00140400 6f.......6s..... + 29ca0: 0036f10e 504f4f4c 5f49445f 4854435f .6..POOL_ID_HTC_ + 29cb0: 434f4e54 524f4c00 000e504f 4f4c5f49 CONTROL...POOL_I + 29cc0: 445f574d 495f5356 435f434d 445f5245 D_WMI_SVC_CMD_RE + 29cd0: 504c5900 010e504f 4f4c5f49 445f574d PLY...POOL_ID_WM + 29ce0: 495f5356 435f4556 454e5400 020e504f I_SVC_EVENT...PO + 29cf0: 4f4c5f49 445f574c 414e5f52 585f4255 OL_ID_WLAN_RX_BU + 29d00: 4600030e 504f4f4c 5f49445f 4d415800 F...POOL_ID_MAX. + 29d10: 0a000942 55465f50 4f4f4c5f 49440000 ...BUF_POOL_ID.. + 29d20: 00367c02 01030000 37020400 06000026 .6|.....7......& + 29d30: 4f010300 00370b04 00060000 264f0103 O....7......&O.. + 29d40: 00003718 04000201 03000037 25040007 ..7........7%... + 29d50: 6275665f 706f6f6c 5f617069 001c0000 buf_pool_api.... + 29d60: 37c7085f 696e6974 00000036 6c022300 7.._init...6l.#. + 29d70: 085f7368 7574646f 776e0000 00367502 ._shutdown...6u. + 29d80: 2304085f 63726561 74655f70 6f6f6c00 #.._create_pool. + 29d90: 00003704 02230808 5f616c6c 6f635f62 ..7..#.._alloc_b + 29da0: 75660000 00371102 230c085f 616c6c6f uf...7..#.._allo + 29db0: 635f6275 665f616c 69676e00 0000371e c_buf_align...7. + 29dc0: 02231008 5f667265 655f6275 66000000 .#.._free_buf... + 29dd0: 37270223 14087052 65736572 76656400 7'.#..pReserved. + 29de0: 00000413 02231800 075f4854 435f5345 .....#..._HTC_SE + 29df0: 52564943 45001c00 0038a608 704e6578 RVICE....8..pNex + 29e00: 74000000 38a60223 00085072 6f636573 t...8..#..Proces + 29e10: 73526563 764d7367 00000039 5b022304 sRecvMsg...9[.#. + 29e20: 0850726f 63657373 53656e64 42756666 .ProcessSendBuff + 29e30: 6572436f 6d706c65 74650000 00396402 erComplete...9d. + 29e40: 23080850 726f6365 7373436f 6e6e6563 #..ProcessConnec + 29e50: 74000000 39780223 0c085365 72766963 t...9x.#..Servic + 29e60: 65494400 000012f8 02231008 53657276 eID......#..Serv + 29e70: 69636546 6c616773 00000012 f8022312 iceFlags......#. + 29e80: 084d6178 5376634d 73675369 7a650000 .MaxSvcMsgSize.. + 29e90: 0012f802 23140854 7261696c 65725370 ....#..TrailerSp + 29ea0: 63436865 636b4c69 6d697400 000012f8 cCheckLimit..... + 29eb0: 02231608 53657276 69636543 74780000 .#..ServiceCtx.. + 29ec0: 00041302 23180003 000037c7 04001404 ....#.....7..... + 29ed0: 00003944 19454e44 504f494e 545f554e ..9D.ENDPOINT_UN + 29ee0: 55534544 00ffffff ff0e454e 44504f49 USED......ENDPOI + 29ef0: 4e543000 000e454e 44504f49 4e543100 NT0...ENDPOINT1. + 29f00: 010e454e 44504f49 4e543200 020e454e ..ENDPOINT2...EN + 29f10: 44504f49 4e543300 030e454e 44504f49 DPOINT3...ENDPOI + 29f20: 4e543400 040e454e 44504f49 4e543500 NT4...ENDPOINT5. + 29f30: 050e454e 44504f49 4e543600 060e454e ..ENDPOINT6...EN + 29f40: 44504f49 4e543700 070e454e 44504f49 DPOINT7...ENDPOI + 29f50: 4e543800 080e454e 44504f49 4e545f4d NT8...ENDPOINT_M + 29f60: 41580016 00094854 435f454e 44504f49 AX....HTC_ENDPOI + 29f70: 4e545f49 44000000 38ad0201 03000039 NT_ID...8......9 + 29f80: 59040002 01030000 39620400 03000001 Y.......9b...... + 29f90: 1e040006 000012e4 01030000 39720400 ............9r.. + 29fa0: 03000037 c7040007 5f485443 5f434f4e ...7...._HTC_CON + 29fb0: 46494700 14000039 f7084372 65646974 FIG....9..Credit + 29fc0: 53697a65 00000001 1e022300 08437265 Size......#..Cre + 29fd0: 6469744e 756d6265 72000000 011e0223 ditNumber......# + 29fe0: 04084f53 48616e64 6c650000 001a4e02 ..OSHandle....N. + 29ff0: 23080848 49464861 6e646c65 00000028 #..HIFHandle...( + 2a000: b702230c 08506f6f 6c48616e 646c6500 ..#..PoolHandle. + 2a010: 00003653 02231000 075f4854 435f4255 ..6S.#..._HTC_BU + 2a020: 465f434f 4e544558 54000200 003a3308 F_CONTEXT....:3. + 2a030: 656e645f 706f696e 74000000 12e40223 end_point......# + 2a040: 00086874 635f666c 61677300 000012e4 ..htc_flags..... + 2a050: 02230100 09687463 5f68616e 646c655f .#...htc_handle_ + 2a060: 74000000 04130948 54435f53 45545550 t......HTC_SETUP + 2a070: 5f434f4d 504c4554 455f4342 00000001 _COMPLETE_CB.... + 2a080: 17094854 435f434f 4e464947 00000039 ..HTC_CONFIG...9 + 2a090: 86030000 3a600400 0600003a 33010300 ....:`.....:3... + 2a0a0: 003a7704 00020103 00003a84 04000948 .:w.......:....H + 2a0b0: 54435f53 45525649 43450000 0037c703 TC_SERVICE...7.. + 2a0c0: 00003a8d 04000201 0300003a a5040002 ..:........:.... + 2a0d0: 01030000 3aae0400 02010300 003ab704 ....:........:.. + 2a0e0: 00060000 011e0103 00003ac0 04000768 ..........:....h + 2a0f0: 74635f61 70697300 3400003c 3d085f48 tc_apis.4..<=._H + 2a100: 54435f49 6e697400 00003a7d 02230008 TC_Init...:}.#.. + 2a110: 5f485443 5f536875 74646f77 6e000000 _HTC_Shutdown... + 2a120: 3a860223 04085f48 54435f52 65676973 :..#.._HTC_Regis + 2a130: 74657253 65727669 63650000 003aa702 terService...:.. + 2a140: 2308085f 4854435f 52656164 79000000 #.._HTC_Ready... + 2a150: 3a860223 0c085f48 54435f52 65747572 :..#.._HTC_Retur + 2a160: 6e427566 66657273 0000003a b0022310 nBuffers...:..#. + 2a170: 085f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 2a180: 6572734c 69737400 00003ab9 02231408 ersList...:..#.. + 2a190: 5f485443 5f53656e 644d7367 0000003a _HTC_SendMsg...: + 2a1a0: b0022318 085f4854 435f4765 74526573 ..#.._HTC_GetRes + 2a1b0: 65727665 64486561 64726f6f 6d000000 ervedHeadroom... + 2a1c0: 3ac60223 1c085f48 54435f4d 73675265 :..#.._HTC_MsgRe + 2a1d0: 63764861 6e646c65 72000000 28640223 cvHandler...(d.# + 2a1e0: 20085f48 54435f53 656e6444 6f6e6548 ._HTC_SendDoneH + 2a1f0: 616e646c 65720000 00285b02 2324085f andler...([.#$._ + 2a200: 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 2a210: 6f636573 734d7367 00000039 5b022328 ocessMsg...9[.#( + 2a220: 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 2a230: 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl + 2a240: 65746500 00003964 02232c08 70526573 ete...9d.#,.pRes + 2a250: 65727665 64000000 04130223 30000768 erved......#0..h + 2a260: 6f73745f 6170705f 61726561 5f730004 ost_app_area_s.. + 2a270: 00003c6d 08776d69 5f70726f 746f636f ...# + 2b130: 04000473 686f7274 20756e73 69676e65 ...short unsigne + 2b140: 6420696e 74000702 0975696e 7431365f d int....uint16_ + 2b150: 74000000 017c046c 6f6e6720 756e7369 t....|.long unsi + 2b160: 676e6564 20696e74 00070409 75696e74 gned int....uint + 2b170: 33325f74 00000001 a0077561 72745f66 32_t......uart_f + 2b180: 69666f00 08000002 0e087374 6172745f ifo.......start_ + 2b190: 696e6465 78000000 01920223 0008656e index......#..en + 2b1a0: 645f696e 64657800 00000192 02230208 d_index......#.. + 2b1b0: 6f766572 72756e5f 65727200 000001b5 overrun_err..... + 2b1c0: 02230400 07756172 745f6170 69002000 .#...uart_api. . + 2b1d0: 0002c708 5f756172 745f696e 69740000 ...._uart_init.. + 2b1e0: 00031e02 2300085f 75617274 5f636861 ....#.._uart_cha + 2b1f0: 725f7075 74000000 03450223 04085f75 r_put....E.#.._u + 2b200: 6172745f 63686172 5f676574 00000003 art_char_get.... + 2b210: 59022308 085f7561 72745f73 74725f6f Y.#.._uart_str_o + 2b220: 75740000 00036202 230c085f 75617274 ut....b.#.._uart + 2b230: 5f746173 6b000000 01110223 10085f75 _task......#.._u + 2b240: 6172745f 73746174 75730000 00031e02 art_status...... + 2b250: 2314085f 75617274 5f636f6e 66696700 #.._uart_config. + 2b260: 0000036b 02231808 5f756172 745f6877 ...k.#.._uart_hw + 2b270: 696e6974 00000003 7402231c 00030000 init....t.#..... + 2b280: 020e0400 07756172 745f626c 6b001000 .....uart_blk... + 2b290: 00031808 64656275 675f6d6f 64650000 ....debug_mode.. + 2b2a0: 00019202 23000862 61756400 00000192 ....#..baud..... + 2b2b0: 02230208 5f756172 74000000 02c70223 .#.._uart......# + 2b2c0: 04085f74 78000000 01c30223 08000600 .._tx......#.... + 2b2d0: 0001b501 03000003 18040004 756e7369 ............unsi + 2b2e0: 676e6564 20636861 72000701 0975696e gned char....uin + 2b2f0: 74385f74 00000003 25020103 00000343 t8_t....%......C + 2b300: 04000300 00033604 00060000 01920103 ......6......... + 2b310: 00000353 04000201 03000003 60040002 ...S........`... + 2b320: 01030000 03690400 02010300 00037204 .....i........r. + 2b330: 00030000 011f0400 06000001 18010300 ................ + 2b340: 00038204 00074442 5f434f4d 4d414e44 ......DB_COMMAND + 2b350: 5f535452 55435400 0c000003 da08636d _STRUCT.......cm + 2b360: 645f7374 72000000 037b0223 00086865 d_str....{.#..he + 2b370: 6c705f73 74720000 00037b02 23040863 lp_str....{.#..c + 2b380: 6d645f66 756e6300 00000388 02230800 md_func......#.. + 2b390: 07646267 5f617069 00080000 040d085f .dbg_api......._ + 2b3a0: 6462675f 696e6974 00000001 11022300 dbg_init......#. + 2b3b0: 085f6462 675f7461 736b0000 00011102 ._dbg_task...... + 2b3c0: 2304000a 04000475 6e736967 6e656420 #......unsigned + 2b3d0: 696e7400 07040600 00040d01 03000004 int............. + 2b3e0: 2004000b 0b030000 042e0400 06000004 ............... + 2b3f0: 0d010300 00043604 00060000 01180103 ......6......... + 2b400: 00000443 0400076d 656d5f61 70690014 ...C...mem_api.. + 2b410: 000004b2 085f6d65 6d5f696e 69740000 ....._mem_init.. + 2b420: 00011102 2300085f 6d656d73 65740000 ....#.._memset.. + 2b430: 00042602 2304085f 6d656d63 70790000 ..&.#.._memcpy.. + 2b440: 00043c02 2308085f 6d656d6d 6f766500 ..<.#.._memmove. + 2b450: 0000043c 02230c08 5f6d656d 636d7000 ...<.#.._memcmp. + 2b460: 00000449 02231000 0c726567 69737465 ...I.#...registe + 2b470: 725f6475 6d705f73 00000103 000004b2 r_dump_s........ + 2b480: 04000201 03000004 cc040002 01030000 ................ + 2b490: 04d50400 06000001 18010300 0004de04 ................ + 2b4a0: 000d686f 73746966 5f730004 0000053a ..hostif_s.....: + 2b4b0: 0e484946 5f555342 00000e48 49465f50 .HIF_USB...HIF_P + 2b4c0: 43494500 010e4849 465f474d 41430002 CIE...HIF_GMAC.. + 2b4d0: 0e484946 5f504349 00030e48 49465f4e .HIF_PCI...HIF_N + 2b4e0: 554d0004 0e484946 5f4e4f4e 45000500 UM...HIF_NONE... + 2b4f0: 09415f48 4f535449 46000000 04eb0600 .A_HOSTIF....... + 2b500: 00053a01 03000005 48040006 00000336 ..:.....H......6 + 2b510: 01030000 05550400 06000001 92010300 .....U.......... + 2b520: 00056204 00076d69 73635f61 70690024 ..b...misc_api.$ + 2b530: 00000652 085f7379 7374656d 5f726573 ...R._system_res + 2b540: 65740000 00011102 2300085f 6d61635f et......#.._mac_ + 2b550: 72657365 74000000 01110223 04085f61 reset......#.._a + 2b560: 73736661 696c0000 0004ce02 2308085f ssfail......#.._ + 2b570: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 2b580: 68616e64 6c657200 000004ce 02230c08 handler......#.. + 2b590: 5f726570 6f72745f 6661696c 7572655f _report_failure_ + 2b5a0: 746f5f68 6f737400 000004d7 02231008 to_host......#.. + 2b5b0: 5f746172 6765745f 69645f67 65740000 _target_id_get.. + 2b5c0: 0004e402 2314085f 69735f68 6f73745f ....#.._is_host_ + 2b5d0: 70726573 656e7400 0000054e 02231808 present....N.#.. + 2b5e0: 5f6b6268 69740000 00055b02 231c085f _kbhit....[.#.._ + 2b5f0: 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. + 2b600: 00000568 02232000 06000003 7b010300 ...h.# .....{... + 2b610: 00065204 00060000 037b0103 0000065f ..R......{....._ + 2b620: 04000600 00011801 03000006 6c040006 ............l... + 2b630: 00000118 01030000 06790400 06000001 .........y...... + 2b640: 18010300 00068604 00077374 72696e67 ..........string + 2b650: 5f617069 00180000 070c085f 73747269 _api......._stri + 2b660: 6e675f69 6e697400 00000111 02230008 ng_init......#.. + 2b670: 5f737472 63707900 00000658 02230408 _strcpy....X.#.. + 2b680: 5f737472 6e637079 00000006 65022308 _strncpy....e.#. + 2b690: 085f7374 726c656e 00000006 7202230c ._strlen....r.#. + 2b6a0: 085f7374 72636d70 00000006 7f022310 ._strcmp......#. + 2b6b0: 085f7374 726e636d 70000000 068c0223 ._strncmp......# + 2b6c0: 14000f00 00041014 00000719 10040009 ................ + 2b6d0: 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. + 2b6e0: 00070c09 415f7469 6d65725f 74000000 ....A_timer_t... + 2b6f0: 07190300 00072d04 00020103 00000743 ......-........C + 2b700: 04000201 03000007 4c040009 415f4841 ........L...A_HA + 2b710: 4e444c45 00000004 10020109 415f5449 NDLE........A_TI + 2b720: 4d45525f 46554e43 00000007 63030000 MER_FUNC....c... + 2b730: 07650400 02010300 00077e04 00077469 .e........~...ti + 2b740: 6d65725f 61706900 14000007 fd085f74 mer_api......._t + 2b750: 696d6572 5f696e69 74000000 01110223 imer_init......# + 2b760: 00085f74 696d6572 5f61726d 00000007 .._timer_arm.... + 2b770: 45022304 085f7469 6d65725f 64697361 E.#.._timer_disa + 2b780: 726d0000 00074e02 2308085f 74696d65 rm....N.#.._time + 2b790: 725f7365 74666e00 00000780 02230c08 r_setfn......#.. + 2b7a0: 5f74696d 65725f72 756e0000 00011102 _timer_run...... + 2b7b0: 23100009 424f4f4c 45414e00 00000192 #...BOOLEAN..... + 2b7c0: 06000007 fd010300 00080a04 00060000 ................ + 2b7d0: 07fd0103 00000817 04000600 0007fd01 ................ + 2b7e0: 03000008 24040007 726f6d70 5f617069 ....$...romp_api + 2b7f0: 00100000 0896085f 726f6d70 5f696e69 ......._romp_ini + 2b800: 74000000 01110223 00085f72 6f6d705f t......#.._romp_ + 2b810: 646f776e 6c6f6164 00000008 10022304 download......#. + 2b820: 085f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. + 2b830: 00081d02 2308085f 726f6d70 5f646563 ....#.._romp_dec + 2b840: 6f646500 0000082a 02230c00 07726f6d ode....*.#...rom + 2b850: 5f706174 63685f73 74001000 0008f208 _patch_st....... + 2b860: 63726331 36000000 01920223 00086c65 crc16......#..le + 2b870: 6e000000 01920223 02086c64 5f616464 n......#..ld_add + 2b880: 72000000 01b50223 04086675 6e5f6164 r......#..fun_ad + 2b890: 64720000 0001b502 23080870 66756e00 dr......#..pfun. + 2b8a0: 0000034c 02230c00 07656570 5f726564 ...L.#...eep_red + 2b8b0: 69725f61 64647200 04000009 24086f66 ir_addr.....$.of + 2b8c0: 66736574 00000001 92022300 0873697a fset......#..siz + 2b8d0: 65000000 01920223 02000941 5f55494e e......#...A_UIN + 2b8e0: 54333200 00000410 06000004 0d010300 T32............. + 2b8f0: 00093204 0007616c 6c6f6372 616d5f61 ..2...allocram_a + 2b900: 7069000c 000009a3 08636d6e 6f735f61 pi.......cmnos_a + 2b910: 6c6c6f63 72616d5f 696e6974 00000009 llocram_init.... + 2b920: 38022300 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc + 2b930: 72616d00 00000938 02230408 636d6e6f ram....8.#..cmno + 2b940: 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 2b950: 00000001 11022308 00020103 000009a3 ......#......... + 2b960: 04000941 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 2b970: 43000000 09a5075f 7461736b 6c657400 C......_tasklet. + 2b980: 1000000a 04086675 6e630000 0009ac02 ......func...... + 2b990: 23000861 72670000 00040d02 23040873 #..arg......#..s + 2b9a0: 74617465 00000001 18022308 086e6578 tate......#..nex + 2b9b0: 74000000 0a040223 0c000300 0009c004 t......#........ + 2b9c0: 00030000 09c00400 09415f74 61736b6c .........A_taskl + 2b9d0: 65745f74 00000009 c0030000 0a120400 et_t............ + 2b9e0: 02010300 000a2a04 00020103 00000a33 ......*........3 + 2b9f0: 04000774 61736b6c 65745f61 70690014 ...tasklet_api.. + 2ba00: 00000ac8 085f7461 736b6c65 745f696e ....._tasklet_in + 2ba10: 69740000 00011102 2300085f 7461736b it......#.._task + 2ba20: 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 2ba30: 0a2c0223 04085f74 61736b6c 65745f64 .,.#.._tasklet_d + 2ba40: 69736162 6c650000 000a3502 2308085f isable....5.#.._ + 2ba50: 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 2ba60: 0000000a 3502230c 085f7461 736b6c65 ....5.#.._taskle + 2ba70: 745f7275 6e000000 01110223 10000201 t_run......#.... + 2ba80: 0300000a c8040006 00000924 01030000 ...........$.... + 2ba90: 0ad10400 02010300 000ade04 0007636c ..............cl + 2baa0: 6f636b5f 61706900 2400000b c0085f63 ock_api.$....._c + 2bab0: 6c6f636b 5f696e69 74000000 0aca0223 lock_init......# + 2bac0: 00085f63 6c6f636b 72656773 5f696e69 .._clockregs_ini + 2bad0: 74000000 01110223 04085f75 6172745f t......#.._uart_ + 2bae0: 66726571 75656e63 79000000 0ad70223 frequency......# + 2baf0: 08085f64 656c6179 5f757300 00000ae0 .._delay_us..... + 2bb00: 02230c08 5f776c61 6e5f6261 6e645f73 .#.._wlan_band_s + 2bb10: 65740000 000ae002 2310085f 72656663 et......#.._refc + 2bb20: 6c6b5f73 70656564 5f676574 0000000a lk_speed_get.... + 2bb30: d7022314 085f6d69 6c6c6973 65636f6e ..#.._millisecon + 2bb40: 64730000 000ad702 2318085f 73797363 ds......#.._sysc + 2bb50: 6c6b5f63 68616e67 65000000 01110223 lk_change......# + 2bb60: 1c085f63 6c6f636b 5f746963 6b000000 .._clock_tick... + 2bb70: 01110223 20000600 0001b501 0300000b ...# ........... + 2bb80: c0040009 415f6f6c 645f696e 74725f74 ....A_old_intr_t + 2bb90: 00000001 b5060000 0bcd0103 00000bdf ................ + 2bba0: 04000201 0300000b ec040002 01030000 ................ + 2bbb0: 0bf50400 06000001 b5010300 000bfe04 ................ + 2bbc0: 0009415f 6973725f 74000000 0c040201 ..A_isr_t....... + 2bbd0: 0300000c 18040006 00000410 01030000 ................ + 2bbe0: 0c210400 02010300 000c2e04 0007696e .!............in + 2bbf0: 74725f61 7069002c 00000d50 085f696e tr_api.,...P._in + 2bc00: 74725f69 6e697400 00000111 02230008 tr_init......#.. + 2bc10: 5f696e74 725f696e 766f6b65 5f697372 _intr_invoke_isr + 2bc20: 0000000b c6022304 085f696e 74725f64 ......#.._intr_d + 2bc30: 69736162 6c650000 000be502 2308085f isable......#.._ + 2bc40: 696e7472 5f726573 746f7265 0000000b intr_restore.... + 2bc50: ee02230c 085f696e 74725f6d 61736b5f ..#.._intr_mask_ + 2bc60: 696e756d 0000000b f7022310 085f696e inum......#.._in + 2bc70: 74725f75 6e6d6173 6b5f696e 756d0000 tr_unmask_inum.. + 2bc80: 000bf702 2314085f 696e7472 5f617474 ....#.._intr_att + 2bc90: 6163685f 69737200 00000c1a 02231808 ach_isr......#.. + 2bca0: 5f676574 5f696e74 72656e61 626c6500 _get_intrenable. + 2bcb0: 00000c27 02231c08 5f736574 5f696e74 ...'.#.._set_int + 2bcc0: 72656e61 626c6500 00000c30 02232008 renable....0.# . + 2bcd0: 5f676574 5f696e74 7270656e 64696e67 _get_intrpending + 2bce0: 0000000c 27022324 085f756e 626c6f63 ....'.#$._unbloc + 2bcf0: 6b5f616c 6c5f696e 74726c76 6c000000 k_all_intrlvl... + 2bd00: 01110223 28001104 00000d76 0874696d ...#(......v.tim + 2bd10: 656f7574 00000001 b5022300 08616374 eout......#..act + 2bd20: 696f6e00 000001b5 02230000 12080000 ion......#...... + 2bd30: 0d910863 6d640000 0001b502 23001300 ...cmd......#... + 2bd40: 000d5002 23040009 545f5744 545f434d ..P.#...T_WDT_CM + 2bd50: 44000000 0d760201 0300000d a0040014 D....v.......... + 2bd60: 0400000d f60e454e 554d5f57 44545f42 ......ENUM_WDT_B + 2bd70: 4f4f5400 010e454e 554d5f43 4f4c445f OOT...ENUM_COLD_ + 2bd80: 424f4f54 00020e45 4e554d5f 53555350 BOOT...ENUM_SUSP + 2bd90: 5f424f4f 5400030e 454e554d 5f554e4b _BOOT...ENUM_UNK + 2bda0: 4e4f574e 5f424f4f 54000400 09545f42 NOWN_BOOT....T_B + 2bdb0: 4f4f545f 54595045 0000000d a9060000 OOT_TYPE........ + 2bdc0: 0df60103 00000e07 04000777 64745f61 ...........wdt_a + 2bdd0: 7069001c 00000eab 085f7764 745f696e pi......._wdt_in + 2bde0: 69740000 00011102 2300085f 7764745f it......#.._wdt_ + 2bdf0: 656e6162 6c650000 00011102 2304085f enable......#.._ + 2be00: 7764745f 64697361 626c6500 00000111 wdt_disable..... + 2be10: 02230808 5f776474 5f736574 0000000d .#.._wdt_set.... + 2be20: a202230c 085f7764 745f7461 736b0000 ..#.._wdt_task.. + 2be30: 00011102 2310085f 7764745f 72657365 ....#.._wdt_rese + 2be40: 74000000 01110223 14085f77 64745f6c t......#.._wdt_l + 2be50: 6173745f 626f6f74 0000000e 0d022318 ast_boot......#. + 2be60: 00140400 000f120e 5245545f 53554343 ........RET_SUCC + 2be70: 45535300 000e5245 545f4e4f 545f494e ESS...RET_NOT_IN + 2be80: 49540001 0e524554 5f4e4f54 5f455849 IT...RET_NOT_EXI + 2be90: 53540002 0e524554 5f454550 5f434f52 ST...RET_EEP_COR + 2bea0: 52555054 00030e52 45545f45 45505f4f RUPT...RET_EEP_O + 2beb0: 56455246 4c4f5700 040e5245 545f554e VERFLOW...RET_UN + 2bec0: 4b4e4f57 4e000500 09545f45 45505f52 KNOWN....T_EEP_R + 2bed0: 45540000 000eab03 00000192 04000600 ET.............. + 2bee0: 000f1201 0300000f 28040006 00000f12 ........(....... + 2bef0: 01030000 0f350400 07656570 5f617069 .....5...eep_api + 2bf00: 00100000 0f9e085f 6565705f 696e6974 ......._eep_init + 2bf10: 00000001 11022300 085f6565 705f7265 ......#.._eep_re + 2bf20: 61640000 000f2e02 2304085f 6565705f ad......#.._eep_ + 2bf30: 77726974 65000000 0f2e0223 08085f65 write......#.._e + 2bf40: 65705f69 735f6578 69737400 00000f3b ep_is_exist....; + 2bf50: 02230c00 07757362 5f617069 00700000 .#...usb_api.p.. + 2bf60: 124b085f 7573625f 696e6974 00000001 .K._usb_init.... + 2bf70: 11022300 085f7573 625f726f 6d5f7461 ..#.._usb_rom_ta + 2bf80: 736b0000 00011102 2304085f 7573625f sk......#.._usb_ + 2bf90: 66775f74 61736b00 00000111 02230808 fw_task......#.. + 2bfa0: 5f757362 5f696e69 745f7068 79000000 _usb_init_phy... + 2bfb0: 01110223 0c085f75 73625f65 70305f73 ...#.._usb_ep0_s + 2bfc0: 65747570 00000001 11022310 085f7573 etup......#.._us + 2bfd0: 625f6570 305f7478 00000001 11022314 b_ep0_tx......#. + 2bfe0: 085f7573 625f6570 305f7278 00000001 ._usb_ep0_rx.... + 2bff0: 11022318 085f7573 625f6765 745f696e ..#.._usb_get_in + 2c000: 74657266 61636500 0000081d 02231c08 terface......#.. + 2c010: 5f757362 5f736574 5f696e74 65726661 _usb_set_interfa + 2c020: 63650000 00081d02 2320085f 7573625f ce......# ._usb_ + 2c030: 6765745f 636f6e66 69677572 6174696f get_configuratio + 2c040: 6e000000 081d0223 24085f75 73625f73 n......#$._usb_s + 2c050: 65745f63 6f6e6669 67757261 74696f6e et_configuration + 2c060: 00000008 1d022328 085f7573 625f7374 ......#(._usb_st + 2c070: 616e6461 72645f63 6d640000 00081d02 andard_cmd...... + 2c080: 232c085f 7573625f 76656e64 6f725f63 #,._usb_vendor_c + 2c090: 6d640000 00011102 2330085f 7573625f md......#0._usb_ + 2c0a0: 706f7765 725f6f66 66000000 01110223 power_off......# + 2c0b0: 34085f75 73625f72 65736574 5f666966 4._usb_reset_fif + 2c0c0: 6f000000 01110223 38085f75 73625f67 o......#8._usb_g + 2c0d0: 656e5f77 64740000 00011102 233c085f en_wdt......#<._ + 2c0e0: 7573625f 6a756d70 5f626f6f 74000000 usb_jump_boot... + 2c0f0: 01110223 40085f75 73625f63 6c725f66 ...#@._usb_clr_f + 2c100: 65617475 72650000 00081d02 2344085f eature......#D._ + 2c110: 7573625f 7365745f 66656174 75726500 usb_set_feature. + 2c120: 0000081d 02234808 5f757362 5f736574 .....#H._usb_set + 2c130: 5f616464 72657373 00000008 1d02234c _address......#L + 2c140: 085f7573 625f6765 745f6465 73637269 ._usb_get_descri + 2c150: 70746f72 00000008 1d022350 085f7573 ptor......#P._us + 2c160: 625f6765 745f7374 61747573 00000008 b_get_status.... + 2c170: 1d022354 085f7573 625f7365 7475705f ..#T._usb_setup_ + 2c180: 64657363 00000001 11022358 085f7573 desc......#X._us + 2c190: 625f7265 675f6f75 74000000 01110223 b_reg_out......# + 2c1a0: 5c085f75 73625f73 74617475 735f696e \._usb_status_in + 2c1b0: 00000001 11022360 085f7573 625f6570 ......#`._usb_ep + 2c1c0: 305f7478 5f646174 61000000 01110223 0_tx_data......# + 2c1d0: 64085f75 73625f65 70305f72 785f6461 d._usb_ep0_rx_da + 2c1e0: 74610000 00011102 2368085f 7573625f ta......#h._usb_ + 2c1f0: 636c6b5f 696e6974 00000001 1102236c clk_init......#l + 2c200: 00075f56 44455343 00240000 12d7086e .._VDESC.$.....n + 2c210: 6578745f 64657363 00000012 d7022300 ext_desc......#. + 2c220: 08627566 5f616464 72000000 12eb0223 .buf_addr......# + 2c230: 04086275 665f7369 7a650000 0012f202 ..buf_size...... + 2c240: 23080864 6174615f 6f666673 65740000 #..data_offset.. + 2c250: 0012f202 230a0864 6174615f 73697a65 ....#..data_size + 2c260: 00000012 f202230c 08636f6e 74726f6c ......#..control + 2c270: 00000012 f202230e 0868775f 64657363 ......#..hw_desc + 2c280: 5f627566 00000013 00022310 00030000 _buf......#..... + 2c290: 124b0400 09415f55 494e5438 00000003 .K...A_UINT8.... + 2c2a0: 25030000 12de0400 09415f55 494e5431 %........A_UINT1 + 2c2b0: 36000000 017c0f00 0012de14 0000130d 6....|.......... + 2c2c0: 10130003 0000124b 04000956 44455343 .......K...VDESC + 2c2d0: 00000012 4b030000 13140400 06000013 ....K........... + 2c2e0: 1f010300 00132604 00060000 12eb0103 ......&......... + 2c2f0: 00001333 04000201 03000013 40040007 ...3........@... + 2c300: 76646573 635f6170 69001400 0013b808 vdesc_api....... + 2c310: 5f696e69 74000000 0ae00223 00085f61 _init......#.._a + 2c320: 6c6c6f63 5f766465 73630000 00132c02 lloc_vdesc....,. + 2c330: 2304085f 6765745f 68775f64 65736300 #.._get_hw_desc. + 2c340: 00001339 02230808 5f737761 705f7664 ...9.#.._swap_vd + 2c350: 65736300 00001342 02230c08 70526573 esc....B.#..pRes + 2c360: 65727665 64000000 040d0223 1000075f erved......#..._ + 2c370: 56425546 00200000 14180864 6573635f VBUF. .....desc_ + 2c380: 6c697374 00000013 1f022300 086e6578 list......#..nex + 2c390: 745f6275 66000000 14180223 04086275 t_buf......#..bu + 2c3a0: 665f6c65 6e677468 00000012 f2022308 f_length......#. + 2c3b0: 08726573 65727665 64000000 141f0223 .reserved......# + 2c3c0: 0a086374 78000000 13000223 0c000300 ..ctx......#.... + 2c3d0: 0013b804 000f0000 12de0200 00142c10 ..............,. + 2c3e0: 01000300 0013b804 00095642 55460000 ..........VBUF.. + 2c3f0: 0013b803 00001433 04000600 00143d01 .......3......=. + 2c400: 03000014 44040006 0000143d 01030000 ....D......=.... + 2c410: 14510400 02010300 00145e04 00077662 .Q........^...vb + 2c420: 75665f61 70690014 000014dc 085f696e uf_api......._in + 2c430: 69740000 000ae002 2300085f 616c6c6f it......#.._allo + 2c440: 635f7662 75660000 00144a02 2304085f c_vbuf....J.#.._ + 2c450: 616c6c6f 635f7662 75665f77 6974685f alloc_vbuf_with_ + 2c460: 73697a65 00000014 57022308 085f6672 size....W.#.._fr + 2c470: 65655f76 62756600 00001460 02230c08 ee_vbuf....`.#.. + 2c480: 70526573 65727665 64000000 040d0223 pReserved......# + 2c490: 1000075f 5f616466 5f646576 69636500 ...__adf_device. + 2c4a0: 04000014 fe086475 6d6d7900 00000118 ......dummy..... + 2c4b0: 02230000 03000009 24040007 5f5f6164 .#......$...__ad + 2c4c0: 665f646d 615f6d61 70000c00 00154508 f_dma_map.....E. + 2c4d0: 62756600 0000143d 02230008 64735f61 buf....=.#..ds_a + 2c4e0: 64647200 000014fe 02230408 64735f6c ddr......#..ds_l + 2c4f0: 656e0000 0012f202 23080012 0c000015 en......#....... + 2c500: 7f085f5f 76615f73 746b0000 00037b02 ..__va_stk....{. + 2c510: 2300085f 5f76615f 72656700 0000037b #..__va_reg....{ + 2c520: 02230408 5f5f7661 5f6e6478 00000001 .#..__va_ndx.... + 2c530: 18022308 00095f5f 6164665f 6f735f64 ..#...__adf_os_d + 2c540: 6d615f61 6464725f 74000000 09240961 ma_addr_t....$.a + 2c550: 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t + 2c560: 00000015 7f095f5f 6164665f 6f735f64 ......__adf_os_d + 2c570: 6d615f73 697a655f 74000000 09240961 ma_size_t....$.a + 2c580: 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t + 2c590: 00000015 af075f5f 646d615f 73656773 ......__dma_segs + 2c5a0: 00080000 160b0870 61646472 00000015 .......paddr.... + 2c5b0: 98022300 086c656e 00000015 c8022304 ..#..len......#. + 2c5c0: 00095f5f 615f7569 6e743332 5f740000 ..__a_uint32_t.. + 2c5d0: 00092409 615f7569 6e743332 5f740000 ..$.a_uint32_t.. + 2c5e0: 00160b0f 000015df 08000016 3a100000 ............:... + 2c5f0: 07616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 2c600: 6e666f00 0c000016 73086e73 65677300 nfo.....s.nsegs. + 2c610: 0000161d 02230008 646d615f 73656773 .....#..dma_segs + 2c620: 00000016 2d022304 00095f5f 615f7569 ....-.#...__a_ui + 2c630: 6e74385f 74000000 12de0961 5f75696e nt8_t......a_uin + 2c640: 74385f74 00000016 73030000 16840400 t8_t....s....... + 2c650: 075f5f73 675f7365 67730008 000016c5 .__sg_segs...... + 2c660: 08766164 64720000 00169302 2300086c .vaddr......#..l + 2c670: 656e0000 00161d02 2304000f 0000169a en......#....... + 2c680: 20000016 d2100300 07616466 5f6f735f ........adf_os_ + 2c690: 73676c69 73740024 00001705 086e7365 sglist.$.....nse + 2c6a0: 67730000 00161d02 23000873 675f7365 gs......#..sg_se + 2c6b0: 67730000 0016c502 23040012 10000017 gs......#....... + 2c6c0: 4e087665 6e646f72 00000016 1d022300 N.vendor......#. + 2c6d0: 08646576 69636500 0000161d 02230408 .device......#.. + 2c6e0: 73756276 656e646f 72000000 161d0223 subvendor......# + 2c6f0: 08087375 62646576 69636500 0000161d ..subdevice..... + 2c700: 02230c00 046c6f6e 67206c6f 6e672075 .#...long long u + 2c710: 6e736967 6e656420 696e7400 07080941 nsigned int....A + 2c720: 5f55494e 54363400 0000174e 095f5f61 _UINT64....N.__a + 2c730: 5f75696e 7436345f 74000000 17680961 _uint64_t....h.a + 2c740: 5f75696e 7436345f 74000000 17761404 _uint64_t....v.. + 2c750: 000017d4 0e414446 5f4f535f 5245534f .....ADF_OS_RESO + 2c760: 55524345 5f545950 455f4d45 4d00000e URCE_TYPE_MEM... + 2c770: 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ + 2c780: 54595045 5f494f00 01000961 64665f6f TYPE_IO....adf_o + 2c790: 735f7265 736f7572 63655f74 7970655f s_resource_type_ + 2c7a0: 74000000 17981218 0000181e 08737461 t............sta + 2c7b0: 72740000 00178802 23000865 6e640000 rt......#..end.. + 2c7c0: 00178802 23080874 79706500 000017d4 ....#..type..... + 2c7d0: 02231000 09616466 5f6f735f 7063695f .#...adf_os_pci_ + 2c7e0: 6465765f 69645f74 00000017 05030000 dev_id_t........ + 2c7f0: 181e0400 11040000 185d0870 63690000 .........].pci.. + 2c800: 00183702 23000872 61770000 00040d02 ..7.#..raw...... + 2c810: 23000011 10000018 7c087063 69000000 #.......|.pci... + 2c820: 181e0223 00087261 77000000 040d0223 ...#..raw......# + 2c830: 00000961 64665f64 72765f68 616e646c ...adf_drv_handl + 2c840: 655f7400 0000040d 09616466 5f6f735f e_t......adf_os_ + 2c850: 7265736f 75726365 5f740000 0017f003 resource_t...... + 2c860: 00001892 04000961 64665f6f 735f6174 .......adf_os_at + 2c870: 74616368 5f646174 615f7400 0000185d tach_data_t....] + 2c880: 03000018 b0040003 000014dc 0400095f ..............._ + 2c890: 5f616466 5f6f735f 64657669 63655f74 _adf_os_device_t + 2c8a0: 00000018 d1096164 665f6f73 5f646576 ......adf_os_dev + 2c8b0: 6963655f 74000000 18d80600 00187c01 ice_t.........|. + 2c8c0: 03000019 04040002 01030000 19110400 ................ + 2c8d0: 09616466 5f6f735f 706d5f74 00000004 .adf_os_pm_t.... + 2c8e0: 0d020103 0000192b 04001404 0000196b .......+.......k + 2c8f0: 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 2c900: 5f504349 00010e41 44465f4f 535f4255 _PCI...ADF_OS_BU + 2c910: 535f5459 50455f47 454e4552 49430002 S_TYPE_GENERIC.. + 2c920: 00096164 665f6f73 5f627573 5f747970 ..adf_os_bus_typ + 2c930: 655f7400 00001934 09616466 5f6f735f e_t....4.adf_os_ + 2c940: 6275735f 7265675f 64617461 5f740000 bus_reg_data_t.. + 2c950: 00183e03 00000325 0400075f 6164665f ..>....%..._adf_ + 2c960: 6472765f 696e666f 00200000 1a480864 drv_info. ...H.d + 2c970: 72765f61 74746163 68000000 190a0223 rv_attach......# + 2c980: 00086472 765f6465 74616368 00000019 ..drv_detach.... + 2c990: 13022304 08647276 5f737573 70656e64 ..#..drv_suspend + 2c9a0: 00000019 2d022308 08647276 5f726573 ....-.#..drv_res + 2c9b0: 756d6500 00001913 02230c08 6275735f ume......#..bus_ + 2c9c0: 74797065 00000019 6b022310 08627573 type....k.#..bus + 2c9d0: 5f646174 61000000 19820223 14086d6f _data......#..mo + 2c9e0: 645f6e61 6d650000 00199d02 23180869 d_name......#..i + 2c9f0: 666e616d 65000000 199d0223 1c000961 fname......#...a + 2ca00: 64665f6f 735f6861 6e646c65 5f740000 df_os_handle_t.. + 2ca10: 00040d03 00001673 04000201 0201095f .......s......._ + 2ca20: 5f616466 5f6f735f 73697a65 5f740000 _adf_os_size_t.. + 2ca30: 00041014 0400001a 970e415f 46414c53 ..........A_FALS + 2ca40: 4500000e 415f5452 55450001 0009615f E...A_TRUE....a_ + 2ca50: 626f6f6c 5f740000 001a7d03 00001505 bool_t....}..... + 2ca60: 0400095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 2ca70: 6d61705f 74000000 1aa50201 0d616466 map_t........adf + 2ca80: 5f6f735f 63616368 655f7379 6e630004 _os_cache_sync.. + 2ca90: 00001b2f 0e414446 5f53594e 435f5052 .../.ADF_SYNC_PR + 2caa0: 45524541 4400000e 4144465f 53594e43 EREAD...ADF_SYNC + 2cab0: 5f505245 57524954 4500020e 4144465f _PREWRITE...ADF_ + 2cac0: 53594e43 5f504f53 54524541 4400010e SYNC_POSTREAD... + 2cad0: 4144465f 53594e43 5f504f53 54575249 ADF_SYNC_POSTWRI + 2cae0: 54450003 00096164 665f6f73 5f636163 TE....adf_os_cac + 2caf0: 68655f73 796e635f 74000000 1ac60201 he_sync_t....... + 2cb00: 09616466 5f6f735f 73697a65 5f740000 .adf_os_size_t.. + 2cb10: 001a6806 00001b4a 01096164 665f6f73 ..h....J..adf_os + 2cb20: 5f646d61 5f6d6170 5f740000 001aac03 _dma_map_t...... + 2cb30: 00001b63 04000600 00040d01 0300001a ...c............ + 2cb40: ac040006 0000040d 01020106 00001598 ................ + 2cb50: 01020104 73686f72 7420696e 74000502 ....short int... + 2cb60: 09415f49 4e543136 0000001b 9d095f5f .A_INT16......__ + 2cb70: 615f696e 7431365f 74000000 1baa0961 a_int16_t......a + 2cb80: 5f696e74 31365f74 0000001b b7047369 _int16_t......si + 2cb90: 676e6564 20636861 72000501 09415f49 gned char....A_I + 2cba0: 4e543800 00001bd7 095f5f61 5f696e74 NT8......__a_int + 2cbb0: 385f7400 00001be6 09615f69 6e74385f 8_t......a_int8_ + 2cbc0: 74000000 1bf2120c 00001c69 08737570 t..........i.sup + 2cbd0: 706f7274 65640000 00161d02 23000861 ported......#..a + 2cbe0: 64766572 74697a65 64000000 161d0223 dvertized......# + 2cbf0: 04087370 65656400 00001bc8 02230808 ..speed......#.. + 2cc00: 6475706c 65780000 001c0202 230a0861 duplex......#..a + 2cc10: 75746f6e 65670000 00168402 230b000f utoneg......#... + 2cc20: 00001684 0600001c 76100500 07616466 ........v....adf + 2cc30: 5f6e6574 5f657468 61646472 00060000 _net_ethaddr.... + 2cc40: 1c9a0861 64647200 00001c69 02230000 ...addr....i.#.. + 2cc50: 095f5f61 5f75696e 7431365f 74000000 .__a_uint16_t... + 2cc60: 12f20961 5f75696e 7431365f 74000000 ...a_uint16_t... + 2cc70: 1c9a120e 00001cfe 08657468 65725f64 .........ether_d + 2cc80: 686f7374 0000001c 69022300 08657468 host....i.#..eth + 2cc90: 65725f73 686f7374 0000001c 69022306 er_shost....i.#. + 2cca0: 08657468 65725f74 79706500 00001cac .ether_type..... + 2ccb0: 02230c00 12140000 1dbf1569 705f7665 .#.........ip_ve + 2ccc0: 7273696f 6e000000 16840100 04022300 rsion.........#. + 2ccd0: 1569705f 686c0000 00168401 04040223 .ip_hl.........# + 2cce0: 00086970 5f746f73 00000016 84022301 ..ip_tos......#. + 2ccf0: 0869705f 6c656e00 00001cac 02230208 .ip_len......#.. + 2cd00: 69705f69 64000000 1cac0223 04086970 ip_id......#..ip + 2cd10: 5f667261 675f6f66 66000000 1cac0223 _frag_off......# + 2cd20: 06086970 5f74746c 00000016 84022308 ..ip_ttl......#. + 2cd30: 0869705f 70726f74 6f000000 16840223 .ip_proto......# + 2cd40: 09086970 5f636865 636b0000 001cac02 ..ip_check...... + 2cd50: 230a0869 705f7361 64647200 0000161d #..ip_saddr..... + 2cd60: 02230c08 69705f64 61646472 00000016 .#..ip_daddr.... + 2cd70: 1d022310 00076164 665f6e65 745f766c ..#...adf_net_vl + 2cd80: 616e6864 72000400 001e1108 74706964 anhdr.......tpid + 2cd90: 0000001c ac022300 15707269 6f000000 ......#..prio... + 2cda0: 16840100 03022302 15636669 00000016 ......#..cfi.... + 2cdb0: 84010301 02230215 76696400 00001cac .....#..vid..... + 2cdc0: 02040c02 23020007 6164665f 6e65745f ....#...adf_net_ + 2cdd0: 76696400 0200001e 42157265 73000000 vid.....B.res... + 2cde0: 16840100 04022300 1576616c 0000001c ......#..val.... + 2cdf0: ac02040c 02230000 120c0000 1e7e0872 .....#.......~.r + 2ce00: 785f6275 6673697a 65000000 161d0223 x_bufsize......# + 2ce10: 00087278 5f6e6465 73630000 00161d02 ..rx_ndesc...... + 2ce20: 23040874 785f6e64 65736300 0000161d #..tx_ndesc..... + 2ce30: 02230800 12080000 1ea40870 6f6c6c65 .#.........polle + 2ce40: 64000000 1a970223 0008706f 6c6c5f77 d......#..poll_w + 2ce50: 74000000 161d0223 04000f00 00168440 t......#.......@ + 2ce60: 00001eb1 103f0012 4600001e d9086966 .....?..F.....if + 2ce70: 5f6e616d 65000000 1ea40223 00086465 _name......#..de + 2ce80: 765f6164 64720000 001c6902 23400014 v_addr....i.#@.. + 2ce90: 0400001f 100e4144 465f4f53 5f444d41 ......ADF_OS_DMA + 2cea0: 5f4d4153 4b5f3332 42495400 000e4144 _MASK_32BIT...AD + 2ceb0: 465f4f53 5f444d41 5f4d4153 4b5f3634 F_OS_DMA_MASK_64 + 2cec0: 42495400 01000961 64665f6f 735f646d BIT....adf_os_dm + 2ced0: 615f6d61 736b5f74 0000001e d9076164 a_mask_t......ad + 2cee0: 665f646d 615f696e 666f0008 00001f5d f_dma_info.....] + 2cef0: 08646d61 5f6d6173 6b000000 1f100223 .dma_mask......# + 2cf00: 00087367 5f6e7365 67730000 00161d02 ..sg_nsegs...... + 2cf10: 23040014 0400001f b30e4144 465f4e45 #.........ADF_NE + 2cf20: 545f434b 53554d5f 4e4f4e45 00000e41 T_CKSUM_NONE...A + 2cf30: 44465f4e 45545f43 4b53554d 5f544350 DF_NET_CKSUM_TCP + 2cf40: 5f554450 5f495076 3400010e 4144465f _UDP_IPv4...ADF_ + 2cf50: 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 2cf60: 505f4950 76360002 00096164 665f6e65 P_IPv6....adf_ne + 2cf70: 745f636b 73756d5f 74797065 5f740000 t_cksum_type_t.. + 2cf80: 001f5d12 0800001f f6087478 5f636b73 ..].......tx_cks + 2cf90: 756d0000 001fb302 23000872 785f636b um......#..rx_ck + 2cfa0: 73756d00 00001fb3 02230400 09616466 sum......#...adf + 2cfb0: 5f6e6574 5f636b73 756d5f69 6e666f5f _net_cksum_info_ + 2cfc0: 74000000 1fcd1404 0000204f 0e414446 t......... O.ADF + 2cfd0: 5f4e4554 5f54534f 5f4e4f4e 4500000e _NET_TSO_NONE... + 2cfe0: 4144465f 4e45545f 54534f5f 49505634 ADF_NET_TSO_IPV4 + 2cff0: 00010e41 44465f4e 45545f54 534f5f41 ...ADF_NET_TSO_A + 2d000: 4c4c0002 00096164 665f6e65 745f7473 LL....adf_net_ts + 2d010: 6f5f7479 70655f74 00000020 10121000 o_type_t... .... + 2d020: 0020a308 636b7375 6d5f6361 70000000 . ..cksum_cap... + 2d030: 1ff60223 00087473 6f000000 204f0223 ...#..tso... O.# + 2d040: 0808766c 616e5f73 7570706f 72746564 ..vlan_supported + 2d050: 00000016 8402230c 00122000 00213c08 ......#... ..!<. + 2d060: 74785f70 61636b65 74730000 00161d02 tx_packets...... + 2d070: 23000872 785f7061 636b6574 73000000 #..rx_packets... + 2d080: 161d0223 04087478 5f627974 65730000 ...#..tx_bytes.. + 2d090: 00161d02 23080872 785f6279 74657300 ....#..rx_bytes. + 2d0a0: 0000161d 02230c08 74785f64 726f7070 .....#..tx_dropp + 2d0b0: 65640000 00161d02 23100872 785f6472 ed......#..rx_dr + 2d0c0: 6f707065 64000000 161d0223 14087278 opped......#..rx + 2d0d0: 5f657272 6f727300 0000161d 02231808 _errors......#.. + 2d0e0: 74785f65 72726f72 73000000 161d0223 tx_errors......# + 2d0f0: 1c000961 64665f6e 65745f65 74686164 ...adf_net_ethad + 2d100: 64725f74 0000001c 76160000 213c0300 dr_t....v...!<.. + 2d110: 00002161 107f0017 6164665f 6e65745f ..!a....adf_net_ + 2d120: 636d645f 6d636164 64720003 04000021 cmd_mcaddr.....! + 2d130: 98086e65 6c656d00 0000161d 02230008 ..nelem......#.. + 2d140: 6d636173 74000000 21530223 04000961 mcast...!S.#...a + 2d150: 64665f6e 65745f63 6d645f6c 696e6b5f df_net_cmd_link_ + 2d160: 696e666f 5f740000 001c1009 6164665f info_t......adf_ + 2d170: 6e65745f 636d645f 706f6c6c 5f696e66 net_cmd_poll_inf + 2d180: 6f5f7400 00001e7e 09616466 5f6e6574 o_t....~.adf_net + 2d190: 5f636d64 5f636b73 756d5f69 6e666f5f _cmd_cksum_info_ + 2d1a0: 74000000 1ff60961 64665f6e 65745f63 t......adf_net_c + 2d1b0: 6d645f72 696e675f 696e666f 5f740000 md_ring_info_t.. + 2d1c0: 001e4209 6164665f 6e65745f 636d645f ..B.adf_net_cmd_ + 2d1d0: 646d615f 696e666f 5f740000 001f2709 dma_info_t....'. + 2d1e0: 6164665f 6e65745f 636d645f 7669645f adf_net_cmd_vid_ + 2d1f0: 74000000 1cac0961 64665f6e 65745f63 t......adf_net_c + 2d200: 6d645f6f 66666c6f 61645f63 61705f74 md_offload_cap_t + 2d210: 00000020 67096164 665f6e65 745f636d ... g.adf_net_cm + 2d220: 645f7374 6174735f 74000000 20a30961 d_stats_t... ..a + 2d230: 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd + 2d240: 725f7400 00002161 0d616466 5f6e6574 r_t...!a.adf_net + 2d250: 5f636d64 5f6d6361 73745f63 61700004 _cmd_mcast_cap.. + 2d260: 000022da 0e414446 5f4e4554 5f4d4341 .."..ADF_NET_MCA + 2d270: 53545f53 55500000 0e414446 5f4e4554 ST_SUP...ADF_NET + 2d280: 5f4d4341 53545f4e 4f545355 50000100 _MCAST_NOTSUP... + 2d290: 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 2d2a0: 73745f63 61705f74 00000022 92180304 st_cap_t...".... + 2d2b0: 000023ac 086c696e 6b5f696e 666f0000 ..#..link_info.. + 2d2c0: 00219802 23000870 6f6c6c5f 696e666f .!..#..poll_info + 2d2d0: 00000021 b5022300 08636b73 756d5f69 ...!..#..cksum_i + 2d2e0: 6e666f00 000021d2 02230008 72696e67 nfo...!..#..ring + 2d2f0: 5f696e66 6f000000 21f00223 0008646d _info...!..#..dm + 2d300: 615f696e 666f0000 00220d02 23000876 a_info..."..#..v + 2d310: 69640000 00222902 2300086f 66666c6f id...").#..offlo + 2d320: 61645f63 61700000 00224002 23000873 ad_cap..."@.#..s + 2d330: 74617473 00000022 5f022300 086d6361 tats..."_.#..mca + 2d340: 73745f69 6e666f00 00002278 02230008 st_info..."x.#.. + 2d350: 6d636173 745f6361 70000000 22da0223 mcast_cap..."..# + 2d360: 00001404 00002403 0e414446 5f4e4255 ......$..ADF_NBU + 2d370: 465f5258 5f434b53 554d5f4e 4f4e4500 F_RX_CKSUM_NONE. + 2d380: 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 2d390: 53554d5f 48570001 0e414446 5f4e4255 SUM_HW...ADF_NBU + 2d3a0: 465f5258 5f434b53 554d5f55 4e4e4543 F_RX_CKSUM_UNNEC + 2d3b0: 45535341 52590002 00096164 665f6e62 ESSARY....adf_nb + 2d3c0: 75665f72 785f636b 73756d5f 74797065 uf_rx_cksum_type + 2d3d0: 5f740000 0023ac12 08000024 43087265 _t...#.....$C.re + 2d3e0: 73756c74 00000024 03022300 0876616c sult...$..#..val + 2d3f0: 00000016 1d022304 00120800 00247308 ......#......$s. + 2d400: 74797065 00000020 4f022300 086d7373 type... O.#..mss + 2d410: 0000001c ac022304 08686472 5f6f6666 ......#..hdr_off + 2d420: 00000016 84022306 00075f5f 6164665f ......#...__adf_ + 2d430: 6e627566 5f716865 6164000c 000024b2 nbuf_qhead....$. + 2d440: 08686561 64000000 143d0223 00087461 .head....=.#..ta + 2d450: 696c0000 00143d02 23040871 6c656e00 il....=.#..qlen. + 2d460: 0000161d 02230800 095f5f61 64665f6e .....#...__adf_n + 2d470: 6275665f 74000000 143d0300 00169304 buf_t....=...... + 2d480: 00030000 161d0400 02010600 00131f01 ................ + 2d490: 06000016 1d010600 00169301 06000016 ................ + 2d4a0: 93010300 00130004 00095f5f 6164665f ..........__adf_ + 2d4b0: 6e627566 5f716865 61645f74 00000024 nbuf_qhead_t...$ + 2d4c0: 73095f5f 6164665f 6e627566 5f717565 s.__adf_nbuf_que + 2d4d0: 75655f74 00000024 f3030000 250b0400 ue_t...$....%... + 2d4e0: 06000024 b2010600 0024b201 14040000 ...$.....$...... + 2d4f0: 262b0e41 5f535441 5455535f 4f4b0000 &+.A_STATUS_OK.. + 2d500: 0e415f53 54415455 535f4641 494c4544 .A_STATUS_FAILED + 2d510: 00010e41 5f535441 5455535f 454e4f45 ...A_STATUS_ENOE + 2d520: 4e540002 0e415f53 54415455 535f454e NT...A_STATUS_EN + 2d530: 4f4d454d 00030e41 5f535441 5455535f OMEM...A_STATUS_ + 2d540: 45494e56 414c0004 0e415f53 54415455 EINVAL...A_STATU + 2d550: 535f4549 4e50524f 47524553 5300050e S_EINPROGRESS... + 2d560: 415f5354 41545553 5f454e4f 54535550 A_STATUS_ENOTSUP + 2d570: 5000060e 415f5354 41545553 5f454255 P...A_STATUS_EBU + 2d580: 53590007 0e415f53 54415455 535f4532 SY...A_STATUS_E2 + 2d590: 42494700 080e415f 53544154 55535f45 BIG...A_STATUS_E + 2d5a0: 41444452 4e4f5441 5641494c 00090e41 ADDRNOTAVAIL...A + 2d5b0: 5f535441 5455535f 454e5849 4f000a0e _STATUS_ENXIO... + 2d5c0: 415f5354 41545553 5f454641 554c5400 A_STATUS_EFAULT. + 2d5d0: 0b0e415f 53544154 55535f45 494f000c ..A_STATUS_EIO.. + 2d5e0: 0009615f 73746174 75735f74 00000025 ..a_status_t...% + 2d5f0: 36060000 262b0106 00000118 01020109 6...&+.......... + 2d600: 6164665f 6e627566 5f740000 0024b214 adf_nbuf_t...$.. + 2d610: 04000026 900e4144 465f4f53 5f444d41 ...&..ADF_OS_DMA + 2d620: 5f544f5f 44455649 43450000 0e414446 _TO_DEVICE...ADF + 2d630: 5f4f535f 444d415f 46524f4d 5f444556 _OS_DMA_FROM_DEV + 2d640: 49434500 01000961 64665f6f 735f646d ICE....adf_os_dm + 2d650: 615f6469 725f7400 00002659 06000026 a_dir_t...&Y...& + 2d660: 2b010201 09616466 5f6f735f 646d616d +....adf_os_dmam + 2d670: 61705f69 6e666f5f 74000000 163a0300 ap_info_t....:.. + 2d680: 0026ae04 00020102 01060000 26490106 .&..........&I.. + 2d690: 000024b2 01020102 01060000 26490106 ..$.........&I.. + 2d6a0: 000024b2 01060000 26490106 000024b2 ..$.....&I....$. + 2d6b0: 01060000 26490102 01020106 0000161d ....&I.......... + 2d6c0: 01060000 16930102 01020106 00001b4a ...............J + 2d6d0: 01060000 1a970106 00001a97 01096164 ..............ad + 2d6e0: 665f6f73 5f73676c 6973745f 74000000 f_os_sglist_t... + 2d6f0: 16d20300 00272704 00020102 01020106 .....''......... + 2d700: 00001693 01096164 665f6e62 75665f71 ......adf_nbuf_q + 2d710: 75657565 5f740000 00250b03 0000274f ueue_t...%....'O + 2d720: 04000201 03000024 f3040002 01020102 .......$........ + 2d730: 01060000 26490106 000024b2 01060000 ....&I....$..... + 2d740: 161d0106 0000161d 01060000 1a970106 ................ + 2d750: 00001a97 01060000 1fb30106 0000161d ................ + 2d760: 01096164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck + 2d770: 73756d5f 74000000 24210300 0027ab04 sum_t...$!...'.. + 2d780: 00020102 01096164 665f6e62 75665f74 ......adf_nbuf_t + 2d790: 736f5f74 00000024 43030000 27cf0400 so_t...$C...'... + 2d7a0: 02010201 09616466 5f6e6574 5f68616e .....adf_net_han + 2d7b0: 646c655f 74000000 040d0961 64665f6e dle_t......adf_n + 2d7c0: 65745f76 6c616e68 64725f74 0000001d et_vlanhdr_t.... + 2d7d0: bf030000 28040400 06000026 2b010600 ....(......&+... + 2d7e0: 00262b01 02010201 075f4849 465f434f .&+......_HIF_CO + 2d7f0: 4e464947 00040000 28530864 756d6d79 NFIG....(S.dummy + 2d800: 00000001 18022300 00020103 00002853 ......#.......(S + 2d810: 04000201 03000028 5c040007 5f484946 .......(\..._HIF + 2d820: 5f43414c 4c424143 4b000c00 0028b108 _CALLBACK....(.. + 2d830: 73656e64 5f627566 5f646f6e 65000000 send_buf_done... + 2d840: 28550223 00087265 63765f62 75660000 (U.#..recv_buf.. + 2d850: 00285e02 23040863 6f6e7465 78740000 .(^.#..context.. + 2d860: 00040d02 23080009 6869665f 68616e64 ....#...hif_hand + 2d870: 6c655f74 00000004 0d094849 465f434f le_t......HIF_CO + 2d880: 4e464947 00000028 32030000 28c30400 NFIG...(2...(... + 2d890: 06000028 b1010300 0028da04 00020103 ...(.....(...... + 2d8a0: 000028e7 04000948 49465f43 414c4c42 ..(....HIF_CALLB + 2d8b0: 41434b00 00002865 03000028 f0040002 ACK...(e...(.... + 2d8c0: 01030000 29090400 06000001 18010300 ....)........... + 2d8d0: 00291204 00020103 0000291f 04000600 .)........)..... + 2d8e0: 00011801 03000029 28040002 01030000 .......)(....... + 2d8f0: 29350400 06000001 18010300 00293e04 )5...........)>. + 2d900: 00020103 0000294b 04000768 69665f61 ......)K...hif_a + 2d910: 70690038 00002aa4 085f696e 69740000 pi.8..*.._init.. + 2d920: 0028e002 2300085f 73687574 646f776e .(..#.._shutdown + 2d930: 00000028 e9022304 085f7265 67697374 ...(..#.._regist + 2d940: 65725f63 616c6c62 61636b00 0000290b er_callback...). + 2d950: 02230808 5f676574 5f746f74 616c5f63 .#.._get_total_c + 2d960: 72656469 745f636f 756e7400 00002918 redit_count...). + 2d970: 02230c08 5f737461 72740000 0028e902 .#.._start...(.. + 2d980: 2310085f 636f6e66 69675f70 69706500 #.._config_pipe. + 2d990: 00002921 02231408 5f73656e 645f6275 ..)!.#.._send_bu + 2d9a0: 66666572 00000029 2e022318 085f7265 ffer...)..#.._re + 2d9b0: 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 2d9c0: 29370223 1c085f69 735f7069 70655f73 )7.#.._is_pipe_s + 2d9d0: 7570706f 72746564 00000029 44022320 upported...)D.# + 2d9e0: 085f6765 745f6d61 785f6d73 675f6c65 ._get_max_msg_le + 2d9f0: 6e000000 29440223 24085f67 65745f72 n...)D.#$._get_r + 2da00: 65736572 7665645f 68656164 726f6f6d eserved_headroom + 2da10: 00000029 18022328 085f6973 725f6861 ...)..#(._isr_ha + 2da20: 6e646c65 72000000 28e90223 2c085f67 ndler...(..#,._g + 2da30: 65745f64 65666175 6c745f70 69706500 et_default_pipe. + 2da40: 0000294d 02233008 70526573 65727665 ..)M.#0.pReserve + 2da50: 64000000 040d0223 34000d64 6d615f65 d......#4..dma_e + 2da60: 6e67696e 65000400 002b2d0e 444d415f ngine....+-.DMA_ + 2da70: 454e4749 4e455f52 58300000 0e444d41 ENGINE_RX0...DMA + 2da80: 5f454e47 494e455f 52583100 010e444d _ENGINE_RX1...DM + 2da90: 415f454e 47494e45 5f525832 00020e44 A_ENGINE_RX2...D + 2daa0: 4d415f45 4e47494e 455f5258 3300030e MA_ENGINE_RX3... + 2dab0: 444d415f 454e4749 4e455f54 58300004 DMA_ENGINE_TX0.. + 2dac0: 0e444d41 5f454e47 494e455f 54583100 .DMA_ENGINE_TX1. + 2dad0: 050e444d 415f454e 47494e45 5f4d4158 ..DMA_ENGINE_MAX + 2dae0: 00060009 646d615f 656e6769 6e655f74 ....dma_engine_t + 2daf0: 0000002a a40d646d 615f6966 74797065 ...*..dma_iftype + 2db00: 00040000 2b7a0e44 4d415f49 465f474d ....+z.DMA_IF_GM + 2db10: 41430000 0e444d41 5f49465f 50434900 AC...DMA_IF_PCI. + 2db20: 010e444d 415f4946 5f504349 45000200 ..DMA_IF_PCIE... + 2db30: 09646d61 5f696674 7970655f 74000000 .dma_iftype_t... + 2db40: 2b3f0600 0012f201 0300002b 8c040002 +?.........+.... + 2db50: 01030000 2b990400 02010300 002ba204 ....+........+.. + 2db60: 00060000 09240103 00002bab 04000600 .....$....+..... + 2db70: 0012f201 0300002b b8040006 000012f2 .......+........ + 2db80: 01030000 2bc50400 06000014 3d010300 ....+.......=... + 2db90: 002bd204 00020103 00002bdf 04000764 .+........+....d + 2dba0: 6d615f6c 69625f61 70690034 00002ce6 ma_lib_api.4..,. + 2dbb0: 0874785f 696e6974 0000002b 92022300 .tx_init...+..#. + 2dbc0: 0874785f 73746172 74000000 2b9b0223 .tx_start...+..# + 2dbd0: 04087278 5f696e69 74000000 2b920223 ..rx_init...+..# + 2dbe0: 08087278 5f636f6e 66696700 00002ba4 ..rx_config...+. + 2dbf0: 02230c08 72785f73 74617274 0000002b .#..rx_start...+ + 2dc00: 9b022310 08696e74 725f7374 61747573 ..#..intr_status + 2dc10: 0000002b b1022314 08686172 645f786d ...+..#..hard_xm + 2dc20: 69740000 002bbe02 23180866 6c757368 it...+..#..flush + 2dc30: 5f786d69 74000000 2b9b0223 1c08786d _xmit...+..#..xm + 2dc40: 69745f64 6f6e6500 00002bcb 02232008 it_done...+..# . + 2dc50: 72656170 5f786d69 74746564 0000002b reap_xmitted...+ + 2dc60: d8022324 08726561 705f7265 63760000 ..#$.reap_recv.. + 2dc70: 002bd802 23280872 65747572 6e5f7265 .+..#(.return_re + 2dc80: 63760000 002be102 232c0872 6563765f cv...+..#,.recv_ + 2dc90: 706b7400 00002bcb 02233000 075f5f70 pkt...+..#0..__p + 2dca0: 63695f73 6f667463 000c0000 2d040873 ci_softc....-..s + 2dcb0: 77000000 28f00223 0000095f 5f706369 w...(..#...__pci + 2dcc0: 5f736f66 74635f74 0000002c e6030000 _softc_t...,.... + 2dcd0: 2d040400 02010300 002d1e04 00060000 -........-...... + 2dce0: 12de0103 00002d27 04000d68 69665f70 ......-'...hif_p + 2dcf0: 63695f70 6970655f 74780004 00002d87 ci_pipe_tx....-. + 2dd00: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 2dd10: 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 2dd20: 5f545831 00010e48 49465f50 43495f50 _TX1...HIF_PCI_P + 2dd30: 4950455f 54585f4d 41580002 00096869 IPE_TX_MAX....hi + 2dd40: 665f7063 695f7069 70655f74 785f7400 f_pci_pipe_tx_t. + 2dd50: 00002d34 0600002b 2d010300 002d9e04 ..-4...+-....-.. + 2dd60: 000d6869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r + 2dd70: 78000400 002e240e 4849465f 5043495f x.....$.HIF_PCI_ + 2dd80: 50495045 5f525830 00000e48 49465f50 PIPE_RX0...HIF_P + 2dd90: 43495f50 4950455f 52583100 010e4849 CI_PIPE_RX1...HI + 2dda0: 465f5043 495f5049 50455f52 58320002 F_PCI_PIPE_RX2.. + 2ddb0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 2ddc0: 3300030e 4849465f 5043495f 50495045 3...HIF_PCI_PIPE + 2ddd0: 5f52585f 4d415800 04000968 69665f70 _RX_MAX....hif_p + 2dde0: 63695f70 6970655f 72785f74 0000002d ci_pipe_rx_t...- + 2ddf0: ab060000 2b2d0103 00002e3b 04000768 ....+-.....;...h + 2de00: 69665f70 63695f61 70690024 00002f19 if_pci_api.$../. + 2de10: 08706369 5f626f6f 745f696e 69740000 .pci_boot_init.. + 2de20: 00011102 23000870 63695f69 6e697400 ....#..pci_init. + 2de30: 000028e0 02230408 7063695f 72657365 ..(..#..pci_rese + 2de40: 74000000 01110223 08087063 695f656e t......#..pci_en + 2de50: 61626c65 00000001 1102230c 08706369 able......#..pci + 2de60: 5f726561 705f786d 69747465 64000000 _reap_xmitted... + 2de70: 2d200223 10087063 695f7265 61705f72 - .#..pci_reap_r + 2de80: 65637600 00002d20 02231408 7063695f ecv...- .#..pci_ + 2de90: 6765745f 70697065 0000002d 2d022318 get_pipe...--.#. + 2dea0: 08706369 5f676574 5f74785f 656e6700 .pci_get_tx_eng. + 2deb0: 00002da4 02231c08 7063695f 6765745f ..-..#..pci_get_ + 2dec0: 72785f65 6e670000 002e4102 23200007 rx_eng....A.# .. + 2ded0: 676d6163 5f617069 00040000 2f400867 gmac_api..../@.g + 2dee0: 6d61635f 626f6f74 5f696e69 74000000 mac_boot_init... + 2def0: 01110223 00000f00 00032506 00002f4d ...#......%.../M + 2df00: 10050007 5f5f6574 68686472 000e0000 ....__ethhdr.... + 2df10: 2f830864 73740000 002f4002 23000873 /..dst.../@.#..s + 2df20: 72630000 002f4002 23060865 74797065 rc.../@.#..etype + 2df30: 00000012 f202230c 00075f5f 61746868 ......#...__athh + 2df40: 64720004 00002fd1 15726573 00000012 dr..../..res.... + 2df50: de010002 02230015 70726f74 6f000000 .....#..proto... + 2df60: 12de0102 06022300 08726573 5f6c6f00 ......#..res_lo. + 2df70: 000012de 02230108 7265735f 68690000 .....#..res_hi.. + 2df80: 0012f202 23020007 5f5f676d 61635f68 ....#...__gmac_h + 2df90: 64720014 0000300d 08657468 0000002f dr....0..eth.../ + 2dfa0: 4d022300 08617468 0000002f 8302230e M.#..ath.../..#. + 2dfb0: 08616c69 676e5f70 61640000 0012f202 .align_pad...... + 2dfc0: 23120009 5f5f676d 61635f68 64725f74 #...__gmac_hdr_t + 2dfd0: 0000002f d1075f5f 676d6163 5f736f66 .../..__gmac_sof + 2dfe0: 74630024 00003057 08686472 00000030 tc.$..0W.hdr...0 + 2dff0: 0d022300 08677261 6e000000 12f20223 ..#..gran......# + 2e000: 14087377 00000028 f0022318 00075f41 ..sw...(..#..._A + 2e010: 5f6f735f 6c696e6b 6167655f 63686563 _os_linkage_chec + 2e020: 6b000800 00309008 76657273 696f6e00 k....0..version. + 2e030: 00000118 02230008 7461626c 65000000 .....#..table... + 2e040: 01180223 04000300 00305704 00060000 ...#.....0W..... + 2e050: 01180103 00003097 04000300 00041004 ......0......... + 2e060: 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 2e070: 65637469 6f6e5f74 61626c65 0001b800 ection_table.... + 2e080: 0031e708 68616c5f 6c696e6b 6167655f .1..hal_linkage_ + 2e090: 63686563 6b000000 309d0223 00087374 check...0..#..st + 2e0a0: 6172745f 62737300 000030a4 02230408 art_bss...0..#.. + 2e0b0: 6170705f 73746172 74000000 01110223 app_start......# + 2e0c0: 08086d65 6d000000 04500223 0c086d69 ..mem....P.#..mi + 2e0d0: 73630000 00056f02 23200870 72696e74 sc....o.# .print + 2e0e0: 66000000 01450223 44087561 72740000 f....E.#D.uart.. + 2e0f0: 00020e02 234c0867 6d616300 00002f19 ....#L.gmac.../. + 2e100: 02236c08 75736200 00000f9e 02237008 .#l.usb......#p. + 2e110: 636c6f63 6b000000 0ae70323 e0010874 clock......#...t + 2e120: 696d6572 00000007 87032384 0208696e imer......#...in + 2e130: 74720000 000c3703 23980208 616c6c6f tr....7.#...allo + 2e140: 6372616d 00000009 3f0323c4 0208726f cram....?.#...ro + 2e150: 6d700000 00083103 23d00208 7764745f mp....1.#...wdt_ + 2e160: 74696d65 72000000 0e140323 e0020865 timer......#...e + 2e170: 65700000 000f4203 23fc0208 73747269 ep....B.#...stri + 2e180: 6e670000 00069303 238c0308 7461736b ng......#...task + 2e190: 6c657400 00000a3c 0323a403 00075f55 let....<.#...._U + 2e1a0: 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. + 2e1b0: 0000325a 08676574 5f636f6d 6d616e64 ..2Z.get_command + 2e1c0: 5f627566 00000014 4a022300 08726563 _buf....J.#..rec + 2e1d0: 765f636f 6d6d616e 64000000 14600223 v_command....`.# + 2e1e0: 04086765 745f6576 656e745f 62756600 ..get_event_buf. + 2e1f0: 0000144a 02230808 73656e64 5f657665 ...J.#..send_eve + 2e200: 6e745f64 6f6e6500 00001460 02230c00 nt_done....`.#.. + 2e210: 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG + 2e220: 00000031 e7030000 325a0400 02010300 ...1....2Z...... + 2e230: 00327604 00077573 62666966 6f5f6170 .2v...usbfifo_ap + 2e240: 69000c00 0032cc08 5f696e69 74000000 i....2.._init... + 2e250: 32780223 00085f65 6e61626c 655f6576 2x.#.._enable_ev + 2e260: 656e745f 69737200 00000111 02230408 ent_isr......#.. + 2e270: 70526573 65727665 64000000 040d0223 pReserved......# + 2e280: 08000f00 00168402 000032d9 10010007 ..........2..... + 2e290: 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. + 2e2a0: 0000334b 08456e64 706f696e 74494400 ..3K.EndpointID. + 2e2b0: 00001684 02230008 466c6167 73000000 .....#..Flags... + 2e2c0: 16840223 01085061 796c6f61 644c656e ...#..PayloadLen + 2e2d0: 0000001c ac022302 08436f6e 74726f6c ......#..Control + 2e2e0: 42797465 73000000 32cc0223 0408486f Bytes...2..#..Ho + 2e2f0: 73745365 714e756d 0000001c ac022306 stSeqNum......#. + 2e300: 00120200 00336408 4d657373 61676549 .....3d.MessageI + 2e310: 44000000 1cac0223 00001208 000033c7 D......#......3. + 2e320: 084d6573 73616765 49440000 001cac02 .MessageID...... + 2e330: 23000843 72656469 74436f75 6e740000 #..CreditCount.. + 2e340: 001cac02 23020843 72656469 7453697a ....#..CreditSiz + 2e350: 65000000 1cac0223 04084d61 78456e64 e......#..MaxEnd + 2e360: 706f696e 74730000 00168402 2306085f points......#.._ + 2e370: 50616431 00000016 84022307 00120a00 Pad1......#..... + 2e380: 00345e08 4d657373 61676549 44000000 .4^.MessageID... + 2e390: 1cac0223 00085365 72766963 65494400 ...#..ServiceID. + 2e3a0: 00001cac 02230208 436f6e6e 65637469 .....#..Connecti + 2e3b0: 6f6e466c 61677300 00001cac 02230408 onFlags......#.. + 2e3c0: 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. + 2e3d0: 00168402 23060855 704c696e 6b506970 ....#..UpLinkPip + 2e3e0: 65494400 00001684 02230708 53657276 eID......#..Serv + 2e3f0: 6963654d 6574614c 656e6774 68000000 iceMetaLength... + 2e400: 16840223 08085f50 61643100 00001684 ...#.._Pad1..... + 2e410: 02230900 120a0000 34e6084d 65737361 .#......4..Messa + 2e420: 67654944 0000001c ac022300 08536572 geID......#..Ser + 2e430: 76696365 49440000 001cac02 23020853 viceID......#..S + 2e440: 74617475 73000000 16840223 0408456e tatus......#..En + 2e450: 64706f69 6e744944 00000016 84022305 dpointID......#. + 2e460: 084d6178 4d736753 697a6500 00001cac .MaxMsgSize..... + 2e470: 02230608 53657276 6963654d 6574614c .#..ServiceMetaL + 2e480: 656e6774 68000000 16840223 08085f50 ength......#.._P + 2e490: 61643100 00001684 02230900 12020000 ad1......#...... + 2e4a0: 34ff084d 65737361 67654944 0000001c 4..MessageID.... + 2e4b0: ac022300 00120400 00353b08 4d657373 ..#......5;.Mess + 2e4c0: 61676549 44000000 1cac0223 00085069 ageID......#..Pi + 2e4d0: 70654944 00000016 84022302 08437265 peID......#..Cre + 2e4e0: 64697443 6f756e74 00000016 84022303 ditCount......#. + 2e4f0: 00120400 00357208 4d657373 61676549 .....5r.MessageI + 2e500: 44000000 1cac0223 00085069 70654944 D......#..PipeID + 2e510: 00000016 84022302 08537461 74757300 ......#..Status. + 2e520: 00001684 02230300 12020000 35990852 .....#......5..R + 2e530: 65636f72 64494400 00001684 02230008 ecordID......#.. + 2e540: 4c656e67 74680000 00168402 23010012 Length......#... + 2e550: 02000035 c308456e 64706f69 6e744944 ...5..EndpointID + 2e560: 00000016 84022300 08437265 64697473 ......#..Credits + 2e570: 00000016 84022301 00120400 00360408 ......#......6.. + 2e580: 456e6470 6f696e74 49440000 00168402 EndpointID...... + 2e590: 23000843 72656469 74730000 00168402 #..Credits...... + 2e5a0: 23010854 67744372 65646974 5365714e #..TgtCreditSeqN + 2e5b0: 6f000000 1cac0223 02000f00 00168404 o......#........ + 2e5c0: 00003611 10030012 06000036 4d085072 ..6........6M.Pr + 2e5d0: 6556616c 69640000 00168402 2300084c eValid......#..L + 2e5e0: 6f6f6b41 68656164 00000036 04022301 ookAhead...6..#. + 2e5f0: 08506f73 7456616c 69640000 00168402 .PostValid...... + 2e600: 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ + 2e610: 74000000 040d0600 00364d01 03000036 t........6M....6 + 2e620: 60040002 01030000 366d0400 14040000 `.......6m...... + 2e630: 36eb0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C + 2e640: 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID + 2e650: 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP + 2e660: 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI + 2e670: 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO + 2e680: 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF + 2e690: 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. + 2e6a0: 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... + 2e6b0: 36760201 03000036 fc040006 00002649 6v.....6......&I + 2e6c0: 01030000 37050400 06000026 49010300 ....7......&I... + 2e6d0: 00371204 00020103 0000371f 04000762 .7........7....b + 2e6e0: 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 + 2e6f0: c1085f69 6e697400 00003666 02230008 .._init...6f.#.. + 2e700: 5f736875 74646f77 6e000000 366f0223 _shutdown...6o.# + 2e710: 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. + 2e720: 0036fe02 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu + 2e730: 66000000 370b0223 0c085f61 6c6c6f63 f...7..#.._alloc + 2e740: 5f627566 5f616c69 676e0000 00371802 _buf_align...7.. + 2e750: 2310085f 66726565 5f627566 00000037 #.._free_buf...7 + 2e760: 21022314 08705265 73657276 65640000 !.#..pReserved.. + 2e770: 00040d02 23180007 5f485443 5f534552 ....#..._HTC_SER + 2e780: 56494345 001c0000 38a00870 4e657874 VICE....8..pNext + 2e790: 00000038 a0022300 0850726f 63657373 ...8..#..Process + 2e7a0: 52656376 4d736700 00003955 02230408 RecvMsg...9U.#.. + 2e7b0: 50726f63 65737353 656e6442 75666665 ProcessSendBuffe + 2e7c0: 72436f6d 706c6574 65000000 395e0223 rComplete...9^.# + 2e7d0: 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect + 2e7e0: 00000039 7202230c 08536572 76696365 ...9r.#..Service + 2e7f0: 49440000 0012f202 23100853 65727669 ID......#..Servi + 2e800: 6365466c 61677300 000012f2 02231208 ceFlags......#.. + 2e810: 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... + 2e820: 12f20223 14085472 61696c65 72537063 ...#..TrailerSpc + 2e830: 43686563 6b4c696d 69740000 0012f202 CheckLimit...... + 2e840: 23160853 65727669 63654374 78000000 #..ServiceCtx... + 2e850: 040d0223 18000300 0037c104 00140400 ...#.....7...... + 2e860: 00393e19 454e4450 4f494e54 5f554e55 .9>.ENDPOINT_UNU + 2e870: 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN + 2e880: 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. + 2e890: 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END + 2e8a0: 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN + 2e8b0: 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. + 2e8c0: 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END + 2e8d0: 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN + 2e8e0: 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA + 2e8f0: 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN + 2e900: 545f4944 00000038 a7020103 00003953 T_ID...8......9S + 2e910: 04000201 03000039 5c040003 00000118 .......9\....... + 2e920: 04000600 0012de01 03000039 6c040003 ...........9l... + 2e930: 000037c1 0400075f 4854435f 434f4e46 ..7...._HTC_CONF + 2e940: 49470014 000039f1 08437265 64697453 IG....9..CreditS + 2e950: 697a6500 00000118 02230008 43726564 ize......#..Cred + 2e960: 69744e75 6d626572 00000001 18022304 itNumber......#. + 2e970: 084f5348 616e646c 65000000 1a480223 .OSHandle....H.# + 2e980: 08084849 4648616e 646c6500 000028b1 ..HIFHandle...(. + 2e990: 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 2e9a0: 00364d02 23100007 5f485443 5f425546 .6M.#..._HTC_BUF + 2e9b0: 5f434f4e 54455854 00020000 3a2d0865 _CONTEXT....:-.e + 2e9c0: 6e645f70 6f696e74 00000012 de022300 nd_point......#. + 2e9d0: 08687463 5f666c61 67730000 0012de02 .htc_flags...... + 2e9e0: 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t + 2e9f0: 00000004 0d094854 435f5345 5455505f ......HTC_SETUP_ + 2ea00: 434f4d50 4c455445 5f434200 00000111 COMPLETE_CB..... + 2ea10: 09485443 5f434f4e 46494700 00003980 .HTC_CONFIG...9. + 2ea20: 0300003a 5a040006 00003a2d 01030000 ...:Z.....:-.... + 2ea30: 3a710400 02010300 003a7e04 00094854 :q.......:~...HT + 2ea40: 435f5345 52564943 45000000 37c10300 C_SERVICE...7... + 2ea50: 003a8704 00020103 00003a9f 04000201 .:........:..... + 2ea60: 0300003a a8040002 01030000 3ab10400 ...:........:... + 2ea70: 06000001 18010300 003aba04 00076874 .........:....ht + 2ea80: 635f6170 69730034 00003c37 085f4854 c_apis.4..<7._HT + 2ea90: 435f496e 69740000 003a7702 2300085f C_Init...:w.#.._ + 2eaa0: 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: + 2eab0: 80022304 085f4854 435f5265 67697374 ..#.._HTC_Regist + 2eac0: 65725365 72766963 65000000 3aa10223 erService...:..# + 2ead0: 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: + 2eae0: 8002230c 085f4854 435f5265 7475726e ..#.._HTC_Return + 2eaf0: 42756666 65727300 00003aaa 02231008 Buffers...:..#.. + 2eb00: 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 2eb10: 72734c69 73740000 003ab302 2314085f rsList...:..#.._ + 2eb20: 4854435f 53656e64 4d736700 00003aaa HTC_SendMsg...:. + 2eb30: 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese + 2eb40: 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: + 2eb50: c002231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec + 2eb60: 7648616e 646c6572 00000028 5e022320 vHandler...(^.# + 2eb70: 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa + 2eb80: 6e646c65 72000000 28550223 24085f48 ndler...(U.#$._H + 2eb90: 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro + 2eba0: 63657373 4d736700 00003955 02232808 cessMsg...9U.#(. + 2ebb0: 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP + 2ebc0: 726f6365 73735365 6e64436f 6d706c65 rocessSendComple + 2ebd0: 74650000 00395e02 232c0870 52657365 te...9^.#,.pRese + 2ebe0: 72766564 00000004 0d022330 0007686f rved......#0..ho + 2ebf0: 73745f61 70705f61 7265615f 73000400 st_app_area_s... + 2ec00: 003c6708 776d695f 70726f74 6f636f6c ...tupleNumL.. + 2eda0: 001cac02 23000874 75706c65 4e756d48 ....#..tupleNumH + 2edb0: 0000001c ac022302 08617674 0000003d ......#..avt...= + 2edc0: cb022304 00120100 003e3108 62656163 ..#......>1.beac + 2edd0: 6f6e5065 6e64696e 67436f75 6e740000 onPendingCount.. + 2ede0: 00168402 23000007 5f574d49 5f535643 ....#..._WMI_SVC + 2edf0: 5f434f4e 46494700 1000003e 9a084874 _CONFIG....>..Ht + 2ee00: 6348616e 646c6500 00003a2d 02230008 cHandle...:-.#.. + 2ee10: 506f6f6c 48616e64 6c650000 00364d02 PoolHandle...6M. + 2ee20: 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv + 2ee30: 74730000 00011802 2308084d 61784576 ts......#..MaxEv + 2ee40: 656e7445 76747300 00000118 02230c00 entEvts......#.. + 2ee50: 02010300 003e9a04 0009574d 495f434d .....>....WMI_CM + 2ee60: 445f4841 4e444c45 52000000 3e9c075f D_HANDLER...>.._ + 2ee70: 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT + 2ee80: 52590008 00003f03 0870436d 6448616e RY....?..pCmdHan + 2ee90: 646c6572 0000003e a3022300 08436d64 dler...>..#..Cmd + 2eea0: 49440000 0012f202 23040846 6c616773 ID......#..Flags + 2eeb0: 00000012 f2022306 00075f57 4d495f44 ......#..._WMI_D + 2eec0: 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... + 2eed0: 003f6408 704e6578 74000000 3f640223 .?d.pNext...?d.# + 2eee0: 00087043 6f6e7465 78740000 00040d02 ..pContext...... + 2eef0: 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri + 2ef00: 65730000 00011802 23080870 5461626c es......#..pTabl + 2ef10: 65000000 3f830223 0c000300 003f0304 e...?..#.....?.. + 2ef20: 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E + 2ef30: 4e545259 0000003e b8030000 3f6b0400 NTRY...>....?k.. + 2ef40: 0300003f 03040009 4854435f 4255465f ...?....HTC_BUF_ + 2ef50: 434f4e54 45585400 000039f1 0d574d49 CONTEXT...9..WMI + 2ef60: 5f455654 5f434c41 53530004 0000401b _EVT_CLASS....@. + 2ef70: 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N + 2ef80: 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT + 2ef90: 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT + 2efa0: 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 2efb0: 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI + 2efc0: 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. + 2efd0: 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. + 2efe0: 00003fa6 075f574d 495f4255 465f434f ..?.._WMI_BUF_CO + 2eff0: 4e544558 54000c00 00407908 48746342 NTEXT....@y.HtcB + 2f000: 75664374 78000000 3f910223 00084576 ufCtx...?..#..Ev + 2f010: 656e7443 6c617373 00000040 1b022304 entClass...@..#. + 2f020: 08466c61 67730000 0012f202 23080009 .Flags......#... + 2f030: 776d695f 68616e64 6c655f74 00000004 wmi_handle_t.... + 2f040: 0d09574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG + 2f050: 0000003e 31030000 408b0400 06000040 ...>1...@......@ + 2f060: 79010300 0040a604 0009574d 495f4449 y....@....WMI_DI + 2f070: 53504154 43485f54 41424c45 0000003f SPATCH_TABLE...? + 2f080: 03030000 40b30400 02010300 0040d204 ....@........@.. + 2f090: 00060000 26490103 000040db 04000201 ....&I....@..... + 2f0a0: 03000040 e8040006 00000118 01030000 ...@............ + 2f0b0: 40f10400 02010300 0040fe04 00060000 @........@...... + 2f0c0: 12de0103 00004107 0400075f 776d695f ......A...._wmi_ + 2f0d0: 7376635f 61706973 002c0000 424f085f svc_apis.,..BO._ + 2f0e0: 574d495f 496e6974 00000040 ac022300 WMI_Init...@..#. + 2f0f0: 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi + 2f100: 73706174 63685461 626c6500 000040d4 spatchTable...@. + 2f110: 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv + 2f120: 656e7400 000040e1 02230808 5f574d49 ent...@..#.._WMI + 2f130: 5f53656e 64457665 6e740000 0040ea02 _SendEvent...@.. + 2f140: 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi + 2f150: 6e674576 656e7473 436f756e 74000000 ngEventsCount... + 2f160: 40f70223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC + 2f170: 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. + 2f180: 00395e02 2314085f 574d495f 47657443 .9^.#.._WMI_GetC + 2f190: 6f6e7472 6f6c4570 00000040 f7022318 ontrolEp...@..#. + 2f1a0: 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. + 2f1b0: 00410002 231c085f 574d495f 52656376 .A..#.._WMI_Recv + 2f1c0: 4d657373 61676548 616e646c 65720000 MessageHandler.. + 2f1d0: 00395502 2320085f 574d495f 53657276 .9U.# ._WMI_Serv + 2f1e0: 69636543 6f6e6e65 63740000 00410d02 iceConnect...A.. + 2f1f0: 23240870 52657365 72766564 00000004 #$.pReserved.... + 2f200: 0d022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. + 2f210: 14000042 d1086374 726c0000 00017c02 ...B..ctrl....|. + 2f220: 23000873 74617475 73000000 017c0223 #..status....|.# + 2f230: 0208746f 74616c4c 656e0000 00017c02 ..totalLen....|. + 2f240: 23040864 61746153 697a6500 0000017c #..dataSize....| + 2f250: 02230608 6c617374 41646472 00000042 .#..lastAddr...B + 2f260: d1022308 08646174 61416464 72000000 ..#..dataAddr... + 2f270: 01a00223 0c086e65 78744164 64720000 ...#..nextAddr.. + 2f280: 0042d102 23100003 0000424f 04000300 .B..#.....BO.... + 2f290: 00424f04 00077a73 446d6151 75657565 .BO...zsDmaQueue + 2f2a0: 00080000 43110868 65616400 000042d8 ....C..head...B. + 2f2b0: 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 2f2c0: 0042d802 23040007 7a735478 446d6151 .B..#...zsTxDmaQ + 2f2d0: 75657565 00100000 43750868 65616400 ueue....Cu.head. + 2f2e0: 000042d8 02230008 7465726d 696e6174 ..B..#..terminat + 2f2f0: 6f720000 0042d802 23040878 6d697465 or...B..#..xmite + 2f300: 645f6275 665f6865 61640000 00143d02 d_buf_head....=. + 2f310: 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta + 2f320: 696c0000 00143d02 230c0002 01030000 il....=.#....... + 2f330: 43750400 03000042 df040002 01030000 Cu.....B........ + 2f340: 43850400 03000043 11040002 01030000 C......C........ + 2f350: 43950400 02010300 00439e04 00020103 C........C...... + 2f360: 000043a7 04000600 00143d01 03000043 ..C.......=....C + 2f370: b0040002 01030000 43bd0400 06000014 ........C....... + 2f380: 3d010300 0043c604 00020103 000043d3 =....C........C. + 2f390: 04000600 00011801 03000043 dc040006 ...........C.... + 2f3a0: 000042d8 01030000 43e90400 02010300 ..B.....C....... + 2f3b0: 0043f604 0007646d 615f656e 67696e65 .C....dma_engine + 2f3c0: 5f617069 00400000 456c085f 696e6974 _api.@..El._init + 2f3d0: 00000043 77022300 085f696e 69745f72 ...Cw.#.._init_r + 2f3e0: 785f7175 65756500 00004387 02230408 x_queue...C..#.. + 2f3f0: 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. + 2f400: 00439702 2308085f 636f6e66 69675f72 .C..#.._config_r + 2f410: 785f7175 65756500 000043a0 02230c08 x_queue...C..#.. + 2f420: 5f786d69 745f6275 66000000 43a90223 _xmit_buf...C..# + 2f430: 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... + 2f440: 43870223 14085f72 6561705f 72656376 C..#.._reap_recv + 2f450: 5f627566 00000043 b6022318 085f7265 _buf...C..#.._re + 2f460: 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 2f470: 43bf0223 1c085f72 6561705f 786d6974 C..#.._reap_xmit + 2f480: 65645f62 75660000 0043cc02 2320085f ed_buf...C..# ._ + 2f490: 73776170 5f646174 61000000 43d50223 swap_data...C..# + 2f4a0: 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac + 2f4b0: 6b657473 00000043 e2022328 085f6465 kets...C..#(._de + 2f4c0: 73635f64 756d7000 00004387 02232c08 sc_dump...C..#,. + 2f4d0: 5f676574 5f706163 6b657400 000043ef _get_packet...C. + 2f4e0: 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac + 2f4f0: 6b657400 000043f8 02233408 5f707574 ket...C..#4._put + 2f500: 5f706163 6b657400 000043f8 02233808 _packet...C..#8. + 2f510: 70526573 65727665 64000000 040d0223 pReserved......# + 2f520: 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi + 2f530: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 2f540: 000030ab 09574d49 5f535643 5f415049 ..0..WMI_SVC_API + 2f550: 53000000 4114175f 415f6d61 67706965 S...A.._A_magpie + 2f560: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 2f570: 6c650003 4c000046 9a08636d 6e6f7300 le..L..F..cmnos. + 2f580: 0000456c 02230008 64626700 000003da ..El.#..dbg..... + 2f590: 0323b803 08686966 00000029 540323c0 .#...hif...)T.#. + 2f5a0: 03086874 63000000 3ac70323 f8030877 ..htc...:..#...w + 2f5b0: 6d695f73 76635f61 70690000 00458e03 mi_svc_api...E.. + 2f5c0: 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. + 2f5d0: 0000327f 0323d804 08627566 5f706f6f ..2..#...buf_poo + 2f5e0: 6c000000 37280323 e4040876 62756600 l...7(.#...vbuf. + 2f5f0: 00001467 03238005 08766465 73630000 ...g.#...vdesc.. + 2f600: 00134903 23940508 616c6c6f 6372616d ..I.#...allocram + 2f610: 00000009 3f0323a8 0508646d 615f656e ....?.#...dma_en + 2f620: 67696e65 00000043 ff0323b4 0508646d gine...C..#...dm + 2f630: 615f6c69 62000000 2be80323 f4050868 a_lib...+..#...h + 2f640: 69665f70 63690000 002e4803 23a80600 if_pci....H.#... + 2f650: 095f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 2f660: 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 2f670: 0045a003 00000693 04000201 1a010d63 .E.............c + 2f680: 6d6e6f73 5f737472 696e675f 696e6974 mnos_string_init + 2f690: 00010103 92012002 9000008e 2b24008e ...... .....+$.. + 2f6a0: 2b291b01 12636d6e 6f735f73 7472696e +)...cmnos_strin + 2f6b0: 675f6d6f 64756c65 5f696e73 74616c6c g_module_install + 2f6c0: 00010103 92012002 9000008e 2b2c008e ...... .....+,.. + 2f6d0: 2b4f1c01 1274626c 00000046 bd015200 +O...tbl...F..R. + 2f6e0: 00000000 48ad0002 000011a4 04012f72 ....H........./r + 2f6f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2f700: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2f710: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 2f720: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 2f730: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 2f740: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 2f750: 732f7461 736b6c65 742f7372 632f636d s/tasklet/src/cm + 2f760: 6e6f735f 7461736b 6c65742e 63002f72 nos_tasklet.c./r + 2f770: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2f780: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2f790: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 2f7a0: 2f636d6e 6f732f74 61736b6c 65740078 /cmnos/tasklet.x + 2f7b0: 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 + 2f7c0: 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr + 2f7d0: 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - + 2f7e0: 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... + 2f7f0: 0000a8a1 02010300 00011204 0004696e ..............in + 2f800: 74000504 04636861 72000701 05000001 t....char....... + 2f810: 22050000 01220300 00012f04 00060000 "...."..../..... + 2f820: 011b0103 0000013b 04000770 72696e74 .......;...print + 2f830: 665f6170 69000800 00017f08 5f707269 f_api......._pri + 2f840: 6e74665f 696e6974 00000001 14022300 ntf_init......#. + 2f850: 085f7072 696e7466 00000001 41022304 ._printf....A.#. + 2f860: 00047368 6f727420 756e7369 676e6564 ..short unsigned + 2f870: 20696e74 00070209 75696e74 31365f74 int....uint16_t + 2f880: 00000001 7f046c6f 6e672075 6e736967 ......long unsig + 2f890: 6e656420 696e7400 07040975 696e7433 ned int....uint3 + 2f8a0: 325f7400 000001a3 07756172 745f6669 2_t......uart_fi + 2f8b0: 666f0008 00000211 08737461 72745f69 fo.......start_i + 2f8c0: 6e646578 00000001 95022300 08656e64 ndex......#..end + 2f8d0: 5f696e64 65780000 00019502 2302086f _index......#..o + 2f8e0: 76657272 756e5f65 72720000 0001b802 verrun_err...... + 2f8f0: 23040007 75617274 5f617069 00200000 #...uart_api. .. + 2f900: 02ca085f 75617274 5f696e69 74000000 ..._uart_init... + 2f910: 03210223 00085f75 6172745f 63686172 .!.#.._uart_char + 2f920: 5f707574 00000003 48022304 085f7561 _put....H.#.._ua + 2f930: 72745f63 6861725f 67657400 0000035c rt_char_get....\ + 2f940: 02230808 5f756172 745f7374 725f6f75 .#.._uart_str_ou + 2f950: 74000000 03650223 0c085f75 6172745f t....e.#.._uart_ + 2f960: 7461736b 00000001 14022310 085f7561 task......#.._ua + 2f970: 72745f73 74617475 73000000 03210223 rt_status....!.# + 2f980: 14085f75 6172745f 636f6e66 69670000 .._uart_config.. + 2f990: 00036e02 2318085f 75617274 5f687769 ..n.#.._uart_hwi + 2f9a0: 6e697400 00000377 02231c00 03000002 nit....w.#...... + 2f9b0: 11040007 75617274 5f626c6b 00100000 ....uart_blk.... + 2f9c0: 031b0864 65627567 5f6d6f64 65000000 ...debug_mode... + 2f9d0: 01950223 00086261 75640000 00019502 ...#..baud...... + 2f9e0: 2302085f 75617274 00000002 ca022304 #.._uart......#. + 2f9f0: 085f7478 00000001 c6022308 00060000 ._tx......#..... + 2fa00: 01b80103 0000031b 04000475 6e736967 ...........unsig + 2fa10: 6e656420 63686172 00070109 75696e74 ned char....uint + 2fa20: 385f7400 00000328 02010300 00034604 8_t....(......F. + 2fa30: 00030000 03390400 06000001 95010300 .....9.......... + 2fa40: 00035604 00020103 00000363 04000201 ..V........c.... + 2fa50: 03000003 6c040002 01030000 03750400 ....l........u.. + 2fa60: 03000001 22040006 0000011b 01030000 ...."........... + 2fa70: 03850400 0744425f 434f4d4d 414e445f .....DB_COMMAND_ + 2fa80: 53545255 4354000c 000003dd 08636d64 STRUCT.......cmd + 2fa90: 5f737472 00000003 7e022300 0868656c _str....~.#..hel + 2faa0: 705f7374 72000000 037e0223 0408636d p_str....~.#..cm + 2fab0: 645f6675 6e630000 00038b02 23080007 d_func......#... + 2fac0: 6462675f 61706900 08000004 10085f64 dbg_api......._d + 2fad0: 62675f69 6e697400 00000114 02230008 bg_init......#.. + 2fae0: 5f646267 5f746173 6b000000 01140223 _dbg_task......# + 2faf0: 04000a04 0004756e 7369676e 65642069 ......unsigned i + 2fb00: 6e740007 04060000 04100103 00000423 nt.............# + 2fb10: 04000b0b 03000004 31040006 00000410 ........1....... + 2fb20: 01030000 04390400 06000001 1b010300 .....9.......... + 2fb30: 00044604 00076d65 6d5f6170 69001400 ..F...mem_api... + 2fb40: 0004b508 5f6d656d 5f696e69 74000000 ...._mem_init... + 2fb50: 01140223 00085f6d 656d7365 74000000 ...#.._memset... + 2fb60: 04290223 04085f6d 656d6370 79000000 .).#.._memcpy... + 2fb70: 043f0223 08085f6d 656d6d6f 76650000 .?.#.._memmove.. + 2fb80: 00043f02 230c085f 6d656d63 6d700000 ..?.#.._memcmp.. + 2fb90: 00044c02 2310000c 72656769 73746572 ..L.#...register + 2fba0: 5f64756d 705f7300 00010300 0004b504 _dump_s......... + 2fbb0: 00020103 000004cf 04000201 03000004 ................ + 2fbc0: d8040006 0000011b 01030000 04e10400 ................ + 2fbd0: 0d686f73 7469665f 73000400 00053d0e .hostif_s.....=. + 2fbe0: 4849465f 55534200 000e4849 465f5043 HIF_USB...HIF_PC + 2fbf0: 49450001 0e484946 5f474d41 4300020e IE...HIF_GMAC... + 2fc00: 4849465f 50434900 030e4849 465f4e55 HIF_PCI...HIF_NU + 2fc10: 4d00040e 4849465f 4e4f4e45 00050009 M...HIF_NONE.... + 2fc20: 415f484f 53544946 00000004 ee060000 A_HOSTIF........ + 2fc30: 053d0103 0000054b 04000600 00033901 .=.....K......9. + 2fc40: 03000005 58040006 00000195 01030000 ....X........... + 2fc50: 05650400 076d6973 635f6170 69002400 .e...misc_api.$. + 2fc60: 00065508 5f737973 74656d5f 72657365 ..U._system_rese + 2fc70: 74000000 01140223 00085f6d 61635f72 t......#.._mac_r + 2fc80: 65736574 00000001 14022304 085f6173 eset......#.._as + 2fc90: 73666169 6c000000 04d10223 08085f6d sfail......#.._m + 2fca0: 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 2fcb0: 616e646c 65720000 0004d102 230c085f andler......#.._ + 2fcc0: 7265706f 72745f66 61696c75 72655f74 report_failure_t + 2fcd0: 6f5f686f 73740000 0004da02 2310085f o_host......#.._ + 2fce0: 74617267 65745f69 645f6765 74000000 target_id_get... + 2fcf0: 04e70223 14085f69 735f686f 73745f70 ...#.._is_host_p + 2fd00: 72657365 6e740000 00055102 2318085f resent....Q.#.._ + 2fd10: 6b626869 74000000 055e0223 1c085f72 kbhit....^.#.._r + 2fd20: 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 2fd30: 00056b02 23200006 0000037e 01030000 ..k.# .....~.... + 2fd40: 06550400 06000003 7e010300 00066204 .U......~.....b. + 2fd50: 00060000 011b0103 0000066f 04000600 ...........o.... + 2fd60: 00011b01 03000006 7c040006 0000011b ........|....... + 2fd70: 01030000 06890400 07737472 696e675f .........string_ + 2fd80: 61706900 18000007 0f085f73 7472696e api......._strin + 2fd90: 675f696e 69740000 00011402 2300085f g_init......#.._ + 2fda0: 73747263 70790000 00065b02 2304085f strcpy....[.#.._ + 2fdb0: 7374726e 63707900 00000668 02230808 strncpy....h.#.. + 2fdc0: 5f737472 6c656e00 00000675 02230c08 _strlen....u.#.. + 2fdd0: 5f737472 636d7000 00000682 02231008 _strcmp......#.. + 2fde0: 5f737472 6e636d70 00000006 8f022314 _strncmp......#. + 2fdf0: 000f0000 04131400 00071c10 0400095f ..............._ + 2fe00: 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 2fe10: 070f0941 5f74696d 65725f74 00000007 ...A_timer_t.... + 2fe20: 1c030000 07300400 02010300 00074604 .....0........F. + 2fe30: 00020103 0000074f 04000941 5f48414e .......O...A_HAN + 2fe40: 444c4500 00000413 02010941 5f54494d DLE........A_TIM + 2fe50: 45525f46 554e4300 00000766 03000007 ER_FUNC....f.... + 2fe60: 68040002 01030000 07810400 0774696d h............tim + 2fe70: 65725f61 70690014 00000800 085f7469 er_api......._ti + 2fe80: 6d65725f 696e6974 00000001 14022300 mer_init......#. + 2fe90: 085f7469 6d65725f 61726d00 00000748 ._timer_arm....H + 2fea0: 02230408 5f74696d 65725f64 69736172 .#.._timer_disar + 2feb0: 6d000000 07510223 08085f74 696d6572 m....Q.#.._timer + 2fec0: 5f736574 666e0000 00078302 230c085f _setfn......#.._ + 2fed0: 74696d65 725f7275 6e000000 01140223 timer_run......# + 2fee0: 10000942 4f4f4c45 414e0000 00019506 ...BOOLEAN...... + 2fef0: 00000800 01030000 080d0400 06000008 ................ + 2ff00: 00010300 00081a04 00060000 08000103 ................ + 2ff10: 00000827 04000772 6f6d705f 61706900 ...'...romp_api. + 2ff20: 10000008 99085f72 6f6d705f 696e6974 ......_romp_init + 2ff30: 00000001 14022300 085f726f 6d705f64 ......#.._romp_d + 2ff40: 6f776e6c 6f616400 00000813 02230408 ownload......#.. + 2ff50: 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 2ff60: 08200223 08085f72 6f6d705f 6465636f . .#.._romp_deco + 2ff70: 64650000 00082d02 230c0007 726f6d5f de....-.#...rom_ + 2ff80: 70617463 685f7374 00100000 08f50863 patch_st.......c + 2ff90: 72633136 00000001 95022300 086c656e rc16......#..len + 2ffa0: 00000001 95022302 086c645f 61646472 ......#..ld_addr + 2ffb0: 00000001 b8022304 0866756e 5f616464 ......#..fun_add + 2ffc0: 72000000 01b80223 08087066 756e0000 r......#..pfun.. + 2ffd0: 00034f02 230c0007 6565705f 72656469 ..O.#...eep_redi + 2ffe0: 725f6164 64720004 00000927 086f6666 r_addr.....'.off + 2fff0: 73657400 00000195 02230008 73697a65 set......#..size + 30000: 00000001 95022302 0009415f 55494e54 ......#...A_UINT + 30010: 33320000 00041306 00000410 01030000 32.............. + 30020: 09350400 07616c6c 6f637261 6d5f6170 .5...allocram_ap + 30030: 69000c00 0009a608 636d6e6f 735f616c i.......cmnos_al + 30040: 6c6f6372 616d5f69 6e697400 0000093b locram_init....; + 30050: 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 30060: 616d0000 00093b02 23040863 6d6e6f73 am....;.#..cmnos + 30070: 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 30080: 00000114 02230800 02010300 0009a604 .....#.......... + 30090: 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 300a0: 00000009 a8075f74 61736b6c 65740010 ......_tasklet.. + 300b0: 00000a07 0866756e 63000000 09af0223 .....func......# + 300c0: 00086172 67000000 04100223 04087374 ..arg......#..st + 300d0: 61746500 0000011b 02230808 6e657874 ate......#..next + 300e0: 0000000a 0702230c 00030000 09c30400 ......#......... + 300f0: 03000009 c3040009 415f7461 736b6c65 ........A_taskle + 30100: 745f7400 000009c3 0300000a 15040002 t_t............. + 30110: 01030000 0a2d0400 02010300 000a3604 .....-........6. + 30120: 00077461 736b6c65 745f6170 69001400 ..tasklet_api... + 30130: 000acb08 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 30140: 74000000 01140223 00085f74 61736b6c t......#.._taskl + 30150: 65745f69 6e69745f 7461736b 0000000a et_init_task.... + 30160: 2f022304 085f7461 736b6c65 745f6469 /.#.._tasklet_di + 30170: 7361626c 65000000 0a380223 08085f74 sable....8.#.._t + 30180: 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 30190: 00000a38 02230c08 5f746173 6b6c6574 ...8.#.._tasklet + 301a0: 5f72756e 00000001 14022310 00020103 _run......#..... + 301b0: 00000acb 04000600 00092701 0300000a ..........'..... + 301c0: d4040002 01030000 0ae10400 07636c6f .............clo + 301d0: 636b5f61 70690024 00000bc3 085f636c ck_api.$....._cl + 301e0: 6f636b5f 696e6974 0000000a cd022300 ock_init......#. + 301f0: 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init + 30200: 00000001 14022304 085f7561 72745f66 ......#.._uart_f + 30210: 72657175 656e6379 0000000a da022308 requency......#. + 30220: 085f6465 6c61795f 75730000 000ae302 ._delay_us...... + 30230: 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se + 30240: 74000000 0ae30223 10085f72 6566636c t......#.._refcl + 30250: 6b5f7370 6565645f 67657400 00000ada k_speed_get..... + 30260: 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond + 30270: 73000000 0ada0223 18085f73 7973636c s......#.._syscl + 30280: 6b5f6368 616e6765 00000001 1402231c k_change......#. + 30290: 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... + 302a0: 14022320 00060000 01b80103 00000bc3 ..# ............ + 302b0: 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. + 302c0: 000001b8 0600000b d0010300 000be204 ................ + 302d0: 00020103 00000bef 04000201 0300000b ................ + 302e0: f8040006 000001b8 01030000 0c010400 ................ + 302f0: 09415f69 73725f74 0000000c 07020103 .A_isr_t........ + 30300: 00000c1b 04000600 00041301 0300000c ................ + 30310: 24040002 01030000 0c310400 07696e74 $........1...int + 30320: 725f6170 69002c00 000d5308 5f696e74 r_api.,...S._int + 30330: 725f696e 69740000 00011402 2300085f r_init......#.._ + 30340: 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. + 30350: 00000bc9 02230408 5f696e74 725f6469 .....#.._intr_di + 30360: 7361626c 65000000 0be80223 08085f69 sable......#.._i + 30370: 6e74725f 72657374 6f726500 00000bf1 ntr_restore..... + 30380: 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i + 30390: 6e756d00 00000bfa 02231008 5f696e74 num......#.._int + 303a0: 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... + 303b0: 0bfa0223 14085f69 6e74725f 61747461 ...#.._intr_atta + 303c0: 63685f69 73720000 000c1d02 2318085f ch_isr......#.._ + 303d0: 6765745f 696e7472 656e6162 6c650000 get_intrenable.. + 303e0: 000c2a02 231c085f 7365745f 696e7472 ..*.#.._set_intr + 303f0: 656e6162 6c650000 000c3302 2320085f enable....3.# ._ + 30400: 6765745f 696e7472 70656e64 696e6700 get_intrpending. + 30410: 00000c2a 02232408 5f756e62 6c6f636b ...*.#$._unblock + 30420: 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... + 30430: 14022328 00110400 000d7908 74696d65 ..#(......y.time + 30440: 6f757400 000001b8 02230008 61637469 out......#..acti + 30450: 6f6e0000 0001b802 23000012 0800000d on......#....... + 30460: 9408636d 64000000 01b80223 00130000 ..cmd......#.... + 30470: 0d530223 04000954 5f574454 5f434d44 .S.#...T_WDT_CMD + 30480: 0000000d 79020103 00000da3 04001404 ....y........... + 30490: 00000df9 0e454e55 4d5f5744 545f424f .....ENUM_WDT_BO + 304a0: 4f540001 0e454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B + 304b0: 4f4f5400 020e454e 554d5f53 5553505f OOT...ENUM_SUSP_ + 304c0: 424f4f54 00030e45 4e554d5f 554e4b4e BOOT...ENUM_UNKN + 304d0: 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO + 304e0: 4f545f54 59504500 00000dac 0600000d OT_TYPE......... + 304f0: f9010300 000e0a04 00077764 745f6170 ..........wdt_ap + 30500: 69001c00 000eae08 5f776474 5f696e69 i......._wdt_ini + 30510: 74000000 01140223 00085f77 64745f65 t......#.._wdt_e + 30520: 6e61626c 65000000 01140223 04085f77 nable......#.._w + 30530: 64745f64 69736162 6c650000 00011402 dt_disable...... + 30540: 2308085f 7764745f 73657400 00000da5 #.._wdt_set..... + 30550: 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... + 30560: 01140223 10085f77 64745f72 65736574 ...#.._wdt_reset + 30570: 00000001 14022314 085f7764 745f6c61 ......#.._wdt_la + 30580: 73745f62 6f6f7400 00000e10 02231800 st_boot......#.. + 30590: 14040000 0f150e52 45545f53 55434345 .......RET_SUCCE + 305a0: 53530000 0e524554 5f4e4f54 5f494e49 SS...RET_NOT_INI + 305b0: 5400010e 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS + 305c0: 5400020e 5245545f 4545505f 434f5252 T...RET_EEP_CORR + 305d0: 55505400 030e5245 545f4545 505f4f56 UPT...RET_EEP_OV + 305e0: 4552464c 4f570004 0e524554 5f554e4b ERFLOW...RET_UNK + 305f0: 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE + 30600: 54000000 0eae0300 00019504 00060000 T............... + 30610: 0f150103 00000f2b 04000600 000f1501 .......+........ + 30620: 0300000f 38040007 6565705f 61706900 ....8...eep_api. + 30630: 1000000f a1085f65 65705f69 6e697400 ......_eep_init. + 30640: 00000114 02230008 5f656570 5f726561 .....#.._eep_rea + 30650: 64000000 0f310223 04085f65 65705f77 d....1.#.._eep_w + 30660: 72697465 0000000f 31022308 085f6565 rite....1.#.._ee + 30670: 705f6973 5f657869 73740000 000f3e02 p_is_exist....>. + 30680: 230c0007 7573625f 61706900 70000012 #...usb_api.p... + 30690: 4e085f75 73625f69 6e697400 00000114 N._usb_init..... + 306a0: 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas + 306b0: 6b000000 01140223 04085f75 73625f66 k......#.._usb_f + 306c0: 775f7461 736b0000 00011402 2308085f w_task......#.._ + 306d0: 7573625f 696e6974 5f706879 00000001 usb_init_phy.... + 306e0: 1402230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se + 306f0: 74757000 00000114 02231008 5f757362 tup......#.._usb + 30700: 5f657030 5f747800 00000114 02231408 _ep0_tx......#.. + 30710: 5f757362 5f657030 5f727800 00000114 _usb_ep0_rx..... + 30720: 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int + 30730: 65726661 63650000 00082002 231c085f erface.... .#.._ + 30740: 7573625f 7365745f 696e7465 72666163 usb_set_interfac + 30750: 65000000 08200223 20085f75 73625f67 e.... .# ._usb_g + 30760: 65745f63 6f6e6669 67757261 74696f6e et_configuration + 30770: 00000008 20022324 085f7573 625f7365 .... .#$._usb_se + 30780: 745f636f 6e666967 75726174 696f6e00 t_configuration. + 30790: 00000820 02232808 5f757362 5f737461 ... .#(._usb_sta + 307a0: 6e646172 645f636d 64000000 08200223 ndard_cmd.... .# + 307b0: 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm + 307c0: 64000000 01140223 30085f75 73625f70 d......#0._usb_p + 307d0: 6f776572 5f6f6666 00000001 14022334 ower_off......#4 + 307e0: 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo + 307f0: 00000001 14022338 085f7573 625f6765 ......#8._usb_ge + 30800: 6e5f7764 74000000 01140223 3c085f75 n_wdt......#<._u + 30810: 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... + 30820: 14022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe + 30830: 61747572 65000000 08200223 44085f75 ature.... .#D._u + 30840: 73625f73 65745f66 65617475 72650000 sb_set_feature.. + 30850: 00082002 2348085f 7573625f 7365745f .. .#H._usb_set_ + 30860: 61646472 65737300 00000820 02234c08 address.... .#L. + 30870: 5f757362 5f676574 5f646573 63726970 _usb_get_descrip + 30880: 746f7200 00000820 02235008 5f757362 tor.... .#P._usb + 30890: 5f676574 5f737461 74757300 00000820 _get_status.... + 308a0: 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d + 308b0: 65736300 00000114 02235808 5f757362 esc......#X._usb + 308c0: 5f726567 5f6f7574 00000001 1402235c _reg_out......#\ + 308d0: 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. + 308e0: 00000114 02236008 5f757362 5f657030 .....#`._usb_ep0 + 308f0: 5f74785f 64617461 00000001 14022364 _tx_data......#d + 30900: 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat + 30910: 61000000 01140223 68085f75 73625f63 a......#h._usb_c + 30920: 6c6b5f69 6e697400 00000114 02236c00 lk_init......#l. + 30930: 075f5644 45534300 24000012 da086e65 ._VDESC.$.....ne + 30940: 78745f64 65736300 000012da 02230008 xt_desc......#.. + 30950: 6275665f 61646472 00000012 ee022304 buf_addr......#. + 30960: 08627566 5f73697a 65000000 12f50223 .buf_size......# + 30970: 08086461 74615f6f 66667365 74000000 ..data_offset... + 30980: 12f50223 0a086461 74615f73 697a6500 ...#..data_size. + 30990: 000012f5 02230c08 636f6e74 726f6c00 .....#..control. + 309a0: 000012f5 02230e08 68775f64 6573635f .....#..hw_desc_ + 309b0: 62756600 00001303 02231000 03000012 buf......#...... + 309c0: 4e040009 415f5549 4e543800 00000328 N...A_UINT8....( + 309d0: 03000012 e1040009 415f5549 4e543136 ........A_UINT16 + 309e0: 00000001 7f0f0000 12e11400 00131010 ................ + 309f0: 13000300 00124e04 00095644 45534300 ......N...VDESC. + 30a00: 0000124e 03000013 17040006 00001322 ...N..........." + 30a10: 01030000 13290400 06000012 ee010300 .....).......... + 30a20: 00133604 00020103 00001343 04000776 ..6........C...v + 30a30: 64657363 5f617069 00140000 13bb085f desc_api......._ + 30a40: 696e6974 0000000a e3022300 085f616c init......#.._al + 30a50: 6c6f635f 76646573 63000000 132f0223 loc_vdesc..../.# + 30a60: 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. + 30a70: 00133c02 2308085f 73776170 5f766465 ..<.#.._swap_vde + 30a80: 73630000 00134502 230c0870 52657365 sc....E.#..pRese + 30a90: 72766564 00000004 10022310 00075f56 rved......#..._V + 30aa0: 42554600 20000014 1b086465 73635f6c BUF. .....desc_l + 30ab0: 69737400 00001322 02230008 6e657874 ist....".#..next + 30ac0: 5f627566 00000014 1b022304 08627566 _buf......#..buf + 30ad0: 5f6c656e 67746800 000012f5 02230808 _length......#.. + 30ae0: 72657365 72766564 00000014 2202230a reserved....".#. + 30af0: 08637478 00000013 0302230c 00030000 .ctx......#..... + 30b00: 13bb0400 0f000012 e1020000 142f1001 ............./.. + 30b10: 00030000 13bb0400 09564255 46000000 .........VBUF... + 30b20: 13bb0300 00143604 00060000 14400103 ......6......@.. + 30b30: 00001447 04000600 00144001 03000014 ...G......@..... + 30b40: 54040002 01030000 14610400 07766275 T........a...vbu + 30b50: 665f6170 69001400 0014df08 5f696e69 f_api......._ini + 30b60: 74000000 0ae30223 00085f61 6c6c6f63 t......#.._alloc + 30b70: 5f766275 66000000 144d0223 04085f61 _vbuf....M.#.._a + 30b80: 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s + 30b90: 697a6500 0000145a 02230808 5f667265 ize....Z.#.._fre + 30ba0: 655f7662 75660000 00146302 230c0870 e_vbuf....c.#..p + 30bb0: 52657365 72766564 00000004 10022310 Reserved......#. + 30bc0: 00075f5f 6164665f 64657669 63650004 ..__adf_device.. + 30bd0: 00001501 0864756d 6d790000 00011b02 .....dummy...... + 30be0: 23000003 00000927 0400075f 5f616466 #......'...__adf + 30bf0: 5f646d61 5f6d6170 000c0000 15480862 _dma_map.....H.b + 30c00: 75660000 00144002 23000864 735f6164 uf....@.#..ds_ad + 30c10: 64720000 00150102 23040864 735f6c65 dr......#..ds_le + 30c20: 6e000000 12f50223 0800120c 00001582 n......#........ + 30c30: 085f5f76 615f7374 6b000000 037e0223 .__va_stk....~.# + 30c40: 00085f5f 76615f72 65670000 00037e02 ..__va_reg....~. + 30c50: 2304085f 5f76615f 6e647800 0000011b #..__va_ndx..... + 30c60: 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm + 30c70: 615f6164 64725f74 00000009 27096164 a_addr_t....'.ad + 30c80: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 30c90: 00001582 095f5f61 64665f6f 735f646d .....__adf_os_dm + 30ca0: 615f7369 7a655f74 00000009 27096164 a_size_t....'.ad + 30cb0: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 30cc0: 000015b2 075f5f64 6d615f73 65677300 .....__dma_segs. + 30cd0: 08000016 0e087061 64647200 0000159b ......paddr..... + 30ce0: 02230008 6c656e00 000015cb 02230400 .#..len......#.. + 30cf0: 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... + 30d00: 09270961 5f75696e 7433325f 74000000 .'.a_uint32_t... + 30d10: 160e0f00 0015e208 0000163d 10000007 ...........=.... + 30d20: 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 30d30: 666f000c 00001676 086e7365 67730000 fo.....v.nsegs.. + 30d40: 00162002 23000864 6d615f73 65677300 .. .#..dma_segs. + 30d50: 00001630 02230400 095f5f61 5f75696e ...0.#...__a_uin + 30d60: 74385f74 00000012 e109615f 75696e74 t8_t......a_uint + 30d70: 385f7400 00001676 03000016 87040007 8_t....v........ + 30d80: 5f5f7367 5f736567 73000800 0016c808 __sg_segs....... + 30d90: 76616464 72000000 16960223 00086c65 vaddr......#..le + 30da0: 6e000000 16200223 04000f00 00169d20 n.... .#....... + 30db0: 000016d5 10030007 6164665f 6f735f73 ........adf_os_s + 30dc0: 676c6973 74002400 00170808 6e736567 glist.$.....nseg + 30dd0: 73000000 16200223 00087367 5f736567 s.... .#..sg_seg + 30de0: 73000000 16c80223 04001210 00001751 s......#.......Q + 30df0: 0876656e 646f7200 00001620 02230008 .vendor.... .#.. + 30e00: 64657669 63650000 00162002 23040873 device.... .#..s + 30e10: 75627665 6e646f72 00000016 20022308 ubvendor.... .#. + 30e20: 08737562 64657669 63650000 00162002 .subdevice.... . + 30e30: 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un + 30e40: 7369676e 65642069 6e740007 0809415f signed int....A_ + 30e50: 55494e54 36340000 00175109 5f5f615f UINT64....Q.__a_ + 30e60: 75696e74 36345f74 00000017 6b09615f uint64_t....k.a_ + 30e70: 75696e74 36345f74 00000017 79140400 uint64_t....y... + 30e80: 0017d70e 4144465f 4f535f52 45534f55 ....ADF_OS_RESOU + 30e90: 5243455f 54595045 5f4d454d 00000e41 RCE_TYPE_MEM...A + 30ea0: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 30eb0: 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os + 30ec0: 5f726573 6f757263 655f7479 70655f74 _resource_type_t + 30ed0: 00000017 9b121800 00182108 73746172 ..........!.star + 30ee0: 74000000 178b0223 0008656e 64000000 t......#..end... + 30ef0: 178b0223 08087479 70650000 0017d702 ...#..type...... + 30f00: 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d + 30f10: 65765f69 645f7400 00001708 03000018 ev_id_t......... + 30f20: 21040011 04000018 60087063 69000000 !.......`.pci... + 30f30: 183a0223 00087261 77000000 04100223 .:.#..raw......# + 30f40: 00001110 0000187f 08706369 00000018 .........pci.... + 30f50: 21022300 08726177 00000004 10022300 !.#..raw......#. + 30f60: 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle + 30f70: 5f740000 00041009 6164665f 6f735f72 _t......adf_os_r + 30f80: 65736f75 7263655f 74000000 17f30300 esource_t....... + 30f90: 00189504 00096164 665f6f73 5f617474 ......adf_os_att + 30fa0: 6163685f 64617461 5f740000 00186003 ach_data_t....`. + 30fb0: 000018b3 04000300 0014df04 00095f5f ..............__ + 30fc0: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 30fd0: 000018d4 09616466 5f6f735f 64657669 .....adf_os_devi + 30fe0: 63655f74 00000018 db060000 187f0103 ce_t............ + 30ff0: 00001907 04000201 03000019 14040009 ................ + 31000: 6164665f 6f735f70 6d5f7400 00000410 adf_os_pm_t..... + 31010: 02010300 00192e04 00140400 00196e0e ..............n. + 31020: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 31030: 50434900 010e4144 465f4f53 5f425553 PCI...ADF_OS_BUS + 31040: 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... + 31050: 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type + 31060: 5f740000 00193709 6164665f 6f735f62 _t....7.adf_os_b + 31070: 75735f72 65675f64 6174615f 74000000 us_reg_data_t... + 31080: 18410300 00032804 00075f61 64665f64 .A....(..._adf_d + 31090: 72765f69 6e666f00 2000001a 4b086472 rv_info. ...K.dr + 310a0: 765f6174 74616368 00000019 0d022300 v_attach......#. + 310b0: 08647276 5f646574 61636800 00001916 .drv_detach..... + 310c0: 02230408 6472765f 73757370 656e6400 .#..drv_suspend. + 310d0: 00001930 02230808 6472765f 72657375 ...0.#..drv_resu + 310e0: 6d650000 00191602 230c0862 75735f74 me......#..bus_t + 310f0: 79706500 0000196e 02231008 6275735f ype....n.#..bus_ + 31100: 64617461 00000019 85022314 086d6f64 data......#..mod + 31110: 5f6e616d 65000000 19a00223 18086966 _name......#..if + 31120: 6e616d65 00000019 a002231c 00096164 name......#...ad + 31130: 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... + 31140: 04100300 00167604 00020102 01095f5f ......v.......__ + 31150: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 31160: 04131404 00001a9a 0e415f46 414c5345 .........A_FALSE + 31170: 00000e41 5f545255 45000100 09615f62 ...A_TRUE....a_b + 31180: 6f6f6c5f 74000000 1a800300 00150804 ool_t........... + 31190: 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m + 311a0: 61705f74 0000001a a802010d 6164665f ap_t........adf_ + 311b0: 6f735f63 61636865 5f73796e 63000400 os_cache_sync... + 311c0: 001b320e 4144465f 53594e43 5f505245 ..2.ADF_SYNC_PRE + 311d0: 52454144 00000e41 44465f53 594e435f READ...ADF_SYNC_ + 311e0: 50524557 52495445 00020e41 44465f53 PREWRITE...ADF_S + 311f0: 594e435f 504f5354 52454144 00010e41 YNC_POSTREAD...A + 31200: 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT + 31210: 45000300 09616466 5f6f735f 63616368 E....adf_os_cach + 31220: 655f7379 6e635f74 0000001a c9020109 e_sync_t........ + 31230: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 31240: 1a6b0600 001b4d01 09616466 5f6f735f .k....M..adf_os_ + 31250: 646d615f 6d61705f 74000000 1aaf0300 dma_map_t....... + 31260: 001b6604 00060000 04100103 00001aaf ..f............. + 31270: 04000600 00041001 02010600 00159b01 ................ + 31280: 02010473 686f7274 20696e74 00050209 ...short int.... + 31290: 415f494e 54313600 00001ba0 095f5f61 A_INT16......__a + 312a0: 5f696e74 31365f74 0000001b ad09615f _int16_t......a_ + 312b0: 696e7431 365f7400 00001bba 04736967 int16_t......sig + 312c0: 6e656420 63686172 00050109 415f494e ned char....A_IN + 312d0: 54380000 001bda09 5f5f615f 696e7438 T8......__a_int8 + 312e0: 5f740000 001be909 615f696e 74385f74 _t......a_int8_t + 312f0: 0000001b f5120c00 001c6c08 73757070 ..........l.supp + 31300: 6f727465 64000000 16200223 00086164 orted.... .#..ad + 31310: 76657274 697a6564 00000016 20022304 vertized.... .#. + 31320: 08737065 65640000 001bcb02 23080864 .speed......#..d + 31330: 75706c65 78000000 1c050223 0a086175 uplex......#..au + 31340: 746f6e65 67000000 16870223 0b000f00 toneg......#.... + 31350: 00168706 00001c79 10050007 6164665f .......y....adf_ + 31360: 6e65745f 65746861 64647200 0600001c net_ethaddr..... + 31370: 9d086164 64720000 001c6c02 23000009 ..addr....l.#... + 31380: 5f5f615f 75696e74 31365f74 00000012 __a_uint16_t.... + 31390: f509615f 75696e74 31365f74 0000001c ..a_uint16_t.... + 313a0: 9d120e00 001d0108 65746865 725f6468 ........ether_dh + 313b0: 6f737400 00001c6c 02230008 65746865 ost....l.#..ethe + 313c0: 725f7368 6f737400 00001c6c 02230608 r_shost....l.#.. + 313d0: 65746865 725f7479 70650000 001caf02 ether_type...... + 313e0: 230c0012 1400001d c2156970 5f766572 #.........ip_ver + 313f0: 73696f6e 00000016 87010004 02230015 sion.........#.. + 31400: 69705f68 6c000000 16870104 04022300 ip_hl.........#. + 31410: 0869705f 746f7300 00001687 02230108 .ip_tos......#.. + 31420: 69705f6c 656e0000 001caf02 23020869 ip_len......#..i + 31430: 705f6964 0000001c af022304 0869705f p_id......#..ip_ + 31440: 66726167 5f6f6666 0000001c af022306 frag_off......#. + 31450: 0869705f 74746c00 00001687 02230808 .ip_ttl......#.. + 31460: 69705f70 726f746f 00000016 87022309 ip_proto......#. + 31470: 0869705f 63686563 6b000000 1caf0223 .ip_check......# + 31480: 0a086970 5f736164 64720000 00162002 ..ip_saddr.... . + 31490: 230c0869 705f6461 64647200 00001620 #..ip_daddr.... + 314a0: 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla + 314b0: 6e686472 00040000 1e140874 70696400 nhdr.......tpid. + 314c0: 00001caf 02230015 7072696f 00000016 .....#..prio.... + 314d0: 87010003 02230215 63666900 00001687 .....#..cfi..... + 314e0: 01030102 23021576 69640000 001caf02 ....#..vid...... + 314f0: 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v + 31500: 69640002 00001e45 15726573 00000016 id.....E.res.... + 31510: 87010004 02230015 76616c00 00001caf .....#..val..... + 31520: 02040c02 23000012 0c00001e 81087278 ....#.........rx + 31530: 5f627566 73697a65 00000016 20022300 _bufsize.... .#. + 31540: 0872785f 6e646573 63000000 16200223 .rx_ndesc.... .# + 31550: 04087478 5f6e6465 73630000 00162002 ..tx_ndesc.... . + 31560: 23080012 0800001e a708706f 6c6c6564 #.........polled + 31570: 0000001a 9a022300 08706f6c 6c5f7774 ......#..poll_wt + 31580: 00000016 20022304 000f0000 16874000 .... .#.......@. + 31590: 001eb410 3f001246 00001edc 0869665f ....?..F.....if_ + 315a0: 6e616d65 0000001e a7022300 08646576 name......#..dev + 315b0: 5f616464 72000000 1c6c0223 40001404 _addr....l.#@... + 315c0: 00001f13 0e414446 5f4f535f 444d415f .....ADF_OS_DMA_ + 315d0: 4d41534b 5f333242 49540000 0e414446 MASK_32BIT...ADF + 315e0: 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B + 315f0: 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma + 31600: 5f6d6173 6b5f7400 00001edc 07616466 _mask_t......adf + 31610: 5f646d61 5f696e66 6f000800 001f6008 _dma_info.....`. + 31620: 646d615f 6d61736b 0000001f 13022300 dma_mask......#. + 31630: 0873675f 6e736567 73000000 16200223 .sg_nsegs.... .# + 31640: 04001404 00001fb6 0e414446 5f4e4554 .........ADF_NET + 31650: 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD + 31660: 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 31670: 5544505f 49507634 00010e41 44465f4e UDP_IPv4...ADF_N + 31680: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 31690: 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net + 316a0: 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 316b0: 1f601208 00001ff9 0874785f 636b7375 .`.......tx_cksu + 316c0: 6d000000 1fb60223 00087278 5f636b73 m......#..rx_cks + 316d0: 756d0000 001fb602 23040009 6164665f um......#...adf_ + 316e0: 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t + 316f0: 0000001f d0140400 0020520e 4144465f ......... R.ADF_ + 31700: 4e45545f 54534f5f 4e4f4e45 00000e41 NET_TSO_NONE...A + 31710: 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. + 31720: 010e4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL + 31730: 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso + 31740: 5f747970 655f7400 00002013 12100000 _type_t... ..... + 31750: 20a60863 6b73756d 5f636170 0000001f ..cksum_cap.... + 31760: f9022300 0874736f 00000020 52022308 ..#..tso... R.#. + 31770: 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. + 31780: 00001687 02230c00 12200000 213f0874 .....#... ..!?.t + 31790: 785f7061 636b6574 73000000 16200223 x_packets.... .# + 317a0: 00087278 5f706163 6b657473 00000016 ..rx_packets.... + 317b0: 20022304 0874785f 62797465 73000000 .#..tx_bytes... + 317c0: 16200223 08087278 5f627974 65730000 . .#..rx_bytes.. + 317d0: 00162002 230c0874 785f6472 6f707065 .. .#..tx_droppe + 317e0: 64000000 16200223 10087278 5f64726f d.... .#..rx_dro + 317f0: 70706564 00000016 20022314 0872785f pped.... .#..rx_ + 31800: 6572726f 72730000 00162002 23180874 errors.... .#..t + 31810: 785f6572 726f7273 00000016 2002231c x_errors.... .#. + 31820: 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 31830: 725f7400 00001c79 16000021 3f030000 r_t....y...!?... + 31840: 00216410 7f001761 64665f6e 65745f63 .!d....adf_net_c + 31850: 6d645f6d 63616464 72000304 0000219b md_mcaddr.....!. + 31860: 086e656c 656d0000 00162002 2300086d .nelem.... .#..m + 31870: 63617374 00000021 56022304 00096164 cast...!V.#...ad + 31880: 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i + 31890: 6e666f5f 74000000 1c130961 64665f6e nfo_t......adf_n + 318a0: 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info + 318b0: 5f740000 001e8109 6164665f 6e65745f _t......adf_net_ + 318c0: 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t + 318d0: 0000001f f9096164 665f6e65 745f636d ......adf_net_cm + 318e0: 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... + 318f0: 1e450961 64665f6e 65745f63 6d645f64 .E.adf_net_cmd_d + 31900: 6d615f69 6e666f5f 74000000 1f2a0961 ma_info_t....*.a + 31910: 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t + 31920: 0000001c af096164 665f6e65 745f636d ......adf_net_cm + 31930: 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. + 31940: 0000206a 09616466 5f6e6574 5f636d64 .. j.adf_net_cmd + 31950: 5f737461 74735f74 00000020 a6096164 _stats_t... ..ad + 31960: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 31970: 5f740000 0021640d 6164665f 6e65745f _t...!d.adf_net_ + 31980: 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... + 31990: 0022dd0e 4144465f 4e45545f 4d434153 ."..ADF_NET_MCAS + 319a0: 545f5355 5000000e 4144465f 4e45545f T_SUP...ADF_NET_ + 319b0: 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... + 319c0: 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 319d0: 745f6361 705f7400 00002295 18030400 t_cap_t..."..... + 319e0: 0023af08 6c696e6b 5f696e66 6f000000 .#..link_info... + 319f0: 219b0223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. + 31a00: 000021b8 02230008 636b7375 6d5f696e ..!..#..cksum_in + 31a10: 666f0000 0021d502 23000872 696e675f fo...!..#..ring_ + 31a20: 696e666f 00000021 f3022300 08646d61 info...!..#..dma + 31a30: 5f696e66 6f000000 22100223 00087669 _info..."..#..vi + 31a40: 64000000 222c0223 00086f66 666c6f61 d...",.#..offloa + 31a50: 645f6361 70000000 22430223 00087374 d_cap..."C.#..st + 31a60: 61747300 00002262 02230008 6d636173 ats..."b.#..mcas + 31a70: 745f696e 666f0000 00227b02 2300086d t_info..."{.#..m + 31a80: 63617374 5f636170 00000022 dd022300 cast_cap..."..#. + 31a90: 00140400 0024060e 4144465f 4e425546 .....$..ADF_NBUF + 31aa0: 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. + 31ab0: 0e414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 31ac0: 554d5f48 5700010e 4144465f 4e425546 UM_HW...ADF_NBUF + 31ad0: 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE + 31ae0: 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu + 31af0: 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ + 31b00: 74000000 23af1208 00002446 08726573 t...#.....$F.res + 31b10: 756c7400 00002406 02230008 76616c00 ult...$..#..val. + 31b20: 00001620 02230400 12080000 24760874 ... .#......$v.t + 31b30: 79706500 00002052 02230008 6d737300 ype... R.#..mss. + 31b40: 00001caf 02230408 6864725f 6f666600 .....#..hdr_off. + 31b50: 00001687 02230600 075f5f61 64665f6e .....#...__adf_n + 31b60: 6275665f 71686561 64000c00 0024b508 buf_qhead....$.. + 31b70: 68656164 00000014 40022300 08746169 head....@.#..tai + 31b80: 6c000000 14400223 0408716c 656e0000 l....@.#..qlen.. + 31b90: 00162002 23080009 5f5f6164 665f6e62 .. .#...__adf_nb + 31ba0: 75665f74 00000014 40030000 16960400 uf_t....@....... + 31bb0: 03000016 20040002 01060000 13220106 .... ........".. + 31bc0: 00001620 01060000 16960106 00001696 ... ............ + 31bd0: 01030000 13030400 095f5f61 64665f6e .........__adf_n + 31be0: 6275665f 71686561 645f7400 00002476 buf_qhead_t...$v + 31bf0: 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu + 31c00: 655f7400 000024f6 03000025 0e040006 e_t...$....%.... + 31c10: 000024b5 01060000 24b50114 04000026 ..$.....$......& + 31c20: 2e0e415f 53544154 55535f4f 4b00000e ..A_STATUS_OK... + 31c30: 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. + 31c40: 010e415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN + 31c50: 5400020e 415f5354 41545553 5f454e4f T...A_STATUS_ENO + 31c60: 4d454d00 030e415f 53544154 55535f45 MEM...A_STATUS_E + 31c70: 494e5641 4c00040e 415f5354 41545553 INVAL...A_STATUS + 31c80: 5f45494e 50524f47 52455353 00050e41 _EINPROGRESS...A + 31c90: 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP + 31ca0: 00060e41 5f535441 5455535f 45425553 ...A_STATUS_EBUS + 31cb0: 5900070e 415f5354 41545553 5f453242 Y...A_STATUS_E2B + 31cc0: 49470008 0e415f53 54415455 535f4541 IG...A_STATUS_EA + 31cd0: 4444524e 4f544156 41494c00 090e415f DDRNOTAVAIL...A_ + 31ce0: 53544154 55535f45 4e58494f 000a0e41 STATUS_ENXIO...A + 31cf0: 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. + 31d00: 0e415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... + 31d10: 09615f73 74617475 735f7400 00002539 .a_status_t...%9 + 31d20: 06000026 2e010600 00011b01 02010961 ...&...........a + 31d30: 64665f6e 6275665f 74000000 24b51404 df_nbuf_t...$... + 31d40: 00002693 0e414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ + 31d50: 544f5f44 45564943 4500000e 4144465f TO_DEVICE...ADF_ + 31d60: 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI + 31d70: 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma + 31d80: 5f646972 5f740000 00265c06 0000262e _dir_t...&\...&. + 31d90: 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama + 31da0: 705f696e 666f5f74 00000016 3d030000 p_info_t....=... + 31db0: 26b10400 02010201 06000026 4c010600 &..........&L... + 31dc0: 0024b501 02010201 06000026 4c010600 .$.........&L... + 31dd0: 0024b501 06000026 4c010600 0024b501 .$.....&L....$.. + 31de0: 06000026 4c010201 02010600 00162001 ...&L......... . + 31df0: 06000016 96010201 02010600 001b4d01 ..............M. + 31e00: 0600001a 9a010600 001a9a01 09616466 .............adf + 31e10: 5f6f735f 73676c69 73745f74 00000016 _os_sglist_t.... + 31e20: d5030000 272a0400 02010201 02010600 ....'*.......... + 31e30: 00169601 09616466 5f6e6275 665f7175 .....adf_nbuf_qu + 31e40: 6575655f 74000000 250e0300 00275204 eue_t...%....'R. + 31e50: 00020103 000024f6 04000201 02010201 ......$......... + 31e60: 06000026 4c010600 0024b501 06000016 ...&L....$...... + 31e70: 20010600 00162001 0600001a 9a010600 ..... ......... + 31e80: 001a9a01 0600001f b6010600 00162001 .............. . + 31e90: 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 31ea0: 756d5f74 00000024 24030000 27ae0400 um_t...$$...'... + 31eb0: 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts + 31ec0: 6f5f7400 00002446 03000027 d2040002 o_t...$F...'.... + 31ed0: 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand + 31ee0: 6c655f74 00000004 10096164 665f6e65 le_t......adf_ne + 31ef0: 745f766c 616e6864 725f7400 00001dc2 t_vlanhdr_t..... + 31f00: 03000028 07040006 0000262e 01060000 ...(......&..... + 31f10: 262e0102 01020107 5f484946 5f434f4e &......._HIF_CON + 31f20: 46494700 04000028 56086475 6d6d7900 FIG....(V.dummy. + 31f30: 0000011b 02230000 02010300 00285604 .....#.......(V. + 31f40: 00020103 0000285f 0400075f 4849465f ......(_..._HIF_ + 31f50: 43414c4c 4241434b 000c0000 28b40873 CALLBACK....(..s + 31f60: 656e645f 6275665f 646f6e65 00000028 end_buf_done...( + 31f70: 58022300 08726563 765f6275 66000000 X.#..recv_buf... + 31f80: 28610223 0408636f 6e746578 74000000 (a.#..context... + 31f90: 04100223 08000968 69665f68 616e646c ...#...hif_handl + 31fa0: 655f7400 00000410 09484946 5f434f4e e_t......HIF_CON + 31fb0: 46494700 00002835 03000028 c6040006 FIG...(5...(.... + 31fc0: 000028b4 01030000 28dd0400 02010300 ..(.....(....... + 31fd0: 0028ea04 00094849 465f4341 4c4c4241 .(....HIF_CALLBA + 31fe0: 434b0000 00286803 000028f3 04000201 CK...(h...(..... + 31ff0: 03000029 0c040006 0000011b 01030000 ...)............ + 32000: 29150400 02010300 00292204 00060000 )........)"..... + 32010: 011b0103 0000292b 04000201 03000029 ......)+.......) + 32020: 38040006 0000011b 01030000 29410400 8...........)A.. + 32030: 02010300 00294e04 00076869 665f6170 .....)N...hif_ap + 32040: 69003800 002aa708 5f696e69 74000000 i.8..*.._init... + 32050: 28e30223 00085f73 68757464 6f776e00 (..#.._shutdown. + 32060: 000028ec 02230408 5f726567 69737465 ..(..#.._registe + 32070: 725f6361 6c6c6261 636b0000 00290e02 r_callback...).. + 32080: 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr + 32090: 65646974 5f636f75 6e740000 00291b02 edit_count...).. + 320a0: 230c085f 73746172 74000000 28ec0223 #.._start...(..# + 320b0: 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. + 320c0: 00292402 2314085f 73656e64 5f627566 .)$.#.._send_buf + 320d0: 66657200 00002931 02231808 5f726574 fer...)1.#.._ret + 320e0: 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) + 320f0: 3a02231c 085f6973 5f706970 655f7375 :.#.._is_pipe_su + 32100: 70706f72 74656400 00002947 02232008 pported...)G.# . + 32110: 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len + 32120: 00000029 47022324 085f6765 745f7265 ...)G.#$._get_re + 32130: 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 32140: 0000291b 02232808 5f697372 5f68616e ..)..#(._isr_han + 32150: 646c6572 00000028 ec02232c 085f6765 dler...(..#,._ge + 32160: 745f6465 6661756c 745f7069 70650000 t_default_pipe.. + 32170: 00295002 23300870 52657365 72766564 .)P.#0.pReserved + 32180: 00000004 10022334 000d646d 615f656e ......#4..dma_en + 32190: 67696e65 00040000 2b300e44 4d415f45 gine....+0.DMA_E + 321a0: 4e47494e 455f5258 3000000e 444d415f NGINE_RX0...DMA_ + 321b0: 454e4749 4e455f52 58310001 0e444d41 ENGINE_RX1...DMA + 321c0: 5f454e47 494e455f 52583200 020e444d _ENGINE_RX2...DM + 321d0: 415f454e 47494e45 5f525833 00030e44 A_ENGINE_RX3...D + 321e0: 4d415f45 4e47494e 455f5458 3000040e MA_ENGINE_TX0... + 321f0: 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. + 32200: 0e444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. + 32210: 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. + 32220: 00002aa7 0d646d61 5f696674 79706500 ..*..dma_iftype. + 32230: 0400002b 7d0e444d 415f4946 5f474d41 ...+}.DMA_IF_GMA + 32240: 4300000e 444d415f 49465f50 43490001 C...DMA_IF_PCI.. + 32250: 0e444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... + 32260: 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ + 32270: 42060000 12f50103 00002b8f 04000201 B.........+..... + 32280: 0300002b 9c040002 01030000 2ba50400 ...+........+... + 32290: 06000009 27010300 002bae04 00060000 ....'....+...... + 322a0: 12f50103 00002bbb 04000600 0012f501 ......+......... + 322b0: 0300002b c8040006 00001440 01030000 ...+.......@.... + 322c0: 2bd50400 02010300 002be204 0007646d +........+....dm + 322d0: 615f6c69 625f6170 69003400 002ce908 a_lib_api.4..,.. + 322e0: 74785f69 6e697400 00002b95 02230008 tx_init...+..#.. + 322f0: 74785f73 74617274 0000002b 9e022304 tx_start...+..#. + 32300: 0872785f 696e6974 0000002b 95022308 .rx_init...+..#. + 32310: 0872785f 636f6e66 69670000 002ba702 .rx_config...+.. + 32320: 230c0872 785f7374 61727400 00002b9e #..rx_start...+. + 32330: 02231008 696e7472 5f737461 74757300 .#..intr_status. + 32340: 00002bb4 02231408 68617264 5f786d69 ..+..#..hard_xmi + 32350: 74000000 2bc10223 1808666c 7573685f t...+..#..flush_ + 32360: 786d6974 0000002b 9e02231c 08786d69 xmit...+..#..xmi + 32370: 745f646f 6e650000 002bce02 23200872 t_done...+..# .r + 32380: 6561705f 786d6974 74656400 00002bdb eap_xmitted...+. + 32390: 02232408 72656170 5f726563 76000000 .#$.reap_recv... + 323a0: 2bdb0223 28087265 7475726e 5f726563 +..#(.return_rec + 323b0: 76000000 2be40223 2c087265 63765f70 v...+..#,.recv_p + 323c0: 6b740000 002bce02 23300007 5f5f7063 kt...+..#0..__pc + 323d0: 695f736f 66746300 0c00002d 07087377 i_softc....-..sw + 323e0: 00000028 f3022300 00095f5f 7063695f ...(..#...__pci_ + 323f0: 736f6674 635f7400 00002ce9 0300002d softc_t...,....- + 32400: 07040002 01030000 2d210400 06000012 ........-!...... + 32410: e1010300 002d2a04 000d6869 665f7063 .....-*...hif_pc + 32420: 695f7069 70655f74 78000400 002d8a0e i_pipe_tx....-.. + 32430: 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 + 32440: 00000e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 32450: 54583100 010e4849 465f5043 495f5049 TX1...HIF_PCI_PI + 32460: 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif + 32470: 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. + 32480: 002d3706 00002b30 01030000 2da10400 .-7...+0....-... + 32490: 0d686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 324a0: 00040000 2e270e48 49465f50 43495f50 .....'.HIF_PCI_P + 324b0: 4950455f 52583000 000e4849 465f5043 IPE_RX0...HIF_PC + 324c0: 495f5049 50455f52 58310001 0e484946 I_PIPE_RX1...HIF + 324d0: 5f504349 5f504950 455f5258 3200020e _PCI_PIPE_RX2... + 324e0: 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 + 324f0: 00030e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 32500: 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc + 32510: 695f7069 70655f72 785f7400 00002dae i_pipe_rx_t...-. + 32520: 0600002b 30010300 002e3e04 00076869 ...+0.....>...hi + 32530: 665f7063 695f6170 69002400 002f1c08 f_pci_api.$../.. + 32540: 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... + 32550: 01140223 00087063 695f696e 69740000 ...#..pci_init.. + 32560: 0028e302 23040870 63695f72 65736574 .(..#..pci_reset + 32570: 00000001 14022308 08706369 5f656e61 ......#..pci_ena + 32580: 626c6500 00000114 02230c08 7063695f ble......#..pci_ + 32590: 72656170 5f786d69 74746564 0000002d reap_xmitted...- + 325a0: 23022310 08706369 5f726561 705f7265 #.#..pci_reap_re + 325b0: 63760000 002d2302 23140870 63695f67 cv...-#.#..pci_g + 325c0: 65745f70 69706500 00002d30 02231808 et_pipe...-0.#.. + 325d0: 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. + 325e0: 002da702 231c0870 63695f67 65745f72 .-..#..pci_get_r + 325f0: 785f656e 67000000 2e440223 20000767 x_eng....D.# ..g + 32600: 6d61635f 61706900 0400002f 4308676d mac_api..../C.gm + 32610: 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... + 32620: 14022300 000f0000 03280600 002f5010 ..#......(.../P. + 32630: 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ + 32640: 86086473 74000000 2f430223 00087372 ..dst.../C.#..sr + 32650: 63000000 2f430223 06086574 79706500 c.../C.#..etype. + 32660: 000012f5 02230c00 075f5f61 74686864 .....#...__athhd + 32670: 72000400 002fd415 72657300 000012e1 r..../..res..... + 32680: 01000202 23001570 726f746f 00000012 ....#..proto.... + 32690: e1010206 02230008 7265735f 6c6f0000 .....#..res_lo.. + 326a0: 0012e102 23010872 65735f68 69000000 ....#..res_hi... + 326b0: 12f50223 0200075f 5f676d61 635f6864 ...#...__gmac_hd + 326c0: 72001400 00301008 65746800 00002f50 r....0..eth.../P + 326d0: 02230008 61746800 00002f86 02230e08 .#..ath.../..#.. + 326e0: 616c6967 6e5f7061 64000000 12f50223 align_pad......# + 326f0: 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. + 32700: 00002fd4 075f5f67 6d61635f 736f6674 ../..__gmac_soft + 32710: 63002400 00305a08 68647200 00003010 c.$..0Z.hdr...0. + 32720: 02230008 6772616e 00000012 f5022314 .#..gran......#. + 32730: 08737700 000028f3 02231800 075f415f .sw...(..#..._A_ + 32740: 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check + 32750: 00080000 30930876 65727369 6f6e0000 ....0..version.. + 32760: 00011b02 23000874 61626c65 00000001 ....#..table.... + 32770: 1b022304 00030000 305a0400 06000001 ..#.....0Z...... + 32780: 1b010300 00309a04 00030000 04130400 .....0.......... + 32790: 175f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire + 327a0: 6374696f 6e5f7461 626c6500 01b80000 ction_table..... + 327b0: 31ea0868 616c5f6c 696e6b61 67655f63 1..hal_linkage_c + 327c0: 6865636b 00000030 a0022300 08737461 heck...0..#..sta + 327d0: 72745f62 73730000 0030a702 23040861 rt_bss...0..#..a + 327e0: 70705f73 74617274 00000001 14022308 pp_start......#. + 327f0: 086d656d 00000004 5302230c 086d6973 .mem....S.#..mis + 32800: 63000000 05720223 20087072 696e7466 c....r.# .printf + 32810: 00000001 48022344 08756172 74000000 ....H.#D.uart... + 32820: 02110223 4c08676d 61630000 002f1c02 ...#L.gmac.../.. + 32830: 236c0875 73620000 000fa102 23700863 #l.usb......#p.c + 32840: 6c6f636b 0000000a ea0323e0 01087469 lock......#...ti + 32850: 6d657200 0000078a 03238402 08696e74 mer......#...int + 32860: 72000000 0c3a0323 98020861 6c6c6f63 r....:.#...alloc + 32870: 72616d00 00000942 0323c402 08726f6d ram....B.#...rom + 32880: 70000000 08340323 d0020877 64745f74 p....4.#...wdt_t + 32890: 696d6572 0000000e 170323e0 02086565 imer......#...ee + 328a0: 70000000 0f450323 fc020873 7472696e p....E.#...strin + 328b0: 67000000 06960323 8c030874 61736b6c g......#...taskl + 328c0: 65740000 000a3f03 23a40300 075f5553 et....?.#...._US + 328d0: 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... + 328e0: 00325d08 6765745f 636f6d6d 616e645f .2].get_command_ + 328f0: 62756600 0000144d 02230008 72656376 buf....M.#..recv + 32900: 5f636f6d 6d616e64 00000014 63022304 _command....c.#. + 32910: 08676574 5f657665 6e745f62 75660000 .get_event_buf.. + 32920: 00144d02 23080873 656e645f 6576656e ..M.#..send_even + 32930: 745f646f 6e650000 00146302 230c0009 t_done....c.#... + 32940: 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 32950: 000031ea 03000032 5d040002 01030000 ..1....2]....... + 32960: 32790400 07757362 6669666f 5f617069 2y...usbfifo_api + 32970: 000c0000 32cf085f 696e6974 00000032 ....2.._init...2 + 32980: 7b022300 085f656e 61626c65 5f657665 {.#.._enable_eve + 32990: 6e745f69 73720000 00011402 23040870 nt_isr......#..p + 329a0: 52657365 72766564 00000004 10022308 Reserved......#. + 329b0: 000f0000 16870200 0032dc10 0100075f .........2....._ + 329c0: 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... + 329d0: 00334e08 456e6470 6f696e74 49440000 .3N.EndpointID.. + 329e0: 00168702 23000846 6c616773 00000016 ....#..Flags.... + 329f0: 87022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. + 32a00: 00001caf 02230208 436f6e74 726f6c42 .....#..ControlB + 32a10: 79746573 00000032 cf022304 08486f73 ytes...2..#..Hos + 32a20: 74536571 4e756d00 00001caf 02230600 tSeqNum......#.. + 32a30: 12020000 3367084d 65737361 67654944 ....3g.MessageID + 32a40: 0000001c af022300 00120800 0033ca08 ......#......3.. + 32a50: 4d657373 61676549 44000000 1caf0223 MessageID......# + 32a60: 00084372 65646974 436f756e 74000000 ..CreditCount... + 32a70: 1caf0223 02084372 65646974 53697a65 ...#..CreditSize + 32a80: 0000001c af022304 084d6178 456e6470 ......#..MaxEndp + 32a90: 6f696e74 73000000 16870223 06085f50 oints......#.._P + 32aa0: 61643100 00001687 02230700 120a0000 ad1......#...... + 32ab0: 3461084d 65737361 67654944 0000001c 4a.MessageID.... + 32ac0: af022300 08536572 76696365 49440000 ..#..ServiceID.. + 32ad0: 001caf02 23020843 6f6e6e65 6374696f ....#..Connectio + 32ae0: 6e466c61 67730000 001caf02 23040844 nFlags......#..D + 32af0: 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 32b00: 16870223 06085570 4c696e6b 50697065 ...#..UpLinkPipe + 32b10: 49440000 00168702 23070853 65727669 ID......#..Servi + 32b20: 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 32b30: 87022308 085f5061 64310000 00168702 ..#.._Pad1...... + 32b40: 23090012 0a000034 e9084d65 73736167 #......4..Messag + 32b50: 65494400 00001caf 02230008 53657276 eID......#..Serv + 32b60: 69636549 44000000 1caf0223 02085374 iceID......#..St + 32b70: 61747573 00000016 87022304 08456e64 atus......#..End + 32b80: 706f696e 74494400 00001687 02230508 pointID......#.. + 32b90: 4d61784d 73675369 7a650000 001caf02 MaxMsgSize...... + 32ba0: 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe + 32bb0: 6e677468 00000016 87022308 085f5061 ngth......#.._Pa + 32bc0: 64310000 00168702 23090012 02000035 d1......#......5 + 32bd0: 02084d65 73736167 65494400 00001caf ..MessageID..... + 32be0: 02230000 12040000 353e084d 65737361 .#......5>.Messa + 32bf0: 67654944 0000001c af022300 08506970 geID......#..Pip + 32c00: 65494400 00001687 02230208 43726564 eID......#..Cred + 32c10: 6974436f 756e7400 00001687 02230300 itCount......#.. + 32c20: 12040000 3575084d 65737361 67654944 ....5u.MessageID + 32c30: 0000001c af022300 08506970 65494400 ......#..PipeID. + 32c40: 00001687 02230208 53746174 75730000 .....#..Status.. + 32c50: 00168702 23030012 02000035 9c085265 ....#......5..Re + 32c60: 636f7264 49440000 00168702 2300084c cordID......#..L + 32c70: 656e6774 68000000 16870223 01001202 ength......#.... + 32c80: 000035c6 08456e64 706f696e 74494400 ..5..EndpointID. + 32c90: 00001687 02230008 43726564 69747300 .....#..Credits. + 32ca0: 00001687 02230100 12040000 36070845 .....#......6..E + 32cb0: 6e64706f 696e7449 44000000 16870223 ndpointID......# + 32cc0: 00084372 65646974 73000000 16870223 ..Credits......# + 32cd0: 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo + 32ce0: 0000001c af022302 000f0000 16870400 ......#......... + 32cf0: 00361410 03001206 00003650 08507265 .6........6P.Pre + 32d00: 56616c69 64000000 16870223 00084c6f Valid......#..Lo + 32d10: 6f6b4168 65616400 00003607 02230108 okAhead...6..#.. + 32d20: 506f7374 56616c69 64000000 16870223 PostValid......# + 32d30: 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t + 32d40: 00000004 10060000 36500103 00003663 ........6P....6c + 32d50: 04000201 03000036 70040014 04000036 .......6p......6 + 32d60: ee0e504f 4f4c5f49 445f4854 435f434f ..POOL_ID_HTC_CO + 32d70: 4e54524f 4c00000e 504f4f4c 5f49445f NTROL...POOL_ID_ + 32d80: 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL + 32d90: 5900010e 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ + 32da0: 5356435f 4556454e 5400020e 504f4f4c SVC_EVENT...POOL + 32db0: 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. + 32dc0: 030e504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... + 32dd0: 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 + 32de0: 79020103 000036ff 04000600 00264c01 y.....6......&L. + 32df0: 03000037 08040006 0000264c 01030000 ...7......&L.... + 32e00: 37150400 02010300 00372204 00076275 7........7"...bu + 32e10: 665f706f 6f6c5f61 7069001c 000037c4 f_pool_api....7. + 32e20: 085f696e 69740000 00366902 2300085f ._init...6i.#.._ + 32e30: 73687574 646f776e 00000036 72022304 shutdown...6r.#. + 32e40: 085f6372 65617465 5f706f6f 6c000000 ._create_pool... + 32e50: 37010223 08085f61 6c6c6f63 5f627566 7..#.._alloc_buf + 32e60: 00000037 0e02230c 085f616c 6c6f635f ...7..#.._alloc_ + 32e70: 6275665f 616c6967 6e000000 371b0223 buf_align...7..# + 32e80: 10085f66 7265655f 62756600 00003724 .._free_buf...7$ + 32e90: 02231408 70526573 65727665 64000000 .#..pReserved... + 32ea0: 04100223 1800075f 4854435f 53455256 ...#..._HTC_SERV + 32eb0: 49434500 1c000038 a308704e 65787400 ICE....8..pNext. + 32ec0: 000038a3 02230008 50726f63 65737352 ..8..#..ProcessR + 32ed0: 6563764d 73670000 00395802 23040850 ecvMsg...9X.#..P + 32ee0: 726f6365 73735365 6e644275 66666572 rocessSendBuffer + 32ef0: 436f6d70 6c657465 00000039 61022308 Complete...9a.#. + 32f00: 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. + 32f10: 00003975 02230c08 53657276 69636549 ..9u.#..ServiceI + 32f20: 44000000 12f50223 10085365 72766963 D......#..Servic + 32f30: 65466c61 67730000 0012f502 2312084d eFlags......#..M + 32f40: 61785376 634d7367 53697a65 00000012 axSvcMsgSize.... + 32f50: f5022314 08547261 696c6572 53706343 ..#..TrailerSpcC + 32f60: 6865636b 4c696d69 74000000 12f50223 heckLimit......# + 32f70: 16085365 72766963 65437478 00000004 ..ServiceCtx.... + 32f80: 10022318 00030000 37c40400 14040000 ..#.....7....... + 32f90: 39411945 4e44504f 494e545f 554e5553 9A.ENDPOINT_UNUS + 32fa0: 454400ff ffffff0e 454e4450 4f494e54 ED......ENDPOINT + 32fb0: 3000000e 454e4450 4f494e54 3100010e 0...ENDPOINT1... + 32fc0: 454e4450 4f494e54 3200020e 454e4450 ENDPOINT2...ENDP + 32fd0: 4f494e54 3300030e 454e4450 4f494e54 OINT3...ENDPOINT + 32fe0: 3400040e 454e4450 4f494e54 3500050e 4...ENDPOINT5... + 32ff0: 454e4450 4f494e54 3600060e 454e4450 ENDPOINT6...ENDP + 33000: 4f494e54 3700070e 454e4450 4f494e54 OINT7...ENDPOINT + 33010: 3800080e 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX + 33020: 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT + 33030: 5f494400 000038aa 02010300 00395604 _ID...8......9V. + 33040: 00020103 0000395f 04000300 00011b04 ......9_........ + 33050: 00060000 12e10103 0000396f 04000300 ..........9o.... + 33060: 0037c404 00075f48 54435f43 4f4e4649 .7...._HTC_CONFI + 33070: 47001400 0039f408 43726564 69745369 G....9..CreditSi + 33080: 7a650000 00011b02 23000843 72656469 ze......#..Credi + 33090: 744e756d 62657200 0000011b 02230408 tNumber......#.. + 330a0: 4f534861 6e646c65 0000001a 4b022308 OSHandle....K.#. + 330b0: 08484946 48616e64 6c650000 0028b402 .HIFHandle...(.. + 330c0: 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 330d0: 36500223 1000075f 4854435f 4255465f 6P.#..._HTC_BUF_ + 330e0: 434f4e54 45585400 0200003a 3008656e CONTEXT....:0.en + 330f0: 645f706f 696e7400 000012e1 02230008 d_point......#.. + 33100: 6874635f 666c6167 73000000 12e10223 htc_flags......# + 33110: 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. + 33120: 00000410 09485443 5f534554 55505f43 .....HTC_SETUP_C + 33130: 4f4d504c 4554455f 43420000 00011409 OMPLETE_CB...... + 33140: 4854435f 434f4e46 49470000 00398303 HTC_CONFIG...9.. + 33150: 00003a5d 04000600 003a3001 0300003a ..:].....:0....: + 33160: 74040002 01030000 3a810400 09485443 t.......:....HTC + 33170: 5f534552 56494345 00000037 c4030000 _SERVICE...7.... + 33180: 3a8a0400 02010300 003aa204 00020103 :........:...... + 33190: 00003aab 04000201 0300003a b4040006 ..:........:.... + 331a0: 0000011b 01030000 3abd0400 07687463 ........:....htc + 331b0: 5f617069 73003400 003c3a08 5f485443 _apis.4..<:._HTC + 331c0: 5f496e69 74000000 3a7a0223 00085f48 _Init...:z.#.._H + 331d0: 54435f53 68757464 6f776e00 00003a83 TC_Shutdown...:. + 331e0: 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe + 331f0: 72536572 76696365 0000003a a4022308 rService...:..#. + 33200: 085f4854 435f5265 61647900 00003a83 ._HTC_Ready...:. + 33210: 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 33220: 75666665 72730000 003aad02 2310085f uffers...:..#.._ + 33230: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 33240: 734c6973 74000000 3ab60223 14085f48 sList...:..#.._H + 33250: 54435f53 656e644d 73670000 003aad02 TC_SendMsg...:.. + 33260: 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser + 33270: 76656448 65616472 6f6f6d00 00003ac3 vedHeadroom...:. + 33280: 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv + 33290: 48616e64 6c657200 00002861 02232008 Handler...(a.# . + 332a0: 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan + 332b0: 646c6572 00000028 58022324 085f4854 dler...(X.#$._HT + 332c0: 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 332d0: 6573734d 73670000 00395802 2328085f essMsg...9X.#(._ + 332e0: 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 332f0: 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet + 33300: 65000000 39610223 2c087052 65736572 e...9a.#,.pReser + 33310: 76656400 00000410 02233000 07686f73 ved......#0..hos + 33320: 745f6170 705f6172 65615f73 00040000 t_app_area_s.... + 33330: 3c6a0877 6d695f70 726f746f 636f6c5f ..tupleNumL... + 334d0: 1caf0223 00087475 706c654e 756d4800 ...#..tupleNumH. + 334e0: 00001caf 02230208 61767400 00003dce .....#..avt...=. + 334f0: 02230400 12010000 3e340862 6561636f .#......>4.beaco + 33500: 6e50656e 64696e67 436f756e 74000000 nPendingCount... + 33510: 16870223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ + 33520: 434f4e46 49470010 00003e9d 08487463 CONFIG....>..Htc + 33530: 48616e64 6c650000 003a3002 23000850 Handle...:0.#..P + 33540: 6f6f6c48 616e646c 65000000 36500223 oolHandle...6P.# + 33550: 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt + 33560: 73000000 011b0223 08084d61 78457665 s......#..MaxEve + 33570: 6e744576 74730000 00011b02 230c0002 ntEvts......#... + 33580: 01030000 3e9d0400 09574d49 5f434d44 ....>....WMI_CMD + 33590: 5f48414e 444c4552 0000003e 9f075f57 _HANDLER...>.._W + 335a0: 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 335b0: 59000800 003f0608 70436d64 48616e64 Y....?..pCmdHand + 335c0: 6c657200 00003ea6 02230008 436d6449 ler...>..#..CmdI + 335d0: 44000000 12f50223 0408466c 61677300 D......#..Flags. + 335e0: 000012f5 02230600 075f574d 495f4449 .....#..._WMI_DI + 335f0: 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... + 33600: 3f670870 4e657874 0000003f 67022300 ?g.pNext...?g.#. + 33610: 0870436f 6e746578 74000000 04100223 .pContext......# + 33620: 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie + 33630: 73000000 011b0223 08087054 61626c65 s......#..pTable + 33640: 0000003f 8602230c 00030000 3f060400 ...?..#.....?... + 33650: 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN + 33660: 54525900 00003ebb 0300003f 6e040003 TRY...>....?n... + 33670: 00003f06 04000948 54435f42 55465f43 ..?....HTC_BUF_C + 33680: 4f4e5445 58540000 0039f40d 574d495f ONTEXT...9..WMI_ + 33690: 4556545f 434c4153 53000400 00401e19 EVT_CLASS....@.. + 336a0: 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO + 336b0: 4e4500ff ffffff0e 574d495f 4556545f NE......WMI_EVT_ + 336c0: 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. + 336d0: 000e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 336e0: 434d445f 5245504c 5900010e 574d495f CMD_REPLY...WMI_ + 336f0: 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... + 33700: 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. + 33710: 003fa907 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON + 33720: 54455854 000c0000 407c0848 74634275 TEXT....@|.HtcBu + 33730: 66437478 0000003f 94022300 08457665 fCtx...?..#..Eve + 33740: 6e74436c 61737300 0000401e 02230408 ntClass...@..#.. + 33750: 466c6167 73000000 12f50223 08000977 Flags......#...w + 33760: 6d695f68 616e646c 655f7400 00000410 mi_handle_t..... + 33770: 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. + 33780: 00003e34 03000040 8e040006 0000407c ..>4...@......@| + 33790: 01030000 40a90400 09574d49 5f444953 ....@....WMI_DIS + 337a0: 50415443 485f5441 424c4500 00003f06 PATCH_TABLE...?. + 337b0: 03000040 b6040002 01030000 40d50400 ...@........@... + 337c0: 06000026 4c010300 0040de04 00020103 ...&L....@...... + 337d0: 000040eb 04000600 00011b01 03000040 ..@............@ + 337e0: f4040002 01030000 41010400 06000012 ........A....... + 337f0: e1010300 00410a04 00075f77 6d695f73 .....A...._wmi_s + 33800: 76635f61 70697300 2c000042 52085f57 vc_apis.,..BR._W + 33810: 4d495f49 6e697400 000040af 02230008 MI_Init...@..#.. + 33820: 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis + 33830: 70617463 68546162 6c650000 0040d702 patchTable...@.. + 33840: 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve + 33850: 6e740000 0040e402 2308085f 574d495f nt...@..#.._WMI_ + 33860: 53656e64 4576656e 74000000 40ed0223 SendEvent...@..# + 33870: 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin + 33880: 67457665 6e747343 6f756e74 00000040 gEventsCount...@ + 33890: fa022310 085f574d 495f5365 6e64436f ..#.._WMI_SendCo + 338a0: 6d706c65 74654861 6e646c65 72000000 mpleteHandler... + 338b0: 39610223 14085f57 4d495f47 6574436f 9a.#.._WMI_GetCo + 338c0: 6e74726f 6c457000 000040fa 02231808 ntrolEp...@..#.. + 338d0: 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... + 338e0: 41030223 1c085f57 4d495f52 6563764d A..#.._WMI_RecvM + 338f0: 65737361 67654861 6e646c65 72000000 essageHandler... + 33900: 39580223 20085f57 4d495f53 65727669 9X.# ._WMI_Servi + 33910: 6365436f 6e6e6563 74000000 41100223 ceConnect...A..# + 33920: 24087052 65736572 76656400 00000410 $.pReserved..... + 33930: 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. + 33940: 000042d4 08637472 6c000000 017f0223 ..B..ctrl......# + 33950: 00087374 61747573 00000001 7f022302 ..status......#. + 33960: 08746f74 616c4c65 6e000000 017f0223 .totalLen......# + 33970: 04086461 74615369 7a650000 00017f02 ..dataSize...... + 33980: 2306086c 61737441 64647200 000042d4 #..lastAddr...B. + 33990: 02230808 64617461 41646472 00000001 .#..dataAddr.... + 339a0: a302230c 086e6578 74416464 72000000 ..#..nextAddr... + 339b0: 42d40223 10000300 00425204 00030000 B..#.....BR..... + 339c0: 42520400 077a7344 6d615175 65756500 BR...zsDmaQueue. + 339d0: 08000043 14086865 61640000 0042db02 ...C..head...B.. + 339e0: 23000874 65726d69 6e61746f 72000000 #..terminator... + 339f0: 42db0223 0400077a 73547844 6d615175 B..#...zsTxDmaQu + 33a00: 65756500 10000043 78086865 61640000 eue....Cx.head.. + 33a10: 0042db02 23000874 65726d69 6e61746f .B..#..terminato + 33a20: 72000000 42db0223 0408786d 69746564 r...B..#..xmited + 33a30: 5f627566 5f686561 64000000 14400223 _buf_head....@.# + 33a40: 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai + 33a50: 6c000000 14400223 0c000201 03000043 l....@.#.......C + 33a60: 78040003 000042e2 04000201 03000043 x.....B........C + 33a70: 88040003 00004314 04000201 03000043 ......C........C + 33a80: 98040002 01030000 43a10400 02010300 ........C....... + 33a90: 0043aa04 00060000 14400103 000043b3 .C.......@....C. + 33aa0: 04000201 03000043 c0040006 00001440 .......C.......@ + 33ab0: 01030000 43c90400 02010300 0043d604 ....C........C.. + 33ac0: 00060000 011b0103 000043df 04000600 ..........C..... + 33ad0: 0042db01 03000043 ec040002 01030000 .B.....C........ + 33ae0: 43f90400 07646d61 5f656e67 696e655f C....dma_engine_ + 33af0: 61706900 40000045 6f085f69 6e697400 api.@..Eo._init. + 33b00: 0000437a 02230008 5f696e69 745f7278 ..Cz.#.._init_rx + 33b10: 5f717565 75650000 00438a02 2304085f _queue...C..#.._ + 33b20: 696e6974 5f74785f 71756575 65000000 init_tx_queue... + 33b30: 439a0223 08085f63 6f6e6669 675f7278 C..#.._config_rx + 33b40: 5f717565 75650000 0043a302 230c085f _queue...C..#.._ + 33b50: 786d6974 5f627566 00000043 ac022310 xmit_buf...C..#. + 33b60: 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C + 33b70: 8a022314 085f7265 61705f72 6563765f ..#.._reap_recv_ + 33b80: 62756600 000043b9 02231808 5f726574 buf...C..#.._ret + 33b90: 75726e5f 72656376 5f627566 00000043 urn_recv_buf...C + 33ba0: c202231c 085f7265 61705f78 6d697465 ..#.._reap_xmite + 33bb0: 645f6275 66000000 43cf0223 20085f73 d_buf...C..# ._s + 33bc0: 7761705f 64617461 00000043 d8022324 wap_data...C..#$ + 33bd0: 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack + 33be0: 65747300 000043e5 02232808 5f646573 ets...C..#(._des + 33bf0: 635f6475 6d700000 00438a02 232c085f c_dump...C..#,._ + 33c00: 6765745f 7061636b 65740000 0043f202 get_packet...C.. + 33c10: 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack + 33c20: 65740000 0043fb02 2334085f 7075745f et...C..#4._put_ + 33c30: 7061636b 65740000 0043fb02 23380870 packet...C..#8.p + 33c40: 52657365 72766564 00000004 1002233c Reserved......#< + 33c50: 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 33c60: 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 33c70: 0030ae09 574d495f 5356435f 41504953 .0..WMI_SVC_APIS + 33c80: 00000041 17175f41 5f6d6167 7069655f ...A.._A_magpie_ + 33c90: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 33ca0: 6500034c 0000469d 08636d6e 6f730000 e..L..F..cmnos.. + 33cb0: 00456f02 23000864 62670000 0003dd03 .Eo.#..dbg...... + 33cc0: 23b80308 68696600 00002957 0323c003 #...hif...)W.#.. + 33cd0: 08687463 0000003a ca0323f8 0308776d .htc...:..#...wm + 33ce0: 695f7376 635f6170 69000000 45910323 i_svc_api...E..# + 33cf0: ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. + 33d00: 00328203 23d80408 6275665f 706f6f6c .2..#...buf_pool + 33d10: 00000037 2b0323e4 04087662 75660000 ...7+.#...vbuf.. + 33d20: 00146a03 23800508 76646573 63000000 ..j.#...vdesc... + 33d30: 134c0323 94050861 6c6c6f63 72616d00 .L.#...allocram. + 33d40: 00000942 0323a805 08646d61 5f656e67 ...B.#...dma_eng + 33d50: 696e6500 00004402 0323b405 08646d61 ine...D..#...dma + 33d60: 5f6c6962 0000002b eb0323f4 05086869 _lib...+..#...hi + 33d70: 665f7063 69000000 2e4b0323 a8060009 f_pci....K.#.... + 33d80: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 33d90: 6374696f 6e5f7461 626c655f 74000000 ction_table_t... + 33da0: 45a3075f 7461736b 6c65745f 636f6e74 E.._tasklet_cont + 33db0: 65787400 04000046 ef087363 68656475 ext....F..schedu + 33dc0: 6c655f74 61736b73 0000000a 26022300 le_tasks....&.#. + 33dd0: 00097461 736b6c65 745f636f 6e746578 ..tasklet_contex + 33de0: 74000000 46c01a67 5f746173 6b6c6574 t...F..g_tasklet + 33df0: 5f637478 00000046 ef050300 500a3003 _ctx...F....P.0. + 33e00: 00000a3f 04000201 1b011163 6d6e6f73 ...?.......cmnos + 33e10: 5f746173 6b6c6574 5f696e69 74000101 _tasklet_init... + 33e20: 03920120 02900000 8e2b5000 8e2b5c1c ... .....+P..+\. + 33e30: 0118636d 6e6f735f 7461736b 6c65745f ..cmnos_tasklet_ + 33e40: 696e6974 5f746173 6b000101 03920120 init_task...... + 33e50: 02900000 8e2b5c00 8e2b6b00 0047a81d .....+\..+k..G.. + 33e60: 0118666e 00000009 af01521d 01186172 ..fn......R...ar + 33e70: 67000000 04100153 1d011874 61736b6c g......S...taskl + 33e80: 65740000 000a2601 54001c01 21636d6e et....&.T...!cmn + 33e90: 6f735f74 61736b6c 65745f73 63686564 os_tasklet_sched + 33ea0: 756c6500 01010392 01200290 00008e2b ule...... .....+ + 33eb0: 6c008e2b 85000047 e91d0121 7461736b l..+...G...!task + 33ec0: 6c657400 00000a26 0152001c 0131636d let....&.R...1cm + 33ed0: 6e6f735f 7461736b 6c65745f 64697361 nos_tasklet_disa + 33ee0: 626c6500 01010392 01200290 00008e2b ble...... .....+ + 33ef0: 88008e2b b9000048 3c1d0131 7461736b ...+...H<..1task + 33f00: 6c657400 00000a26 01521e70 72657600 let....&.R.prev. + 33f10: 00000a26 1e746d70 0000000a 26001c01 ...&.tmp....&... + 33f20: 4d636d6e 6f735f74 61736b6c 65745f72 Mcmnos_tasklet_r + 33f30: 756e0001 01039201 20029000 008e2bbc un...... .....+. + 33f40: 008e2be4 00004870 1e746d70 0000000a ..+...Hp.tmp.... + 33f50: 26001f01 61636d6e 6f735f74 61736b6c &...acmnos_taskl + 33f60: 65745f6d 6f64756c 655f696e 7374616c et_module_instal + 33f70: 6c000101 03920120 02900000 8e2be400 l...... .....+.. + 33f80: 8e2c021d 01617462 6c000000 471d0152 .,...atbl...G..R + 33f90: 00000000 00496f00 02000013 0804012f .....Io......../ + 33fa0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 33fb0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 33fc0: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 33fd0: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 33fe0: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 33ff0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 34000: 6f732f74 696d6572 2f737263 2f636d6e os/timer/src/cmn + 34010: 6f735f74 696d6572 2e63002f 726f6f74 os_timer.c./root + 34020: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 34030: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 34040: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 34050: 6e6f732f 74696d65 72007874 2d786363 nos/timer.xt-xcc + 34060: 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: + 34070: 616c6967 6e5f696e 73747275 6374696f align_instructio + 34080: 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O + 34090: 50543a73 70616365 00010000 00b6f502 PT:space........ + 340a0: 01030000 010c0400 04696e74 00050404 .........int.... + 340b0: 63686172 00070105 0000011c 05000001 char............ + 340c0: 1c030000 01290400 06000001 15010300 .....).......... + 340d0: 00013504 00077072 696e7466 5f617069 ..5...printf_api + 340e0: 00080000 0179085f 7072696e 74665f69 .....y._printf_i + 340f0: 6e697400 0000010e 02230008 5f707269 nit......#.._pri + 34100: 6e746600 0000013b 02230400 0473686f ntf....;.#...sho + 34110: 72742075 6e736967 6e656420 696e7400 rt unsigned int. + 34120: 07020975 696e7431 365f7400 00000179 ...uint16_t....y + 34130: 046c6f6e 6720756e 7369676e 65642069 .long unsigned i + 34140: 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. + 34150: 00019d07 75617274 5f666966 6f000800 ....uart_fifo... + 34160: 00020b08 73746172 745f696e 64657800 ....start_index. + 34170: 0000018f 02230008 656e645f 696e6465 .....#..end_inde + 34180: 78000000 018f0223 02086f76 65727275 x......#..overru + 34190: 6e5f6572 72000000 01b20223 04000775 n_err......#...u + 341a0: 6172745f 61706900 20000002 c4085f75 art_api. ....._u + 341b0: 6172745f 696e6974 00000003 1b022300 art_init......#. + 341c0: 085f7561 72745f63 6861725f 70757400 ._uart_char_put. + 341d0: 00000342 02230408 5f756172 745f6368 ...B.#.._uart_ch + 341e0: 61725f67 65740000 00035602 2308085f ar_get....V.#.._ + 341f0: 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 34200: 5f02230c 085f7561 72745f74 61736b00 _.#.._uart_task. + 34210: 0000010e 02231008 5f756172 745f7374 .....#.._uart_st + 34220: 61747573 00000003 1b022314 085f7561 atus......#.._ua + 34230: 72745f63 6f6e6669 67000000 03680223 rt_config....h.# + 34240: 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 34250: 00037102 231c0003 0000020b 04000775 ..q.#..........u + 34260: 6172745f 626c6b00 10000003 15086465 art_blk.......de + 34270: 6275675f 6d6f6465 00000001 8f022300 bug_mode......#. + 34280: 08626175 64000000 018f0223 02085f75 .baud......#.._u + 34290: 61727400 000002c4 02230408 5f747800 art......#.._tx. + 342a0: 000001c0 02230800 06000001 b2010300 .....#.......... + 342b0: 00031504 0004756e 7369676e 65642063 ......unsigned c + 342c0: 68617200 07010975 696e7438 5f740000 har....uint8_t.. + 342d0: 00032202 01030000 03400400 03000003 .."......@...... + 342e0: 33040006 0000018f 01030000 03500400 3............P.. + 342f0: 02010300 00035d04 00020103 00000366 ......]........f + 34300: 04000201 03000003 6f040003 0000011c ........o....... + 34310: 04000600 00011501 03000003 7f040007 ................ + 34320: 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC + 34330: 54000c00 0003d708 636d645f 73747200 T.......cmd_str. + 34340: 00000378 02230008 68656c70 5f737472 ...x.#..help_str + 34350: 00000003 78022304 08636d64 5f66756e ....x.#..cmd_fun + 34360: 63000000 03850223 08000764 62675f61 c......#...dbg_a + 34370: 70690008 0000040a 085f6462 675f696e pi......._dbg_in + 34380: 69740000 00010e02 2300085f 6462675f it......#.._dbg_ + 34390: 7461736b 00000001 0e022304 000a0400 task......#..... + 343a0: 04756e73 69676e65 6420696e 74000704 .unsigned int... + 343b0: 06000004 0a010300 00041d04 000b0b03 ................ + 343c0: 0000042b 04000600 00040a01 03000004 ...+............ + 343d0: 33040006 00000115 01030000 04400400 3............@.. + 343e0: 076d656d 5f617069 00140000 04af085f .mem_api......._ + 343f0: 6d656d5f 696e6974 00000001 0e022300 mem_init......#. + 34400: 085f6d65 6d736574 00000004 23022304 ._memset....#.#. + 34410: 085f6d65 6d637079 00000004 39022308 ._memcpy....9.#. + 34420: 085f6d65 6d6d6f76 65000000 04390223 ._memmove....9.# + 34430: 0c085f6d 656d636d 70000000 04460223 .._memcmp....F.# + 34440: 10000c72 65676973 7465725f 64756d70 ...register_dump + 34450: 5f730000 01030000 04af0400 02010300 _s.............. + 34460: 0004c904 00020103 000004d2 04000600 ................ + 34470: 00011501 03000004 db04000d 686f7374 ............host + 34480: 69665f73 00040000 05370e48 49465f55 if_s.....7.HIF_U + 34490: 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... + 344a0: 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P + 344b0: 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H + 344c0: 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS + 344d0: 54494600 000004e8 06000005 37010300 TIF.........7... + 344e0: 00054504 00060000 03330103 00000552 ..E......3.....R + 344f0: 04000600 00018f01 03000005 5f040007 ............_... + 34500: 6d697363 5f617069 00240000 064f085f misc_api.$...O._ + 34510: 73797374 656d5f72 65736574 00000001 system_reset.... + 34520: 0e022300 085f6d61 635f7265 73657400 ..#.._mac_reset. + 34530: 0000010e 02230408 5f617373 6661696c .....#.._assfail + 34540: 00000004 cb022308 085f6d69 73616c69 ......#.._misali + 34550: 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle + 34560: 72000000 04cb0223 0c085f72 65706f72 r......#.._repor + 34570: 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos + 34580: 74000000 04d40223 10085f74 61726765 t......#.._targe + 34590: 745f6964 5f676574 00000004 e1022314 t_id_get......#. + 345a0: 085f6973 5f686f73 745f7072 6573656e ._is_host_presen + 345b0: 74000000 054b0223 18085f6b 62686974 t....K.#.._kbhit + 345c0: 00000005 5802231c 085f726f 6d5f7665 ....X.#.._rom_ve + 345d0: 7273696f 6e5f6765 74000000 05650223 rsion_get....e.# + 345e0: 20000600 00037801 03000006 4f040006 .....x.....O... + 345f0: 00000378 01030000 065c0400 06000001 ...x.....\...... + 34600: 15010300 00066904 00060000 01150103 ......i......... + 34610: 00000676 04000600 00011501 03000006 ...v............ + 34620: 83040007 73747269 6e675f61 70690018 ....string_api.. + 34630: 00000709 085f7374 72696e67 5f696e69 ....._string_ini + 34640: 74000000 010e0223 00085f73 74726370 t......#.._strcp + 34650: 79000000 06550223 04085f73 74726e63 y....U.#.._strnc + 34660: 70790000 00066202 2308085f 7374726c py....b.#.._strl + 34670: 656e0000 00066f02 230c085f 73747263 en....o.#.._strc + 34680: 6d700000 00067c02 2310085f 7374726e mp....|.#.._strn + 34690: 636d7000 00000689 02231400 0f000004 cmp......#...... + 346a0: 0d140000 07161004 00095f41 5f54494d .........._A_TIM + 346b0: 45525f53 50414345 00000007 0909415f ER_SPACE......A_ + 346c0: 74696d65 725f7400 00000716 03000007 timer_t......... + 346d0: 2a040002 01030000 07400400 02010300 *........@...... + 346e0: 00074904 0009415f 48414e44 4c450000 ..I...A_HANDLE.. + 346f0: 00040d02 0109415f 54494d45 525f4655 ......A_TIMER_FU + 34700: 4e430000 00076003 00000762 04000201 NC....`....b.... + 34710: 03000007 7b040007 74696d65 725f6170 ....{...timer_ap + 34720: 69001400 0007fa08 5f74696d 65725f69 i......._timer_i + 34730: 6e697400 0000010e 02230008 5f74696d nit......#.._tim + 34740: 65725f61 726d0000 00074202 2304085f er_arm....B.#.._ + 34750: 74696d65 725f6469 7361726d 00000007 timer_disarm.... + 34760: 4b022308 085f7469 6d65725f 73657466 K.#.._timer_setf + 34770: 6e000000 077d0223 0c085f74 696d6572 n....}.#.._timer + 34780: 5f72756e 00000001 0e022310 0009424f _run......#...BO + 34790: 4f4c4541 4e000000 018f0600 0007fa01 OLEAN........... + 347a0: 03000008 07040006 000007fa 01030000 ................ + 347b0: 08140400 06000007 fa010300 00082104 ..............!. + 347c0: 0007726f 6d705f61 70690010 00000893 ..romp_api...... + 347d0: 085f726f 6d705f69 6e697400 0000010e ._romp_init..... + 347e0: 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo + 347f0: 61640000 00080d02 2304085f 726f6d70 ad......#.._romp + 34800: 5f696e73 74616c6c 00000008 1a022308 _install......#. + 34810: 085f726f 6d705f64 65636f64 65000000 ._romp_decode... + 34820: 08270223 0c000772 6f6d5f70 61746368 .'.#...rom_patch + 34830: 5f737400 10000008 ef086372 63313600 _st.......crc16. + 34840: 0000018f 02230008 6c656e00 0000018f .....#..len..... + 34850: 02230208 6c645f61 64647200 000001b2 .#..ld_addr..... + 34860: 02230408 66756e5f 61646472 00000001 .#..fun_addr.... + 34870: b2022308 08706675 6e000000 03490223 ..#..pfun....I.# + 34880: 0c000765 65705f72 65646972 5f616464 ...eep_redir_add + 34890: 72000400 00092108 6f666673 65740000 r.....!.offset.. + 348a0: 00018f02 23000873 697a6500 0000018f ....#..size..... + 348b0: 02230200 09415f55 494e5433 32000000 .#...A_UINT32... + 348c0: 040d0600 00040a01 03000009 2f040007 ............/... + 348d0: 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... + 348e0: 09a00863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra + 348f0: 6d5f696e 69740000 00093502 23000863 m_init....5.#..c + 34900: 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... + 34910: 09350223 0408636d 6e6f735f 616c6c6f .5.#..cmnos_allo + 34920: 6372616d 5f646562 75670000 00010e02 cram_debug...... + 34930: 23080002 01030000 09a00400 09415f54 #............A_T + 34940: 41534b4c 45545f46 554e4300 000009a2 ASKLET_FUNC..... + 34950: 075f7461 736b6c65 74001000 000a0108 ._tasklet....... + 34960: 66756e63 00000009 a9022300 08617267 func......#..arg + 34970: 00000004 0a022304 08737461 74650000 ......#..state.. + 34980: 00011502 2308086e 65787400 00000a01 ....#..next..... + 34990: 02230c00 03000009 bd040003 000009bd .#.............. + 349a0: 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. + 349b0: 0009bd03 00000a0f 04000201 0300000a ................ + 349c0: 27040002 01030000 0a300400 07746173 '........0...tas + 349d0: 6b6c6574 5f617069 00140000 0ac5085f klet_api......._ + 349e0: 7461736b 6c65745f 696e6974 00000001 tasklet_init.... + 349f0: 0e022300 085f7461 736b6c65 745f696e ..#.._tasklet_in + 34a00: 69745f74 61736b00 00000a29 02230408 it_task....).#.. + 34a10: 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable + 34a20: 0000000a 32022308 085f7461 736b6c65 ....2.#.._taskle + 34a30: 745f7363 68656475 6c650000 000a3202 t_schedule....2. + 34a40: 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. + 34a50: 0000010e 02231000 02010300 000ac504 .....#.......... + 34a60: 00060000 09210103 00000ace 04000201 .....!.......... + 34a70: 0300000a db040007 636c6f63 6b5f6170 ........clock_ap + 34a80: 69002400 000bbd08 5f636c6f 636b5f69 i.$....._clock_i + 34a90: 6e697400 00000ac7 02230008 5f636c6f nit......#.._clo + 34aa0: 636b7265 67735f69 6e697400 0000010e ckregs_init..... + 34ab0: 02230408 5f756172 745f6672 65717565 .#.._uart_freque + 34ac0: 6e637900 00000ad4 02230808 5f64656c ncy......#.._del + 34ad0: 61795f75 73000000 0add0223 0c085f77 ay_us......#.._w + 34ae0: 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... + 34af0: dd022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe + 34b00: 65645f67 65740000 000ad402 2314085f ed_get......#.._ + 34b10: 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... + 34b20: d4022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha + 34b30: 6e676500 0000010e 02231c08 5f636c6f nge......#.._clo + 34b40: 636b5f74 69636b00 0000010e 02232000 ck_tick......# . + 34b50: 06000001 b2010300 000bbd04 0009415f ..............A_ + 34b60: 6f6c645f 696e7472 5f740000 0001b206 old_intr_t...... + 34b70: 00000bca 01030000 0bdc0400 02010300 ................ + 34b80: 000be904 00020103 00000bf2 04000600 ................ + 34b90: 0001b201 0300000b fb040009 415f6973 ............A_is + 34ba0: 725f7400 00000c01 02010300 000c1504 r_t............. + 34bb0: 00060000 040d0103 00000c1e 04000201 ................ + 34bc0: 0300000c 2b040007 696e7472 5f617069 ....+...intr_api + 34bd0: 002c0000 0d4d085f 696e7472 5f696e69 .,...M._intr_ini + 34be0: 74000000 010e0223 00085f69 6e74725f t......#.._intr_ + 34bf0: 696e766f 6b655f69 73720000 000bc302 invoke_isr...... + 34c00: 2304085f 696e7472 5f646973 61626c65 #.._intr_disable + 34c10: 0000000b e2022308 085f696e 74725f72 ......#.._intr_r + 34c20: 6573746f 72650000 000beb02 230c085f estore......#.._ + 34c30: 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. + 34c40: 000bf402 2310085f 696e7472 5f756e6d ....#.._intr_unm + 34c50: 61736b5f 696e756d 0000000b f4022314 ask_inum......#. + 34c60: 085f696e 74725f61 74746163 685f6973 ._intr_attach_is + 34c70: 72000000 0c170223 18085f67 65745f69 r......#.._get_i + 34c80: 6e747265 6e61626c 65000000 0c240223 ntrenable....$.# + 34c90: 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl + 34ca0: 65000000 0c2d0223 20085f67 65745f69 e....-.# ._get_i + 34cb0: 6e747270 656e6469 6e670000 000c2402 ntrpending....$. + 34cc0: 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ + 34cd0: 696e7472 6c766c00 0000010e 02232800 intrlvl......#(. + 34ce0: 11040000 0d730874 696d656f 75740000 .....s.timeout.. + 34cf0: 0001b202 23000861 6374696f 6e000000 ....#..action... + 34d00: 01b20223 00001208 00000d8e 08636d64 ...#.........cmd + 34d10: 00000001 b2022300 1300000d 4d022304 ......#.....M.#. + 34d20: 0009545f 5744545f 434d4400 00000d73 ..T_WDT_CMD....s + 34d30: 02010300 000d9d04 00140400 000df30e ................ + 34d40: 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... + 34d50: 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. + 34d60: 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. + 34d70: 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B + 34d80: 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY + 34d90: 50450000 000da606 00000df3 01030000 PE.............. + 34da0: 0e040400 07776474 5f617069 001c0000 .....wdt_api.... + 34db0: 0ea8085f 7764745f 696e6974 00000001 ..._wdt_init.... + 34dc0: 0e022300 085f7764 745f656e 61626c65 ..#.._wdt_enable + 34dd0: 00000001 0e022304 085f7764 745f6469 ......#.._wdt_di + 34de0: 7361626c 65000000 010e0223 08085f77 sable......#.._w + 34df0: 64745f73 65740000 000d9f02 230c085f dt_set......#.._ + 34e00: 7764745f 7461736b 00000001 0e022310 wdt_task......#. + 34e10: 085f7764 745f7265 73657400 0000010e ._wdt_reset..... + 34e20: 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo + 34e30: 6f740000 000e0a02 23180014 0400000f ot......#....... + 34e40: 0f0e5245 545f5355 43434553 5300000e ..RET_SUCCESS... + 34e50: 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R + 34e60: 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R + 34e70: 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. + 34e80: 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO + 34e90: 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. + 34ea0: 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... + 34eb0: a8030000 018f0400 0600000f 0f010300 ................ + 34ec0: 000f2504 00060000 0f0f0103 00000f32 ..%............2 + 34ed0: 04000765 65705f61 70690010 00000f9b ...eep_api...... + 34ee0: 085f6565 705f696e 69740000 00010e02 ._eep_init...... + 34ef0: 2300085f 6565705f 72656164 0000000f #.._eep_read.... + 34f00: 2b022304 085f6565 705f7772 69746500 +.#.._eep_write. + 34f10: 00000f2b 02230808 5f656570 5f69735f ...+.#.._eep_is_ + 34f20: 65786973 74000000 0f380223 0c000775 exist....8.#...u + 34f30: 73625f61 70690070 00001248 085f7573 sb_api.p...H._us + 34f40: 625f696e 69740000 00010e02 2300085f b_init......#.._ + 34f50: 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... + 34f60: 0e022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas + 34f70: 6b000000 010e0223 08085f75 73625f69 k......#.._usb_i + 34f80: 6e69745f 70687900 0000010e 02230c08 nit_phy......#.. + 34f90: 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. + 34fa0: 00010e02 2310085f 7573625f 6570305f ....#.._usb_ep0_ + 34fb0: 74780000 00010e02 2314085f 7573625f tx......#.._usb_ + 34fc0: 6570305f 72780000 00010e02 2318085f ep0_rx......#.._ + 34fd0: 7573625f 6765745f 696e7465 72666163 usb_get_interfac + 34fe0: 65000000 081a0223 1c085f75 73625f73 e......#.._usb_s + 34ff0: 65745f69 6e746572 66616365 00000008 et_interface.... + 35000: 1a022320 085f7573 625f6765 745f636f ..# ._usb_get_co + 35010: 6e666967 75726174 696f6e00 0000081a nfiguration..... + 35020: 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con + 35030: 66696775 72617469 6f6e0000 00081a02 figuration...... + 35040: 2328085f 7573625f 7374616e 64617264 #(._usb_standard + 35050: 5f636d64 00000008 1a02232c 085f7573 _cmd......#,._us + 35060: 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... + 35070: 0e022330 085f7573 625f706f 7765725f ..#0._usb_power_ + 35080: 6f666600 0000010e 02233408 5f757362 off......#4._usb + 35090: 5f726573 65745f66 69666f00 0000010e _reset_fifo..... + 350a0: 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt + 350b0: 00000001 0e02233c 085f7573 625f6a75 ......#<._usb_ju + 350c0: 6d705f62 6f6f7400 0000010e 02234008 mp_boot......#@. + 350d0: 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature + 350e0: 00000008 1a022344 085f7573 625f7365 ......#D._usb_se + 350f0: 745f6665 61747572 65000000 081a0223 t_feature......# + 35100: 48085f75 73625f73 65745f61 64647265 H._usb_set_addre + 35110: 73730000 00081a02 234c085f 7573625f ss......#L._usb_ + 35120: 6765745f 64657363 72697074 6f720000 get_descriptor.. + 35130: 00081a02 2350085f 7573625f 6765745f ....#P._usb_get_ + 35140: 73746174 75730000 00081a02 2354085f status......#T._ + 35150: 7573625f 73657475 705f6465 73630000 usb_setup_desc.. + 35160: 00010e02 2358085f 7573625f 7265675f ....#X._usb_reg_ + 35170: 6f757400 0000010e 02235c08 5f757362 out......#\._usb + 35180: 5f737461 7475735f 696e0000 00010e02 _status_in...... + 35190: 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d + 351a0: 61746100 0000010e 02236408 5f757362 ata......#d._usb + 351b0: 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... + 351c0: 0e022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in + 351d0: 69740000 00010e02 236c0007 5f564445 it......#l.._VDE + 351e0: 53430024 000012d4 086e6578 745f6465 SC.$.....next_de + 351f0: 73630000 0012d402 23000862 75665f61 sc......#..buf_a + 35200: 64647200 000012e8 02230408 6275665f ddr......#..buf_ + 35210: 73697a65 00000012 ef022308 08646174 size......#..dat + 35220: 615f6f66 66736574 00000012 ef02230a a_offset......#. + 35230: 08646174 615f7369 7a650000 0012ef02 .data_size...... + 35240: 230c0863 6f6e7472 6f6c0000 0012ef02 #..control...... + 35250: 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. + 35260: 0012fd02 23100003 00001248 04000941 ....#......H...A + 35270: 5f55494e 54380000 00032203 000012db _UINT8...."..... + 35280: 04000941 5f55494e 54313600 00000179 ...A_UINT16....y + 35290: 0f000012 db140000 130a1013 00030000 ................ + 352a0: 12480400 09564445 53430000 00124803 .H...VDESC....H. + 352b0: 00001311 04000600 00131c01 03000013 ................ + 352c0: 23040006 000012e8 01030000 13300400 #............0.. + 352d0: 02010300 00133d04 00077664 6573635f ......=...vdesc_ + 352e0: 61706900 14000013 b5085f69 6e697400 api......._init. + 352f0: 00000add 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 35300: 64657363 00000013 29022304 085f6765 desc....).#.._ge + 35310: 745f6877 5f646573 63000000 13360223 t_hw_desc....6.# + 35320: 08085f73 7761705f 76646573 63000000 .._swap_vdesc... + 35330: 133f0223 0c087052 65736572 76656400 .?.#..pReserved. + 35340: 0000040a 02231000 075f5642 55460020 .....#..._VBUF. + 35350: 00001415 08646573 635f6c69 73740000 .....desc_list.. + 35360: 00131c02 2300086e 6578745f 62756600 ....#..next_buf. + 35370: 00001415 02230408 6275665f 6c656e67 .....#..buf_leng + 35380: 74680000 0012ef02 23080872 65736572 th......#..reser + 35390: 76656400 0000141c 02230a08 63747800 ved......#..ctx. + 353a0: 000012fd 02230c00 03000013 b504000f .....#.......... + 353b0: 000012db 02000014 29100100 03000013 ........)....... + 353c0: b5040009 56425546 00000013 b5030000 ....VBUF........ + 353d0: 14300400 06000014 3a010300 00144104 .0......:.....A. + 353e0: 00060000 143a0103 0000144e 04000201 .....:.....N.... + 353f0: 03000014 5b040007 76627566 5f617069 ....[...vbuf_api + 35400: 00140000 14d9085f 696e6974 0000000a ......._init.... + 35410: dd022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf + 35420: 00000014 47022304 085f616c 6c6f635f ....G.#.._alloc_ + 35430: 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. + 35440: 00145402 2308085f 66726565 5f766275 ..T.#.._free_vbu + 35450: 66000000 145d0223 0c087052 65736572 f....].#..pReser + 35460: 76656400 0000040a 02231000 075f5f61 ved......#...__a + 35470: 64665f64 65766963 65000400 0014fb08 df_device....... + 35480: 64756d6d 79000000 01150223 00000300 dummy......#.... + 35490: 00092104 00075f5f 6164665f 646d615f ..!...__adf_dma_ + 354a0: 6d617000 0c000015 42086275 66000000 map.....B.buf... + 354b0: 143a0223 00086473 5f616464 72000000 .:.#..ds_addr... + 354c0: 14fb0223 04086473 5f6c656e 00000012 ...#..ds_len.... + 354d0: ef022308 00120c00 00157c08 5f5f7661 ..#.......|.__va + 354e0: 5f73746b 00000003 78022300 085f5f76 _stk....x.#..__v + 354f0: 615f7265 67000000 03780223 04085f5f a_reg....x.#..__ + 35500: 76615f6e 64780000 00011502 23080009 va_ndx......#... + 35510: 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add + 35520: 725f7400 00000921 09616466 5f6f735f r_t....!.adf_os_ + 35530: 646d615f 61646472 5f740000 00157c09 dma_addr_t....|. + 35540: 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz + 35550: 655f7400 00000921 09616466 5f6f735f e_t....!.adf_os_ + 35560: 646d615f 73697a65 5f740000 0015ac07 dma_size_t...... + 35570: 5f5f646d 615f7365 67730008 00001608 __dma_segs...... + 35580: 08706164 64720000 00159502 2300086c .paddr......#..l + 35590: 656e0000 0015c502 23040009 5f5f615f en......#...__a_ + 355a0: 75696e74 33325f74 00000009 2109615f uint32_t....!.a_ + 355b0: 75696e74 33325f74 00000016 080f0000 uint32_t........ + 355c0: 15dc0800 00163710 00000761 64665f6f ......7....adf_o + 355d0: 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... + 355e0: 00167008 6e736567 73000000 161a0223 ..p.nsegs......# + 355f0: 0008646d 615f7365 67730000 00162a02 ..dma_segs....*. + 35600: 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. + 35610: 000012db 09615f75 696e7438 5f740000 .....a_uint8_t.. + 35620: 00167003 00001681 0400075f 5f73675f ..p........__sg_ + 35630: 73656773 00080000 16c20876 61646472 segs.......vaddr + 35640: 00000016 90022300 086c656e 00000016 ......#..len.... + 35650: 1a022304 000f0000 16972000 0016cf10 ..#....... ..... + 35660: 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist + 35670: 00240000 1702086e 73656773 00000016 .$.....nsegs.... + 35680: 1a022300 0873675f 73656773 00000016 ..#..sg_segs.... + 35690: c2022304 00121000 00174b08 76656e64 ..#.......K.vend + 356a0: 6f720000 00161a02 23000864 65766963 or......#..devic + 356b0: 65000000 161a0223 04087375 6276656e e......#..subven + 356c0: 646f7200 0000161a 02230808 73756264 dor......#..subd + 356d0: 65766963 65000000 161a0223 0c00046c evice......#...l + 356e0: 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne + 356f0: 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 + 35700: 34000000 174b095f 5f615f75 696e7436 4....K.__a_uint6 + 35710: 345f7400 00001765 09615f75 696e7436 4_t....e.a_uint6 + 35720: 345f7400 00001773 14040000 17d10e41 4_t....s.......A + 35730: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 35740: 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS + 35750: 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I + 35760: 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso + 35770: 75726365 5f747970 655f7400 00001795 urce_type_t..... + 35780: 12180000 181b0873 74617274 00000017 .......start.... + 35790: 85022300 08656e64 00000017 85022308 ..#..end......#. + 357a0: 08747970 65000000 17d10223 10000961 .type......#...a + 357b0: 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id + 357c0: 5f740000 00170203 0000181b 04001104 _t.............. + 357d0: 0000185a 08706369 00000018 34022300 ...Z.pci....4.#. + 357e0: 08726177 00000004 0a022300 00111000 .raw......#..... + 357f0: 00187908 70636900 0000181b 02230008 ..y.pci......#.. + 35800: 72617700 0000040a 02230000 09616466 raw......#...adf + 35810: 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... + 35820: 040a0961 64665f6f 735f7265 736f7572 ...adf_os_resour + 35830: 63655f74 00000017 ed030000 188f0400 ce_t............ + 35840: 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d + 35850: 6174615f 74000000 185a0300 0018ad04 ata_t....Z...... + 35860: 00030000 14d90400 095f5f61 64665f6f .........__adf_o + 35870: 735f6465 76696365 5f740000 0018ce09 s_device_t...... + 35880: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 35890: 000018d5 06000018 79010300 00190104 ........y....... + 358a0: 00020103 0000190e 04000961 64665f6f ...........adf_o + 358b0: 735f706d 5f740000 00040a02 01030000 s_pm_t.......... + 358c0: 19280400 14040000 19680e41 44465f4f .(.......h.ADF_O + 358d0: 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. + 358e0: 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 358f0: 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ + 35900: 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... + 35910: 19310961 64665f6f 735f6275 735f7265 .1.adf_os_bus_re + 35920: 675f6461 74615f74 00000018 3b030000 g_data_t....;... + 35930: 03220400 075f6164 665f6472 765f696e ."..._adf_drv_in + 35940: 666f0020 00001a45 08647276 5f617474 fo. ...E.drv_att + 35950: 61636800 00001907 02230008 6472765f ach......#..drv_ + 35960: 64657461 63680000 00191002 23040864 detach......#..d + 35970: 72765f73 75737065 6e640000 00192a02 rv_suspend....*. + 35980: 23080864 72765f72 6573756d 65000000 #..drv_resume... + 35990: 19100223 0c086275 735f7479 70650000 ...#..bus_type.. + 359a0: 00196802 23100862 75735f64 61746100 ..h.#..bus_data. + 359b0: 0000197f 02231408 6d6f645f 6e616d65 .....#..mod_name + 359c0: 00000019 9a022318 0869666e 616d6500 ......#..ifname. + 359d0: 0000199a 02231c00 09616466 5f6f735f .....#...adf_os_ + 359e0: 68616e64 6c655f74 00000004 0a030000 handle_t........ + 359f0: 16700400 02010201 095f5f61 64665f6f .p.......__adf_o + 35a00: 735f7369 7a655f74 00000004 0d140400 s_size_t........ + 35a10: 001a940e 415f4641 4c534500 000e415f ....A_FALSE...A_ + 35a20: 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t + 35a30: 0000001a 7a030000 15020400 095f5f61 ....z........__a + 35a40: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 35a50: 00001aa2 02010d61 64665f6f 735f6361 .......adf_os_ca + 35a60: 6368655f 73796e63 00040000 1b2c0e41 che_sync.....,.A + 35a70: 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. + 35a80: 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR + 35a90: 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P + 35aa0: 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY + 35ab0: 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... + 35ac0: 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn + 35ad0: 635f7400 00001ac3 02010961 64665f6f c_t........adf_o + 35ae0: 735f7369 7a655f74 0000001a 65060000 s_size_t....e... + 35af0: 1b470109 6164665f 6f735f64 6d615f6d .G..adf_os_dma_m + 35b00: 61705f74 0000001a a9030000 1b600400 ap_t.........`.. + 35b10: 06000004 0a010300 001aa904 00060000 ................ + 35b20: 040a0102 01060000 15950102 01047368 ..............sh + 35b30: 6f727420 696e7400 05020941 5f494e54 ort int....A_INT + 35b40: 31360000 001b9a09 5f5f615f 696e7431 16......__a_int1 + 35b50: 365f7400 00001ba7 09615f69 6e743136 6_t......a_int16 + 35b60: 5f740000 001bb404 7369676e 65642063 _t......signed c + 35b70: 68617200 05010941 5f494e54 38000000 har....A_INT8... + 35b80: 1bd4095f 5f615f69 6e74385f 74000000 ...__a_int8_t... + 35b90: 1be30961 5f696e74 385f7400 00001bef ...a_int8_t..... + 35ba0: 120c0000 1c660873 7570706f 72746564 .....f.supported + 35bb0: 00000016 1a022300 08616476 65727469 ......#..adverti + 35bc0: 7a656400 0000161a 02230408 73706565 zed......#..spee + 35bd0: 64000000 1bc50223 08086475 706c6578 d......#..duplex + 35be0: 0000001b ff02230a 08617574 6f6e6567 ......#..autoneg + 35bf0: 00000016 8102230b 000f0000 16810600 ......#......... + 35c00: 001c7310 05000761 64665f6e 65745f65 ..s....adf_net_e + 35c10: 74686164 64720006 00001c97 08616464 thaddr.......add + 35c20: 72000000 1c660223 0000095f 5f615f75 r....f.#...__a_u + 35c30: 696e7431 365f7400 000012ef 09615f75 int16_t......a_u + 35c40: 696e7431 365f7400 00001c97 120e0000 int16_t......... + 35c50: 1cfb0865 74686572 5f64686f 73740000 ...ether_dhost.. + 35c60: 001c6602 23000865 74686572 5f73686f ..f.#..ether_sho + 35c70: 73740000 001c6602 23060865 74686572 st....f.#..ether + 35c80: 5f747970 65000000 1ca90223 0c001214 _type......#.... + 35c90: 00001dbc 1569705f 76657273 696f6e00 .....ip_version. + 35ca0: 00001681 01000402 23001569 705f686c ........#..ip_hl + 35cb0: 00000016 81010404 02230008 69705f74 .........#..ip_t + 35cc0: 6f730000 00168102 23010869 705f6c65 os......#..ip_le + 35cd0: 6e000000 1ca90223 02086970 5f696400 n......#..ip_id. + 35ce0: 00001ca9 02230408 69705f66 7261675f .....#..ip_frag_ + 35cf0: 6f666600 00001ca9 02230608 69705f74 off......#..ip_t + 35d00: 746c0000 00168102 23080869 705f7072 tl......#..ip_pr + 35d10: 6f746f00 00001681 02230908 69705f63 oto......#..ip_c + 35d20: 6865636b 0000001c a902230a 0869705f heck......#..ip_ + 35d30: 73616464 72000000 161a0223 0c086970 saddr......#..ip + 35d40: 5f646164 64720000 00161a02 23100007 _daddr......#... + 35d50: 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. + 35d60: 0400001e 0e087470 69640000 001ca902 ......tpid...... + 35d70: 23001570 72696f00 00001681 01000302 #..prio......... + 35d80: 23021563 66690000 00168101 03010223 #..cfi.........# + 35d90: 02157669 64000000 1ca90204 0c022302 ..vid.........#. + 35da0: 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... + 35db0: 001e3f15 72657300 00001681 01000402 ..?.res......... + 35dc0: 23001576 616c0000 001ca902 040c0223 #..val.........# + 35dd0: 0000120c 00001e7b 0872785f 62756673 .......{.rx_bufs + 35de0: 697a6500 0000161a 02230008 72785f6e ize......#..rx_n + 35df0: 64657363 00000016 1a022304 0874785f desc......#..tx_ + 35e00: 6e646573 63000000 161a0223 08001208 ndesc......#.... + 35e10: 00001ea1 08706f6c 6c656400 00001a94 .....polled..... + 35e20: 02230008 706f6c6c 5f777400 0000161a .#..poll_wt..... + 35e30: 02230400 0f000016 81400000 1eae103f .#.......@.....? + 35e40: 00124600 001ed608 69665f6e 616d6500 ..F.....if_name. + 35e50: 00001ea1 02230008 6465765f 61646472 .....#..dev_addr + 35e60: 0000001c 66022340 00140400 001f0d0e ....f.#@........ + 35e70: 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 35e80: 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D + 35e90: 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... + 35ea0: 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask + 35eb0: 5f740000 001ed607 6164665f 646d615f _t......adf_dma_ + 35ec0: 696e666f 00080000 1f5a0864 6d615f6d info.....Z.dma_m + 35ed0: 61736b00 00001f0d 02230008 73675f6e ask......#..sg_n + 35ee0: 73656773 00000016 1a022304 00140400 segs......#..... + 35ef0: 001fb00e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU + 35f00: 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET + 35f10: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 35f20: 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK + 35f30: 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 + 35f40: 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu + 35f50: 6d5f7479 70655f74 0000001f 5a120800 m_type_t....Z... + 35f60: 001ff308 74785f63 6b73756d 0000001f ....tx_cksum.... + 35f70: b0022300 0872785f 636b7375 6d000000 ..#..rx_cksum... + 35f80: 1fb00223 04000961 64665f6e 65745f63 ...#...adf_net_c + 35f90: 6b73756d 5f696e66 6f5f7400 00001fca ksum_info_t..... + 35fa0: 14040000 204c0e41 44465f4e 45545f54 .... L.ADF_NET_T + 35fb0: 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE + 35fc0: 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF + 35fd0: 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... + 35fe0: 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type + 35ff0: 5f740000 00200d12 10000020 a008636b _t... ..... ..ck + 36000: 73756d5f 63617000 00001ff3 02230008 sum_cap......#.. + 36010: 74736f00 0000204c 02230808 766c616e tso... L.#..vlan + 36020: 5f737570 706f7274 65640000 00168102 _supported...... + 36030: 230c0012 20000021 39087478 5f706163 #... ..!9.tx_pac + 36040: 6b657473 00000016 1a022300 0872785f kets......#..rx_ + 36050: 7061636b 65747300 0000161a 02230408 packets......#.. + 36060: 74785f62 79746573 00000016 1a022308 tx_bytes......#. + 36070: 0872785f 62797465 73000000 161a0223 .rx_bytes......# + 36080: 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... + 36090: 1a022310 0872785f 64726f70 70656400 ..#..rx_dropped. + 360a0: 0000161a 02231408 72785f65 72726f72 .....#..rx_error + 360b0: 73000000 161a0223 18087478 5f657272 s......#..tx_err + 360c0: 6f727300 0000161a 02231c00 09616466 ors......#...adf + 360d0: 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. + 360e0: 001c7316 00002139 03000000 215e107f ..s...!9....!^.. + 360f0: 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 36100: 61646472 00030400 00219508 6e656c65 addr.....!..nele + 36110: 6d000000 161a0223 00086d63 61737400 m......#..mcast. + 36120: 00002150 02230400 09616466 5f6e6574 ..!P.#...adf_net + 36130: 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t + 36140: 0000001c 0d096164 665f6e65 745f636d ......adf_net_cm + 36150: 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... + 36160: 1e7b0961 64665f6e 65745f63 6d645f63 .{.adf_net_cmd_c + 36170: 6b73756d 5f696e66 6f5f7400 00001ff3 ksum_info_t..... + 36180: 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin + 36190: 675f696e 666f5f74 0000001e 3f096164 g_info_t....?.ad + 361a0: 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in + 361b0: 666f5f74 0000001f 24096164 665f6e65 fo_t....$.adf_ne + 361c0: 745f636d 645f7669 645f7400 00001ca9 t_cmd_vid_t..... + 361d0: 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off + 361e0: 6c6f6164 5f636170 5f740000 00206409 load_cap_t... d. + 361f0: 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat + 36200: 735f7400 000020a0 09616466 5f6e6574 s_t... ..adf_net + 36210: 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... + 36220: 215e0d61 64665f6e 65745f63 6d645f6d !^.adf_net_cmd_m + 36230: 63617374 5f636170 00040000 22d70e41 cast_cap...."..A + 36240: 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP + 36250: 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST + 36260: 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n + 36270: 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 36280: 5f740000 00228f18 03040000 23a9086c _t..."......#..l + 36290: 696e6b5f 696e666f 00000021 95022300 ink_info...!..#. + 362a0: 08706f6c 6c5f696e 666f0000 0021b202 .poll_info...!.. + 362b0: 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... + 362c0: 21cf0223 00087269 6e675f69 6e666f00 !..#..ring_info. + 362d0: 000021ed 02230008 646d615f 696e666f ..!..#..dma_info + 362e0: 00000022 0a022300 08766964 00000022 ..."..#..vid..." + 362f0: 26022300 086f6666 6c6f6164 5f636170 &.#..offload_cap + 36300: 00000022 3d022300 08737461 74730000 ..."=.#..stats.. + 36310: 00225c02 2300086d 63617374 5f696e66 ."\.#..mcast_inf + 36320: 6f000000 22750223 00086d63 6173745f o..."u.#..mcast_ + 36330: 63617000 000022d7 02230000 14040000 cap..."..#...... + 36340: 24000e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C + 36350: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 36360: 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW + 36370: 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 36380: 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY + 36390: 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 363a0: 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# + 363b0: a9120800 00244008 72657375 6c740000 .....$@.result.. + 363c0: 00240002 23000876 616c0000 00161a02 .$..#..val...... + 363d0: 23040012 08000024 70087479 70650000 #......$p.type.. + 363e0: 00204c02 2300086d 73730000 001ca902 . L.#..mss...... + 363f0: 23040868 64725f6f 66660000 00168102 #..hdr_off...... + 36400: 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q + 36410: 68656164 000c0000 24af0868 65616400 head....$..head. + 36420: 0000143a 02230008 7461696c 00000014 ...:.#..tail.... + 36430: 3a022304 08716c65 6e000000 161a0223 :.#..qlen......# + 36440: 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. + 36450: 0000143a 03000016 90040003 0000161a ...:............ + 36460: 04000201 06000013 1c010600 00161a01 ................ + 36470: 06000016 90010600 00169001 03000012 ................ + 36480: fd040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q + 36490: 68656164 5f740000 00247009 5f5f6164 head_t...$p.__ad + 364a0: 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 364b0: 0024f003 00002508 04000600 0024af01 .$....%......$.. + 364c0: 06000024 af011404 00002628 0e415f53 ...$......&(.A_S + 364d0: 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA + 364e0: 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S + 364f0: 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A + 36500: 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. + 36510: 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL + 36520: 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP + 36530: 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT + 36540: 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ + 36550: 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A + 36560: 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... + 36570: 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO + 36580: 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU + 36590: 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT + 365a0: 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST + 365b0: 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st + 365c0: 61747573 5f740000 00253306 00002628 atus_t...%3...&( + 365d0: 01060000 01150102 01096164 665f6e62 ..........adf_nb + 365e0: 75665f74 00000024 af140400 00268d0e uf_t...$.....&.. + 365f0: 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE + 36600: 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM + 36610: 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... + 36620: 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ + 36630: 74000000 26560600 00262801 02010961 t...&V...&(....a + 36640: 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf + 36650: 6f5f7400 00001637 03000026 ab040002 o_t....7...&.... + 36660: 01020106 00002646 01060000 24af0102 ......&F....$... + 36670: 01020106 00002646 01060000 24af0106 ......&F....$... + 36680: 00002646 01060000 24af0106 00002646 ..&F....$.....&F + 36690: 01020102 01060000 161a0106 00001690 ................ + 366a0: 01020102 01060000 1b470106 00001a94 .........G...... + 366b0: 01060000 1a940109 6164665f 6f735f73 ........adf_os_s + 366c0: 676c6973 745f7400 000016cf 03000027 glist_t........' + 366d0: 24040002 01020102 01060000 16900109 $............... + 366e0: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 366f0: 00000025 08030000 274c0400 02010300 ...%....'L...... + 36700: 0024f004 00020102 01020106 00002646 .$............&F + 36710: 01060000 24af0106 0000161a 01060000 ....$........... + 36720: 161a0106 00001a94 01060000 1a940106 ................ + 36730: 00001fb0 01060000 161a0109 6164665f ............adf_ + 36740: 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. + 36750: 0000241e 03000027 a8040002 01020109 ..$....'........ + 36760: 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. + 36770: 00244003 000027cc 04000201 02010961 .$@...'........a + 36780: 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. + 36790: 0000040a 09616466 5f6e6574 5f766c61 .....adf_net_vla + 367a0: 6e686472 5f740000 001dbc03 00002801 nhdr_t........(. + 367b0: 04000600 00262801 06000026 28010201 .....&(....&(... + 367c0: 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. + 367d0: 00002850 0864756d 6d790000 00011502 ..(P.dummy...... + 367e0: 23000002 01030000 28500400 02010300 #.......(P...... + 367f0: 00285904 00075f48 49465f43 414c4c42 .(Y..._HIF_CALLB + 36800: 41434b00 0c000028 ae087365 6e645f62 ACK....(..send_b + 36810: 75665f64 6f6e6500 00002852 02230008 uf_done...(R.#.. + 36820: 72656376 5f627566 00000028 5b022304 recv_buf...([.#. + 36830: 08636f6e 74657874 00000004 0a022308 .context......#. + 36840: 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. + 36850: 00040a09 4849465f 434f4e46 49470000 ....HIF_CONFIG.. + 36860: 00282f03 000028c0 04000600 0028ae01 .(/...(......(.. + 36870: 03000028 d7040002 01030000 28e40400 ...(........(... + 36880: 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... + 36890: 28620300 0028ed04 00020103 00002906 (b...(........). + 368a0: 04000600 00011501 03000029 0f040002 ...........).... + 368b0: 01030000 291c0400 06000001 15010300 ....)........... + 368c0: 00292504 00020103 00002932 04000600 .)%.......)2.... + 368d0: 00011501 03000029 3b040002 01030000 .......);....... + 368e0: 29480400 07686966 5f617069 00380000 )H...hif_api.8.. + 368f0: 2aa1085f 696e6974 00000028 dd022300 *.._init...(..#. + 36900: 085f7368 7574646f 776e0000 0028e602 ._shutdown...(.. + 36910: 2304085f 72656769 73746572 5f63616c #.._register_cal + 36920: 6c626163 6b000000 29080223 08085f67 lback...)..#.._g + 36930: 65745f74 6f74616c 5f637265 6469745f et_total_credit_ + 36940: 636f756e 74000000 29150223 0c085f73 count...)..#.._s + 36950: 74617274 00000028 e6022310 085f636f tart...(..#.._co + 36960: 6e666967 5f706970 65000000 291e0223 nfig_pipe...)..# + 36970: 14085f73 656e645f 62756666 65720000 .._send_buffer.. + 36980: 00292b02 2318085f 72657475 726e5f72 .)+.#.._return_r + 36990: 6563765f 62756600 00002934 02231c08 ecv_buf...)4.#.. + 369a0: 5f69735f 70697065 5f737570 706f7274 _is_pipe_support + 369b0: 65640000 00294102 2320085f 6765745f ed...)A.# ._get_ + 369c0: 6d61785f 6d73675f 6c656e00 00002941 max_msg_len...)A + 369d0: 02232408 5f676574 5f726573 65727665 .#$._get_reserve + 369e0: 645f6865 6164726f 6f6d0000 00291502 d_headroom...).. + 369f0: 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. + 36a00: 000028e6 02232c08 5f676574 5f646566 ..(..#,._get_def + 36a10: 61756c74 5f706970 65000000 294a0223 ault_pipe...)J.# + 36a20: 30087052 65736572 76656400 0000040a 0.pReserved..... + 36a30: 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. + 36a40: 0400002b 2a0e444d 415f454e 47494e45 ...+*.DMA_ENGINE + 36a50: 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN + 36a60: 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI + 36a70: 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG + 36a80: 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN + 36a90: 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E + 36aa0: 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ + 36ab0: 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm + 36ac0: 615f656e 67696e65 5f740000 002aa10d a_engine_t...*.. + 36ad0: 646d615f 69667479 70650004 00002b77 dma_iftype....+w + 36ae0: 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D + 36af0: 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ + 36b00: 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i + 36b10: 66747970 655f7400 00002b3c 06000012 ftype_t...+<.... + 36b20: ef010300 002b8904 00020103 00002b96 .....+........+. + 36b30: 04000201 0300002b 9f040006 00000921 .......+.......! + 36b40: 01030000 2ba80400 06000012 ef010300 ....+........... + 36b50: 002bb504 00060000 12ef0103 00002bc2 .+............+. + 36b60: 04000600 00143a01 0300002b cf040002 ......:....+.... + 36b70: 01030000 2bdc0400 07646d61 5f6c6962 ....+....dma_lib + 36b80: 5f617069 00340000 2ce30874 785f696e _api.4..,..tx_in + 36b90: 69740000 002b8f02 23000874 785f7374 it...+..#..tx_st + 36ba0: 61727400 00002b98 02230408 72785f69 art...+..#..rx_i + 36bb0: 6e697400 00002b8f 02230808 72785f63 nit...+..#..rx_c + 36bc0: 6f6e6669 67000000 2ba10223 0c087278 onfig...+..#..rx + 36bd0: 5f737461 72740000 002b9802 23100869 _start...+..#..i + 36be0: 6e74725f 73746174 75730000 002bae02 ntr_status...+.. + 36bf0: 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ + 36c00: bb022318 08666c75 73685f78 6d697400 ..#..flush_xmit. + 36c10: 00002b98 02231c08 786d6974 5f646f6e ..+..#..xmit_don + 36c20: 65000000 2bc80223 20087265 61705f78 e...+..# .reap_x + 36c30: 6d697474 65640000 002bd502 23240872 mitted...+..#$.r + 36c40: 6561705f 72656376 0000002b d5022328 eap_recv...+..#( + 36c50: 08726574 75726e5f 72656376 0000002b .return_recv...+ + 36c60: de02232c 08726563 765f706b 74000000 ..#,.recv_pkt... + 36c70: 2bc80223 3000075f 5f706369 5f736f66 +..#0..__pci_sof + 36c80: 7463000c 00002d01 08737700 000028ed tc....-..sw...(. + 36c90: 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc + 36ca0: 5f740000 002ce303 00002d01 04000201 _t...,....-..... + 36cb0: 0300002d 1b040006 000012db 01030000 ...-............ + 36cc0: 2d240400 0d686966 5f706369 5f706970 -$...hif_pci_pip + 36cd0: 655f7478 00040000 2d840e48 49465f50 e_tx....-..HIF_P + 36ce0: 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI + 36cf0: 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. + 36d00: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 36d10: 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ + 36d20: 70697065 5f74785f 74000000 2d310600 pipe_tx_t...-1.. + 36d30: 002b2a01 0300002d 9b04000d 6869665f .+*....-....hif_ + 36d40: 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... + 36d50: 210e4849 465f5043 495f5049 50455f52 !.HIF_PCI_PIPE_R + 36d60: 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 36d70: 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ + 36d80: 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P + 36d90: 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI + 36da0: 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA + 36db0: 58000400 09686966 5f706369 5f706970 X....hif_pci_pip + 36dc0: 655f7278 5f740000 002da806 00002b2a e_rx_t...-....+* + 36dd0: 01030000 2e380400 07686966 5f706369 .....8...hif_pci + 36de0: 5f617069 00240000 2f160870 63695f62 _api.$../..pci_b + 36df0: 6f6f745f 696e6974 00000001 0e022300 oot_init......#. + 36e00: 08706369 5f696e69 74000000 28dd0223 .pci_init...(..# + 36e10: 04087063 695f7265 73657400 0000010e ..pci_reset..... + 36e20: 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. + 36e30: 00010e02 230c0870 63695f72 6561705f ....#..pci_reap_ + 36e40: 786d6974 74656400 00002d1d 02231008 xmitted...-..#.. + 36e50: 7063695f 72656170 5f726563 76000000 pci_reap_recv... + 36e60: 2d1d0223 14087063 695f6765 745f7069 -..#..pci_get_pi + 36e70: 70650000 002d2a02 23180870 63695f67 pe...-*.#..pci_g + 36e80: 65745f74 785f656e 67000000 2da10223 et_tx_eng...-..# + 36e90: 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng + 36ea0: 0000002e 3e022320 0007676d 61635f61 ....>.# ..gmac_a + 36eb0: 70690004 00002f3d 08676d61 635f626f pi..../=.gmac_bo + 36ec0: 6f745f69 6e697400 0000010e 02230000 ot_init......#.. + 36ed0: 0f000003 22060000 2f4a1005 00075f5f ....".../J....__ + 36ee0: 65746868 6472000e 00002f80 08647374 ethhdr..../..dst + 36ef0: 0000002f 3d022300 08737263 0000002f .../=.#..src.../ + 36f00: 3d022306 08657479 70650000 0012ef02 =.#..etype...... + 36f10: 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... + 36f20: 2fce1572 65730000 0012db01 00020223 /..res.........# + 36f30: 00157072 6f746f00 000012db 01020602 ..proto......... + 36f40: 23000872 65735f6c 6f000000 12db0223 #..res_lo......# + 36f50: 01087265 735f6869 00000012 ef022302 ..res_hi......#. + 36f60: 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... + 36f70: 300a0865 74680000 002f4a02 23000861 0..eth.../J.#..a + 36f80: 74680000 002f8002 230e0861 6c69676e th.../..#..align + 36f90: 5f706164 00000012 ef022312 00095f5f _pad......#...__ + 36fa0: 676d6163 5f686472 5f740000 002fce07 gmac_hdr_t.../.. + 36fb0: 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. + 36fc0: 30540868 64720000 00300a02 23000867 0T.hdr...0..#..g + 36fd0: 72616e00 000012ef 02231408 73770000 ran......#..sw.. + 36fe0: 0028ed02 23180007 5f415f6f 735f6c69 .(..#..._A_os_li + 36ff0: 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 + 37000: 8d087665 7273696f 6e000000 01150223 ..version......# + 37010: 00087461 626c6500 00000115 02230400 ..table......#.. + 37020: 03000030 54040006 00000115 01030000 ...0T........... + 37030: 30940400 03000004 0d040017 5f415f63 0..........._A_c + 37040: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 37050: 5f746162 6c650001 b8000031 e4086861 _table.....1..ha + 37060: 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. + 37070: 0000309a 02230008 73746172 745f6273 ..0..#..start_bs + 37080: 73000000 30a10223 04086170 705f7374 s...0..#..app_st + 37090: 61727400 0000010e 02230808 6d656d00 art......#..mem. + 370a0: 0000044d 02230c08 6d697363 00000005 ...M.#..misc.... + 370b0: 6c022320 08707269 6e746600 00000142 l.# .printf....B + 370c0: 02234408 75617274 00000002 0b02234c .#D.uart......#L + 370d0: 08676d61 63000000 2f160223 6c087573 .gmac.../..#l.us + 370e0: 62000000 0f9b0223 7008636c 6f636b00 b......#p.clock. + 370f0: 00000ae4 0323e001 0874696d 65720000 .....#...timer.. + 37100: 00078403 23840208 696e7472 0000000c ....#...intr.... + 37110: 34032398 0208616c 6c6f6372 616d0000 4.#...allocram.. + 37120: 00093c03 23c40208 726f6d70 00000008 ..<.#...romp.... + 37130: 2e0323d0 02087764 745f7469 6d657200 ..#...wdt_timer. + 37140: 00000e11 0323e002 08656570 0000000f .....#...eep.... + 37150: 3f0323fc 02087374 72696e67 00000006 ?.#...string.... + 37160: 9003238c 03087461 736b6c65 74000000 ..#...tasklet... + 37170: 0a390323 a4030007 5f555342 5f464946 .9.#...._USB_FIF + 37180: 4f5f434f 4e464947 00100000 32570867 O_CONFIG....2W.g + 37190: 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. + 371a0: 00144702 23000872 6563765f 636f6d6d ..G.#..recv_comm + 371b0: 616e6400 0000145d 02230408 6765745f and....].#..get_ + 371c0: 6576656e 745f6275 66000000 14470223 event_buf....G.# + 371d0: 08087365 6e645f65 76656e74 5f646f6e ..send_event_don + 371e0: 65000000 145d0223 0c000955 53425f46 e....].#...USB_F + 371f0: 49464f5f 434f4e46 49470000 0031e403 IFO_CONFIG...1.. + 37200: 00003257 04000201 03000032 73040007 ..2W.......2s... + 37210: 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 + 37220: c9085f69 6e697400 00003275 02230008 .._init...2u.#.. + 37230: 5f656e61 626c655f 6576656e 745f6973 _enable_event_is + 37240: 72000000 010e0223 04087052 65736572 r......#..pReser + 37250: 76656400 0000040a 02230800 0f000016 ved......#...... + 37260: 81020000 32d61001 00075f48 54435f46 ....2....._HTC_F + 37270: 52414d45 5f484452 00080000 33480845 RAME_HDR....3H.E + 37280: 6e64706f 696e7449 44000000 16810223 ndpointID......# + 37290: 0008466c 61677300 00001681 02230108 ..Flags......#.. + 372a0: 5061796c 6f61644c 656e0000 001ca902 PayloadLen...... + 372b0: 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. + 372c0: 000032c9 02230408 486f7374 5365714e ..2..#..HostSeqN + 372d0: 756d0000 001ca902 23060012 02000033 um......#......3 + 372e0: 61084d65 73736167 65494400 00001ca9 a.MessageID..... + 372f0: 02230000 12080000 33c4084d 65737361 .#......3..Messa + 37300: 67654944 0000001c a9022300 08437265 geID......#..Cre + 37310: 64697443 6f756e74 0000001c a9022302 ditCount......#. + 37320: 08437265 64697453 697a6500 00001ca9 .CreditSize..... + 37330: 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints + 37340: 00000016 81022306 085f5061 64310000 ......#.._Pad1.. + 37350: 00168102 23070012 0a000034 5b084d65 ....#......4[.Me + 37360: 73736167 65494400 00001ca9 02230008 ssageID......#.. + 37370: 53657276 69636549 44000000 1ca90223 ServiceID......# + 37380: 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag + 37390: 73000000 1ca90223 0408446f 776e4c69 s......#..DownLi + 373a0: 6e6b5069 70654944 00000016 81022306 nkPipeID......#. + 373b0: 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... + 373c0: 16810223 07085365 72766963 654d6574 ...#..ServiceMet + 373d0: 614c656e 67746800 00001681 02230808 aLength......#.. + 373e0: 5f506164 31000000 16810223 0900120a _Pad1......#.... + 373f0: 000034e3 084d6573 73616765 49440000 ..4..MessageID.. + 37400: 001ca902 23000853 65727669 63654944 ....#..ServiceID + 37410: 0000001c a9022302 08537461 74757300 ......#..Status. + 37420: 00001681 02230408 456e6470 6f696e74 .....#..Endpoint + 37430: 49440000 00168102 2305084d 61784d73 ID......#..MaxMs + 37440: 6753697a 65000000 1ca90223 06085365 gSize......#..Se + 37450: 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 37460: 00001681 02230808 5f506164 31000000 .....#.._Pad1... + 37470: 16810223 09001202 000034fc 084d6573 ...#......4..Mes + 37480: 73616765 49440000 001ca902 23000012 sageID......#... + 37490: 04000035 38084d65 73736167 65494400 ...58.MessageID. + 374a0: 00001ca9 02230008 50697065 49440000 .....#..PipeID.. + 374b0: 00168102 23020843 72656469 74436f75 ....#..CreditCou + 374c0: 6e740000 00168102 23030012 04000035 nt......#......5 + 374d0: 6f084d65 73736167 65494400 00001ca9 o.MessageID..... + 374e0: 02230008 50697065 49440000 00168102 .#..PipeID...... + 374f0: 23020853 74617475 73000000 16810223 #..Status......# + 37500: 03001202 00003596 08526563 6f726449 ......5..RecordI + 37510: 44000000 16810223 00084c65 6e677468 D......#..Length + 37520: 00000016 81022301 00120200 0035c008 ......#......5.. + 37530: 456e6470 6f696e74 49440000 00168102 EndpointID...... + 37540: 23000843 72656469 74730000 00168102 #..Credits...... + 37550: 23010012 04000036 0108456e 64706f69 #......6..Endpoi + 37560: 6e744944 00000016 81022300 08437265 ntID......#..Cre + 37570: 64697473 00000016 81022301 08546774 dits......#..Tgt + 37580: 43726564 69745365 714e6f00 00001ca9 CreditSeqNo..... + 37590: 02230200 0f000016 81040000 360e1003 .#..........6... + 375a0: 00120600 00364a08 50726556 616c6964 .....6J.PreValid + 375b0: 00000016 81022300 084c6f6f 6b416865 ......#..LookAhe + 375c0: 61640000 00360102 23010850 6f737456 ad...6..#..PostV + 375d0: 616c6964 00000016 81022305 0009706f alid......#...po + 375e0: 6f6c5f68 616e646c 655f7400 0000040a ol_handle_t..... + 375f0: 06000036 4a010300 00365d04 00020103 ...6J....6]..... + 37600: 0000366a 04001404 000036e8 0e504f4f ..6j......6..POO + 37610: 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL + 37620: 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S + 37630: 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P + 37640: 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E + 37650: 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W + 37660: 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO + 37670: 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ + 37680: 504f4f4c 5f494400 00003673 02010300 POOL_ID...6s.... + 37690: 0036f904 00060000 26460103 00003702 .6......&F....7. + 376a0: 04000600 00264601 03000037 0f040002 .....&F....7.... + 376b0: 01030000 371c0400 07627566 5f706f6f ....7....buf_poo + 376c0: 6c5f6170 69001c00 0037be08 5f696e69 l_api....7.._ini + 376d0: 74000000 36630223 00085f73 68757464 t...6c.#.._shutd + 376e0: 6f776e00 0000366c 02230408 5f637265 own...6l.#.._cre + 376f0: 6174655f 706f6f6c 00000036 fb022308 ate_pool...6..#. + 37700: 085f616c 6c6f635f 62756600 00003708 ._alloc_buf...7. + 37710: 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a + 37720: 6c69676e 00000037 15022310 085f6672 lign...7..#.._fr + 37730: 65655f62 75660000 00371e02 23140870 ee_buf...7..#..p + 37740: 52657365 72766564 00000004 0a022318 Reserved......#. + 37750: 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. + 37760: 0000389d 08704e65 78740000 00389d02 ..8..pNext...8.. + 37770: 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs + 37780: 67000000 39520223 04085072 6f636573 g...9R.#..Proces + 37790: 7353656e 64427566 66657243 6f6d706c sSendBufferCompl + 377a0: 65746500 0000395b 02230808 50726f63 ete...9[.#..Proc + 377b0: 65737343 6f6e6e65 63740000 00396f02 essConnect...9o. + 377c0: 230c0853 65727669 63654944 00000012 #..ServiceID.... + 377d0: ef022310 08536572 76696365 466c6167 ..#..ServiceFlag + 377e0: 73000000 12ef0223 12084d61 78537663 s......#..MaxSvc + 377f0: 4d736753 697a6500 000012ef 02231408 MsgSize......#.. + 37800: 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL + 37810: 696d6974 00000012 ef022316 08536572 imit......#..Ser + 37820: 76696365 43747800 0000040a 02231800 viceCtx......#.. + 37830: 03000037 be040014 04000039 3b19454e ...7.......9;.EN + 37840: 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... + 37850: ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E + 37860: 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO + 37870: 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 + 37880: 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E + 37890: 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO + 378a0: 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 + 378b0: 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E + 378c0: 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H + 378d0: 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. + 378e0: 0038a402 01030000 39500400 02010300 .8......9P...... + 378f0: 00395904 00030000 01150400 06000012 .9Y............. + 37900: db010300 00396904 00030000 37be0400 .....9i.....7... + 37910: 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... + 37920: 39ee0843 72656469 7453697a 65000000 9..CreditSize... + 37930: 01150223 00084372 65646974 4e756d62 ...#..CreditNumb + 37940: 65720000 00011502 2304084f 5348616e er......#..OSHan + 37950: 646c6500 00001a45 02230808 48494648 dle....E.#..HIFH + 37960: 616e646c 65000000 28ae0223 0c08506f andle...(..#..Po + 37970: 6f6c4861 6e646c65 00000036 4a022310 olHandle...6J.#. + 37980: 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE + 37990: 58540002 00003a2a 08656e64 5f706f69 XT....:*.end_poi + 379a0: 6e740000 0012db02 23000868 74635f66 nt......#..htc_f + 379b0: 6c616773 00000012 db022301 00096874 lags......#...ht + 379c0: 635f6861 6e646c65 5f740000 00040a09 c_handle_t...... + 379d0: 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE + 379e0: 54455f43 42000000 010e0948 54435f43 TE_CB......HTC_C + 379f0: 4f4e4649 47000000 397d0300 003a5704 ONFIG...9}...:W. + 37a00: 00060000 3a2a0103 00003a6e 04000201 ....:*....:n.... + 37a10: 0300003a 7b040009 4854435f 53455256 ...:{...HTC_SERV + 37a20: 49434500 000037be 0300003a 84040002 ICE...7....:.... + 37a30: 01030000 3a9c0400 02010300 003aa504 ....:........:.. + 37a40: 00020103 00003aae 04000600 00011501 ......:......... + 37a50: 0300003a b7040007 6874635f 61706973 ...:....htc_apis + 37a60: 00340000 3c34085f 4854435f 496e6974 .4..<4._HTC_Init + 37a70: 0000003a 74022300 085f4854 435f5368 ...:t.#.._HTC_Sh + 37a80: 7574646f 776e0000 003a7d02 2304085f utdown...:}.#.._ + 37a90: 4854435f 52656769 73746572 53657276 HTC_RegisterServ + 37aa0: 69636500 00003a9e 02230808 5f485443 ice...:..#.._HTC + 37ab0: 5f526561 64790000 003a7d02 230c085f _Ready...:}.#.._ + 37ac0: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 37ad0: 73000000 3aa70223 10085f48 54435f52 s...:..#.._HTC_R + 37ae0: 65747572 6e427566 66657273 4c697374 eturnBuffersList + 37af0: 0000003a b0022314 085f4854 435f5365 ...:..#.._HTC_Se + 37b00: 6e644d73 67000000 3aa70223 18085f48 ndMsg...:..#.._H + 37b10: 54435f47 65745265 73657276 65644865 TC_GetReservedHe + 37b20: 6164726f 6f6d0000 003abd02 231c085f adroom...:..#.._ + 37b30: 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl + 37b40: 65720000 00285b02 2320085f 4854435f er...([.# ._HTC_ + 37b50: 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. + 37b60: 00002852 02232408 5f485443 5f436f6e ..(R.#$._HTC_Con + 37b70: 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs + 37b80: 67000000 39520223 28085f48 54435f43 g...9R.#(._HTC_C + 37b90: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 37ba0: 53656e64 436f6d70 6c657465 00000039 SendComplete...9 + 37bb0: 5b02232c 08705265 73657276 65640000 [.#,.pReserved.. + 37bc0: 00040a02 23300007 686f7374 5f617070 ....#0..host_app + 37bd0: 5f617265 615f7300 0400003c 6408776d _area_s......t + 37d70: 75706c65 4e756d4c 0000001c a9022300 upleNumL......#. + 37d80: 08747570 6c654e75 6d480000 001ca902 .tupleNumH...... + 37d90: 23020861 76740000 003dc802 23040012 #..avt...=..#... + 37da0: 0100003e 2e086265 61636f6e 50656e64 ...>..beaconPend + 37db0: 696e6743 6f756e74 00000016 81022300 ingCount......#. + 37dc0: 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI + 37dd0: 47001000 003e9708 48746348 616e646c G....>..HtcHandl + 37de0: 65000000 3a2a0223 0008506f 6f6c4861 e...:*.#..PoolHa + 37df0: 6e646c65 00000036 4a022304 084d6178 ndle...6J.#..Max + 37e00: 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... + 37e10: 15022308 084d6178 4576656e 74457674 ..#..MaxEventEvt + 37e20: 73000000 01150223 0c000201 0300003e s......#.......> + 37e30: 97040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND + 37e40: 4c455200 00003e99 075f574d 495f4449 LER...>.._WMI_DI + 37e50: 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... + 37e60: 3f000870 436d6448 616e646c 65720000 ?..pCmdHandler.. + 37e70: 003ea002 23000843 6d644944 00000012 .>..#..CmdID.... + 37e80: ef022304 08466c61 67730000 0012ef02 ..#..Flags...... + 37e90: 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC + 37ea0: 485f5441 424c4500 1000003f 6108704e H_TABLE....?a.pN + 37eb0: 65787400 00003f61 02230008 70436f6e ext...?a.#..pCon + 37ec0: 74657874 00000004 0a022304 084e756d text......#..Num + 37ed0: 6265724f 66456e74 72696573 00000001 berOfEntries.... + 37ee0: 15022308 08705461 626c6500 00003f80 ..#..pTable...?. + 37ef0: 02230c00 0300003f 00040009 574d495f .#.....?....WMI_ + 37f00: 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. + 37f10: 003eb503 00003f68 04000300 003f0004 .>....?h.....?.. + 37f20: 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX + 37f30: 54000000 39ee0d57 4d495f45 56545f43 T...9..WMI_EVT_C + 37f40: 4c415353 00040000 40181957 4d495f45 LASS....@..WMI_E + 37f50: 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... + 37f60: ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 37f70: 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI + 37f80: 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R + 37f90: 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C + 37fa0: 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ + 37fb0: 4556545f 434c4153 53000000 3fa3075f EVT_CLASS...?.._ + 37fc0: 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. + 37fd0: 0c000040 76084874 63427566 43747800 ...@v.HtcBufCtx. + 37fe0: 00003f8e 02230008 4576656e 74436c61 ..?..#..EventCla + 37ff0: 73730000 00401802 23040846 6c616773 ss...@..#..Flags + 38000: 00000012 ef022308 0009776d 695f6861 ......#...wmi_ha + 38010: 6e646c65 5f740000 00040a09 574d495f ndle_t......WMI_ + 38020: 5356435f 434f4e46 49470000 003e2e03 SVC_CONFIG...>.. + 38030: 00004088 04000600 00407601 03000040 ..@......@v....@ + 38040: a3040009 574d495f 44495350 41544348 ....WMI_DISPATCH + 38050: 5f544142 4c450000 003f0003 000040b0 _TABLE...?....@. + 38060: 04000201 03000040 cf040006 00002646 .......@......&F + 38070: 01030000 40d80400 02010300 0040e504 ....@........@.. + 38080: 00060000 01150103 000040ee 04000201 ..........@..... + 38090: 03000040 fb040006 000012db 01030000 ...@............ + 380a0: 41040400 075f776d 695f7376 635f6170 A...._wmi_svc_ap + 380b0: 6973002c 0000424c 085f574d 495f496e is.,..BL._WMI_In + 380c0: 69740000 0040a902 2300085f 574d495f it...@..#.._WMI_ + 380d0: 52656769 73746572 44697370 61746368 RegisterDispatch + 380e0: 5461626c 65000000 40d10223 04085f57 Table...@..#.._W + 380f0: 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... + 38100: 40de0223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE + 38110: 76656e74 00000040 e702230c 085f574d vent...@..#.._WM + 38120: 495f4765 7450656e 64696e67 4576656e I_GetPendingEven + 38130: 7473436f 756e7400 000040f4 02231008 tsCount...@..#.. + 38140: 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet + 38150: 6548616e 646c6572 00000039 5b022314 eHandler...9[.#. + 38160: 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl + 38170: 45700000 0040f402 2318085f 574d495f Ep...@..#.._WMI_ + 38180: 53687574 646f776e 00000040 fd02231c Shutdown...@..#. + 38190: 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag + 381a0: 6548616e 646c6572 00000039 52022320 eHandler...9R.# + 381b0: 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon + 381c0: 6e656374 00000041 0a022324 08705265 nect...A..#$.pRe + 381d0: 73657276 65640000 00040a02 23280007 served......#(.. + 381e0: 7a73446d 61446573 63001400 0042ce08 zsDmaDesc....B.. + 381f0: 6374726c 00000001 79022300 08737461 ctrl....y.#..sta + 38200: 74757300 00000179 02230208 746f7461 tus....y.#..tota + 38210: 6c4c656e 00000001 79022304 08646174 lLen....y.#..dat + 38220: 6153697a 65000000 01790223 06086c61 aSize....y.#..la + 38230: 73744164 64720000 0042ce02 23080864 stAddr...B..#..d + 38240: 61746141 64647200 0000019d 02230c08 ataAddr......#.. + 38250: 6e657874 41646472 00000042 ce022310 nextAddr...B..#. + 38260: 00030000 424c0400 03000042 4c040007 ....BL.....BL... + 38270: 7a73446d 61517565 75650008 0000430e zsDmaQueue....C. + 38280: 08686561 64000000 42d50223 00087465 .head...B..#..te + 38290: 726d696e 61746f72 00000042 d5022304 rminator...B..#. + 382a0: 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. + 382b0: 00004372 08686561 64000000 42d50223 ..Cr.head...B..# + 382c0: 00087465 726d696e 61746f72 00000042 ..terminator...B + 382d0: d5022304 08786d69 7465645f 6275665f ..#..xmited_buf_ + 382e0: 68656164 00000014 3a022308 08786d69 head....:.#..xmi + 382f0: 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... + 38300: 3a02230c 00020103 00004372 04000300 :.#.......Cr.... + 38310: 0042dc04 00020103 00004382 04000300 .B........C..... + 38320: 00430e04 00020103 00004392 04000201 .C........C..... + 38330: 03000043 9b040002 01030000 43a40400 ...C........C... + 38340: 06000014 3a010300 0043ad04 00020103 ....:....C...... + 38350: 000043ba 04000600 00143a01 03000043 ..C.......:....C + 38360: c3040002 01030000 43d00400 06000001 ........C....... + 38370: 15010300 0043d904 00060000 42d50103 .....C......B... + 38380: 000043e6 04000201 03000043 f3040007 ..C........C.... + 38390: 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ + 383a0: 00004569 085f696e 69740000 00437402 ..Ei._init...Ct. + 383b0: 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu + 383c0: 65000000 43840223 04085f69 6e69745f e...C..#.._init_ + 383d0: 74785f71 75657565 00000043 94022308 tx_queue...C..#. + 383e0: 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu + 383f0: 65000000 439d0223 0c085f78 6d69745f e...C..#.._xmit_ + 38400: 62756600 000043a6 02231008 5f666c75 buf...C..#.._flu + 38410: 73685f78 6d697400 00004384 02231408 sh_xmit...C..#.. + 38420: 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. + 38430: 0043b302 2318085f 72657475 726e5f72 .C..#.._return_r + 38440: 6563765f 62756600 000043bc 02231c08 ecv_buf...C..#.. + 38450: 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf + 38460: 00000043 c9022320 085f7377 61705f64 ...C..# ._swap_d + 38470: 61746100 000043d2 02232408 5f686173 ata...C..#$._has + 38480: 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. + 38490: 0043df02 2328085f 64657363 5f64756d .C..#(._desc_dum + 384a0: 70000000 43840223 2c085f67 65745f70 p...C..#,._get_p + 384b0: 61636b65 74000000 43ec0223 30085f72 acket...C..#0._r + 384c0: 65636c61 696d5f70 61636b65 74000000 eclaim_packet... + 384d0: 43f50223 34085f70 75745f70 61636b65 C..#4._put_packe + 384e0: 74000000 43f50223 38087052 65736572 t...C..#8.pReser + 384f0: 76656400 0000040a 02233c00 095f415f ved......#<.._A_ + 38500: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 38510: 6e5f7461 626c655f 74000000 30a80957 n_table_t...0..W + 38520: 4d495f53 56435f41 50495300 00004111 MI_SVC_APIS...A. + 38530: 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 38540: 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. + 38550: 00469708 636d6e6f 73000000 45690223 .F..cmnos...Ei.# + 38560: 00086462 67000000 03d70323 b8030868 ..dbg......#...h + 38570: 69660000 00295103 23c00308 68746300 if...)Q.#...htc. + 38580: 00003ac4 0323f803 08776d69 5f737663 ..:..#...wmi_svc + 38590: 5f617069 00000045 8b0323ac 04087573 _api...E..#...us + 385a0: 62666966 6f5f6170 69000000 327c0323 bfifo_api...2|.# + 385b0: d8040862 75665f70 6f6f6c00 00003725 ...buf_pool...7% + 385c0: 0323e404 08766275 66000000 14640323 .#...vbuf....d.# + 385d0: 80050876 64657363 00000013 46032394 ...vdesc....F.#. + 385e0: 0508616c 6c6f6372 616d0000 00093c03 ..allocram....<. + 385f0: 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. + 38600: 0043fc03 23b40508 646d615f 6c696200 .C..#...dma_lib. + 38610: 00002be5 0323f405 08686966 5f706369 ..+..#...hif_pci + 38620: 0000002e 450323a8 0600095f 415f6d61 ....E.#...._A_ma + 38630: 67706965 5f696e64 69726563 74696f6e gpie_indirection + 38640: 5f746162 6c655f74 00000045 9d07636d _table_t...E..cm + 38650: 6e6f735f 74696d65 725f7300 14000047 nos_timer_s....G + 38660: 35087469 6d65725f 6e657874 00000047 5.timer_next...G + 38670: 35022300 0874696d 65725f65 78706972 5.#..timer_expir + 38680: 65000000 09210223 04087469 6d65725f e....!.#..timer_ + 38690: 70657269 6f640000 00092102 23080874 period....!.#..t + 386a0: 696d6572 5f66756e 6374696f 6e000000 imer_function... + 386b0: 07740223 0c087469 6d65725f 61726700 .t.#..timer_arg. + 386c0: 0000040a 02231000 03000046 ba040003 .....#.....F.... + 386d0: 000046ba 04000963 6d6e6f73 5f74696d ..F....cmnos_tim + 386e0: 65725f74 00000046 ba030000 47430400 er_t...F....GC.. + 386f0: 1a74696d 65725f6c 69737400 00004756 .timer_list...GV + 38700: 05030050 0a340103 00000784 04000201 ...P.4.......... + 38710: 1b012163 6d6e6f73 5f74696d 65725f73 ..!cmnos_timer_s + 38720: 6574666e 00010103 92012002 9000008e etfn...... ..... + 38730: 2c04008e 2c130000 47e61c01 21415f74 ,...,...G...!A_t + 38740: 696d6572 00000007 3901521c 01217066 imer....9.R..!pf + 38750: 756e6374 696f6e00 00000774 01531c01 unction....t.S.. + 38760: 21706172 67000000 040a0154 1d707469 !parg......T.pti + 38770: 6d657200 00004756 001b012e 636d6e6f mer...GV....cmno + 38780: 735f7469 6d65725f 61726d00 01010392 s_timer_arm..... + 38790: 01200290 00008e2c 14008e2c 56000048 . .....,...,V..H + 387a0: 791c012e 415f7469 6d657200 00000739 y...A_timer....9 + 387b0: 01521c01 2e6d696c 6c697365 636f6e64 .R...millisecond + 387c0: 73000000 040d0153 1d707469 6d657200 s......S.ptimer. + 387d0: 00004756 1d707265 76000000 47561d74 ..GV.prev...GV.t + 387e0: 696d6572 5f746963 6b730000 0009211d imer_ticks....!. + 387f0: 74696d65 725f6578 70697265 00000009 timer_expire.... + 38800: 211d6375 72720000 00475600 1b015363 !.curr...GV...Sc + 38810: 6d6e6f73 5f74696d 65725f64 69736172 mnos_timer_disar + 38820: 6d000101 03920120 02900000 8e2c5800 m...... .....,X. + 38830: 8e2c8800 0048d61c 0153415f 74696d65 .,...H...SA_time + 38840: 72000000 07390152 1d707469 6d657200 r....9.R.ptimer. + 38850: 00004756 1d707265 76000000 47561d63 ..GV.prev...GV.c + 38860: 75727200 00004756 001e0172 636d6e6f urr...GV...rcmno + 38870: 735f7469 6d65725f 696e6974 00010103 s_timer_init.... + 38880: 92012002 9000008e 2c88008e 2c941b01 .. .....,...,... + 38890: 79636d6e 6f735f74 696d6572 5f68616e ycmnos_timer_han + 388a0: 646c6572 00010103 92012002 9000008e dler...... ..... + 388b0: 2c94008e 2cc10000 49341d70 74696d65 ,...,...I4.ptime + 388c0: 72000000 4756001f 0189636d 6e6f735f r...GV....cmnos_ + 388d0: 74696d65 725f6d6f 64756c65 5f696e73 timer_module_ins + 388e0: 74616c6c 00010103 92012002 9000008e tall...... ..... + 388f0: 2cc4008e 2ce21c01 8974626c 00000047 ,...,....tbl...G + 38900: 74015200 00000000 493f0002 0000146e t.R.....I?.....n + 38910: 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 38920: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 38930: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 38940: 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 38950: 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 38960: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 38970: 636d6e6f 732f7764 742f7372 632f636d cmnos/wdt/src/cm + 38980: 6e6f735f 7764742e 63002f72 6f6f742f nos_wdt.c./root/ + 38990: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 389a0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 389b0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 389c0: 6f732f77 64740078 742d7863 6320666f os/wdt.xt-xcc fo + 389d0: 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali + 389e0: 676e5f69 6e737472 75637469 6f6e733d gn_instructions= + 389f0: 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: + 38a00: 73706163 65000100 0000c521 02010300 space......!.... + 38a10: 00010604 0004696e 74000504 04636861 ......int....cha + 38a20: 72000701 05000001 16050000 01160300 r............... + 38a30: 00012304 00060000 010f0103 0000012f ..#............/ + 38a40: 04000770 72696e74 665f6170 69000800 ...printf_api... + 38a50: 00017308 5f707269 6e74665f 696e6974 ..s._printf_init + 38a60: 00000001 08022300 085f7072 696e7466 ......#.._printf + 38a70: 00000001 35022304 00047368 6f727420 ....5.#...short + 38a80: 756e7369 676e6564 20696e74 00070209 unsigned int.... + 38a90: 75696e74 31365f74 00000001 73046c6f uint16_t....s.lo + 38aa0: 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 38ab0: 07040975 696e7433 325f7400 00000197 ...uint32_t..... + 38ac0: 07756172 745f6669 666f0008 00000205 .uart_fifo...... + 38ad0: 08737461 72745f69 6e646578 00000001 .start_index.... + 38ae0: 89022300 08656e64 5f696e64 65780000 ..#..end_index.. + 38af0: 00018902 2302086f 76657272 756e5f65 ....#..overrun_e + 38b00: 72720000 0001ac02 23040007 75617274 rr......#...uart + 38b10: 5f617069 00200000 02be085f 75617274 _api. ....._uart + 38b20: 5f696e69 74000000 03150223 00085f75 _init......#.._u + 38b30: 6172745f 63686172 5f707574 00000003 art_char_put.... + 38b40: 3c022304 085f7561 72745f63 6861725f <.#.._uart_char_ + 38b50: 67657400 00000350 02230808 5f756172 get....P.#.._uar + 38b60: 745f7374 725f6f75 74000000 03590223 t_str_out....Y.# + 38b70: 0c085f75 6172745f 7461736b 00000001 .._uart_task.... + 38b80: 08022310 085f7561 72745f73 74617475 ..#.._uart_statu + 38b90: 73000000 03150223 14085f75 6172745f s......#.._uart_ + 38ba0: 636f6e66 69670000 00036202 2318085f config....b.#.._ + 38bb0: 75617274 5f687769 6e697400 0000036b uart_hwinit....k + 38bc0: 02231c00 03000002 05040007 75617274 .#..........uart + 38bd0: 5f626c6b 00100000 030f0864 65627567 _blk.......debug + 38be0: 5f6d6f64 65000000 01890223 00086261 _mode......#..ba + 38bf0: 75640000 00018902 2302085f 75617274 ud......#.._uart + 38c00: 00000002 be022304 085f7478 00000001 ......#.._tx.... + 38c10: ba022308 00060000 01ac0103 0000030f ..#............. + 38c20: 04000475 6e736967 6e656420 63686172 ...unsigned char + 38c30: 00070109 75696e74 385f7400 0000031c ....uint8_t..... + 38c40: 02010300 00033a04 00030000 032d0400 ......:......-.. + 38c50: 06000001 89010300 00034a04 00020103 ..........J..... + 38c60: 00000357 04000201 03000003 60040002 ...W........`... + 38c70: 01030000 03690400 03000001 16040006 .....i.......... + 38c80: 0000010f 01030000 03790400 0744425f .........y...DB_ + 38c90: 434f4d4d 414e445f 53545255 4354000c COMMAND_STRUCT.. + 38ca0: 000003d1 08636d64 5f737472 00000003 .....cmd_str.... + 38cb0: 72022300 0868656c 705f7374 72000000 r.#..help_str... + 38cc0: 03720223 0408636d 645f6675 6e630000 .r.#..cmd_func.. + 38cd0: 00037f02 23080007 6462675f 61706900 ....#...dbg_api. + 38ce0: 08000004 04085f64 62675f69 6e697400 ......_dbg_init. + 38cf0: 00000108 02230008 5f646267 5f746173 .....#.._dbg_tas + 38d00: 6b000000 01080223 04000a04 0004756e k......#......un + 38d10: 7369676e 65642069 6e740007 04060000 signed int...... + 38d20: 04040103 00000417 04000b0b 03000004 ................ + 38d30: 25040006 00000404 01030000 042d0400 %............-.. + 38d40: 06000001 0f010300 00043a04 00076d65 ..........:...me + 38d50: 6d5f6170 69001400 0004a908 5f6d656d m_api......._mem + 38d60: 5f696e69 74000000 01080223 00085f6d _init......#.._m + 38d70: 656d7365 74000000 041d0223 04085f6d emset......#.._m + 38d80: 656d6370 79000000 04330223 08085f6d emcpy....3.#.._m + 38d90: 656d6d6f 76650000 00043302 230c085f emmove....3.#.._ + 38da0: 6d656d63 6d700000 00044002 2310000c memcmp....@.#... + 38db0: 72656769 73746572 5f64756d 705f7300 register_dump_s. + 38dc0: 00010300 0004a904 00020103 000004c3 ................ + 38dd0: 04000201 03000004 cc040006 0000010f ................ + 38de0: 01030000 04d50400 0d686f73 7469665f .........hostif_ + 38df0: 73000400 0005310e 4849465f 55534200 s.....1.HIF_USB. + 38e00: 000e4849 465f5043 49450001 0e484946 ..HIF_PCIE...HIF + 38e10: 5f474d41 4300020e 4849465f 50434900 _GMAC...HIF_PCI. + 38e20: 030e4849 465f4e55 4d00040e 4849465f ..HIF_NUM...HIF_ + 38e30: 4e4f4e45 00050009 415f484f 53544946 NONE....A_HOSTIF + 38e40: 00000004 e2060000 05310103 0000053f .........1.....? + 38e50: 04000600 00032d01 03000005 4c040006 ......-.....L... + 38e60: 00000189 01030000 05590400 076d6973 .........Y...mis + 38e70: 635f6170 69002400 00064908 5f737973 c_api.$...I._sys + 38e80: 74656d5f 72657365 74000000 01080223 tem_reset......# + 38e90: 00085f6d 61635f72 65736574 00000001 .._mac_reset.... + 38ea0: 08022304 085f6173 73666169 6c000000 ..#.._assfail... + 38eb0: 04c50223 08085f6d 6973616c 69676e65 ...#.._misaligne + 38ec0: 645f6c6f 61645f68 616e646c 65720000 d_load_handler.. + 38ed0: 0004c502 230c085f 7265706f 72745f66 ....#.._report_f + 38ee0: 61696c75 72655f74 6f5f686f 73740000 ailure_to_host.. + 38ef0: 0004ce02 2310085f 74617267 65745f69 ....#.._target_i + 38f00: 645f6765 74000000 04db0223 14085f69 d_get......#.._i + 38f10: 735f686f 73745f70 72657365 6e740000 s_host_present.. + 38f20: 00054502 2318085f 6b626869 74000000 ..E.#.._kbhit... + 38f30: 05520223 1c085f72 6f6d5f76 65727369 .R.#.._rom_versi + 38f40: 6f6e5f67 65740000 00055f02 23200006 on_get...._.# .. + 38f50: 00000372 01030000 06490400 06000003 ...r.....I...... + 38f60: 72010300 00065604 00060000 010f0103 r.....V......... + 38f70: 00000663 04000600 00010f01 03000006 ...c............ + 38f80: 70040006 0000010f 01030000 067d0400 p............}.. + 38f90: 07737472 696e675f 61706900 18000007 .string_api..... + 38fa0: 03085f73 7472696e 675f696e 69740000 .._string_init.. + 38fb0: 00010802 2300085f 73747263 70790000 ....#.._strcpy.. + 38fc0: 00064f02 2304085f 7374726e 63707900 ..O.#.._strncpy. + 38fd0: 0000065c 02230808 5f737472 6c656e00 ...\.#.._strlen. + 38fe0: 00000669 02230c08 5f737472 636d7000 ...i.#.._strcmp. + 38ff0: 00000676 02231008 5f737472 6e636d70 ...v.#.._strncmp + 39000: 00000006 83022314 000f0000 04071400 ......#......... + 39010: 00071010 0400095f 415f5449 4d45525f ......._A_TIMER_ + 39020: 53504143 45000000 07030941 5f74696d SPACE......A_tim + 39030: 65725f74 00000007 10030000 07240400 er_t.........$.. + 39040: 02010300 00073a04 00020103 00000743 ......:........C + 39050: 04000941 5f48414e 444c4500 00000407 ...A_HANDLE..... + 39060: 02010941 5f54494d 45525f46 554e4300 ...A_TIMER_FUNC. + 39070: 0000075a 03000007 5c040002 01030000 ...Z....\....... + 39080: 07750400 0774696d 65725f61 70690014 .u...timer_api.. + 39090: 000007f4 085f7469 6d65725f 696e6974 ....._timer_init + 390a0: 00000001 08022300 085f7469 6d65725f ......#.._timer_ + 390b0: 61726d00 0000073c 02230408 5f74696d arm....<.#.._tim + 390c0: 65725f64 69736172 6d000000 07450223 er_disarm....E.# + 390d0: 08085f74 696d6572 5f736574 666e0000 .._timer_setfn.. + 390e0: 00077702 230c085f 74696d65 725f7275 ..w.#.._timer_ru + 390f0: 6e000000 01080223 10000942 4f4f4c45 n......#...BOOLE + 39100: 414e0000 00018906 000007f4 01030000 AN.............. + 39110: 08010400 06000007 f4010300 00080e04 ................ + 39120: 00060000 07f40103 0000081b 04000772 ...............r + 39130: 6f6d705f 61706900 10000008 8d085f72 omp_api......._r + 39140: 6f6d705f 696e6974 00000001 08022300 omp_init......#. + 39150: 085f726f 6d705f64 6f776e6c 6f616400 ._romp_download. + 39160: 00000807 02230408 5f726f6d 705f696e .....#.._romp_in + 39170: 7374616c 6c000000 08140223 08085f72 stall......#.._r + 39180: 6f6d705f 6465636f 64650000 00082102 omp_decode....!. + 39190: 230c0007 726f6d5f 70617463 685f7374 #...rom_patch_st + 391a0: 00100000 08e90863 72633136 00000001 .......crc16.... + 391b0: 89022300 086c656e 00000001 89022302 ..#..len......#. + 391c0: 086c645f 61646472 00000001 ac022304 .ld_addr......#. + 391d0: 0866756e 5f616464 72000000 01ac0223 .fun_addr......# + 391e0: 08087066 756e0000 00034302 230c0007 ..pfun....C.#... + 391f0: 6565705f 72656469 725f6164 64720004 eep_redir_addr.. + 39200: 0000091b 086f6666 73657400 00000189 .....offset..... + 39210: 02230008 73697a65 00000001 89022302 .#..size......#. + 39220: 0009415f 55494e54 33320000 00040706 ..A_UINT32...... + 39230: 00000404 01030000 09290400 07616c6c .........)...all + 39240: 6f637261 6d5f6170 69000c00 00099a08 ocram_api....... + 39250: 636d6e6f 735f616c 6c6f6372 616d5f69 cmnos_allocram_i + 39260: 6e697400 0000092f 02230008 636d6e6f nit..../.#..cmno + 39270: 735f616c 6c6f6372 616d0000 00092f02 s_allocram..../. + 39280: 23040863 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra + 39290: 6d5f6465 62756700 00000108 02230800 m_debug......#.. + 392a0: 02010300 00099a04 0009415f 5441534b ..........A_TASK + 392b0: 4c45545f 46554e43 00000009 9c075f74 LET_FUNC......_t + 392c0: 61736b6c 65740010 000009fb 0866756e asklet.......fun + 392d0: 63000000 09a30223 00086172 67000000 c......#..arg... + 392e0: 04040223 04087374 61746500 0000010f ...#..state..... + 392f0: 02230808 6e657874 00000009 fb02230c .#..next......#. + 39300: 00030000 09b70400 03000009 b7040009 ................ + 39310: 415f7461 736b6c65 745f7400 000009b7 A_tasklet_t..... + 39320: 0300000a 09040002 01030000 0a210400 .............!.. + 39330: 02010300 000a2a04 00077461 736b6c65 ......*...taskle + 39340: 745f6170 69001400 000abf08 5f746173 t_api......._tas + 39350: 6b6c6574 5f696e69 74000000 01080223 klet_init......# + 39360: 00085f74 61736b6c 65745f69 6e69745f .._tasklet_init_ + 39370: 7461736b 0000000a 23022304 085f7461 task....#.#.._ta + 39380: 736b6c65 745f6469 7361626c 65000000 sklet_disable... + 39390: 0a2c0223 08085f74 61736b6c 65745f73 .,.#.._tasklet_s + 393a0: 63686564 756c6500 00000a2c 02230c08 chedule....,.#.. + 393b0: 5f746173 6b6c6574 5f72756e 00000001 _tasklet_run.... + 393c0: 08022310 00020103 00000abf 04000600 ..#............. + 393d0: 00091b01 0300000a c8040002 01030000 ................ + 393e0: 0ad50400 07636c6f 636b5f61 70690024 .....clock_api.$ + 393f0: 00000bb7 085f636c 6f636b5f 696e6974 ....._clock_init + 39400: 0000000a c1022300 085f636c 6f636b72 ......#.._clockr + 39410: 6567735f 696e6974 00000001 08022304 egs_init......#. + 39420: 085f7561 72745f66 72657175 656e6379 ._uart_frequency + 39430: 0000000a ce022308 085f6465 6c61795f ......#.._delay_ + 39440: 75730000 000ad702 230c085f 776c616e us......#.._wlan + 39450: 5f62616e 645f7365 74000000 0ad70223 _band_set......# + 39460: 10085f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 39470: 67657400 00000ace 02231408 5f6d696c get......#.._mil + 39480: 6c697365 636f6e64 73000000 0ace0223 liseconds......# + 39490: 18085f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 394a0: 00000001 0802231c 085f636c 6f636b5f ......#.._clock_ + 394b0: 7469636b 00000001 08022320 00060000 tick......# .... + 394c0: 01ac0103 00000bb7 04000941 5f6f6c64 ...........A_old + 394d0: 5f696e74 725f7400 000001ac 0600000b _intr_t......... + 394e0: c4010300 000bd604 00020103 00000be3 ................ + 394f0: 04000201 0300000b ec040006 000001ac ................ + 39500: 01030000 0bf50400 09415f69 73725f74 .........A_isr_t + 39510: 0000000b fb020103 00000c0f 04000600 ................ + 39520: 00040701 0300000c 18040002 01030000 ................ + 39530: 0c250400 07696e74 725f6170 69002c00 .%...intr_api.,. + 39540: 000d4708 5f696e74 725f696e 69740000 ..G._intr_init.. + 39550: 00010802 2300085f 696e7472 5f696e76 ....#.._intr_inv + 39560: 6f6b655f 69737200 00000bbd 02230408 oke_isr......#.. + 39570: 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 39580: 0bdc0223 08085f69 6e74725f 72657374 ...#.._intr_rest + 39590: 6f726500 00000be5 02230c08 5f696e74 ore......#.._int + 395a0: 725f6d61 736b5f69 6e756d00 00000bee r_mask_inum..... + 395b0: 02231008 5f696e74 725f756e 6d61736b .#.._intr_unmask + 395c0: 5f696e75 6d000000 0bee0223 14085f69 _inum......#.._i + 395d0: 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 395e0: 000c1102 2318085f 6765745f 696e7472 ....#.._get_intr + 395f0: 656e6162 6c650000 000c1e02 231c085f enable......#.._ + 39600: 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 39610: 000c2702 2320085f 6765745f 696e7472 ..'.# ._get_intr + 39620: 70656e64 696e6700 00000c1e 02232408 pending......#$. + 39630: 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 39640: 726c766c 00000001 08022328 00110400 rlvl......#(.... + 39650: 000d6d08 74696d65 6f757400 000001ac ..m.timeout..... + 39660: 02230008 61637469 6f6e0000 0001ac02 .#..action...... + 39670: 23000012 0800000d 8808636d 64000000 #.........cmd... + 39680: 01ac0223 00130000 0d470223 04000954 ...#.....G.#...T + 39690: 5f574454 5f434d44 0000000d 6d020103 _WDT_CMD....m... + 396a0: 00000d97 04001404 00000ded 0e454e55 .............ENU + 396b0: 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU + 396c0: 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN + 396d0: 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E + 396e0: 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 396f0: 00040009 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 39700: 00000da0 0600000d ed010300 000dfe04 ................ + 39710: 00077764 745f6170 69001c00 000ea208 ..wdt_api....... + 39720: 5f776474 5f696e69 74000000 01080223 _wdt_init......# + 39730: 00085f77 64745f65 6e61626c 65000000 .._wdt_enable... + 39740: 01080223 04085f77 64745f64 69736162 ...#.._wdt_disab + 39750: 6c650000 00010802 2308085f 7764745f le......#.._wdt_ + 39760: 73657400 00000d99 02230c08 5f776474 set......#.._wdt + 39770: 5f746173 6b000000 01080223 10085f77 _task......#.._w + 39780: 64745f72 65736574 00000001 08022314 dt_reset......#. + 39790: 085f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 397a0: 00000e04 02231800 14040000 0f090e52 .....#.........R + 397b0: 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET + 397c0: 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ + 397d0: 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ + 397e0: 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE + 397f0: 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 39800: 0e524554 5f554e4b 4e4f574e 00050009 .RET_UNKNOWN.... + 39810: 545f4545 505f5245 54000000 0ea20300 T_EEP_RET....... + 39820: 00018904 00060000 0f090103 00000f1f ................ + 39830: 04000600 000f0901 0300000f 2c040007 ............,... + 39840: 6565705f 61706900 1000000f 95085f65 eep_api......._e + 39850: 65705f69 6e697400 00000108 02230008 ep_init......#.. + 39860: 5f656570 5f726561 64000000 0f250223 _eep_read....%.# + 39870: 04085f65 65705f77 72697465 0000000f .._eep_write.... + 39880: 25022308 085f6565 705f6973 5f657869 %.#.._eep_is_exi + 39890: 73740000 000f3202 230c0007 7573625f st....2.#...usb_ + 398a0: 61706900 70000012 42085f75 73625f69 api.p...B._usb_i + 398b0: 6e697400 00000108 02230008 5f757362 nit......#.._usb + 398c0: 5f726f6d 5f746173 6b000000 01080223 _rom_task......# + 398d0: 04085f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 398e0: 00010802 2308085f 7573625f 696e6974 ....#.._usb_init + 398f0: 5f706879 00000001 0802230c 085f7573 _phy......#.._us + 39900: 625f6570 305f7365 74757000 00000108 b_ep0_setup..... + 39910: 02231008 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 39920: 00000108 02231408 5f757362 5f657030 .....#.._usb_ep0 + 39930: 5f727800 00000108 02231808 5f757362 _rx......#.._usb + 39940: 5f676574 5f696e74 65726661 63650000 _get_interface.. + 39950: 00081402 231c085f 7573625f 7365745f ....#.._usb_set_ + 39960: 696e7465 72666163 65000000 08140223 interface......# + 39970: 20085f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 39980: 67757261 74696f6e 00000008 14022324 guration......#$ + 39990: 085f7573 625f7365 745f636f 6e666967 ._usb_set_config + 399a0: 75726174 696f6e00 00000814 02232808 uration......#(. + 399b0: 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 399c0: 64000000 08140223 2c085f75 73625f76 d......#,._usb_v + 399d0: 656e646f 725f636d 64000000 01080223 endor_cmd......# + 399e0: 30085f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 399f0: 00000001 08022334 085f7573 625f7265 ......#4._usb_re + 39a00: 7365745f 6669666f 00000001 08022338 set_fifo......#8 + 39a10: 085f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 39a20: 01080223 3c085f75 73625f6a 756d705f ...#<._usb_jump_ + 39a30: 626f6f74 00000001 08022340 085f7573 boot......#@._us + 39a40: 625f636c 725f6665 61747572 65000000 b_clr_feature... + 39a50: 08140223 44085f75 73625f73 65745f66 ...#D._usb_set_f + 39a60: 65617475 72650000 00081402 2348085f eature......#H._ + 39a70: 7573625f 7365745f 61646472 65737300 usb_set_address. + 39a80: 00000814 02234c08 5f757362 5f676574 .....#L._usb_get + 39a90: 5f646573 63726970 746f7200 00000814 _descriptor..... + 39aa0: 02235008 5f757362 5f676574 5f737461 .#P._usb_get_sta + 39ab0: 74757300 00000814 02235408 5f757362 tus......#T._usb + 39ac0: 5f736574 75705f64 65736300 00000108 _setup_desc..... + 39ad0: 02235808 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 39ae0: 00000001 0802235c 085f7573 625f7374 ......#\._usb_st + 39af0: 61747573 5f696e00 00000108 02236008 atus_in......#`. + 39b00: 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 39b10: 00000001 08022364 085f7573 625f6570 ......#d._usb_ep + 39b20: 305f7278 5f646174 61000000 01080223 0_rx_data......# + 39b30: 68085f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 39b40: 00000108 02236c00 075f5644 45534300 .....#l.._VDESC. + 39b50: 24000012 ce086e65 78745f64 65736300 $.....next_desc. + 39b60: 000012ce 02230008 6275665f 61646472 .....#..buf_addr + 39b70: 00000012 e2022304 08627566 5f73697a ......#..buf_siz + 39b80: 65000000 12e90223 08086461 74615f6f e......#..data_o + 39b90: 66667365 74000000 12e90223 0a086461 ffset......#..da + 39ba0: 74615f73 697a6500 000012e9 02230c08 ta_size......#.. + 39bb0: 636f6e74 726f6c00 000012e9 02230e08 control......#.. + 39bc0: 68775f64 6573635f 62756600 000012f7 hw_desc_buf..... + 39bd0: 02231000 03000012 42040009 415f5549 .#......B...A_UI + 39be0: 4e543800 0000031c 03000012 d5040009 NT8............. + 39bf0: 415f5549 4e543136 00000001 730f0000 A_UINT16....s... + 39c00: 12d51400 00130410 13000300 00124204 ..............B. + 39c10: 00095644 45534300 00001242 03000013 ..VDESC....B.... + 39c20: 0b040006 00001316 01030000 131d0400 ................ + 39c30: 06000012 e2010300 00132a04 00020103 ..........*..... + 39c40: 00001337 04000776 64657363 5f617069 ...7...vdesc_api + 39c50: 00140000 13af085f 696e6974 0000000a ......._init.... + 39c60: d7022300 085f616c 6c6f635f 76646573 ..#.._alloc_vdes + 39c70: 63000000 13230223 04085f67 65745f68 c....#.#.._get_h + 39c80: 775f6465 73630000 00133002 2308085f w_desc....0.#.._ + 39c90: 73776170 5f766465 73630000 00133902 swap_vdesc....9. + 39ca0: 230c0870 52657365 72766564 00000004 #..pReserved.... + 39cb0: 04022310 00075f56 42554600 20000014 ..#..._VBUF. ... + 39cc0: 0f086465 73635f6c 69737400 00001316 ..desc_list..... + 39cd0: 02230008 6e657874 5f627566 00000014 .#..next_buf.... + 39ce0: 0f022304 08627566 5f6c656e 67746800 ..#..buf_length. + 39cf0: 000012e9 02230808 72657365 72766564 .....#..reserved + 39d00: 00000014 1602230a 08637478 00000012 ......#..ctx.... + 39d10: f702230c 00030000 13af0400 0f000012 ..#............. + 39d20: d5020000 14231001 00030000 13af0400 .....#.......... + 39d30: 09564255 46000000 13af0300 00142a04 .VBUF.........*. + 39d40: 00060000 14340103 0000143b 04000600 .....4.....;.... + 39d50: 00143401 03000014 48040002 01030000 ..4.....H....... + 39d60: 14550400 07766275 665f6170 69001400 .U...vbuf_api... + 39d70: 0014d308 5f696e69 74000000 0ad70223 ...._init......# + 39d80: 00085f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... + 39d90: 14410223 04085f61 6c6c6f63 5f766275 .A.#.._alloc_vbu + 39da0: 665f7769 74685f73 697a6500 0000144e f_with_size....N + 39db0: 02230808 5f667265 655f7662 75660000 .#.._free_vbuf.. + 39dc0: 00145702 230c0870 52657365 72766564 ..W.#..pReserved + 39dd0: 00000004 04022310 00075f5f 6164665f ......#...__adf_ + 39de0: 64657669 63650004 000014f5 0864756d device.......dum + 39df0: 6d790000 00010f02 23000003 0000091b my......#....... + 39e00: 0400075f 5f616466 5f646d61 5f6d6170 ...__adf_dma_map + 39e10: 000c0000 153c0862 75660000 00143402 .....<.buf....4. + 39e20: 23000864 735f6164 64720000 0014f502 #..ds_addr...... + 39e30: 23040864 735f6c65 6e000000 12e90223 #..ds_len......# + 39e40: 0800120c 00001576 085f5f76 615f7374 .......v.__va_st + 39e50: 6b000000 03720223 00085f5f 76615f72 k....r.#..__va_r + 39e60: 65670000 00037202 2304085f 5f76615f eg....r.#..__va_ + 39e70: 6e647800 0000010f 02230800 095f5f61 ndx......#...__a + 39e80: 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t + 39e90: 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma + 39ea0: 5f616464 725f7400 00001576 095f5f61 _addr_t....v.__a + 39eb0: 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t + 39ec0: 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma + 39ed0: 5f73697a 655f7400 000015a6 075f5f64 _size_t......__d + 39ee0: 6d615f73 65677300 08000016 02087061 ma_segs.......pa + 39ef0: 64647200 0000158f 02230008 6c656e00 ddr......#..len. + 39f00: 000015bf 02230400 095f5f61 5f75696e .....#...__a_uin + 39f10: 7433325f 74000000 091b0961 5f75696e t32_t......a_uin + 39f20: 7433325f 74000000 16020f00 0015d608 t32_t........... + 39f30: 00001631 10000007 6164665f 6f735f64 ...1....adf_os_d + 39f40: 6d616d61 705f696e 666f000c 0000166a mamap_info.....j + 39f50: 086e7365 67730000 00161402 23000864 .nsegs......#..d + 39f60: 6d615f73 65677300 00001624 02230400 ma_segs....$.#.. + 39f70: 095f5f61 5f75696e 74385f74 00000012 .__a_uint8_t.... + 39f80: d509615f 75696e74 385f7400 0000166a ..a_uint8_t....j + 39f90: 03000016 7b040007 5f5f7367 5f736567 ....{...__sg_seg + 39fa0: 73000800 0016bc08 76616464 72000000 s.......vaddr... + 39fb0: 168a0223 00086c65 6e000000 16140223 ...#..len......# + 39fc0: 04000f00 00169120 000016c9 10030007 ....... ........ + 39fd0: 6164665f 6f735f73 676c6973 74002400 adf_os_sglist.$. + 39fe0: 0016fc08 6e736567 73000000 16140223 ....nsegs......# + 39ff0: 00087367 5f736567 73000000 16bc0223 ..sg_segs......# + 3a000: 04001210 00001745 0876656e 646f7200 .......E.vendor. + 3a010: 00001614 02230008 64657669 63650000 .....#..device.. + 3a020: 00161402 23040873 75627665 6e646f72 ....#..subvendor + 3a030: 00000016 14022308 08737562 64657669 ......#..subdevi + 3a040: 63650000 00161402 230c0004 6c6f6e67 ce......#...long + 3a050: 206c6f6e 6720756e 7369676e 65642069 long unsigned i + 3a060: 6e740007 0809415f 55494e54 36340000 nt....A_UINT64.. + 3a070: 00174509 5f5f615f 75696e74 36345f74 ..E.__a_uint64_t + 3a080: 00000017 5f09615f 75696e74 36345f74 ...._.a_uint64_t + 3a090: 00000017 6d140400 0017cb0e 4144465f ....m.......ADF_ + 3a0a0: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 3a0b0: 5f4d454d 00000e41 44465f4f 535f5245 _MEM...ADF_OS_RE + 3a0c0: 534f5552 43455f54 5950455f 494f0001 SOURCE_TYPE_IO.. + 3a0d0: 00096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 3a0e0: 655f7479 70655f74 00000017 8f121800 e_type_t........ + 3a0f0: 00181508 73746172 74000000 177f0223 ....start......# + 3a100: 0008656e 64000000 177f0223 08087479 ..end......#..ty + 3a110: 70650000 0017cb02 23100009 6164665f pe......#...adf_ + 3a120: 6f735f70 63695f64 65765f69 645f7400 os_pci_dev_id_t. + 3a130: 000016fc 03000018 15040011 04000018 ................ + 3a140: 54087063 69000000 182e0223 00087261 T.pci......#..ra + 3a150: 77000000 04040223 00001110 00001873 w......#.......s + 3a160: 08706369 00000018 15022300 08726177 .pci......#..raw + 3a170: 00000004 04022300 00096164 665f6472 ......#...adf_dr + 3a180: 765f6861 6e646c65 5f740000 00040409 v_handle_t...... + 3a190: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 3a1a0: 74000000 17e70300 00188904 00096164 t.............ad + 3a1b0: 665f6f73 5f617474 6163685f 64617461 f_os_attach_data + 3a1c0: 5f740000 00185403 000018a7 04000300 _t....T......... + 3a1d0: 0014d304 00095f5f 6164665f 6f735f64 ......__adf_os_d + 3a1e0: 65766963 655f7400 000018c8 09616466 evice_t......adf + 3a1f0: 5f6f735f 64657669 63655f74 00000018 _os_device_t.... + 3a200: cf060000 18730103 000018fb 04000201 .....s.......... + 3a210: 03000019 08040009 6164665f 6f735f70 ........adf_os_p + 3a220: 6d5f7400 00000404 02010300 00192204 m_t...........". + 3a230: 00140400 0019620e 4144465f 4f535f42 ......b.ADF_OS_B + 3a240: 55535f54 5950455f 50434900 010e4144 US_TYPE_PCI...AD + 3a250: 465f4f53 5f425553 5f545950 455f4745 F_OS_BUS_TYPE_GE + 3a260: 4e455249 43000200 09616466 5f6f735f NERIC....adf_os_ + 3a270: 6275735f 74797065 5f740000 00192b09 bus_type_t....+. + 3a280: 6164665f 6f735f62 75735f72 65675f64 adf_os_bus_reg_d + 3a290: 6174615f 74000000 18350300 00031c04 ata_t....5...... + 3a2a0: 00075f61 64665f64 72765f69 6e666f00 .._adf_drv_info. + 3a2b0: 2000001a 3f086472 765f6174 74616368 ...?.drv_attach + 3a2c0: 00000019 01022300 08647276 5f646574 ......#..drv_det + 3a2d0: 61636800 0000190a 02230408 6472765f ach......#..drv_ + 3a2e0: 73757370 656e6400 00001924 02230808 suspend....$.#.. + 3a2f0: 6472765f 72657375 6d650000 00190a02 drv_resume...... + 3a300: 230c0862 75735f74 79706500 00001962 #..bus_type....b + 3a310: 02231008 6275735f 64617461 00000019 .#..bus_data.... + 3a320: 79022314 086d6f64 5f6e616d 65000000 y.#..mod_name... + 3a330: 19940223 18086966 6e616d65 00000019 ...#..ifname.... + 3a340: 9402231c 00096164 665f6f73 5f68616e ..#...adf_os_han + 3a350: 646c655f 74000000 04040300 00166a04 dle_t.........j. + 3a360: 00020102 01095f5f 6164665f 6f735f73 ......__adf_os_s + 3a370: 697a655f 74000000 04071404 00001a8e ize_t........... + 3a380: 0e415f46 414c5345 00000e41 5f545255 .A_FALSE...A_TRU + 3a390: 45000100 09615f62 6f6f6c5f 74000000 E....a_bool_t... + 3a3a0: 1a740300 0014fc04 00095f5f 6164665f .t........__adf_ + 3a3b0: 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... + 3a3c0: 9c02010d 6164665f 6f735f63 61636865 ....adf_os_cache + 3a3d0: 5f73796e 63000400 001b260e 4144465f _sync.....&.ADF_ + 3a3e0: 53594e43 5f505245 52454144 00000e41 SYNC_PREREAD...A + 3a3f0: 44465f53 594e435f 50524557 52495445 DF_SYNC_PREWRITE + 3a400: 00020e41 44465f53 594e435f 504f5354 ...ADF_SYNC_POST + 3a410: 52454144 00010e41 44465f53 594e435f READ...ADF_SYNC_ + 3a420: 504f5354 57524954 45000300 09616466 POSTWRITE....adf + 3a430: 5f6f735f 63616368 655f7379 6e635f74 _os_cache_sync_t + 3a440: 0000001a bd020109 6164665f 6f735f73 ........adf_os_s + 3a450: 697a655f 74000000 1a5f0600 001b4101 ize_t...._....A. + 3a460: 09616466 5f6f735f 646d615f 6d61705f .adf_os_dma_map_ + 3a470: 74000000 1aa30300 001b5a04 00060000 t.........Z..... + 3a480: 04040103 00001aa3 04000600 00040401 ................ + 3a490: 02010600 00158f01 02010473 686f7274 ...........short + 3a4a0: 20696e74 00050209 415f494e 54313600 int....A_INT16. + 3a4b0: 00001b94 095f5f61 5f696e74 31365f74 .....__a_int16_t + 3a4c0: 0000001b a109615f 696e7431 365f7400 ......a_int16_t. + 3a4d0: 00001bae 04736967 6e656420 63686172 .....signed char + 3a4e0: 00050109 415f494e 54380000 001bce09 ....A_INT8...... + 3a4f0: 5f5f615f 696e7438 5f740000 001bdd09 __a_int8_t...... + 3a500: 615f696e 74385f74 0000001b e9120c00 a_int8_t........ + 3a510: 001c6008 73757070 6f727465 64000000 ..`.supported... + 3a520: 16140223 00086164 76657274 697a6564 ...#..advertized + 3a530: 00000016 14022304 08737065 65640000 ......#..speed.. + 3a540: 001bbf02 23080864 75706c65 78000000 ....#..duplex... + 3a550: 1bf90223 0a086175 746f6e65 67000000 ...#..autoneg... + 3a560: 167b0223 0b000f00 00167b06 00001c6d .{.#......{....m + 3a570: 10050007 6164665f 6e65745f 65746861 ....adf_net_etha + 3a580: 64647200 0600001c 91086164 64720000 ddr.......addr.. + 3a590: 001c6002 23000009 5f5f615f 75696e74 ..`.#...__a_uint + 3a5a0: 31365f74 00000012 e909615f 75696e74 16_t......a_uint + 3a5b0: 31365f74 0000001c 91120e00 001cf508 16_t............ + 3a5c0: 65746865 725f6468 6f737400 00001c60 ether_dhost....` + 3a5d0: 02230008 65746865 725f7368 6f737400 .#..ether_shost. + 3a5e0: 00001c60 02230608 65746865 725f7479 ...`.#..ether_ty + 3a5f0: 70650000 001ca302 230c0012 1400001d pe......#....... + 3a600: b6156970 5f766572 73696f6e 00000016 ..ip_version.... + 3a610: 7b010004 02230015 69705f68 6c000000 {....#..ip_hl... + 3a620: 167b0104 04022300 0869705f 746f7300 .{....#..ip_tos. + 3a630: 0000167b 02230108 69705f6c 656e0000 ...{.#..ip_len.. + 3a640: 001ca302 23020869 705f6964 0000001c ....#..ip_id.... + 3a650: a3022304 0869705f 66726167 5f6f6666 ..#..ip_frag_off + 3a660: 0000001c a3022306 0869705f 74746c00 ......#..ip_ttl. + 3a670: 0000167b 02230808 69705f70 726f746f ...{.#..ip_proto + 3a680: 00000016 7b022309 0869705f 63686563 ....{.#..ip_chec + 3a690: 6b000000 1ca30223 0a086970 5f736164 k......#..ip_sad + 3a6a0: 64720000 00161402 230c0869 705f6461 dr......#..ip_da + 3a6b0: 64647200 00001614 02231000 07616466 ddr......#...adf + 3a6c0: 5f6e6574 5f766c61 6e686472 00040000 _net_vlanhdr.... + 3a6d0: 1e080874 70696400 00001ca3 02230015 ...tpid......#.. + 3a6e0: 7072696f 00000016 7b010003 02230215 prio....{....#.. + 3a6f0: 63666900 0000167b 01030102 23021576 cfi....{....#..v + 3a700: 69640000 001ca302 040c0223 02000761 id.........#...a + 3a710: 64665f6e 65745f76 69640002 00001e39 df_net_vid.....9 + 3a720: 15726573 00000016 7b010004 02230015 .res....{....#.. + 3a730: 76616c00 00001ca3 02040c02 23000012 val.........#... + 3a740: 0c00001e 75087278 5f627566 73697a65 ....u.rx_bufsize + 3a750: 00000016 14022300 0872785f 6e646573 ......#..rx_ndes + 3a760: 63000000 16140223 04087478 5f6e6465 c......#..tx_nde + 3a770: 73630000 00161402 23080012 0800001e sc......#....... + 3a780: 9b08706f 6c6c6564 0000001a 8e022300 ..polled......#. + 3a790: 08706f6c 6c5f7774 00000016 14022304 .poll_wt......#. + 3a7a0: 000f0000 167b4000 001ea810 3f001246 .....{@.....?..F + 3a7b0: 00001ed0 0869665f 6e616d65 0000001e .....if_name.... + 3a7c0: 9b022300 08646576 5f616464 72000000 ..#..dev_addr... + 3a7d0: 1c600223 40001404 00001f07 0e414446 .`.#@........ADF + 3a7e0: 5f4f535f 444d415f 4d41534b 5f333242 _OS_DMA_MASK_32B + 3a7f0: 49540000 0e414446 5f4f535f 444d415f IT...ADF_OS_DMA_ + 3a800: 4d41534b 5f363442 49540001 00096164 MASK_64BIT....ad + 3a810: 665f6f73 5f646d61 5f6d6173 6b5f7400 f_os_dma_mask_t. + 3a820: 00001ed0 07616466 5f646d61 5f696e66 .....adf_dma_inf + 3a830: 6f000800 001f5408 646d615f 6d61736b o.....T.dma_mask + 3a840: 0000001f 07022300 0873675f 6e736567 ......#..sg_nseg + 3a850: 73000000 16140223 04001404 00001faa s......#........ + 3a860: 0e414446 5f4e4554 5f434b53 554d5f4e .ADF_NET_CKSUM_N + 3a870: 4f4e4500 000e4144 465f4e45 545f434b ONE...ADF_NET_CK + 3a880: 53554d5f 5443505f 5544505f 49507634 SUM_TCP_UDP_IPv4 + 3a890: 00010e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 3a8a0: 5f544350 5f554450 5f495076 36000200 _TCP_UDP_IPv6... + 3a8b0: 09616466 5f6e6574 5f636b73 756d5f74 .adf_net_cksum_t + 3a8c0: 7970655f 74000000 1f541208 00001fed ype_t....T...... + 3a8d0: 0874785f 636b7375 6d000000 1faa0223 .tx_cksum......# + 3a8e0: 00087278 5f636b73 756d0000 001faa02 ..rx_cksum...... + 3a8f0: 23040009 6164665f 6e65745f 636b7375 #...adf_net_cksu + 3a900: 6d5f696e 666f5f74 0000001f c4140400 m_info_t........ + 3a910: 0020460e 4144465f 4e45545f 54534f5f . F.ADF_NET_TSO_ + 3a920: 4e4f4e45 00000e41 44465f4e 45545f54 NONE...ADF_NET_T + 3a930: 534f5f49 50563400 010e4144 465f4e45 SO_IPV4...ADF_NE + 3a940: 545f5453 4f5f414c 4c000200 09616466 T_TSO_ALL....adf + 3a950: 5f6e6574 5f74736f 5f747970 655f7400 _net_tso_type_t. + 3a960: 00002007 12100000 209a0863 6b73756d .. ..... ..cksum + 3a970: 5f636170 0000001f ed022300 0874736f _cap......#..tso + 3a980: 00000020 46022308 08766c61 6e5f7375 ... F.#..vlan_su + 3a990: 70706f72 74656400 0000167b 02230c00 pported....{.#.. + 3a9a0: 12200000 21330874 785f7061 636b6574 . ..!3.tx_packet + 3a9b0: 73000000 16140223 00087278 5f706163 s......#..rx_pac + 3a9c0: 6b657473 00000016 14022304 0874785f kets......#..tx_ + 3a9d0: 62797465 73000000 16140223 08087278 bytes......#..rx + 3a9e0: 5f627974 65730000 00161402 230c0874 _bytes......#..t + 3a9f0: 785f6472 6f707065 64000000 16140223 x_dropped......# + 3aa00: 10087278 5f64726f 70706564 00000016 ..rx_dropped.... + 3aa10: 14022314 0872785f 6572726f 72730000 ..#..rx_errors.. + 3aa20: 00161402 23180874 785f6572 726f7273 ....#..tx_errors + 3aa30: 00000016 1402231c 00096164 665f6e65 ......#...adf_ne + 3aa40: 745f6574 68616464 725f7400 00001c6d t_ethaddr_t....m + 3aa50: 16000021 33030000 00215810 7f001761 ...!3....!X....a + 3aa60: 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd + 3aa70: 72000304 0000218f 086e656c 656d0000 r.....!..nelem.. + 3aa80: 00161402 2300086d 63617374 00000021 ....#..mcast...! + 3aa90: 4a022304 00096164 665f6e65 745f636d J.#...adf_net_cm + 3aaa0: 645f6c69 6e6b5f69 6e666f5f 74000000 d_link_info_t... + 3aab0: 1c070961 64665f6e 65745f63 6d645f70 ...adf_net_cmd_p + 3aac0: 6f6c6c5f 696e666f 5f740000 001e7509 oll_info_t....u. + 3aad0: 6164665f 6e65745f 636d645f 636b7375 adf_net_cmd_cksu + 3aae0: 6d5f696e 666f5f74 0000001f ed096164 m_info_t......ad + 3aaf0: 665f6e65 745f636d 645f7269 6e675f69 f_net_cmd_ring_i + 3ab00: 6e666f5f 74000000 1e390961 64665f6e nfo_t....9.adf_n + 3ab10: 65745f63 6d645f64 6d615f69 6e666f5f et_cmd_dma_info_ + 3ab20: 74000000 1f1e0961 64665f6e 65745f63 t......adf_net_c + 3ab30: 6d645f76 69645f74 0000001c a3096164 md_vid_t......ad + 3ab40: 665f6e65 745f636d 645f6f66 666c6f61 f_net_cmd_offloa + 3ab50: 645f6361 705f7400 0000205e 09616466 d_cap_t... ^.adf + 3ab60: 5f6e6574 5f636d64 5f737461 74735f74 _net_cmd_stats_t + 3ab70: 00000020 9a096164 665f6e65 745f636d ... ..adf_net_cm + 3ab80: 645f6d63 61646472 5f740000 0021580d d_mcaddr_t...!X. + 3ab90: 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 3aba0: 745f6361 70000400 0022d10e 4144465f t_cap...."..ADF_ + 3abb0: 4e45545f 4d434153 545f5355 5000000e NET_MCAST_SUP... + 3abc0: 4144465f 4e45545f 4d434153 545f4e4f ADF_NET_MCAST_NO + 3abd0: 54535550 00010009 6164665f 6e65745f TSUP....adf_net_ + 3abe0: 636d645f 6d636173 745f6361 705f7400 cmd_mcast_cap_t. + 3abf0: 00002289 18030400 0023a308 6c696e6b .."......#..link + 3ac00: 5f696e66 6f000000 218f0223 0008706f _info...!..#..po + 3ac10: 6c6c5f69 6e666f00 000021ac 02230008 ll_info...!..#.. + 3ac20: 636b7375 6d5f696e 666f0000 0021c902 cksum_info...!.. + 3ac30: 23000872 696e675f 696e666f 00000021 #..ring_info...! + 3ac40: e7022300 08646d61 5f696e66 6f000000 ..#..dma_info... + 3ac50: 22040223 00087669 64000000 22200223 "..#..vid..." .# + 3ac60: 00086f66 666c6f61 645f6361 70000000 ..offload_cap... + 3ac70: 22370223 00087374 61747300 00002256 "7.#..stats..."V + 3ac80: 02230008 6d636173 745f696e 666f0000 .#..mcast_info.. + 3ac90: 00226f02 2300086d 63617374 5f636170 ."o.#..mcast_cap + 3aca0: 00000022 d1022300 00140400 0023fa0e ..."..#......#.. + 3acb0: 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU + 3acc0: 4d5f4e4f 4e450000 0e414446 5f4e4255 M_NONE...ADF_NBU + 3acd0: 465f5258 5f434b53 554d5f48 5700010e F_RX_CKSUM_HW... + 3ace0: 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU + 3acf0: 4d5f554e 4e454345 53534152 59000200 M_UNNECESSARY... + 3ad00: 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 3ad10: 756d5f74 7970655f 74000000 23a31208 um_type_t...#... + 3ad20: 0000243a 08726573 756c7400 000023fa ..$:.result...#. + 3ad30: 02230008 76616c00 00001614 02230400 .#..val......#.. + 3ad40: 12080000 246a0874 79706500 00002046 ....$j.type... F + 3ad50: 02230008 6d737300 00001ca3 02230408 .#..mss......#.. + 3ad60: 6864725f 6f666600 0000167b 02230600 hdr_off....{.#.. + 3ad70: 075f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea + 3ad80: 64000c00 0024a908 68656164 00000014 d....$..head.... + 3ad90: 34022300 08746169 6c000000 14340223 4.#..tail....4.# + 3ada0: 0408716c 656e0000 00161402 23080009 ..qlen......#... + 3adb0: 5f5f6164 665f6e62 75665f74 00000014 __adf_nbuf_t.... + 3adc0: 34030000 168a0400 03000016 14040002 4............... + 3add0: 01060000 13160106 00001614 01060000 ................ + 3ade0: 168a0106 0000168a 01030000 12f70400 ................ + 3adf0: 095f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea + 3ae00: 645f7400 0000246a 095f5f61 64665f6e d_t...$j.__adf_n + 3ae10: 6275665f 71756575 655f7400 000024ea buf_queue_t...$. + 3ae20: 03000025 02040006 000024a9 01060000 ...%......$..... + 3ae30: 24a90114 04000026 220e415f 53544154 $......&".A_STAT + 3ae40: 55535f4f 4b00000e 415f5354 41545553 US_OK...A_STATUS + 3ae50: 5f464149 4c454400 010e415f 53544154 _FAILED...A_STAT + 3ae60: 55535f45 4e4f454e 5400020e 415f5354 US_ENOENT...A_ST + 3ae70: 41545553 5f454e4f 4d454d00 030e415f ATUS_ENOMEM...A_ + 3ae80: 53544154 55535f45 494e5641 4c00040e STATUS_EINVAL... + 3ae90: 415f5354 41545553 5f45494e 50524f47 A_STATUS_EINPROG + 3aea0: 52455353 00050e41 5f535441 5455535f RESS...A_STATUS_ + 3aeb0: 454e4f54 53555050 00060e41 5f535441 ENOTSUPP...A_STA + 3aec0: 5455535f 45425553 5900070e 415f5354 TUS_EBUSY...A_ST + 3aed0: 41545553 5f453242 49470008 0e415f53 ATUS_E2BIG...A_S + 3aee0: 54415455 535f4541 4444524e 4f544156 TATUS_EADDRNOTAV + 3aef0: 41494c00 090e415f 53544154 55535f45 AIL...A_STATUS_E + 3af00: 4e58494f 000a0e41 5f535441 5455535f NXIO...A_STATUS_ + 3af10: 45464155 4c54000b 0e415f53 54415455 EFAULT...A_STATU + 3af20: 535f4549 4f000c00 09615f73 74617475 S_EIO....a_statu + 3af30: 735f7400 0000252d 06000026 22010600 s_t...%-...&"... + 3af40: 00010f01 02010961 64665f6e 6275665f .......adf_nbuf_ + 3af50: 74000000 24a91404 00002687 0e414446 t...$.....&..ADF + 3af60: 5f4f535f 444d415f 544f5f44 45564943 _OS_DMA_TO_DEVIC + 3af70: 4500000e 4144465f 4f535f44 4d415f46 E...ADF_OS_DMA_F + 3af80: 524f4d5f 44455649 43450001 00096164 ROM_DEVICE....ad + 3af90: 665f6f73 5f646d61 5f646972 5f740000 f_os_dma_dir_t.. + 3afa0: 00265006 00002622 01020109 6164665f .&P...&"....adf_ + 3afb0: 6f735f64 6d616d61 705f696e 666f5f74 os_dmamap_info_t + 3afc0: 00000016 31030000 26a50400 02010201 ....1...&....... + 3afd0: 06000026 40010600 0024a901 02010201 ...&@....$...... + 3afe0: 06000026 40010600 0024a901 06000026 ...&@....$.....& + 3aff0: 40010600 0024a901 06000026 40010201 @....$.....&@... + 3b000: 02010600 00161401 06000016 8a010201 ................ + 3b010: 02010600 001b4101 0600001a 8e010600 ......A......... + 3b020: 001a8e01 09616466 5f6f735f 73676c69 .....adf_os_sgli + 3b030: 73745f74 00000016 c9030000 271e0400 st_t........'... + 3b040: 02010201 02010600 00168a01 09616466 .............adf + 3b050: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 3b060: 25020300 00274604 00020103 000024ea %....'F.......$. + 3b070: 04000201 02010201 06000026 40010600 ...........&@... + 3b080: 0024a901 06000016 14010600 00161401 .$.............. + 3b090: 0600001a 8e010600 001a8e01 0600001f ................ + 3b0a0: aa010600 00161401 09616466 5f6e6275 .........adf_nbu + 3b0b0: 665f7278 5f636b73 756d5f74 00000024 f_rx_cksum_t...$ + 3b0c0: 18030000 27a20400 02010201 09616466 ....'........adf + 3b0d0: 5f6e6275 665f7473 6f5f7400 0000243a _nbuf_tso_t...$: + 3b0e0: 03000027 c6040002 01020109 6164665f ...'........adf_ + 3b0f0: 6e65745f 68616e64 6c655f74 00000004 net_handle_t.... + 3b100: 04096164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 3b110: 725f7400 00001db6 03000027 fb040006 r_t........'.... + 3b120: 00002622 01060000 26220102 01020107 ..&"....&"...... + 3b130: 5f484946 5f434f4e 46494700 04000028 _HIF_CONFIG....( + 3b140: 4a086475 6d6d7900 0000010f 02230000 J.dummy......#.. + 3b150: 02010300 00284a04 00020103 00002853 .....(J.......(S + 3b160: 0400075f 4849465f 43414c4c 4241434b ..._HIF_CALLBACK + 3b170: 000c0000 28a80873 656e645f 6275665f ....(..send_buf_ + 3b180: 646f6e65 00000028 4c022300 08726563 done...(L.#..rec + 3b190: 765f6275 66000000 28550223 0408636f v_buf...(U.#..co + 3b1a0: 6e746578 74000000 04040223 08000968 ntext......#...h + 3b1b0: 69665f68 616e646c 655f7400 00000404 if_handle_t..... + 3b1c0: 09484946 5f434f4e 46494700 00002829 .HIF_CONFIG...() + 3b1d0: 03000028 ba040006 000028a8 01030000 ...(......(..... + 3b1e0: 28d10400 02010300 0028de04 00094849 (........(....HI + 3b1f0: 465f4341 4c4c4241 434b0000 00285c03 F_CALLBACK...(\. + 3b200: 000028e7 04000201 03000029 00040006 ..(........).... + 3b210: 0000010f 01030000 29090400 02010300 ........)....... + 3b220: 00291604 00060000 010f0103 0000291f .)............). + 3b230: 04000201 03000029 2c040006 0000010f .......),....... + 3b240: 01030000 29350400 02010300 00294204 ....)5.......)B. + 3b250: 00076869 665f6170 69003800 002a9b08 ..hif_api.8..*.. + 3b260: 5f696e69 74000000 28d70223 00085f73 _init...(..#.._s + 3b270: 68757464 6f776e00 000028e0 02230408 hutdown...(..#.. + 3b280: 5f726567 69737465 725f6361 6c6c6261 _register_callba + 3b290: 636b0000 00290202 2308085f 6765745f ck...)..#.._get_ + 3b2a0: 746f7461 6c5f6372 65646974 5f636f75 total_credit_cou + 3b2b0: 6e740000 00290f02 230c085f 73746172 nt...)..#.._star + 3b2c0: 74000000 28e00223 10085f63 6f6e6669 t...(..#.._confi + 3b2d0: 675f7069 70650000 00291802 2314085f g_pipe...)..#.._ + 3b2e0: 73656e64 5f627566 66657200 00002925 send_buffer...)% + 3b2f0: 02231808 5f726574 75726e5f 72656376 .#.._return_recv + 3b300: 5f627566 00000029 2e02231c 085f6973 _buf...)..#.._is + 3b310: 5f706970 655f7375 70706f72 74656400 _pipe_supported. + 3b320: 0000293b 02232008 5f676574 5f6d6178 ..);.# ._get_max + 3b330: 5f6d7367 5f6c656e 00000029 3b022324 _msg_len...);.#$ + 3b340: 085f6765 745f7265 73657276 65645f68 ._get_reserved_h + 3b350: 65616472 6f6f6d00 0000290f 02232808 eadroom...)..#(. + 3b360: 5f697372 5f68616e 646c6572 00000028 _isr_handler...( + 3b370: e002232c 085f6765 745f6465 6661756c ..#,._get_defaul + 3b380: 745f7069 70650000 00294402 23300870 t_pipe...)D.#0.p + 3b390: 52657365 72766564 00000004 04022334 Reserved......#4 + 3b3a0: 000d646d 615f656e 67696e65 00040000 ..dma_engine.... + 3b3b0: 2b240e44 4d415f45 4e47494e 455f5258 +$.DMA_ENGINE_RX + 3b3c0: 3000000e 444d415f 454e4749 4e455f52 0...DMA_ENGINE_R + 3b3d0: 58310001 0e444d41 5f454e47 494e455f X1...DMA_ENGINE_ + 3b3e0: 52583200 020e444d 415f454e 47494e45 RX2...DMA_ENGINE + 3b3f0: 5f525833 00030e44 4d415f45 4e47494e _RX3...DMA_ENGIN + 3b400: 455f5458 3000040e 444d415f 454e4749 E_TX0...DMA_ENGI + 3b410: 4e455f54 58310005 0e444d41 5f454e47 NE_TX1...DMA_ENG + 3b420: 494e455f 4d415800 06000964 6d615f65 INE_MAX....dma_e + 3b430: 6e67696e 655f7400 00002a9b 0d646d61 ngine_t...*..dma + 3b440: 5f696674 79706500 0400002b 710e444d _iftype....+q.DM + 3b450: 415f4946 5f474d41 4300000e 444d415f A_IF_GMAC...DMA_ + 3b460: 49465f50 43490001 0e444d41 5f49465f IF_PCI...DMA_IF_ + 3b470: 50434945 00020009 646d615f 69667479 PCIE....dma_ifty + 3b480: 70655f74 0000002b 36060000 12e90103 pe_t...+6....... + 3b490: 00002b83 04000201 0300002b 90040002 ..+........+.... + 3b4a0: 01030000 2b990400 06000009 1b010300 ....+........... + 3b4b0: 002ba204 00060000 12e90103 00002baf .+............+. + 3b4c0: 04000600 0012e901 0300002b bc040006 ...........+.... + 3b4d0: 00001434 01030000 2bc90400 02010300 ...4....+....... + 3b4e0: 002bd604 0007646d 615f6c69 625f6170 .+....dma_lib_ap + 3b4f0: 69003400 002cdd08 74785f69 6e697400 i.4..,..tx_init. + 3b500: 00002b89 02230008 74785f73 74617274 ..+..#..tx_start + 3b510: 0000002b 92022304 0872785f 696e6974 ...+..#..rx_init + 3b520: 0000002b 89022308 0872785f 636f6e66 ...+..#..rx_conf + 3b530: 69670000 002b9b02 230c0872 785f7374 ig...+..#..rx_st + 3b540: 61727400 00002b92 02231008 696e7472 art...+..#..intr + 3b550: 5f737461 74757300 00002ba8 02231408 _status...+..#.. + 3b560: 68617264 5f786d69 74000000 2bb50223 hard_xmit...+..# + 3b570: 1808666c 7573685f 786d6974 0000002b ..flush_xmit...+ + 3b580: 9202231c 08786d69 745f646f 6e650000 ..#..xmit_done.. + 3b590: 002bc202 23200872 6561705f 786d6974 .+..# .reap_xmit + 3b5a0: 74656400 00002bcf 02232408 72656170 ted...+..#$.reap + 3b5b0: 5f726563 76000000 2bcf0223 28087265 _recv...+..#(.re + 3b5c0: 7475726e 5f726563 76000000 2bd80223 turn_recv...+..# + 3b5d0: 2c087265 63765f70 6b740000 002bc202 ,.recv_pkt...+.. + 3b5e0: 23300007 5f5f7063 695f736f 66746300 #0..__pci_softc. + 3b5f0: 0c00002c fb087377 00000028 e7022300 ...,..sw...(..#. + 3b600: 00095f5f 7063695f 736f6674 635f7400 ..__pci_softc_t. + 3b610: 00002cdd 0300002c fb040002 01030000 ..,....,........ + 3b620: 2d150400 06000012 d5010300 002d1e04 -............-.. + 3b630: 000d6869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 3b640: 78000400 002d7e0e 4849465f 5043495f x....-~.HIF_PCI_ + 3b650: 50495045 5f545830 00000e48 49465f50 PIPE_TX0...HIF_P + 3b660: 43495f50 4950455f 54583100 010e4849 CI_PIPE_TX1...HI + 3b670: 465f5043 495f5049 50455f54 585f4d41 F_PCI_PIPE_TX_MA + 3b680: 58000200 09686966 5f706369 5f706970 X....hif_pci_pip + 3b690: 655f7478 5f740000 002d2b06 00002b24 e_tx_t...-+...+$ + 3b6a0: 01030000 2d950400 0d686966 5f706369 ....-....hif_pci + 3b6b0: 5f706970 655f7278 00040000 2e1b0e48 _pipe_rx.......H + 3b6c0: 49465f50 43495f50 4950455f 52583000 IF_PCI_PIPE_RX0. + 3b6d0: 000e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 3b6e0: 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP + 3b6f0: 455f5258 3200020e 4849465f 5043495f E_RX2...HIF_PCI_ + 3b700: 50495045 5f525833 00030e48 49465f50 PIPE_RX3...HIF_P + 3b710: 43495f50 4950455f 52585f4d 41580004 CI_PIPE_RX_MAX.. + 3b720: 00096869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r + 3b730: 785f7400 00002da2 0600002b 24010300 x_t...-....+$... + 3b740: 002e3204 00076869 665f7063 695f6170 ..2...hif_pci_ap + 3b750: 69002400 002f1008 7063695f 626f6f74 i.$../..pci_boot + 3b760: 5f696e69 74000000 01080223 00087063 _init......#..pc + 3b770: 695f696e 69740000 0028d702 23040870 i_init...(..#..p + 3b780: 63695f72 65736574 00000001 08022308 ci_reset......#. + 3b790: 08706369 5f656e61 626c6500 00000108 .pci_enable..... + 3b7a0: 02230c08 7063695f 72656170 5f786d69 .#..pci_reap_xmi + 3b7b0: 74746564 0000002d 17022310 08706369 tted...-..#..pci + 3b7c0: 5f726561 705f7265 63760000 002d1702 _reap_recv...-.. + 3b7d0: 23140870 63695f67 65745f70 69706500 #..pci_get_pipe. + 3b7e0: 00002d24 02231808 7063695f 6765745f ..-$.#..pci_get_ + 3b7f0: 74785f65 6e670000 002d9b02 231c0870 tx_eng...-..#..p + 3b800: 63695f67 65745f72 785f656e 67000000 ci_get_rx_eng... + 3b810: 2e380223 20000767 6d61635f 61706900 .8.# ..gmac_api. + 3b820: 0400002f 3708676d 61635f62 6f6f745f .../7.gmac_boot_ + 3b830: 696e6974 00000001 08022300 000f0000 init......#..... + 3b840: 031c0600 002f4410 0500075f 5f657468 ...../D....__eth + 3b850: 68647200 0e00002f 7a086473 74000000 hdr..../z.dst... + 3b860: 2f370223 00087372 63000000 2f370223 /7.#..src.../7.# + 3b870: 06086574 79706500 000012e9 02230c00 ..etype......#.. + 3b880: 075f5f61 74686864 72000400 002fc815 .__athhdr..../.. + 3b890: 72657300 000012d5 01000202 23001570 res.........#..p + 3b8a0: 726f746f 00000012 d5010206 02230008 roto.........#.. + 3b8b0: 7265735f 6c6f0000 0012d502 23010872 res_lo......#..r + 3b8c0: 65735f68 69000000 12e90223 0200075f es_hi......#..._ + 3b8d0: 5f676d61 635f6864 72001400 00300408 _gmac_hdr....0.. + 3b8e0: 65746800 00002f44 02230008 61746800 eth.../D.#..ath. + 3b8f0: 00002f7a 02230e08 616c6967 6e5f7061 ../z.#..align_pa + 3b900: 64000000 12e90223 1200095f 5f676d61 d......#...__gma + 3b910: 635f6864 725f7400 00002fc8 075f5f67 c_hdr_t.../..__g + 3b920: 6d61635f 736f6674 63002400 00304e08 mac_softc.$..0N. + 3b930: 68647200 00003004 02230008 6772616e hdr...0..#..gran + 3b940: 00000012 e9022314 08737700 000028e7 ......#..sw...(. + 3b950: 02231800 075f415f 6f735f6c 696e6b61 .#..._A_os_linka + 3b960: 67655f63 6865636b 00080000 30870876 ge_check....0..v + 3b970: 65727369 6f6e0000 00010f02 23000874 ersion......#..t + 3b980: 61626c65 00000001 0f022304 00030000 able......#..... + 3b990: 304e0400 06000001 0f010300 00308e04 0N...........0.. + 3b9a0: 00030000 04070400 175f415f 636d6e6f ........._A_cmno + 3b9b0: 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta + 3b9c0: 626c6500 01b80000 31de0868 616c5f6c ble.....1..hal_l + 3b9d0: 696e6b61 67655f63 6865636b 00000030 inkage_check...0 + 3b9e0: 94022300 08737461 72745f62 73730000 ..#..start_bss.. + 3b9f0: 00309b02 23040861 70705f73 74617274 .0..#..app_start + 3ba00: 00000001 08022308 086d656d 00000004 ......#..mem.... + 3ba10: 4702230c 086d6973 63000000 05660223 G.#..misc....f.# + 3ba20: 20087072 696e7466 00000001 3c022344 .printf....<.#D + 3ba30: 08756172 74000000 02050223 4c08676d .uart......#L.gm + 3ba40: 61630000 002f1002 236c0875 73620000 ac.../..#l.usb.. + 3ba50: 000f9502 23700863 6c6f636b 0000000a ....#p.clock.... + 3ba60: de0323e0 01087469 6d657200 0000077e ..#...timer....~ + 3ba70: 03238402 08696e74 72000000 0c2e0323 .#...intr......# + 3ba80: 98020861 6c6c6f63 72616d00 00000936 ...allocram....6 + 3ba90: 0323c402 08726f6d 70000000 08280323 .#...romp....(.# + 3baa0: d0020877 64745f74 696d6572 0000000e ...wdt_timer.... + 3bab0: 0b0323e0 02086565 70000000 0f390323 ..#...eep....9.# + 3bac0: fc020873 7472696e 67000000 068a0323 ...string......# + 3bad0: 8c030874 61736b6c 65740000 000a3303 ...tasklet....3. + 3bae0: 23a40300 075f5553 425f4649 464f5f43 #...._USB_FIFO_C + 3baf0: 4f4e4649 47001000 00325108 6765745f ONFIG....2Q.get_ + 3bb00: 636f6d6d 616e645f 62756600 00001441 command_buf....A + 3bb10: 02230008 72656376 5f636f6d 6d616e64 .#..recv_command + 3bb20: 00000014 57022304 08676574 5f657665 ....W.#..get_eve + 3bb30: 6e745f62 75660000 00144102 23080873 nt_buf....A.#..s + 3bb40: 656e645f 6576656e 745f646f 6e650000 end_event_done.. + 3bb50: 00145702 230c0009 5553425f 4649464f ..W.#...USB_FIFO + 3bb60: 5f434f4e 46494700 000031de 03000032 _CONFIG...1....2 + 3bb70: 51040002 01030000 326d0400 07757362 Q.......2m...usb + 3bb80: 6669666f 5f617069 000c0000 32c3085f fifo_api....2.._ + 3bb90: 696e6974 00000032 6f022300 085f656e init...2o.#.._en + 3bba0: 61626c65 5f657665 6e745f69 73720000 able_event_isr.. + 3bbb0: 00010802 23040870 52657365 72766564 ....#..pReserved + 3bbc0: 00000004 04022308 000f0000 167b0200 ......#......{.. + 3bbd0: 0032d010 0100075f 4854435f 4652414d .2....._HTC_FRAM + 3bbe0: 455f4844 52000800 00334208 456e6470 E_HDR....3B.Endp + 3bbf0: 6f696e74 49440000 00167b02 23000846 ointID....{.#..F + 3bc00: 6c616773 00000016 7b022301 08506179 lags....{.#..Pay + 3bc10: 6c6f6164 4c656e00 00001ca3 02230208 loadLen......#.. + 3bc20: 436f6e74 726f6c42 79746573 00000032 ControlBytes...2 + 3bc30: c3022304 08486f73 74536571 4e756d00 ..#..HostSeqNum. + 3bc40: 00001ca3 02230600 12020000 335b084d .....#......3[.M + 3bc50: 65737361 67654944 0000001c a3022300 essageID......#. + 3bc60: 00120800 0033be08 4d657373 61676549 .....3..MessageI + 3bc70: 44000000 1ca30223 00084372 65646974 D......#..Credit + 3bc80: 436f756e 74000000 1ca30223 02084372 Count......#..Cr + 3bc90: 65646974 53697a65 0000001c a3022304 editSize......#. + 3bca0: 084d6178 456e6470 6f696e74 73000000 .MaxEndpoints... + 3bcb0: 167b0223 06085f50 61643100 0000167b .{.#.._Pad1....{ + 3bcc0: 02230700 120a0000 3455084d 65737361 .#......4U.Messa + 3bcd0: 67654944 0000001c a3022300 08536572 geID......#..Ser + 3bce0: 76696365 49440000 001ca302 23020843 viceID......#..C + 3bcf0: 6f6e6e65 6374696f 6e466c61 67730000 onnectionFlags.. + 3bd00: 001ca302 23040844 6f776e4c 696e6b50 ....#..DownLinkP + 3bd10: 69706549 44000000 167b0223 06085570 ipeID....{.#..Up + 3bd20: 4c696e6b 50697065 49440000 00167b02 LinkPipeID....{. + 3bd30: 23070853 65727669 63654d65 74614c65 #..ServiceMetaLe + 3bd40: 6e677468 00000016 7b022308 085f5061 ngth....{.#.._Pa + 3bd50: 64310000 00167b02 23090012 0a000034 d1....{.#......4 + 3bd60: dd084d65 73736167 65494400 00001ca3 ..MessageID..... + 3bd70: 02230008 53657276 69636549 44000000 .#..ServiceID... + 3bd80: 1ca30223 02085374 61747573 00000016 ...#..Status.... + 3bd90: 7b022304 08456e64 706f696e 74494400 {.#..EndpointID. + 3bda0: 0000167b 02230508 4d61784d 73675369 ...{.#..MaxMsgSi + 3bdb0: 7a650000 001ca302 23060853 65727669 ze......#..Servi + 3bdc0: 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 3bdd0: 7b022308 085f5061 64310000 00167b02 {.#.._Pad1....{. + 3bde0: 23090012 02000034 f6084d65 73736167 #......4..Messag + 3bdf0: 65494400 00001ca3 02230000 12040000 eID......#...... + 3be00: 3532084d 65737361 67654944 0000001c 52.MessageID.... + 3be10: a3022300 08506970 65494400 0000167b ..#..PipeID....{ + 3be20: 02230208 43726564 6974436f 756e7400 .#..CreditCount. + 3be30: 0000167b 02230300 12040000 3569084d ...{.#......5i.M + 3be40: 65737361 67654944 0000001c a3022300 essageID......#. + 3be50: 08506970 65494400 0000167b 02230208 .PipeID....{.#.. + 3be60: 53746174 75730000 00167b02 23030012 Status....{.#... + 3be70: 02000035 90085265 636f7264 49440000 ...5..RecordID.. + 3be80: 00167b02 2300084c 656e6774 68000000 ..{.#..Length... + 3be90: 167b0223 01001202 000035ba 08456e64 .{.#......5..End + 3bea0: 706f696e 74494400 0000167b 02230008 pointID....{.#.. + 3beb0: 43726564 69747300 0000167b 02230100 Credits....{.#.. + 3bec0: 12040000 35fb0845 6e64706f 696e7449 ....5..EndpointI + 3bed0: 44000000 167b0223 00084372 65646974 D....{.#..Credit + 3bee0: 73000000 167b0223 01085467 74437265 s....{.#..TgtCre + 3bef0: 64697453 65714e6f 0000001c a3022302 ditSeqNo......#. + 3bf00: 000f0000 167b0400 00360810 03001206 .....{...6...... + 3bf10: 00003644 08507265 56616c69 64000000 ..6D.PreValid... + 3bf20: 167b0223 00084c6f 6f6b4168 65616400 .{.#..LookAhead. + 3bf30: 000035fb 02230108 506f7374 56616c69 ..5..#..PostVali + 3bf40: 64000000 167b0223 05000970 6f6f6c5f d....{.#...pool_ + 3bf50: 68616e64 6c655f74 00000004 04060000 handle_t........ + 3bf60: 36440103 00003657 04000201 03000036 6D....6W.......6 + 3bf70: 64040014 04000036 e20e504f 4f4c5f49 d......6..POOL_I + 3bf80: 445f4854 435f434f 4e54524f 4c00000e D_HTC_CONTROL... + 3bf90: 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 3bfa0: 434d445f 5245504c 5900010e 504f4f4c CMD_REPLY...POOL + 3bfb0: 5f49445f 574d495f 5356435f 4556454e _ID_WMI_SVC_EVEN + 3bfc0: 5400020e 504f4f4c 5f49445f 574c414e T...POOL_ID_WLAN + 3bfd0: 5f52585f 42554600 030e504f 4f4c5f49 _RX_BUF...POOL_I + 3bfe0: 445f4d41 58000a00 09425546 5f504f4f D_MAX....BUF_POO + 3bff0: 4c5f4944 00000036 6d020103 000036f3 L_ID...6m.....6. + 3c000: 04000600 00264001 03000036 fc040006 .....&@....6.... + 3c010: 00002640 01030000 37090400 02010300 ..&@....7....... + 3c020: 00371604 00076275 665f706f 6f6c5f61 .7....buf_pool_a + 3c030: 7069001c 000037b8 085f696e 69740000 pi....7.._init.. + 3c040: 00365d02 2300085f 73687574 646f776e .6].#.._shutdown + 3c050: 00000036 66022304 085f6372 65617465 ...6f.#.._create + 3c060: 5f706f6f 6c000000 36f50223 08085f61 _pool...6..#.._a + 3c070: 6c6c6f63 5f627566 00000037 0202230c lloc_buf...7..#. + 3c080: 085f616c 6c6f635f 6275665f 616c6967 ._alloc_buf_alig + 3c090: 6e000000 370f0223 10085f66 7265655f n...7..#.._free_ + 3c0a0: 62756600 00003718 02231408 70526573 buf...7..#..pRes + 3c0b0: 65727665 64000000 04040223 1800075f erved......#..._ + 3c0c0: 4854435f 53455256 49434500 1c000038 HTC_SERVICE....8 + 3c0d0: 9708704e 65787400 00003897 02230008 ..pNext...8..#.. + 3c0e0: 50726f63 65737352 6563764d 73670000 ProcessRecvMsg.. + 3c0f0: 00394c02 23040850 726f6365 73735365 .9L.#..ProcessSe + 3c100: 6e644275 66666572 436f6d70 6c657465 ndBufferComplete + 3c110: 00000039 55022308 0850726f 63657373 ...9U.#..Process + 3c120: 436f6e6e 65637400 00003969 02230c08 Connect...9i.#.. + 3c130: 53657276 69636549 44000000 12e90223 ServiceID......# + 3c140: 10085365 72766963 65466c61 67730000 ..ServiceFlags.. + 3c150: 0012e902 2312084d 61785376 634d7367 ....#..MaxSvcMsg + 3c160: 53697a65 00000012 e9022314 08547261 Size......#..Tra + 3c170: 696c6572 53706343 6865636b 4c696d69 ilerSpcCheckLimi + 3c180: 74000000 12e90223 16085365 72766963 t......#..Servic + 3c190: 65437478 00000004 04022318 00030000 eCtx......#..... + 3c1a0: 37b80400 14040000 39351945 4e44504f 7.......95.ENDPO + 3c1b0: 494e545f 554e5553 454400ff ffffff0e INT_UNUSED...... + 3c1c0: 454e4450 4f494e54 3000000e 454e4450 ENDPOINT0...ENDP + 3c1d0: 4f494e54 3100010e 454e4450 4f494e54 OINT1...ENDPOINT + 3c1e0: 3200020e 454e4450 4f494e54 3300030e 2...ENDPOINT3... + 3c1f0: 454e4450 4f494e54 3400040e 454e4450 ENDPOINT4...ENDP + 3c200: 4f494e54 3500050e 454e4450 4f494e54 OINT5...ENDPOINT + 3c210: 3600060e 454e4450 4f494e54 3700070e 6...ENDPOINT7... + 3c220: 454e4450 4f494e54 3800080e 454e4450 ENDPOINT8...ENDP + 3c230: 4f494e54 5f4d4158 00160009 4854435f OINT_MAX....HTC_ + 3c240: 454e4450 4f494e54 5f494400 0000389e ENDPOINT_ID...8. + 3c250: 02010300 00394a04 00020103 00003953 .....9J.......9S + 3c260: 04000300 00010f04 00060000 12d50103 ................ + 3c270: 00003963 04000300 0037b804 00075f48 ..9c.....7...._H + 3c280: 54435f43 4f4e4649 47001400 0039e808 TC_CONFIG....9.. + 3c290: 43726564 69745369 7a650000 00010f02 CreditSize...... + 3c2a0: 23000843 72656469 744e756d 62657200 #..CreditNumber. + 3c2b0: 0000010f 02230408 4f534861 6e646c65 .....#..OSHandle + 3c2c0: 0000001a 3f022308 08484946 48616e64 ....?.#..HIFHand + 3c2d0: 6c650000 0028a802 230c0850 6f6f6c48 le...(..#..PoolH + 3c2e0: 616e646c 65000000 36440223 1000075f andle...6D.#..._ + 3c2f0: 4854435f 4255465f 434f4e54 45585400 HTC_BUF_CONTEXT. + 3c300: 0200003a 2408656e 645f706f 696e7400 ...:$.end_point. + 3c310: 000012d5 02230008 6874635f 666c6167 .....#..htc_flag + 3c320: 73000000 12d50223 01000968 74635f68 s......#...htc_h + 3c330: 616e646c 655f7400 00000404 09485443 andle_t......HTC + 3c340: 5f534554 55505f43 4f4d504c 4554455f _SETUP_COMPLETE_ + 3c350: 43420000 00010809 4854435f 434f4e46 CB......HTC_CONF + 3c360: 49470000 00397703 00003a51 04000600 IG...9w...:Q.... + 3c370: 003a2401 0300003a 68040002 01030000 .:$....:h....... + 3c380: 3a750400 09485443 5f534552 56494345 :u...HTC_SERVICE + 3c390: 00000037 b8030000 3a7e0400 02010300 ...7....:~...... + 3c3a0: 003a9604 00020103 00003a9f 04000201 .:........:..... + 3c3b0: 0300003a a8040006 0000010f 01030000 ...:............ + 3c3c0: 3ab10400 07687463 5f617069 73003400 :....htc_apis.4. + 3c3d0: 003c2e08 5f485443 5f496e69 74000000 .<.._HTC_Init... + 3c3e0: 3a6e0223 00085f48 54435f53 68757464 :n.#.._HTC_Shutd + 3c3f0: 6f776e00 00003a77 02230408 5f485443 own...:w.#.._HTC + 3c400: 5f526567 69737465 72536572 76696365 _RegisterService + 3c410: 0000003a 98022308 085f4854 435f5265 ...:..#.._HTC_Re + 3c420: 61647900 00003a77 02230c08 5f485443 ady...:w.#.._HTC + 3c430: 5f526574 75726e42 75666665 72730000 _ReturnBuffers.. + 3c440: 003aa102 2310085f 4854435f 52657475 .:..#.._HTC_Retu + 3c450: 726e4275 66666572 734c6973 74000000 rnBuffersList... + 3c460: 3aaa0223 14085f48 54435f53 656e644d :..#.._HTC_SendM + 3c470: 73670000 003aa102 2318085f 4854435f sg...:..#.._HTC_ + 3c480: 47657452 65736572 76656448 65616472 GetReservedHeadr + 3c490: 6f6f6d00 00003ab7 02231c08 5f485443 oom...:..#.._HTC + 3c4a0: 5f4d7367 52656376 48616e64 6c657200 _MsgRecvHandler. + 3c4b0: 00002855 02232008 5f485443 5f53656e ..(U.# ._HTC_Sen + 3c4c0: 64446f6e 6548616e 646c6572 00000028 dDoneHandler...( + 3c4d0: 4c022324 085f4854 435f436f 6e74726f L.#$._HTC_Contro + 3c4e0: 6c537663 50726f63 6573734d 73670000 lSvcProcessMsg.. + 3c4f0: 00394c02 2328085f 4854435f 436f6e74 .9L.#(._HTC_Cont + 3c500: 726f6c53 76635072 6f636573 7353656e rolSvcProcessSen + 3c510: 64436f6d 706c6574 65000000 39550223 dComplete...9U.# + 3c520: 2c087052 65736572 76656400 00000404 ,.pReserved..... + 3c530: 02233000 07686f73 745f6170 705f6172 .#0..host_app_ar + 3c540: 65615f73 00040000 3c5e0877 6d695f70 ea_s....<^.wmi_p + 3c550: 726f746f 636f6c5f 76657200 00001614 rotocol_ver..... + 3c560: 02230000 120e0000 3c950864 73744d61 .#......<..dstMa + 3c570: 63000000 1c600223 00087372 634d6163 c....`.#..srcMac + 3c580: 0000001c 60022306 08747970 654f724c ....`.#..typeOrL + 3c590: 656e0000 001ca302 230c000f 0000167b en......#......{ + 3c5a0: 0300003c a2100200 12080000 3cf20864 ...<........<..d + 3c5b0: 73617000 0000167b 02230008 73736170 sap....{.#..ssap + 3c5c0: 00000016 7b022301 08636e74 6c000000 ....{.#..cntl... + 3c5d0: 167b0223 02086f72 67436f64 65000000 .{.#..orgCode... + 3c5e0: 3c950223 03086574 68657254 79706500 <..#..etherType. + 3c5f0: 00001ca3 02230600 12020000 3d130872 .....#......=..r + 3c600: 73736900 00001bf9 02230008 696e666f ssi......#..info + 3c610: 00000016 7b022301 00120400 003d3a08 ....{.#......=:. + 3c620: 636f6d6d 616e6449 64000000 1ca30223 commandId......# + 3c630: 00087365 714e6f00 00001ca3 02230200 ..seqNo......#.. + 3c640: 0f000016 7b010000 3d471000 00120200 ....{...=G...... + 3c650: 003d6e08 6d736753 697a6500 0000167b .=n.msgSize....{ + 3c660: 02230008 6d736744 61746100 00003d3a .#..msgData...=: + 3c670: 02230100 12080000 3db50861 64647265 .#......=..addre + 3c680: 73734c00 00001ca3 02230008 61646472 ssL......#..addr + 3c690: 65737348 0000001c a3022302 0876616c essH......#..val + 3c6a0: 75654c00 00001ca3 02230408 76616c75 ueL......#..valu + 3c6b0: 65480000 001ca302 23060009 574d495f eH......#...WMI_ + 3c6c0: 41565400 00003d6e 0f00003d b5080000 AVT...=n...=.... + 3c6d0: 3dcf1000 00120c00 003e0608 7475706c =........>..tupl + 3c6e0: 654e756d 4c000000 1ca30223 00087475 eNumL......#..tu + 3c6f0: 706c654e 756d4800 00001ca3 02230208 pleNumH......#.. + 3c700: 61767400 00003dc2 02230400 12010000 avt...=..#...... + 3c710: 3e280862 6561636f 6e50656e 64696e67 >(.beaconPending + 3c720: 436f756e 74000000 167b0223 0000075f Count....{.#..._ + 3c730: 574d495f 5356435f 434f4e46 49470010 WMI_SVC_CONFIG.. + 3c740: 00003e91 08487463 48616e64 6c650000 ..>..HtcHandle.. + 3c750: 003a2402 23000850 6f6f6c48 616e646c .:$.#..PoolHandl + 3c760: 65000000 36440223 04084d61 78436d64 e...6D.#..MaxCmd + 3c770: 5265706c 79457674 73000000 010f0223 ReplyEvts......# + 3c780: 08084d61 78457665 6e744576 74730000 ..MaxEventEvts.. + 3c790: 00010f02 230c0002 01030000 3e910400 ....#.......>... + 3c7a0: 09574d49 5f434d44 5f48414e 444c4552 .WMI_CMD_HANDLER + 3c7b0: 0000003e 93075f57 4d495f44 49535041 ...>.._WMI_DISPA + 3c7c0: 5443485f 454e5452 59000800 003efa08 TCH_ENTRY....>.. + 3c7d0: 70436d64 48616e64 6c657200 00003e9a pCmdHandler...>. + 3c7e0: 02230008 436d6449 44000000 12e90223 .#..CmdID......# + 3c7f0: 0408466c 61677300 000012e9 02230600 ..Flags......#.. + 3c800: 075f574d 495f4449 53504154 43485f54 ._WMI_DISPATCH_T + 3c810: 41424c45 00100000 3f5b0870 4e657874 ABLE....?[.pNext + 3c820: 0000003f 5b022300 0870436f 6e746578 ...?[.#..pContex + 3c830: 74000000 04040223 04084e75 6d626572 t......#..Number + 3c840: 4f66456e 74726965 73000000 010f0223 OfEntries......# + 3c850: 08087054 61626c65 0000003f 7a02230c ..pTable...?z.#. + 3c860: 00030000 3efa0400 09574d49 5f444953 ....>....WMI_DIS + 3c870: 50415443 485f454e 54525900 00003eaf PATCH_ENTRY...>. + 3c880: 0300003f 62040003 00003efa 04000948 ...?b.....>....H + 3c890: 54435f42 55465f43 4f4e5445 58540000 TC_BUF_CONTEXT.. + 3c8a0: 0039e80d 574d495f 4556545f 434c4153 .9..WMI_EVT_CLAS + 3c8b0: 53000400 00401219 574d495f 4556545f S....@..WMI_EVT_ + 3c8c0: 434c4153 535f4e4f 4e4500ff ffffff0e CLASS_NONE...... + 3c8d0: 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM + 3c8e0: 445f4556 454e5400 000e574d 495f4556 D_EVENT...WMI_EV + 3c8f0: 545f434c 4153535f 434d445f 5245504c T_CLASS_CMD_REPL + 3c900: 5900010e 574d495f 4556545f 434c4153 Y...WMI_EVT_CLAS + 3c910: 535f4d41 58000200 09574d49 5f455654 S_MAX....WMI_EVT + 3c920: 5f434c41 53530000 003f9d07 5f574d49 _CLASS...?.._WMI + 3c930: 5f425546 5f434f4e 54455854 000c0000 _BUF_CONTEXT.... + 3c940: 40700848 74634275 66437478 0000003f @p.HtcBufCtx...? + 3c950: 88022300 08457665 6e74436c 61737300 ..#..EventClass. + 3c960: 00004012 02230408 466c6167 73000000 ..@..#..Flags... + 3c970: 12e90223 08000977 6d695f68 616e646c ...#...wmi_handl + 3c980: 655f7400 00000404 09574d49 5f535643 e_t......WMI_SVC + 3c990: 5f434f4e 46494700 00003e28 03000040 _CONFIG...>(...@ + 3c9a0: 82040006 00004070 01030000 409d0400 ......@p....@... + 3c9b0: 09574d49 5f444953 50415443 485f5441 .WMI_DISPATCH_TA + 3c9c0: 424c4500 00003efa 03000040 aa040002 BLE...>....@.... + 3c9d0: 01030000 40c90400 06000026 40010300 ....@......&@... + 3c9e0: 0040d204 00020103 000040df 04000600 .@........@..... + 3c9f0: 00010f01 03000040 e8040002 01030000 .......@........ + 3ca00: 40f50400 06000012 d5010300 0040fe04 @............@.. + 3ca10: 00075f77 6d695f73 76635f61 70697300 .._wmi_svc_apis. + 3ca20: 2c000042 46085f57 4d495f49 6e697400 ,..BF._WMI_Init. + 3ca30: 000040a3 02230008 5f574d49 5f526567 ..@..#.._WMI_Reg + 3ca40: 69737465 72446973 70617463 68546162 isterDispatchTab + 3ca50: 6c650000 0040cb02 2304085f 574d495f le...@..#.._WMI_ + 3ca60: 416c6c6f 63457665 6e740000 0040d802 AllocEvent...@.. + 3ca70: 2308085f 574d495f 53656e64 4576656e #.._WMI_SendEven + 3ca80: 74000000 40e10223 0c085f57 4d495f47 t...@..#.._WMI_G + 3ca90: 65745065 6e64696e 67457665 6e747343 etPendingEventsC + 3caa0: 6f756e74 00000040 ee022310 085f574d ount...@..#.._WM + 3cab0: 495f5365 6e64436f 6d706c65 74654861 I_SendCompleteHa + 3cac0: 6e646c65 72000000 39550223 14085f57 ndler...9U.#.._W + 3cad0: 4d495f47 6574436f 6e74726f 6c457000 MI_GetControlEp. + 3cae0: 000040ee 02231808 5f574d49 5f536875 ..@..#.._WMI_Shu + 3caf0: 74646f77 6e000000 40f70223 1c085f57 tdown...@..#.._W + 3cb00: 4d495f52 6563764d 65737361 67654861 MI_RecvMessageHa + 3cb10: 6e646c65 72000000 394c0223 20085f57 ndler...9L.# ._W + 3cb20: 4d495f53 65727669 6365436f 6e6e6563 MI_ServiceConnec + 3cb30: 74000000 41040223 24087052 65736572 t...A..#$.pReser + 3cb40: 76656400 00000404 02232800 077a7344 ved......#(..zsD + 3cb50: 6d614465 73630014 000042c8 08637472 maDesc....B..ctr + 3cb60: 6c000000 01730223 00087374 61747573 l....s.#..status + 3cb70: 00000001 73022302 08746f74 616c4c65 ....s.#..totalLe + 3cb80: 6e000000 01730223 04086461 74615369 n....s.#..dataSi + 3cb90: 7a650000 00017302 2306086c 61737441 ze....s.#..lastA + 3cba0: 64647200 000042c8 02230808 64617461 ddr...B..#..data + 3cbb0: 41646472 00000001 9702230c 086e6578 Addr......#..nex + 3cbc0: 74416464 72000000 42c80223 10000300 tAddr...B..#.... + 3cbd0: 00424604 00030000 42460400 077a7344 .BF.....BF...zsD + 3cbe0: 6d615175 65756500 08000043 08086865 maQueue....C..he + 3cbf0: 61640000 0042cf02 23000874 65726d69 ad...B..#..termi + 3cc00: 6e61746f 72000000 42cf0223 0400077a nator...B..#...z + 3cc10: 73547844 6d615175 65756500 10000043 sTxDmaQueue....C + 3cc20: 6c086865 61640000 0042cf02 23000874 l.head...B..#..t + 3cc30: 65726d69 6e61746f 72000000 42cf0223 erminator...B..# + 3cc40: 0408786d 69746564 5f627566 5f686561 ..xmited_buf_hea + 3cc50: 64000000 14340223 0808786d 69746564 d....4.#..xmited + 3cc60: 5f627566 5f746169 6c000000 14340223 _buf_tail....4.# + 3cc70: 0c000201 03000043 6c040003 000042d6 .......Cl.....B. + 3cc80: 04000201 03000043 7c040003 00004308 .......C|.....C. + 3cc90: 04000201 03000043 8c040002 01030000 .......C........ + 3cca0: 43950400 02010300 00439e04 00060000 C........C...... + 3ccb0: 14340103 000043a7 04000201 03000043 .4....C........C + 3ccc0: b4040006 00001434 01030000 43bd0400 .......4....C... + 3ccd0: 02010300 0043ca04 00060000 010f0103 .....C.......... + 3cce0: 000043d3 04000600 0042cf01 03000043 ..C......B.....C + 3ccf0: e0040002 01030000 43ed0400 07646d61 ........C....dma + 3cd00: 5f656e67 696e655f 61706900 40000045 _engine_api.@..E + 3cd10: 63085f69 6e697400 0000436e 02230008 c._init...Cn.#.. + 3cd20: 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. + 3cd30: 00437e02 2304085f 696e6974 5f74785f .C~.#.._init_tx_ + 3cd40: 71756575 65000000 438e0223 08085f63 queue...C..#.._c + 3cd50: 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. + 3cd60: 00439702 230c085f 786d6974 5f627566 .C..#.._xmit_buf + 3cd70: 00000043 a0022310 085f666c 7573685f ...C..#.._flush_ + 3cd80: 786d6974 00000043 7e022314 085f7265 xmit...C~.#.._re + 3cd90: 61705f72 6563765f 62756600 000043ad ap_recv_buf...C. + 3cda0: 02231808 5f726574 75726e5f 72656376 .#.._return_recv + 3cdb0: 5f627566 00000043 b602231c 085f7265 _buf...C..#.._re + 3cdc0: 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... + 3cdd0: 43c30223 20085f73 7761705f 64617461 C..# ._swap_data + 3cde0: 00000043 cc022324 085f6861 735f636f ...C..#$._has_co + 3cdf0: 6d706c5f 7061636b 65747300 000043d9 mpl_packets...C. + 3ce00: 02232808 5f646573 635f6475 6d700000 .#(._desc_dump.. + 3ce10: 00437e02 232c085f 6765745f 7061636b .C~.#,._get_pack + 3ce20: 65740000 0043e602 2330085f 7265636c et...C..#0._recl + 3ce30: 61696d5f 7061636b 65740000 0043ef02 aim_packet...C.. + 3ce40: 2334085f 7075745f 7061636b 65740000 #4._put_packet.. + 3ce50: 0043ef02 23380870 52657365 72766564 .C..#8.pReserved + 3ce60: 00000004 0402233c 00095f41 5f636d6e ......#<.._A_cmn + 3ce70: 6f735f69 6e646972 65637469 6f6e5f74 os_indirection_t + 3ce80: 61626c65 5f740000 0030a209 574d495f able_t...0..WMI_ + 3ce90: 5356435f 41504953 00000041 0b175f41 SVC_APIS...A.._A + 3cea0: 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect + 3ceb0: 696f6e5f 7461626c 6500034c 00004691 ion_table..L..F. + 3cec0: 08636d6e 6f730000 00456302 23000864 .cmnos...Ec.#..d + 3ced0: 62670000 0003d103 23b80308 68696600 bg......#...hif. + 3cee0: 0000294b 0323c003 08687463 0000003a ..)K.#...htc...: + 3cef0: be0323f8 0308776d 695f7376 635f6170 ..#...wmi_svc_ap + 3cf00: 69000000 45850323 ac040875 73626669 i...E..#...usbfi + 3cf10: 666f5f61 70690000 00327603 23d80408 fo_api...2v.#... + 3cf20: 6275665f 706f6f6c 00000037 1f0323e4 buf_pool...7..#. + 3cf30: 04087662 75660000 00145e03 23800508 ..vbuf....^.#... + 3cf40: 76646573 63000000 13400323 94050861 vdesc....@.#...a + 3cf50: 6c6c6f63 72616d00 00000936 0323a805 llocram....6.#.. + 3cf60: 08646d61 5f656e67 696e6500 000043f6 .dma_engine...C. + 3cf70: 0323b405 08646d61 5f6c6962 0000002b .#...dma_lib...+ + 3cf80: df0323f4 05086869 665f7063 69000000 ..#...hif_pci... + 3cf90: 2e3f0323 a8060009 5f415f6d 61677069 .?.#...._A_magpi + 3cfa0: 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta + 3cfb0: 626c655f 74000000 45971404 00004716 ble_t...E.....G. + 3cfc0: 0e574454 5f414354 494f4e5f 4e4f0000 .WDT_ACTION_NO.. + 3cfd0: 0e574454 5f414354 494f4e5f 494e5452 .WDT_ACTION_INTR + 3cfe0: 00010e57 44545f41 4354494f 4e5f4e4d ...WDT_ACTION_NM + 3cff0: 4900020e 5744545f 41435449 4f4e5f52 I...WDT_ACTION_R + 3d000: 45534554 00030e57 44545f41 4354494f ESET...WDT_ACTIO + 3d010: 4e5f554e 4b4e4f57 4e000400 09545f57 N_UNKNOWN....T_W + 3d020: 44545f41 4354494f 4e5f5459 50450000 DT_ACTION_TYPE.. + 3d030: 0046b412 0c000047 61087374 61746500 .F.....Ga.state. + 3d040: 000007f4 02230008 61637469 6f6e0000 .....#..action.. + 3d050: 00471602 23040874 696d656f 75740000 .G..#..timeout.. + 3d060: 0001ac02 23080009 545f5744 545f4354 ....#...T_WDT_CT + 3d070: 524c0000 00472d1a 7764745f 6374726c RL...G-.wdt_ctrl + 3d080: 00000047 61050300 500a3801 03000001 ...Ga...P.8..... + 3d090: ac040003 00000e0b 04000201 03000043 ...............C + 3d0a0: 6c040003 0000436c 04000300 00436c04 l.....Cl.....Cl. + 3d0b0: 00030000 436c0400 1b011763 6d6e6f73 ....Cl.....cmnos + 3d0c0: 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 3d0d0: 000ded01 01039201 20029000 008e2ce4 ........ .....,. + 3d0e0: 008e2d0f 1c013763 6d6e6f73 5f776474 ..-...7cmnos_wdt + 3d0f0: 5f726573 65740001 03920120 02900000 _reset..... .... + 3d100: 8e2d1000 8e2d2a1d 0143636d 6e6f735f .-...-*..Ccmnos_ + 3d110: 7764745f 73657400 01010392 01200290 wdt_set...... .. + 3d120: 00008e2d 2c008e2d 54000048 391e0143 ...-,..-T..H9..C + 3d130: 7764745f 636d6400 00000d88 0152001f wdt_cmd......R.. + 3d140: 015d636d 6e6f735f 7764745f 656e6162 .]cmnos_wdt_enab + 3d150: 6c650001 03920130 02900000 8e2d5400 le.....0.....-T. + 3d160: 8e2d7200 00487220 7764745f 636d6400 .-r..Hr wdt_cmd. + 3d170: 00000d88 02915000 1f016c63 6d6e6f73 ......P...lcmnos + 3d180: 5f776474 5f646973 61626c65 00010392 _wdt_disable.... + 3d190: 01300290 00008e2d 74008e2d 90000048 .0.....-t..-...H + 3d1a0: ac207764 745f636d 64000000 0d880291 . wdt_cmd....... + 3d1b0: 50001d01 7e636d6e 6f735f77 64745f69 P...~cmnos_wdt_i + 3d1c0: 6e697400 01010392 01300290 00008e2d nit......0.....- + 3d1d0: 90008e2d b8000048 e4207764 745f636d ...-...H. wdt_cm + 3d1e0: 64000000 0d880291 50001c01 94636d6e d.......P....cmn + 3d1f0: 6f735f77 64745f74 61736b00 01039201 os_wdt_task..... + 3d200: 20029000 008e2db8 008e2dd0 21019f63 .....-...-.!..c + 3d210: 6d6e6f73 5f776474 5f6d6f64 756c655f mnos_wdt_module_ + 3d220: 696e7374 616c6c00 01010392 01200290 install...... .. + 3d230: 00008e2d d0008e2d f81e019f 74626c00 ...-...-....tbl. + 3d240: 0000478d 01520000 00000049 a9000200 ..G..R.....I.... + 3d250: 00160404 012f726f 6f742f57 6f726b73 ...../root/Works + 3d260: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 3d270: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 3d280: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 3d290: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 3d2a0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 3d2b0: 6f6d2f63 6d6e6f73 2f756172 742f7372 om/cmnos/uart/sr + 3d2c0: 632f7561 72745f61 70692e63 002f726f c/uart_api.c./ro + 3d2d0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 3d2e0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 3d2f0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 3d300: 636d6e6f 732f7561 72740078 742d7863 cmnos/uart.xt-xc + 3d310: 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 3d320: 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 3d330: 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - + 3d340: 4f50543a 73706163 65000100 0000d3c7 OPT:space....... + 3d350: 02010300 00010704 0004696e 74000504 ..........int... + 3d360: 04636861 72000701 05000001 17050000 .char........... + 3d370: 01170300 00012404 00060000 01100103 ......$......... + 3d380: 00000130 04000770 72696e74 665f6170 ...0...printf_ap + 3d390: 69000800 00017408 5f707269 6e74665f i.....t._printf_ + 3d3a0: 696e6974 00000001 09022300 085f7072 init......#.._pr + 3d3b0: 696e7466 00000001 36022304 00047368 intf....6.#...sh + 3d3c0: 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 3d3d0: 00070209 75696e74 31365f74 00000001 ....uint16_t.... + 3d3e0: 74046c6f 6e672075 6e736967 6e656420 t.long unsigned + 3d3f0: 696e7400 07040975 696e7433 325f7400 int....uint32_t. + 3d400: 00000198 07756172 745f6669 666f0008 .....uart_fifo.. + 3d410: 00000206 08737461 72745f69 6e646578 .....start_index + 3d420: 00000001 8a022300 08656e64 5f696e64 ......#..end_ind + 3d430: 65780000 00018a02 2302086f 76657272 ex......#..overr + 3d440: 756e5f65 72720000 0001ad02 23040007 un_err......#... + 3d450: 75617274 5f617069 00200000 02bf085f uart_api. ....._ + 3d460: 75617274 5f696e69 74000000 03160223 uart_init......# + 3d470: 00085f75 6172745f 63686172 5f707574 .._uart_char_put + 3d480: 00000003 3d022304 085f7561 72745f63 ....=.#.._uart_c + 3d490: 6861725f 67657400 00000351 02230808 har_get....Q.#.. + 3d4a0: 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 3d4b0: 035a0223 0c085f75 6172745f 7461736b .Z.#.._uart_task + 3d4c0: 00000001 09022310 085f7561 72745f73 ......#.._uart_s + 3d4d0: 74617475 73000000 03160223 14085f75 tatus......#.._u + 3d4e0: 6172745f 636f6e66 69670000 00036302 art_config....c. + 3d4f0: 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. + 3d500: 0000036c 02231c00 03000002 06040007 ...l.#.......... + 3d510: 75617274 5f626c6b 00100000 03100864 uart_blk.......d + 3d520: 65627567 5f6d6f64 65000000 018a0223 ebug_mode......# + 3d530: 00086261 75640000 00018a02 2302085f ..baud......#.._ + 3d540: 75617274 00000002 bf022304 085f7478 uart......#.._tx + 3d550: 00000001 bb022308 00060000 01ad0103 ......#......... + 3d560: 00000310 04000475 6e736967 6e656420 .......unsigned + 3d570: 63686172 00070109 75696e74 385f7400 char....uint8_t. + 3d580: 0000031d 02010300 00033b04 00030000 ..........;..... + 3d590: 032e0400 06000001 8a010300 00034b04 ..............K. + 3d5a0: 00020103 00000358 04000201 03000003 .......X........ + 3d5b0: 61040002 01030000 036a0400 03000001 a........j...... + 3d5c0: 17040006 00000110 01030000 037a0400 .............z.. + 3d5d0: 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU + 3d5e0: 4354000c 000003d2 08636d64 5f737472 CT.......cmd_str + 3d5f0: 00000003 73022300 0868656c 705f7374 ....s.#..help_st + 3d600: 72000000 03730223 0408636d 645f6675 r....s.#..cmd_fu + 3d610: 6e630000 00038002 23080007 6462675f nc......#...dbg_ + 3d620: 61706900 08000004 05085f64 62675f69 api......._dbg_i + 3d630: 6e697400 00000109 02230008 5f646267 nit......#.._dbg + 3d640: 5f746173 6b000000 01090223 04000a04 _task......#.... + 3d650: 0004756e 7369676e 65642069 6e740007 ..unsigned int.. + 3d660: 04060000 04050103 00000418 04000b0b ................ + 3d670: 03000004 26040006 00000405 01030000 ....&........... + 3d680: 042e0400 06000001 10010300 00043b04 ..............;. + 3d690: 00076d65 6d5f6170 69001400 0004aa08 ..mem_api....... + 3d6a0: 5f6d656d 5f696e69 74000000 01090223 _mem_init......# + 3d6b0: 00085f6d 656d7365 74000000 041e0223 .._memset......# + 3d6c0: 04085f6d 656d6370 79000000 04340223 .._memcpy....4.# + 3d6d0: 08085f6d 656d6d6f 76650000 00043402 .._memmove....4. + 3d6e0: 230c085f 6d656d63 6d700000 00044102 #.._memcmp....A. + 3d6f0: 2310000c 72656769 73746572 5f64756d #...register_dum + 3d700: 705f7300 00010300 0004aa04 00020103 p_s............. + 3d710: 000004c4 04000201 03000004 cd040006 ................ + 3d720: 00000110 01030000 04d60400 0d686f73 .............hos + 3d730: 7469665f 73000400 0005320e 4849465f tif_s.....2.HIF_ + 3d740: 55534200 000e4849 465f5043 49450001 USB...HIF_PCIE.. + 3d750: 0e484946 5f474d41 4300020e 4849465f .HIF_GMAC...HIF_ + 3d760: 50434900 030e4849 465f4e55 4d00040e PCI...HIF_NUM... + 3d770: 4849465f 4e4f4e45 00050009 415f484f HIF_NONE....A_HO + 3d780: 53544946 00000004 e3060000 05320103 STIF.........2.. + 3d790: 00000540 04000600 00032e01 03000005 ...@............ + 3d7a0: 4d040006 0000018a 01030000 055a0400 M............Z.. + 3d7b0: 076d6973 635f6170 69002400 00064a08 .misc_api.$...J. + 3d7c0: 5f737973 74656d5f 72657365 74000000 _system_reset... + 3d7d0: 01090223 00085f6d 61635f72 65736574 ...#.._mac_reset + 3d7e0: 00000001 09022304 085f6173 73666169 ......#.._assfai + 3d7f0: 6c000000 04c60223 08085f6d 6973616c l......#.._misal + 3d800: 69676e65 645f6c6f 61645f68 616e646c igned_load_handl + 3d810: 65720000 0004c602 230c085f 7265706f er......#.._repo + 3d820: 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho + 3d830: 73740000 0004cf02 2310085f 74617267 st......#.._targ + 3d840: 65745f69 645f6765 74000000 04dc0223 et_id_get......# + 3d850: 14085f69 735f686f 73745f70 72657365 .._is_host_prese + 3d860: 6e740000 00054602 2318085f 6b626869 nt....F.#.._kbhi + 3d870: 74000000 05530223 1c085f72 6f6d5f76 t....S.#.._rom_v + 3d880: 65727369 6f6e5f67 65740000 00056002 ersion_get....`. + 3d890: 23200006 00000373 01030000 064a0400 # .....s.....J.. + 3d8a0: 06000003 73010300 00065704 00060000 ....s.....W..... + 3d8b0: 01100103 00000664 04000600 00011001 .......d........ + 3d8c0: 03000006 71040006 00000110 01030000 ....q........... + 3d8d0: 067e0400 07737472 696e675f 61706900 .~...string_api. + 3d8e0: 18000007 04085f73 7472696e 675f696e ......_string_in + 3d8f0: 69740000 00010902 2300085f 73747263 it......#.._strc + 3d900: 70790000 00065002 2304085f 7374726e py....P.#.._strn + 3d910: 63707900 0000065d 02230808 5f737472 cpy....].#.._str + 3d920: 6c656e00 0000066a 02230c08 5f737472 len....j.#.._str + 3d930: 636d7000 00000677 02231008 5f737472 cmp....w.#.._str + 3d940: 6e636d70 00000006 84022314 000f0000 ncmp......#..... + 3d950: 04081400 00071110 0400095f 415f5449 ..........._A_TI + 3d960: 4d45525f 53504143 45000000 07040941 MER_SPACE......A + 3d970: 5f74696d 65725f74 00000007 11030000 _timer_t........ + 3d980: 07250400 02010300 00073b04 00020103 .%........;..... + 3d990: 00000744 04000941 5f48414e 444c4500 ...D...A_HANDLE. + 3d9a0: 00000408 02010941 5f54494d 45525f46 .......A_TIMER_F + 3d9b0: 554e4300 0000075b 03000007 5d040002 UNC....[....]... + 3d9c0: 01030000 07760400 0774696d 65725f61 .....v...timer_a + 3d9d0: 70690014 000007f5 085f7469 6d65725f pi......._timer_ + 3d9e0: 696e6974 00000001 09022300 085f7469 init......#.._ti + 3d9f0: 6d65725f 61726d00 0000073d 02230408 mer_arm....=.#.. + 3da00: 5f74696d 65725f64 69736172 6d000000 _timer_disarm... + 3da10: 07460223 08085f74 696d6572 5f736574 .F.#.._timer_set + 3da20: 666e0000 00077802 230c085f 74696d65 fn....x.#.._time + 3da30: 725f7275 6e000000 01090223 10000942 r_run......#...B + 3da40: 4f4f4c45 414e0000 00018a06 000007f5 OOLEAN.......... + 3da50: 01030000 08020400 06000007 f5010300 ................ + 3da60: 00080f04 00060000 07f50103 0000081c ................ + 3da70: 04000772 6f6d705f 61706900 10000008 ...romp_api..... + 3da80: 8e085f72 6f6d705f 696e6974 00000001 .._romp_init.... + 3da90: 09022300 085f726f 6d705f64 6f776e6c ..#.._romp_downl + 3daa0: 6f616400 00000808 02230408 5f726f6d oad......#.._rom + 3dab0: 705f696e 7374616c 6c000000 08150223 p_install......# + 3dac0: 08085f72 6f6d705f 6465636f 64650000 .._romp_decode.. + 3dad0: 00082202 230c0007 726f6d5f 70617463 ..".#...rom_patc + 3dae0: 685f7374 00100000 08ea0863 72633136 h_st.......crc16 + 3daf0: 00000001 8a022300 086c656e 00000001 ......#..len.... + 3db00: 8a022302 086c645f 61646472 00000001 ..#..ld_addr.... + 3db10: ad022304 0866756e 5f616464 72000000 ..#..fun_addr... + 3db20: 01ad0223 08087066 756e0000 00034402 ...#..pfun....D. + 3db30: 230c0007 6565705f 72656469 725f6164 #...eep_redir_ad + 3db40: 64720004 0000091c 086f6666 73657400 dr.......offset. + 3db50: 0000018a 02230008 73697a65 00000001 .....#..size.... + 3db60: 8a022302 0009415f 55494e54 33320000 ..#...A_UINT32.. + 3db70: 00040806 00000405 01030000 092a0400 .............*.. + 3db80: 07616c6c 6f637261 6d5f6170 69000c00 .allocram_api... + 3db90: 00099b08 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr + 3dba0: 616d5f69 6e697400 00000930 02230008 am_init....0.#.. + 3dbb0: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 3dbc0: 00093002 23040863 6d6e6f73 5f616c6c ..0.#..cmnos_all + 3dbd0: 6f637261 6d5f6465 62756700 00000109 ocram_debug..... + 3dbe0: 02230800 02010300 00099b04 0009415f .#............A_ + 3dbf0: 5441534b 4c45545f 46554e43 00000009 TASKLET_FUNC.... + 3dc00: 9d075f74 61736b6c 65740010 000009fc .._tasklet...... + 3dc10: 0866756e 63000000 09a40223 00086172 .func......#..ar + 3dc20: 67000000 04050223 04087374 61746500 g......#..state. + 3dc30: 00000110 02230808 6e657874 00000009 .....#..next.... + 3dc40: fc02230c 00030000 09b80400 03000009 ..#............. + 3dc50: b8040009 415f7461 736b6c65 745f7400 ....A_tasklet_t. + 3dc60: 000009b8 0300000a 0a040002 01030000 ................ + 3dc70: 0a220400 02010300 000a2b04 00077461 ."........+...ta + 3dc80: 736b6c65 745f6170 69001400 000ac008 sklet_api....... + 3dc90: 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 3dca0: 01090223 00085f74 61736b6c 65745f69 ...#.._tasklet_i + 3dcb0: 6e69745f 7461736b 0000000a 24022304 nit_task....$.#. + 3dcc0: 085f7461 736b6c65 745f6469 7361626c ._tasklet_disabl + 3dcd0: 65000000 0a2d0223 08085f74 61736b6c e....-.#.._taskl + 3dce0: 65745f73 63686564 756c6500 00000a2d et_schedule....- + 3dcf0: 02230c08 5f746173 6b6c6574 5f72756e .#.._tasklet_run + 3dd00: 00000001 09022310 00020103 00000ac0 ......#......... + 3dd10: 04000600 00091c01 0300000a c9040002 ................ + 3dd20: 01030000 0ad60400 07636c6f 636b5f61 .........clock_a + 3dd30: 70690024 00000bb8 085f636c 6f636b5f pi.$....._clock_ + 3dd40: 696e6974 0000000a c2022300 085f636c init......#.._cl + 3dd50: 6f636b72 6567735f 696e6974 00000001 ockregs_init.... + 3dd60: 09022304 085f7561 72745f66 72657175 ..#.._uart_frequ + 3dd70: 656e6379 0000000a cf022308 085f6465 ency......#.._de + 3dd80: 6c61795f 75730000 000ad802 230c085f lay_us......#.._ + 3dd90: 776c616e 5f62616e 645f7365 74000000 wlan_band_set... + 3dda0: 0ad80223 10085f72 6566636c 6b5f7370 ...#.._refclk_sp + 3ddb0: 6565645f 67657400 00000acf 02231408 eed_get......#.. + 3ddc0: 5f6d696c 6c697365 636f6e64 73000000 _milliseconds... + 3ddd0: 0acf0223 18085f73 7973636c 6b5f6368 ...#.._sysclk_ch + 3dde0: 616e6765 00000001 0902231c 085f636c ange......#.._cl + 3ddf0: 6f636b5f 7469636b 00000001 09022320 ock_tick......# + 3de00: 00060000 01ad0103 00000bb8 04000941 ...............A + 3de10: 5f6f6c64 5f696e74 725f7400 000001ad _old_intr_t..... + 3de20: 0600000b c5010300 000bd704 00020103 ................ + 3de30: 00000be4 04000201 0300000b ed040006 ................ + 3de40: 000001ad 01030000 0bf60400 09415f69 .............A_i + 3de50: 73725f74 0000000b fc020103 00000c10 sr_t............ + 3de60: 04000600 00040801 0300000c 19040002 ................ + 3de70: 01030000 0c260400 07696e74 725f6170 .....&...intr_ap + 3de80: 69002c00 000d4808 5f696e74 725f696e i.,...H._intr_in + 3de90: 69740000 00010902 2300085f 696e7472 it......#.._intr + 3dea0: 5f696e76 6f6b655f 69737200 00000bbe _invoke_isr..... + 3deb0: 02230408 5f696e74 725f6469 7361626c .#.._intr_disabl + 3dec0: 65000000 0bdd0223 08085f69 6e74725f e......#.._intr_ + 3ded0: 72657374 6f726500 00000be6 02230c08 restore......#.. + 3dee0: 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. + 3def0: 00000bef 02231008 5f696e74 725f756e .....#.._intr_un + 3df00: 6d61736b 5f696e75 6d000000 0bef0223 mask_inum......# + 3df10: 14085f69 6e74725f 61747461 63685f69 .._intr_attach_i + 3df20: 73720000 000c1202 2318085f 6765745f sr......#.._get_ + 3df30: 696e7472 656e6162 6c650000 000c1f02 intrenable...... + 3df40: 231c085f 7365745f 696e7472 656e6162 #.._set_intrenab + 3df50: 6c650000 000c2802 2320085f 6765745f le....(.# ._get_ + 3df60: 696e7472 70656e64 696e6700 00000c1f intrpending..... + 3df70: 02232408 5f756e62 6c6f636b 5f616c6c .#$._unblock_all + 3df80: 5f696e74 726c766c 00000001 09022328 _intrlvl......#( + 3df90: 00110400 000d6e08 74696d65 6f757400 ......n.timeout. + 3dfa0: 000001ad 02230008 61637469 6f6e0000 .....#..action.. + 3dfb0: 0001ad02 23000012 0800000d 8908636d ....#.........cm + 3dfc0: 64000000 01ad0223 00130000 0d480223 d......#.....H.# + 3dfd0: 04000954 5f574454 5f434d44 0000000d ...T_WDT_CMD.... + 3dfe0: 6e020103 00000d98 04001404 00000dee n............... + 3dff0: 0e454e55 4d5f5744 545f424f 4f540001 .ENUM_WDT_BOOT.. + 3e000: 0e454e55 4d5f434f 4c445f42 4f4f5400 .ENUM_COLD_BOOT. + 3e010: 020e454e 554d5f53 5553505f 424f4f54 ..ENUM_SUSP_BOOT + 3e020: 00030e45 4e554d5f 554e4b4e 4f574e5f ...ENUM_UNKNOWN_ + 3e030: 424f4f54 00040009 545f424f 4f545f54 BOOT....T_BOOT_T + 3e040: 59504500 00000da1 0600000d ee010300 YPE............. + 3e050: 000dff04 00077764 745f6170 69001c00 ......wdt_api... + 3e060: 000ea308 5f776474 5f696e69 74000000 ...._wdt_init... + 3e070: 01090223 00085f77 64745f65 6e61626c ...#.._wdt_enabl + 3e080: 65000000 01090223 04085f77 64745f64 e......#.._wdt_d + 3e090: 69736162 6c650000 00010902 2308085f isable......#.._ + 3e0a0: 7764745f 73657400 00000d9a 02230c08 wdt_set......#.. + 3e0b0: 5f776474 5f746173 6b000000 01090223 _wdt_task......# + 3e0c0: 10085f77 64745f72 65736574 00000001 .._wdt_reset.... + 3e0d0: 09022314 085f7764 745f6c61 73745f62 ..#.._wdt_last_b + 3e0e0: 6f6f7400 00000e05 02231800 14040000 oot......#...... + 3e0f0: 0f0a0e52 45545f53 55434345 53530000 ...RET_SUCCESS.. + 3e100: 0e524554 5f4e4f54 5f494e49 5400010e .RET_NOT_INIT... + 3e110: 5245545f 4e4f545f 45584953 5400020e RET_NOT_EXIST... + 3e120: 5245545f 4545505f 434f5252 55505400 RET_EEP_CORRUPT. + 3e130: 030e5245 545f4545 505f4f56 4552464c ..RET_EEP_OVERFL + 3e140: 4f570004 0e524554 5f554e4b 4e4f574e OW...RET_UNKNOWN + 3e150: 00050009 545f4545 505f5245 54000000 ....T_EEP_RET... + 3e160: 0ea30300 00018a04 00060000 0f0a0103 ................ + 3e170: 00000f20 04000600 000f0a01 0300000f ... ............ + 3e180: 2d040007 6565705f 61706900 1000000f -...eep_api..... + 3e190: 96085f65 65705f69 6e697400 00000109 .._eep_init..... + 3e1a0: 02230008 5f656570 5f726561 64000000 .#.._eep_read... + 3e1b0: 0f260223 04085f65 65705f77 72697465 .&.#.._eep_write + 3e1c0: 0000000f 26022308 085f6565 705f6973 ....&.#.._eep_is + 3e1d0: 5f657869 73740000 000f3302 230c0007 _exist....3.#... + 3e1e0: 7573625f 61706900 70000012 43085f75 usb_api.p...C._u + 3e1f0: 73625f69 6e697400 00000109 02230008 sb_init......#.. + 3e200: 5f757362 5f726f6d 5f746173 6b000000 _usb_rom_task... + 3e210: 01090223 04085f75 73625f66 775f7461 ...#.._usb_fw_ta + 3e220: 736b0000 00010902 2308085f 7573625f sk......#.._usb_ + 3e230: 696e6974 5f706879 00000001 0902230c init_phy......#. + 3e240: 085f7573 625f6570 305f7365 74757000 ._usb_ep0_setup. + 3e250: 00000109 02231008 5f757362 5f657030 .....#.._usb_ep0 + 3e260: 5f747800 00000109 02231408 5f757362 _tx......#.._usb + 3e270: 5f657030 5f727800 00000109 02231808 _ep0_rx......#.. + 3e280: 5f757362 5f676574 5f696e74 65726661 _usb_get_interfa + 3e290: 63650000 00081502 231c085f 7573625f ce......#.._usb_ + 3e2a0: 7365745f 696e7465 72666163 65000000 set_interface... + 3e2b0: 08150223 20085f75 73625f67 65745f63 ...# ._usb_get_c + 3e2c0: 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 3e2d0: 15022324 085f7573 625f7365 745f636f ..#$._usb_set_co + 3e2e0: 6e666967 75726174 696f6e00 00000815 nfiguration..... + 3e2f0: 02232808 5f757362 5f737461 6e646172 .#(._usb_standar + 3e300: 645f636d 64000000 08150223 2c085f75 d_cmd......#,._u + 3e310: 73625f76 656e646f 725f636d 64000000 sb_vendor_cmd... + 3e320: 01090223 30085f75 73625f70 6f776572 ...#0._usb_power + 3e330: 5f6f6666 00000001 09022334 085f7573 _off......#4._us + 3e340: 625f7265 7365745f 6669666f 00000001 b_reset_fifo.... + 3e350: 09022338 085f7573 625f6765 6e5f7764 ..#8._usb_gen_wd + 3e360: 74000000 01090223 3c085f75 73625f6a t......#<._usb_j + 3e370: 756d705f 626f6f74 00000001 09022340 ump_boot......#@ + 3e380: 085f7573 625f636c 725f6665 61747572 ._usb_clr_featur + 3e390: 65000000 08150223 44085f75 73625f73 e......#D._usb_s + 3e3a0: 65745f66 65617475 72650000 00081502 et_feature...... + 3e3b0: 2348085f 7573625f 7365745f 61646472 #H._usb_set_addr + 3e3c0: 65737300 00000815 02234c08 5f757362 ess......#L._usb + 3e3d0: 5f676574 5f646573 63726970 746f7200 _get_descriptor. + 3e3e0: 00000815 02235008 5f757362 5f676574 .....#P._usb_get + 3e3f0: 5f737461 74757300 00000815 02235408 _status......#T. + 3e400: 5f757362 5f736574 75705f64 65736300 _usb_setup_desc. + 3e410: 00000109 02235808 5f757362 5f726567 .....#X._usb_reg + 3e420: 5f6f7574 00000001 0902235c 085f7573 _out......#\._us + 3e430: 625f7374 61747573 5f696e00 00000109 b_status_in..... + 3e440: 02236008 5f757362 5f657030 5f74785f .#`._usb_ep0_tx_ + 3e450: 64617461 00000001 09022364 085f7573 data......#d._us + 3e460: 625f6570 305f7278 5f646174 61000000 b_ep0_rx_data... + 3e470: 01090223 68085f75 73625f63 6c6b5f69 ...#h._usb_clk_i + 3e480: 6e697400 00000109 02236c00 075f5644 nit......#l.._VD + 3e490: 45534300 24000012 cf086e65 78745f64 ESC.$.....next_d + 3e4a0: 65736300 000012cf 02230008 6275665f esc......#..buf_ + 3e4b0: 61646472 00000012 e3022304 08627566 addr......#..buf + 3e4c0: 5f73697a 65000000 12ea0223 08086461 _size......#..da + 3e4d0: 74615f6f 66667365 74000000 12ea0223 ta_offset......# + 3e4e0: 0a086461 74615f73 697a6500 000012ea ..data_size..... + 3e4f0: 02230c08 636f6e74 726f6c00 000012ea .#..control..... + 3e500: 02230e08 68775f64 6573635f 62756600 .#..hw_desc_buf. + 3e510: 000012f8 02231000 03000012 43040009 .....#......C... + 3e520: 415f5549 4e543800 0000031d 03000012 A_UINT8......... + 3e530: d6040009 415f5549 4e543136 00000001 ....A_UINT16.... + 3e540: 740f0000 12d61400 00130510 13000300 t............... + 3e550: 00124304 00095644 45534300 00001243 ..C...VDESC....C + 3e560: 03000013 0c040006 00001317 01030000 ................ + 3e570: 131e0400 06000012 e3010300 00132b04 ..............+. + 3e580: 00020103 00001338 04000776 64657363 .......8...vdesc + 3e590: 5f617069 00140000 13b0085f 696e6974 _api......._init + 3e5a0: 0000000a d8022300 085f616c 6c6f635f ......#.._alloc_ + 3e5b0: 76646573 63000000 13240223 04085f67 vdesc....$.#.._g + 3e5c0: 65745f68 775f6465 73630000 00133102 et_hw_desc....1. + 3e5d0: 2308085f 73776170 5f766465 73630000 #.._swap_vdesc.. + 3e5e0: 00133a02 230c0870 52657365 72766564 ..:.#..pReserved + 3e5f0: 00000004 05022310 00075f56 42554600 ......#..._VBUF. + 3e600: 20000014 10086465 73635f6c 69737400 .....desc_list. + 3e610: 00001317 02230008 6e657874 5f627566 .....#..next_buf + 3e620: 00000014 10022304 08627566 5f6c656e ......#..buf_len + 3e630: 67746800 000012ea 02230808 72657365 gth......#..rese + 3e640: 72766564 00000014 1702230a 08637478 rved......#..ctx + 3e650: 00000012 f802230c 00030000 13b00400 ......#......... + 3e660: 0f000012 d6020000 14241001 00030000 .........$...... + 3e670: 13b00400 09564255 46000000 13b00300 .....VBUF....... + 3e680: 00142b04 00060000 14350103 0000143c ..+......5.....< + 3e690: 04000600 00143501 03000014 49040002 ......5.....I... + 3e6a0: 01030000 14560400 07766275 665f6170 .....V...vbuf_ap + 3e6b0: 69001400 0014d408 5f696e69 74000000 i......._init... + 3e6c0: 0ad80223 00085f61 6c6c6f63 5f766275 ...#.._alloc_vbu + 3e6d0: 66000000 14420223 04085f61 6c6c6f63 f....B.#.._alloc + 3e6e0: 5f766275 665f7769 74685f73 697a6500 _vbuf_with_size. + 3e6f0: 0000144f 02230808 5f667265 655f7662 ...O.#.._free_vb + 3e700: 75660000 00145802 230c0870 52657365 uf....X.#..pRese + 3e710: 72766564 00000004 05022310 00075f5f rved......#...__ + 3e720: 6164665f 64657669 63650004 000014f6 adf_device...... + 3e730: 0864756d 6d790000 00011002 23000003 .dummy......#... + 3e740: 0000091c 0400075f 5f616466 5f646d61 .......__adf_dma + 3e750: 5f6d6170 000c0000 153d0862 75660000 _map.....=.buf.. + 3e760: 00143502 23000864 735f6164 64720000 ..5.#..ds_addr.. + 3e770: 0014f602 23040864 735f6c65 6e000000 ....#..ds_len... + 3e780: 12ea0223 0800120c 00001577 085f5f76 ...#.......w.__v + 3e790: 615f7374 6b000000 03730223 00085f5f a_stk....s.#..__ + 3e7a0: 76615f72 65670000 00037302 2304085f va_reg....s.#.._ + 3e7b0: 5f76615f 6e647800 00000110 02230800 _va_ndx......#.. + 3e7c0: 095f5f61 64665f6f 735f646d 615f6164 .__adf_os_dma_ad + 3e7d0: 64725f74 00000009 1c096164 665f6f73 dr_t......adf_os + 3e7e0: 5f646d61 5f616464 725f7400 00001577 _dma_addr_t....w + 3e7f0: 095f5f61 64665f6f 735f646d 615f7369 .__adf_os_dma_si + 3e800: 7a655f74 00000009 1c096164 665f6f73 ze_t......adf_os + 3e810: 5f646d61 5f73697a 655f7400 000015a7 _dma_size_t..... + 3e820: 075f5f64 6d615f73 65677300 08000016 .__dma_segs..... + 3e830: 03087061 64647200 00001590 02230008 ..paddr......#.. + 3e840: 6c656e00 000015c0 02230400 095f5f61 len......#...__a + 3e850: 5f75696e 7433325f 74000000 091c0961 _uint32_t......a + 3e860: 5f75696e 7433325f 74000000 16030f00 _uint32_t....... + 3e870: 0015d708 00001632 10000007 6164665f .......2....adf_ + 3e880: 6f735f64 6d616d61 705f696e 666f000c os_dmamap_info.. + 3e890: 0000166b 086e7365 67730000 00161502 ...k.nsegs...... + 3e8a0: 23000864 6d615f73 65677300 00001625 #..dma_segs....% + 3e8b0: 02230400 095f5f61 5f75696e 74385f74 .#...__a_uint8_t + 3e8c0: 00000012 d609615f 75696e74 385f7400 ......a_uint8_t. + 3e8d0: 0000166b 03000016 7c040007 5f5f7367 ...k....|...__sg + 3e8e0: 5f736567 73000800 0016bd08 76616464 _segs.......vadd + 3e8f0: 72000000 168b0223 00086c65 6e000000 r......#..len... + 3e900: 16150223 04000f00 00169220 000016ca ...#....... .... + 3e910: 10030007 6164665f 6f735f73 676c6973 ....adf_os_sglis + 3e920: 74002400 0016fd08 6e736567 73000000 t.$.....nsegs... + 3e930: 16150223 00087367 5f736567 73000000 ...#..sg_segs... + 3e940: 16bd0223 04001210 00001746 0876656e ...#.......F.ven + 3e950: 646f7200 00001615 02230008 64657669 dor......#..devi + 3e960: 63650000 00161502 23040873 75627665 ce......#..subve + 3e970: 6e646f72 00000016 15022308 08737562 ndor......#..sub + 3e980: 64657669 63650000 00161502 230c0004 device......#... + 3e990: 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign + 3e9a0: 65642069 6e740007 0809415f 55494e54 ed int....A_UINT + 3e9b0: 36340000 00174609 5f5f615f 75696e74 64....F.__a_uint + 3e9c0: 36345f74 00000017 6009615f 75696e74 64_t....`.a_uint + 3e9d0: 36345f74 00000017 6e140400 0017cc0e 64_t....n....... + 3e9e0: 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ + 3e9f0: 54595045 5f4d454d 00000e41 44465f4f TYPE_MEM...ADF_O + 3ea00: 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 3ea10: 494f0001 00096164 665f6f73 5f726573 IO....adf_os_res + 3ea20: 6f757263 655f7479 70655f74 00000017 ource_type_t.... + 3ea30: 90121800 00181608 73746172 74000000 ........start... + 3ea40: 17800223 0008656e 64000000 17800223 ...#..end......# + 3ea50: 08087479 70650000 0017cc02 23100009 ..type......#... + 3ea60: 6164665f 6f735f70 63695f64 65765f69 adf_os_pci_dev_i + 3ea70: 645f7400 000016fd 03000018 16040011 d_t............. + 3ea80: 04000018 55087063 69000000 182f0223 ....U.pci..../.# + 3ea90: 00087261 77000000 04050223 00001110 ..raw......#.... + 3eaa0: 00001874 08706369 00000018 16022300 ...t.pci......#. + 3eab0: 08726177 00000004 05022300 00096164 .raw......#...ad + 3eac0: 665f6472 765f6861 6e646c65 5f740000 f_drv_handle_t.. + 3ead0: 00040509 6164665f 6f735f72 65736f75 ....adf_os_resou + 3eae0: 7263655f 74000000 17e80300 00188a04 rce_t........... + 3eaf0: 00096164 665f6f73 5f617474 6163685f ..adf_os_attach_ + 3eb00: 64617461 5f740000 00185503 000018a8 data_t....U..... + 3eb10: 04000300 0014d404 00095f5f 6164665f ..........__adf_ + 3eb20: 6f735f64 65766963 655f7400 000018c9 os_device_t..... + 3eb30: 09616466 5f6f735f 64657669 63655f74 .adf_os_device_t + 3eb40: 00000018 d0060000 18740103 000018fc .........t...... + 3eb50: 04000201 03000019 09040009 6164665f ............adf_ + 3eb60: 6f735f70 6d5f7400 00000405 02010300 os_pm_t......... + 3eb70: 00192304 00140400 0019630e 4144465f ..#.......c.ADF_ + 3eb80: 4f535f42 55535f54 5950455f 50434900 OS_BUS_TYPE_PCI. + 3eb90: 010e4144 465f4f53 5f425553 5f545950 ..ADF_OS_BUS_TYP + 3eba0: 455f4745 4e455249 43000200 09616466 E_GENERIC....adf + 3ebb0: 5f6f735f 6275735f 74797065 5f740000 _os_bus_type_t.. + 3ebc0: 00192c09 6164665f 6f735f62 75735f72 ..,.adf_os_bus_r + 3ebd0: 65675f64 6174615f 74000000 18360300 eg_data_t....6.. + 3ebe0: 00031d04 00075f61 64665f64 72765f69 ......_adf_drv_i + 3ebf0: 6e666f00 2000001a 40086472 765f6174 nfo. ...@.drv_at + 3ec00: 74616368 00000019 02022300 08647276 tach......#..drv + 3ec10: 5f646574 61636800 0000190b 02230408 _detach......#.. + 3ec20: 6472765f 73757370 656e6400 00001925 drv_suspend....% + 3ec30: 02230808 6472765f 72657375 6d650000 .#..drv_resume.. + 3ec40: 00190b02 230c0862 75735f74 79706500 ....#..bus_type. + 3ec50: 00001963 02231008 6275735f 64617461 ...c.#..bus_data + 3ec60: 00000019 7a022314 086d6f64 5f6e616d ....z.#..mod_nam + 3ec70: 65000000 19950223 18086966 6e616d65 e......#..ifname + 3ec80: 00000019 9502231c 00096164 665f6f73 ......#...adf_os + 3ec90: 5f68616e 646c655f 74000000 04050300 _handle_t....... + 3eca0: 00166b04 00020102 01095f5f 6164665f ..k.......__adf_ + 3ecb0: 6f735f73 697a655f 74000000 04081404 os_size_t....... + 3ecc0: 00001a8f 0e415f46 414c5345 00000e41 .....A_FALSE...A + 3ecd0: 5f545255 45000100 09615f62 6f6f6c5f _TRUE....a_bool_ + 3ece0: 74000000 1a750300 0014fd04 00095f5f t....u........__ + 3ecf0: 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 3ed00: 0000001a 9d02010d 6164665f 6f735f63 ........adf_os_c + 3ed10: 61636865 5f73796e 63000400 001b270e ache_sync.....'. + 3ed20: 4144465f 53594e43 5f505245 52454144 ADF_SYNC_PREREAD + 3ed30: 00000e41 44465f53 594e435f 50524557 ...ADF_SYNC_PREW + 3ed40: 52495445 00020e41 44465f53 594e435f RITE...ADF_SYNC_ + 3ed50: 504f5354 52454144 00010e41 44465f53 POSTREAD...ADF_S + 3ed60: 594e435f 504f5354 57524954 45000300 YNC_POSTWRITE... + 3ed70: 09616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 3ed80: 6e635f74 0000001a be020109 6164665f nc_t........adf_ + 3ed90: 6f735f73 697a655f 74000000 1a600600 os_size_t....`.. + 3eda0: 001b4201 09616466 5f6f735f 646d615f ..B..adf_os_dma_ + 3edb0: 6d61705f 74000000 1aa40300 001b5b04 map_t.........[. + 3edc0: 00060000 04050103 00001aa4 04000600 ................ + 3edd0: 00040501 02010600 00159001 02010473 ...............s + 3ede0: 686f7274 20696e74 00050209 415f494e hort int....A_IN + 3edf0: 54313600 00001b95 095f5f61 5f696e74 T16......__a_int + 3ee00: 31365f74 0000001b a209615f 696e7431 16_t......a_int1 + 3ee10: 365f7400 00001baf 04736967 6e656420 6_t......signed + 3ee20: 63686172 00050109 415f494e 54380000 char....A_INT8.. + 3ee30: 001bcf09 5f5f615f 696e7438 5f740000 ....__a_int8_t.. + 3ee40: 001bde09 615f696e 74385f74 0000001b ....a_int8_t.... + 3ee50: ea120c00 001c6108 73757070 6f727465 ......a.supporte + 3ee60: 64000000 16150223 00086164 76657274 d......#..advert + 3ee70: 697a6564 00000016 15022304 08737065 ized......#..spe + 3ee80: 65640000 001bc002 23080864 75706c65 ed......#..duple + 3ee90: 78000000 1bfa0223 0a086175 746f6e65 x......#..autone + 3eea0: 67000000 167c0223 0b000f00 00167c06 g....|.#......|. + 3eeb0: 00001c6e 10050007 6164665f 6e65745f ...n....adf_net_ + 3eec0: 65746861 64647200 0600001c 92086164 ethaddr.......ad + 3eed0: 64720000 001c6102 23000009 5f5f615f dr....a.#...__a_ + 3eee0: 75696e74 31365f74 00000012 ea09615f uint16_t......a_ + 3eef0: 75696e74 31365f74 0000001c 92120e00 uint16_t........ + 3ef00: 001cf608 65746865 725f6468 6f737400 ....ether_dhost. + 3ef10: 00001c61 02230008 65746865 725f7368 ...a.#..ether_sh + 3ef20: 6f737400 00001c61 02230608 65746865 ost....a.#..ethe + 3ef30: 725f7479 70650000 001ca402 230c0012 r_type......#... + 3ef40: 1400001d b7156970 5f766572 73696f6e ......ip_version + 3ef50: 00000016 7c010004 02230015 69705f68 ....|....#..ip_h + 3ef60: 6c000000 167c0104 04022300 0869705f l....|....#..ip_ + 3ef70: 746f7300 0000167c 02230108 69705f6c tos....|.#..ip_l + 3ef80: 656e0000 001ca402 23020869 705f6964 en......#..ip_id + 3ef90: 0000001c a4022304 0869705f 66726167 ......#..ip_frag + 3efa0: 5f6f6666 0000001c a4022306 0869705f _off......#..ip_ + 3efb0: 74746c00 0000167c 02230808 69705f70 ttl....|.#..ip_p + 3efc0: 726f746f 00000016 7c022309 0869705f roto....|.#..ip_ + 3efd0: 63686563 6b000000 1ca40223 0a086970 check......#..ip + 3efe0: 5f736164 64720000 00161502 230c0869 _saddr......#..i + 3eff0: 705f6461 64647200 00001615 02231000 p_daddr......#.. + 3f000: 07616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 3f010: 00040000 1e090874 70696400 00001ca4 .......tpid..... + 3f020: 02230015 7072696f 00000016 7c010003 .#..prio....|... + 3f030: 02230215 63666900 0000167c 01030102 .#..cfi....|.... + 3f040: 23021576 69640000 001ca402 040c0223 #..vid.........# + 3f050: 02000761 64665f6e 65745f76 69640002 ...adf_net_vid.. + 3f060: 00001e3a 15726573 00000016 7c010004 ...:.res....|... + 3f070: 02230015 76616c00 00001ca4 02040c02 .#..val......... + 3f080: 23000012 0c00001e 76087278 5f627566 #.......v.rx_buf + 3f090: 73697a65 00000016 15022300 0872785f size......#..rx_ + 3f0a0: 6e646573 63000000 16150223 04087478 ndesc......#..tx + 3f0b0: 5f6e6465 73630000 00161502 23080012 _ndesc......#... + 3f0c0: 0800001e 9c08706f 6c6c6564 0000001a ......polled.... + 3f0d0: 8f022300 08706f6c 6c5f7774 00000016 ..#..poll_wt.... + 3f0e0: 15022304 000f0000 167c4000 001ea910 ..#......|@..... + 3f0f0: 3f001246 00001ed1 0869665f 6e616d65 ?..F.....if_name + 3f100: 0000001e 9c022300 08646576 5f616464 ......#..dev_add + 3f110: 72000000 1c610223 40001404 00001f08 r....a.#@....... + 3f120: 0e414446 5f4f535f 444d415f 4d41534b .ADF_OS_DMA_MASK + 3f130: 5f333242 49540000 0e414446 5f4f535f _32BIT...ADF_OS_ + 3f140: 444d415f 4d41534b 5f363442 49540001 DMA_MASK_64BIT.. + 3f150: 00096164 665f6f73 5f646d61 5f6d6173 ..adf_os_dma_mas + 3f160: 6b5f7400 00001ed1 07616466 5f646d61 k_t......adf_dma + 3f170: 5f696e66 6f000800 001f5508 646d615f _info.....U.dma_ + 3f180: 6d61736b 0000001f 08022300 0873675f mask......#..sg_ + 3f190: 6e736567 73000000 16150223 04001404 nsegs......#.... + 3f1a0: 00001fab 0e414446 5f4e4554 5f434b53 .....ADF_NET_CKS + 3f1b0: 554d5f4e 4f4e4500 000e4144 465f4e45 UM_NONE...ADF_NE + 3f1c0: 545f434b 53554d5f 5443505f 5544505f T_CKSUM_TCP_UDP_ + 3f1d0: 49507634 00010e41 44465f4e 45545f43 IPv4...ADF_NET_C + 3f1e0: 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv + 3f1f0: 36000200 09616466 5f6e6574 5f636b73 6....adf_net_cks + 3f200: 756d5f74 7970655f 74000000 1f551208 um_type_t....U.. + 3f210: 00001fee 0874785f 636b7375 6d000000 .....tx_cksum... + 3f220: 1fab0223 00087278 5f636b73 756d0000 ...#..rx_cksum.. + 3f230: 001fab02 23040009 6164665f 6e65745f ....#...adf_net_ + 3f240: 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... + 3f250: c5140400 0020470e 4144465f 4e45545f ..... G.ADF_NET_ + 3f260: 54534f5f 4e4f4e45 00000e41 44465f4e TSO_NONE...ADF_N + 3f270: 45545f54 534f5f49 50563400 010e4144 ET_TSO_IPV4...AD + 3f280: 465f4e45 545f5453 4f5f414c 4c000200 F_NET_TSO_ALL... + 3f290: 09616466 5f6e6574 5f74736f 5f747970 .adf_net_tso_typ + 3f2a0: 655f7400 00002008 12100000 209b0863 e_t... ..... ..c + 3f2b0: 6b73756d 5f636170 0000001f ee022300 ksum_cap......#. + 3f2c0: 0874736f 00000020 47022308 08766c61 .tso... G.#..vla + 3f2d0: 6e5f7375 70706f72 74656400 0000167c n_supported....| + 3f2e0: 02230c00 12200000 21340874 785f7061 .#... ..!4.tx_pa + 3f2f0: 636b6574 73000000 16150223 00087278 ckets......#..rx + 3f300: 5f706163 6b657473 00000016 15022304 _packets......#. + 3f310: 0874785f 62797465 73000000 16150223 .tx_bytes......# + 3f320: 08087278 5f627974 65730000 00161502 ..rx_bytes...... + 3f330: 230c0874 785f6472 6f707065 64000000 #..tx_dropped... + 3f340: 16150223 10087278 5f64726f 70706564 ...#..rx_dropped + 3f350: 00000016 15022314 0872785f 6572726f ......#..rx_erro + 3f360: 72730000 00161502 23180874 785f6572 rs......#..tx_er + 3f370: 726f7273 00000016 1502231c 00096164 rors......#...ad + 3f380: 665f6e65 745f6574 68616464 725f7400 f_net_ethaddr_t. + 3f390: 00001c6e 16000021 34030000 00215910 ...n...!4....!Y. + 3f3a0: 7f001761 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m + 3f3b0: 63616464 72000304 00002190 086e656c caddr.....!..nel + 3f3c0: 656d0000 00161502 2300086d 63617374 em......#..mcast + 3f3d0: 00000021 4b022304 00096164 665f6e65 ...!K.#...adf_ne + 3f3e0: 745f636d 645f6c69 6e6b5f69 6e666f5f t_cmd_link_info_ + 3f3f0: 74000000 1c080961 64665f6e 65745f63 t......adf_net_c + 3f400: 6d645f70 6f6c6c5f 696e666f 5f740000 md_poll_info_t.. + 3f410: 001e7609 6164665f 6e65745f 636d645f ..v.adf_net_cmd_ + 3f420: 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... + 3f430: ee096164 665f6e65 745f636d 645f7269 ..adf_net_cmd_ri + 3f440: 6e675f69 6e666f5f 74000000 1e3a0961 ng_info_t....:.a + 3f450: 64665f6e 65745f63 6d645f64 6d615f69 df_net_cmd_dma_i + 3f460: 6e666f5f 74000000 1f1f0961 64665f6e nfo_t......adf_n + 3f470: 65745f63 6d645f76 69645f74 0000001c et_cmd_vid_t.... + 3f480: a4096164 665f6e65 745f636d 645f6f66 ..adf_net_cmd_of + 3f490: 666c6f61 645f6361 705f7400 0000205f fload_cap_t... _ + 3f4a0: 09616466 5f6e6574 5f636d64 5f737461 .adf_net_cmd_sta + 3f4b0: 74735f74 00000020 9b096164 665f6e65 ts_t... ..adf_ne + 3f4c0: 745f636d 645f6d63 61646472 5f740000 t_cmd_mcaddr_t.. + 3f4d0: 0021590d 6164665f 6e65745f 636d645f .!Y.adf_net_cmd_ + 3f4e0: 6d636173 745f6361 70000400 0022d20e mcast_cap....".. + 3f4f0: 4144465f 4e45545f 4d434153 545f5355 ADF_NET_MCAST_SU + 3f500: 5000000e 4144465f 4e45545f 4d434153 P...ADF_NET_MCAS + 3f510: 545f4e4f 54535550 00010009 6164665f T_NOTSUP....adf_ + 3f520: 6e65745f 636d645f 6d636173 745f6361 net_cmd_mcast_ca + 3f530: 705f7400 0000228a 18030400 0023a408 p_t..."......#.. + 3f540: 6c696e6b 5f696e66 6f000000 21900223 link_info...!..# + 3f550: 0008706f 6c6c5f69 6e666f00 000021ad ..poll_info...!. + 3f560: 02230008 636b7375 6d5f696e 666f0000 .#..cksum_info.. + 3f570: 0021ca02 23000872 696e675f 696e666f .!..#..ring_info + 3f580: 00000021 e8022300 08646d61 5f696e66 ...!..#..dma_inf + 3f590: 6f000000 22050223 00087669 64000000 o..."..#..vid... + 3f5a0: 22210223 00086f66 666c6f61 645f6361 "!.#..offload_ca + 3f5b0: 70000000 22380223 00087374 61747300 p..."8.#..stats. + 3f5c0: 00002257 02230008 6d636173 745f696e .."W.#..mcast_in + 3f5d0: 666f0000 00227002 2300086d 63617374 fo..."p.#..mcast + 3f5e0: 5f636170 00000022 d2022300 00140400 _cap..."..#..... + 3f5f0: 0023fb0e 4144465f 4e425546 5f52585f .#..ADF_NBUF_RX_ + 3f600: 434b5355 4d5f4e4f 4e450000 0e414446 CKSUM_NONE...ADF + 3f610: 5f4e4255 465f5258 5f434b53 554d5f48 _NBUF_RX_CKSUM_H + 3f620: 5700010e 4144465f 4e425546 5f52585f W...ADF_NBUF_RX_ + 3f630: 434b5355 4d5f554e 4e454345 53534152 CKSUM_UNNECESSAR + 3f640: 59000200 09616466 5f6e6275 665f7278 Y....adf_nbuf_rx + 3f650: 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 3f660: 23a41208 0000243b 08726573 756c7400 #.....$;.result. + 3f670: 000023fb 02230008 76616c00 00001615 ..#..#..val..... + 3f680: 02230400 12080000 246b0874 79706500 .#......$k.type. + 3f690: 00002047 02230008 6d737300 00001ca4 .. G.#..mss..... + 3f6a0: 02230408 6864725f 6f666600 0000167c .#..hdr_off....| + 3f6b0: 02230600 075f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 3f6c0: 71686561 64000c00 0024aa08 68656164 qhead....$..head + 3f6d0: 00000014 35022300 08746169 6c000000 ....5.#..tail... + 3f6e0: 14350223 0408716c 656e0000 00161502 .5.#..qlen...... + 3f6f0: 23080009 5f5f6164 665f6e62 75665f74 #...__adf_nbuf_t + 3f700: 00000014 35030000 168b0400 03000016 ....5........... + 3f710: 15040002 01060000 13170106 00001615 ................ + 3f720: 01060000 168b0106 0000168b 01030000 ................ + 3f730: 12f80400 095f5f61 64665f6e 6275665f .....__adf_nbuf_ + 3f740: 71686561 645f7400 0000246b 095f5f61 qhead_t...$k.__a + 3f750: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 3f760: 000024eb 03000025 03040006 000024aa ..$....%......$. + 3f770: 01060000 24aa0114 04000026 230e415f ....$......&#.A_ + 3f780: 53544154 55535f4f 4b00000e 415f5354 STATUS_OK...A_ST + 3f790: 41545553 5f464149 4c454400 010e415f ATUS_FAILED...A_ + 3f7a0: 53544154 55535f45 4e4f454e 5400020e STATUS_ENOENT... + 3f7b0: 415f5354 41545553 5f454e4f 4d454d00 A_STATUS_ENOMEM. + 3f7c0: 030e415f 53544154 55535f45 494e5641 ..A_STATUS_EINVA + 3f7d0: 4c00040e 415f5354 41545553 5f45494e L...A_STATUS_EIN + 3f7e0: 50524f47 52455353 00050e41 5f535441 PROGRESS...A_STA + 3f7f0: 5455535f 454e4f54 53555050 00060e41 TUS_ENOTSUPP...A + 3f800: 5f535441 5455535f 45425553 5900070e _STATUS_EBUSY... + 3f810: 415f5354 41545553 5f453242 49470008 A_STATUS_E2BIG.. + 3f820: 0e415f53 54415455 535f4541 4444524e .A_STATUS_EADDRN + 3f830: 4f544156 41494c00 090e415f 53544154 OTAVAIL...A_STAT + 3f840: 55535f45 4e58494f 000a0e41 5f535441 US_ENXIO...A_STA + 3f850: 5455535f 45464155 4c54000b 0e415f53 TUS_EFAULT...A_S + 3f860: 54415455 535f4549 4f000c00 09615f73 TATUS_EIO....a_s + 3f870: 74617475 735f7400 0000252e 06000026 tatus_t...%....& + 3f880: 23010600 00011001 02010961 64665f6e #..........adf_n + 3f890: 6275665f 74000000 24aa1404 00002688 buf_t...$.....&. + 3f8a0: 0e414446 5f4f535f 444d415f 544f5f44 .ADF_OS_DMA_TO_D + 3f8b0: 45564943 4500000e 4144465f 4f535f44 EVICE...ADF_OS_D + 3f8c0: 4d415f46 524f4d5f 44455649 43450001 MA_FROM_DEVICE.. + 3f8d0: 00096164 665f6f73 5f646d61 5f646972 ..adf_os_dma_dir + 3f8e0: 5f740000 00265106 00002623 01020109 _t...&Q...&#.... + 3f8f0: 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 3f900: 666f5f74 00000016 32030000 26a60400 fo_t....2...&... + 3f910: 02010201 06000026 41010600 0024aa01 .......&A....$.. + 3f920: 02010201 06000026 41010600 0024aa01 .......&A....$.. + 3f930: 06000026 41010600 0024aa01 06000026 ...&A....$.....& + 3f940: 41010201 02010600 00161501 06000016 A............... + 3f950: 8b010201 02010600 001b4201 0600001a ..........B..... + 3f960: 8f010600 001a8f01 09616466 5f6f735f .........adf_os_ + 3f970: 73676c69 73745f74 00000016 ca030000 sglist_t........ + 3f980: 271f0400 02010201 02010600 00168b01 '............... + 3f990: 09616466 5f6e6275 665f7175 6575655f .adf_nbuf_queue_ + 3f9a0: 74000000 25030300 00274704 00020103 t...%....'G..... + 3f9b0: 000024eb 04000201 02010201 06000026 ..$............& + 3f9c0: 41010600 0024aa01 06000016 15010600 A....$.......... + 3f9d0: 00161501 0600001a 8f010600 001a8f01 ................ + 3f9e0: 0600001f ab010600 00161501 09616466 .............adf + 3f9f0: 5f6e6275 665f7278 5f636b73 756d5f74 _nbuf_rx_cksum_t + 3fa00: 00000024 19030000 27a30400 02010201 ...$....'....... + 3fa10: 09616466 5f6e6275 665f7473 6f5f7400 .adf_nbuf_tso_t. + 3fa20: 0000243b 03000027 c7040002 01020109 ..$;...'........ + 3fa30: 6164665f 6e65745f 68616e64 6c655f74 adf_net_handle_t + 3fa40: 00000004 05096164 665f6e65 745f766c ......adf_net_vl + 3fa50: 616e6864 725f7400 00001db7 03000027 anhdr_t........' + 3fa60: fc040006 00002623 01060000 26230102 ......&#....&#.. + 3fa70: 01020107 5f484946 5f434f4e 46494700 ...._HIF_CONFIG. + 3fa80: 04000028 4b086475 6d6d7900 00000110 ...(K.dummy..... + 3fa90: 02230000 02010300 00284b04 00020103 .#.......(K..... + 3faa0: 00002854 0400075f 4849465f 43414c4c ..(T..._HIF_CALL + 3fab0: 4241434b 000c0000 28a90873 656e645f BACK....(..send_ + 3fac0: 6275665f 646f6e65 00000028 4d022300 buf_done...(M.#. + 3fad0: 08726563 765f6275 66000000 28560223 .recv_buf...(V.# + 3fae0: 0408636f 6e746578 74000000 04050223 ..context......# + 3faf0: 08000968 69665f68 616e646c 655f7400 ...hif_handle_t. + 3fb00: 00000405 09484946 5f434f4e 46494700 .....HIF_CONFIG. + 3fb10: 0000282a 03000028 bb040006 000028a9 ..(*...(......(. + 3fb20: 01030000 28d20400 02010300 0028df04 ....(........(.. + 3fb30: 00094849 465f4341 4c4c4241 434b0000 ..HIF_CALLBACK.. + 3fb40: 00285d03 000028e8 04000201 03000029 .(]...(........) + 3fb50: 01040006 00000110 01030000 290a0400 ............)... + 3fb60: 02010300 00291704 00060000 01100103 .....).......... + 3fb70: 00002920 04000201 03000029 2d040006 ..) .......)-... + 3fb80: 00000110 01030000 29360400 02010300 ........)6...... + 3fb90: 00294304 00076869 665f6170 69003800 .)C...hif_api.8. + 3fba0: 002a9c08 5f696e69 74000000 28d80223 .*.._init...(..# + 3fbb0: 00085f73 68757464 6f776e00 000028e1 .._shutdown...(. + 3fbc0: 02230408 5f726567 69737465 725f6361 .#.._register_ca + 3fbd0: 6c6c6261 636b0000 00290302 2308085f llback...)..#.._ + 3fbe0: 6765745f 746f7461 6c5f6372 65646974 get_total_credit + 3fbf0: 5f636f75 6e740000 00291002 230c085f _count...)..#.._ + 3fc00: 73746172 74000000 28e10223 10085f63 start...(..#.._c + 3fc10: 6f6e6669 675f7069 70650000 00291902 onfig_pipe...).. + 3fc20: 2314085f 73656e64 5f627566 66657200 #.._send_buffer. + 3fc30: 00002926 02231808 5f726574 75726e5f ..)&.#.._return_ + 3fc40: 72656376 5f627566 00000029 2f02231c recv_buf...)/.#. + 3fc50: 085f6973 5f706970 655f7375 70706f72 ._is_pipe_suppor + 3fc60: 74656400 0000293c 02232008 5f676574 ted...)<.# ._get + 3fc70: 5f6d6178 5f6d7367 5f6c656e 00000029 _max_msg_len...) + 3fc80: 3c022324 085f6765 745f7265 73657276 <.#$._get_reserv + 3fc90: 65645f68 65616472 6f6f6d00 00002910 ed_headroom...). + 3fca0: 02232808 5f697372 5f68616e 646c6572 .#(._isr_handler + 3fcb0: 00000028 e102232c 085f6765 745f6465 ...(..#,._get_de + 3fcc0: 6661756c 745f7069 70650000 00294502 fault_pipe...)E. + 3fcd0: 23300870 52657365 72766564 00000004 #0.pReserved.... + 3fce0: 05022334 000d646d 615f656e 67696e65 ..#4..dma_engine + 3fcf0: 00040000 2b250e44 4d415f45 4e47494e ....+%.DMA_ENGIN + 3fd00: 455f5258 3000000e 444d415f 454e4749 E_RX0...DMA_ENGI + 3fd10: 4e455f52 58310001 0e444d41 5f454e47 NE_RX1...DMA_ENG + 3fd20: 494e455f 52583200 020e444d 415f454e INE_RX2...DMA_EN + 3fd30: 47494e45 5f525833 00030e44 4d415f45 GINE_RX3...DMA_E + 3fd40: 4e47494e 455f5458 3000040e 444d415f NGINE_TX0...DMA_ + 3fd50: 454e4749 4e455f54 58310005 0e444d41 ENGINE_TX1...DMA + 3fd60: 5f454e47 494e455f 4d415800 06000964 _ENGINE_MAX....d + 3fd70: 6d615f65 6e67696e 655f7400 00002a9c ma_engine_t...*. + 3fd80: 0d646d61 5f696674 79706500 0400002b .dma_iftype....+ + 3fd90: 720e444d 415f4946 5f474d41 4300000e r.DMA_IF_GMAC... + 3fda0: 444d415f 49465f50 43490001 0e444d41 DMA_IF_PCI...DMA + 3fdb0: 5f49465f 50434945 00020009 646d615f _IF_PCIE....dma_ + 3fdc0: 69667479 70655f74 0000002b 37060000 iftype_t...+7... + 3fdd0: 12ea0103 00002b84 04000201 0300002b ......+........+ + 3fde0: 91040002 01030000 2b9a0400 06000009 ........+....... + 3fdf0: 1c010300 002ba304 00060000 12ea0103 .....+.......... + 3fe00: 00002bb0 04000600 0012ea01 0300002b ..+............+ + 3fe10: bd040006 00001435 01030000 2bca0400 .......5....+... + 3fe20: 02010300 002bd704 0007646d 615f6c69 .....+....dma_li + 3fe30: 625f6170 69003400 002cde08 74785f69 b_api.4..,..tx_i + 3fe40: 6e697400 00002b8a 02230008 74785f73 nit...+..#..tx_s + 3fe50: 74617274 0000002b 93022304 0872785f tart...+..#..rx_ + 3fe60: 696e6974 0000002b 8a022308 0872785f init...+..#..rx_ + 3fe70: 636f6e66 69670000 002b9c02 230c0872 config...+..#..r + 3fe80: 785f7374 61727400 00002b93 02231008 x_start...+..#.. + 3fe90: 696e7472 5f737461 74757300 00002ba9 intr_status...+. + 3fea0: 02231408 68617264 5f786d69 74000000 .#..hard_xmit... + 3feb0: 2bb60223 1808666c 7573685f 786d6974 +..#..flush_xmit + 3fec0: 0000002b 9302231c 08786d69 745f646f ...+..#..xmit_do + 3fed0: 6e650000 002bc302 23200872 6561705f ne...+..# .reap_ + 3fee0: 786d6974 74656400 00002bd0 02232408 xmitted...+..#$. + 3fef0: 72656170 5f726563 76000000 2bd00223 reap_recv...+..# + 3ff00: 28087265 7475726e 5f726563 76000000 (.return_recv... + 3ff10: 2bd90223 2c087265 63765f70 6b740000 +..#,.recv_pkt.. + 3ff20: 002bc302 23300007 5f5f7063 695f736f .+..#0..__pci_so + 3ff30: 66746300 0c00002c fc087377 00000028 ftc....,..sw...( + 3ff40: e8022300 00095f5f 7063695f 736f6674 ..#...__pci_soft + 3ff50: 635f7400 00002cde 0300002c fc040002 c_t...,....,.... + 3ff60: 01030000 2d160400 06000012 d6010300 ....-........... + 3ff70: 002d1f04 000d6869 665f7063 695f7069 .-....hif_pci_pi + 3ff80: 70655f74 78000400 002d7f0e 4849465f pe_tx....-..HIF_ + 3ff90: 5043495f 50495045 5f545830 00000e48 PCI_PIPE_TX0...H + 3ffa0: 49465f50 43495f50 4950455f 54583100 IF_PCI_PIPE_TX1. + 3ffb0: 010e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 3ffc0: 585f4d41 58000200 09686966 5f706369 X_MAX....hif_pci + 3ffd0: 5f706970 655f7478 5f740000 002d2c06 _pipe_tx_t...-,. + 3ffe0: 00002b25 01030000 2d960400 0d686966 ..+%....-....hif + 3fff0: 5f706369 5f706970 655f7278 00040000 _pci_pipe_rx.... + 40000: 2e1c0e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 40010: 52583000 000e4849 465f5043 495f5049 RX0...HIF_PCI_PI + 40020: 50455f52 58310001 0e484946 5f504349 PE_RX1...HIF_PCI + 40030: 5f504950 455f5258 3200020e 4849465f _PIPE_RX2...HIF_ + 40040: 5043495f 50495045 5f525833 00030e48 PCI_PIPE_RX3...H + 40050: 49465f50 43495f50 4950455f 52585f4d IF_PCI_PIPE_RX_M + 40060: 41580004 00096869 665f7063 695f7069 AX....hif_pci_pi + 40070: 70655f72 785f7400 00002da3 0600002b pe_rx_t...-....+ + 40080: 25010300 002e3304 00076869 665f7063 %.....3...hif_pc + 40090: 695f6170 69002400 002f1108 7063695f i_api.$../..pci_ + 400a0: 626f6f74 5f696e69 74000000 01090223 boot_init......# + 400b0: 00087063 695f696e 69740000 0028d802 ..pci_init...(.. + 400c0: 23040870 63695f72 65736574 00000001 #..pci_reset.... + 400d0: 09022308 08706369 5f656e61 626c6500 ..#..pci_enable. + 400e0: 00000109 02230c08 7063695f 72656170 .....#..pci_reap + 400f0: 5f786d69 74746564 0000002d 18022310 _xmitted...-..#. + 40100: 08706369 5f726561 705f7265 63760000 .pci_reap_recv.. + 40110: 002d1802 23140870 63695f67 65745f70 .-..#..pci_get_p + 40120: 69706500 00002d25 02231808 7063695f ipe...-%.#..pci_ + 40130: 6765745f 74785f65 6e670000 002d9c02 get_tx_eng...-.. + 40140: 231c0870 63695f67 65745f72 785f656e #..pci_get_rx_en + 40150: 67000000 2e390223 20000767 6d61635f g....9.# ..gmac_ + 40160: 61706900 0400002f 3808676d 61635f62 api..../8.gmac_b + 40170: 6f6f745f 696e6974 00000001 09022300 oot_init......#. + 40180: 000f0000 031d0600 002f4510 0500075f ........./E...._ + 40190: 5f657468 68647200 0e00002f 7b086473 _ethhdr..../{.ds + 401a0: 74000000 2f380223 00087372 63000000 t.../8.#..src... + 401b0: 2f380223 06086574 79706500 000012ea /8.#..etype..... + 401c0: 02230c00 075f5f61 74686864 72000400 .#...__athhdr... + 401d0: 002fc915 72657300 000012d6 01000202 ./..res......... + 401e0: 23001570 726f746f 00000012 d6010206 #..proto........ + 401f0: 02230008 7265735f 6c6f0000 0012d602 .#..res_lo...... + 40200: 23010872 65735f68 69000000 12ea0223 #..res_hi......# + 40210: 0200075f 5f676d61 635f6864 72001400 ...__gmac_hdr... + 40220: 00300508 65746800 00002f45 02230008 .0..eth.../E.#.. + 40230: 61746800 00002f7b 02230e08 616c6967 ath.../{.#..alig + 40240: 6e5f7061 64000000 12ea0223 1200095f n_pad......#..._ + 40250: 5f676d61 635f6864 725f7400 00002fc9 _gmac_hdr_t.../. + 40260: 075f5f67 6d61635f 736f6674 63002400 .__gmac_softc.$. + 40270: 00304f08 68647200 00003005 02230008 .0O.hdr...0..#.. + 40280: 6772616e 00000012 ea022314 08737700 gran......#..sw. + 40290: 000028e8 02231800 075f415f 6f735f6c ..(..#..._A_os_l + 402a0: 696e6b61 67655f63 6865636b 00080000 inkage_check.... + 402b0: 30880876 65727369 6f6e0000 00011002 0..version...... + 402c0: 23000874 61626c65 00000001 10022304 #..table......#. + 402d0: 00030000 304f0400 06000001 10010300 ....0O.......... + 402e0: 00308f04 00030000 04080400 175f415f .0..........._A_ + 402f0: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 40300: 6e5f7461 626c6500 01b80000 31df0868 n_table.....1..h + 40310: 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check + 40320: 00000030 95022300 08737461 72745f62 ...0..#..start_b + 40330: 73730000 00309c02 23040861 70705f73 ss...0..#..app_s + 40340: 74617274 00000001 09022308 086d656d tart......#..mem + 40350: 00000004 4802230c 086d6973 63000000 ....H.#..misc... + 40360: 05670223 20087072 696e7466 00000001 .g.# .printf.... + 40370: 3d022344 08756172 74000000 02060223 =.#D.uart......# + 40380: 4c08676d 61630000 002f1102 236c0875 L.gmac.../..#l.u + 40390: 73620000 000f9602 23700863 6c6f636b sb......#p.clock + 403a0: 0000000a df0323e0 01087469 6d657200 ......#...timer. + 403b0: 0000077f 03238402 08696e74 72000000 .....#...intr... + 403c0: 0c2f0323 98020861 6c6c6f63 72616d00 ./.#...allocram. + 403d0: 00000937 0323c402 08726f6d 70000000 ...7.#...romp... + 403e0: 08290323 d0020877 64745f74 696d6572 .).#...wdt_timer + 403f0: 0000000e 0c0323e0 02086565 70000000 ......#...eep... + 40400: 0f3a0323 fc020873 7472696e 67000000 .:.#...string... + 40410: 068b0323 8c030874 61736b6c 65740000 ...#...tasklet.. + 40420: 000a3403 23a40300 075f5553 425f4649 ..4.#...._USB_FI + 40430: 464f5f43 4f4e4649 47001000 00325208 FO_CONFIG....2R. + 40440: 6765745f 636f6d6d 616e645f 62756600 get_command_buf. + 40450: 00001442 02230008 72656376 5f636f6d ...B.#..recv_com + 40460: 6d616e64 00000014 58022304 08676574 mand....X.#..get + 40470: 5f657665 6e745f62 75660000 00144202 _event_buf....B. + 40480: 23080873 656e645f 6576656e 745f646f #..send_event_do + 40490: 6e650000 00145802 230c0009 5553425f ne....X.#...USB_ + 404a0: 4649464f 5f434f4e 46494700 000031df FIFO_CONFIG...1. + 404b0: 03000032 52040002 01030000 326e0400 ...2R.......2n.. + 404c0: 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... + 404d0: 32c4085f 696e6974 00000032 70022300 2.._init...2p.#. + 404e0: 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i + 404f0: 73720000 00010902 23040870 52657365 sr......#..pRese + 40500: 72766564 00000004 05022308 000f0000 rved......#..... + 40510: 167c0200 0032d110 0100075f 4854435f .|...2....._HTC_ + 40520: 4652414d 455f4844 52000800 00334308 FRAME_HDR....3C. + 40530: 456e6470 6f696e74 49440000 00167c02 EndpointID....|. + 40540: 23000846 6c616773 00000016 7c022301 #..Flags....|.#. + 40550: 08506179 6c6f6164 4c656e00 00001ca4 .PayloadLen..... + 40560: 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes + 40570: 00000032 c4022304 08486f73 74536571 ...2..#..HostSeq + 40580: 4e756d00 00001ca4 02230600 12020000 Num......#...... + 40590: 335c084d 65737361 67654944 0000001c 3\.MessageID.... + 405a0: a4022300 00120800 0033bf08 4d657373 ..#......3..Mess + 405b0: 61676549 44000000 1ca40223 00084372 ageID......#..Cr + 405c0: 65646974 436f756e 74000000 1ca40223 editCount......# + 405d0: 02084372 65646974 53697a65 0000001c ..CreditSize.... + 405e0: a4022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint + 405f0: 73000000 167c0223 06085f50 61643100 s....|.#.._Pad1. + 40600: 0000167c 02230700 120a0000 3456084d ...|.#......4V.M + 40610: 65737361 67654944 0000001c a4022300 essageID......#. + 40620: 08536572 76696365 49440000 001ca402 .ServiceID...... + 40630: 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla + 40640: 67730000 001ca402 23040844 6f776e4c gs......#..DownL + 40650: 696e6b50 69706549 44000000 167c0223 inkPipeID....|.# + 40660: 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. + 40670: 00167c02 23070853 65727669 63654d65 ..|.#..ServiceMe + 40680: 74614c65 6e677468 00000016 7c022308 taLength....|.#. + 40690: 085f5061 64310000 00167c02 23090012 ._Pad1....|.#... + 406a0: 0a000034 de084d65 73736167 65494400 ...4..MessageID. + 406b0: 00001ca4 02230008 53657276 69636549 .....#..ServiceI + 406c0: 44000000 1ca40223 02085374 61747573 D......#..Status + 406d0: 00000016 7c022304 08456e64 706f696e ....|.#..Endpoin + 406e0: 74494400 0000167c 02230508 4d61784d tID....|.#..MaxM + 406f0: 73675369 7a650000 001ca402 23060853 sgSize......#..S + 40700: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 40710: 00000016 7c022308 085f5061 64310000 ....|.#.._Pad1.. + 40720: 00167c02 23090012 02000034 f7084d65 ..|.#......4..Me + 40730: 73736167 65494400 00001ca4 02230000 ssageID......#.. + 40740: 12040000 3533084d 65737361 67654944 ....53.MessageID + 40750: 0000001c a4022300 08506970 65494400 ......#..PipeID. + 40760: 0000167c 02230208 43726564 6974436f ...|.#..CreditCo + 40770: 756e7400 0000167c 02230300 12040000 unt....|.#...... + 40780: 356a084d 65737361 67654944 0000001c 5j.MessageID.... + 40790: a4022300 08506970 65494400 0000167c ..#..PipeID....| + 407a0: 02230208 53746174 75730000 00167c02 .#..Status....|. + 407b0: 23030012 02000035 91085265 636f7264 #......5..Record + 407c0: 49440000 00167c02 2300084c 656e6774 ID....|.#..Lengt + 407d0: 68000000 167c0223 01001202 000035bb h....|.#......5. + 407e0: 08456e64 706f696e 74494400 0000167c .EndpointID....| + 407f0: 02230008 43726564 69747300 0000167c .#..Credits....| + 40800: 02230100 12040000 35fc0845 6e64706f .#......5..Endpo + 40810: 696e7449 44000000 167c0223 00084372 intID....|.#..Cr + 40820: 65646974 73000000 167c0223 01085467 edits....|.#..Tg + 40830: 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... + 40840: a4022302 000f0000 167c0400 00360910 ..#......|...6.. + 40850: 03001206 00003645 08507265 56616c69 ......6E.PreVali + 40860: 64000000 167c0223 00084c6f 6f6b4168 d....|.#..LookAh + 40870: 65616400 000035fc 02230108 506f7374 ead...5..#..Post + 40880: 56616c69 64000000 167c0223 05000970 Valid....|.#...p + 40890: 6f6f6c5f 68616e64 6c655f74 00000004 ool_handle_t.... + 408a0: 05060000 36450103 00003658 04000201 ....6E....6X.... + 408b0: 03000036 65040014 04000036 e30e504f ...6e......6..PO + 408c0: 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO + 408d0: 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ + 408e0: 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... + 408f0: 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 40900: 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ + 40910: 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO + 40920: 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF + 40930: 5f504f4f 4c5f4944 00000036 6e020103 _POOL_ID...6n... + 40940: 000036f4 04000600 00264101 03000036 ..6......&A....6 + 40950: fd040006 00002641 01030000 370a0400 ......&A....7... + 40960: 02010300 00371704 00076275 665f706f .....7....buf_po + 40970: 6f6c5f61 7069001c 000037b9 085f696e ol_api....7.._in + 40980: 69740000 00365e02 2300085f 73687574 it...6^.#.._shut + 40990: 646f776e 00000036 67022304 085f6372 down...6g.#.._cr + 409a0: 65617465 5f706f6f 6c000000 36f60223 eate_pool...6..# + 409b0: 08085f61 6c6c6f63 5f627566 00000037 .._alloc_buf...7 + 409c0: 0302230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ + 409d0: 616c6967 6e000000 37100223 10085f66 align...7..#.._f + 409e0: 7265655f 62756600 00003719 02231408 ree_buf...7..#.. + 409f0: 70526573 65727665 64000000 04050223 pReserved......# + 40a00: 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. + 40a10: 1c000038 9808704e 65787400 00003898 ...8..pNext...8. + 40a20: 02230008 50726f63 65737352 6563764d .#..ProcessRecvM + 40a30: 73670000 00394d02 23040850 726f6365 sg...9M.#..Proce + 40a40: 73735365 6e644275 66666572 436f6d70 ssSendBufferComp + 40a50: 6c657465 00000039 56022308 0850726f lete...9V.#..Pro + 40a60: 63657373 436f6e6e 65637400 0000396a cessConnect...9j + 40a70: 02230c08 53657276 69636549 44000000 .#..ServiceID... + 40a80: 12ea0223 10085365 72766963 65466c61 ...#..ServiceFla + 40a90: 67730000 0012ea02 2312084d 61785376 gs......#..MaxSv + 40aa0: 634d7367 53697a65 00000012 ea022314 cMsgSize......#. + 40ab0: 08547261 696c6572 53706343 6865636b .TrailerSpcCheck + 40ac0: 4c696d69 74000000 12ea0223 16085365 Limit......#..Se + 40ad0: 72766963 65437478 00000004 05022318 rviceCtx......#. + 40ae0: 00030000 37b90400 14040000 39361945 ....7.......96.E + 40af0: 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. + 40b00: ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... + 40b10: 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP + 40b20: 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT + 40b30: 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... + 40b40: 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP + 40b50: 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT + 40b60: 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... + 40b70: 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... + 40b80: 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. + 40b90: 0000389f 02010300 00394b04 00020103 ..8......9K..... + 40ba0: 00003954 04000300 00011004 00060000 ..9T............ + 40bb0: 12d60103 00003964 04000300 0037b904 ......9d.....7.. + 40bc0: 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... + 40bd0: 0039e908 43726564 69745369 7a650000 .9..CreditSize.. + 40be0: 00011002 23000843 72656469 744e756d ....#..CreditNum + 40bf0: 62657200 00000110 02230408 4f534861 ber......#..OSHa + 40c00: 6e646c65 0000001a 40022308 08484946 ndle....@.#..HIF + 40c10: 48616e64 6c650000 0028a902 230c0850 Handle...(..#..P + 40c20: 6f6f6c48 616e646c 65000000 36450223 oolHandle...6E.# + 40c30: 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT + 40c40: 45585400 0200003a 2508656e 645f706f EXT....:%.end_po + 40c50: 696e7400 000012d6 02230008 6874635f int......#..htc_ + 40c60: 666c6167 73000000 12d60223 01000968 flags......#...h + 40c70: 74635f68 616e646c 655f7400 00000405 tc_handle_t..... + 40c80: 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL + 40c90: 4554455f 43420000 00010909 4854435f ETE_CB......HTC_ + 40ca0: 434f4e46 49470000 00397803 00003a52 CONFIG...9x...:R + 40cb0: 04000600 003a2501 0300003a 69040002 .....:%....:i... + 40cc0: 01030000 3a760400 09485443 5f534552 ....:v...HTC_SER + 40cd0: 56494345 00000037 b9030000 3a7f0400 VICE...7....:... + 40ce0: 02010300 003a9704 00020103 00003aa0 .....:........:. + 40cf0: 04000201 0300003a a9040006 00000110 .......:........ + 40d00: 01030000 3ab20400 07687463 5f617069 ....:....htc_api + 40d10: 73003400 003c2f08 5f485443 5f496e69 s.4.... + 41020: 7475706c 654e756d 4c000000 1ca40223 tupleNumL......# + 41030: 00087475 706c654e 756d4800 00001ca4 ..tupleNumH..... + 41040: 02230208 61767400 00003dc3 02230400 .#..avt...=..#.. + 41050: 12010000 3e290862 6561636f 6e50656e ....>).beaconPen + 41060: 64696e67 436f756e 74000000 167c0223 dingCount....|.# + 41070: 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF + 41080: 49470010 00003e92 08487463 48616e64 IG....>..HtcHand + 41090: 6c650000 003a2502 23000850 6f6f6c48 le...:%.#..PoolH + 410a0: 616e646c 65000000 36450223 04084d61 andle...6E.#..Ma + 410b0: 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... + 410c0: 01100223 08084d61 78457665 6e744576 ...#..MaxEventEv + 410d0: 74730000 00011002 230c0002 01030000 ts......#....... + 410e0: 3e920400 09574d49 5f434d44 5f48414e >....WMI_CMD_HAN + 410f0: 444c4552 0000003e 94075f57 4d495f44 DLER...>.._WMI_D + 41100: 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... + 41110: 003efb08 70436d64 48616e64 6c657200 .>..pCmdHandler. + 41120: 00003e9b 02230008 436d6449 44000000 ..>..#..CmdID... + 41130: 12ea0223 0408466c 61677300 000012ea ...#..Flags..... + 41140: 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT + 41150: 43485f54 41424c45 00100000 3f5c0870 CH_TABLE....?\.p + 41160: 4e657874 0000003f 5c022300 0870436f Next...?\.#..pCo + 41170: 6e746578 74000000 04050223 04084e75 ntext......#..Nu + 41180: 6d626572 4f66456e 74726965 73000000 mberOfEntries... + 41190: 01100223 08087054 61626c65 0000003f ...#..pTable...? + 411a0: 7b02230c 00030000 3efb0400 09574d49 {.#.....>....WMI + 411b0: 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 411c0: 00003eb0 0300003f 63040003 00003efb ..>....?c.....>. + 411d0: 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE + 411e0: 58540000 0039e90d 574d495f 4556545f XT...9..WMI_EVT_ + 411f0: 434c4153 53000400 00401319 574d495f CLASS....@..WMI_ + 41200: 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. + 41210: ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 41220: 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM + 41230: 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 41240: 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ + 41250: 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI + 41260: 5f455654 5f434c41 53530000 003f9e07 _EVT_CLASS...?.. + 41270: 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT + 41280: 000c0000 40710848 74634275 66437478 ....@q.HtcBufCtx + 41290: 0000003f 89022300 08457665 6e74436c ...?..#..EventCl + 412a0: 61737300 00004013 02230408 466c6167 ass...@..#..Flag + 412b0: 73000000 12ea0223 08000977 6d695f68 s......#...wmi_h + 412c0: 616e646c 655f7400 00000405 09574d49 andle_t......WMI + 412d0: 5f535643 5f434f4e 46494700 00003e29 _SVC_CONFIG...>) + 412e0: 03000040 83040006 00004071 01030000 ...@......@q.... + 412f0: 409e0400 09574d49 5f444953 50415443 @....WMI_DISPATC + 41300: 485f5441 424c4500 00003efb 03000040 H_TABLE...>....@ + 41310: ab040002 01030000 40ca0400 06000026 ........@......& + 41320: 41010300 0040d304 00020103 000040e0 A....@........@. + 41330: 04000600 00011001 03000040 e9040002 ...........@.... + 41340: 01030000 40f60400 06000012 d6010300 ....@........... + 41350: 0040ff04 00075f77 6d695f73 76635f61 .@...._wmi_svc_a + 41360: 70697300 2c000042 47085f57 4d495f49 pis.,..BG._WMI_I + 41370: 6e697400 000040a4 02230008 5f574d49 nit...@..#.._WMI + 41380: 5f526567 69737465 72446973 70617463 _RegisterDispatc + 41390: 68546162 6c650000 0040cc02 2304085f hTable...@..#.._ + 413a0: 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. + 413b0: 0040d902 2308085f 574d495f 53656e64 .@..#.._WMI_Send + 413c0: 4576656e 74000000 40e20223 0c085f57 Event...@..#.._W + 413d0: 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve + 413e0: 6e747343 6f756e74 00000040 ef022310 ntsCount...@..#. + 413f0: 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple + 41400: 74654861 6e646c65 72000000 39560223 teHandler...9V.# + 41410: 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro + 41420: 6c457000 000040ef 02231808 5f574d49 lEp...@..#.._WMI + 41430: 5f536875 74646f77 6e000000 40f80223 _Shutdown...@..# + 41440: 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa + 41450: 67654861 6e646c65 72000000 394d0223 geHandler...9M.# + 41460: 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo + 41470: 6e6e6563 74000000 41050223 24087052 nnect...A..#$.pR + 41480: 65736572 76656400 00000405 02232800 eserved......#(. + 41490: 077a7344 6d614465 73630014 000042c9 .zsDmaDesc....B. + 414a0: 08637472 6c000000 01740223 00087374 .ctrl....t.#..st + 414b0: 61747573 00000001 74022302 08746f74 atus....t.#..tot + 414c0: 616c4c65 6e000000 01740223 04086461 alLen....t.#..da + 414d0: 74615369 7a650000 00017402 2306086c taSize....t.#..l + 414e0: 61737441 64647200 000042c9 02230808 astAddr...B..#.. + 414f0: 64617461 41646472 00000001 9802230c dataAddr......#. + 41500: 086e6578 74416464 72000000 42c90223 .nextAddr...B..# + 41510: 10000300 00424704 00030000 42470400 .....BG.....BG.. + 41520: 077a7344 6d615175 65756500 08000043 .zsDmaQueue....C + 41530: 09086865 61640000 0042d002 23000874 ..head...B..#..t + 41540: 65726d69 6e61746f 72000000 42d00223 erminator...B..# + 41550: 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. + 41560: 10000043 6d086865 61640000 0042d002 ...Cm.head...B.. + 41570: 23000874 65726d69 6e61746f 72000000 #..terminator... + 41580: 42d00223 0408786d 69746564 5f627566 B..#..xmited_buf + 41590: 5f686561 64000000 14350223 0808786d _head....5.#..xm + 415a0: 69746564 5f627566 5f746169 6c000000 ited_buf_tail... + 415b0: 14350223 0c000201 03000043 6d040003 .5.#.......Cm... + 415c0: 000042d7 04000201 03000043 7d040003 ..B........C}... + 415d0: 00004309 04000201 03000043 8d040002 ..C........C.... + 415e0: 01030000 43960400 02010300 00439f04 ....C........C.. + 415f0: 00060000 14350103 000043a8 04000201 .....5....C..... + 41600: 03000043 b5040006 00001435 01030000 ...C.......5.... + 41610: 43be0400 02010300 0043cb04 00060000 C........C...... + 41620: 01100103 000043d4 04000600 0042d001 ......C......B.. + 41630: 03000043 e1040002 01030000 43ee0400 ...C........C... + 41640: 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. + 41650: 40000045 64085f69 6e697400 0000436f @..Ed._init...Co + 41660: 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que + 41670: 75650000 00437f02 2304085f 696e6974 ue...C..#.._init + 41680: 5f74785f 71756575 65000000 438f0223 _tx_queue...C..# + 41690: 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que + 416a0: 75650000 00439802 230c085f 786d6974 ue...C..#.._xmit + 416b0: 5f627566 00000043 a1022310 085f666c _buf...C..#.._fl + 416c0: 7573685f 786d6974 00000043 7f022314 ush_xmit...C..#. + 416d0: 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. + 416e0: 000043ae 02231808 5f726574 75726e5f ..C..#.._return_ + 416f0: 72656376 5f627566 00000043 b702231c recv_buf...C..#. + 41700: 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu + 41710: 66000000 43c40223 20085f73 7761705f f...C..# ._swap_ + 41720: 64617461 00000043 cd022324 085f6861 data...C..#$._ha + 41730: 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. + 41740: 000043da 02232808 5f646573 635f6475 ..C..#(._desc_du + 41750: 6d700000 00437f02 232c085f 6765745f mp...C..#,._get_ + 41760: 7061636b 65740000 0043e702 2330085f packet...C..#0._ + 41770: 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. + 41780: 0043f002 2334085f 7075745f 7061636b .C..#4._put_pack + 41790: 65740000 0043f002 23380870 52657365 et...C..#8.pRese + 417a0: 72766564 00000004 0502233c 00095f41 rved......#<.._A + 417b0: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 417c0: 6f6e5f74 61626c65 5f740000 0030a309 on_table_t...0.. + 417d0: 574d495f 5356435f 41504953 00000041 WMI_SVC_APIS...A + 417e0: 0c175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 417f0: 72656374 696f6e5f 7461626c 6500034c rection_table..L + 41800: 00004692 08636d6e 6f730000 00456402 ..F..cmnos...Ed. + 41810: 23000864 62670000 0003d203 23b80308 #..dbg......#... + 41820: 68696600 0000294c 0323c003 08687463 hif...)L.#...htc + 41830: 0000003a bf0323f8 0308776d 695f7376 ...:..#...wmi_sv + 41840: 635f6170 69000000 45860323 ac040875 c_api...E..#...u + 41850: 73626669 666f5f61 70690000 00327703 sbfifo_api...2w. + 41860: 23d80408 6275665f 706f6f6c 00000037 #...buf_pool...7 + 41870: 200323e4 04087662 75660000 00145f03 .#...vbuf...._. + 41880: 23800508 76646573 63000000 13410323 #...vdesc....A.# + 41890: 94050861 6c6c6f63 72616d00 00000937 ...allocram....7 + 418a0: 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. + 418b0: 000043f7 0323b405 08646d61 5f6c6962 ..C..#...dma_lib + 418c0: 0000002b e00323f4 05086869 665f7063 ...+..#...hif_pc + 418d0: 69000000 2e400323 a8060009 5f415f6d i....@.#...._A_m + 418e0: 61677069 655f696e 64697265 6374696f agpie_indirectio + 418f0: 6e5f7461 626c655f 74000000 45980300 n_table_t...E... + 41900: 0001ad04 001a7561 72745f63 746c5f62 ......uart_ctl_b + 41910: 6c6b0000 0002c605 0300500a 44060000 lk........P.D... + 41920: 01ad0102 01030000 46d40400 1b01195f ........F......_ + 41930: 75617274 5f696e69 74000000 01ad0101 uart_init....... + 41940: 03920120 02900000 8e2df800 8e2e3600 ... .....-....6. + 41950: 0047151c 5f6c6372 00000001 ad001d01 .G.._lcr........ + 41960: 405f7561 72745f63 6861725f 7075745f @_uart_char_put_ + 41970: 6e6f7468 696e6700 01010392 01200290 nothing...... .. + 41980: 00008e2e 38008e2e 3d000047 511e0140 ....8...=..GQ..@ + 41990: 63680000 00032e01 52001b01 4a5f7561 ch......R...J_ua + 419a0: 72745f63 6861725f 6765745f 6e6f7468 rt_char_get_noth + 419b0: 696e6700 0000018a 01010392 01200290 ing.......... .. + 419c0: 00008e2e 40008e2e 47000047 911e014a ....@...G..G...J + 419d0: 63680000 00034401 52001d01 545f7561 ch....D.R...T_ua + 419e0: 72745f63 6861725f 70757400 01010392 rt_char_put..... + 419f0: 01200290 00008e2e 48008e2e 74000047 . ......H...t..G + 41a00: d51e0154 63680000 00032e01 521c6900 ...Tch......R.i. + 41a10: 00000110 1c6c7372 00000001 ad001d01 .....lsr........ + 41a20: 8e5f7561 72745f63 6861725f 7075745f ._uart_char_put_ + 41a30: 6e6f7761 69740001 01039201 20029000 nowait...... ... + 41a40: 008e2e74 008e2ea0 00004820 1e018e63 ...t......H ...c + 41a50: 68000000 032e0152 1c690000 0001101c h......R.i...... + 41a60: 6c737200 000001ad 001b01b3 5f756172 lsr........._uar + 41a70: 745f6368 61725f67 65740000 00018a01 t_char_get...... + 41a80: 01039201 20029000 008e2ea0 008e2ec0 .... ........... + 41a90: 00004858 1e01b363 68000000 03440152 ..HX...ch....D.R + 41aa0: 001f01c7 5f756172 745f7461 736b0001 ...._uart_task.. + 41ab0: 01039201 20029000 008e2ec0 008e2ec5 .... ........... + 41ac0: 2001e55f 75617274 5f737461 74757300 .._uart_status. + 41ad0: 000001ad 01039201 20029000 008e2ec8 ........ ....... + 41ae0: 008e2ed2 1d01ee5f 75617274 5f737472 ......._uart_str + 41af0: 5f6f7574 00010103 92012002 9000008e _out...... ..... + 41b00: 2ed4008e 2ef10000 48d61e01 ee737472 ........H....str + 41b10: 00000003 4401521c 69000000 01ad0021 ....D.R.i......! + 41b20: 0101005f 75617274 5f636f6e 66696700 ..._uart_config. + 41b30: 01010392 01200290 00008e2e f4008e2f ..... ........./ + 41b40: 1b000049 0c220101 00666c61 67000000 ...I."...flag... + 41b50: 018a0152 00230101 135f7561 72745f68 ...R.#..._uart_h + 41b60: 77696e69 74000103 92012002 9000008e winit..... ..... + 41b70: 2f1c008e 2f5c0000 496c2201 01136672 /.../\..Il"...fr + 41b80: 65710000 0001ad01 52220101 13626175 eq......R"...bau + 41b90: 64000000 01ad0153 1c626175 645f6469 d......S.baud_di + 41ba0: 7669736f 72000000 01ad1c5f 6c637200 visor......_lcr. + 41bb0: 000001ad 00240101 28636d6e 6f735f75 .....$..(cmnos_u + 41bc0: 6172745f 6d6f6475 6c655f69 6e737461 art_module_insta + 41bd0: 6c6c0001 01039201 20029000 008e2f5c ll...... ...../\ + 41be0: 008e2f93 22010128 61706973 00000002 ../."..(apis.... + 41bf0: bf015200 00000000 4de80002 000017d9 ..R.....M....... + 41c00: 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 41c10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 41c20: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 41c30: 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 41c40: 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 41c50: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 41c60: 6869662f 7063692f 2f686966 5f706369 hif/pci//hif_pci + 41c70: 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa + 41c80: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 41c90: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 41ca0: 312f726f 6d2f6869 662f7063 69007874 1/rom/hif/pci.xt + 41cb0: 2d786363 20666f72 20372e31 2e30202d -xcc for 7.1.0 - + 41cc0: 4f50543a 616c6967 6e5f696e 73747275 OPT:align_instru + 41cd0: 6374696f 6e733d33 32202d4f 32202d67 ctions=32 -O2 -g + 41ce0: 33202d4f 50543a73 70616365 00010000 3 -OPT:space.... + 41cf0: 00e2c702 5f564445 53430024 00000189 ...._VDESC.$.... + 41d00: 036e6578 745f6465 73630000 00018902 .next_desc...... + 41d10: 23000362 75665f61 64647200 000001ae #..buf_addr..... + 41d20: 02230403 6275665f 73697a65 00000001 .#..buf_size.... + 41d30: cb022308 03646174 615f6f66 66736574 ..#..data_offset + 41d40: 00000001 cb02230a 03646174 615f7369 ......#..data_si + 41d50: 7a650000 0001cb02 230c0363 6f6e7472 ze......#..contr + 41d60: 6f6c0000 0001cb02 230e0368 775f6465 ol......#..hw_de + 41d70: 73635f62 75660000 0001d902 23100004 sc_buf......#... + 41d80: 000000fd 04000575 6e736967 6e656420 .......unsigned + 41d90: 63686172 00070106 415f5549 4e543800 char....A_UINT8. + 41da0: 00000190 04000001 a1040005 73686f72 ............shor + 41db0: 7420756e 7369676e 65642069 6e740007 t unsigned int.. + 41dc0: 0206415f 55494e54 31360000 0001b507 ..A_UINT16...... + 41dd0: 000001a1 14000001 e6081300 04000000 ................ + 41de0: fd040005 696e7400 05040901 04000001 ....int......... + 41df0: f4040006 56444553 43000000 00fd0400 ....VDESC....... + 41e00: 0001fd04 000a0000 02080104 0000020f ................ + 41e10: 04000a00 0001ae01 04000002 1c040009 ................ + 41e20: 01040000 02290400 0b040002 76646573 .....)......vdes + 41e30: 635f6170 69001400 0002a403 5f696e69 c_api......._ini + 41e40: 74000000 01f60223 00035f61 6c6c6f63 t......#.._alloc + 41e50: 5f766465 73630000 00021502 2304035f _vdesc......#.._ + 41e60: 6765745f 68775f64 65736300 00000222 get_hw_desc...." + 41e70: 02230803 5f737761 705f7664 65736300 .#.._swap_vdesc. + 41e80: 0000022b 02230c03 70526573 65727665 ...+.#..pReserve + 41e90: 64000000 02320223 1000025f 56425546 d....2.#..._VBUF + 41ea0: 00200000 03040364 6573635f 6c697374 . .....desc_list + 41eb0: 00000002 08022300 036e6578 745f6275 ......#..next_bu + 41ec0: 66000000 03040223 04036275 665f6c65 f......#..buf_le + 41ed0: 6e677468 00000001 cb022308 03726573 ngth......#..res + 41ee0: 65727665 64000000 030b0223 0a036374 erved......#..ct + 41ef0: 78000000 01d90223 0c000400 0002a404 x......#........ + 41f00: 00070000 01a10200 00031808 01000400 ................ + 41f10: 0002a404 00065642 55460000 0002a404 ......VBUF...... + 41f20: 0000031f 04000a00 00032901 04000003 ..........)..... + 41f30: 3004000a 00000329 01040000 033d0400 0......).....=.. + 41f40: 09010400 00034a04 00027662 75665f61 ......J...vbuf_a + 41f50: 70690014 000003c8 035f696e 69740000 pi......._init.. + 41f60: 0001f602 2300035f 616c6c6f 635f7662 ....#.._alloc_vb + 41f70: 75660000 00033602 2304035f 616c6c6f uf....6.#.._allo + 41f80: 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 41f90: 00000003 43022308 035f6672 65655f76 ....C.#.._free_v + 41fa0: 62756600 0000034c 02230c03 70526573 buf....L.#..pRes + 41fb0: 65727665 64000000 02320223 1000025f erved....2.#..._ + 41fc0: 5f616466 5f646576 69636500 04000003 _adf_device..... + 41fd0: ea036475 6d6d7900 000001ed 02230000 ..dummy......#.. + 41fe0: 05756e73 69676e65 6420696e 74000704 .unsigned int... + 41ff0: 06415f55 494e5433 32000000 03ea0400 .A_UINT32....... + 42000: 0003fa04 00025f5f 6164665f 646d615f ......__adf_dma_ + 42010: 6d617000 0c000004 4f036275 66000000 map.....O.buf... + 42020: 03290223 00036473 5f616464 72000000 .).#..ds_addr... + 42030: 04080223 04036473 5f6c656e 00000001 ...#..ds_len.... + 42040: cb022308 00056368 61720007 01040000 ..#...char...... + 42050: 044f0400 0c0c0000 0498035f 5f76615f .O.........__va_ + 42060: 73746b00 00000457 02230003 5f5f7661 stk....W.#..__va + 42070: 5f726567 00000004 57022304 035f5f76 _reg....W.#..__v + 42080: 615f6e64 78000000 01ed0223 0800065f a_ndx......#..._ + 42090: 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 420a0: 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d + 420b0: 6d615f61 6464725f 74000000 0498065f ma_addr_t......_ + 420c0: 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 420d0: 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d + 420e0: 6d615f73 697a655f 74000000 04c8025f ma_size_t......_ + 420f0: 5f646d61 5f736567 73000800 00052403 _dma_segs.....$. + 42100: 70616464 72000000 04b10223 00036c65 paddr......#..le + 42110: 6e000000 04e10223 0400065f 5f615f75 n......#...__a_u + 42120: 696e7433 325f7400 000003fa 06615f75 int32_t......a_u + 42130: 696e7433 325f7400 00000524 07000004 int32_t....$.... + 42140: f8080000 05530800 00026164 665f6f73 .....S....adf_os + 42150: 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 42160: 058c036e 73656773 00000005 36022300 ...nsegs....6.#. + 42170: 03646d61 5f736567 73000000 05460223 .dma_segs....F.# + 42180: 0400065f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 42190: 0001a106 615f7569 6e74385f 74000000 ....a_uint8_t... + 421a0: 058c0400 00059d04 00025f5f 73675f73 ..........__sg_s + 421b0: 65677300 08000005 de037661 64647200 egs.......vaddr. + 421c0: 000005ac 02230003 6c656e00 00000536 .....#..len....6 + 421d0: 02230400 07000005 b3200000 05eb0803 .#....... ...... + 421e0: 00026164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 421f0: 24000006 1e036e73 65677300 00000536 $.....nsegs....6 + 42200: 02230003 73675f73 65677300 000005de .#..sg_segs..... + 42210: 02230400 0c100000 06670376 656e646f .#.......g.vendo + 42220: 72000000 05360223 00036465 76696365 r....6.#..device + 42230: 00000005 36022304 03737562 76656e64 ....6.#..subvend + 42240: 6f720000 00053602 23080373 75626465 or....6.#..subde + 42250: 76696365 00000005 3602230c 00056c6f vice....6.#...lo + 42260: 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 42270: 20696e74 00070806 415f5549 4e543634 int....A_UINT64 + 42280: 00000006 67065f5f 615f7569 6e743634 ....g.__a_uint64 + 42290: 5f740000 00068106 615f7569 6e743634 _t......a_uint64 + 422a0: 5f740000 00068f0d 04000006 ed0e4144 _t............AD + 422b0: 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 422c0: 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 422d0: 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 422e0: 00010006 6164665f 6f735f72 65736f75 ....adf_os_resou + 422f0: 7263655f 74797065 5f740000 0006b10c rce_type_t...... + 42300: 18000007 37037374 61727400 000006a1 ....7.start..... + 42310: 02230003 656e6400 000006a1 02230803 .#..end......#.. + 42320: 74797065 00000006 ed022310 00066164 type......#...ad + 42330: 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 42340: 74000000 061e0400 00073704 000f0400 t.........7..... + 42350: 00077603 70636900 00000750 02230003 ..v.pci....P.#.. + 42360: 72617700 00000232 02230000 0f100000 raw....2.#...... + 42370: 07950370 63690000 00073702 23000372 ...pci....7.#..r + 42380: 61770000 00023202 23000006 6164665f aw....2.#...adf_ + 42390: 6472765f 68616e64 6c655f74 00000002 drv_handle_t.... + 423a0: 32066164 665f6f73 5f726573 6f757263 2.adf_os_resourc + 423b0: 655f7400 00000709 04000007 ab040006 e_t............. + 423c0: 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 423d0: 74615f74 00000007 76040000 07c90400 ta_t....v....... + 423e0: 04000003 c8040006 5f5f6164 665f6f73 ........__adf_os + 423f0: 5f646576 6963655f 74000000 07ea0661 _device_t......a + 42400: 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 42410: 0007f10a 00000795 01040000 081d0400 ................ + 42420: 09010400 00082a04 00066164 665f6f73 ......*...adf_os + 42430: 5f706d5f 74000000 02320901 04000008 _pm_t....2...... + 42440: 4404000d 04000008 840e4144 465f4f53 D.........ADF_OS + 42450: 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 42460: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 42470: 47454e45 52494300 02000661 64665f6f GENERIC....adf_o + 42480: 735f6275 735f7479 70655f74 00000008 s_bus_type_t.... + 42490: 4d066164 665f6f73 5f627573 5f726567 M.adf_os_bus_reg + 424a0: 5f646174 615f7400 00000757 04000001 _data_t....W.... + 424b0: 90040002 5f616466 5f647276 5f696e66 ...._adf_drv_inf + 424c0: 6f002000 00096103 6472765f 61747461 o. ...a.drv_atta + 424d0: 63680000 00082302 23000364 72765f64 ch....#.#..drv_d + 424e0: 65746163 68000000 082c0223 04036472 etach....,.#..dr + 424f0: 765f7375 7370656e 64000000 08460223 v_suspend....F.# + 42500: 08036472 765f7265 73756d65 00000008 ..drv_resume.... + 42510: 2c02230c 03627573 5f747970 65000000 ,.#..bus_type... + 42520: 08840223 10036275 735f6461 74610000 ...#..bus_data.. + 42530: 00089b02 2314036d 6f645f6e 616d6500 ....#..mod_name. + 42540: 000008b6 02231803 69666e61 6d650000 .....#..ifname.. + 42550: 0008b602 231c0006 6164665f 6f735f68 ....#...adf_os_h + 42560: 616e646c 655f7400 00000232 04000005 andle_t....2.... + 42570: 8c040009 01090106 5f5f6164 665f6f73 ........__adf_os + 42580: 5f73697a 655f7400 000003ea 0d040000 _size_t......... + 42590: 09b00e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 425a0: 52554500 01000661 5f626f6f 6c5f7400 RUE....a_bool_t. + 425b0: 00000996 04000004 0f040006 5f5f6164 ............__ad + 425c0: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 425d0: 0009be09 01106164 665f6f73 5f636163 ......adf_os_cac + 425e0: 68655f73 796e6300 0400000a 480e4144 he_sync.....H.AD + 425f0: 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 42600: 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 42610: 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 42620: 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 42630: 435f504f 53545752 49544500 03000661 C_POSTWRITE....a + 42640: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 42650: 5f740000 0009df09 01066164 665f6f73 _t........adf_os + 42660: 5f73697a 655f7400 00000981 0a00000a _size_t......... + 42670: 63010661 64665f6f 735f646d 615f6d61 c..adf_os_dma_ma + 42680: 705f7400 000009c5 0400000a 7c04000a p_t.........|... + 42690: 00000232 01040000 09c50400 0a000002 ...2............ + 426a0: 32010901 0a000004 b1010901 0573686f 2............sho + 426b0: 72742069 6e740005 0206415f 494e5431 rt int....A_INT1 + 426c0: 36000000 0ab6065f 5f615f69 6e743136 6......__a_int16 + 426d0: 5f740000 000ac306 615f696e 7431365f _t......a_int16_ + 426e0: 74000000 0ad00573 69676e65 64206368 t......signed ch + 426f0: 61720005 0106415f 494e5438 0000000a ar....A_INT8.... + 42700: f0065f5f 615f696e 74385f74 0000000a ..__a_int8_t.... + 42710: ff06615f 696e7438 5f740000 000b0b0c ..a_int8_t...... + 42720: 0c00000b 82037375 70706f72 74656400 ......supported. + 42730: 00000536 02230003 61647665 7274697a ...6.#..advertiz + 42740: 65640000 00053602 23040373 70656564 ed....6.#..speed + 42750: 0000000a e1022308 03647570 6c657800 ......#..duplex. + 42760: 00000b1b 02230a03 6175746f 6e656700 .....#..autoneg. + 42770: 0000059d 02230b00 07000005 9d060000 .....#.......... + 42780: 0b8f0805 00026164 665f6e65 745f6574 ......adf_net_et + 42790: 68616464 72000600 000bb303 61646472 haddr.......addr + 427a0: 0000000b 82022300 00065f5f 615f7569 ......#...__a_ui + 427b0: 6e743136 5f740000 0001cb06 615f7569 nt16_t......a_ui + 427c0: 6e743136 5f740000 000bb30c 0e00000c nt16_t.......... + 427d0: 17036574 6865725f 64686f73 74000000 ..ether_dhost... + 427e0: 0b820223 00036574 6865725f 73686f73 ...#..ether_shos + 427f0: 74000000 0b820223 06036574 6865725f t......#..ether_ + 42800: 74797065 0000000b c502230c 000c1400 type......#..... + 42810: 000cd811 69705f76 65727369 6f6e0000 ....ip_version.. + 42820: 00059d01 00040223 00116970 5f686c00 .......#..ip_hl. + 42830: 0000059d 01040402 23000369 705f746f ........#..ip_to + 42840: 73000000 059d0223 01036970 5f6c656e s......#..ip_len + 42850: 0000000b c5022302 0369705f 69640000 ......#..ip_id.. + 42860: 000bc502 23040369 705f6672 61675f6f ....#..ip_frag_o + 42870: 66660000 000bc502 23060369 705f7474 ff......#..ip_tt + 42880: 6c000000 059d0223 08036970 5f70726f l......#..ip_pro + 42890: 746f0000 00059d02 23090369 705f6368 to......#..ip_ch + 428a0: 65636b00 00000bc5 02230a03 69705f73 eck......#..ip_s + 428b0: 61646472 00000005 3602230c 0369705f addr....6.#..ip_ + 428c0: 64616464 72000000 05360223 10000261 daddr....6.#...a + 428d0: 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 428e0: 00000d2a 03747069 64000000 0bc50223 ...*.tpid......# + 428f0: 00117072 696f0000 00059d01 00030223 ..prio.........# + 42900: 02116366 69000000 059d0103 01022302 ..cfi.........#. + 42910: 11766964 0000000b c502040c 02230200 .vid.........#.. + 42920: 02616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 42930: 0d5b1172 65730000 00059d01 00040223 .[.res.........# + 42940: 00117661 6c000000 0bc50204 0c022300 ..val.........#. + 42950: 000c0c00 000d9703 72785f62 75667369 ........rx_bufsi + 42960: 7a650000 00053602 23000372 785f6e64 ze....6.#..rx_nd + 42970: 65736300 00000536 02230403 74785f6e esc....6.#..tx_n + 42980: 64657363 00000005 36022308 000c0800 desc....6.#..... + 42990: 000dbd03 706f6c6c 65640000 0009b002 ....polled...... + 429a0: 23000370 6f6c6c5f 77740000 00053602 #..poll_wt....6. + 429b0: 23040007 0000059d 4000000d ca083f00 #.......@.....?. + 429c0: 0c460000 0df20369 665f6e61 6d650000 .F.....if_name.. + 429d0: 000dbd02 23000364 65765f61 64647200 ....#..dev_addr. + 429e0: 00000b82 02234000 0d040000 0e290e41 .....#@......).A + 429f0: 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 42a00: 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 42a10: 415f4d41 534b5f36 34424954 00010006 A_MASK_64BIT.... + 42a20: 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 42a30: 74000000 0df20261 64665f64 6d615f69 t......adf_dma_i + 42a40: 6e666f00 0800000e 7603646d 615f6d61 nfo.....v.dma_ma + 42a50: 736b0000 000e2902 23000373 675f6e73 sk....).#..sg_ns + 42a60: 65677300 00000536 02230400 0d040000 egs....6.#...... + 42a70: 0ecc0e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 42a80: 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 42a90: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 42aa0: 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 42ab0: 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 42ac0: 02000661 64665f6e 65745f63 6b73756d ...adf_net_cksum + 42ad0: 5f747970 655f7400 00000e76 0c080000 _type_t....v.... + 42ae0: 0f0f0374 785f636b 73756d00 00000ecc ...tx_cksum..... + 42af0: 02230003 72785f63 6b73756d 0000000e .#..rx_cksum.... + 42b00: cc022304 00066164 665f6e65 745f636b ..#...adf_net_ck + 42b10: 73756d5f 696e666f 5f740000 000ee60d sum_info_t...... + 42b20: 0400000f 680e4144 465f4e45 545f5453 ....h.ADF_NET_TS + 42b30: 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 42b40: 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 42b50: 4e45545f 54534f5f 414c4c00 02000661 NET_TSO_ALL....a + 42b60: 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 42b70: 74000000 0f290c10 00000fbc 03636b73 t....).......cks + 42b80: 756d5f63 61700000 000f0f02 23000374 um_cap......#..t + 42b90: 736f0000 000f6802 23080376 6c616e5f so....h.#..vlan_ + 42ba0: 73757070 6f727465 64000000 059d0223 supported......# + 42bb0: 0c000c20 00001055 0374785f 7061636b ... ...U.tx_pack + 42bc0: 65747300 00000536 02230003 72785f70 ets....6.#..rx_p + 42bd0: 61636b65 74730000 00053602 23040374 ackets....6.#..t + 42be0: 785f6279 74657300 00000536 02230803 x_bytes....6.#.. + 42bf0: 72785f62 79746573 00000005 3602230c rx_bytes....6.#. + 42c00: 0374785f 64726f70 70656400 00000536 .tx_dropped....6 + 42c10: 02231003 72785f64 726f7070 65640000 .#..rx_dropped.. + 42c20: 00053602 23140372 785f6572 726f7273 ..6.#..rx_errors + 42c30: 00000005 36022318 0374785f 6572726f ....6.#..tx_erro + 42c40: 72730000 00053602 231c0006 6164665f rs....6.#...adf_ + 42c50: 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 42c60: 0b8f1200 00105503 00000010 7a087f00 ......U.....z... + 42c70: 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 42c80: 64647200 03040000 10b1036e 656c656d ddr........nelem + 42c90: 00000005 36022300 036d6361 73740000 ....6.#..mcast.. + 42ca0: 00106c02 23040006 6164665f 6e65745f ..l.#...adf_net_ + 42cb0: 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 42cc0: 00000b29 06616466 5f6e6574 5f636d64 ...).adf_net_cmd + 42cd0: 5f706f6c 6c5f696e 666f5f74 0000000d _poll_info_t.... + 42ce0: 97066164 665f6e65 745f636d 645f636b ..adf_net_cmd_ck + 42cf0: 73756d5f 696e666f 5f740000 000f0f06 sum_info_t...... + 42d00: 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 42d10: 5f696e66 6f5f7400 00000d5b 06616466 _info_t....[.adf + 42d20: 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 42d30: 6f5f7400 00000e40 06616466 5f6e6574 o_t....@.adf_net + 42d40: 5f636d64 5f766964 5f740000 000bc506 _cmd_vid_t...... + 42d50: 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 42d60: 6f61645f 6361705f 74000000 0f800661 oad_cap_t......a + 42d70: 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 42d80: 5f740000 000fbc06 6164665f 6e65745f _t......adf_net_ + 42d90: 636d645f 6d636164 64725f74 00000010 cmd_mcaddr_t.... + 42da0: 7a106164 665f6e65 745f636d 645f6d63 z.adf_net_cmd_mc + 42db0: 6173745f 63617000 04000011 f30e4144 ast_cap.......AD + 42dc0: 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 42dd0: 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 42de0: 4e4f5453 55500001 00066164 665f6e65 NOTSUP....adf_ne + 42df0: 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 42e00: 74000000 11ab1403 04000012 c5036c69 t.............li + 42e10: 6e6b5f69 6e666f00 000010b1 02230003 nk_info......#.. + 42e20: 706f6c6c 5f696e66 6f000000 10ce0223 poll_info......# + 42e30: 0003636b 73756d5f 696e666f 00000010 ..cksum_info.... + 42e40: eb022300 0372696e 675f696e 666f0000 ..#..ring_info.. + 42e50: 00110902 23000364 6d615f69 6e666f00 ....#..dma_info. + 42e60: 00001126 02230003 76696400 00001142 ...&.#..vid....B + 42e70: 02230003 6f66666c 6f61645f 63617000 .#..offload_cap. + 42e80: 00001159 02230003 73746174 73000000 ...Y.#..stats... + 42e90: 11780223 00036d63 6173745f 696e666f .x.#..mcast_info + 42ea0: 00000011 91022300 036d6361 73745f63 ......#..mcast_c + 42eb0: 61700000 0011f302 2300000d 04000013 ap......#....... + 42ec0: 1c0e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 42ed0: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 42ee0: 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 42ef0: 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 42f00: 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 42f10: 02000661 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 42f20: 6b73756d 5f747970 655f7400 000012c5 ksum_type_t..... + 42f30: 0c080000 135c0372 6573756c 74000000 .....\.result... + 42f40: 131c0223 00037661 6c000000 05360223 ...#..val....6.# + 42f50: 04000c08 0000138c 03747970 65000000 .........type... + 42f60: 0f680223 00036d73 73000000 0bc50223 .h.#..mss......# + 42f70: 04036864 725f6f66 66000000 059d0223 ..hdr_off......# + 42f80: 0600025f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 42f90: 65616400 0c000013 cb036865 61640000 ead.......head.. + 42fa0: 00032902 23000374 61696c00 00000329 ..).#..tail....) + 42fb0: 02230403 716c656e 00000005 36022308 .#..qlen....6.#. + 42fc0: 00065f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 42fd0: 00032904 000005ac 04000400 00053604 ..)...........6. + 42fe0: 0009010a 00000208 010a0000 0536010a .............6.. + 42ff0: 000005ac 010a0000 05ac0104 000001d9 ................ + 43000: 0400065f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 43010: 6561645f 74000000 138c065f 5f616466 ead_t......__adf + 43020: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 43030: 140c0400 00142404 000a0000 13cb010a ......$......... + 43040: 000013cb 010d0400 0015440e 415f5354 ..........D.A_ST + 43050: 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 43060: 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 43070: 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 43080: 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 43090: 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 430a0: 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 430b0: 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 430c0: 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 430d0: 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 430e0: 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 430f0: 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 43100: 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 43110: 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 43120: 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 43130: 5455535f 45494f00 0c000661 5f737461 TUS_EIO....a_sta + 43140: 7475735f 74000000 144f0a00 00154401 tus_t....O....D. + 43150: 0a000001 ed010901 06616466 5f6e6275 .........adf_nbu + 43160: 665f7400 000013cb 0d040000 15a90e41 f_t............A + 43170: 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 43180: 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 43190: 5f46524f 4d5f4445 56494345 00010006 _FROM_DEVICE.... + 431a0: 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 431b0: 00000015 720a0000 15440109 01066164 ....r....D....ad + 431c0: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 431d0: 5f740000 00055304 000015c7 04000901 _t....S......... + 431e0: 09010a00 00156201 0a000013 cb010901 ......b......... + 431f0: 09010a00 00156201 0a000013 cb010a00 ......b......... + 43200: 00156201 0a000013 cb010a00 00156201 ..b...........b. + 43210: 09010901 0a000005 36010a00 0005ac01 ........6....... + 43220: 09010901 0a00000a 63010a00 0009b001 ........c....... + 43230: 0a000009 b0010661 64665f6f 735f7367 .......adf_os_sg + 43240: 6c697374 5f740000 0005eb04 00001640 list_t.........@ + 43250: 04000901 09010901 0a000005 ac010661 ...............a + 43260: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 43270: 00001424 04000016 68040009 01040000 ...$....h....... + 43280: 140c0400 09010901 09010a00 00156201 ..............b. + 43290: 0a000013 cb010a00 00053601 0a000005 ..........6..... + 432a0: 36010a00 0009b001 0a000009 b0010a00 6............... + 432b0: 000ecc01 0a000005 36010661 64665f6e ........6..adf_n + 432c0: 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 432d0: 00133a04 000016c4 04000901 09010661 ..:............a + 432e0: 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 432f0: 135c0400 0016e804 00090109 01066164 .\............ad + 43300: 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 43310: 00023206 6164665f 6e65745f 766c616e ..2.adf_net_vlan + 43320: 6864725f 74000000 0cd80400 00171d04 hdr_t........... + 43330: 000a0000 1544010a 00001544 01090109 .....D.....D.... + 43340: 01025f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 43350: 00176c03 64756d6d 79000000 01ed0223 ..l.dummy......# + 43360: 00000901 04000017 6c040009 01040000 ........l....... + 43370: 17750400 025f4849 465f4341 4c4c4241 .u..._HIF_CALLBA + 43380: 434b000c 000017ca 0373656e 645f6275 CK.......send_bu + 43390: 665f646f 6e650000 00176e02 23000372 f_done....n.#..r + 433a0: 6563765f 62756600 00001777 02230403 ecv_buf....w.#.. + 433b0: 636f6e74 65787400 00000232 02230800 context....2.#.. + 433c0: 06686966 5f68616e 646c655f 74000000 .hif_handle_t... + 433d0: 02320648 49465f43 4f4e4649 47000000 .2.HIF_CONFIG... + 433e0: 174b0400 0017dc04 000a0000 17ca0104 .K.............. + 433f0: 000017f3 04000901 04000018 00040006 ................ + 43400: 4849465f 43414c4c 4241434b 00000017 HIF_CALLBACK.... + 43410: 7e040000 18090400 09010400 00182204 ~.............". + 43420: 000a0000 01ed0104 0000182b 04000901 ...........+.... + 43430: 04000018 3804000a 000001ed 01040000 ....8........... + 43440: 18410400 09010400 00184e04 000a0000 .A........N..... + 43450: 01ed0104 00001857 04000901 04000018 .......W........ + 43460: 64040002 6869665f 61706900 38000019 d...hif_api.8... + 43470: bd035f69 6e697400 000017f9 02230003 .._init......#.. + 43480: 5f736875 74646f77 6e000000 18020223 _shutdown......# + 43490: 04035f72 65676973 7465725f 63616c6c .._register_call + 434a0: 6261636b 00000018 24022308 035f6765 back....$.#.._ge + 434b0: 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 434c0: 6f756e74 00000018 3102230c 035f7374 ount....1.#.._st + 434d0: 61727400 00001802 02231003 5f636f6e art......#.._con + 434e0: 6669675f 70697065 00000018 3a022314 fig_pipe....:.#. + 434f0: 035f7365 6e645f62 75666665 72000000 ._send_buffer... + 43500: 18470223 18035f72 65747572 6e5f7265 .G.#.._return_re + 43510: 63765f62 75660000 00185002 231c035f cv_buf....P.#.._ + 43520: 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 43530: 64000000 185d0223 20035f67 65745f6d d....].# ._get_m + 43540: 61785f6d 73675f6c 656e0000 00185d02 ax_msg_len....]. + 43550: 2324035f 6765745f 72657365 72766564 #$._get_reserved + 43560: 5f686561 64726f6f 6d000000 18310223 _headroom....1.# + 43570: 28035f69 73725f68 616e646c 65720000 (._isr_handler.. + 43580: 00180202 232c035f 6765745f 64656661 ....#,._get_defa + 43590: 756c745f 70697065 00000018 66022330 ult_pipe....f.#0 + 435a0: 03705265 73657276 65640000 00023202 .pReserved....2. + 435b0: 23340009 01040000 19bd0400 15000004 #4.............. + 435c0: 4f150000 044f0400 0019cb04 000a0000 O....O.......... + 435d0: 01ed0104 000019d7 04000270 72696e74 ...........print + 435e0: 665f6170 69000800 001a1b03 5f707269 f_api......._pri + 435f0: 6e74665f 696e6974 00000019 bf022300 ntf_init......#. + 43600: 035f7072 696e7466 00000019 dd022304 ._printf......#. + 43610: 00067569 6e743136 5f740000 0001b505 ..uint16_t...... + 43620: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 43630: 74000704 0675696e 7433325f 74000000 t....uint32_t... + 43640: 1a290275 6172745f 6669666f 00080000 .).uart_fifo.... + 43650: 1a970373 74617274 5f696e64 65780000 ...start_index.. + 43660: 001a1b02 23000365 6e645f69 6e646578 ....#..end_index + 43670: 0000001a 1b022302 036f7665 7272756e ......#..overrun + 43680: 5f657272 0000001a 3e022304 00027561 _err....>.#...ua + 43690: 72745f61 70690020 00001b50 035f7561 rt_api. ...P._ua + 436a0: 72745f69 6e697400 00001ba7 02230003 rt_init......#.. + 436b0: 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + 436c0: 001bbd02 2304035f 75617274 5f636861 ....#.._uart_cha + 436d0: 725f6765 74000000 1bd10223 08035f75 r_get......#.._u + 436e0: 6172745f 7374725f 6f757400 00001bda art_str_out..... + 436f0: 02230c03 5f756172 745f7461 736b0000 .#.._uart_task.. + 43700: 0019bf02 2310035f 75617274 5f737461 ....#.._uart_sta + 43710: 74757300 00001ba7 02231403 5f756172 tus......#.._uar + 43720: 745f636f 6e666967 0000001b e3022318 t_config......#. + 43730: 035f7561 72745f68 77696e69 74000000 ._uart_hwinit... + 43740: 1bec0223 1c000400 001a9704 00027561 ...#..........ua + 43750: 72745f62 6c6b0010 00001ba1 03646562 rt_blk.......deb + 43760: 75675f6d 6f646500 00001a1b 02230003 ug_mode......#.. + 43770: 62617564 0000001a 1b022302 035f7561 baud......#.._ua + 43780: 72740000 001b5002 2304035f 74780000 rt....P.#.._tx.. + 43790: 001a4c02 2308000a 00001a3e 01040000 ..L.#......>.... + 437a0: 1ba10400 0675696e 74385f74 00000001 .....uint8_t.... + 437b0: 90090104 00001bbb 04000400 001bae04 ................ + 437c0: 000a0000 1a1b0104 00001bcb 04000901 ................ + 437d0: 0400001b d8040009 01040000 1be10400 ................ + 437e0: 09010400 001bea04 000a0000 01ed0104 ................ + 437f0: 00001bf3 04000244 425f434f 4d4d414e .......DB_COMMAN + 43800: 445f5354 52554354 000c0000 1c4b0363 D_STRUCT.....K.c + 43810: 6d645f73 74720000 00045702 23000368 md_str....W.#..h + 43820: 656c705f 73747200 00000457 02230403 elp_str....W.#.. + 43830: 636d645f 66756e63 0000001b f9022308 cmd_func......#. + 43840: 00026462 675f6170 69000800 001c7e03 ..dbg_api.....~. + 43850: 5f646267 5f696e69 74000000 19bf0223 _dbg_init......# + 43860: 00035f64 62675f74 61736b00 000019bf .._dbg_task..... + 43870: 02230400 0a000002 32010400 001c7e04 .#......2.....~. + 43880: 00161604 00001c8c 04000a00 00023201 ..............2. + 43890: 0400001c 9404000a 000001ed 01040000 ................ + 438a0: 1ca10400 026d656d 5f617069 00140000 .....mem_api.... + 438b0: 1d10035f 6d656d5f 696e6974 00000019 ..._mem_init.... + 438c0: bf022300 035f6d65 6d736574 0000001c ..#.._memset.... + 438d0: 84022304 035f6d65 6d637079 0000001c ..#.._memcpy.... + 438e0: 9a022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... + 438f0: 1c9a0223 0c035f6d 656d636d 70000000 ...#.._memcmp... + 43900: 1ca70223 10001772 65676973 7465725f ...#...register_ + 43910: 64756d70 5f730000 01040000 1d100400 dump_s.......... + 43920: 09010400 001d2a04 00090104 00001d33 ......*........3 + 43930: 04000a00 0001ed01 0400001d 3c040010 ............<... + 43940: 686f7374 69665f73 00040000 1d980e48 hostif_s.......H + 43950: 49465f55 53420000 0e484946 5f504349 IF_USB...HIF_PCI + 43960: 4500010e 4849465f 474d4143 00020e48 E...HIF_GMAC...H + 43970: 49465f50 43490003 0e484946 5f4e554d IF_PCI...HIF_NUM + 43980: 00040e48 49465f4e 4f4e4500 05000641 ...HIF_NONE....A + 43990: 5f484f53 54494600 00001d49 0a00001d _HOSTIF....I.... + 439a0: 98010400 001da604 000a0000 1bae0104 ................ + 439b0: 00001db3 04000a00 001a1b01 0400001d ................ + 439c0: c0040002 6d697363 5f617069 00240000 ....misc_api.$.. + 439d0: 1eb0035f 73797374 656d5f72 65736574 ..._system_reset + 439e0: 00000019 bf022300 035f6d61 635f7265 ......#.._mac_re + 439f0: 73657400 000019bf 02230403 5f617373 set......#.._ass + 43a00: 6661696c 0000001d 2c022308 035f6d69 fail....,.#.._mi + 43a10: 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha + 43a20: 6e646c65 72000000 1d2c0223 0c035f72 ndler....,.#.._r + 43a30: 65706f72 745f6661 696c7572 655f746f eport_failure_to + 43a40: 5f686f73 74000000 1d350223 10035f74 _host....5.#.._t + 43a50: 61726765 745f6964 5f676574 0000001d arget_id_get.... + 43a60: 42022314 035f6973 5f686f73 745f7072 B.#.._is_host_pr + 43a70: 6573656e 74000000 1dac0223 18035f6b esent......#.._k + 43a80: 62686974 0000001d b902231c 035f726f bhit......#.._ro + 43a90: 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... + 43aa0: 1dc60223 20000a00 00045701 0400001e ...# .....W..... + 43ab0: b004000a 00000457 01040000 1ebd0400 .......W........ + 43ac0: 0a000001 ed010400 001eca04 000a0000 ................ + 43ad0: 01ed0104 00001ed7 04000a00 0001ed01 ................ + 43ae0: 0400001e e4040002 73747269 6e675f61 ........string_a + 43af0: 70690018 00001f6a 035f7374 72696e67 pi.....j._string + 43b00: 5f696e69 74000000 19bf0223 00035f73 _init......#.._s + 43b10: 74726370 79000000 1eb60223 04035f73 trcpy......#.._s + 43b20: 74726e63 70790000 001ec302 2308035f trncpy......#.._ + 43b30: 7374726c 656e0000 001ed002 230c035f strlen......#.._ + 43b40: 73747263 6d700000 001edd02 2310035f strcmp......#.._ + 43b50: 7374726e 636d7000 00001eea 02231400 strncmp......#.. + 43b60: 07000003 ea140000 1f770804 00065f41 .........w...._A + 43b70: 5f54494d 45525f53 50414345 0000001f _TIMER_SPACE.... + 43b80: 6a06415f 74696d65 725f7400 00001f77 j.A_timer_t....w + 43b90: 0400001f 8b040009 01040000 1fa10400 ................ + 43ba0: 09010400 001faa04 0006415f 48414e44 ..........A_HAND + 43bb0: 4c450000 0003ea09 0106415f 54494d45 LE........A_TIME + 43bc0: 525f4655 4e430000 001fc104 00001fc3 R_FUNC.......... + 43bd0: 04000901 0400001f dc040002 74696d65 ............time + 43be0: 725f6170 69001400 00205b03 5f74696d r_api.... [._tim + 43bf0: 65725f69 6e697400 000019bf 02230003 er_init......#.. + 43c00: 5f74696d 65725f61 726d0000 001fa302 _timer_arm...... + 43c10: 2304035f 74696d65 725f6469 7361726d #.._timer_disarm + 43c20: 0000001f ac022308 035f7469 6d65725f ......#.._timer_ + 43c30: 73657466 6e000000 1fde0223 0c035f74 setfn......#.._t + 43c40: 696d6572 5f72756e 00000019 bf022310 imer_run......#. + 43c50: 0006424f 4f4c4541 4e000000 1a1b0a00 ..BOOLEAN....... + 43c60: 00205b01 04000020 6804000a 0000205b . [.... h..... [ + 43c70: 01040000 20750400 0a000020 5b010400 .... u..... [... + 43c80: 00208204 0002726f 6d705f61 70690010 . ....romp_api.. + 43c90: 000020f4 035f726f 6d705f69 6e697400 .. .._romp_init. + 43ca0: 000019bf 02230003 5f726f6d 705f646f .....#.._romp_do + 43cb0: 776e6c6f 61640000 00206e02 2304035f wnload... n.#.._ + 43cc0: 726f6d70 5f696e73 74616c6c 00000020 romp_install... + 43cd0: 7b022308 035f726f 6d705f64 65636f64 {.#.._romp_decod + 43ce0: 65000000 20880223 0c000272 6f6d5f70 e... ..#...rom_p + 43cf0: 61746368 5f737400 10000021 50036372 atch_st....!P.cr + 43d00: 63313600 00001a1b 02230003 6c656e00 c16......#..len. + 43d10: 00001a1b 02230203 6c645f61 64647200 .....#..ld_addr. + 43d20: 00001a3e 02230403 66756e5f 61646472 ...>.#..fun_addr + 43d30: 0000001a 3e022308 03706675 6e000000 ....>.#..pfun... + 43d40: 1bc40223 0c000265 65705f72 65646972 ...#...eep_redir + 43d50: 5f616464 72000400 00218203 6f666673 _addr....!..offs + 43d60: 65740000 001a1b02 23000373 697a6500 et......#..size. + 43d70: 00001a1b 02230200 0a000002 32010400 .....#......2... + 43d80: 00218204 0002616c 6c6f6372 616d5f61 .!....allocram_a + 43d90: 7069000c 000021f3 03636d6e 6f735f61 pi....!..cmnos_a + 43da0: 6c6c6f63 72616d5f 696e6974 00000021 llocram_init...! + 43db0: 88022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc + 43dc0: 72616d00 00002188 02230403 636d6e6f ram...!..#..cmno + 43dd0: 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 43de0: 00000019 bf022308 00090104 000021f3 ......#.......!. + 43df0: 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 43e00: 43000000 21f5025f 7461736b 6c657400 C...!.._tasklet. + 43e10: 10000022 54036675 6e630000 0021fc02 ..."T.func...!.. + 43e20: 23000361 72670000 00023202 23040373 #..arg....2.#..s + 43e30: 74617465 00000001 ed022308 036e6578 tate......#..nex + 43e40: 74000000 22540223 0c000400 00221004 t..."T.#.....".. + 43e50: 00040000 22100400 06415f74 61736b6c ...."....A_taskl + 43e60: 65745f74 00000022 10040000 22620400 et_t..."...."b.. + 43e70: 09010400 00227a04 00090104 00002283 ....."z.......". + 43e80: 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. + 43e90: 00002318 035f7461 736b6c65 745f696e ..#.._tasklet_in + 43ea0: 69740000 0019bf02 2300035f 7461736b it......#.._task + 43eb0: 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 43ec0: 227c0223 04035f74 61736b6c 65745f64 "|.#.._tasklet_d + 43ed0: 69736162 6c650000 00228502 2308035f isable..."..#.._ + 43ee0: 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 43ef0: 00000022 8502230c 035f7461 736b6c65 ..."..#.._taskle + 43f00: 745f7275 6e000000 19bf0223 10000901 t_run......#.... + 43f10: 04000023 1804000a 000003fa 01040000 ...#............ + 43f20: 23210400 02636c6f 636b5f61 70690024 #!...clock_api.$ + 43f30: 00002407 035f636c 6f636b5f 696e6974 ..$.._clock_init + 43f40: 00000023 1a022300 035f636c 6f636b72 ...#..#.._clockr + 43f50: 6567735f 696e6974 00000019 bf022304 egs_init......#. + 43f60: 035f7561 72745f66 72657175 656e6379 ._uart_frequency + 43f70: 00000023 27022308 035f6465 6c61795f ...#'.#.._delay_ + 43f80: 75730000 0001f602 230c035f 776c616e us......#.._wlan + 43f90: 5f62616e 645f7365 74000000 01f60223 _band_set......# + 43fa0: 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 43fb0: 67657400 00002327 02231403 5f6d696c get...#'.#.._mil + 43fc0: 6c697365 636f6e64 73000000 23270223 liseconds...#'.# + 43fd0: 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 43fe0: 00000019 bf02231c 035f636c 6f636b5f ......#.._clock_ + 43ff0: 7469636b 00000019 bf022320 000a0000 tick......# .... + 44000: 1a3e0104 00002407 04000641 5f6f6c64 .>....$....A_old + 44010: 5f696e74 725f7400 00001a3e 0a000024 _intr_t....>...$ + 44020: 14010400 00242604 00090104 00002433 .....$&.......$3 + 44030: 04000901 04000024 3c04000a 00001a3e .......$<......> + 44040: 01040000 24450400 06415f69 73725f74 ....$E...A_isr_t + 44050: 00000024 4b090104 0000245f 04000a00 ...$K.....$_.... + 44060: 0003ea01 04000024 68040009 01040000 .......$h....... + 44070: 24750400 02696e74 725f6170 69002c00 $u...intr_api.,. + 44080: 00259703 5f696e74 725f696e 69740000 .%.._intr_init.. + 44090: 0019bf02 2300035f 696e7472 5f696e76 ....#.._intr_inv + 440a0: 6f6b655f 69737200 0000240d 02230403 oke_isr...$..#.. + 440b0: 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 440c0: 242c0223 08035f69 6e74725f 72657374 $,.#.._intr_rest + 440d0: 6f726500 00002435 02230c03 5f696e74 ore...$5.#.._int + 440e0: 725f6d61 736b5f69 6e756d00 0000243e r_mask_inum...$> + 440f0: 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask + 44100: 5f696e75 6d000000 243e0223 14035f69 _inum...$>.#.._i + 44110: 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 44120: 00246102 2318035f 6765745f 696e7472 .$a.#.._get_intr + 44130: 656e6162 6c650000 00246e02 231c035f enable...$n.#.._ + 44140: 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 44150: 00247702 2320035f 6765745f 696e7472 .$w.# ._get_intr + 44160: 70656e64 696e6700 0000246e 02232403 pending...$n.#$. + 44170: 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 44180: 726c766c 00000019 bf022328 000f0400 rlvl......#(.... + 44190: 0025bd03 74696d65 6f757400 00001a3e .%..timeout....> + 441a0: 02230003 61637469 6f6e0000 001a3e02 .#..action....>. + 441b0: 2300000c 08000025 d803636d 64000000 #......%..cmd... + 441c0: 1a3e0223 00180000 25970223 04000654 .>.#....%..#...T + 441d0: 5f574454 5f434d44 00000025 bd090104 _WDT_CMD...%.... + 441e0: 000025e7 04000d04 0000263d 0e454e55 ..%.......&=.ENU + 441f0: 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU + 44200: 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN + 44210: 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E + 44220: 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 44230: 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 44240: 000025f0 0a000026 3d010400 00264e04 ..%....&=....&N. + 44250: 00027764 745f6170 69001c00 0026f203 ..wdt_api....&.. + 44260: 5f776474 5f696e69 74000000 19bf0223 _wdt_init......# + 44270: 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... + 44280: 19bf0223 04035f77 64745f64 69736162 ...#.._wdt_disab + 44290: 6c650000 0019bf02 2308035f 7764745f le......#.._wdt_ + 442a0: 73657400 000025e9 02230c03 5f776474 set...%..#.._wdt + 442b0: 5f746173 6b000000 19bf0223 10035f77 _task......#.._w + 442c0: 64745f72 65736574 00000019 bf022314 dt_reset......#. + 442d0: 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 442e0: 00002654 02231800 0d040000 27590e52 ..&T.#......'Y.R + 442f0: 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET + 44300: 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ + 44310: 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ + 44320: 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE + 44330: 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 44340: 0e524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... + 44350: 545f4545 505f5245 54000000 26f20400 T_EEP_RET...&... + 44360: 001a1b04 000a0000 27590104 0000276f ........'Y....'o + 44370: 04000a00 00275901 04000027 7c040002 .....'Y....'|... + 44380: 6565705f 61706900 10000027 e5035f65 eep_api....'.._e + 44390: 65705f69 6e697400 000019bf 02230003 ep_init......#.. + 443a0: 5f656570 5f726561 64000000 27750223 _eep_read...'u.# + 443b0: 04035f65 65705f77 72697465 00000027 .._eep_write...' + 443c0: 75022308 035f6565 705f6973 5f657869 u.#.._eep_is_exi + 443d0: 73740000 00278202 230c0002 7573625f st...'..#...usb_ + 443e0: 61706900 7000002a 92035f75 73625f69 api.p..*.._usb_i + 443f0: 6e697400 000019bf 02230003 5f757362 nit......#.._usb + 44400: 5f726f6d 5f746173 6b000000 19bf0223 _rom_task......# + 44410: 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 44420: 0019bf02 2308035f 7573625f 696e6974 ....#.._usb_init + 44430: 5f706879 00000019 bf02230c 035f7573 _phy......#.._us + 44440: 625f6570 305f7365 74757000 000019bf b_ep0_setup..... + 44450: 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 44460: 000019bf 02231403 5f757362 5f657030 .....#.._usb_ep0 + 44470: 5f727800 000019bf 02231803 5f757362 _rx......#.._usb + 44480: 5f676574 5f696e74 65726661 63650000 _get_interface.. + 44490: 00207b02 231c035f 7573625f 7365745f . {.#.._usb_set_ + 444a0: 696e7465 72666163 65000000 207b0223 interface... {.# + 444b0: 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 444c0: 67757261 74696f6e 00000020 7b022324 guration... {.#$ + 444d0: 035f7573 625f7365 745f636f 6e666967 ._usb_set_config + 444e0: 75726174 696f6e00 0000207b 02232803 uration... {.#(. + 444f0: 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 44500: 64000000 207b0223 2c035f75 73625f76 d... {.#,._usb_v + 44510: 656e646f 725f636d 64000000 19bf0223 endor_cmd......# + 44520: 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 44530: 00000019 bf022334 035f7573 625f7265 ......#4._usb_re + 44540: 7365745f 6669666f 00000019 bf022338 set_fifo......#8 + 44550: 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 44560: 19bf0223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ + 44570: 626f6f74 00000019 bf022340 035f7573 boot......#@._us + 44580: 625f636c 725f6665 61747572 65000000 b_clr_feature... + 44590: 207b0223 44035f75 73625f73 65745f66 {.#D._usb_set_f + 445a0: 65617475 72650000 00207b02 2348035f eature... {.#H._ + 445b0: 7573625f 7365745f 61646472 65737300 usb_set_address. + 445c0: 0000207b 02234c03 5f757362 5f676574 .. {.#L._usb_get + 445d0: 5f646573 63726970 746f7200 0000207b _descriptor... { + 445e0: 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta + 445f0: 74757300 0000207b 02235403 5f757362 tus... {.#T._usb + 44600: 5f736574 75705f64 65736300 000019bf _setup_desc..... + 44610: 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 44620: 00000019 bf02235c 035f7573 625f7374 ......#\._usb_st + 44630: 61747573 5f696e00 000019bf 02236003 atus_in......#`. + 44640: 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 44650: 00000019 bf022364 035f7573 625f6570 ......#d._usb_ep + 44660: 305f7278 5f646174 61000000 19bf0223 0_rx_data......# + 44670: 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 44680: 000019bf 02236c00 10646d61 5f656e67 .....#l..dma_eng + 44690: 696e6500 0400002b 1b0e444d 415f454e ine....+..DMA_EN + 446a0: 47494e45 5f525830 00000e44 4d415f45 GINE_RX0...DMA_E + 446b0: 4e47494e 455f5258 3100010e 444d415f NGINE_RX1...DMA_ + 446c0: 454e4749 4e455f52 58320002 0e444d41 ENGINE_RX2...DMA + 446d0: 5f454e47 494e455f 52583300 030e444d _ENGINE_RX3...DM + 446e0: 415f454e 47494e45 5f545830 00040e44 A_ENGINE_TX0...D + 446f0: 4d415f45 4e47494e 455f5458 3100050e MA_ENGINE_TX1... + 44700: 444d415f 454e4749 4e455f4d 41580006 DMA_ENGINE_MAX.. + 44710: 0006646d 615f656e 67696e65 5f740000 ..dma_engine_t.. + 44720: 002a9210 646d615f 69667479 70650004 .*..dma_iftype.. + 44730: 00002b68 0e444d41 5f49465f 474d4143 ..+h.DMA_IF_GMAC + 44740: 00000e44 4d415f49 465f5043 4900010e ...DMA_IF_PCI... + 44750: 444d415f 49465f50 43494500 02000664 DMA_IF_PCIE....d + 44760: 6d615f69 66747970 655f7400 00002b2d ma_iftype_t...+- + 44770: 0a000001 cb010400 002b7a04 00090104 .........+z..... + 44780: 00002b87 04000901 0400002b 9004000a ..+........+.... + 44790: 000003fa 01040000 2b990400 0a000001 ........+....... + 447a0: cb010400 002ba604 000a0000 01cb0104 .....+.......... + 447b0: 00002bb3 04000a00 00032901 0400002b ..+.......)....+ + 447c0: c0040009 01040000 2bcd0400 02646d61 ........+....dma + 447d0: 5f6c6962 5f617069 00340000 2cd40374 _lib_api.4..,..t + 447e0: 785f696e 69740000 002b8002 23000374 x_init...+..#..t + 447f0: 785f7374 61727400 00002b89 02230403 x_start...+..#.. + 44800: 72785f69 6e697400 00002b80 02230803 rx_init...+..#.. + 44810: 72785f63 6f6e6669 67000000 2b920223 rx_config...+..# + 44820: 0c037278 5f737461 72740000 002b8902 ..rx_start...+.. + 44830: 23100369 6e74725f 73746174 75730000 #..intr_status.. + 44840: 002b9f02 23140368 6172645f 786d6974 .+..#..hard_xmit + 44850: 0000002b ac022318 03666c75 73685f78 ...+..#..flush_x + 44860: 6d697400 00002b89 02231c03 786d6974 mit...+..#..xmit + 44870: 5f646f6e 65000000 2bb90223 20037265 _done...+..# .re + 44880: 61705f78 6d697474 65640000 002bc602 ap_xmitted...+.. + 44890: 23240372 6561705f 72656376 0000002b #$.reap_recv...+ + 448a0: c6022328 03726574 75726e5f 72656376 ..#(.return_recv + 448b0: 0000002b cf02232c 03726563 765f706b ...+..#,.recv_pk + 448c0: 74000000 2bb90223 3000025f 5f706369 t...+..#0..__pci + 448d0: 5f736f66 7463000c 00002cf2 03737700 _softc....,..sw. + 448e0: 00001809 02230000 065f5f70 63695f73 .....#...__pci_s + 448f0: 6f667463 5f740000 002cd404 00002cf2 oftc_t...,....,. + 44900: 04000901 0400002d 0c04000a 000001a1 .......-........ + 44910: 01040000 2d150400 10686966 5f706369 ....-....hif_pci + 44920: 5f706970 655f7478 00040000 2d750e48 _pipe_tx....-u.H + 44930: 49465f50 43495f50 4950455f 54583000 IF_PCI_PIPE_TX0. + 44940: 000e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 44950: 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP + 44960: 455f5458 5f4d4158 00020006 6869665f E_TX_MAX....hif_ + 44970: 7063695f 70697065 5f74785f 74000000 pci_pipe_tx_t... + 44980: 2d220a00 002b1b01 0400002d 8c040010 -"...+.....-.... + 44990: 6869665f 7063695f 70697065 5f727800 hif_pci_pipe_rx. + 449a0: 0400002e 120e4849 465f5043 495f5049 ......HIF_PCI_PI + 449b0: 50455f52 58300000 0e484946 5f504349 PE_RX0...HIF_PCI + 449c0: 5f504950 455f5258 3100010e 4849465f _PIPE_RX1...HIF_ + 449d0: 5043495f 50495045 5f525832 00020e48 PCI_PIPE_RX2...H + 449e0: 49465f50 43495f50 4950455f 52583300 IF_PCI_PIPE_RX3. + 449f0: 030e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 44a00: 585f4d41 58000400 06686966 5f706369 X_MAX....hif_pci + 44a10: 5f706970 655f7278 5f740000 002d990a _pipe_rx_t...-.. + 44a20: 00002b1b 01040000 2e290400 02686966 ..+......)...hif + 44a30: 5f706369 5f617069 00240000 2f070370 _pci_api.$../..p + 44a40: 63695f62 6f6f745f 696e6974 00000019 ci_boot_init.... + 44a50: bf022300 03706369 5f696e69 74000000 ..#..pci_init... + 44a60: 17f90223 04037063 695f7265 73657400 ...#..pci_reset. + 44a70: 000019bf 02230803 7063695f 656e6162 .....#..pci_enab + 44a80: 6c650000 0019bf02 230c0370 63695f72 le......#..pci_r + 44a90: 6561705f 786d6974 74656400 00002d0e eap_xmitted...-. + 44aa0: 02231003 7063695f 72656170 5f726563 .#..pci_reap_rec + 44ab0: 76000000 2d0e0223 14037063 695f6765 v...-..#..pci_ge + 44ac0: 745f7069 70650000 002d1b02 23180370 t_pipe...-..#..p + 44ad0: 63695f67 65745f74 785f656e 67000000 ci_get_tx_eng... + 44ae0: 2d920223 1c037063 695f6765 745f7278 -..#..pci_get_rx + 44af0: 5f656e67 0000002e 2f022320 0002676d _eng..../.# ..gm + 44b00: 61635f61 70690004 00002f2e 03676d61 ac_api..../..gma + 44b10: 635f626f 6f745f69 6e697400 000019bf c_boot_init..... + 44b20: 02230000 07000001 90060000 2f3b0805 .#........../;.. + 44b30: 00025f5f 65746868 6472000e 00002f71 ..__ethhdr..../q + 44b40: 03647374 0000002f 2e022300 03737263 .dst.../..#..src + 44b50: 0000002f 2e022306 03657479 70650000 .../..#..etype.. + 44b60: 0001cb02 230c0002 5f5f6174 68686472 ....#...__athhdr + 44b70: 00040000 2fbf1172 65730000 0001a101 ..../..res...... + 44b80: 00020223 00117072 6f746f00 000001a1 ...#..proto..... + 44b90: 01020602 23000372 65735f6c 6f000000 ....#..res_lo... + 44ba0: 01a10223 01037265 735f6869 00000001 ...#..res_hi.... + 44bb0: cb022302 00025f5f 676d6163 5f686472 ..#...__gmac_hdr + 44bc0: 00140000 2ffb0365 74680000 002f3b02 ..../..eth.../;. + 44bd0: 23000361 74680000 002f7102 230e0361 #..ath.../q.#..a + 44be0: 6c69676e 5f706164 00000001 cb022312 lign_pad......#. + 44bf0: 00065f5f 676d6163 5f686472 5f740000 ..__gmac_hdr_t.. + 44c00: 002fbf02 5f5f676d 61635f73 6f667463 ./..__gmac_softc + 44c10: 00240000 30450368 64720000 002ffb02 .$..0E.hdr.../.. + 44c20: 23000367 72616e00 000001cb 02231403 #..gran......#.. + 44c30: 73770000 00180902 23180017 5f415f6f sw......#..._A_o + 44c40: 735f6c69 6e6b6167 655f6368 65636b00 s_linkage_check. + 44c50: 00010400 00304504 000a0000 01ed0104 .....0E......... + 44c60: 00003063 04000400 0003ea04 00135f41 ..0c.........._A + 44c70: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 44c80: 6f6e5f74 61626c65 0001b800 0031b303 on_table.....1.. + 44c90: 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 44ca0: 6b000000 30690223 00037374 6172745f k...0i.#..start_ + 44cb0: 62737300 00003070 02230403 6170705f bss...0p.#..app_ + 44cc0: 73746172 74000000 19bf0223 08036d65 start......#..me + 44cd0: 6d000000 1cae0223 0c036d69 73630000 m......#..misc.. + 44ce0: 001dcd02 23200370 72696e74 66000000 ....# .printf... + 44cf0: 19e40223 44037561 72740000 001a9702 ...#D.uart...... + 44d00: 234c0367 6d616300 00002f07 02236c03 #L.gmac.../..#l. + 44d10: 75736200 000027e5 02237003 636c6f63 usb...'..#p.cloc + 44d20: 6b000000 232e0323 e0010374 696d6572 k...#..#...timer + 44d30: 0000001f e5032384 0203696e 74720000 ......#...intr.. + 44d40: 00247e03 23980203 616c6c6f 6372616d .$~.#...allocram + 44d50: 00000021 8f0323c4 0203726f 6d700000 ...!..#...romp.. + 44d60: 00208f03 23d00203 7764745f 74696d65 . ..#...wdt_time + 44d70: 72000000 265b0323 e0020365 65700000 r...&[.#...eep.. + 44d80: 00278903 23fc0203 73747269 6e670000 .'..#...string.. + 44d90: 001ef103 238c0303 7461736b 6c657400 ....#...tasklet. + 44da0: 0000228c 0323a403 00025f55 53425f46 .."..#...._USB_F + 44db0: 49464f5f 434f4e46 49470010 00003226 IFO_CONFIG....2& + 44dc0: 03676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 44dd0: 00000003 36022300 03726563 765f636f ....6.#..recv_co + 44de0: 6d6d616e 64000000 034c0223 04036765 mmand....L.#..ge + 44df0: 745f6576 656e745f 62756600 00000336 t_event_buf....6 + 44e00: 02230803 73656e64 5f657665 6e745f64 .#..send_event_d + 44e10: 6f6e6500 0000034c 02230c00 06555342 one....L.#...USB + 44e20: 5f464946 4f5f434f 4e464947 00000031 _FIFO_CONFIG...1 + 44e30: b3040000 32260400 09010400 00324204 ....2&.......2B. + 44e40: 00027573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 44e50: 00329803 5f696e69 74000000 32440223 .2.._init...2D.# + 44e60: 00035f65 6e61626c 655f6576 656e745f .._enable_event_ + 44e70: 69737200 000019bf 02230403 70526573 isr......#..pRes + 44e80: 65727665 64000000 02320223 08000700 erved....2.#.... + 44e90: 00059d02 000032a5 08010002 5f485443 ......2....._HTC + 44ea0: 5f465241 4d455f48 44520008 00003317 _FRAME_HDR....3. + 44eb0: 03456e64 706f696e 74494400 0000059d .EndpointID..... + 44ec0: 02230003 466c6167 73000000 059d0223 .#..Flags......# + 44ed0: 01035061 796c6f61 644c656e 0000000b ..PayloadLen.... + 44ee0: c5022302 03436f6e 74726f6c 42797465 ..#..ControlByte + 44ef0: 73000000 32980223 0403486f 73745365 s...2..#..HostSe + 44f00: 714e756d 0000000b c5022306 000c0200 qNum......#..... + 44f10: 00333003 4d657373 61676549 44000000 .30.MessageID... + 44f20: 0bc50223 00000c08 00003393 034d6573 ...#......3..Mes + 44f30: 73616765 49440000 000bc502 23000343 sageID......#..C + 44f40: 72656469 74436f75 6e740000 000bc502 reditCount...... + 44f50: 23020343 72656469 7453697a 65000000 #..CreditSize... + 44f60: 0bc50223 04034d61 78456e64 706f696e ...#..MaxEndpoin + 44f70: 74730000 00059d02 2306035f 50616431 ts......#.._Pad1 + 44f80: 00000005 9d022307 000c0a00 00342a03 ......#......4*. + 44f90: 4d657373 61676549 44000000 0bc50223 MessageID......# + 44fa0: 00035365 72766963 65494400 00000bc5 ..ServiceID..... + 44fb0: 02230203 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 44fc0: 61677300 00000bc5 02230403 446f776e ags......#..Down + 44fd0: 4c696e6b 50697065 49440000 00059d02 LinkPipeID...... + 44fe0: 23060355 704c696e 6b506970 65494400 #..UpLinkPipeID. + 44ff0: 0000059d 02230703 53657276 6963654d .....#..ServiceM + 45000: 6574614c 656e6774 68000000 059d0223 etaLength......# + 45010: 08035f50 61643100 0000059d 02230900 .._Pad1......#.. + 45020: 0c0a0000 34b2034d 65737361 67654944 ....4..MessageID + 45030: 0000000b c5022300 03536572 76696365 ......#..Service + 45040: 49440000 000bc502 23020353 74617475 ID......#..Statu + 45050: 73000000 059d0223 0403456e 64706f69 s......#..Endpoi + 45060: 6e744944 00000005 9d022305 034d6178 ntID......#..Max + 45070: 4d736753 697a6500 00000bc5 02230603 MsgSize......#.. + 45080: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 45090: 68000000 059d0223 08035f50 61643100 h......#.._Pad1. + 450a0: 0000059d 02230900 0c020000 34cb034d .....#......4..M + 450b0: 65737361 67654944 0000000b c5022300 essageID......#. + 450c0: 000c0400 00350703 4d657373 61676549 .....5..MessageI + 450d0: 44000000 0bc50223 00035069 70654944 D......#..PipeID + 450e0: 00000005 9d022302 03437265 64697443 ......#..CreditC + 450f0: 6f756e74 00000005 9d022303 000c0400 ount......#..... + 45100: 00353e03 4d657373 61676549 44000000 .5>.MessageID... + 45110: 0bc50223 00035069 70654944 00000005 ...#..PipeID.... + 45120: 9d022302 03537461 74757300 0000059d ..#..Status..... + 45130: 02230300 0c020000 35650352 65636f72 .#......5e.Recor + 45140: 64494400 0000059d 02230003 4c656e67 dID......#..Leng + 45150: 74680000 00059d02 2301000c 02000035 th......#......5 + 45160: 8f03456e 64706f69 6e744944 00000005 ..EndpointID.... + 45170: 9d022300 03437265 64697473 00000005 ..#..Credits.... + 45180: 9d022301 000c0400 0035d003 456e6470 ..#......5..Endp + 45190: 6f696e74 49440000 00059d02 23000343 ointID......#..C + 451a0: 72656469 74730000 00059d02 23010354 redits......#..T + 451b0: 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 451c0: 0bc50223 02000700 00059d04 000035dd ...#..........5. + 451d0: 0803000c 06000036 19035072 6556616c .......6..PreVal + 451e0: 69640000 00059d02 2300034c 6f6f6b41 id......#..LookA + 451f0: 68656164 00000035 d0022301 03506f73 head...5..#..Pos + 45200: 7456616c 69640000 00059d02 23050006 tValid......#... + 45210: 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 45220: 02320a00 00361901 04000036 2c040009 .2...6.....6,... + 45230: 01040000 36390400 0d040000 36b70e50 ....69......6..P + 45240: 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 45250: 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 45260: 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 45270: 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 45280: 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID + 45290: 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P + 452a0: 4f4f4c5f 49445f4d 4158000a 00064255 OOL_ID_MAX....BU + 452b0: 465f504f 4f4c5f49 44000000 36420901 F_POOL_ID...6B.. + 452c0: 04000036 c804000a 00001562 01040000 ...6.......b.... + 452d0: 36d10400 0a000015 62010400 0036de04 6.......b....6.. + 452e0: 00090104 000036eb 04000262 75665f70 ......6....buf_p + 452f0: 6f6f6c5f 61706900 1c000037 8d035f69 ool_api....7.._i + 45300: 6e697400 00003632 02230003 5f736875 nit...62.#.._shu + 45310: 74646f77 6e000000 363b0223 04035f63 tdown...6;.#.._c + 45320: 72656174 655f706f 6f6c0000 0036ca02 reate_pool...6.. + 45330: 2308035f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 45340: 36d70223 0c035f61 6c6c6f63 5f627566 6..#.._alloc_buf + 45350: 5f616c69 676e0000 0036e402 2310035f _align...6..#.._ + 45360: 66726565 5f627566 00000036 ed022314 free_buf...6..#. + 45370: 03705265 73657276 65640000 00023202 .pReserved....2. + 45380: 23180002 5f485443 5f534552 56494345 #..._HTC_SERVICE + 45390: 001c0000 386c0370 4e657874 00000038 ....8l.pNext...8 + 453a0: 6c022300 0350726f 63657373 52656376 l.#..ProcessRecv + 453b0: 4d736700 00003921 02230403 50726f63 Msg...9!.#..Proc + 453c0: 65737353 656e6442 75666665 72436f6d essSendBufferCom + 453d0: 706c6574 65000000 392a0223 08035072 plete...9*.#..Pr + 453e0: 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 453f0: 3e02230c 03536572 76696365 49440000 >.#..ServiceID.. + 45400: 0001cb02 23100353 65727669 6365466c ....#..ServiceFl + 45410: 61677300 000001cb 02231203 4d617853 ags......#..MaxS + 45420: 76634d73 6753697a 65000000 01cb0223 vcMsgSize......# + 45430: 14035472 61696c65 72537063 43686563 ..TrailerSpcChec + 45440: 6b4c696d 69740000 0001cb02 23160353 kLimit......#..S + 45450: 65727669 63654374 78000000 02320223 erviceCtx....2.# + 45460: 18000400 00378d04 000d0400 00390a19 .....7.......9.. + 45470: 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 45480: ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. + 45490: 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END + 454a0: 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN + 454b0: 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. + 454c0: 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END + 454d0: 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN + 454e0: 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. + 454f0: 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 45500: 06485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 45510: 00000038 73090104 0000391f 04000901 ...8s.....9..... + 45520: 04000039 28040004 000001ed 04000a00 ...9(........... + 45530: 0001a101 04000039 38040004 0000378d .......98.....7. + 45540: 0400025f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 45550: 000039bd 03437265 64697453 697a6500 ..9..CreditSize. + 45560: 000001ed 02230003 43726564 69744e75 .....#..CreditNu + 45570: 6d626572 00000001 ed022304 034f5348 mber......#..OSH + 45580: 616e646c 65000000 09610223 08034849 andle....a.#..HI + 45590: 4648616e 646c6500 000017ca 02230c03 FHandle......#.. + 455a0: 506f6f6c 48616e64 6c650000 00361902 PoolHandle...6.. + 455b0: 23100002 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 455c0: 54455854 00020000 39f90365 6e645f70 TEXT....9..end_p + 455d0: 6f696e74 00000001 a1022300 03687463 oint......#..htc + 455e0: 5f666c61 67730000 0001a102 23010006 _flags......#... + 455f0: 6874635f 68616e64 6c655f74 00000002 htc_handle_t.... + 45600: 32064854 435f5345 5455505f 434f4d50 2.HTC_SETUP_COMP + 45610: 4c455445 5f434200 000019bf 06485443 LETE_CB......HTC + 45620: 5f434f4e 46494700 0000394c 0400003a _CONFIG...9L...: + 45630: 2604000a 000039f9 01040000 3a3d0400 &.....9.....:=.. + 45640: 09010400 003a4a04 00064854 435f5345 .....:J...HTC_SE + 45650: 52564943 45000000 378d0400 003a5304 RVICE...7....:S. + 45660: 00090104 00003a6b 04000901 0400003a ......:k.......: + 45670: 74040009 01040000 3a7d0400 0a000001 t.......:}...... + 45680: ed010400 003a8604 00026874 635f6170 .....:....htc_ap + 45690: 69730034 00003c03 035f4854 435f496e is.4..<.._HTC_In + 456a0: 69740000 003a4302 2300035f 4854435f it...:C.#.._HTC_ + 456b0: 53687574 646f776e 0000003a 4c022304 Shutdown...:L.#. + 456c0: 035f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 456d0: 72766963 65000000 3a6d0223 08035f48 rvice...:m.#.._H + 456e0: 54435f52 65616479 0000003a 4c02230c TC_Ready...:L.#. + 456f0: 035f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 45700: 65727300 00003a76 02231003 5f485443 ers...:v.#.._HTC + 45710: 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 45720: 73740000 003a7f02 2314035f 4854435f st...:..#.._HTC_ + 45730: 53656e64 4d736700 00003a76 02231803 SendMsg...:v.#.. + 45740: 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 45750: 48656164 726f6f6d 0000003a 8c02231c Headroom...:..#. + 45760: 035f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 45770: 646c6572 00000017 77022320 035f4854 dler....w.# ._HT + 45780: 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 45790: 72000000 176e0223 24035f48 54435f43 r....n.#$._HTC_C + 457a0: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 457b0: 4d736700 00003921 02232803 5f485443 Msg...9!.#(._HTC + 457c0: 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 457d0: 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 457e0: 00392a02 232c0370 52657365 72766564 .9*.#,.pReserved + 457f0: 00000002 32022330 0002686f 73745f61 ....2.#0..host_a + 45800: 70705f61 7265615f 73000400 003c3303 pp_area_s....<3. + 45810: 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 45820: 00000005 36022300 000c0e00 003c6a03 ....6.#......f.HtcHan + 45a10: 646c6500 000039f9 02230003 506f6f6c dle...9..#..Pool + 45a20: 48616e64 6c650000 00361902 2304034d Handle...6..#..M + 45a30: 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 45a40: 0001ed02 2308034d 61784576 656e7445 ....#..MaxEventE + 45a50: 76747300 000001ed 02230c00 09010400 vts......#...... + 45a60: 003e6604 0006574d 495f434d 445f4841 .>f...WMI_CMD_HA + 45a70: 4e444c45 52000000 3e68025f 574d495f NDLER...>h._WMI_ + 45a80: 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 45a90: 00003ecf 0370436d 6448616e 646c6572 ..>..pCmdHandler + 45aa0: 0000003e 6f022300 03436d64 49440000 ...>o.#..CmdID.. + 45ab0: 0001cb02 23040346 6c616773 00000001 ....#..Flags.... + 45ac0: cb022306 00025f57 4d495f44 49535041 ..#..._WMI_DISPA + 45ad0: 5443485f 5441424c 45001000 003f3003 TCH_TABLE....?0. + 45ae0: 704e6578 74000000 3f300223 00037043 pNext...?0.#..pC + 45af0: 6f6e7465 78740000 00023202 2304034e ontext....2.#..N + 45b00: 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 45b10: 0001ed02 23080370 5461626c 65000000 ....#..pTable... + 45b20: 3f4f0223 0c000400 003ecf04 0006574d ?O.#.....>....WM + 45b30: 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 45b40: 0000003e 84040000 3f370400 0400003e ...>....?7.....> + 45b50: cf040006 4854435f 4255465f 434f4e54 ....HTC_BUF_CONT + 45b60: 45585400 000039bd 10574d49 5f455654 EXT...9..WMI_EVT + 45b70: 5f434c41 53530004 00003fe7 19574d49 _CLASS....?..WMI + 45b80: 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 45b90: ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 45ba0: 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W + 45bb0: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 45bc0: 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT + 45bd0: 5f434c41 53535f4d 41580002 0006574d _CLASS_MAX....WM + 45be0: 495f4556 545f434c 41535300 00003f72 I_EVT_CLASS...?r + 45bf0: 025f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 45c00: 54000c00 00404503 48746342 75664374 T....@E.HtcBufCt + 45c10: 78000000 3f5d0223 00034576 656e7443 x...?].#..EventC + 45c20: 6c617373 0000003f e7022304 03466c61 lass...?..#..Fla + 45c30: 67730000 0001cb02 23080006 776d695f gs......#...wmi_ + 45c40: 68616e64 6c655f74 00000002 3206574d handle_t....2.WM + 45c50: 495f5356 435f434f 4e464947 0000003d I_SVC_CONFIG...= + 45c60: fd040000 40570400 0a000040 45010400 ....@W.....@E... + 45c70: 00407204 0006574d 495f4449 53504154 .@r...WMI_DISPAT + 45c80: 43485f54 41424c45 0000003e cf040000 CH_TABLE...>.... + 45c90: 407f0400 09010400 00409e04 000a0000 @........@...... + 45ca0: 15620104 000040a7 04000901 04000040 .b....@........@ + 45cb0: b404000a 000001ed 01040000 40bd0400 ............@... + 45cc0: 09010400 0040ca04 000a0000 01a10104 .....@.......... + 45cd0: 000040d3 0400025f 776d695f 7376635f ..@...._wmi_svc_ + 45ce0: 61706973 002c0000 421b035f 574d495f apis.,..B.._WMI_ + 45cf0: 496e6974 00000040 78022300 035f574d Init...@x.#.._WM + 45d00: 495f5265 67697374 65724469 73706174 I_RegisterDispat + 45d10: 63685461 626c6500 000040a0 02230403 chTable...@..#.. + 45d20: 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 45d30: 000040ad 02230803 5f574d49 5f53656e ..@..#.._WMI_Sen + 45d40: 64457665 6e740000 0040b602 230c035f dEvent...@..#.._ + 45d50: 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 45d60: 656e7473 436f756e 74000000 40c30223 entsCount...@..# + 45d70: 10035f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 45d80: 65746548 616e646c 65720000 00392a02 eteHandler...9*. + 45d90: 2314035f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 45da0: 6f6c4570 00000040 c3022318 035f574d olEp...@..#.._WM + 45db0: 495f5368 7574646f 776e0000 0040cc02 I_Shutdown...@.. + 45dc0: 231c035f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 45dd0: 61676548 616e646c 65720000 00392102 ageHandler...9!. + 45de0: 2320035f 574d495f 53657276 69636543 # ._WMI_ServiceC + 45df0: 6f6e6e65 63740000 0040d902 23240370 onnect...@..#$.p + 45e00: 52657365 72766564 00000002 32022328 Reserved....2.#( + 45e10: 00027a73 446d6144 65736300 14000042 ..zsDmaDesc....B + 45e20: 9d036374 726c0000 0001b502 23000373 ..ctrl......#..s + 45e30: 74617475 73000000 01b50223 0203746f tatus......#..to + 45e40: 74616c4c 656e0000 0001b502 23040364 talLen......#..d + 45e50: 61746153 697a6500 000001b5 02230603 ataSize......#.. + 45e60: 6c617374 41646472 00000042 9d022308 lastAddr...B..#. + 45e70: 03646174 61416464 72000000 1a290223 .dataAddr....).# + 45e80: 0c036e65 78744164 64720000 00429d02 ..nextAddr...B.. + 45e90: 23100004 0000421b 04000400 00421b04 #.....B......B.. + 45ea0: 00027a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 45eb0: 42dd0368 65616400 000042a4 02230003 B..head...B..#.. + 45ec0: 7465726d 696e6174 6f720000 0042a402 terminator...B.. + 45ed0: 23040002 7a735478 446d6151 75657565 #...zsTxDmaQueue + 45ee0: 00100000 43410368 65616400 000042a4 ....CA.head...B. + 45ef0: 02230003 7465726d 696e6174 6f720000 .#..terminator.. + 45f00: 0042a402 23040378 6d697465 645f6275 .B..#..xmited_bu + 45f10: 665f6865 61640000 00032902 23080378 f_head....).#..x + 45f20: 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 45f30: 00032902 230c0009 01040000 43410400 ..).#.......CA.. + 45f40: 04000042 ab040009 01040000 43510400 ...B........CQ.. + 45f50: 04000042 dd040009 01040000 43610400 ...B........Ca.. + 45f60: 09010400 00436a04 00090104 00004373 .....Cj.......Cs + 45f70: 04000a00 00032901 04000043 7c040009 ......)....C|... + 45f80: 01040000 43890400 0a000003 29010400 ....C.......)... + 45f90: 00439204 00090104 0000439f 04000a00 .C........C..... + 45fa0: 0001ed01 04000043 a804000a 000042a4 .......C......B. + 45fb0: 01040000 43b50400 09010400 0043c204 ....C........C.. + 45fc0: 0002646d 615f656e 67696e65 5f617069 ..dma_engine_api + 45fd0: 00400000 4538035f 696e6974 00000043 .@..E8._init...C + 45fe0: 43022300 035f696e 69745f72 785f7175 C.#.._init_rx_qu + 45ff0: 65756500 00004353 02230403 5f696e69 eue...CS.#.._ini + 46000: 745f7478 5f717565 75650000 00436302 t_tx_queue...Cc. + 46010: 2308035f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 46020: 65756500 0000436c 02230c03 5f786d69 eue...Cl.#.._xmi + 46030: 745f6275 66000000 43750223 10035f66 t_buf...Cu.#.._f + 46040: 6c757368 5f786d69 74000000 43530223 lush_xmit...CS.# + 46050: 14035f72 6561705f 72656376 5f627566 .._reap_recv_buf + 46060: 00000043 82022318 035f7265 7475726e ...C..#.._return + 46070: 5f726563 765f6275 66000000 438b0223 _recv_buf...C..# + 46080: 1c035f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 46090: 75660000 00439802 2320035f 73776170 uf...C..# ._swap + 460a0: 5f646174 61000000 43a10223 24035f68 _data...C..#$._h + 460b0: 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 460c0: 00000043 ae022328 035f6465 73635f64 ...C..#(._desc_d + 460d0: 756d7000 00004353 02232c03 5f676574 ump...CS.#,._get + 460e0: 5f706163 6b657400 000043bb 02233003 _packet...C..#0. + 460f0: 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 46100: 000043c4 02233403 5f707574 5f706163 ..C..#4._put_pac + 46110: 6b657400 000043c4 02233803 70526573 ket...C..#8.pRes + 46120: 65727665 64000000 02320223 3c00065f erved....2.#<.._ + 46130: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 46140: 696f6e5f 7461626c 655f7400 00003077 ion_table_t...0w + 46150: 06574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 46160: 40e0135f 415f6d61 67706965 5f696e64 @.._A_magpie_ind + 46170: 69726563 74696f6e 5f746162 6c650003 irection_table.. + 46180: 4c000046 6603636d 6e6f7300 00004538 L..Ff.cmnos...E8 + 46190: 02230003 64626700 00001c4b 0323b803 .#..dbg....K.#.. + 461a0: 03686966 00000018 6d0323c0 03036874 .hif....m.#...ht + 461b0: 63000000 3a930323 f8030377 6d695f73 c...:..#...wmi_s + 461c0: 76635f61 70690000 00455a03 23ac0403 vc_api...EZ.#... + 461d0: 75736266 69666f5f 61706900 0000324b usbfifo_api...2K + 461e0: 0323d804 03627566 5f706f6f 6c000000 .#...buf_pool... + 461f0: 36f40323 e4040376 62756600 00000353 6..#...vbuf....S + 46200: 03238005 03766465 73630000 00023503 .#...vdesc....5. + 46210: 23940503 616c6c6f 6372616d 00000021 #...allocram...! + 46220: 8f0323a8 0503646d 615f656e 67696e65 ..#...dma_engine + 46230: 00000043 cb0323b4 0503646d 615f6c69 ...C..#...dma_li + 46240: 62000000 2bd60323 f4050368 69665f70 b...+..#...hif_p + 46250: 63690000 002e3603 23a80600 1a706369 ci....6.#....pci + 46260: 5f736300 00002cf2 05030050 0708010a _sc...,....P.... + 46270: 000003fa 01040000 03fa0400 0901065f ..............._ + 46280: 415f6d61 67706965 5f696e64 69726563 A_magpie_indirec + 46290: 74696f6e 5f746162 6c655f74 00000045 tion_table_t...E + 462a0: 6c070000 044f1900 0046b808 18000400 l....O...F...... + 462b0: 0046ab04 00070000 044f0b00 0046cc08 .F.......O...F.. + 462c0: 0a000400 0046bf04 00070000 044f1300 .....F.......O.. + 462d0: 0046e008 12000400 0046d304 00070000 .F.......F...... + 462e0: 044f0f00 0046f408 0e000400 0046e704 .O...F.......F.. + 462f0: 00070000 044f1000 00470808 0f000400 .....O...G...... + 46300: 0046fb04 000a0000 01ed0107 0000044f .F.............O + 46310: 15000047 22081400 04000047 15040009 ...G"......G.... + 46320: 01040000 186d0400 09010400 00470f04 .....m.......G.. + 46330: 00040000 47290400 0400002e 36040009 ....G)......6... + 46340: 011b0131 5f5f7063 695f7265 675f7265 ...1__pci_reg_re + 46350: 61640000 0003fa01 01039201 20029000 ad.......... ... + 46360: 008e2f94 008e2f9e 00004785 1c013161 ../.../...G...1a + 46370: 64647200 000003fa 0152001d 01375f5f ddr......R...7__ + 46380: 7063695f 7265675f 77726974 65000101 pci_reg_write... + 46390: 03920120 02900000 8e2fa000 8e2faa00 ... ...../.../.. + 463a0: 0047c91c 01376164 64720000 0003fa01 .G...7addr...... + 463b0: 521c0137 76616c00 000003fa 0153001b R..7val......S.. + 463c0: 013d5f5f 7063695f 6765745f 70697065 .=__pci_get_pipe + 463d0: 00000001 a1010103 92012002 9000008e .......... ..... + 463e0: 2fac008e 2fd30000 48021c01 3d656e67 /.../...H...=eng + 463f0: 0000002b 1b015200 1b01525f 5f706369 ...+..R...R__pci + 46400: 5f676574 5f74785f 656e6700 00002b1b _get_tx_eng...+. + 46410: 01010392 01200290 00008e2f d4008e2f ..... ...../.../ + 46420: e8000048 3e1c0152 70697065 0000002d ...H>..Rpipe...- + 46430: 75015200 1b01605f 5f706369 5f676574 u.R...`__pci_get + 46440: 5f72785f 656e6700 00002b1b 01010392 _rx_eng...+..... + 46450: 01200290 00008e2f e8008e30 0b000048 . ...../...0...H + 46460: 7a1c0160 70697065 0000002e 12015200 z..`pipe......R. + 46470: 1d01765f 5f706369 5f656e61 626c6500 ..v__pci_enable. + 46480: 01010392 01200290 00008e30 0c008e30 ..... .....0...0 + 46490: 22000048 ac1e725f 64617461 00000003 "..H..r_data.... + 464a0: fa001d01 875f5f70 63695f72 65736574 .....__pci_reset + 464b0: 00010103 92013002 9000008e 3024008e ......0.....0$.. + 464c0: 30d80000 48e01f72 5f646174 61000000 0...H..r_data... + 464d0: 03fa0291 50002001 b95f5f70 63695f62 ....P. ..__pci_b + 464e0: 6f6f745f 696e6974 00010103 92012002 oot_init...... . + 464f0: 9000008e 30d8008e 310d1b01 cd5f5f70 ....0...1....__p + 46500: 63695f69 6e697400 000017ca 01010392 ci_init......... + 46510: 01200290 00008e31 10008e31 63000049 . .....1...1c..I + 46520: 3d1c01cd 70436f6e 66696700 000017ec =...pConfig..... + 46530: 0152001d 01ea5f5f 7063695f 6366675f .R....__pci_cfg_ + 46540: 70697065 00010103 92012002 9000008e pipe...... ..... + 46550: 3164008e 319e0000 49a91c01 ea68646c 1d..1...I....hdl + 46560: 00000017 ca01521c 01ea7069 70650000 ......R...pipe.. + 46570: 0001ed01 531c01ea 6e756d5f 64657363 ....S...num_desc + 46580: 00000001 ed01541e 656e6700 00002b1b ......T.eng...+. + 46590: 1e646573 635f6c65 6e000000 01cb0021 .desc_len......! + 465a0: 0101005f 5f706369 5f737461 72740001 ...__pci_start.. + 465b0: 01039201 20029000 008e31a0 008e31a5 .... .....1...1. + 465c0: 000049dd 22010100 68646c00 000017ca ..I."...hdl..... + 465d0: 01520021 01010b5f 5f706369 5f726567 .R.!...__pci_reg + 465e0: 5f63616c 6c626163 6b000101 03920120 _callback...... + 465f0: 02900000 8e31a800 8e31bc00 004a2d22 .....1...1...J-" + 46600: 01010b68 646c0000 0017ca01 52220101 ...hdl......R".. + 46610: 0b737700 0000181b 01531e73 63000000 .sw......S.sc... + 46620: 2d050021 01011b5f 5f706369 5f726561 -..!...__pci_rea + 46630: 705f786d 69747465 64000101 03920120 p_xmitted...... + 46640: 02900000 8e31bc00 8e31e800 004a8c22 .....1...1...J." + 46650: 01011b73 63000000 2d050152 2201011b ...sc...-..R"... + 46660: 656e675f 6e6f0000 002b1b01 531e7662 eng_no...+..S.vb + 46670: 75660000 0003291e 70697065 00000001 uf....).pipe.... + 46680: a1002101 01345f5f 7063695f 72656170 ..!..4__pci_reap + 46690: 5f726563 76000101 03920120 02900000 _recv...... .... + 466a0: 8e31e800 8e321000 004ade22 01013473 .1...2...J."..4s + 466b0: 63000000 2d050152 22010134 656e675f c...-..R"..4eng_ + 466c0: 6e6f0000 002b1b01 531e7662 75660000 no...+..S.vbuf.. + 466d0: 00032900 21010145 5f5f7063 695f6973 ..).!..E__pci_is + 466e0: 725f6861 6e646c65 72000101 03920120 r_handler...... + 466f0: 02900000 8e321000 8e32af00 004b2a22 .....2...2...K*" + 46700: 01014568 646c0000 0017ca01 521e7363 ..Ehdl......R.sc + 46710: 0000002d 051e6d6f 72650000 0001cb00 ...-..more...... + 46720: 23010171 5f5f7063 695f786d 69745f62 #..q__pci_xmit_b + 46730: 75660000 0001ed01 01039201 20029000 uf.......... ... + 46740: 008e32b0 008e32da 00004b8c 22010171 ..2...2...K."..q + 46750: 68646c00 000017ca 01522201 01717069 hdl......R"..qpi + 46760: 70650000 0001ed01 53220101 71766275 pe......S"..qvbu + 46770: 66000000 03290154 1e656e67 0000002b f....).T.eng...+ + 46780: 1b002101 01865f5f 7063695f 72657475 ..!...__pci_retu + 46790: 726e5f72 65637600 01010392 01200290 rn_recv...... .. + 467a0: 00008e32 dc008e32 f700004b ec220101 ...2...2...K.".. + 467b0: 8668646c 00000017 ca015222 01018670 .hdl......R"...p + 467c0: 69706500 000001ed 01532201 01866275 ipe......S"...bu + 467d0: 66000000 03290154 1e656e67 0000002b f....).T.eng...+ + 467e0: 1b002301 019a5f5f 7063695f 69735f70 ..#...__pci_is_p + 467f0: 6970655f 73757070 6f727465 64000000 ipe_supported... + 46800: 01ed0101 03920120 02900000 8e32f800 ....... .....2.. + 46810: 8e330600 004c3f22 01019a68 646c0000 .3...L?"...hdl.. + 46820: 0017ca01 52220101 9a706970 65000000 ....R"...pipe... + 46830: 01ed0153 00230101 aa5f5f70 63695f67 ...S.#...__pci_g + 46840: 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 46850: 0001ed01 01039201 20029000 008e3308 ........ .....3. + 46860: 008e3316 00004c90 220101aa 68646c00 ..3...L."...hdl. + 46870: 000017ca 01522201 01aa7069 70650000 .....R"...pipe.. + 46880: 0001ed01 53002301 01b95f5f 7063695f ....S.#...__pci_ + 46890: 6765745f 72657365 72766564 5f686561 get_reserved_hea + 468a0: 64726f6f 6d000000 01ed0101 03920120 droom.......... + 468b0: 02900000 8e331800 8e331f00 004cd822 .....3...3...L." + 468c0: 0101b968 646c0000 0017ca01 52002101 ...hdl......R.!. + 468d0: 01c35f5f 7063695f 73687574 646f776e ..__pci_shutdown + 468e0: 00010103 92012002 9000008e 3320008e ...... .....3 .. + 468f0: 33250000 4d0f2201 01c36864 6c000000 3%..M."...hdl... + 46900: 17ca0152 00210101 c95f5f70 63695f67 ...R.!...__pci_g + 46910: 65745f64 65665f70 69706500 01010392 et_def_pipe..... + 46920: 01200290 00008e33 28008e33 3500004d . .....3(..35..M + 46930: 71220101 c968616e 646c6500 000017ca q"...handle..... + 46940: 01522201 01c97069 70655f72 78000000 .R"...pipe_rx... + 46950: 01ae0153 220101c9 70697065 5f747800 ...S"...pipe_tx. + 46960: 000001ae 01540021 0101d468 69665f70 .....T.!...hif_p + 46970: 63695f6d 6f64756c 655f696e 7374616c ci_module_instal + 46980: 6c000101 03920120 02900000 8e333800 l...... .....38. + 46990: 8e337900 004db122 0101d461 70697300 .3y..M."...apis. + 469a0: 0000472b 01520024 0101e668 69665f70 ..G+.R.$...hif_p + 469b0: 63695f61 70695f69 6e737461 6c6c0001 ci_api_install.. + 469c0: 01039201 20029000 008e337c 008e33ae .... .....3|..3. + 469d0: 220101e6 61706973 00000047 42015200 "...apis...GB.R. + 469e0: 00000000 4f6f0002 000019a8 04012f72 ....Oo......../r + 469f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 46a00: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 46a10: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 46a20: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 46a30: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 46a40: 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ + 46a50: 7573622f 7372632f 4849465f 7573622e usb/src/HIF_usb. + 46a60: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 46a70: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 46a80: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 46a90: 2f726f6d 2f686966 2f757362 0078742d /rom/hif/usb.xt- + 46aa0: 78636320 666f7220 372e312e 30202d4f xcc for 7.1.0 -O + 46ab0: 50543a61 6c69676e 5f696e73 74727563 PT:align_instruc + 46ac0: 74696f6e 733d3332 202d4f32 202d6733 tions=32 -O2 -g3 + 46ad0: 202d4f50 543a7370 61636500 01000000 -OPT:space..... + 46ae0: f41b0201 03000001 00040004 696e7400 ............int. + 46af0: 05040463 68617200 07010500 00011005 ...char......... + 46b00: 00000110 03000001 1d040006 00000109 ................ + 46b10: 01030000 01290400 07707269 6e74665f .....)...printf_ + 46b20: 61706900 08000001 6d085f70 72696e74 api.....m._print + 46b30: 665f696e 69740000 00010202 2300085f f_init......#.._ + 46b40: 7072696e 74660000 00012f02 23040004 printf..../.#... + 46b50: 73686f72 7420756e 7369676e 65642069 short unsigned i + 46b60: 6e740007 02097569 6e743136 5f740000 nt....uint16_t.. + 46b70: 00016d04 6c6f6e67 20756e73 69676e65 ..m.long unsigne + 46b80: 6420696e 74000704 0975696e 7433325f d int....uint32_ + 46b90: 74000000 01910775 6172745f 6669666f t......uart_fifo + 46ba0: 00080000 01ff0873 74617274 5f696e64 .......start_ind + 46bb0: 65780000 00018302 23000865 6e645f69 ex......#..end_i + 46bc0: 6e646578 00000001 83022302 086f7665 ndex......#..ove + 46bd0: 7272756e 5f657272 00000001 a6022304 rrun_err......#. + 46be0: 00077561 72745f61 70690020 000002b8 ..uart_api. .... + 46bf0: 085f7561 72745f69 6e697400 0000030f ._uart_init..... + 46c00: 02230008 5f756172 745f6368 61725f70 .#.._uart_char_p + 46c10: 75740000 00033602 2304085f 75617274 ut....6.#.._uart + 46c20: 5f636861 725f6765 74000000 034a0223 _char_get....J.# + 46c30: 08085f75 6172745f 7374725f 6f757400 .._uart_str_out. + 46c40: 00000353 02230c08 5f756172 745f7461 ...S.#.._uart_ta + 46c50: 736b0000 00010202 2310085f 75617274 sk......#.._uart + 46c60: 5f737461 74757300 0000030f 02231408 _status......#.. + 46c70: 5f756172 745f636f 6e666967 00000003 _uart_config.... + 46c80: 5c022318 085f7561 72745f68 77696e69 \.#.._uart_hwini + 46c90: 74000000 03650223 1c000300 0001ff04 t....e.#........ + 46ca0: 00077561 72745f62 6c6b0010 00000309 ..uart_blk...... + 46cb0: 08646562 75675f6d 6f646500 00000183 .debug_mode..... + 46cc0: 02230008 62617564 00000001 83022302 .#..baud......#. + 46cd0: 085f7561 72740000 0002b802 2304085f ._uart......#.._ + 46ce0: 74780000 0001b402 23080006 000001a6 tx......#....... + 46cf0: 01030000 03090400 04756e73 69676e65 .........unsigne + 46d00: 64206368 61720007 01097569 6e74385f d char....uint8_ + 46d10: 74000000 03160201 03000003 34040003 t...........4... + 46d20: 00000327 04000600 00018301 03000003 ...'............ + 46d30: 44040002 01030000 03510400 02010300 D........Q...... + 46d40: 00035a04 00020103 00000363 04000300 ..Z........c.... + 46d50: 00011004 00060000 01090103 00000373 ...............s + 46d60: 04000744 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST + 46d70: 52554354 000c0000 03cb0863 6d645f73 RUCT.......cmd_s + 46d80: 74720000 00036c02 23000868 656c705f tr....l.#..help_ + 46d90: 73747200 0000036c 02230408 636d645f str....l.#..cmd_ + 46da0: 66756e63 00000003 79022308 00076462 func....y.#...db + 46db0: 675f6170 69000800 0003fe08 5f646267 g_api......._dbg + 46dc0: 5f696e69 74000000 01020223 00085f64 _init......#.._d + 46dd0: 62675f74 61736b00 00000102 02230400 bg_task......#.. + 46de0: 0a040004 756e7369 676e6564 20696e74 ....unsigned int + 46df0: 00070406 000003fe 01030000 04110400 ................ + 46e00: 0b0b0300 00041f04 00060000 03fe0103 ................ + 46e10: 00000427 04000600 00010901 03000004 ...'............ + 46e20: 34040007 6d656d5f 61706900 14000004 4...mem_api..... + 46e30: a3085f6d 656d5f69 6e697400 00000102 .._mem_init..... + 46e40: 02230008 5f6d656d 73657400 00000417 .#.._memset..... + 46e50: 02230408 5f6d656d 63707900 0000042d .#.._memcpy....- + 46e60: 02230808 5f6d656d 6d6f7665 00000004 .#.._memmove.... + 46e70: 2d02230c 085f6d65 6d636d70 00000004 -.#.._memcmp.... + 46e80: 3a022310 000c7265 67697374 65725f64 :.#...register_d + 46e90: 756d705f 73000001 03000004 a3040002 ump_s........... + 46ea0: 01030000 04bd0400 02010300 0004c604 ................ + 46eb0: 00060000 01090103 000004cf 04000d68 ...............h + 46ec0: 6f737469 665f7300 04000005 2b0e4849 ostif_s.....+.HI + 46ed0: 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE + 46ee0: 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI + 46ef0: 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. + 46f00: 040e4849 465f4e4f 4e450005 0009415f ..HIF_NONE....A_ + 46f10: 484f5354 49460000 0004dc06 0000052b HOSTIF.........+ + 46f20: 01030000 05390400 06000003 27010300 .....9......'... + 46f30: 00054604 00060000 01830103 00000553 ..F............S + 46f40: 0400076d 6973635f 61706900 24000006 ...misc_api.$... + 46f50: 43085f73 79737465 6d5f7265 73657400 C._system_reset. + 46f60: 00000102 02230008 5f6d6163 5f726573 .....#.._mac_res + 46f70: 65740000 00010202 2304085f 61737366 et......#.._assf + 46f80: 61696c00 000004bf 02230808 5f6d6973 ail......#.._mis + 46f90: 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han + 46fa0: 646c6572 00000004 bf02230c 085f7265 dler......#.._re + 46fb0: 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ + 46fc0: 686f7374 00000004 c8022310 085f7461 host......#.._ta + 46fd0: 72676574 5f69645f 67657400 000004d5 rget_id_get..... + 46fe0: 02231408 5f69735f 686f7374 5f707265 .#.._is_host_pre + 46ff0: 73656e74 00000005 3f022318 085f6b62 sent....?.#.._kb + 47000: 68697400 0000054c 02231c08 5f726f6d hit....L.#.._rom + 47010: 5f766572 73696f6e 5f676574 00000005 _version_get.... + 47020: 59022320 00060000 036c0103 00000643 Y.# .....l.....C + 47030: 04000600 00036c01 03000006 50040006 ......l.....P... + 47040: 00000109 01030000 065d0400 06000001 .........]...... + 47050: 09010300 00066a04 00060000 01090103 ......j......... + 47060: 00000677 04000773 7472696e 675f6170 ...w...string_ap + 47070: 69001800 0006fd08 5f737472 696e675f i......._string_ + 47080: 696e6974 00000001 02022300 085f7374 init......#.._st + 47090: 72637079 00000006 49022304 085f7374 rcpy....I.#.._st + 470a0: 726e6370 79000000 06560223 08085f73 rncpy....V.#.._s + 470b0: 74726c65 6e000000 06630223 0c085f73 trlen....c.#.._s + 470c0: 7472636d 70000000 06700223 10085f73 trcmp....p.#.._s + 470d0: 74726e63 6d700000 00067d02 2314000f trncmp....}.#... + 470e0: 00000401 14000007 0a100400 095f415f ............._A_ + 470f0: 54494d45 525f5350 41434500 000006fd TIMER_SPACE..... + 47100: 09415f74 696d6572 5f740000 00070a03 .A_timer_t...... + 47110: 0000071e 04000201 03000007 34040002 ............4... + 47120: 01030000 073d0400 09415f48 414e444c .....=...A_HANDL + 47130: 45000000 04010201 09415f54 494d4552 E........A_TIMER + 47140: 5f46554e 43000000 07540300 00075604 _FUNC....T....V. + 47150: 00020103 0000076f 04000774 696d6572 .......o...timer + 47160: 5f617069 00140000 07ee085f 74696d65 _api......._time + 47170: 725f696e 69740000 00010202 2300085f r_init......#.._ + 47180: 74696d65 725f6172 6d000000 07360223 timer_arm....6.# + 47190: 04085f74 696d6572 5f646973 61726d00 .._timer_disarm. + 471a0: 0000073f 02230808 5f74696d 65725f73 ...?.#.._timer_s + 471b0: 6574666e 00000007 7102230c 085f7469 etfn....q.#.._ti + 471c0: 6d65725f 72756e00 00000102 02231000 mer_run......#.. + 471d0: 09424f4f 4c45414e 00000001 83060000 .BOOLEAN........ + 471e0: 07ee0103 000007fb 04000600 0007ee01 ................ + 471f0: 03000008 08040006 000007ee 01030000 ................ + 47200: 08150400 07726f6d 705f6170 69001000 .....romp_api... + 47210: 00088708 5f726f6d 705f696e 69740000 ...._romp_init.. + 47220: 00010202 2300085f 726f6d70 5f646f77 ....#.._romp_dow + 47230: 6e6c6f61 64000000 08010223 04085f72 nload......#.._r + 47240: 6f6d705f 696e7374 616c6c00 0000080e omp_install..... + 47250: 02230808 5f726f6d 705f6465 636f6465 .#.._romp_decode + 47260: 00000008 1b02230c 0007726f 6d5f7061 ......#...rom_pa + 47270: 7463685f 73740010 000008e3 08637263 tch_st.......crc + 47280: 31360000 00018302 2300086c 656e0000 16......#..len.. + 47290: 00018302 2302086c 645f6164 64720000 ....#..ld_addr.. + 472a0: 0001a602 23040866 756e5f61 64647200 ....#..fun_addr. + 472b0: 000001a6 02230808 7066756e 00000003 .....#..pfun.... + 472c0: 3d02230c 00076565 705f7265 6469725f =.#...eep_redir_ + 472d0: 61646472 00040000 0915086f 66667365 addr.......offse + 472e0: 74000000 01830223 00087369 7a650000 t......#..size.. + 472f0: 00018302 23020009 415f5549 4e543332 ....#...A_UINT32 + 47300: 00000004 01060000 03fe0103 00000923 ...............# + 47310: 04000761 6c6c6f63 72616d5f 61706900 ...allocram_api. + 47320: 0c000009 9408636d 6e6f735f 616c6c6f ......cmnos_allo + 47330: 6372616d 5f696e69 74000000 09290223 cram_init....).# + 47340: 0008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 47350: 00000009 29022304 08636d6e 6f735f61 ....).#..cmnos_a + 47360: 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... + 47370: 01020223 08000201 03000009 94040009 ...#............ + 47380: 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. + 47390: 00099607 5f746173 6b6c6574 00100000 ...._tasklet.... + 473a0: 09f50866 756e6300 0000099d 02230008 ...func......#.. + 473b0: 61726700 000003fe 02230408 73746174 arg......#..stat + 473c0: 65000000 01090223 08086e65 78740000 e......#..next.. + 473d0: 0009f502 230c0003 000009b1 04000300 ....#........... + 473e0: 0009b104 0009415f 7461736b 6c65745f ......A_tasklet_ + 473f0: 74000000 09b10300 000a0304 00020103 t............... + 47400: 00000a1b 04000201 0300000a 24040007 ............$... + 47410: 7461736b 6c65745f 61706900 1400000a tasklet_api..... + 47420: b9085f74 61736b6c 65745f69 6e697400 .._tasklet_init. + 47430: 00000102 02230008 5f746173 6b6c6574 .....#.._tasklet + 47440: 5f696e69 745f7461 736b0000 000a1d02 _init_task...... + 47450: 2304085f 7461736b 6c65745f 64697361 #.._tasklet_disa + 47460: 626c6500 00000a26 02230808 5f746173 ble....&.#.._tas + 47470: 6b6c6574 5f736368 6564756c 65000000 klet_schedule... + 47480: 0a260223 0c085f74 61736b6c 65745f72 .&.#.._tasklet_r + 47490: 756e0000 00010202 23100002 01030000 un......#....... + 474a0: 0ab90400 06000009 15010300 000ac204 ................ + 474b0: 00020103 00000acf 04000763 6c6f636b ...........clock + 474c0: 5f617069 00240000 0bb1085f 636c6f63 _api.$....._cloc + 474d0: 6b5f696e 69740000 000abb02 2300085f k_init......#.._ + 474e0: 636c6f63 6b726567 735f696e 69740000 clockregs_init.. + 474f0: 00010202 2304085f 75617274 5f667265 ....#.._uart_fre + 47500: 7175656e 63790000 000ac802 2308085f quency......#.._ + 47510: 64656c61 795f7573 0000000a d102230c delay_us......#. + 47520: 085f776c 616e5f62 616e645f 73657400 ._wlan_band_set. + 47530: 00000ad1 02231008 5f726566 636c6b5f .....#.._refclk_ + 47540: 73706565 645f6765 74000000 0ac80223 speed_get......# + 47550: 14085f6d 696c6c69 7365636f 6e647300 .._milliseconds. + 47560: 00000ac8 02231808 5f737973 636c6b5f .....#.._sysclk_ + 47570: 6368616e 67650000 00010202 231c085f change......#.._ + 47580: 636c6f63 6b5f7469 636b0000 00010202 clock_tick...... + 47590: 23200006 000001a6 01030000 0bb10400 # .............. + 475a0: 09415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... + 475b0: 01a60600 000bbe01 0300000b d0040002 ................ + 475c0: 01030000 0bdd0400 02010300 000be604 ................ + 475d0: 00060000 01a60103 00000bef 04000941 ...............A + 475e0: 5f697372 5f740000 000bf502 01030000 _isr_t.......... + 475f0: 0c090400 06000004 01010300 000c1204 ................ + 47600: 00020103 00000c1f 04000769 6e74725f ...........intr_ + 47610: 61706900 2c00000d 41085f69 6e74725f api.,...A._intr_ + 47620: 696e6974 00000001 02022300 085f696e init......#.._in + 47630: 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... + 47640: 0bb70223 04085f69 6e74725f 64697361 ...#.._intr_disa + 47650: 626c6500 00000bd6 02230808 5f696e74 ble......#.._int + 47660: 725f7265 73746f72 65000000 0bdf0223 r_restore......# + 47670: 0c085f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu + 47680: 6d000000 0be80223 10085f69 6e74725f m......#.._intr_ + 47690: 756e6d61 736b5f69 6e756d00 00000be8 unmask_inum..... + 476a0: 02231408 5f696e74 725f6174 74616368 .#.._intr_attach + 476b0: 5f697372 0000000c 0b022318 085f6765 _isr......#.._ge + 476c0: 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 476d0: 1802231c 085f7365 745f696e 7472656e ..#.._set_intren + 476e0: 61626c65 0000000c 21022320 085f6765 able....!.# ._ge + 476f0: 745f696e 74727065 6e64696e 67000000 t_intrpending... + 47700: 0c180223 24085f75 6e626c6f 636b5f61 ...#$._unblock_a + 47710: 6c6c5f69 6e74726c 766c0000 00010202 ll_intrlvl...... + 47720: 23280011 0400000d 67087469 6d656f75 #(......g.timeou + 47730: 74000000 01a60223 00086163 74696f6e t......#..action + 47740: 00000001 a6022300 00120800 000d8208 ......#......... + 47750: 636d6400 000001a6 02230013 00000d41 cmd......#.....A + 47760: 02230400 09545f57 44545f43 4d440000 .#...T_WDT_CMD.. + 47770: 000d6702 01030000 0d910400 14040000 ..g............. + 47780: 0de70e45 4e554d5f 5744545f 424f4f54 ...ENUM_WDT_BOOT + 47790: 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO + 477a0: 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO + 477b0: 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW + 477c0: 4e5f424f 4f540004 0009545f 424f4f54 N_BOOT....T_BOOT + 477d0: 5f545950 45000000 0d9a0600 000de701 _TYPE........... + 477e0: 0300000d f8040007 7764745f 61706900 ........wdt_api. + 477f0: 1c00000e 9c085f77 64745f69 6e697400 ......_wdt_init. + 47800: 00000102 02230008 5f776474 5f656e61 .....#.._wdt_ena + 47810: 626c6500 00000102 02230408 5f776474 ble......#.._wdt + 47820: 5f646973 61626c65 00000001 02022308 _disable......#. + 47830: 085f7764 745f7365 74000000 0d930223 ._wdt_set......# + 47840: 0c085f77 64745f74 61736b00 00000102 .._wdt_task..... + 47850: 02231008 5f776474 5f726573 65740000 .#.._wdt_reset.. + 47860: 00010202 2314085f 7764745f 6c617374 ....#.._wdt_last + 47870: 5f626f6f 74000000 0dfe0223 18001404 _boot......#.... + 47880: 00000f03 0e524554 5f535543 43455353 .....RET_SUCCESS + 47890: 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. + 478a0: 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. + 478b0: 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP + 478c0: 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER + 478d0: 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO + 478e0: 574e0005 0009545f 4545505f 52455400 WN....T_EEP_RET. + 478f0: 00000e9c 03000001 83040006 00000f03 ................ + 47900: 01030000 0f190400 0600000f 03010300 ................ + 47910: 000f2604 00076565 705f6170 69001000 ..&...eep_api... + 47920: 000f8f08 5f656570 5f696e69 74000000 ...._eep_init... + 47930: 01020223 00085f65 65705f72 65616400 ...#.._eep_read. + 47940: 00000f1f 02230408 5f656570 5f777269 .....#.._eep_wri + 47950: 74650000 000f1f02 2308085f 6565705f te......#.._eep_ + 47960: 69735f65 78697374 0000000f 2c02230c is_exist....,.#. + 47970: 00077573 625f6170 69007000 00123c08 ..usb_api.p...<. + 47980: 5f757362 5f696e69 74000000 01020223 _usb_init......# + 47990: 00085f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. + 479a0: 00000102 02230408 5f757362 5f66775f .....#.._usb_fw_ + 479b0: 7461736b 00000001 02022308 085f7573 task......#.._us + 479c0: 625f696e 69745f70 68790000 00010202 b_init_phy...... + 479d0: 230c085f 7573625f 6570305f 73657475 #.._usb_ep0_setu + 479e0: 70000000 01020223 10085f75 73625f65 p......#.._usb_e + 479f0: 70305f74 78000000 01020223 14085f75 p0_tx......#.._u + 47a00: 73625f65 70305f72 78000000 01020223 sb_ep0_rx......# + 47a10: 18085f75 73625f67 65745f69 6e746572 .._usb_get_inter + 47a20: 66616365 00000008 0e02231c 085f7573 face......#.._us + 47a30: 625f7365 745f696e 74657266 61636500 b_set_interface. + 47a40: 0000080e 02232008 5f757362 5f676574 .....# ._usb_get + 47a50: 5f636f6e 66696775 72617469 6f6e0000 _configuration.. + 47a60: 00080e02 2324085f 7573625f 7365745f ....#$._usb_set_ + 47a70: 636f6e66 69677572 6174696f 6e000000 configuration... + 47a80: 080e0223 28085f75 73625f73 74616e64 ...#(._usb_stand + 47a90: 6172645f 636d6400 0000080e 02232c08 ard_cmd......#,. + 47aa0: 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. + 47ab0: 00000102 02233008 5f757362 5f706f77 .....#0._usb_pow + 47ac0: 65725f6f 66660000 00010202 2334085f er_off......#4._ + 47ad0: 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. + 47ae0: 00010202 2338085f 7573625f 67656e5f ....#8._usb_gen_ + 47af0: 77647400 00000102 02233c08 5f757362 wdt......#<._usb + 47b00: 5f6a756d 705f626f 6f740000 00010202 _jump_boot...... + 47b10: 2340085f 7573625f 636c725f 66656174 #@._usb_clr_feat + 47b20: 75726500 0000080e 02234408 5f757362 ure......#D._usb + 47b30: 5f736574 5f666561 74757265 00000008 _set_feature.... + 47b40: 0e022348 085f7573 625f7365 745f6164 ..#H._usb_set_ad + 47b50: 64726573 73000000 080e0223 4c085f75 dress......#L._u + 47b60: 73625f67 65745f64 65736372 6970746f sb_get_descripto + 47b70: 72000000 080e0223 50085f75 73625f67 r......#P._usb_g + 47b80: 65745f73 74617475 73000000 080e0223 et_status......# + 47b90: 54085f75 73625f73 65747570 5f646573 T._usb_setup_des + 47ba0: 63000000 01020223 58085f75 73625f72 c......#X._usb_r + 47bb0: 65675f6f 75740000 00010202 235c085f eg_out......#\._ + 47bc0: 7573625f 73746174 75735f69 6e000000 usb_status_in... + 47bd0: 01020223 60085f75 73625f65 70305f74 ...#`._usb_ep0_t + 47be0: 785f6461 74610000 00010202 2364085f x_data......#d._ + 47bf0: 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. + 47c00: 00000102 02236808 5f757362 5f636c6b .....#h._usb_clk + 47c10: 5f696e69 74000000 01020223 6c00075f _init......#l.._ + 47c20: 56444553 43002400 0012c808 6e657874 VDESC.$.....next + 47c30: 5f646573 63000000 12c80223 00086275 _desc......#..bu + 47c40: 665f6164 64720000 0012dc02 23040862 f_addr......#..b + 47c50: 75665f73 697a6500 000012e3 02230808 uf_size......#.. + 47c60: 64617461 5f6f6666 73657400 000012e3 data_offset..... + 47c70: 02230a08 64617461 5f73697a 65000000 .#..data_size... + 47c80: 12e30223 0c08636f 6e74726f 6c000000 ...#..control... + 47c90: 12e30223 0e086877 5f646573 635f6275 ...#..hw_desc_bu + 47ca0: 66000000 12f10223 10000300 00123c04 f......#......<. + 47cb0: 0009415f 55494e54 38000000 03160300 ..A_UINT8....... + 47cc0: 0012cf04 0009415f 55494e54 31360000 ......A_UINT16.. + 47cd0: 00016d0f 000012cf 14000012 fe101300 ..m............. + 47ce0: 03000012 3c040009 56444553 43000000 ....<...VDESC... + 47cf0: 123c0300 00130504 00060000 13100103 .<.............. + 47d00: 00001317 04000600 0012dc01 03000013 ................ + 47d10: 24040002 01030000 13310400 07766465 $........1...vde + 47d20: 73635f61 70690014 000013a9 085f696e sc_api......._in + 47d30: 69740000 000ad102 2300085f 616c6c6f it......#.._allo + 47d40: 635f7664 65736300 0000131d 02230408 c_vdesc......#.. + 47d50: 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... + 47d60: 2a022308 085f7377 61705f76 64657363 *.#.._swap_vdesc + 47d70: 00000013 3302230c 08705265 73657276 ....3.#..pReserv + 47d80: 65640000 0003fe02 23100007 5f564255 ed......#..._VBU + 47d90: 46002000 00140908 64657363 5f6c6973 F. .....desc_lis + 47da0: 74000000 13100223 00086e65 78745f62 t......#..next_b + 47db0: 75660000 00140902 23040862 75665f6c uf......#..buf_l + 47dc0: 656e6774 68000000 12e30223 08087265 ength......#..re + 47dd0: 73657276 65640000 00141002 230a0863 served......#..c + 47de0: 74780000 0012f102 230c0003 000013a9 tx......#....... + 47df0: 04000f00 0012cf02 0000141d 10010003 ................ + 47e00: 000013a9 04000956 42554600 000013a9 .......VBUF..... + 47e10: 03000014 24040006 0000142e 01030000 ....$........... + 47e20: 14350400 06000014 2e010300 00144204 .5............B. + 47e30: 00020103 0000144f 04000776 6275665f .......O...vbuf_ + 47e40: 61706900 14000014 cd085f69 6e697400 api......._init. + 47e50: 00000ad1 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 47e60: 62756600 0000143b 02230408 5f616c6c buf....;.#.._all + 47e70: 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz + 47e80: 65000000 14480223 08085f66 7265655f e....H.#.._free_ + 47e90: 76627566 00000014 5102230c 08705265 vbuf....Q.#..pRe + 47ea0: 73657276 65640000 0003fe02 23100007 served......#... + 47eb0: 5f5f6164 665f6465 76696365 00040000 __adf_device.... + 47ec0: 14ef0864 756d6d79 00000001 09022300 ...dummy......#. + 47ed0: 00030000 09150400 075f5f61 64665f64 .........__adf_d + 47ee0: 6d615f6d 6170000c 00001536 08627566 ma_map.....6.buf + 47ef0: 00000014 2e022300 0864735f 61646472 ......#..ds_addr + 47f00: 00000014 ef022304 0864735f 6c656e00 ......#..ds_len. + 47f10: 000012e3 02230800 120c0000 1570085f .....#.......p._ + 47f20: 5f76615f 73746b00 0000036c 02230008 _va_stk....l.#.. + 47f30: 5f5f7661 5f726567 00000003 6c022304 __va_reg....l.#. + 47f40: 085f5f76 615f6e64 78000000 01090223 .__va_ndx......# + 47f50: 0800095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 47f60: 61646472 5f740000 00091509 6164665f addr_t......adf_ + 47f70: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 47f80: 1570095f 5f616466 5f6f735f 646d615f .p.__adf_os_dma_ + 47f90: 73697a65 5f740000 00091509 6164665f size_t......adf_ + 47fa0: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 47fb0: 15a0075f 5f646d61 5f736567 73000800 ...__dma_segs... + 47fc0: 0015fc08 70616464 72000000 15890223 ....paddr......# + 47fd0: 00086c65 6e000000 15b90223 0400095f ..len......#..._ + 47fe0: 5f615f75 696e7433 325f7400 00000915 _a_uint32_t..... + 47ff0: 09615f75 696e7433 325f7400 000015fc .a_uint32_t..... + 48000: 0f000015 d0080000 162b1000 00076164 .........+....ad + 48010: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 48020: 000c0000 1664086e 73656773 00000016 .....d.nsegs.... + 48030: 0e022300 08646d61 5f736567 73000000 ..#..dma_segs... + 48040: 161e0223 0400095f 5f615f75 696e7438 ...#...__a_uint8 + 48050: 5f740000 0012cf09 615f7569 6e74385f _t......a_uint8_ + 48060: 74000000 16640300 00167504 00075f5f t....d....u...__ + 48070: 73675f73 65677300 08000016 b6087661 sg_segs.......va + 48080: 64647200 00001684 02230008 6c656e00 ddr......#..len. + 48090: 0000160e 02230400 0f000016 8b200000 .....#....... .. + 480a0: 16c31003 00076164 665f6f73 5f73676c ......adf_os_sgl + 480b0: 69737400 24000016 f6086e73 65677300 ist.$.....nsegs. + 480c0: 0000160e 02230008 73675f73 65677300 .....#..sg_segs. + 480d0: 000016b6 02230400 12100000 173f0876 .....#.......?.v + 480e0: 656e646f 72000000 160e0223 00086465 endor......#..de + 480f0: 76696365 00000016 0e022304 08737562 vice......#..sub + 48100: 76656e64 6f720000 00160e02 23080873 vendor......#..s + 48110: 75626465 76696365 00000016 0e02230c ubdevice......#. + 48120: 00046c6f 6e67206c 6f6e6720 756e7369 ..long long unsi + 48130: 676e6564 20696e74 00070809 415f5549 gned int....A_UI + 48140: 4e543634 00000017 3f095f5f 615f7569 NT64....?.__a_ui + 48150: 6e743634 5f740000 00175909 615f7569 nt64_t....Y.a_ui + 48160: 6e743634 5f740000 00176714 04000017 nt64_t....g..... + 48170: c50e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC + 48180: 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF + 48190: 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP + 481a0: 455f494f 00010009 6164665f 6f735f72 E_IO....adf_os_r + 481b0: 65736f75 7263655f 74797065 5f740000 esource_type_t.. + 481c0: 00178912 18000018 0f087374 61727400 ..........start. + 481d0: 00001779 02230008 656e6400 00001779 ...y.#..end....y + 481e0: 02230808 74797065 00000017 c5022310 .#..type......#. + 481f0: 00096164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev + 48200: 5f69645f 74000000 16f60300 00180f04 _id_t........... + 48210: 00110400 00184e08 70636900 00001828 ......N.pci....( + 48220: 02230008 72617700 000003fe 02230000 .#..raw......#.. + 48230: 11100000 186d0870 63690000 00180f02 .....m.pci...... + 48240: 23000872 61770000 0003fe02 23000009 #..raw......#... + 48250: 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t + 48260: 00000003 fe096164 665f6f73 5f726573 ......adf_os_res + 48270: 6f757263 655f7400 000017e1 03000018 ource_t......... + 48280: 83040009 6164665f 6f735f61 74746163 ....adf_os_attac + 48290: 685f6461 74615f74 00000018 4e030000 h_data_t....N... + 482a0: 18a10400 03000014 cd040009 5f5f6164 ............__ad + 482b0: 665f6f73 5f646576 6963655f 74000000 f_os_device_t... + 482c0: 18c20961 64665f6f 735f6465 76696365 ...adf_os_device + 482d0: 5f740000 0018c906 0000186d 01030000 _t.........m.... + 482e0: 18f50400 02010300 00190204 00096164 ..............ad + 482f0: 665f6f73 5f706d5f 74000000 03fe0201 f_os_pm_t....... + 48300: 03000019 1c040014 04000019 5c0e4144 ............\.AD + 48310: 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC + 48320: 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T + 48330: 5950455f 47454e45 52494300 02000961 YPE_GENERIC....a + 48340: 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t + 48350: 00000019 25096164 665f6f73 5f627573 ....%.adf_os_bus + 48360: 5f726567 5f646174 615f7400 0000182f _reg_data_t..../ + 48370: 03000003 16040007 5f616466 5f647276 ........_adf_drv + 48380: 5f696e66 6f002000 001a3908 6472765f _info. ...9.drv_ + 48390: 61747461 63680000 0018fb02 23000864 attach......#..d + 483a0: 72765f64 65746163 68000000 19040223 rv_detach......# + 483b0: 04086472 765f7375 7370656e 64000000 ..drv_suspend... + 483c0: 191e0223 08086472 765f7265 73756d65 ...#..drv_resume + 483d0: 00000019 0402230c 08627573 5f747970 ......#..bus_typ + 483e0: 65000000 195c0223 10086275 735f6461 e....\.#..bus_da + 483f0: 74610000 00197302 2314086d 6f645f6e ta....s.#..mod_n + 48400: 616d6500 0000198e 02231808 69666e61 ame......#..ifna + 48410: 6d650000 00198e02 231c0009 6164665f me......#...adf_ + 48420: 6f735f68 616e646c 655f7400 000003fe os_handle_t..... + 48430: 03000016 64040002 01020109 5f5f6164 ....d.......__ad + 48440: 665f6f73 5f73697a 655f7400 00000401 f_os_size_t..... + 48450: 14040000 1a880e41 5f46414c 53450000 .......A_FALSE.. + 48460: 0e415f54 52554500 01000961 5f626f6f .A_TRUE....a_boo + 48470: 6c5f7400 00001a6e 03000014 f6040009 l_t....n........ + 48480: 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map + 48490: 5f740000 001a9602 010d6164 665f6f73 _t........adf_os + 484a0: 5f636163 68655f73 796e6300 0400001b _cache_sync..... + 484b0: 200e4144 465f5359 4e435f50 52455245 .ADF_SYNC_PRERE + 484c0: 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR + 484d0: 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN + 484e0: 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF + 484f0: 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. + 48500: 03000961 64665f6f 735f6361 6368655f ...adf_os_cache_ + 48510: 73796e63 5f740000 001ab702 01096164 sync_t........ad + 48520: 665f6f73 5f73697a 655f7400 00001a59 f_os_size_t....Y + 48530: 0600001b 3b010961 64665f6f 735f646d ....;..adf_os_dm + 48540: 615f6d61 705f7400 00001a9d 0300001b a_map_t......... + 48550: 54040006 000003fe 01030000 1a9d0400 T............... + 48560: 06000003 fe010201 06000015 89010201 ................ + 48570: 0473686f 72742069 6e740005 0209415f .short int....A_ + 48580: 494e5431 36000000 1b8e095f 5f615f69 INT16......__a_i + 48590: 6e743136 5f740000 001b9b09 615f696e nt16_t......a_in + 485a0: 7431365f 74000000 1ba80473 69676e65 t16_t......signe + 485b0: 64206368 61720005 0109415f 494e5438 d char....A_INT8 + 485c0: 0000001b c8095f5f 615f696e 74385f74 ......__a_int8_t + 485d0: 0000001b d709615f 696e7438 5f740000 ......a_int8_t.. + 485e0: 001be312 0c00001c 5a087375 70706f72 ........Z.suppor + 485f0: 74656400 0000160e 02230008 61647665 ted......#..adve + 48600: 7274697a 65640000 00160e02 23040873 rtized......#..s + 48610: 70656564 0000001b b9022308 08647570 peed......#..dup + 48620: 6c657800 00001bf3 02230a08 6175746f lex......#..auto + 48630: 6e656700 00001675 02230b00 0f000016 neg....u.#...... + 48640: 75060000 1c671005 00076164 665f6e65 u....g....adf_ne + 48650: 745f6574 68616464 72000600 001c8b08 t_ethaddr....... + 48660: 61646472 0000001c 5a022300 00095f5f addr....Z.#...__ + 48670: 615f7569 6e743136 5f740000 0012e309 a_uint16_t...... + 48680: 615f7569 6e743136 5f740000 001c8b12 a_uint16_t...... + 48690: 0e00001c ef086574 6865725f 64686f73 ......ether_dhos + 486a0: 74000000 1c5a0223 00086574 6865725f t....Z.#..ether_ + 486b0: 73686f73 74000000 1c5a0223 06086574 shost....Z.#..et + 486c0: 6865725f 74797065 0000001c 9d02230c her_type......#. + 486d0: 00121400 001db015 69705f76 65727369 ........ip_versi + 486e0: 6f6e0000 00167501 00040223 00156970 on....u....#..ip + 486f0: 5f686c00 00001675 01040402 23000869 _hl....u....#..i + 48700: 705f746f 73000000 16750223 01086970 p_tos....u.#..ip + 48710: 5f6c656e 0000001c 9d022302 0869705f _len......#..ip_ + 48720: 69640000 001c9d02 23040869 705f6672 id......#..ip_fr + 48730: 61675f6f 66660000 001c9d02 23060869 ag_off......#..i + 48740: 705f7474 6c000000 16750223 08086970 p_ttl....u.#..ip + 48750: 5f70726f 746f0000 00167502 23090869 _proto....u.#..i + 48760: 705f6368 65636b00 00001c9d 02230a08 p_check......#.. + 48770: 69705f73 61646472 00000016 0e02230c ip_saddr......#. + 48780: 0869705f 64616464 72000000 160e0223 .ip_daddr......# + 48790: 10000761 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 487a0: 64720004 00001e02 08747069 64000000 dr.......tpid... + 487b0: 1c9d0223 00157072 696f0000 00167501 ...#..prio....u. + 487c0: 00030223 02156366 69000000 16750103 ...#..cfi....u.. + 487d0: 01022302 15766964 0000001c 9d02040c ..#..vid........ + 487e0: 02230200 07616466 5f6e6574 5f766964 .#...adf_net_vid + 487f0: 00020000 1e331572 65730000 00167501 .....3.res....u. + 48800: 00040223 00157661 6c000000 1c9d0204 ...#..val....... + 48810: 0c022300 00120c00 001e6f08 72785f62 ..#.......o.rx_b + 48820: 75667369 7a650000 00160e02 23000872 ufsize......#..r + 48830: 785f6e64 65736300 0000160e 02230408 x_ndesc......#.. + 48840: 74785f6e 64657363 00000016 0e022308 tx_ndesc......#. + 48850: 00120800 001e9508 706f6c6c 65640000 ........polled.. + 48860: 001a8802 23000870 6f6c6c5f 77740000 ....#..poll_wt.. + 48870: 00160e02 2304000f 00001675 4000001e ....#......u@... + 48880: a2103f00 12460000 1eca0869 665f6e61 ..?..F.....if_na + 48890: 6d650000 001e9502 23000864 65765f61 me......#..dev_a + 488a0: 64647200 00001c5a 02234000 14040000 ddr....Z.#@..... + 488b0: 1f010e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 488c0: 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O + 488d0: 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT + 488e0: 00010009 6164665f 6f735f64 6d615f6d ....adf_os_dma_m + 488f0: 61736b5f 74000000 1eca0761 64665f64 ask_t......adf_d + 48900: 6d615f69 6e666f00 0800001f 4e08646d ma_info.....N.dm + 48910: 615f6d61 736b0000 001f0102 23000873 a_mask......#..s + 48920: 675f6e73 65677300 0000160e 02230400 g_nsegs......#.. + 48930: 14040000 1fa40e41 44465f4e 45545f43 .......ADF_NET_C + 48940: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 48950: 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 48960: 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET + 48970: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 48980: 50763600 02000961 64665f6e 65745f63 Pv6....adf_net_c + 48990: 6b73756d 5f747970 655f7400 00001f4e ksum_type_t....N + 489a0: 12080000 1fe70874 785f636b 73756d00 .......tx_cksum. + 489b0: 00001fa4 02230008 72785f63 6b73756d .....#..rx_cksum + 489c0: 0000001f a4022304 00096164 665f6e65 ......#...adf_ne + 489d0: 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. + 489e0: 001fbe14 04000020 400e4144 465f4e45 ....... @.ADF_NE + 489f0: 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF + 48a00: 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... + 48a10: 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. + 48a20: 02000961 64665f6e 65745f74 736f5f74 ...adf_net_tso_t + 48a30: 7970655f 74000000 20011210 00002094 ype_t... ..... . + 48a40: 08636b73 756d5f63 61700000 001fe702 .cksum_cap...... + 48a50: 23000874 736f0000 00204002 23080876 #..tso... @.#..v + 48a60: 6c616e5f 73757070 6f727465 64000000 lan_supported... + 48a70: 16750223 0c001220 0000212d 0874785f .u.#... ..!-.tx_ + 48a80: 7061636b 65747300 0000160e 02230008 packets......#.. + 48a90: 72785f70 61636b65 74730000 00160e02 rx_packets...... + 48aa0: 23040874 785f6279 74657300 0000160e #..tx_bytes..... + 48ab0: 02230808 72785f62 79746573 00000016 .#..rx_bytes.... + 48ac0: 0e02230c 0874785f 64726f70 70656400 ..#..tx_dropped. + 48ad0: 0000160e 02231008 72785f64 726f7070 .....#..rx_dropp + 48ae0: 65640000 00160e02 23140872 785f6572 ed......#..rx_er + 48af0: 726f7273 00000016 0e022318 0874785f rors......#..tx_ + 48b00: 6572726f 72730000 00160e02 231c0009 errors......#... + 48b10: 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ + 48b20: 74000000 1c671600 00212d03 00000021 t....g...!-....! + 48b30: 52107f00 17616466 5f6e6574 5f636d64 R....adf_net_cmd + 48b40: 5f6d6361 64647200 03040000 2189086e _mcaddr.....!..n + 48b50: 656c656d 00000016 0e022300 086d6361 elem......#..mca + 48b60: 73740000 00214402 23040009 6164665f st...!D.#...adf_ + 48b70: 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf + 48b80: 6f5f7400 00001c01 09616466 5f6e6574 o_t......adf_net + 48b90: 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t + 48ba0: 0000001e 6f096164 665f6e65 745f636d ....o.adf_net_cm + 48bb0: 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. + 48bc0: 001fe709 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 48bd0: 72696e67 5f696e66 6f5f7400 00001e33 ring_info_t....3 + 48be0: 09616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma + 48bf0: 5f696e66 6f5f7400 00001f18 09616466 _info_t......adf + 48c00: 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. + 48c10: 001c9d09 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 48c20: 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... + 48c30: 20580961 64665f6e 65745f63 6d645f73 X.adf_net_cmd_s + 48c40: 74617473 5f740000 00209409 6164665f tats_t... ..adf_ + 48c50: 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t + 48c60: 00000021 520d6164 665f6e65 745f636d ...!R.adf_net_cm + 48c70: 645f6d63 6173745f 63617000 04000022 d_mcast_cap...." + 48c80: cb0e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 48c90: 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC + 48ca0: 4153545f 4e4f5453 55500001 00096164 AST_NOTSUP....ad + 48cb0: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 48cc0: 6361705f 74000000 22831803 04000023 cap_t..."......# + 48cd0: 9d086c69 6e6b5f69 6e666f00 00002189 ..link_info...!. + 48ce0: 02230008 706f6c6c 5f696e66 6f000000 .#..poll_info... + 48cf0: 21a60223 0008636b 73756d5f 696e666f !..#..cksum_info + 48d00: 00000021 c3022300 0872696e 675f696e ...!..#..ring_in + 48d10: 666f0000 0021e102 23000864 6d615f69 fo...!..#..dma_i + 48d20: 6e666f00 000021fe 02230008 76696400 nfo...!..#..vid. + 48d30: 0000221a 02230008 6f66666c 6f61645f .."..#..offload_ + 48d40: 63617000 00002231 02230008 73746174 cap..."1.#..stat + 48d50: 73000000 22500223 00086d63 6173745f s..."P.#..mcast_ + 48d60: 696e666f 00000022 69022300 086d6361 info..."i.#..mca + 48d70: 73745f63 61700000 0022cb02 23000014 st_cap..."..#... + 48d80: 04000023 f40e4144 465f4e42 55465f52 ...#..ADF_NBUF_R + 48d90: 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A + 48da0: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 48db0: 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R + 48dc0: 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS + 48dd0: 41525900 02000961 64665f6e 6275665f ARY....adf_nbuf_ + 48de0: 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. + 48df0: 0000239d 12080000 24340872 6573756c ..#.....$4.resul + 48e00: 74000000 23f40223 00087661 6c000000 t...#..#..val... + 48e10: 160e0223 04001208 00002464 08747970 ...#......$d.typ + 48e20: 65000000 20400223 00086d73 73000000 e... @.#..mss... + 48e30: 1c9d0223 04086864 725f6f66 66000000 ...#..hdr_off... + 48e40: 16750223 0600075f 5f616466 5f6e6275 .u.#...__adf_nbu + 48e50: 665f7168 65616400 0c000024 a3086865 f_qhead....$..he + 48e60: 61640000 00142e02 23000874 61696c00 ad......#..tail. + 48e70: 0000142e 02230408 716c656e 00000016 .....#..qlen.... + 48e80: 0e022308 00095f5f 6164665f 6e627566 ..#...__adf_nbuf + 48e90: 5f740000 00142e03 00001684 04000300 _t.............. + 48ea0: 00160e04 00020106 00001310 01060000 ................ + 48eb0: 160e0106 00001684 01060000 16840103 ................ + 48ec0: 000012f1 0400095f 5f616466 5f6e6275 .......__adf_nbu + 48ed0: 665f7168 6561645f 74000000 2464095f f_qhead_t...$d._ + 48ee0: 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ + 48ef0: 74000000 24e40300 0024fc04 00060000 t...$....$...... + 48f00: 24a30106 000024a3 01140400 00261c0e $.....$......&.. + 48f10: 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ + 48f20: 53544154 55535f46 41494c45 4400010e STATUS_FAILED... + 48f30: 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. + 48f40: 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME + 48f50: 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN + 48f60: 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E + 48f70: 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S + 48f80: 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. + 48f90: 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. + 48fa0: 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG + 48fb0: 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD + 48fc0: 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST + 48fd0: 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S + 48fe0: 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A + 48ff0: 5f535441 5455535f 45494f00 0c000961 _STATUS_EIO....a + 49000: 5f737461 7475735f 74000000 25270600 _status_t...%'.. + 49010: 00261c01 06000001 09010201 09616466 .&...........adf + 49020: 5f6e6275 665f7400 000024a3 14040000 _nbuf_t...$..... + 49030: 26810e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO + 49040: 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS + 49050: 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE + 49060: 00010009 6164665f 6f735f64 6d615f64 ....adf_os_dma_d + 49070: 69725f74 00000026 4a060000 261c0102 ir_t...&J...&... + 49080: 01096164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 49090: 696e666f 5f740000 00162b03 0000269f info_t....+...&. + 490a0: 04000201 02010600 00263a01 06000024 .........&:....$ + 490b0: a3010201 02010600 00263a01 06000024 .........&:....$ + 490c0: a3010600 00263a01 06000024 a3010600 .....&:....$.... + 490d0: 00263a01 02010201 06000016 0e010600 .&:............. + 490e0: 00168401 02010201 0600001b 3b010600 ............;... + 490f0: 001a8801 0600001a 88010961 64665f6f ...........adf_o + 49100: 735f7367 6c697374 5f740000 0016c303 s_sglist_t...... + 49110: 00002718 04000201 02010201 06000016 ..'............. + 49120: 84010961 64665f6e 6275665f 71756575 ...adf_nbuf_queu + 49130: 655f7400 000024fc 03000027 40040002 e_t...$....'@... + 49140: 01030000 24e40400 02010201 02010600 ....$........... + 49150: 00263a01 06000024 a3010600 00160e01 .&:....$........ + 49160: 06000016 0e010600 001a8801 0600001a ................ + 49170: 88010600 001fa401 06000016 0e010961 ...............a + 49180: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 49190: 5f740000 00241203 0000279c 04000201 _t...$....'..... + 491a0: 02010961 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ + 491b0: 74000000 24340300 0027c004 00020102 t...$4...'...... + 491c0: 01096164 665f6e65 745f6861 6e646c65 ..adf_net_handle + 491d0: 5f740000 0003fe09 6164665f 6e65745f _t......adf_net_ + 491e0: 766c616e 6864725f 74000000 1db00300 vlanhdr_t....... + 491f0: 0027f504 00060000 261c0106 0000261c .'......&.....&. + 49200: 01020102 01075f48 49465f43 4f4e4649 ......_HIF_CONFI + 49210: 47000400 00284408 64756d6d 79000000 G....(D.dummy... + 49220: 01090223 00000201 03000028 44040002 ...#.......(D... + 49230: 01030000 284d0400 075f4849 465f4341 ....(M..._HIF_CA + 49240: 4c4c4241 434b000c 000028a2 0873656e LLBACK....(..sen + 49250: 645f6275 665f646f 6e650000 00284602 d_buf_done...(F. + 49260: 23000872 6563765f 62756600 0000284f #..recv_buf...(O + 49270: 02230408 636f6e74 65787400 000003fe .#..context..... + 49280: 02230800 09686966 5f68616e 646c655f .#...hif_handle_ + 49290: 74000000 03fe0948 49465f43 4f4e4649 t......HIF_CONFI + 492a0: 47000000 28230300 0028b404 00060000 G...(#...(...... + 492b0: 28a20103 000028cb 04000201 03000028 (.....(........( + 492c0: d8040009 4849465f 43414c4c 4241434b ....HIF_CALLBACK + 492d0: 00000028 56030000 28e10400 02010300 ...(V...(....... + 492e0: 0028fa04 00060000 01090103 00002903 .(............). + 492f0: 04000201 03000029 10040006 00000109 .......)........ + 49300: 01030000 29190400 02010300 00292604 ....)........)&. + 49310: 00060000 01090103 0000292f 04000201 ..........)/.... + 49320: 03000029 3c040007 6869665f 61706900 ...)<...hif_api. + 49330: 3800002a 95085f69 6e697400 000028d1 8..*.._init...(. + 49340: 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 49350: 28da0223 04085f72 65676973 7465725f (..#.._register_ + 49360: 63616c6c 6261636b 00000028 fc022308 callback...(..#. + 49370: 085f6765 745f746f 74616c5f 63726564 ._get_total_cred + 49380: 69745f63 6f756e74 00000029 0902230c it_count...)..#. + 49390: 085f7374 61727400 000028da 02231008 ._start...(..#.. + 493a0: 5f636f6e 6669675f 70697065 00000029 _config_pipe...) + 493b0: 12022314 085f7365 6e645f62 75666665 ..#.._send_buffe + 493c0: 72000000 291f0223 18085f72 65747572 r...)..#.._retur + 493d0: 6e5f7265 63765f62 75660000 00292802 n_recv_buf...)(. + 493e0: 231c085f 69735f70 6970655f 73757070 #.._is_pipe_supp + 493f0: 6f727465 64000000 29350223 20085f67 orted...)5.# ._g + 49400: 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 49410: 00293502 2324085f 6765745f 72657365 .)5.#$._get_rese + 49420: 72766564 5f686561 64726f6f 6d000000 rved_headroom... + 49430: 29090223 28085f69 73725f68 616e646c )..#(._isr_handl + 49440: 65720000 0028da02 232c085f 6765745f er...(..#,._get_ + 49450: 64656661 756c745f 70697065 00000029 default_pipe...) + 49460: 3e022330 08705265 73657276 65640000 >.#0.pReserved.. + 49470: 0003fe02 2334000d 646d615f 656e6769 ....#4..dma_engi + 49480: 6e650004 00002b1e 0e444d41 5f454e47 ne....+..DMA_ENG + 49490: 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN + 494a0: 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E + 494b0: 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ + 494c0: 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA + 494d0: 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM + 494e0: 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D + 494f0: 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... + 49500: 09646d61 5f656e67 696e655f 74000000 .dma_engine_t... + 49510: 2a950d64 6d615f69 66747970 65000400 *..dma_iftype... + 49520: 002b6b0e 444d415f 49465f47 4d414300 .+k.DMA_IF_GMAC. + 49530: 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D + 49540: 4d415f49 465f5043 49450002 0009646d MA_IF_PCIE....dm + 49550: 615f6966 74797065 5f740000 002b3006 a_iftype_t...+0. + 49560: 000012e3 01030000 2b7d0400 02010300 ........+}...... + 49570: 002b8a04 00020103 00002b93 04000600 .+........+..... + 49580: 00091501 0300002b 9c040006 000012e3 .......+........ + 49590: 01030000 2ba90400 06000012 e3010300 ....+........... + 495a0: 002bb604 00060000 142e0103 00002bc3 .+............+. + 495b0: 04000201 0300002b d0040007 646d615f .......+....dma_ + 495c0: 6c69625f 61706900 3400002c d7087478 lib_api.4..,..tx + 495d0: 5f696e69 74000000 2b830223 00087478 _init...+..#..tx + 495e0: 5f737461 72740000 002b8c02 23040872 _start...+..#..r + 495f0: 785f696e 69740000 002b8302 23080872 x_init...+..#..r + 49600: 785f636f 6e666967 0000002b 9502230c x_config...+..#. + 49610: 0872785f 73746172 74000000 2b8c0223 .rx_start...+..# + 49620: 1008696e 74725f73 74617475 73000000 ..intr_status... + 49630: 2ba20223 14086861 72645f78 6d697400 +..#..hard_xmit. + 49640: 00002baf 02231808 666c7573 685f786d ..+..#..flush_xm + 49650: 69740000 002b8c02 231c0878 6d69745f it...+..#..xmit_ + 49660: 646f6e65 0000002b bc022320 08726561 done...+..# .rea + 49670: 705f786d 69747465 64000000 2bc90223 p_xmitted...+..# + 49680: 24087265 61705f72 65637600 00002bc9 $.reap_recv...+. + 49690: 02232808 72657475 726e5f72 65637600 .#(.return_recv. + 496a0: 00002bd2 02232c08 72656376 5f706b74 ..+..#,.recv_pkt + 496b0: 0000002b bc022330 00075f5f 7063695f ...+..#0..__pci_ + 496c0: 736f6674 63000c00 002cf508 73770000 softc....,..sw.. + 496d0: 0028e102 23000009 5f5f7063 695f736f .(..#...__pci_so + 496e0: 6674635f 74000000 2cd70300 002cf504 ftc_t...,....,.. + 496f0: 00020103 00002d0f 04000600 0012cf01 ......-......... + 49700: 0300002d 1804000d 6869665f 7063695f ...-....hif_pci_ + 49710: 70697065 5f747800 0400002d 780e4849 pipe_tx....-x.HI + 49720: 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. + 49730: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 49740: 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 49750: 5f54585f 4d415800 02000968 69665f70 _TX_MAX....hif_p + 49760: 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- + 49770: 25060000 2b1e0103 00002d8f 04000d68 %...+.....-....h + 49780: 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. + 49790: 00002e15 0e484946 5f504349 5f504950 .....HIF_PCI_PIP + 497a0: 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ + 497b0: 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P + 497c0: 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI + 497d0: 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. + 497e0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 497f0: 5f4d4158 00040009 6869665f 7063695f _MAX....hif_pci_ + 49800: 70697065 5f72785f 74000000 2d9c0600 pipe_rx_t...-... + 49810: 002b1e01 0300002e 2c040007 6869665f .+......,...hif_ + 49820: 7063695f 61706900 2400002f 0a087063 pci_api.$../..pc + 49830: 695f626f 6f745f69 6e697400 00000102 i_boot_init..... + 49840: 02230008 7063695f 696e6974 00000028 .#..pci_init...( + 49850: d1022304 08706369 5f726573 65740000 ..#..pci_reset.. + 49860: 00010202 23080870 63695f65 6e61626c ....#..pci_enabl + 49870: 65000000 01020223 0c087063 695f7265 e......#..pci_re + 49880: 61705f78 6d697474 65640000 002d1102 ap_xmitted...-.. + 49890: 23100870 63695f72 6561705f 72656376 #..pci_reap_recv + 498a0: 0000002d 11022314 08706369 5f676574 ...-..#..pci_get + 498b0: 5f706970 65000000 2d1e0223 18087063 _pipe...-..#..pc + 498c0: 695f6765 745f7478 5f656e67 0000002d i_get_tx_eng...- + 498d0: 9502231c 08706369 5f676574 5f72785f ..#..pci_get_rx_ + 498e0: 656e6700 00002e32 02232000 07676d61 eng....2.# ..gma + 498f0: 635f6170 69000400 002f3108 676d6163 c_api..../1.gmac + 49900: 5f626f6f 745f696e 69740000 00010202 _boot_init...... + 49910: 2300000f 00000316 0600002f 3e100500 #........../>... + 49920: 075f5f65 74686864 72000e00 002f7408 .__ethhdr..../t. + 49930: 64737400 00002f31 02230008 73726300 dst.../1.#..src. + 49940: 00002f31 02230608 65747970 65000000 ../1.#..etype... + 49950: 12e30223 0c00075f 5f617468 68647200 ...#...__athhdr. + 49960: 0400002f c2157265 73000000 12cf0100 .../..res....... + 49970: 02022300 1570726f 746f0000 0012cf01 ..#..proto...... + 49980: 02060223 00087265 735f6c6f 00000012 ...#..res_lo.... + 49990: cf022301 08726573 5f686900 000012e3 ..#..res_hi..... + 499a0: 02230200 075f5f67 6d61635f 68647200 .#...__gmac_hdr. + 499b0: 1400002f fe086574 68000000 2f3e0223 .../..eth.../>.# + 499c0: 00086174 68000000 2f740223 0e08616c ..ath.../t.#..al + 499d0: 69676e5f 70616400 000012e3 02231200 ign_pad......#.. + 499e0: 095f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... + 499f0: 2fc2075f 5f676d61 635f736f 66746300 /..__gmac_softc. + 49a00: 24000030 48086864 72000000 2ffe0223 $..0H.hdr.../..# + 49a10: 00086772 616e0000 0012e302 23140873 ..gran......#..s + 49a20: 77000000 28e10223 18000c5f 415f6f73 w...(..#..._A_os + 49a30: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 49a40: 01030000 30480400 06000001 09010300 ....0H.......... + 49a50: 00306604 00030000 04010400 175f415f .0f.........._A_ + 49a60: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 49a70: 6e5f7461 626c6500 01b80000 31b60868 n_table.....1..h + 49a80: 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check + 49a90: 00000030 6c022300 08737461 72745f62 ...0l.#..start_b + 49aa0: 73730000 00307302 23040861 70705f73 ss...0s.#..app_s + 49ab0: 74617274 00000001 02022308 086d656d tart......#..mem + 49ac0: 00000004 4102230c 086d6973 63000000 ....A.#..misc... + 49ad0: 05600223 20087072 696e7466 00000001 .`.# .printf.... + 49ae0: 36022344 08756172 74000000 01ff0223 6.#D.uart......# + 49af0: 4c08676d 61630000 002f0a02 236c0875 L.gmac.../..#l.u + 49b00: 73620000 000f8f02 23700863 6c6f636b sb......#p.clock + 49b10: 0000000a d80323e0 01087469 6d657200 ......#...timer. + 49b20: 00000778 03238402 08696e74 72000000 ...x.#...intr... + 49b30: 0c280323 98020861 6c6c6f63 72616d00 .(.#...allocram. + 49b40: 00000930 0323c402 08726f6d 70000000 ...0.#...romp... + 49b50: 08220323 d0020877 64745f74 696d6572 .".#...wdt_timer + 49b60: 0000000e 050323e0 02086565 70000000 ......#...eep... + 49b70: 0f330323 fc020873 7472696e 67000000 .3.#...string... + 49b80: 06840323 8c030874 61736b6c 65740000 ...#...tasklet.. + 49b90: 000a2d03 23a40300 075f5553 425f4649 ..-.#...._USB_FI + 49ba0: 464f5f43 4f4e4649 47001000 00322908 FO_CONFIG....2). + 49bb0: 6765745f 636f6d6d 616e645f 62756600 get_command_buf. + 49bc0: 0000143b 02230008 72656376 5f636f6d ...;.#..recv_com + 49bd0: 6d616e64 00000014 51022304 08676574 mand....Q.#..get + 49be0: 5f657665 6e745f62 75660000 00143b02 _event_buf....;. + 49bf0: 23080873 656e645f 6576656e 745f646f #..send_event_do + 49c00: 6e650000 00145102 230c0009 5553425f ne....Q.#...USB_ + 49c10: 4649464f 5f434f4e 46494700 000031b6 FIFO_CONFIG...1. + 49c20: 03000032 29040002 01030000 32450400 ...2).......2E.. + 49c30: 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... + 49c40: 329b085f 696e6974 00000032 47022300 2.._init...2G.#. + 49c50: 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i + 49c60: 73720000 00010202 23040870 52657365 sr......#..pRese + 49c70: 72766564 00000003 fe022308 000f0000 rved......#..... + 49c80: 16750200 0032a810 0100075f 4854435f .u...2....._HTC_ + 49c90: 4652414d 455f4844 52000800 00331a08 FRAME_HDR....3.. + 49ca0: 456e6470 6f696e74 49440000 00167502 EndpointID....u. + 49cb0: 23000846 6c616773 00000016 75022301 #..Flags....u.#. + 49cc0: 08506179 6c6f6164 4c656e00 00001c9d .PayloadLen..... + 49cd0: 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes + 49ce0: 00000032 9b022304 08486f73 74536571 ...2..#..HostSeq + 49cf0: 4e756d00 00001c9d 02230600 12020000 Num......#...... + 49d00: 3333084d 65737361 67654944 0000001c 33.MessageID.... + 49d10: 9d022300 00120800 00339608 4d657373 ..#......3..Mess + 49d20: 61676549 44000000 1c9d0223 00084372 ageID......#..Cr + 49d30: 65646974 436f756e 74000000 1c9d0223 editCount......# + 49d40: 02084372 65646974 53697a65 0000001c ..CreditSize.... + 49d50: 9d022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint + 49d60: 73000000 16750223 06085f50 61643100 s....u.#.._Pad1. + 49d70: 00001675 02230700 120a0000 342d084d ...u.#......4-.M + 49d80: 65737361 67654944 0000001c 9d022300 essageID......#. + 49d90: 08536572 76696365 49440000 001c9d02 .ServiceID...... + 49da0: 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla + 49db0: 67730000 001c9d02 23040844 6f776e4c gs......#..DownL + 49dc0: 696e6b50 69706549 44000000 16750223 inkPipeID....u.# + 49dd0: 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. + 49de0: 00167502 23070853 65727669 63654d65 ..u.#..ServiceMe + 49df0: 74614c65 6e677468 00000016 75022308 taLength....u.#. + 49e00: 085f5061 64310000 00167502 23090012 ._Pad1....u.#... + 49e10: 0a000034 b5084d65 73736167 65494400 ...4..MessageID. + 49e20: 00001c9d 02230008 53657276 69636549 .....#..ServiceI + 49e30: 44000000 1c9d0223 02085374 61747573 D......#..Status + 49e40: 00000016 75022304 08456e64 706f696e ....u.#..Endpoin + 49e50: 74494400 00001675 02230508 4d61784d tID....u.#..MaxM + 49e60: 73675369 7a650000 001c9d02 23060853 sgSize......#..S + 49e70: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 49e80: 00000016 75022308 085f5061 64310000 ....u.#.._Pad1.. + 49e90: 00167502 23090012 02000034 ce084d65 ..u.#......4..Me + 49ea0: 73736167 65494400 00001c9d 02230000 ssageID......#.. + 49eb0: 12040000 350a084d 65737361 67654944 ....5..MessageID + 49ec0: 0000001c 9d022300 08506970 65494400 ......#..PipeID. + 49ed0: 00001675 02230208 43726564 6974436f ...u.#..CreditCo + 49ee0: 756e7400 00001675 02230300 12040000 unt....u.#...... + 49ef0: 3541084d 65737361 67654944 0000001c 5A.MessageID.... + 49f00: 9d022300 08506970 65494400 00001675 ..#..PipeID....u + 49f10: 02230208 53746174 75730000 00167502 .#..Status....u. + 49f20: 23030012 02000035 68085265 636f7264 #......5h.Record + 49f30: 49440000 00167502 2300084c 656e6774 ID....u.#..Lengt + 49f40: 68000000 16750223 01001202 00003592 h....u.#......5. + 49f50: 08456e64 706f696e 74494400 00001675 .EndpointID....u + 49f60: 02230008 43726564 69747300 00001675 .#..Credits....u + 49f70: 02230100 12040000 35d30845 6e64706f .#......5..Endpo + 49f80: 696e7449 44000000 16750223 00084372 intID....u.#..Cr + 49f90: 65646974 73000000 16750223 01085467 edits....u.#..Tg + 49fa0: 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... + 49fb0: 9d022302 000f0000 16750400 0035e010 ..#......u...5.. + 49fc0: 03001206 0000361c 08507265 56616c69 ......6..PreVali + 49fd0: 64000000 16750223 00084c6f 6f6b4168 d....u.#..LookAh + 49fe0: 65616400 000035d3 02230108 506f7374 ead...5..#..Post + 49ff0: 56616c69 64000000 16750223 05000970 Valid....u.#...p + 4a000: 6f6f6c5f 68616e64 6c655f74 00000003 ool_handle_t.... + 4a010: fe060000 361c0103 0000362f 04000201 ....6.....6/.... + 4a020: 03000036 3c040014 04000036 ba0e504f ...6<......6..PO + 4a030: 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO + 4a040: 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ + 4a050: 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... + 4a060: 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 4a070: 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ + 4a080: 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO + 4a090: 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF + 4a0a0: 5f504f4f 4c5f4944 00000036 45020103 _POOL_ID...6E... + 4a0b0: 000036cb 04000600 00263a01 03000036 ..6......&:....6 + 4a0c0: d4040006 0000263a 01030000 36e10400 ......&:....6... + 4a0d0: 02010300 0036ee04 00076275 665f706f .....6....buf_po + 4a0e0: 6f6c5f61 7069001c 00003790 085f696e ol_api....7.._in + 4a0f0: 69740000 00363502 2300085f 73687574 it...65.#.._shut + 4a100: 646f776e 00000036 3e022304 085f6372 down...6>.#.._cr + 4a110: 65617465 5f706f6f 6c000000 36cd0223 eate_pool...6..# + 4a120: 08085f61 6c6c6f63 5f627566 00000036 .._alloc_buf...6 + 4a130: da02230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ + 4a140: 616c6967 6e000000 36e70223 10085f66 align...6..#.._f + 4a150: 7265655f 62756600 000036f0 02231408 ree_buf...6..#.. + 4a160: 70526573 65727665 64000000 03fe0223 pReserved......# + 4a170: 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. + 4a180: 1c000038 6f08704e 65787400 0000386f ...8o.pNext...8o + 4a190: 02230008 50726f63 65737352 6563764d .#..ProcessRecvM + 4a1a0: 73670000 00392402 23040850 726f6365 sg...9$.#..Proce + 4a1b0: 73735365 6e644275 66666572 436f6d70 ssSendBufferComp + 4a1c0: 6c657465 00000039 2d022308 0850726f lete...9-.#..Pro + 4a1d0: 63657373 436f6e6e 65637400 00003941 cessConnect...9A + 4a1e0: 02230c08 53657276 69636549 44000000 .#..ServiceID... + 4a1f0: 12e30223 10085365 72766963 65466c61 ...#..ServiceFla + 4a200: 67730000 0012e302 2312084d 61785376 gs......#..MaxSv + 4a210: 634d7367 53697a65 00000012 e3022314 cMsgSize......#. + 4a220: 08547261 696c6572 53706343 6865636b .TrailerSpcCheck + 4a230: 4c696d69 74000000 12e30223 16085365 Limit......#..Se + 4a240: 72766963 65437478 00000003 fe022318 rviceCtx......#. + 4a250: 00030000 37900400 14040000 390d1945 ....7.......9..E + 4a260: 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. + 4a270: ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... + 4a280: 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP + 4a290: 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT + 4a2a0: 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... + 4a2b0: 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP + 4a2c0: 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT + 4a2d0: 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... + 4a2e0: 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... + 4a2f0: 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. + 4a300: 00003876 02010300 00392204 00020103 ..8v.....9"..... + 4a310: 0000392b 04000300 00010904 00060000 ..9+............ + 4a320: 12cf0103 0000393b 04000300 00379004 ......9;.....7.. + 4a330: 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... + 4a340: 0039c008 43726564 69745369 7a650000 .9..CreditSize.. + 4a350: 00010902 23000843 72656469 744e756d ....#..CreditNum + 4a360: 62657200 00000109 02230408 4f534861 ber......#..OSHa + 4a370: 6e646c65 0000001a 39022308 08484946 ndle....9.#..HIF + 4a380: 48616e64 6c650000 0028a202 230c0850 Handle...(..#..P + 4a390: 6f6f6c48 616e646c 65000000 361c0223 oolHandle...6..# + 4a3a0: 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT + 4a3b0: 45585400 02000039 fc08656e 645f706f EXT....9..end_po + 4a3c0: 696e7400 000012cf 02230008 6874635f int......#..htc_ + 4a3d0: 666c6167 73000000 12cf0223 01000968 flags......#...h + 4a3e0: 74635f68 616e646c 655f7400 000003fe tc_handle_t..... + 4a3f0: 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL + 4a400: 4554455f 43420000 00010209 4854435f ETE_CB......HTC_ + 4a410: 434f4e46 49470000 00394f03 00003a29 CONFIG...9O...:) + 4a420: 04000600 0039fc01 0300003a 40040002 .....9.....:@... + 4a430: 01030000 3a4d0400 09485443 5f534552 ....:M...HTC_SER + 4a440: 56494345 00000037 90030000 3a560400 VICE...7....:V.. + 4a450: 02010300 003a6e04 00020103 00003a77 .....:n.......:w + 4a460: 04000201 0300003a 80040006 00000109 .......:........ + 4a470: 01030000 3a890400 07687463 5f617069 ....:....htc_api + 4a480: 73003400 003c0608 5f485443 5f496e69 s.4..<.._HTC_Ini + 4a490: 74000000 3a460223 00085f48 54435f53 t...:F.#.._HTC_S + 4a4a0: 68757464 6f776e00 00003a4f 02230408 hutdown...:O.#.. + 4a4b0: 5f485443 5f526567 69737465 72536572 _HTC_RegisterSer + 4a4c0: 76696365 0000003a 70022308 085f4854 vice...:p.#.._HT + 4a4d0: 435f5265 61647900 00003a4f 02230c08 C_Ready...:O.#.. + 4a4e0: 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 4a4f0: 72730000 003a7902 2310085f 4854435f rs...:y.#.._HTC_ + 4a500: 52657475 726e4275 66666572 734c6973 ReturnBuffersLis + 4a510: 74000000 3a820223 14085f48 54435f53 t...:..#.._HTC_S + 4a520: 656e644d 73670000 003a7902 2318085f endMsg...:y.#.._ + 4a530: 4854435f 47657452 65736572 76656448 HTC_GetReservedH + 4a540: 65616472 6f6f6d00 00003a8f 02231c08 eadroom...:..#.. + 4a550: 5f485443 5f4d7367 52656376 48616e64 _HTC_MsgRecvHand + 4a560: 6c657200 0000284f 02232008 5f485443 ler...(O.# ._HTC + 4a570: 5f53656e 64446f6e 6548616e 646c6572 _SendDoneHandler + 4a580: 00000028 46022324 085f4854 435f436f ...(F.#$._HTC_Co + 4a590: 6e74726f 6c537663 50726f63 6573734d ntrolSvcProcessM + 4a5a0: 73670000 00392402 2328085f 4854435f sg...9$.#(._HTC_ + 4a5b0: 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces + 4a5c0: 7353656e 64436f6d 706c6574 65000000 sSendComplete... + 4a5d0: 392d0223 2c087052 65736572 76656400 9-.#,.pReserved. + 4a5e0: 000003fe 02233000 07686f73 745f6170 .....#0..host_ap + 4a5f0: 705f6172 65615f73 00040000 3c360877 p_area_s....<6.w + 4a600: 6d695f70 726f746f 636f6c5f 76657200 mi_protocol_ver. + 4a610: 0000160e 02230000 120e0000 3c6d0864 .....#........beaconPen + 4a7d0: 64696e67 436f756e 74000000 16750223 dingCount....u.# + 4a7e0: 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF + 4a7f0: 49470010 00003e69 08487463 48616e64 IG....>i.HtcHand + 4a800: 6c650000 0039fc02 23000850 6f6f6c48 le...9..#..PoolH + 4a810: 616e646c 65000000 361c0223 04084d61 andle...6..#..Ma + 4a820: 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... + 4a830: 01090223 08084d61 78457665 6e744576 ...#..MaxEventEv + 4a840: 74730000 00010902 230c0002 01030000 ts......#....... + 4a850: 3e690400 09574d49 5f434d44 5f48414e >i...WMI_CMD_HAN + 4a860: 444c4552 0000003e 6b075f57 4d495f44 DLER...>k._WMI_D + 4a870: 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... + 4a880: 003ed208 70436d64 48616e64 6c657200 .>..pCmdHandler. + 4a890: 00003e72 02230008 436d6449 44000000 ..>r.#..CmdID... + 4a8a0: 12e30223 0408466c 61677300 000012e3 ...#..Flags..... + 4a8b0: 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT + 4a8c0: 43485f54 41424c45 00100000 3f330870 CH_TABLE....?3.p + 4a8d0: 4e657874 0000003f 33022300 0870436f Next...?3.#..pCo + 4a8e0: 6e746578 74000000 03fe0223 04084e75 ntext......#..Nu + 4a8f0: 6d626572 4f66456e 74726965 73000000 mberOfEntries... + 4a900: 01090223 08087054 61626c65 0000003f ...#..pTable...? + 4a910: 5202230c 00030000 3ed20400 09574d49 R.#.....>....WMI + 4a920: 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 4a930: 00003e87 0300003f 3a040003 00003ed2 ..>....?:.....>. + 4a940: 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE + 4a950: 58540000 0039c00d 574d495f 4556545f XT...9..WMI_EVT_ + 4a960: 434c4153 53000400 003fea19 574d495f CLASS....?..WMI_ + 4a970: 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. + 4a980: ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 4a990: 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM + 4a9a0: 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 4a9b0: 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ + 4a9c0: 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI + 4a9d0: 5f455654 5f434c41 53530000 003f7507 _EVT_CLASS...?u. + 4a9e0: 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT + 4a9f0: 000c0000 40480848 74634275 66437478 ....@H.HtcBufCtx + 4aa00: 0000003f 60022300 08457665 6e74436c ...?`.#..EventCl + 4aa10: 61737300 00003fea 02230408 466c6167 ass...?..#..Flag + 4aa20: 73000000 12e30223 08000977 6d695f68 s......#...wmi_h + 4aa30: 616e646c 655f7400 000003fe 09574d49 andle_t......WMI + 4aa40: 5f535643 5f434f4e 46494700 00003e00 _SVC_CONFIG...>. + 4aa50: 03000040 5a040006 00004048 01030000 ...@Z.....@H.... + 4aa60: 40750400 09574d49 5f444953 50415443 @u...WMI_DISPATC + 4aa70: 485f5441 424c4500 00003ed2 03000040 H_TABLE...>....@ + 4aa80: 82040002 01030000 40a10400 06000026 ........@......& + 4aa90: 3a010300 0040aa04 00020103 000040b7 :....@........@. + 4aaa0: 04000600 00010901 03000040 c0040002 ...........@.... + 4aab0: 01030000 40cd0400 06000012 cf010300 ....@........... + 4aac0: 0040d604 00075f77 6d695f73 76635f61 .@...._wmi_svc_a + 4aad0: 70697300 2c000042 1e085f57 4d495f49 pis.,..B.._WMI_I + 4aae0: 6e697400 0000407b 02230008 5f574d49 nit...@{.#.._WMI + 4aaf0: 5f526567 69737465 72446973 70617463 _RegisterDispatc + 4ab00: 68546162 6c650000 0040a302 2304085f hTable...@..#.._ + 4ab10: 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. + 4ab20: 0040b002 2308085f 574d495f 53656e64 .@..#.._WMI_Send + 4ab30: 4576656e 74000000 40b90223 0c085f57 Event...@..#.._W + 4ab40: 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve + 4ab50: 6e747343 6f756e74 00000040 c6022310 ntsCount...@..#. + 4ab60: 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple + 4ab70: 74654861 6e646c65 72000000 392d0223 teHandler...9-.# + 4ab80: 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro + 4ab90: 6c457000 000040c6 02231808 5f574d49 lEp...@..#.._WMI + 4aba0: 5f536875 74646f77 6e000000 40cf0223 _Shutdown...@..# + 4abb0: 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa + 4abc0: 67654861 6e646c65 72000000 39240223 geHandler...9$.# + 4abd0: 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo + 4abe0: 6e6e6563 74000000 40dc0223 24087052 nnect...@..#$.pR + 4abf0: 65736572 76656400 000003fe 02232800 eserved......#(. + 4ac00: 077a7344 6d614465 73630014 000042a0 .zsDmaDesc....B. + 4ac10: 08637472 6c000000 016d0223 00087374 .ctrl....m.#..st + 4ac20: 61747573 00000001 6d022302 08746f74 atus....m.#..tot + 4ac30: 616c4c65 6e000000 016d0223 04086461 alLen....m.#..da + 4ac40: 74615369 7a650000 00016d02 2306086c taSize....m.#..l + 4ac50: 61737441 64647200 000042a0 02230808 astAddr...B..#.. + 4ac60: 64617461 41646472 00000001 9102230c dataAddr......#. + 4ac70: 086e6578 74416464 72000000 42a00223 .nextAddr...B..# + 4ac80: 10000300 00421e04 00030000 421e0400 .....B......B... + 4ac90: 077a7344 6d615175 65756500 08000042 .zsDmaQueue....B + 4aca0: e0086865 61640000 0042a702 23000874 ..head...B..#..t + 4acb0: 65726d69 6e61746f 72000000 42a70223 erminator...B..# + 4acc0: 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. + 4acd0: 10000043 44086865 61640000 0042a702 ...CD.head...B.. + 4ace0: 23000874 65726d69 6e61746f 72000000 #..terminator... + 4acf0: 42a70223 0408786d 69746564 5f627566 B..#..xmited_buf + 4ad00: 5f686561 64000000 142e0223 0808786d _head......#..xm + 4ad10: 69746564 5f627566 5f746169 6c000000 ited_buf_tail... + 4ad20: 142e0223 0c000201 03000043 44040003 ...#.......CD... + 4ad30: 000042ae 04000201 03000043 54040003 ..B........CT... + 4ad40: 000042e0 04000201 03000043 64040002 ..B........Cd... + 4ad50: 01030000 436d0400 02010300 00437604 ....Cm.......Cv. + 4ad60: 00060000 142e0103 0000437f 04000201 ..........C..... + 4ad70: 03000043 8c040006 0000142e 01030000 ...C............ + 4ad80: 43950400 02010300 0043a204 00060000 C........C...... + 4ad90: 01090103 000043ab 04000600 0042a701 ......C......B.. + 4ada0: 03000043 b8040002 01030000 43c50400 ...C........C... + 4adb0: 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. + 4adc0: 40000045 3b085f69 6e697400 00004346 @..E;._init...CF + 4add0: 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que + 4ade0: 75650000 00435602 2304085f 696e6974 ue...CV.#.._init + 4adf0: 5f74785f 71756575 65000000 43660223 _tx_queue...Cf.# + 4ae00: 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que + 4ae10: 75650000 00436f02 230c085f 786d6974 ue...Co.#.._xmit + 4ae20: 5f627566 00000043 78022310 085f666c _buf...Cx.#.._fl + 4ae30: 7573685f 786d6974 00000043 56022314 ush_xmit...CV.#. + 4ae40: 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. + 4ae50: 00004385 02231808 5f726574 75726e5f ..C..#.._return_ + 4ae60: 72656376 5f627566 00000043 8e02231c recv_buf...C..#. + 4ae70: 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu + 4ae80: 66000000 439b0223 20085f73 7761705f f...C..# ._swap_ + 4ae90: 64617461 00000043 a4022324 085f6861 data...C..#$._ha + 4aea0: 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. + 4aeb0: 000043b1 02232808 5f646573 635f6475 ..C..#(._desc_du + 4aec0: 6d700000 00435602 232c085f 6765745f mp...CV.#,._get_ + 4aed0: 7061636b 65740000 0043be02 2330085f packet...C..#0._ + 4aee0: 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. + 4aef0: 0043c702 2334085f 7075745f 7061636b .C..#4._put_pack + 4af00: 65740000 0043c702 23380870 52657365 et...C..#8.pRese + 4af10: 72766564 00000003 fe02233c 00095f41 rved......#<.._A + 4af20: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 4af30: 6f6e5f74 61626c65 5f740000 00307a09 on_table_t...0z. + 4af40: 574d495f 5356435f 41504953 00000040 WMI_SVC_APIS...@ + 4af50: e3175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 4af60: 72656374 696f6e5f 7461626c 6500034c rection_table..L + 4af70: 00004669 08636d6e 6f730000 00453b02 ..Fi.cmnos...E;. + 4af80: 23000864 62670000 0003cb03 23b80308 #..dbg......#... + 4af90: 68696600 00002945 0323c003 08687463 hif...)E.#...htc + 4afa0: 0000003a 960323f8 0308776d 695f7376 ...:..#...wmi_sv + 4afb0: 635f6170 69000000 455d0323 ac040875 c_api...E].#...u + 4afc0: 73626669 666f5f61 70690000 00324e03 sbfifo_api...2N. + 4afd0: 23d80408 6275665f 706f6f6c 00000036 #...buf_pool...6 + 4afe0: f70323e4 04087662 75660000 00145803 ..#...vbuf....X. + 4aff0: 23800508 76646573 63000000 133a0323 #...vdesc....:.# + 4b000: 94050861 6c6c6f63 72616d00 00000930 ...allocram....0 + 4b010: 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. + 4b020: 000043ce 0323b405 08646d61 5f6c6962 ..C..#...dma_lib + 4b030: 0000002b d90323f4 05086869 665f7063 ...+..#...hif_pc + 4b040: 69000000 2e390323 a8060006 000003fe i....9.#........ + 4b050: 01095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 4b060: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 4b070: 0000456f 02010201 02010600 00010901 ..Eo............ + 4b080: 07564255 465f5155 45554500 08000046 .VBUF_QUEUE....F + 4b090: ca086865 61640000 00142e02 23000874 ..head......#..t + 4b0a0: 61696c00 0000142e 02230400 075f4849 ail......#..._HI + 4b0b0: 465f5553 425f434f 4e544558 54004400 F_USB_CONTEXT.D. + 4b0c0: 00475908 68696643 62000000 28e10223 .GY.hifCb...(..# + 4b0d0: 0008646e 51000000 42ae0223 0c087570 ..dnQ...B..#..up + 4b0e0: 51000000 42e00223 14086870 646e5100 Q...B..#..hpdnQ. + 4b0f0: 000042ae 02232408 6d70646e 51000000 ..B..#$.mpdnQ... + 4b100: 42ae0223 2c08636d 64517565 75650000 B..#,.cmdQueue.. + 4b110: 00142e02 23340865 76656e74 42756651 ....#4.eventBufQ + 4b120: 00000046 9e022338 08705265 73657276 ...F..#8.pReserv + 4b130: 65640000 0003fe02 23400009 4849465f ed......#@..HIF_ + 4b140: 5553425f 434f4e54 45585400 000046ca USB_CONTEXT...F. + 4b150: 1a675f68 69665553 42437478 00000047 .g_hifUSBCtx...G + 4b160: 59050300 500a5401 06000014 2e010600 Y...P.T......... + 4b170: 00142e01 03000047 86040003 0000478c .......G......G. + 4b180: 04000300 00475904 000f0000 01101500 .....GY......... + 4b190: 0047b410 14000300 0047a704 000f0000 .G.......G...... + 4b1a0: 01101300 0047c810 12000300 0047bb04 .....G.......G.. + 4b1b0: 001b0000 01911b00 00019103 000047d4 ..............G. + 4b1c0: 04000f00 00011016 000047ed 10150003 ..........G..... + 4b1d0: 000047e0 04000201 06000043 4d010600 ..G........CM... + 4b1e0: 00010901 02010201 03000029 45040002 ...........)E... + 4b1f0: 01030000 47fc0400 03000048 0204000f ....G......H.... + 4b200: 00000110 14000048 2a101300 03000048 .......H*......H + 4b210: 1d04000f 00000110 18000048 3e101700 ...........H>... + 4b220: 03000048 31040003 000001a6 04000f00 ...H1........... + 4b230: 00011011 00004859 10100003 0000484c ......HY......HL + 4b240: 04000f00 00011012 0000486d 10110003 ..........Hm.... + 4b250: 00004860 04001c01 27757362 6669666f ..H`....'usbfifo + 4b260: 5f676574 5f636f6d 6d616e64 5f627566 _get_command_buf + 4b270: 00000014 2e039201 20029000 008e33b0 ........ .....3. + 4b280: 008e33c2 000048b0 1d627566 00000014 ..3...H..buf.... + 4b290: 2e001e01 31757362 6669666f 5f726563 ....1usbfifo_rec + 4b2a0: 765f636f 6d6d616e 64000103 92012002 v_command..... . + 4b2b0: 9000008e 33c4008e 33fa0000 49061f01 ....3...3...I... + 4b2c0: 31627566 00000014 2e01521d 63757272 1buf......R.curr + 4b2d0: 56646573 63000000 13101d75 73624465 Vdesc......usbDe + 4b2e0: 73630000 0042a700 1c014875 73626669 sc...B....Husbfi + 4b2f0: 666f5f67 65745f65 76656e74 5f627566 fo_get_event_buf + 4b300: 00000014 2e039201 20029000 008e33fc ........ .....3. + 4b310: 008e341a 00004940 1d627566 00000014 ..4...I@.buf.... + 4b320: 2e001e01 58757362 6669666f 5f73656e ....Xusbfifo_sen + 4b330: 645f6576 656e745f 646f6e65 00010392 d_event_done.... + 4b340: 01200290 00008e34 1c008e34 2d000049 . .....4...4-..I + 4b350: 7d1f0158 62756600 0000142e 01520020 }..Xbuf......R. + 4b360: 01645f48 49467573 625f696e 69740000 .d_HIFusb_init.. + 4b370: 0028a201 01039201 30029000 008e3430 .(......0.....40 + 4b380: 008e3483 000049c8 1f016470 436f6e66 ..4...I...dpConf + 4b390: 69670000 0028c401 52217573 62666966 ig...(..R!usbfif + 4b3a0: 6f000000 32290291 50002201 835f4849 o...2)..P.".._HI + 4b3b0: 46757362 5f736875 74646f77 6e000101 Fusb_shutdown... + 4b3c0: 03920120 02900000 8e348400 8e348900 ... .....4...4.. + 4b3d0: 004a021f 01836861 6e646c65 00000028 .J....handle...( + 4b3e0: a2015200 2201885f 48494675 73625f72 ..R.".._HIFusb_r + 4b3f0: 65676973 7465725f 63616c6c 6261636b egister_callback + 4b400: 00010103 92012002 9000008e 348c008e ...... .....4... + 4b410: 34a00000 4a561f01 8868616e 646c6500 4...JV...handle. + 4b420: 000028a2 01521f01 8870436f 6e666967 ..(..R...pConfig + 4b430: 00000028 f3015300 2201945f 48494675 ...(..S.".._HIFu + 4b440: 73625f73 74617274 00010103 92012002 sb_start...... . + 4b450: 9000008e 34a0008e 351a0000 4a8d1f01 ....4...5...J... + 4b460: 9468616e 646c6500 000028a2 01520023 .handle...(..R.# + 4b470: 01b95f48 49467573 625f6765 745f7265 .._HIFusb_get_re + 4b480: 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 4b490: 00000109 01039201 20029000 008e351c ........ .....5. + 4b4a0: 008e3523 00004ad7 1f01b968 616e646c ..5#..J....handl + 4b4b0: 65000000 28a20152 001e01d4 656e6162 e...(..R....enab + 4b4c0: 6c655f72 78000103 92012002 9000008e le_rx..... ..... + 4b4d0: 3524008e 354f0000 4b071f01 d4706970 5$..5O..K....pip + 4b4e0: 65000000 01090152 002301e9 6765745f e......R.#..get_ + 4b4f0: 71756575 655f6672 6f6d5f70 69706500 queue_from_pipe. + 4b500: 0000434d 01039201 20029000 008e3550 ..CM.... .....5P + 4b510: 008e356e 00004b4c 1f01e970 69706500 ..5n..KL...pipe. + 4b520: 00000109 01521d71 00000043 4d002401 .....R.q...CM.$. + 4b530: 01075f48 49467573 625f636f 6e666967 .._HIFusb_config + 4b540: 5f706970 65000101 01039201 30029000 _pipe.......0... + 4b550: 008e3570 008e361b 00004bd3 25010107 ..5p..6...K.%... + 4b560: 68616e64 6c650000 0028a201 52250101 handle...(..R%.. + 4b570: 07706970 65000000 01090153 25010107 .pipe......S%... + 4b580: 63726564 6974436f 756e7400 00000109 creditCount..... + 4b590: 01541d68 65616400 00001310 1d690000 .T.head......i.. + 4b5a0: 0001091d 64657363 00000013 101d7100 ....desc......q. + 4b5b0: 0000434d 00260101 4f5f4849 46757362 ..CM.&..O_HIFusb + 4b5c0: 5f73656e 645f6275 66666572 00000001 _send_buffer.... + 4b5d0: 09010101 03920120 02900000 8e361c00 ....... .....6.. + 4b5e0: 8e367a00 004c3425 01014f68 616e646c .6z..L4%..Ohandl + 4b5f0: 65000000 28a20152 2501014f 70697065 e...(..R%..Opipe + 4b600: 00000001 09015325 01014f62 75660000 ......S%..Obuf.. + 4b610: 00142e01 54002701 01605f48 49467573 ....T.'..`_HIFus + 4b620: 625f7265 7475726e 5f726563 765f6275 b_return_recv_bu + 4b630: 66000101 03920120 02900000 8e367c00 f...... .....6|. + 4b640: 8e36a600 004c9b25 01016068 616e646c .6...L.%..`handl + 4b650: 65000000 28a20152 25010160 70697065 e...(..R%..`pipe + 4b660: 00000001 09015325 01016062 75660000 ......S%..`buf.. + 4b670: 00142e01 541d7100 0000434d 00270101 ....T.q...CM.'.. + 4b680: 725f4849 46757362 5f736574 5f726563 r_HIFusb_set_rec + 4b690: 765f6275 66737a00 01010392 01200290 v_bufsz...... .. + 4b6a0: 00008e36 a8008e36 ad00004c fc250101 ...6...6...L.%.. + 4b6b0: 7268616e 646c6500 000028a2 01522501 rhandle...(..R%. + 4b6c0: 01727069 70650000 00010901 53250101 .rpipe......S%.. + 4b6d0: 72627566 737a0000 00010901 54002701 rbufsz......T.'. + 4b6e0: 01785f48 49467573 625f7061 7573655f .x_HIFusb_pause_ + 4b6f0: 72656376 00010103 92012002 9000008e recv...... ..... + 4b700: 36b0008e 36b50000 4d492501 01786861 6...6...MI%..xha + 4b710: 6e646c65 00000028 a2015225 01017870 ndle...(..R%..xp + 4b720: 69706500 00000109 01530027 01017d5f ipe......S.'..}_ + 4b730: 48494675 73625f72 6573756d 655f7265 HIFusb_resume_re + 4b740: 63760001 01039201 20029000 008e36b8 cv...... .....6. + 4b750: 008e36bd 00004d97 2501017d 68616e64 ..6...M.%..}hand + 4b760: 6c650000 0028a201 52250101 7d706970 le...(..R%..}pip + 4b770: 65000000 01090153 00280101 825f4849 e......S.(..._HI + 4b780: 46757362 5f69735f 70697065 5f737570 Fusb_is_pipe_sup + 4b790: 706f7274 65640000 00010901 01039201 ported.......... + 4b7a0: 20029000 008e36c0 008e36d0 00004def .....6...6...M. + 4b7b0: 25010182 68616e64 6c650000 0028a201 %...handle...(.. + 4b7c0: 52250101 82706970 65000000 01090153 R%...pipe......S + 4b7d0: 00280101 8b5f4849 46757362 5f676574 .(..._HIFusb_get + 4b7e0: 5f6d6178 5f6d7367 5f6c656e 00000001 _max_msg_len.... + 4b7f0: 09010103 92012002 9000008e 36d0008e ...... .....6... + 4b800: 36e20000 4e452501 018b6861 6e646c65 6...NE%...handle + 4b810: 00000028 a2015225 01018b70 69706500 ...(..R%...pipe. + 4b820: 00000109 01530024 0102065f 48494675 .....S.$..._HIFu + 4b830: 73625f69 73725f68 616e646c 65720001 sb_isr_handler.. + 4b840: 01010392 01200290 00008e36 e4008e37 ..... .....6...7 + 4b850: 9100004e 95250102 06680000 0028a201 ...N.%...h...(.. + 4b860: 521d696e 74720000 0001911d 63686563 R.intr......chec + 4b870: 6b000000 01090027 0102515f 48494675 k......'..Q_HIFu + 4b880: 73625f67 65745f64 65666175 6c745f70 sb_get_default_p + 4b890: 69706500 01010392 01200290 00008e37 ipe...... .....7 + 4b8a0: 94008e37 a300004f 07250102 5168616e ...7...O.%..Qhan + 4b8b0: 646c6500 000028a2 01522501 02517069 dle...(..R%..Qpi + 4b8c0: 70655f75 706c696e 6b000000 12dc0153 pe_uplink......S + 4b8d0: 25010251 70697065 5f646f77 6e6c696e %..Qpipe_downlin + 4b8e0: 6b000000 12dc0154 00270102 59686966 k......T.'..Yhif + 4b8f0: 5f757362 5f6d6f64 756c655f 696e7374 _usb_module_inst + 4b900: 616c6c00 01010392 01200290 00008e37 all...... .....7 + 4b910: a4008e37 e500004f 47250102 59617069 ...7...OG%..Yapi + 4b920: 73000000 48060152 00290102 6d484946 s...H..R.)..mHIF + 4b930: 7573625f 44657363 54726163 6544756d usb_DescTraceDum + 4b940: 70000101 03920120 02900000 8e37e800 p...... .....7.. + 4b950: 8e388400 00000053 0f000200 001be804 .8.....S........ + 4b960: 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 4b970: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 4b980: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 4b990: 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ + 4b9a0: 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ + 4b9b0: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 4b9c0: 69662f75 73622f73 72632f75 73625f61 if/usb/src/usb_a + 4b9d0: 70692e63 002f726f 6f742f57 6f726b73 pi.c./root/Works + 4b9e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 4b9f0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 4ba00: 315f312f 726f6d2f 6869662f 75736200 1_1/rom/hif/usb. + 4ba10: 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 + 4ba20: 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst + 4ba30: 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 + 4ba40: 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. + 4ba50: 00000106 bc02756e 7369676e 65642063 ......unsigned c + 4ba60: 68617200 07010375 696e7438 5f740000 har....uint8_t.. + 4ba70: 00010002 73686f72 7420756e 7369676e ....short unsign + 4ba80: 65642069 6e740007 02037569 6e743136 ed int....uint16 + 4ba90: 5f740000 00011e04 53657475 705f5061 _t......Setup_Pa + 4baa0: 636b6574 000c0000 01bf0544 69726563 cket.......Direc + 4bab0: 74696f6e 00000001 11022300 05547970 tion......#..Typ + 4bac0: 65000000 01110223 01054f62 6a656374 e......#..Object + 4bad0: 00000001 11022302 05526571 75657374 ......#..Request + 4bae0: 00000001 34022304 0556616c 75650000 ....4.#..Value.. + 4baf0: 00013402 23060549 6e646578 00000001 ..4.#..Index.... + 4bb00: 34022308 054c656e 67746800 00000134 4.#..Length....4 + 4bb10: 02230a00 06010700 0001bf04 0002696e .#............in + 4bb20: 74000504 02636861 72000701 08000001 t....char....... + 4bb30: cf080000 01cf0700 0001dc04 00090000 ................ + 4bb40: 01c80107 000001e8 04000470 72696e74 ...........print + 4bb50: 665f6170 69000800 00022c05 5f707269 f_api.....,._pri + 4bb60: 6e74665f 696e6974 00000001 c1022300 ntf_init......#. + 4bb70: 055f7072 696e7466 00000001 ee022304 ._printf......#. + 4bb80: 00026c6f 6e672075 6e736967 6e656420 ..long unsigned + 4bb90: 696e7400 07040375 696e7433 325f7400 int....uint32_t. + 4bba0: 0000022c 04756172 745f6669 666f0008 ...,.uart_fifo.. + 4bbb0: 0000029a 05737461 72745f69 6e646578 .....start_index + 4bbc0: 00000001 34022300 05656e64 5f696e64 ....4.#..end_ind + 4bbd0: 65780000 00013402 2302056f 76657272 ex....4.#..overr + 4bbe0: 756e5f65 72720000 00024102 23040004 un_err....A.#... + 4bbf0: 75617274 5f617069 00200000 0353055f uart_api. ...S._ + 4bc00: 75617274 5f696e69 74000000 03aa0223 uart_init......# + 4bc10: 00055f75 6172745f 63686172 5f707574 .._uart_char_put + 4bc20: 00000003 b3022304 055f7561 72745f63 ......#.._uart_c + 4bc30: 6861725f 67657400 000003c7 02230805 har_get......#.. + 4bc40: 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 4bc50: 03d00223 0c055f75 6172745f 7461736b ...#.._uart_task + 4bc60: 00000001 c1022310 055f7561 72745f73 ......#.._uart_s + 4bc70: 74617475 73000000 03aa0223 14055f75 tatus......#.._u + 4bc80: 6172745f 636f6e66 69670000 0003d902 art_config...... + 4bc90: 2318055f 75617274 5f687769 6e697400 #.._uart_hwinit. + 4bca0: 000003e2 02231c00 07000002 9a040004 .....#.......... + 4bcb0: 75617274 5f626c6b 00100000 03a40564 uart_blk.......d + 4bcc0: 65627567 5f6d6f64 65000000 01340223 ebug_mode....4.# + 4bcd0: 00056261 75640000 00013402 2302055f ..baud....4.#.._ + 4bce0: 75617274 00000003 53022304 055f7478 uart....S.#.._tx + 4bcf0: 00000002 4f022308 00090000 02410107 ....O.#......A.. + 4bd00: 000003a4 04000601 07000003 b1040007 ................ + 4bd10: 00000111 04000900 00013401 07000003 ..........4..... + 4bd20: c1040006 01070000 03ce0400 06010700 ................ + 4bd30: 0003d704 00060107 000003e0 04000700 ................ + 4bd40: 0001cf04 00090000 01c80107 000003f0 ................ + 4bd50: 04000444 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST + 4bd60: 52554354 000c0000 04480563 6d645f73 RUCT.....H.cmd_s + 4bd70: 74720000 0003e902 23000568 656c705f tr......#..help_ + 4bd80: 73747200 000003e9 02230405 636d645f str......#..cmd_ + 4bd90: 66756e63 00000003 f6022308 00046462 func......#...db + 4bda0: 675f6170 69000800 00047b05 5f646267 g_api.....{._dbg + 4bdb0: 5f696e69 74000000 01c10223 00055f64 _init......#.._d + 4bdc0: 62675f74 61736b00 000001c1 02230400 bg_task......#.. + 4bdd0: 0a040002 756e7369 676e6564 20696e74 ....unsigned int + 4bde0: 00070409 0000047b 01070000 048e0400 .......{........ + 4bdf0: 0b0b0700 00049c04 00090000 047b0107 .............{.. + 4be00: 000004a4 04000900 0001c801 07000004 ................ + 4be10: b1040004 6d656d5f 61706900 14000005 ....mem_api..... + 4be20: 20055f6d 656d5f69 6e697400 000001c1 ._mem_init..... + 4be30: 02230005 5f6d656d 73657400 00000494 .#.._memset..... + 4be40: 02230405 5f6d656d 63707900 000004aa .#.._memcpy..... + 4be50: 02230805 5f6d656d 6d6f7665 00000004 .#.._memmove.... + 4be60: aa02230c 055f6d65 6d636d70 00000004 ..#.._memcmp.... + 4be70: b7022310 000c7265 67697374 65725f64 ..#...register_d + 4be80: 756d705f 73000001 07000005 20040006 ump_s....... ... + 4be90: 01070000 053a0400 06010700 00054304 .....:........C. + 4bea0: 00090000 01c80107 0000054c 04000d68 ...........L...h + 4beb0: 6f737469 665f7300 04000005 a80e4849 ostif_s.......HI + 4bec0: 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE + 4bed0: 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI + 4bee0: 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. + 4bef0: 040e4849 465f4e4f 4e450005 0003415f ..HIF_NONE....A_ + 4bf00: 484f5354 49460000 00055909 000005a8 HOSTIF....Y..... + 4bf10: 01070000 05b60400 09000001 11010700 ................ + 4bf20: 0005c304 00090000 01340107 000005d0 .........4...... + 4bf30: 0400046d 6973635f 61706900 24000006 ...misc_api.$... + 4bf40: c0055f73 79737465 6d5f7265 73657400 .._system_reset. + 4bf50: 000001c1 02230005 5f6d6163 5f726573 .....#.._mac_res + 4bf60: 65740000 0001c102 2304055f 61737366 et......#.._assf + 4bf70: 61696c00 0000053c 02230805 5f6d6973 ail....<.#.._mis + 4bf80: 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han + 4bf90: 646c6572 00000005 3c02230c 055f7265 dler....<.#.._re + 4bfa0: 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ + 4bfb0: 686f7374 00000005 45022310 055f7461 host....E.#.._ta + 4bfc0: 72676574 5f69645f 67657400 00000552 rget_id_get....R + 4bfd0: 02231405 5f69735f 686f7374 5f707265 .#.._is_host_pre + 4bfe0: 73656e74 00000005 bc022318 055f6b62 sent......#.._kb + 4bff0: 68697400 000005c9 02231c05 5f726f6d hit......#.._rom + 4c000: 5f766572 73696f6e 5f676574 00000005 _version_get.... + 4c010: d6022320 00090000 03e90107 000006c0 ..# ............ + 4c020: 04000900 0003e901 07000006 cd040009 ................ + 4c030: 000001c8 01070000 06da0400 09000001 ................ + 4c040: c8010700 0006e704 00090000 01c80107 ................ + 4c050: 000006f4 04000473 7472696e 675f6170 .......string_ap + 4c060: 69001800 00077a05 5f737472 696e675f i.....z._string_ + 4c070: 696e6974 00000001 c1022300 055f7374 init......#.._st + 4c080: 72637079 00000006 c6022304 055f7374 rcpy......#.._st + 4c090: 726e6370 79000000 06d30223 08055f73 rncpy......#.._s + 4c0a0: 74726c65 6e000000 06e00223 0c055f73 trlen......#.._s + 4c0b0: 7472636d 70000000 06ed0223 10055f73 trcmp......#.._s + 4c0c0: 74726e63 6d700000 0006fa02 2314000f trncmp......#... + 4c0d0: 0000047e 14000007 87100400 035f415f ...~........._A_ + 4c0e0: 54494d45 525f5350 41434500 0000077a TIMER_SPACE....z + 4c0f0: 03415f74 696d6572 5f740000 00078707 .A_timer_t...... + 4c100: 0000079b 04000601 07000007 b1040006 ................ + 4c110: 01070000 07ba0400 03415f48 414e444c .........A_HANDL + 4c120: 45000000 047e0601 03415f54 494d4552 E....~...A_TIMER + 4c130: 5f46554e 43000000 07d10700 0007d304 _FUNC........... + 4c140: 00060107 000007ec 04000474 696d6572 ...........timer + 4c150: 5f617069 00140000 086b055f 74696d65 _api.....k._time + 4c160: 725f696e 69740000 0001c102 2300055f r_init......#.._ + 4c170: 74696d65 725f6172 6d000000 07b30223 timer_arm......# + 4c180: 04055f74 696d6572 5f646973 61726d00 .._timer_disarm. + 4c190: 000007bc 02230805 5f74696d 65725f73 .....#.._timer_s + 4c1a0: 6574666e 00000007 ee02230c 055f7469 etfn......#.._ti + 4c1b0: 6d65725f 72756e00 000001c1 02231000 mer_run......#.. + 4c1c0: 03424f4f 4c45414e 00000001 34090000 .BOOLEAN....4... + 4c1d0: 086b0107 00000878 04000900 00086b01 .k.....x......k. + 4c1e0: 07000008 85040009 0000086b 01070000 ...........k.... + 4c1f0: 08920400 04726f6d 705f6170 69001000 .....romp_api... + 4c200: 00090405 5f726f6d 705f696e 69740000 ...._romp_init.. + 4c210: 0001c102 2300055f 726f6d70 5f646f77 ....#.._romp_dow + 4c220: 6e6c6f61 64000000 087e0223 04055f72 nload....~.#.._r + 4c230: 6f6d705f 696e7374 616c6c00 0000088b omp_install..... + 4c240: 02230805 5f726f6d 705f6465 636f6465 .#.._romp_decode + 4c250: 00000008 9802230c 0004726f 6d5f7061 ......#...rom_pa + 4c260: 7463685f 73740010 00000960 05637263 tch_st.....`.crc + 4c270: 31360000 00013402 2300056c 656e0000 16....4.#..len.. + 4c280: 00013402 2302056c 645f6164 64720000 ..4.#..ld_addr.. + 4c290: 00024102 23040566 756e5f61 64647200 ..A.#..fun_addr. + 4c2a0: 00000241 02230805 7066756e 00000003 ...A.#..pfun.... + 4c2b0: ba02230c 00046565 705f7265 6469725f ..#...eep_redir_ + 4c2c0: 61646472 00040000 0992056f 66667365 addr.......offse + 4c2d0: 74000000 01340223 00057369 7a650000 t....4.#..size.. + 4c2e0: 00013402 23020003 415f5549 4e543332 ..4.#...A_UINT32 + 4c2f0: 00000004 7e090000 047b0107 000009a0 ....~....{...... + 4c300: 04000461 6c6c6f63 72616d5f 61706900 ...allocram_api. + 4c310: 0c00000a 1105636d 6e6f735f 616c6c6f ......cmnos_allo + 4c320: 6372616d 5f696e69 74000000 09a60223 cram_init......# + 4c330: 0005636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 4c340: 00000009 a6022304 05636d6e 6f735f61 ......#..cmnos_a + 4c350: 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... + 4c360: 01c10223 08000601 0700000a 11040003 ...#............ + 4c370: 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. + 4c380: 000a1304 5f746173 6b6c6574 00100000 ...._tasklet.... + 4c390: 0a720566 756e6300 00000a1a 02230005 .r.func......#.. + 4c3a0: 61726700 0000047b 02230405 73746174 arg....{.#..stat + 4c3b0: 65000000 01c80223 08056e65 78740000 e......#..next.. + 4c3c0: 000a7202 230c0007 00000a2e 04000700 ..r.#........... + 4c3d0: 000a2e04 0003415f 7461736b 6c65745f ......A_tasklet_ + 4c3e0: 74000000 0a2e0700 000a8004 00060107 t............... + 4c3f0: 00000a98 04000601 0700000a a1040004 ................ + 4c400: 7461736b 6c65745f 61706900 1400000b tasklet_api..... + 4c410: 36055f74 61736b6c 65745f69 6e697400 6._tasklet_init. + 4c420: 000001c1 02230005 5f746173 6b6c6574 .....#.._tasklet + 4c430: 5f696e69 745f7461 736b0000 000a9a02 _init_task...... + 4c440: 2304055f 7461736b 6c65745f 64697361 #.._tasklet_disa + 4c450: 626c6500 00000aa3 02230805 5f746173 ble......#.._tas + 4c460: 6b6c6574 5f736368 6564756c 65000000 klet_schedule... + 4c470: 0aa30223 0c055f74 61736b6c 65745f72 ...#.._tasklet_r + 4c480: 756e0000 0001c102 23100006 01070000 un......#....... + 4c490: 0b360400 09000009 92010700 000b3f04 .6............?. + 4c4a0: 00060107 00000b4c 04000463 6c6f636b .......L...clock + 4c4b0: 5f617069 00240000 0c2e055f 636c6f63 _api.$....._cloc + 4c4c0: 6b5f696e 69740000 000b3802 2300055f k_init....8.#.._ + 4c4d0: 636c6f63 6b726567 735f696e 69740000 clockregs_init.. + 4c4e0: 0001c102 2304055f 75617274 5f667265 ....#.._uart_fre + 4c4f0: 7175656e 63790000 000b4502 2308055f quency....E.#.._ + 4c500: 64656c61 795f7573 0000000b 4e02230c delay_us....N.#. + 4c510: 055f776c 616e5f62 616e645f 73657400 ._wlan_band_set. + 4c520: 00000b4e 02231005 5f726566 636c6b5f ...N.#.._refclk_ + 4c530: 73706565 645f6765 74000000 0b450223 speed_get....E.# + 4c540: 14055f6d 696c6c69 7365636f 6e647300 .._milliseconds. + 4c550: 00000b45 02231805 5f737973 636c6b5f ...E.#.._sysclk_ + 4c560: 6368616e 67650000 0001c102 231c055f change......#.._ + 4c570: 636c6f63 6b5f7469 636b0000 0001c102 clock_tick...... + 4c580: 23200009 00000241 01070000 0c2e0400 # .....A........ + 4c590: 03415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... + 4c5a0: 02410900 000c3b01 0700000c 4d040006 .A....;.....M... + 4c5b0: 01070000 0c5a0400 06010700 000c6304 .....Z........c. + 4c5c0: 00090000 02410107 00000c6c 04000341 .....A.....l...A + 4c5d0: 5f697372 5f740000 000c7206 01070000 _isr_t....r..... + 4c5e0: 0c860400 09000004 7e010700 000c8f04 ........~....... + 4c5f0: 00060107 00000c9c 04000469 6e74725f ...........intr_ + 4c600: 61706900 2c00000d be055f69 6e74725f api.,....._intr_ + 4c610: 696e6974 00000001 c1022300 055f696e init......#.._in + 4c620: 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... + 4c630: 0c340223 04055f69 6e74725f 64697361 .4.#.._intr_disa + 4c640: 626c6500 00000c53 02230805 5f696e74 ble....S.#.._int + 4c650: 725f7265 73746f72 65000000 0c5c0223 r_restore....\.# + 4c660: 0c055f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu + 4c670: 6d000000 0c650223 10055f69 6e74725f m....e.#.._intr_ + 4c680: 756e6d61 736b5f69 6e756d00 00000c65 unmask_inum....e + 4c690: 02231405 5f696e74 725f6174 74616368 .#.._intr_attach + 4c6a0: 5f697372 0000000c 88022318 055f6765 _isr......#.._ge + 4c6b0: 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 4c6c0: 9502231c 055f7365 745f696e 7472656e ..#.._set_intren + 4c6d0: 61626c65 0000000c 9e022320 055f6765 able......# ._ge + 4c6e0: 745f696e 74727065 6e64696e 67000000 t_intrpending... + 4c6f0: 0c950223 24055f75 6e626c6f 636b5f61 ...#$._unblock_a + 4c700: 6c6c5f69 6e74726c 766c0000 0001c102 ll_intrlvl...... + 4c710: 23280011 0400000d e4057469 6d656f75 #(........timeou + 4c720: 74000000 02410223 00056163 74696f6e t....A.#..action + 4c730: 00000002 41022300 00120800 000dff05 ....A.#......... + 4c740: 636d6400 00000241 02230013 00000dbe cmd....A.#...... + 4c750: 02230400 03545f57 44545f43 4d440000 .#...T_WDT_CMD.. + 4c760: 000de406 01070000 0e0e0400 14040000 ................ + 4c770: 0e640e45 4e554d5f 5744545f 424f4f54 .d.ENUM_WDT_BOOT + 4c780: 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO + 4c790: 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO + 4c7a0: 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW + 4c7b0: 4e5f424f 4f540004 0003545f 424f4f54 N_BOOT....T_BOOT + 4c7c0: 5f545950 45000000 0e170900 000e6401 _TYPE.........d. + 4c7d0: 0700000e 75040004 7764745f 61706900 ....u...wdt_api. + 4c7e0: 1c00000f 19055f77 64745f69 6e697400 ......_wdt_init. + 4c7f0: 000001c1 02230005 5f776474 5f656e61 .....#.._wdt_ena + 4c800: 626c6500 000001c1 02230405 5f776474 ble......#.._wdt + 4c810: 5f646973 61626c65 00000001 c1022308 _disable......#. + 4c820: 055f7764 745f7365 74000000 0e100223 ._wdt_set......# + 4c830: 0c055f77 64745f74 61736b00 000001c1 .._wdt_task..... + 4c840: 02231005 5f776474 5f726573 65740000 .#.._wdt_reset.. + 4c850: 0001c102 2314055f 7764745f 6c617374 ....#.._wdt_last + 4c860: 5f626f6f 74000000 0e7b0223 18001404 _boot....{.#.... + 4c870: 00000f80 0e524554 5f535543 43455353 .....RET_SUCCESS + 4c880: 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. + 4c890: 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. + 4c8a0: 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP + 4c8b0: 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER + 4c8c0: 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO + 4c8d0: 574e0005 0003545f 4545505f 52455400 WN....T_EEP_RET. + 4c8e0: 00000f19 07000001 34040009 00000f80 ........4....... + 4c8f0: 01070000 0f960400 0900000f 80010700 ................ + 4c900: 000fa304 00046565 705f6170 69001000 ......eep_api... + 4c910: 00100c05 5f656570 5f696e69 74000000 ...._eep_init... + 4c920: 01c10223 00055f65 65705f72 65616400 ...#.._eep_read. + 4c930: 00000f9c 02230405 5f656570 5f777269 .....#.._eep_wri + 4c940: 74650000 000f9c02 2308055f 6565705f te......#.._eep_ + 4c950: 69735f65 78697374 0000000f a902230c is_exist......#. + 4c960: 00047573 625f6170 69007000 0012b905 ..usb_api.p..... + 4c970: 5f757362 5f696e69 74000000 01c10223 _usb_init......# + 4c980: 00055f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. + 4c990: 000001c1 02230405 5f757362 5f66775f .....#.._usb_fw_ + 4c9a0: 7461736b 00000001 c1022308 055f7573 task......#.._us + 4c9b0: 625f696e 69745f70 68790000 0001c102 b_init_phy...... + 4c9c0: 230c055f 7573625f 6570305f 73657475 #.._usb_ep0_setu + 4c9d0: 70000000 01c10223 10055f75 73625f65 p......#.._usb_e + 4c9e0: 70305f74 78000000 01c10223 14055f75 p0_tx......#.._u + 4c9f0: 73625f65 70305f72 78000000 01c10223 sb_ep0_rx......# + 4ca00: 18055f75 73625f67 65745f69 6e746572 .._usb_get_inter + 4ca10: 66616365 00000008 8b02231c 055f7573 face......#.._us + 4ca20: 625f7365 745f696e 74657266 61636500 b_set_interface. + 4ca30: 0000088b 02232005 5f757362 5f676574 .....# ._usb_get + 4ca40: 5f636f6e 66696775 72617469 6f6e0000 _configuration.. + 4ca50: 00088b02 2324055f 7573625f 7365745f ....#$._usb_set_ + 4ca60: 636f6e66 69677572 6174696f 6e000000 configuration... + 4ca70: 088b0223 28055f75 73625f73 74616e64 ...#(._usb_stand + 4ca80: 6172645f 636d6400 0000088b 02232c05 ard_cmd......#,. + 4ca90: 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. + 4caa0: 000001c1 02233005 5f757362 5f706f77 .....#0._usb_pow + 4cab0: 65725f6f 66660000 0001c102 2334055f er_off......#4._ + 4cac0: 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. + 4cad0: 0001c102 2338055f 7573625f 67656e5f ....#8._usb_gen_ + 4cae0: 77647400 000001c1 02233c05 5f757362 wdt......#<._usb + 4caf0: 5f6a756d 705f626f 6f740000 0001c102 _jump_boot...... + 4cb00: 2340055f 7573625f 636c725f 66656174 #@._usb_clr_feat + 4cb10: 75726500 0000088b 02234405 5f757362 ure......#D._usb + 4cb20: 5f736574 5f666561 74757265 00000008 _set_feature.... + 4cb30: 8b022348 055f7573 625f7365 745f6164 ..#H._usb_set_ad + 4cb40: 64726573 73000000 088b0223 4c055f75 dress......#L._u + 4cb50: 73625f67 65745f64 65736372 6970746f sb_get_descripto + 4cb60: 72000000 088b0223 50055f75 73625f67 r......#P._usb_g + 4cb70: 65745f73 74617475 73000000 088b0223 et_status......# + 4cb80: 54055f75 73625f73 65747570 5f646573 T._usb_setup_des + 4cb90: 63000000 01c10223 58055f75 73625f72 c......#X._usb_r + 4cba0: 65675f6f 75740000 0001c102 235c055f eg_out......#\._ + 4cbb0: 7573625f 73746174 75735f69 6e000000 usb_status_in... + 4cbc0: 01c10223 60055f75 73625f65 70305f74 ...#`._usb_ep0_t + 4cbd0: 785f6461 74610000 0001c102 2364055f x_data......#d._ + 4cbe0: 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. + 4cbf0: 000001c1 02236805 5f757362 5f636c6b .....#h._usb_clk + 4cc00: 5f696e69 74000000 01c10223 6c00045f _init......#l.._ + 4cc10: 56444553 43002400 00134505 6e657874 VDESC.$...E.next + 4cc20: 5f646573 63000000 13450223 00056275 _desc....E.#..bu + 4cc30: 665f6164 64720000 00135902 23040562 f_addr....Y.#..b + 4cc40: 75665f73 697a6500 00001360 02230805 uf_size....`.#.. + 4cc50: 64617461 5f6f6666 73657400 00001360 data_offset....` + 4cc60: 02230a05 64617461 5f73697a 65000000 .#..data_size... + 4cc70: 13600223 0c05636f 6e74726f 6c000000 .`.#..control... + 4cc80: 13600223 0e056877 5f646573 635f6275 .`.#..hw_desc_bu + 4cc90: 66000000 136e0223 10000700 0012b904 f....n.#........ + 4cca0: 0003415f 55494e54 38000000 01000700 ..A_UINT8....... + 4ccb0: 00134c04 0003415f 55494e54 31360000 ..L...A_UINT16.. + 4ccc0: 00011e0f 0000134c 14000013 7b101300 .......L....{... + 4ccd0: 07000012 b9040003 56444553 43000000 ........VDESC... + 4cce0: 12b90700 00138204 00090000 138d0107 ................ + 4ccf0: 00001394 04000900 00135901 07000013 ..........Y..... + 4cd00: a1040006 01070000 13ae0400 04766465 .............vde + 4cd10: 73635f61 70690014 00001426 055f696e sc_api.....&._in + 4cd20: 69740000 000b4e02 2300055f 616c6c6f it....N.#.._allo + 4cd30: 635f7664 65736300 0000139a 02230405 c_vdesc......#.. + 4cd40: 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... + 4cd50: a7022308 055f7377 61705f76 64657363 ..#.._swap_vdesc + 4cd60: 00000013 b002230c 05705265 73657276 ......#..pReserv + 4cd70: 65640000 00047b02 23100004 5f564255 ed....{.#..._VBU + 4cd80: 46002000 00148605 64657363 5f6c6973 F. .....desc_lis + 4cd90: 74000000 138d0223 00056e65 78745f62 t......#..next_b + 4cda0: 75660000 00148602 23040562 75665f6c uf......#..buf_l + 4cdb0: 656e6774 68000000 13600223 08057265 ength....`.#..re + 4cdc0: 73657276 65640000 00148d02 230a0563 served......#..c + 4cdd0: 74780000 00136e02 230c0007 00001426 tx....n.#......& + 4cde0: 04000f00 00134c02 0000149a 10010007 ......L......... + 4cdf0: 00001426 04000356 42554600 00001426 ...&...VBUF....& + 4ce00: 07000014 a1040009 000014ab 01070000 ................ + 4ce10: 14b20400 09000014 ab010700 0014bf04 ................ + 4ce20: 00060107 000014cc 04000476 6275665f ...........vbuf_ + 4ce30: 61706900 14000015 4a055f69 6e697400 api.....J._init. + 4ce40: 00000b4e 02230005 5f616c6c 6f635f76 ...N.#.._alloc_v + 4ce50: 62756600 000014b8 02230405 5f616c6c buf......#.._all + 4ce60: 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz + 4ce70: 65000000 14c50223 08055f66 7265655f e......#.._free_ + 4ce80: 76627566 00000014 ce02230c 05705265 vbuf......#..pRe + 4ce90: 73657276 65640000 00047b02 23100004 served....{.#... + 4cea0: 5f5f6164 665f6465 76696365 00040000 __adf_device.... + 4ceb0: 156c0564 756d6d79 00000001 c8022300 .l.dummy......#. + 4cec0: 00070000 09920400 045f5f61 64665f64 .........__adf_d + 4ced0: 6d615f6d 6170000c 000015b3 05627566 ma_map.......buf + 4cee0: 00000014 ab022300 0564735f 61646472 ......#..ds_addr + 4cef0: 00000015 6c022304 0564735f 6c656e00 ....l.#..ds_len. + 4cf00: 00001360 02230800 120c0000 15ed055f ...`.#........._ + 4cf10: 5f76615f 73746b00 000003e9 02230005 _va_stk......#.. + 4cf20: 5f5f7661 5f726567 00000003 e9022304 __va_reg......#. + 4cf30: 055f5f76 615f6e64 78000000 01c80223 .__va_ndx......# + 4cf40: 0800035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 4cf50: 61646472 5f740000 00099203 6164665f addr_t......adf_ + 4cf60: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 4cf70: 15ed035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 4cf80: 73697a65 5f740000 00099203 6164665f size_t......adf_ + 4cf90: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 4cfa0: 161d045f 5f646d61 5f736567 73000800 ...__dma_segs... + 4cfb0: 00167905 70616464 72000000 16060223 ..y.paddr......# + 4cfc0: 00056c65 6e000000 16360223 0400035f ..len....6.#..._ + 4cfd0: 5f615f75 696e7433 325f7400 00000992 _a_uint32_t..... + 4cfe0: 03615f75 696e7433 325f7400 00001679 .a_uint32_t....y + 4cff0: 0f000016 4d080000 16a81000 00046164 ....M.........ad + 4d000: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 4d010: 000c0000 16e1056e 73656773 00000016 .......nsegs.... + 4d020: 8b022300 05646d61 5f736567 73000000 ..#..dma_segs... + 4d030: 169b0223 0400035f 5f615f75 696e7438 ...#...__a_uint8 + 4d040: 5f740000 00134c03 615f7569 6e74385f _t....L.a_uint8_ + 4d050: 74000000 16e10700 0016f204 00045f5f t.............__ + 4d060: 73675f73 65677300 08000017 33057661 sg_segs.....3.va + 4d070: 64647200 00001701 02230005 6c656e00 ddr......#..len. + 4d080: 0000168b 02230400 0f000017 08200000 .....#....... .. + 4d090: 17401003 00046164 665f6f73 5f73676c .@....adf_os_sgl + 4d0a0: 69737400 24000017 73056e73 65677300 ist.$...s.nsegs. + 4d0b0: 0000168b 02230005 73675f73 65677300 .....#..sg_segs. + 4d0c0: 00001733 02230400 12100000 17bc0576 ...3.#.........v + 4d0d0: 656e646f 72000000 168b0223 00056465 endor......#..de + 4d0e0: 76696365 00000016 8b022304 05737562 vice......#..sub + 4d0f0: 76656e64 6f720000 00168b02 23080573 vendor......#..s + 4d100: 75626465 76696365 00000016 8b02230c ubdevice......#. + 4d110: 00026c6f 6e67206c 6f6e6720 756e7369 ..long long unsi + 4d120: 676e6564 20696e74 00070803 415f5549 gned int....A_UI + 4d130: 4e543634 00000017 bc035f5f 615f7569 NT64......__a_ui + 4d140: 6e743634 5f740000 0017d603 615f7569 nt64_t......a_ui + 4d150: 6e743634 5f740000 0017e414 04000018 nt64_t.......... + 4d160: 420e4144 465f4f53 5f524553 4f555243 B.ADF_OS_RESOURC + 4d170: 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF + 4d180: 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP + 4d190: 455f494f 00010003 6164665f 6f735f72 E_IO....adf_os_r + 4d1a0: 65736f75 7263655f 74797065 5f740000 esource_type_t.. + 4d1b0: 00180612 18000018 8c057374 61727400 ..........start. + 4d1c0: 000017f6 02230005 656e6400 000017f6 .....#..end..... + 4d1d0: 02230805 74797065 00000018 42022310 .#..type....B.#. + 4d1e0: 00036164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev + 4d1f0: 5f69645f 74000000 17730700 00188c04 _id_t....s...... + 4d200: 00110400 0018cb05 70636900 000018a5 ........pci..... + 4d210: 02230005 72617700 0000047b 02230000 .#..raw....{.#.. + 4d220: 11100000 18ea0570 63690000 00188c02 .......pci...... + 4d230: 23000572 61770000 00047b02 23000003 #..raw....{.#... + 4d240: 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t + 4d250: 00000004 7b036164 665f6f73 5f726573 ....{.adf_os_res + 4d260: 6f757263 655f7400 0000185e 07000019 ource_t....^.... + 4d270: 00040003 6164665f 6f735f61 74746163 ....adf_os_attac + 4d280: 685f6461 74615f74 00000018 cb070000 h_data_t........ + 4d290: 191e0400 07000015 4a040003 5f5f6164 ........J...__ad + 4d2a0: 665f6f73 5f646576 6963655f 74000000 f_os_device_t... + 4d2b0: 193f0361 64665f6f 735f6465 76696365 .?.adf_os_device + 4d2c0: 5f740000 00194609 000018ea 01070000 _t....F......... + 4d2d0: 19720400 06010700 00197f04 00036164 .r............ad + 4d2e0: 665f6f73 5f706d5f 74000000 047b0601 f_os_pm_t....{.. + 4d2f0: 07000019 99040014 04000019 d90e4144 ..............AD + 4d300: 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC + 4d310: 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T + 4d320: 5950455f 47454e45 52494300 02000361 YPE_GENERIC....a + 4d330: 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t + 4d340: 00000019 a2036164 665f6f73 5f627573 ......adf_os_bus + 4d350: 5f726567 5f646174 615f7400 000018ac _reg_data_t..... + 4d360: 07000001 00040004 5f616466 5f647276 ........_adf_drv + 4d370: 5f696e66 6f002000 001ab605 6472765f _info. .....drv_ + 4d380: 61747461 63680000 00197802 23000564 attach....x.#..d + 4d390: 72765f64 65746163 68000000 19810223 rv_detach......# + 4d3a0: 04056472 765f7375 7370656e 64000000 ..drv_suspend... + 4d3b0: 199b0223 08056472 765f7265 73756d65 ...#..drv_resume + 4d3c0: 00000019 8102230c 05627573 5f747970 ......#..bus_typ + 4d3d0: 65000000 19d90223 10056275 735f6461 e......#..bus_da + 4d3e0: 74610000 0019f002 2314056d 6f645f6e ta......#..mod_n + 4d3f0: 616d6500 00001a0b 02231805 69666e61 ame......#..ifna + 4d400: 6d650000 001a0b02 231c0003 6164665f me......#...adf_ + 4d410: 6f735f68 616e646c 655f7400 0000047b os_handle_t....{ + 4d420: 07000016 e1040006 01060103 5f5f6164 ............__ad + 4d430: 665f6f73 5f73697a 655f7400 0000047e f_os_size_t....~ + 4d440: 14040000 1b050e41 5f46414c 53450000 .......A_FALSE.. + 4d450: 0e415f54 52554500 01000361 5f626f6f .A_TRUE....a_boo + 4d460: 6c5f7400 00001aeb 07000015 73040003 l_t.........s... + 4d470: 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map + 4d480: 5f740000 001b1306 010d6164 665f6f73 _t........adf_os + 4d490: 5f636163 68655f73 796e6300 0400001b _cache_sync..... + 4d4a0: 9d0e4144 465f5359 4e435f50 52455245 ..ADF_SYNC_PRERE + 4d4b0: 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR + 4d4c0: 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN + 4d4d0: 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF + 4d4e0: 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. + 4d4f0: 03000361 64665f6f 735f6361 6368655f ...adf_os_cache_ + 4d500: 73796e63 5f740000 001b3406 01036164 sync_t....4...ad + 4d510: 665f6f73 5f73697a 655f7400 00001ad6 f_os_size_t..... + 4d520: 0900001b b8010361 64665f6f 735f646d .......adf_os_dm + 4d530: 615f6d61 705f7400 00001b1a 0700001b a_map_t......... + 4d540: d1040009 0000047b 01070000 1b1a0400 .......{........ + 4d550: 09000004 7b010601 09000016 06010601 ....{........... + 4d560: 0273686f 72742069 6e740005 0203415f .short int....A_ + 4d570: 494e5431 36000000 1c0b035f 5f615f69 INT16......__a_i + 4d580: 6e743136 5f740000 001c1803 615f696e nt16_t......a_in + 4d590: 7431365f 74000000 1c250273 69676e65 t16_t....%.signe + 4d5a0: 64206368 61720005 0103415f 494e5438 d char....A_INT8 + 4d5b0: 0000001c 45035f5f 615f696e 74385f74 ....E.__a_int8_t + 4d5c0: 0000001c 5403615f 696e7438 5f740000 ....T.a_int8_t.. + 4d5d0: 001c6012 0c00001c d7057375 70706f72 ..`.......suppor + 4d5e0: 74656400 0000168b 02230005 61647665 ted......#..adve + 4d5f0: 7274697a 65640000 00168b02 23040573 rtized......#..s + 4d600: 70656564 0000001c 36022308 05647570 peed....6.#..dup + 4d610: 6c657800 00001c70 02230a05 6175746f lex....p.#..auto + 4d620: 6e656700 000016f2 02230b00 0f000016 neg......#...... + 4d630: f2060000 1ce41005 00046164 665f6e65 ..........adf_ne + 4d640: 745f6574 68616464 72000600 001d0805 t_ethaddr....... + 4d650: 61646472 0000001c d7022300 00035f5f addr......#...__ + 4d660: 615f7569 6e743136 5f740000 00136003 a_uint16_t....`. + 4d670: 615f7569 6e743136 5f740000 001d0812 a_uint16_t...... + 4d680: 0e00001d 6c056574 6865725f 64686f73 ....l.ether_dhos + 4d690: 74000000 1cd70223 00056574 6865725f t......#..ether_ + 4d6a0: 73686f73 74000000 1cd70223 06056574 shost......#..et + 4d6b0: 6865725f 74797065 0000001d 1a02230c her_type......#. + 4d6c0: 00121400 001e2d15 69705f76 65727369 ......-.ip_versi + 4d6d0: 6f6e0000 0016f201 00040223 00156970 on.........#..ip + 4d6e0: 5f686c00 000016f2 01040402 23000569 _hl.........#..i + 4d6f0: 705f746f 73000000 16f20223 01056970 p_tos......#..ip + 4d700: 5f6c656e 0000001d 1a022302 0569705f _len......#..ip_ + 4d710: 69640000 001d1a02 23040569 705f6672 id......#..ip_fr + 4d720: 61675f6f 66660000 001d1a02 23060569 ag_off......#..i + 4d730: 705f7474 6c000000 16f20223 08056970 p_ttl......#..ip + 4d740: 5f70726f 746f0000 0016f202 23090569 _proto......#..i + 4d750: 705f6368 65636b00 00001d1a 02230a05 p_check......#.. + 4d760: 69705f73 61646472 00000016 8b02230c ip_saddr......#. + 4d770: 0569705f 64616464 72000000 168b0223 .ip_daddr......# + 4d780: 10000461 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 4d790: 64720004 00001e7f 05747069 64000000 dr.......tpid... + 4d7a0: 1d1a0223 00157072 696f0000 0016f201 ...#..prio...... + 4d7b0: 00030223 02156366 69000000 16f20103 ...#..cfi....... + 4d7c0: 01022302 15766964 0000001d 1a02040c ..#..vid........ + 4d7d0: 02230200 04616466 5f6e6574 5f766964 .#...adf_net_vid + 4d7e0: 00020000 1eb01572 65730000 0016f201 .......res...... + 4d7f0: 00040223 00157661 6c000000 1d1a0204 ...#..val....... + 4d800: 0c022300 00120c00 001eec05 72785f62 ..#.........rx_b + 4d810: 75667369 7a650000 00168b02 23000572 ufsize......#..r + 4d820: 785f6e64 65736300 0000168b 02230405 x_ndesc......#.. + 4d830: 74785f6e 64657363 00000016 8b022308 tx_ndesc......#. + 4d840: 00120800 001f1205 706f6c6c 65640000 ........polled.. + 4d850: 001b0502 23000570 6f6c6c5f 77740000 ....#..poll_wt.. + 4d860: 00168b02 2304000f 000016f2 4000001f ....#.......@... + 4d870: 1f103f00 12460000 1f470569 665f6e61 ..?..F...G.if_na + 4d880: 6d650000 001f1202 23000564 65765f61 me......#..dev_a + 4d890: 64647200 00001cd7 02234000 14040000 ddr......#@..... + 4d8a0: 1f7e0e41 44465f4f 535f444d 415f4d41 .~.ADF_OS_DMA_MA + 4d8b0: 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O + 4d8c0: 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT + 4d8d0: 00010003 6164665f 6f735f64 6d615f6d ....adf_os_dma_m + 4d8e0: 61736b5f 74000000 1f470461 64665f64 ask_t....G.adf_d + 4d8f0: 6d615f69 6e666f00 0800001f cb05646d ma_info.......dm + 4d900: 615f6d61 736b0000 001f7e02 23000573 a_mask....~.#..s + 4d910: 675f6e73 65677300 0000168b 02230400 g_nsegs......#.. + 4d920: 14040000 20210e41 44465f4e 45545f43 .... !.ADF_NET_C + 4d930: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 4d940: 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 4d950: 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET + 4d960: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 4d970: 50763600 02000361 64665f6e 65745f63 Pv6....adf_net_c + 4d980: 6b73756d 5f747970 655f7400 00001fcb ksum_type_t..... + 4d990: 12080000 20640574 785f636b 73756d00 .... d.tx_cksum. + 4d9a0: 00002021 02230005 72785f63 6b73756d .. !.#..rx_cksum + 4d9b0: 00000020 21022304 00036164 665f6e65 ... !.#...adf_ne + 4d9c0: 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. + 4d9d0: 00203b14 04000020 bd0e4144 465f4e45 . ;.... ..ADF_NE + 4d9e0: 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF + 4d9f0: 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... + 4da00: 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. + 4da10: 02000361 64665f6e 65745f74 736f5f74 ...adf_net_tso_t + 4da20: 7970655f 74000000 207e1210 00002111 ype_t... ~....!. + 4da30: 05636b73 756d5f63 61700000 00206402 .cksum_cap... d. + 4da40: 23000574 736f0000 0020bd02 23080576 #..tso... ..#..v + 4da50: 6c616e5f 73757070 6f727465 64000000 lan_supported... + 4da60: 16f20223 0c001220 000021aa 0574785f ...#... ..!..tx_ + 4da70: 7061636b 65747300 0000168b 02230005 packets......#.. + 4da80: 72785f70 61636b65 74730000 00168b02 rx_packets...... + 4da90: 23040574 785f6279 74657300 0000168b #..tx_bytes..... + 4daa0: 02230805 72785f62 79746573 00000016 .#..rx_bytes.... + 4dab0: 8b02230c 0574785f 64726f70 70656400 ..#..tx_dropped. + 4dac0: 0000168b 02231005 72785f64 726f7070 .....#..rx_dropp + 4dad0: 65640000 00168b02 23140572 785f6572 ed......#..rx_er + 4dae0: 726f7273 00000016 8b022318 0574785f rors......#..tx_ + 4daf0: 6572726f 72730000 00168b02 231c0003 errors......#... + 4db00: 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ + 4db10: 74000000 1ce41600 0021aa03 00000021 t........!.....! + 4db20: cf107f00 17616466 5f6e6574 5f636d64 .....adf_net_cmd + 4db30: 5f6d6361 64647200 03040000 2206056e _mcaddr....."..n + 4db40: 656c656d 00000016 8b022300 056d6361 elem......#..mca + 4db50: 73740000 0021c102 23040003 6164665f st...!..#...adf_ + 4db60: 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf + 4db70: 6f5f7400 00001c7e 03616466 5f6e6574 o_t....~.adf_net + 4db80: 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t + 4db90: 0000001e ec036164 665f6e65 745f636d ......adf_net_cm + 4dba0: 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. + 4dbb0: 00206403 6164665f 6e65745f 636d645f . d.adf_net_cmd_ + 4dbc0: 72696e67 5f696e66 6f5f7400 00001eb0 ring_info_t..... + 4dbd0: 03616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma + 4dbe0: 5f696e66 6f5f7400 00001f95 03616466 _info_t......adf + 4dbf0: 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. + 4dc00: 001d1a03 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 4dc10: 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... + 4dc20: 20d50361 64665f6e 65745f63 6d645f73 ..adf_net_cmd_s + 4dc30: 74617473 5f740000 00211103 6164665f tats_t...!..adf_ + 4dc40: 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t + 4dc50: 00000021 cf0d6164 665f6e65 745f636d ...!..adf_net_cm + 4dc60: 645f6d63 6173745f 63617000 04000023 d_mcast_cap....# + 4dc70: 480e4144 465f4e45 545f4d43 4153545f H.ADF_NET_MCAST_ + 4dc80: 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC + 4dc90: 4153545f 4e4f5453 55500001 00036164 AST_NOTSUP....ad + 4dca0: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 4dcb0: 6361705f 74000000 23001803 04000024 cap_t...#......$ + 4dcc0: 1a056c69 6e6b5f69 6e666f00 00002206 ..link_info...". + 4dcd0: 02230005 706f6c6c 5f696e66 6f000000 .#..poll_info... + 4dce0: 22230223 0005636b 73756d5f 696e666f "#.#..cksum_info + 4dcf0: 00000022 40022300 0572696e 675f696e ..."@.#..ring_in + 4dd00: 666f0000 00225e02 23000564 6d615f69 fo..."^.#..dma_i + 4dd10: 6e666f00 0000227b 02230005 76696400 nfo..."{.#..vid. + 4dd20: 00002297 02230005 6f66666c 6f61645f .."..#..offload_ + 4dd30: 63617000 000022ae 02230005 73746174 cap..."..#..stat + 4dd40: 73000000 22cd0223 00056d63 6173745f s..."..#..mcast_ + 4dd50: 696e666f 00000022 e6022300 056d6361 info..."..#..mca + 4dd60: 73745f63 61700000 00234802 23000014 st_cap...#H.#... + 4dd70: 04000024 710e4144 465f4e42 55465f52 ...$q.ADF_NBUF_R + 4dd80: 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A + 4dd90: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 4dda0: 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R + 4ddb0: 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS + 4ddc0: 41525900 02000361 64665f6e 6275665f ARY....adf_nbuf_ + 4ddd0: 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. + 4dde0: 0000241a 12080000 24b10572 6573756c ..$.....$..resul + 4ddf0: 74000000 24710223 00057661 6c000000 t...$q.#..val... + 4de00: 168b0223 04001208 000024e1 05747970 ...#......$..typ + 4de10: 65000000 20bd0223 00056d73 73000000 e... ..#..mss... + 4de20: 1d1a0223 04056864 725f6f66 66000000 ...#..hdr_off... + 4de30: 16f20223 0600045f 5f616466 5f6e6275 ...#...__adf_nbu + 4de40: 665f7168 65616400 0c000025 20056865 f_qhead....% .he + 4de50: 61640000 0014ab02 23000574 61696c00 ad......#..tail. + 4de60: 000014ab 02230405 716c656e 00000016 .....#..qlen.... + 4de70: 8b022308 00035f5f 6164665f 6e627566 ..#...__adf_nbuf + 4de80: 5f740000 0014ab07 00001701 04000700 _t.............. + 4de90: 00168b04 00060109 0000138d 01090000 ................ + 4dea0: 168b0109 00001701 01090000 17010107 ................ + 4deb0: 0000136e 0400035f 5f616466 5f6e6275 ...n...__adf_nbu + 4dec0: 665f7168 6561645f 74000000 24e1035f f_qhead_t...$.._ + 4ded0: 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ + 4dee0: 74000000 25610700 00257904 00090000 t...%a...%y..... + 4def0: 25200109 00002520 01140400 0026990e % ....% .....&.. + 4df00: 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ + 4df10: 53544154 55535f46 41494c45 4400010e STATUS_FAILED... + 4df20: 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. + 4df30: 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME + 4df40: 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN + 4df50: 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E + 4df60: 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S + 4df70: 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. + 4df80: 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. + 4df90: 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG + 4dfa0: 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD + 4dfb0: 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST + 4dfc0: 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S + 4dfd0: 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A + 4dfe0: 5f535441 5455535f 45494f00 0c000361 _STATUS_EIO....a + 4dff0: 5f737461 7475735f 74000000 25a40900 _status_t...%... + 4e000: 00269901 09000001 c8010601 03616466 .&...........adf + 4e010: 5f6e6275 665f7400 00002520 14040000 _nbuf_t...% .... + 4e020: 26fe0e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO + 4e030: 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS + 4e040: 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE + 4e050: 00010003 6164665f 6f735f64 6d615f64 ....adf_os_dma_d + 4e060: 69725f74 00000026 c7090000 26990106 ir_t...&....&... + 4e070: 01036164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 4e080: 696e666f 5f740000 0016a807 0000271c info_t........'. + 4e090: 04000601 06010900 0026b701 09000025 .........&.....% + 4e0a0: 20010601 06010900 0026b701 09000025 ........&.....% + 4e0b0: 20010900 0026b701 09000025 20010900 ....&.....% ... + 4e0c0: 0026b701 06010601 09000016 8b010900 .&.............. + 4e0d0: 00170101 06010601 0900001b b8010900 ................ + 4e0e0: 001b0501 0900001b 05010361 64665f6f ...........adf_o + 4e0f0: 735f7367 6c697374 5f740000 00174007 s_sglist_t....@. + 4e100: 00002795 04000601 06010601 09000017 ..'............. + 4e110: 01010361 64665f6e 6275665f 71756575 ...adf_nbuf_queu + 4e120: 655f7400 00002579 07000027 bd040006 e_t...%y...'.... + 4e130: 01070000 25610400 06010601 06010900 ....%a.......... + 4e140: 0026b701 09000025 20010900 00168b01 .&.....% ....... + 4e150: 09000016 8b010900 001b0501 0900001b ................ + 4e160: 05010900 00202101 09000016 8b010361 ..... !........a + 4e170: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 4e180: 5f740000 00248f07 00002819 04000601 _t...$....(..... + 4e190: 06010361 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ + 4e1a0: 74000000 24b10700 00283d04 00060106 t...$....(=..... + 4e1b0: 01036164 665f6e65 745f6861 6e646c65 ..adf_net_handle + 4e1c0: 5f740000 00047b03 6164665f 6e65745f _t....{.adf_net_ + 4e1d0: 766c616e 6864725f 74000000 1e2d0700 vlanhdr_t....-.. + 4e1e0: 00287204 00090000 26990109 00002699 .(r.....&.....&. + 4e1f0: 01060106 01045f48 49465f43 4f4e4649 ......_HIF_CONFI + 4e200: 47000400 0028c105 64756d6d 79000000 G....(..dummy... + 4e210: 01c80223 00000601 07000028 c1040006 ...#.......(.... + 4e220: 01070000 28ca0400 045f4849 465f4341 ....(...._HIF_CA + 4e230: 4c4c4241 434b000c 0000291f 0573656e LLBACK....)..sen + 4e240: 645f6275 665f646f 6e650000 0028c302 d_buf_done...(.. + 4e250: 23000572 6563765f 62756600 000028cc #..recv_buf...(. + 4e260: 02230405 636f6e74 65787400 0000047b .#..context....{ + 4e270: 02230800 03686966 5f68616e 646c655f .#...hif_handle_ + 4e280: 74000000 047b0348 49465f43 4f4e4649 t....{.HIF_CONFI + 4e290: 47000000 28a00700 00293104 00090000 G...(....)1..... + 4e2a0: 291f0107 00002948 04000601 07000029 ).....)H.......) + 4e2b0: 55040003 4849465f 43414c4c 4241434b U...HIF_CALLBACK + 4e2c0: 00000028 d3070000 295e0400 06010700 ...(....)^...... + 4e2d0: 00297704 00090000 01c80107 00002980 .)w...........). + 4e2e0: 04000601 07000029 8d040009 000001c8 .......)........ + 4e2f0: 01070000 29960400 06010700 0029a304 ....)........).. + 4e300: 00090000 01c80107 000029ac 04000601 ..........)..... + 4e310: 07000029 b9040004 6869665f 61706900 ...)....hif_api. + 4e320: 3800002b 12055f69 6e697400 0000294e 8..+.._init...)N + 4e330: 02230005 5f736875 74646f77 6e000000 .#.._shutdown... + 4e340: 29570223 04055f72 65676973 7465725f )W.#.._register_ + 4e350: 63616c6c 6261636b 00000029 79022308 callback...)y.#. + 4e360: 055f6765 745f746f 74616c5f 63726564 ._get_total_cred + 4e370: 69745f63 6f756e74 00000029 8602230c it_count...)..#. + 4e380: 055f7374 61727400 00002957 02231005 ._start...)W.#.. + 4e390: 5f636f6e 6669675f 70697065 00000029 _config_pipe...) + 4e3a0: 8f022314 055f7365 6e645f62 75666665 ..#.._send_buffe + 4e3b0: 72000000 299c0223 18055f72 65747572 r...)..#.._retur + 4e3c0: 6e5f7265 63765f62 75660000 0029a502 n_recv_buf...).. + 4e3d0: 231c055f 69735f70 6970655f 73757070 #.._is_pipe_supp + 4e3e0: 6f727465 64000000 29b20223 20055f67 orted...)..# ._g + 4e3f0: 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 4e400: 0029b202 2324055f 6765745f 72657365 .)..#$._get_rese + 4e410: 72766564 5f686561 64726f6f 6d000000 rved_headroom... + 4e420: 29860223 28055f69 73725f68 616e646c )..#(._isr_handl + 4e430: 65720000 00295702 232c055f 6765745f er...)W.#,._get_ + 4e440: 64656661 756c745f 70697065 00000029 default_pipe...) + 4e450: bb022330 05705265 73657276 65640000 ..#0.pReserved.. + 4e460: 00047b02 2334000d 646d615f 656e6769 ..{.#4..dma_engi + 4e470: 6e650004 00002b9b 0e444d41 5f454e47 ne....+..DMA_ENG + 4e480: 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN + 4e490: 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E + 4e4a0: 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ + 4e4b0: 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA + 4e4c0: 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM + 4e4d0: 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D + 4e4e0: 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... + 4e4f0: 03646d61 5f656e67 696e655f 74000000 .dma_engine_t... + 4e500: 2b120d64 6d615f69 66747970 65000400 +..dma_iftype... + 4e510: 002be80e 444d415f 49465f47 4d414300 .+..DMA_IF_GMAC. + 4e520: 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D + 4e530: 4d415f49 465f5043 49450002 0003646d MA_IF_PCIE....dm + 4e540: 615f6966 74797065 5f740000 002bad09 a_iftype_t...+.. + 4e550: 00001360 01070000 2bfa0400 06010700 ...`....+....... + 4e560: 002c0704 00060107 00002c10 04000900 .,........,..... + 4e570: 00099201 0700002c 19040009 00001360 .......,.......` + 4e580: 01070000 2c260400 09000013 60010700 ....,&......`... + 4e590: 002c3304 00090000 14ab0107 00002c40 .,3...........,@ + 4e5a0: 04000601 0700002c 4d040004 646d615f .......,M...dma_ + 4e5b0: 6c69625f 61706900 3400002d 54057478 lib_api.4..-T.tx + 4e5c0: 5f696e69 74000000 2c000223 00057478 _init...,..#..tx + 4e5d0: 5f737461 72740000 002c0902 23040572 _start...,..#..r + 4e5e0: 785f696e 69740000 002c0002 23080572 x_init...,..#..r + 4e5f0: 785f636f 6e666967 0000002c 1202230c x_config...,..#. + 4e600: 0572785f 73746172 74000000 2c090223 .rx_start...,..# + 4e610: 1005696e 74725f73 74617475 73000000 ..intr_status... + 4e620: 2c1f0223 14056861 72645f78 6d697400 ,..#..hard_xmit. + 4e630: 00002c2c 02231805 666c7573 685f786d ..,,.#..flush_xm + 4e640: 69740000 002c0902 231c0578 6d69745f it...,..#..xmit_ + 4e650: 646f6e65 0000002c 39022320 05726561 done...,9.# .rea + 4e660: 705f786d 69747465 64000000 2c460223 p_xmitted...,F.# + 4e670: 24057265 61705f72 65637600 00002c46 $.reap_recv...,F + 4e680: 02232805 72657475 726e5f72 65637600 .#(.return_recv. + 4e690: 00002c4f 02232c05 72656376 5f706b74 ..,O.#,.recv_pkt + 4e6a0: 0000002c 39022330 00045f5f 7063695f ...,9.#0..__pci_ + 4e6b0: 736f6674 63000c00 002d7205 73770000 softc....-r.sw.. + 4e6c0: 00295e02 23000003 5f5f7063 695f736f .)^.#...__pci_so + 4e6d0: 6674635f 74000000 2d540700 002d7204 ftc_t...-T...-r. + 4e6e0: 00060107 00002d8c 04000900 00134c01 ......-.......L. + 4e6f0: 0700002d 9504000d 6869665f 7063695f ...-....hif_pci_ + 4e700: 70697065 5f747800 0400002d f50e4849 pipe_tx....-..HI + 4e710: 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. + 4e720: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 4e730: 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 4e740: 5f54585f 4d415800 02000368 69665f70 _TX_MAX....hif_p + 4e750: 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- + 4e760: a2090000 2b9b0107 00002e0c 04000d68 ....+..........h + 4e770: 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. + 4e780: 00002e92 0e484946 5f504349 5f504950 .....HIF_PCI_PIP + 4e790: 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ + 4e7a0: 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P + 4e7b0: 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI + 4e7c0: 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. + 4e7d0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 4e7e0: 5f4d4158 00040003 6869665f 7063695f _MAX....hif_pci_ + 4e7f0: 70697065 5f72785f 74000000 2e190900 pipe_rx_t....... + 4e800: 002b9b01 0700002e a9040004 6869665f .+..........hif_ + 4e810: 7063695f 61706900 2400002f 87057063 pci_api.$../..pc + 4e820: 695f626f 6f745f69 6e697400 000001c1 i_boot_init..... + 4e830: 02230005 7063695f 696e6974 00000029 .#..pci_init...) + 4e840: 4e022304 05706369 5f726573 65740000 N.#..pci_reset.. + 4e850: 0001c102 23080570 63695f65 6e61626c ....#..pci_enabl + 4e860: 65000000 01c10223 0c057063 695f7265 e......#..pci_re + 4e870: 61705f78 6d697474 65640000 002d8e02 ap_xmitted...-.. + 4e880: 23100570 63695f72 6561705f 72656376 #..pci_reap_recv + 4e890: 0000002d 8e022314 05706369 5f676574 ...-..#..pci_get + 4e8a0: 5f706970 65000000 2d9b0223 18057063 _pipe...-..#..pc + 4e8b0: 695f6765 745f7478 5f656e67 0000002e i_get_tx_eng.... + 4e8c0: 1202231c 05706369 5f676574 5f72785f ..#..pci_get_rx_ + 4e8d0: 656e6700 00002eaf 02232000 04676d61 eng......# ..gma + 4e8e0: 635f6170 69000400 002fae05 676d6163 c_api..../..gmac + 4e8f0: 5f626f6f 745f696e 69740000 0001c102 _boot_init...... + 4e900: 2300000f 00000100 0600002f bb100500 #........../.... + 4e910: 045f5f65 74686864 72000e00 002ff105 .__ethhdr..../.. + 4e920: 64737400 00002fae 02230005 73726300 dst.../..#..src. + 4e930: 00002fae 02230605 65747970 65000000 ../..#..etype... + 4e940: 13600223 0c00045f 5f617468 68647200 .`.#...__athhdr. + 4e950: 04000030 3f157265 73000000 134c0100 ...0?.res....L.. + 4e960: 02022300 1570726f 746f0000 00134c01 ..#..proto....L. + 4e970: 02060223 00057265 735f6c6f 00000013 ...#..res_lo.... + 4e980: 4c022301 05726573 5f686900 00001360 L.#..res_hi....` + 4e990: 02230200 045f5f67 6d61635f 68647200 .#...__gmac_hdr. + 4e9a0: 14000030 7b056574 68000000 2fbb0223 ...0{.eth.../..# + 4e9b0: 00056174 68000000 2ff10223 0e05616c ..ath.../..#..al + 4e9c0: 69676e5f 70616400 00001360 02231200 ign_pad....`.#.. + 4e9d0: 035f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... + 4e9e0: 303f045f 5f676d61 635f736f 66746300 0?.__gmac_softc. + 4e9f0: 24000030 c5056864 72000000 307b0223 $..0..hdr...0{.# + 4ea00: 00056772 616e0000 00136002 23140573 ..gran....`.#..s + 4ea10: 77000000 295e0223 1800045f 415f6f73 w...)^.#..._A_os + 4ea20: 5f6c696e 6b616765 5f636865 636b0008 _linkage_check.. + 4ea30: 000030fe 05766572 73696f6e 00000001 ..0..version.... + 4ea40: c8022300 05746162 6c650000 0001c802 ..#..table...... + 4ea50: 23040007 000030c5 04000900 0001c801 #.....0......... + 4ea60: 07000031 05040007 0000047e 0400175f ...1.......~..._ + 4ea70: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 4ea80: 696f6e5f 7461626c 650001b8 00003255 ion_table.....2U + 4ea90: 0568616c 5f6c696e 6b616765 5f636865 .hal_linkage_che + 4eaa0: 636b0000 00310b02 23000573 74617274 ck...1..#..start + 4eab0: 5f627373 00000031 12022304 05617070 _bss...1..#..app + 4eac0: 5f737461 72740000 0001c102 2308056d _start......#..m + 4ead0: 656d0000 0004be02 230c056d 69736300 em......#..misc. + 4eae0: 000005dd 02232005 7072696e 74660000 .....# .printf.. + 4eaf0: 0001f502 23440575 61727400 0000029a ....#D.uart..... + 4eb00: 02234c05 676d6163 0000002f 8702236c .#L.gmac.../..#l + 4eb10: 05757362 00000010 0c022370 05636c6f .usb......#p.clo + 4eb20: 636b0000 000b5503 23e00105 74696d65 ck....U.#...time + 4eb30: 72000000 07f50323 84020569 6e747200 r......#...intr. + 4eb40: 00000ca5 03239802 05616c6c 6f637261 .....#...allocra + 4eb50: 6d000000 09ad0323 c4020572 6f6d7000 m......#...romp. + 4eb60: 0000089f 0323d002 05776474 5f74696d .....#...wdt_tim + 4eb70: 65720000 000e8203 23e00205 65657000 er......#...eep. + 4eb80: 00000fb0 0323fc02 05737472 696e6700 .....#...string. + 4eb90: 00000701 03238c03 05746173 6b6c6574 .....#...tasklet + 4eba0: 0000000a aa0323a4 0300045f 5553425f ......#...._USB_ + 4ebb0: 4649464f 5f434f4e 46494700 10000032 FIFO_CONFIG....2 + 4ebc0: c8056765 745f636f 6d6d616e 645f6275 ..get_command_bu + 4ebd0: 66000000 14b80223 00057265 63765f63 f......#..recv_c + 4ebe0: 6f6d6d61 6e640000 0014ce02 23040567 ommand......#..g + 4ebf0: 65745f65 76656e74 5f627566 00000014 et_event_buf.... + 4ec00: b8022308 0573656e 645f6576 656e745f ..#..send_event_ + 4ec10: 646f6e65 00000014 ce02230c 00035553 done......#...US + 4ec20: 425f4649 464f5f43 4f4e4649 47000000 B_FIFO_CONFIG... + 4ec30: 32550700 0032c804 00060107 000032e4 2U...2........2. + 4ec40: 04000475 73626669 666f5f61 7069000c ...usbfifo_api.. + 4ec50: 0000333a 055f696e 69740000 0032e602 ..3:._init...2.. + 4ec60: 2300055f 656e6162 6c655f65 76656e74 #.._enable_event + 4ec70: 5f697372 00000001 c1022304 05705265 _isr......#..pRe + 4ec80: 73657276 65640000 00047b02 2308000f served....{.#... + 4ec90: 000016f2 02000033 47100100 045f4854 .......3G...._HT + 4eca0: 435f4652 414d455f 48445200 08000033 C_FRAME_HDR....3 + 4ecb0: b905456e 64706f69 6e744944 00000016 ..EndpointID.... + 4ecc0: f2022300 05466c61 67730000 0016f202 ..#..Flags...... + 4ecd0: 23010550 61796c6f 61644c65 6e000000 #..PayloadLen... + 4ece0: 1d1a0223 0205436f 6e74726f 6c427974 ...#..ControlByt + 4ecf0: 65730000 00333a02 23040548 6f737453 es...3:.#..HostS + 4ed00: 65714e75 6d000000 1d1a0223 06001202 eqNum......#.... + 4ed10: 000033d2 054d6573 73616765 49440000 ..3..MessageID.. + 4ed20: 001d1a02 23000012 08000034 35054d65 ....#......45.Me + 4ed30: 73736167 65494400 00001d1a 02230005 ssageID......#.. + 4ed40: 43726564 6974436f 756e7400 00001d1a CreditCount..... + 4ed50: 02230205 43726564 69745369 7a650000 .#..CreditSize.. + 4ed60: 001d1a02 2304054d 6178456e 64706f69 ....#..MaxEndpoi + 4ed70: 6e747300 000016f2 02230605 5f506164 nts......#.._Pad + 4ed80: 31000000 16f20223 0700120a 000034cc 1......#......4. + 4ed90: 054d6573 73616765 49440000 001d1a02 .MessageID...... + 4eda0: 23000553 65727669 63654944 0000001d #..ServiceID.... + 4edb0: 1a022302 05436f6e 6e656374 696f6e46 ..#..ConnectionF + 4edc0: 6c616773 0000001d 1a022304 05446f77 lags......#..Dow + 4edd0: 6e4c696e 6b506970 65494400 000016f2 nLinkPipeID..... + 4ede0: 02230605 55704c69 6e6b5069 70654944 .#..UpLinkPipeID + 4edf0: 00000016 f2022307 05536572 76696365 ......#..Service + 4ee00: 4d657461 4c656e67 74680000 0016f202 MetaLength...... + 4ee10: 2308055f 50616431 00000016 f2022309 #.._Pad1......#. + 4ee20: 00120a00 00355405 4d657373 61676549 .....5T.MessageI + 4ee30: 44000000 1d1a0223 00055365 72766963 D......#..Servic + 4ee40: 65494400 00001d1a 02230205 53746174 eID......#..Stat + 4ee50: 75730000 0016f202 23040545 6e64706f us......#..Endpo + 4ee60: 696e7449 44000000 16f20223 05054d61 intID......#..Ma + 4ee70: 784d7367 53697a65 0000001d 1a022306 xMsgSize......#. + 4ee80: 05536572 76696365 4d657461 4c656e67 .ServiceMetaLeng + 4ee90: 74680000 0016f202 2308055f 50616431 th......#.._Pad1 + 4eea0: 00000016 f2022309 00120200 00356d05 ......#......5m. + 4eeb0: 4d657373 61676549 44000000 1d1a0223 MessageID......# + 4eec0: 00001204 000035a9 054d6573 73616765 ......5..Message + 4eed0: 49440000 001d1a02 23000550 69706549 ID......#..PipeI + 4eee0: 44000000 16f20223 02054372 65646974 D......#..Credit + 4eef0: 436f756e 74000000 16f20223 03001204 Count......#.... + 4ef00: 000035e0 054d6573 73616765 49440000 ..5..MessageID.. + 4ef10: 001d1a02 23000550 69706549 44000000 ....#..PipeID... + 4ef20: 16f20223 02055374 61747573 00000016 ...#..Status.... + 4ef30: f2022303 00120200 00360705 5265636f ..#......6..Reco + 4ef40: 72644944 00000016 f2022300 054c656e rdID......#..Len + 4ef50: 67746800 000016f2 02230100 12020000 gth......#...... + 4ef60: 36310545 6e64706f 696e7449 44000000 61.EndpointID... + 4ef70: 16f20223 00054372 65646974 73000000 ...#..Credits... + 4ef80: 16f20223 01001204 00003672 05456e64 ...#......6r.End + 4ef90: 706f696e 74494400 000016f2 02230005 pointID......#.. + 4efa0: 43726564 69747300 000016f2 02230105 Credits......#.. + 4efb0: 54677443 72656469 74536571 4e6f0000 TgtCreditSeqNo.. + 4efc0: 001d1a02 2302000f 000016f2 04000036 ....#..........6 + 4efd0: 7f100300 12060000 36bb0550 72655661 ........6..PreVa + 4efe0: 6c696400 000016f2 02230005 4c6f6f6b lid......#..Look + 4eff0: 41686561 64000000 36720223 0105506f Ahead...6r.#..Po + 4f000: 73745661 6c696400 000016f2 02230500 stValid......#.. + 4f010: 03706f6f 6c5f6861 6e646c65 5f740000 .pool_handle_t.. + 4f020: 00047b09 000036bb 01070000 36ce0400 ..{...6.....6... + 4f030: 06010700 0036db04 00140400 0037590e .....6.......7Y. + 4f040: 504f4f4c 5f49445f 4854435f 434f4e54 POOL_ID_HTC_CONT + 4f050: 524f4c00 000e504f 4f4c5f49 445f574d ROL...POOL_ID_WM + 4f060: 495f5356 435f434d 445f5245 504c5900 I_SVC_CMD_REPLY. + 4f070: 010e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 4f080: 435f4556 454e5400 020e504f 4f4c5f49 C_EVENT...POOL_I + 4f090: 445f574c 414e5f52 585f4255 4600030e D_WLAN_RX_BUF... + 4f0a0: 504f4f4c 5f49445f 4d415800 0a000342 POOL_ID_MAX....B + 4f0b0: 55465f50 4f4f4c5f 49440000 0036e406 UF_POOL_ID...6.. + 4f0c0: 01070000 376a0400 09000026 b7010700 ....7j.....&.... + 4f0d0: 00377304 00090000 26b70107 00003780 .7s.....&.....7. + 4f0e0: 04000601 07000037 8d040004 6275665f .......7....buf_ + 4f0f0: 706f6f6c 5f617069 001c0000 382f055f pool_api....8/._ + 4f100: 696e6974 00000036 d4022300 055f7368 init...6..#.._sh + 4f110: 7574646f 776e0000 0036dd02 2304055f utdown...6..#.._ + 4f120: 63726561 74655f70 6f6f6c00 0000376c create_pool...7l + 4f130: 02230805 5f616c6c 6f635f62 75660000 .#.._alloc_buf.. + 4f140: 00377902 230c055f 616c6c6f 635f6275 .7y.#.._alloc_bu + 4f150: 665f616c 69676e00 00003786 02231005 f_align...7..#.. + 4f160: 5f667265 655f6275 66000000 378f0223 _free_buf...7..# + 4f170: 14057052 65736572 76656400 0000047b ..pReserved....{ + 4f180: 02231800 045f4854 435f5345 52564943 .#..._HTC_SERVIC + 4f190: 45001c00 00390e05 704e6578 74000000 E....9..pNext... + 4f1a0: 390e0223 00055072 6f636573 73526563 9..#..ProcessRec + 4f1b0: 764d7367 00000039 c3022304 0550726f vMsg...9..#..Pro + 4f1c0: 63657373 53656e64 42756666 6572436f cessSendBufferCo + 4f1d0: 6d706c65 74650000 0039cc02 23080550 mplete...9..#..P + 4f1e0: 726f6365 7373436f 6e6e6563 74000000 rocessConnect... + 4f1f0: 39e00223 0c055365 72766963 65494400 9..#..ServiceID. + 4f200: 00001360 02231005 53657276 69636546 ...`.#..ServiceF + 4f210: 6c616773 00000013 60022312 054d6178 lags....`.#..Max + 4f220: 5376634d 73675369 7a650000 00136002 SvcMsgSize....`. + 4f230: 23140554 7261696c 65725370 63436865 #..TrailerSpcChe + 4f240: 636b4c69 6d697400 00001360 02231605 ckLimit....`.#.. + 4f250: 53657276 69636543 74780000 00047b02 ServiceCtx....{. + 4f260: 23180007 0000382f 04001404 000039ac #.....8/......9. + 4f270: 19454e44 504f494e 545f554e 55534544 .ENDPOINT_UNUSED + 4f280: 00ffffff ff0e454e 44504f49 4e543000 ......ENDPOINT0. + 4f290: 000e454e 44504f49 4e543100 010e454e ..ENDPOINT1...EN + 4f2a0: 44504f49 4e543200 020e454e 44504f49 DPOINT2...ENDPOI + 4f2b0: 4e543300 030e454e 44504f49 4e543400 NT3...ENDPOINT4. + 4f2c0: 040e454e 44504f49 4e543500 050e454e ..ENDPOINT5...EN + 4f2d0: 44504f49 4e543600 060e454e 44504f49 DPOINT6...ENDPOI + 4f2e0: 4e543700 070e454e 44504f49 4e543800 NT7...ENDPOINT8. + 4f2f0: 080e454e 44504f49 4e545f4d 41580016 ..ENDPOINT_MAX.. + 4f300: 00034854 435f454e 44504f49 4e545f49 ..HTC_ENDPOINT_I + 4f310: 44000000 39150601 07000039 c1040006 D...9......9.... + 4f320: 01070000 39ca0400 07000001 c8040009 ....9........... + 4f330: 0000134c 01070000 39da0400 07000038 ...L....9......8 + 4f340: 2f040004 5f485443 5f434f4e 46494700 /..._HTC_CONFIG. + 4f350: 1400003a 5f054372 65646974 53697a65 ...:_.CreditSize + 4f360: 00000001 c8022300 05437265 6469744e ......#..CreditN + 4f370: 756d6265 72000000 01c80223 04054f53 umber......#..OS + 4f380: 48616e64 6c650000 001ab602 23080548 Handle......#..H + 4f390: 49464861 6e646c65 00000029 1f02230c IFHandle...)..#. + 4f3a0: 05506f6f 6c48616e 646c6500 000036bb .PoolHandle...6. + 4f3b0: 02231000 045f4854 435f4255 465f434f .#..._HTC_BUF_CO + 4f3c0: 4e544558 54000200 003a9b05 656e645f NTEXT....:..end_ + 4f3d0: 706f696e 74000000 134c0223 00056874 point....L.#..ht + 4f3e0: 635f666c 61677300 0000134c 02230100 c_flags....L.#.. + 4f3f0: 03687463 5f68616e 646c655f 74000000 .htc_handle_t... + 4f400: 047b0348 54435f53 45545550 5f434f4d .{.HTC_SETUP_COM + 4f410: 504c4554 455f4342 00000001 c1034854 PLETE_CB......HT + 4f420: 435f434f 4e464947 00000039 ee070000 C_CONFIG...9.... + 4f430: 3ac80400 0900003a 9b010700 003adf04 :......:.....:.. + 4f440: 00060107 00003aec 04000348 54435f53 ......:....HTC_S + 4f450: 45525649 43450000 00382f07 00003af5 ERVICE...8/...:. + 4f460: 04000601 0700003b 0d040006 01070000 .......;........ + 4f470: 3b160400 06010700 003b1f04 00090000 ;........;...... + 4f480: 01c80107 00003b28 04000468 74635f61 ......;(...htc_a + 4f490: 70697300 3400003c a5055f48 54435f49 pis.4..<.._HTC_I + 4f4a0: 6e697400 00003ae5 02230005 5f485443 nit...:..#.._HTC + 4f4b0: 5f536875 74646f77 6e000000 3aee0223 _Shutdown...:..# + 4f4c0: 04055f48 54435f52 65676973 74657253 .._HTC_RegisterS + 4f4d0: 65727669 63650000 003b0f02 2308055f ervice...;..#.._ + 4f4e0: 4854435f 52656164 79000000 3aee0223 HTC_Ready...:..# + 4f4f0: 0c055f48 54435f52 65747572 6e427566 .._HTC_ReturnBuf + 4f500: 66657273 0000003b 18022310 055f4854 fers...;..#.._HT + 4f510: 435f5265 7475726e 42756666 6572734c C_ReturnBuffersL + 4f520: 69737400 00003b21 02231405 5f485443 ist...;!.#.._HTC + 4f530: 5f53656e 644d7367 0000003b 18022318 _SendMsg...;..#. + 4f540: 055f4854 435f4765 74526573 65727665 ._HTC_GetReserve + 4f550: 64486561 64726f6f 6d000000 3b2e0223 dHeadroom...;..# + 4f560: 1c055f48 54435f4d 73675265 63764861 .._HTC_MsgRecvHa + 4f570: 6e646c65 72000000 28cc0223 20055f48 ndler...(..# ._H + 4f580: 54435f53 656e6444 6f6e6548 616e646c TC_SendDoneHandl + 4f590: 65720000 0028c302 2324055f 4854435f er...(..#$._HTC_ + 4f5a0: 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces + 4f5b0: 734d7367 00000039 c3022328 055f4854 sMsg...9..#(._HT + 4f5c0: 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 4f5d0: 65737353 656e6443 6f6d706c 65746500 essSendComplete. + 4f5e0: 000039cc 02232c05 70526573 65727665 ..9..#,.pReserve + 4f5f0: 64000000 047b0223 30000468 6f73745f d....{.#0..host_ + 4f600: 6170705f 61726561 5f730004 00003cd5 app_area_s....<. + 4f610: 05776d69 5f70726f 746f636f 6c5f7665 .wmi_protocol_ve + 4f620: 72000000 168b0223 0000120e 00003d0c r......#......=. + 4f630: 05647374 4d616300 00001cd7 02230005 .dstMac......#.. + 4f640: 7372634d 61630000 001cd702 23060574 srcMac......#..t + 4f650: 7970654f 724c656e 0000001d 1a02230c ypeOrLen......#. + 4f660: 000f0000 16f20300 003d1910 02001208 .........=...... + 4f670: 00003d69 05647361 70000000 16f20223 ..=i.dsap......# + 4f680: 00057373 61700000 0016f202 23010563 ..ssap......#..c + 4f690: 6e746c00 000016f2 02230205 6f726743 ntl......#..orgC + 4f6a0: 6f646500 00003d0c 02230305 65746865 ode...=..#..ethe + 4f6b0: 72547970 65000000 1d1a0223 06001202 rType......#.... + 4f6c0: 00003d8a 05727373 69000000 1c700223 ..=..rssi....p.# + 4f6d0: 0005696e 666f0000 0016f202 23010012 ..info......#... + 4f6e0: 0400003d b105636f 6d6d616e 64496400 ...=..commandId. + 4f6f0: 00001d1a 02230005 7365714e 6f000000 .....#..seqNo... + 4f700: 1d1a0223 02000f00 0016f201 00003dbe ...#..........=. + 4f710: 10000012 0200003d e5056d73 6753697a .......=..msgSiz + 4f720: 65000000 16f20223 00056d73 67446174 e......#..msgDat + 4f730: 61000000 3db10223 01001208 00003e2c a...=..#......>, + 4f740: 05616464 72657373 4c000000 1d1a0223 .addressL......# + 4f750: 00056164 64726573 73480000 001d1a02 ..addressH...... + 4f760: 23020576 616c7565 4c000000 1d1a0223 #..valueL......# + 4f770: 04057661 6c756548 0000001d 1a022306 ..valueH......#. + 4f780: 0003574d 495f4156 54000000 3de50f00 ..WMI_AVT...=... + 4f790: 003e2c08 00003e46 10000012 0c00003e .>,...>F.......> + 4f7a0: 7d057475 706c654e 756d4c00 00001d1a }.tupleNumL..... + 4f7b0: 02230005 7475706c 654e756d 48000000 .#..tupleNumH... + 4f7c0: 1d1a0223 02056176 74000000 3e390223 ...#..avt...>9.# + 4f7d0: 04001201 00003e9f 05626561 636f6e50 ......>..beaconP + 4f7e0: 656e6469 6e67436f 756e7400 000016f2 endingCount..... + 4f7f0: 02230000 045f574d 495f5356 435f434f .#..._WMI_SVC_CO + 4f800: 4e464947 00100000 3f080548 74634861 NFIG....?..HtcHa + 4f810: 6e646c65 0000003a 9b022300 05506f6f ndle...:..#..Poo + 4f820: 6c48616e 646c6500 000036bb 02230405 lHandle...6..#.. + 4f830: 4d617843 6d645265 706c7945 76747300 MaxCmdReplyEvts. + 4f840: 000001c8 02230805 4d617845 76656e74 .....#..MaxEvent + 4f850: 45767473 00000001 c802230c 00060107 Evts......#..... + 4f860: 00003f08 04000357 4d495f43 4d445f48 ..?....WMI_CMD_H + 4f870: 414e444c 45520000 003f0a04 5f574d49 ANDLER...?.._WMI + 4f880: 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 4f890: 0800003f 71057043 6d644861 6e646c65 ...?q.pCmdHandle + 4f8a0: 72000000 3f110223 0005436d 64494400 r...?..#..CmdID. + 4f8b0: 00001360 02230405 466c6167 73000000 ...`.#..Flags... + 4f8c0: 13600223 0600045f 574d495f 44495350 .`.#..._WMI_DISP + 4f8d0: 41544348 5f544142 4c450010 00003fd2 ATCH_TABLE....?. + 4f8e0: 05704e65 78740000 003fd202 23000570 .pNext...?..#..p + 4f8f0: 436f6e74 65787400 0000047b 02230405 Context....{.#.. + 4f900: 4e756d62 65724f66 456e7472 69657300 NumberOfEntries. + 4f910: 000001c8 02230805 70546162 6c650000 .....#..pTable.. + 4f920: 003ff102 230c0007 00003f71 04000357 .?..#.....?q...W + 4f930: 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 4f940: 59000000 3f260700 003fd904 00070000 Y...?&...?...... + 4f950: 3f710400 03485443 5f425546 5f434f4e ?q...HTC_BUF_CON + 4f960: 54455854 0000003a 5f0d574d 495f4556 TEXT...:_.WMI_EV + 4f970: 545f434c 41535300 04000040 8919574d T_CLASS....@..WM + 4f980: 495f4556 545f434c 4153535f 4e4f4e45 I_EVT_CLASS_NONE + 4f990: 00ffffff ff0e574d 495f4556 545f434c ......WMI_EVT_CL + 4f9a0: 4153535f 434d445f 4556454e 5400000e ASS_CMD_EVENT... + 4f9b0: 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM + 4f9c0: 445f5245 504c5900 010e574d 495f4556 D_REPLY...WMI_EV + 4f9d0: 545f434c 4153535f 4d415800 02000357 T_CLASS_MAX....W + 4f9e0: 4d495f45 56545f43 4c415353 00000040 MI_EVT_CLASS...@ + 4f9f0: 14045f57 4d495f42 55465f43 4f4e5445 .._WMI_BUF_CONTE + 4fa00: 5854000c 000040e7 05487463 42756643 XT....@..HtcBufC + 4fa10: 74780000 003fff02 23000545 76656e74 tx...?..#..Event + 4fa20: 436c6173 73000000 40890223 0405466c Class...@..#..Fl + 4fa30: 61677300 00001360 02230800 03776d69 ags....`.#...wmi + 4fa40: 5f68616e 646c655f 74000000 047b0357 _handle_t....{.W + 4fa50: 4d495f53 56435f43 4f4e4649 47000000 MI_SVC_CONFIG... + 4fa60: 3e9f0700 0040f904 00090000 40e70107 >....@......@... + 4fa70: 00004114 04000357 4d495f44 49535041 ..A....WMI_DISPA + 4fa80: 5443485f 5441424c 45000000 3f710700 TCH_TABLE...?q.. + 4fa90: 00412104 00060107 00004140 04000900 .A!.......A@.... + 4faa0: 0026b701 07000041 49040006 01070000 .&.....AI....... + 4fab0: 41560400 09000001 c8010700 00415f04 AV...........A_. + 4fac0: 00060107 0000416c 04000900 00134c01 ......Al......L. + 4fad0: 07000041 75040004 5f776d69 5f737663 ...Au..._wmi_svc + 4fae0: 5f617069 73002c00 0042bd05 5f574d49 _apis.,..B.._WMI + 4faf0: 5f496e69 74000000 411a0223 00055f57 _Init...A..#.._W + 4fb00: 4d495f52 65676973 74657244 69737061 MI_RegisterDispa + 4fb10: 74636854 61626c65 00000041 42022304 tchTable...AB.#. + 4fb20: 055f574d 495f416c 6c6f6345 76656e74 ._WMI_AllocEvent + 4fb30: 00000041 4f022308 055f574d 495f5365 ...AO.#.._WMI_Se + 4fb40: 6e644576 656e7400 00004158 02230c05 ndEvent...AX.#.. + 4fb50: 5f574d49 5f476574 50656e64 696e6745 _WMI_GetPendingE + 4fb60: 76656e74 73436f75 6e740000 00416502 ventsCount...Ae. + 4fb70: 2310055f 574d495f 53656e64 436f6d70 #.._WMI_SendComp + 4fb80: 6c657465 48616e64 6c657200 000039cc leteHandler...9. + 4fb90: 02231405 5f574d49 5f476574 436f6e74 .#.._WMI_GetCont + 4fba0: 726f6c45 70000000 41650223 18055f57 rolEp...Ae.#.._W + 4fbb0: 4d495f53 68757464 6f776e00 0000416e MI_Shutdown...An + 4fbc0: 02231c05 5f574d49 5f526563 764d6573 .#.._WMI_RecvMes + 4fbd0: 73616765 48616e64 6c657200 000039c3 sageHandler...9. + 4fbe0: 02232005 5f574d49 5f536572 76696365 .# ._WMI_Service + 4fbf0: 436f6e6e 65637400 0000417b 02232405 Connect...A{.#$. + 4fc00: 70526573 65727665 64000000 047b0223 pReserved....{.# + 4fc10: 2800047a 73446d61 44657363 00140000 (..zsDmaDesc.... + 4fc20: 433f0563 74726c00 0000011e 02230005 C?.ctrl......#.. + 4fc30: 73746174 75730000 00011e02 23020574 status......#..t + 4fc40: 6f74616c 4c656e00 0000011e 02230405 otalLen......#.. + 4fc50: 64617461 53697a65 00000001 1e022306 dataSize......#. + 4fc60: 056c6173 74416464 72000000 433f0223 .lastAddr...C?.# + 4fc70: 08056461 74614164 64720000 00022c02 ..dataAddr....,. + 4fc80: 230c056e 65787441 64647200 0000433f #..nextAddr...C? + 4fc90: 02231000 07000042 bd040007 000042bd .#.....B......B. + 4fca0: 0400047a 73446d61 51756575 65000800 ...zsDmaQueue... + 4fcb0: 00437f05 68656164 00000043 46022300 .C..head...CF.#. + 4fcc0: 05746572 6d696e61 746f7200 00004346 .terminator...CF + 4fcd0: 02230400 047a7354 78446d61 51756575 .#...zsTxDmaQueu + 4fce0: 65001000 0043e305 68656164 00000043 e....C..head...C + 4fcf0: 46022300 05746572 6d696e61 746f7200 F.#..terminator. + 4fd00: 00004346 02230405 786d6974 65645f62 ..CF.#..xmited_b + 4fd10: 75665f68 65616400 000014ab 02230805 uf_head......#.. + 4fd20: 786d6974 65645f62 75665f74 61696c00 xmited_buf_tail. + 4fd30: 000014ab 02230c00 06010700 0043e304 .....#.......C.. + 4fd40: 00070000 434d0400 06010700 0043f304 ....CM.......C.. + 4fd50: 00070000 437f0400 06010700 00440304 ....C........D.. + 4fd60: 00060107 0000440c 04000601 07000044 ......D........D + 4fd70: 15040009 000014ab 01070000 441e0400 ............D... + 4fd80: 06010700 00442b04 00090000 14ab0107 .....D+......... + 4fd90: 00004434 04000601 07000044 41040009 ..D4.......DA... + 4fda0: 000001c8 01070000 444a0400 09000043 ........DJ.....C + 4fdb0: 46010700 00445704 00060107 00004464 F....DW.......Dd + 4fdc0: 04000464 6d615f65 6e67696e 655f6170 ...dma_engine_ap + 4fdd0: 69004000 0045da05 5f696e69 74000000 i.@..E.._init... + 4fde0: 43e50223 00055f69 6e69745f 72785f71 C..#.._init_rx_q + 4fdf0: 75657565 00000043 f5022304 055f696e ueue...C..#.._in + 4fe00: 69745f74 785f7175 65756500 00004405 it_tx_queue...D. + 4fe10: 02230805 5f636f6e 6669675f 72785f71 .#.._config_rx_q + 4fe20: 75657565 00000044 0e02230c 055f786d ueue...D..#.._xm + 4fe30: 69745f62 75660000 00441702 2310055f it_buf...D..#.._ + 4fe40: 666c7573 685f786d 69740000 0043f502 flush_xmit...C.. + 4fe50: 2314055f 72656170 5f726563 765f6275 #.._reap_recv_bu + 4fe60: 66000000 44240223 18055f72 65747572 f...D$.#.._retur + 4fe70: 6e5f7265 63765f62 75660000 00442d02 n_recv_buf...D-. + 4fe80: 231c055f 72656170 5f786d69 7465645f #.._reap_xmited_ + 4fe90: 62756600 0000443a 02232005 5f737761 buf...D:.# ._swa + 4fea0: 705f6461 74610000 00444302 2324055f p_data...DC.#$._ + 4feb0: 6861735f 636f6d70 6c5f7061 636b6574 has_compl_packet + 4fec0: 73000000 44500223 28055f64 6573635f s...DP.#(._desc_ + 4fed0: 64756d70 00000043 f502232c 055f6765 dump...C..#,._ge + 4fee0: 745f7061 636b6574 00000044 5d022330 t_packet...D].#0 + 4fef0: 055f7265 636c6169 6d5f7061 636b6574 ._reclaim_packet + 4ff00: 00000044 66022334 055f7075 745f7061 ...Df.#4._put_pa + 4ff10: 636b6574 00000044 66022338 05705265 cket...Df.#8.pRe + 4ff20: 73657276 65640000 00047b02 233c0003 served....{.#<.. + 4ff30: 5f415f63 6d6e6f73 5f696e64 69726563 _A_cmnos_indirec + 4ff40: 74696f6e 5f746162 6c655f74 00000031 tion_table_t...1 + 4ff50: 1903574d 495f5356 435f4150 49530000 ..WMI_SVC_APIS.. + 4ff60: 00418217 5f415f6d 61677069 655f696e .A.._A_magpie_in + 4ff70: 64697265 6374696f 6e5f7461 626c6500 direction_table. + 4ff80: 034c0000 47080563 6d6e6f73 00000045 .L..G..cmnos...E + 4ff90: da022300 05646267 00000004 480323b8 ..#..dbg....H.#. + 4ffa0: 03056869 66000000 29c20323 c0030568 ..hif...)..#...h + 4ffb0: 74630000 003b3503 23f80305 776d695f tc...;5.#...wmi_ + 4ffc0: 7376635f 61706900 000045fc 0323ac04 svc_api...E..#.. + 4ffd0: 05757362 6669666f 5f617069 00000032 .usbfifo_api...2 + 4ffe0: ed0323d8 04056275 665f706f 6f6c0000 ..#...buf_pool.. + 4fff0: 00379603 23e40405 76627566 00000014 .7..#...vbuf.... + 50000: d5032380 05057664 65736300 000013b7 ..#...vdesc..... + 50010: 03239405 05616c6c 6f637261 6d000000 .#...allocram... + 50020: 09ad0323 a8050564 6d615f65 6e67696e ...#...dma_engin + 50030: 65000000 446d0323 b4050564 6d615f6c e...Dm.#...dma_l + 50040: 69620000 002c5603 23f40505 6869665f ib...,V.#...hif_ + 50050: 70636900 00002eb6 0323a806 00035f41 pci......#...._A + 50060: 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect + 50070: 696f6e5f 7461626c 655f7400 0000460e ion_table_t...F. + 50080: 03536574 75705061 636b6574 00000001 .SetupPacket.... + 50090: 421a436f 6e74726f 6c436d64 00000047 B.ControlCmd...G + 500a0: 2b050300 500a9801 1a757362 4669666f +...P....usbFifo + 500b0: 436f6e66 00000032 c8050300 500aa401 Conf...2....P... + 500c0: 1a667743 6865636b 53756d00 00000241 .fwCheckSum....A + 500d0: 05030050 0ab4010f 00000134 06000047 ...P.......4...G + 500e0: 8f100200 1a557362 53746174 75730000 .....UsbStatus.. + 500f0: 00478205 0300500a f0011a70 75384465 .G....P....pu8De + 50100: 73637269 70746f72 45580000 000f8f05 scriptorEX...... + 50110: 0300500a b8011a75 31365478 5278436f ..P....u16TxRxCo + 50120: 756e7465 72000000 01340503 00500abc unter....4...P.. + 50130: 011a7538 436f6e66 69674465 73637269 ..u8ConfigDescri + 50140: 70746f72 45580000 000f8f05 0300500a ptorEX........P. + 50150: c0011404 00004826 0e414354 5f49444c ......H&.ACT_IDL + 50160: 4500000e 4143545f 444f4e45 00010e41 E...ACT_DONE...A + 50170: 43545f53 54414c4c 00020003 41637469 CT_STALL....Acti + 50180: 6f6e0000 0047fd1a 65557362 43784669 on...G..eUsbCxFi + 50190: 6e697368 41637469 6f6e0000 00482605 nishAction...H&. + 501a0: 0300500a c4011404 0000488d 0e434d44 ..P.......H..CMD + 501b0: 5f564f49 4400000e 434d445f 4745545f _VOID...CMD_GET_ + 501c0: 44455343 52495054 4f520001 0e434d44 DESCRIPTOR...CMD + 501d0: 5f534554 5f444553 43524950 544f5200 _SET_DESCRIPTOR. + 501e0: 02000343 6f6d6d61 6e645479 70650000 ...CommandType.. + 501f0: 0048511a 65557362 4378436f 6d6d616e .HQ.eUsbCxComman + 50200: 64000000 488d0503 00500ac8 011a5573 d...H....P....Us + 50210: 62436869 72704669 6e697368 00000008 bChirpFinish.... + 50220: 6b050300 500acc01 1a753855 7362436f k...P....u8UsbCo + 50230: 6e666967 56616c75 65000000 01340503 nfigValue....4.. + 50240: 00500ace 011a7538 55736249 6e746572 .P....u8UsbInter + 50250: 66616365 56616c75 65000000 01340503 faceValue....4.. + 50260: 00500ad0 011a7538 55736249 6e746572 .P....u8UsbInter + 50270: 66616365 416c7465 726e6174 65536574 faceAlternateSet + 50280: 74696e67 00000001 34050300 500ad201 ting....4...P... + 50290: 1a753136 4669726d 77617265 436f6d70 .u16FirmwareComp + 502a0: 6c657465 00000001 34050300 500ad401 lete....4...P... + 502b0: 1a753855 73624465 76696365 44657363 .u8UsbDeviceDesc + 502c0: 72697074 6f720000 000f8f05 0300500a riptor........P. + 502d0: d8011a75 38537472 696e6730 30446573 ...u8String00Des + 502e0: 63726970 746f7200 00000f8f 05030050 criptor........P + 502f0: 0adc011a 75385374 72696e67 31304465 ....u8String10De + 50300: 73637269 70746f72 0000000f 8f050300 scriptor........ + 50310: 500ae001 1a753853 7472696e 67323044 P....u8String20D + 50320: 65736372 6970746f 72000000 0f8f0503 escriptor....... + 50330: 00500ae4 011a7538 53747269 6e673330 .P....u8String30 + 50340: 44657363 72697074 6f720000 000f8f05 Descriptor...... + 50350: 0300500a e8010700 00011104 00070000 ..P............. + 50360: 02410400 1b000001 34010000 4a1c1002 .A......4...J... + 50370: 001a5465 73745061 746e3000 00004a0f ..TestPatn0...J. + 50380: 05030050 0720011b 00000241 0100004a ...P. .....A...J + 50390: 3f100c00 1a546573 74506174 6e310000 ?....TestPatn1.. + 503a0: 004a3205 03005007 30011b00 00013401 .J2...P.0.....4. + 503b0: 00004a61 1c001b00 00013401 00004a6d ..Ja......4...Jm + 503c0: 1c000700 00024104 000f0000 01cf1500 ......A......... + 503d0: 004a8110 14000700 004a7404 00070000 .J.......Jt..... + 503e0: 0f8f0400 1d000002 2c1d0000 022c0700 ........,....,.. + 503f0: 004a9404 000f0000 01cf2500 004aad10 .J........%..J.. + 50400: 24000700 004aa004 000f0000 01cf2000 $....J........ . + 50410: 004ac110 1f000700 004ab404 000f0000 .J.......J...... + 50420: 01cf0900 004ad510 08000700 004ac804 .....J.......J.. + 50430: 000f0000 01cf1e00 004ae910 1d000700 .........J...... + 50440: 004adc04 001b0000 01340100 004afc1c .J.......4...J.. + 50450: 001b0000 01340100 004b081c 000f0000 .....4...K...... + 50460: 01cf1100 004b1510 10000700 004b0804 .....K.......K.. + 50470: 000f0000 01cf1000 004b2910 0f000700 .........K)..... + 50480: 004b1c04 000f0000 01cf1600 004b3d10 .K...........K=. + 50490: 15000700 004b3004 000f0000 01cf0d00 .....K0......... + 504a0: 004b5110 0c000700 004b4404 000f0000 .KQ......KD..... + 504b0: 01cf0f00 004b6510 0e000700 004b5804 .....Ke......KX. + 504c0: 000f0000 01cf0e00 004b7910 0d000700 .........Ky..... + 504d0: 004b6c04 000f0000 01cf1200 004b8d10 .Kl..........K.. + 504e0: 11000700 004b8004 00070000 32ed0400 .....K......2... + 504f0: 06010700 00100c04 0006011e 01013f76 ..............?v + 50500: 5573625f 65703074 78000103 92012002 Usb_ep0tx..... . + 50510: 9000008e 3884008e 38ac1e01 01597655 ....8...8....YvU + 50520: 73625f65 70307278 00010392 01200290 sb_ep0rx..... .. + 50530: 00008e38 ac008e38 ce1f0101 6d765573 ...8...8....mvUs + 50540: 62436c72 45507800 01039201 20029000 bClrEPx..... ... + 50550: 008e38d0 008e3951 00004c12 20753865 ..8...9Q..L. u8e + 50560: 70000000 01110021 01018662 4765745f p......!...bGet_ + 50570: 73746174 75730000 00086b01 03920120 status....k.... + 50580: 02900000 8e395400 8e398800 004c5320 .....9T..9...LS + 50590: 52656369 7069656e 74537461 7475734c RecipientStatusL + 505a0: 6f770000 00011100 220101a1 62436c65 ow......"...bCle + 505b0: 61725f66 65617475 72650000 00086b01 ar_feature....k. + 505c0: 03920120 02900000 8e398800 8e39ab21 ... .....9...9.! + 505d0: 0101c662 5365745f 66656174 75726500 ...bSet_feature. + 505e0: 0000086b 01039201 20029000 008e39ac ...k.... .....9. + 505f0: 008e3a7c 00004cac 20696900 00000134 ..:|..L. ii....4 + 50600: 00220102 48625365 745f6164 64726573 ."..HbSet_addres + 50610: 73000000 086b0103 92012002 9000008e s....k.... ..... + 50620: 3a7c008e 3a9b2201 02626247 65745f64 :|..:."..bbGet_d + 50630: 65736372 6970746f 72000000 086b0103 escriptor....k.. + 50640: 92012002 9000008e 3a9c008e 3b2b2201 .. .....:...;+". + 50650: 02c96247 65745f63 6f6e6669 67757261 ..bGet_configura + 50660: 74696f6e 00000008 6b010392 01200290 tion....k.... .. + 50670: 00008e3b 2c008e3b 52220102 e7625365 ...;,..;R"...bSe + 50680: 745f636f 6e666967 75726174 696f6e00 t_configuration. + 50690: 0000086b 01039201 20029000 008e3b54 ...k.... .....;T + 506a0: 008e3bf9 2201032a 62476574 5f696e74 ..;."..*bGet_int + 506b0: 65726661 63650000 00086b01 03920120 erface....k.... + 506c0: 02900000 8e3bfc00 8e3c4122 01035f62 .....;... u8temp. + 50720: 00000111 20753863 6f756e74 00000001 .... u8count.... + 50730: 11206570 305f6c6f 77000000 01342065 . ep0_low....4 e + 50740: 70305f68 69676800 00000134 20657030 p0_high....4 ep0 + 50750: 5f646174 61000000 02412072 656d6169 _data....A remai + 50760: 6e646572 00000001 11206570 305f6c6f nder..... ep0_lo + 50770: 77000000 01342065 70305f68 69676800 w....4 ep0_high. + 50780: 00000134 20657030 5f646174 61000000 ...4 ep0_data... + 50790: 0241001f 0103ea76 55736245 50305278 .A.....vUsbEP0Rx + 507a0: 44617461 00010392 01200290 00008e3d Data..... .....= + 507b0: 8c008e3e 0800004e 93207538 74656d70 ...>...N. u8temp + 507c0: 00000001 11207000 000003ba 20753863 ..... p..... u8c + 507d0: 6f756e74 00000001 11206570 305f6461 ount..... ep0_da + 507e0: 74610000 00024100 1e01041e 76557362 ta....A.....vUsb + 507f0: 5f536574 75704465 73637269 70746f72 _SetupDescriptor + 50800: 00010392 01200290 00008e3e 08008e3e ..... .....>...> + 50810: 63220104 48625374 616e6461 7264436f c"..HbStandardCo + 50820: 6d6d616e 64000000 086b0103 92012002 mmand....k.... . + 50830: 9000008e 3e64008e 3f611f01 04d75665 ....>d..?a....Ve + 50840: 6e646f72 436f6d6d 616e6400 01039201 ndorCommand..... + 50850: 20029000 008e3f64 008e4056 00004f28 .....?d..@V..O( + 50860: 20746578 745f6164 64720000 000f8f20 text_addr..... + 50870: 66756e63 50747200 000001c1 001f0105 funcPtr......... + 50880: 2a765573 625f6570 30736574 75700001 *vUsb_ep0setup.. + 50890: 03920130 02900000 8e405800 8e41ce00 ...0.....@X..A.. + 508a0: 004f7120 69690000 00011120 6b6b6b00 .Oq ii..... kkk. + 508b0: 000001c8 23657030 5f646174 61000000 ....#ep0_data... + 508c0: 02410291 50001e01 05bd6346 55534232 .A..P.....cFUSB2 + 508d0: 3030496e 69740001 03920120 02900000 00Init..... .... + 508e0: 8e41d000 8e42511e 0105ea5f 75736266 .A...BQ...._usbf + 508f0: 69666f5f 656e6162 6c655f65 76656e74 ifo_enable_event + 50900: 5f697372 00010392 01200290 00008e42 _isr..... .....B + 50910: 54008e42 6e1f0105 ef5f7573 62666966 T..Bn...._usbfif + 50920: 6f5f696e 69740001 03920120 02900000 o_init..... .... + 50930: 8e427000 8e428800 004ff924 0105ef70 .Bp..B...O.$...p + 50940: 436f6e66 69670000 0032dd01 52001f01 Config...2..R... + 50950: 05f77655 73625f52 65675f4f 75740001 ..vUsb_Reg_Out.. + 50960: 03920120 02900000 8e428800 8e42f000 ... .....B...B.. + 50970: 00506720 62756600 000014ab 20726567 .Pg buf..... reg + 50980: 61646472 0000004a 08207573 62666966 addr...J. usbfif + 50990: 6f6c656e 00000001 3420636d 644c656e olen....4 cmdLen + 509a0: 00000001 34206969 00000001 34206570 ....4 ii....4 ep + 509b0: 345f6461 74610000 00024100 1f010636 4_data....A....6 + 509c0: 76557362 5f537461 7475735f 496e0001 vUsb_Status_In.. + 509d0: 03920120 02900000 8e42f000 8e439200 ... .....B...C.. + 509e0: 0050d220 65766e74 62756600 000014ab .P. evntbuf..... + 509f0: 20726567 61646472 0000004a 99205265 regaddr...J. Re + 50a00: 67427566 4c656e00 0000011e 20636f75 gBufLen..... cou + 50a10: 6e740000 00013420 72656d61 696e6465 nt....4 remainde + 50a20: 72000000 0134001e 0106857a 66526573 r....4.....zfRes + 50a30: 65745553 42464946 4f000103 92012002 etUSBFIFO..... . + 50a40: 9000008e 4394008e 43a51e01 06927a66 ....C...C.....zf + 50a50: 5475726e 4f666650 6f776572 00010392 TurnOffPower.... + 50a60: 01200290 00008e43 a8008e44 1a1f0106 . .....C...D.... + 50a70: b37a6647 656e5761 74636844 6f674576 .zfGenWatchDogEv + 50a80: 656e7400 01039201 20029000 008e441c ent..... .....D. + 50a90: 008e445e 0000514f 20657665 6e740000 ..D^..QO event.. + 50aa0: 00024100 1e0106c2 7a664a75 6d70546f ..A.....zfJumpTo + 50ab0: 426f6f74 436f6465 00010392 01200290 BootCode..... .. + 50ac0: 00008e44 60008e44 681f0106 ca5f7573 ...D`..Dh...._us + 50ad0: 625f726f 6d5f7461 736b0001 03920120 b_rom_task..... + 50ae0: 02900000 8e446800 8e452e00 0051cf20 .....Dh..E...Q. + 50af0: 7573625f 696e7465 72727570 745f6c65 usb_interrupt_le + 50b00: 76656c31 00000001 11207573 625f696e vel1..... usb_in + 50b10: 74657272 7570745f 6c657665 6c320000 terrupt_level2.. + 50b20: 00011100 1f010715 5f757362 5f66775f ........_usb_fw_ + 50b30: 7461736b 00010392 01200290 00008e45 task..... .....E + 50b40: 30008e46 65000052 29207573 625f696e 0..Fe..R) usb_in + 50b50: 74657272 7570745f 6c657665 6c310000 terrupt_level1.. + 50b60: 00011120 7573625f 696e7465 72727570 ... usb_interrup + 50b70: 745f6c65 76656c32 00000001 11001f01 t_level2........ + 50b80: 08315f75 73625f63 6c6b5f69 6e697400 .1_usb_clk_init. + 50b90: 01039201 20029000 008e4668 008e476c .... .....Fh..Gl + 50ba0: 0000525f 206d426f 6f744d6f 64650000 ..R_ mBootMode.. + 50bb0: 000e6400 2501085d 5f757362 5f696e69 ..d.%..]_usb_ini + 50bc0: 74000101 03920120 02900000 8e476c00 t...... .....Gl. + 50bd0: 8e484500 00529320 636f6c64 5f737461 .HE..R. cold_sta + 50be0: 72740000 00022c00 250108cd 75736266 rt....,.%...usbf + 50bf0: 69666f5f 6d6f6475 6c655f69 6e737461 ifo_module_insta + 50c00: 6c6c0001 01039201 20029000 008e4848 ll...... .....HH + 50c10: 008e4857 000052d3 240108cd 61706973 ..HW..R.$...apis + 50c20: 0000004b 94015200 260108d5 636d6e6f ...K..R.&...cmno + 50c30: 735f7573 625f6d6f 64756c65 5f696e73 s_usb_module_ins + 50c40: 74616c6c 00010103 92012002 9000008e tall...... ..... + 50c50: 4858008e 48f52401 08d56170 69730000 HX..H.$...apis.. + 50c60: 004b9d01 52000000 000003d7 00020000 .K..R........... + 50c70: 1db50401 2f726f6f 742f576f 726b7370 ..../root/Worksp + 50c80: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 50c90: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 50ca0: 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 50cb0: 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 50cc0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 50cd0: 6d2f6869 662f7573 622f7372 632f7573 m/hif/usb/src/us + 50ce0: 625f7461 626c652e 63002f72 6f6f742f b_table.c./root/ + 50cf0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 50d00: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 50d10: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 50d20: 2f757362 0078742d 78636320 666f7220 /usb.xt-xcc for + 50d30: 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 50d40: 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 50d50: 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 50d60: 61636500 01000275 6e736967 6e656420 ace....unsigned + 50d70: 63686172 00070103 75696e74 385f7400 char....uint8_t. + 50d80: 000000fe 0273686f 72742075 6e736967 .....short unsig + 50d90: 6e656420 696e7400 07020375 696e7431 ned int....uint1 + 50da0: 365f7400 0000011c 04536574 75705f50 6_t......Setup_P + 50db0: 61636b65 74000c00 0001bd05 44697265 acket.......Dire + 50dc0: 6374696f 6e000000 010f0223 00055479 ction......#..Ty + 50dd0: 70650000 00010f02 2301054f 626a6563 pe......#..Objec + 50de0: 74000000 010f0223 02055265 71756573 t......#..Reques + 50df0: 74000000 01320223 04055661 6c756500 t....2.#..Value. + 50e00: 00000132 02230605 496e6465 78000000 ...2.#..Index... + 50e10: 01320223 08054c65 6e677468 00000001 .2.#..Length.... + 50e20: 3202230a 00060000 01320100 0001c907 2.#......2...... + 50e30: 00080000 01bd0600 00013201 000001da ..........2..... + 50e40: 07000955 73624465 76696365 44657363 ...UsbDeviceDesc + 50e50: 72697074 6f720000 0001c905 03004e01 riptor........N. + 50e60: 00010600 00013201 00000206 07000800 ......2......... + 50e70: 0001fa06 00000132 01000002 17070009 .......2........ + 50e80: 75384853 436f6e66 69674465 73637269 u8HSConfigDescri + 50e90: 70746f72 30310000 00020605 03004e01 ptor01........N. + 50ea0: 20010600 00013201 00000246 07000800 .....2....F.... + 50eb0: 00023a06 00000132 01000002 57070009 ..:....2....W... + 50ec0: 75384653 436f6e66 69674465 73637269 u8FSConfigDescri + 50ed0: 70746f72 30310000 00024605 03004e01 ptor01....F...N. + 50ee0: 60010600 00013201 00000287 0a040009 `.....2......... + 50ef0: 75384465 76696365 5175616c 69666965 u8DeviceQualifie + 50f00: 72446573 63726970 746f7245 58000000 rDescriptorEX... + 50f10: 027a0503 00500770 01060000 01320100 .z...P.p.....2.. + 50f20: 0002be0a 1d000975 384f7468 65725370 .......u8OtherSp + 50f30: 65656443 6f6e6669 67446573 63726970 eedConfigDescrip + 50f40: 746f7245 58000000 02b10503 00500780 torEX........P.. + 50f50: 01060000 01320100 0002f507 00080000 .....2.......... + 50f60: 02e90600 00013201 00000306 07000953 ......2........S + 50f70: 7472696e 67303044 65736372 6970746f tring00Descripto + 50f80: 72000000 02f50503 004e01a0 01060000 r........N...... + 50f90: 01320100 00033107 00080000 03250600 .2....1......%.. + 50fa0: 00013201 00000342 07000953 7472696e ..2....B...Strin + 50fb0: 67313044 65736372 6970746f 72000000 g10Descriptor... + 50fc0: 03310503 004e01b0 01060000 01320100 .1...N.......2.. + 50fd0: 00036d07 00080000 03610600 00013201 ..m......a....2. + 50fe0: 0000037e 07000953 7472696e 67323044 ...~...String20D + 50ff0: 65736372 6970746f 72000000 036d0503 escriptor....m.. + 51000: 004e01c0 01060000 01320100 0003a907 .N.......2...... + 51010: 00080000 039d0600 00013201 000003ba ..........2..... + 51020: 07000953 7472696e 67333044 65736372 ...String30Descr + 51030: 6970746f 72000000 03a90503 004e01e0 iptor........N.. + 51040: 01000000 00499200 0200001e 1a04012f .....I........./ + 51050: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 51060: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 51070: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 51080: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 51090: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 510a0: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 510b0: 2f757362 2f737263 2f757362 5f666966 /usb/src/usb_fif + 510c0: 6f2e6300 2f726f6f 742f576f 726b7370 o.c./root/Worksp + 510d0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 510e0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 510f0: 5f312f72 6f6d2f68 69662f75 73620078 _1/rom/hif/usb.x + 51100: 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 + 51110: 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr + 51120: 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - + 51130: 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... + 51140: 00012545 02756e73 69676e65 64206368 ..%E.unsigned ch + 51150: 61720007 01037569 6e74385f 74000000 ar....uint8_t... + 51160: 01010273 686f7274 20756e73 69676e65 ...short unsigne + 51170: 6420696e 74000702 0375696e 7431365f d int....uint16_ + 51180: 74000000 011f0453 65747570 5f506163 t......Setup_Pac + 51190: 6b657400 0c000001 c0054469 72656374 ket.......Direct + 511a0: 696f6e00 00000112 02230005 54797065 ion......#..Type + 511b0: 00000001 12022301 054f626a 65637400 ......#..Object. + 511c0: 00000112 02230205 52657175 65737400 .....#..Request. + 511d0: 00000135 02230405 56616c75 65000000 ...5.#..Value... + 511e0: 01350223 0605496e 64657800 00000135 .5.#..Index....5 + 511f0: 02230805 4c656e67 74680000 00013502 .#..Length....5. + 51200: 230a0006 01070000 01c00400 02696e74 #............int + 51210: 00050402 63686172 00070108 000001d0 ....char........ + 51220: 08000001 d0070000 01dd0400 09000001 ................ + 51230: c9010700 0001e904 00047072 696e7466 ..........printf + 51240: 5f617069 00080000 022d055f 7072696e _api.....-._prin + 51250: 74665f69 6e697400 000001c2 02230005 tf_init......#.. + 51260: 5f707269 6e746600 000001ef 02230400 _printf......#.. + 51270: 026c6f6e 6720756e 7369676e 65642069 .long unsigned i + 51280: 6e740007 04037569 6e743332 5f740000 nt....uint32_t.. + 51290: 00022d04 75617274 5f666966 6f000800 ..-.uart_fifo... + 512a0: 00029b05 73746172 745f696e 64657800 ....start_index. + 512b0: 00000135 02230005 656e645f 696e6465 ...5.#..end_inde + 512c0: 78000000 01350223 02056f76 65727275 x....5.#..overru + 512d0: 6e5f6572 72000000 02420223 04000475 n_err....B.#...u + 512e0: 6172745f 61706900 20000003 54055f75 art_api. ...T._u + 512f0: 6172745f 696e6974 00000003 ab022300 art_init......#. + 51300: 055f7561 72745f63 6861725f 70757400 ._uart_char_put. + 51310: 000003b4 02230405 5f756172 745f6368 .....#.._uart_ch + 51320: 61725f67 65740000 0003c802 2308055f ar_get......#.._ + 51330: 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 51340: d102230c 055f7561 72745f74 61736b00 ..#.._uart_task. + 51350: 000001c2 02231005 5f756172 745f7374 .....#.._uart_st + 51360: 61747573 00000003 ab022314 055f7561 atus......#.._ua + 51370: 72745f63 6f6e6669 67000000 03da0223 rt_config......# + 51380: 18055f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 51390: 0003e302 231c0007 0000029b 04000475 ....#..........u + 513a0: 6172745f 626c6b00 10000003 a5056465 art_blk.......de + 513b0: 6275675f 6d6f6465 00000001 35022300 bug_mode....5.#. + 513c0: 05626175 64000000 01350223 02055f75 .baud....5.#.._u + 513d0: 61727400 00000354 02230405 5f747800 art....T.#.._tx. + 513e0: 00000250 02230800 09000002 42010700 ...P.#......B... + 513f0: 0003a504 00060107 000003b2 04000700 ................ + 51400: 00011204 00090000 01350107 000003c2 .........5...... + 51410: 04000601 07000003 cf040006 01070000 ................ + 51420: 03d80400 06010700 0003e104 00070000 ................ + 51430: 01d00400 09000001 c9010700 0003f104 ................ + 51440: 00044442 5f434f4d 4d414e44 5f535452 ..DB_COMMAND_STR + 51450: 55435400 0c000004 4905636d 645f7374 UCT.....I.cmd_st + 51460: 72000000 03ea0223 00056865 6c705f73 r......#..help_s + 51470: 74720000 0003ea02 23040563 6d645f66 tr......#..cmd_f + 51480: 756e6300 000003f7 02230800 04646267 unc......#...dbg + 51490: 5f617069 00080000 047c055f 6462675f _api.....|._dbg_ + 514a0: 696e6974 00000001 c2022300 055f6462 init......#.._db + 514b0: 675f7461 736b0000 0001c202 2304000a g_task......#... + 514c0: 04000275 6e736967 6e656420 696e7400 ...unsigned int. + 514d0: 07040900 00047c01 07000004 8f04000b ......|......... + 514e0: 0b070000 049d0400 09000004 7c010700 ............|... + 514f0: 0004a504 00090000 01c90107 000004b2 ................ + 51500: 0400046d 656d5f61 70690014 00000521 ...mem_api.....! + 51510: 055f6d65 6d5f696e 69740000 0001c202 ._mem_init...... + 51520: 2300055f 6d656d73 65740000 00049502 #.._memset...... + 51530: 2304055f 6d656d63 70790000 0004ab02 #.._memcpy...... + 51540: 2308055f 6d656d6d 6f766500 000004ab #.._memmove..... + 51550: 02230c05 5f6d656d 636d7000 000004b8 .#.._memcmp..... + 51560: 02231000 0c726567 69737465 725f6475 .#...register_du + 51570: 6d705f73 00000107 00000521 04000601 mp_s.......!.... + 51580: 07000005 3b040006 01070000 05440400 ....;........D.. + 51590: 09000001 c9010700 00054d04 000d686f ..........M...ho + 515a0: 73746966 5f730004 000005a9 0e484946 stif_s.......HIF + 515b0: 5f555342 00000e48 49465f50 43494500 _USB...HIF_PCIE. + 515c0: 010e4849 465f474d 41430002 0e484946 ..HIF_GMAC...HIF + 515d0: 5f504349 00030e48 49465f4e 554d0004 _PCI...HIF_NUM.. + 515e0: 0e484946 5f4e4f4e 45000500 03415f48 .HIF_NONE....A_H + 515f0: 4f535449 46000000 055a0900 0005a901 OSTIF....Z...... + 51600: 07000005 b7040009 00000112 01070000 ................ + 51610: 05c40400 09000001 35010700 0005d104 ........5....... + 51620: 00046d69 73635f61 70690024 000006c1 ..misc_api.$.... + 51630: 055f7379 7374656d 5f726573 65740000 ._system_reset.. + 51640: 0001c202 2300055f 6d61635f 72657365 ....#.._mac_rese + 51650: 74000000 01c20223 04055f61 73736661 t......#.._assfa + 51660: 696c0000 00053d02 2308055f 6d697361 il....=.#.._misa + 51670: 6c69676e 65645f6c 6f61645f 68616e64 ligned_load_hand + 51680: 6c657200 0000053d 02230c05 5f726570 ler....=.#.._rep + 51690: 6f72745f 6661696c 7572655f 746f5f68 ort_failure_to_h + 516a0: 6f737400 00000546 02231005 5f746172 ost....F.#.._tar + 516b0: 6765745f 69645f67 65740000 00055302 get_id_get....S. + 516c0: 2314055f 69735f68 6f73745f 70726573 #.._is_host_pres + 516d0: 656e7400 000005bd 02231805 5f6b6268 ent......#.._kbh + 516e0: 69740000 0005ca02 231c055f 726f6d5f it......#.._rom_ + 516f0: 76657273 696f6e5f 67657400 000005d7 version_get..... + 51700: 02232000 09000003 ea010700 0006c104 .# ............. + 51710: 00090000 03ea0107 000006ce 04000900 ................ + 51720: 0001c901 07000006 db040009 000001c9 ................ + 51730: 01070000 06e80400 09000001 c9010700 ................ + 51740: 0006f504 00047374 72696e67 5f617069 ......string_api + 51750: 00180000 077b055f 73747269 6e675f69 .....{._string_i + 51760: 6e697400 000001c2 02230005 5f737472 nit......#.._str + 51770: 63707900 000006c7 02230405 5f737472 cpy......#.._str + 51780: 6e637079 00000006 d4022308 055f7374 ncpy......#.._st + 51790: 726c656e 00000006 e102230c 055f7374 rlen......#.._st + 517a0: 72636d70 00000006 ee022310 055f7374 rcmp......#.._st + 517b0: 726e636d 70000000 06fb0223 14000f00 rncmp......#.... + 517c0: 00047f14 00000788 10040003 5f415f54 ............_A_T + 517d0: 494d4552 5f535041 43450000 00077b03 IMER_SPACE....{. + 517e0: 415f7469 6d65725f 74000000 07880700 A_timer_t....... + 517f0: 00079c04 00060107 000007b2 04000601 ................ + 51800: 07000007 bb040003 415f4841 4e444c45 ........A_HANDLE + 51810: 00000004 7f060103 415f5449 4d45525f ........A_TIMER_ + 51820: 46554e43 00000007 d2070000 07d40400 FUNC............ + 51830: 06010700 0007ed04 00047469 6d65725f ..........timer_ + 51840: 61706900 14000008 6c055f74 696d6572 api.....l._timer + 51850: 5f696e69 74000000 01c20223 00055f74 _init......#.._t + 51860: 696d6572 5f61726d 00000007 b4022304 imer_arm......#. + 51870: 055f7469 6d65725f 64697361 726d0000 ._timer_disarm.. + 51880: 0007bd02 2308055f 74696d65 725f7365 ....#.._timer_se + 51890: 74666e00 000007ef 02230c05 5f74696d tfn......#.._tim + 518a0: 65725f72 756e0000 0001c202 23100003 er_run......#... + 518b0: 424f4f4c 45414e00 00000135 09000008 BOOLEAN....5.... + 518c0: 6c010700 00087904 00090000 086c0107 l.....y......l.. + 518d0: 00000886 04000900 00086c01 07000008 ..........l..... + 518e0: 93040004 726f6d70 5f617069 00100000 ....romp_api.... + 518f0: 0905055f 726f6d70 5f696e69 74000000 ..._romp_init... + 51900: 01c20223 00055f72 6f6d705f 646f776e ...#.._romp_down + 51910: 6c6f6164 00000008 7f022304 055f726f load......#.._ro + 51920: 6d705f69 6e737461 6c6c0000 00088c02 mp_install...... + 51930: 2308055f 726f6d70 5f646563 6f646500 #.._romp_decode. + 51940: 00000899 02230c00 04726f6d 5f706174 .....#...rom_pat + 51950: 63685f73 74001000 00096105 63726331 ch_st.....a.crc1 + 51960: 36000000 01350223 00056c65 6e000000 6....5.#..len... + 51970: 01350223 02056c64 5f616464 72000000 .5.#..ld_addr... + 51980: 02420223 04056675 6e5f6164 64720000 .B.#..fun_addr.. + 51990: 00024202 23080570 66756e00 000003bb ..B.#..pfun..... + 519a0: 02230c00 04656570 5f726564 69725f61 .#...eep_redir_a + 519b0: 64647200 04000009 93056f66 66736574 ddr.......offset + 519c0: 00000001 35022300 0573697a 65000000 ....5.#..size... + 519d0: 01350223 02000341 5f55494e 54333200 .5.#...A_UINT32. + 519e0: 0000047f 09000004 7c010700 0009a104 ........|....... + 519f0: 0004616c 6c6f6372 616d5f61 7069000c ..allocram_api.. + 51a00: 00000a12 05636d6e 6f735f61 6c6c6f63 .....cmnos_alloc + 51a10: 72616d5f 696e6974 00000009 a7022300 ram_init......#. + 51a20: 05636d6e 6f735f61 6c6c6f63 72616d00 .cmnos_allocram. + 51a30: 000009a7 02230405 636d6e6f 735f616c .....#..cmnos_al + 51a40: 6c6f6372 616d5f64 65627567 00000001 locram_debug.... + 51a50: c2022308 00060107 00000a12 04000341 ..#............A + 51a60: 5f544153 4b4c4554 5f46554e 43000000 _TASKLET_FUNC... + 51a70: 0a14045f 7461736b 6c657400 1000000a ..._tasklet..... + 51a80: 73056675 6e630000 000a1b02 23000561 s.func......#..a + 51a90: 72670000 00047c02 23040573 74617465 rg....|.#..state + 51aa0: 00000001 c9022308 056e6578 74000000 ......#..next... + 51ab0: 0a730223 0c000700 000a2f04 00070000 .s.#....../..... + 51ac0: 0a2f0400 03415f74 61736b6c 65745f74 ./...A_tasklet_t + 51ad0: 0000000a 2f070000 0a810400 06010700 ..../........... + 51ae0: 000a9904 00060107 00000aa2 04000474 ...............t + 51af0: 61736b6c 65745f61 70690014 00000b37 asklet_api.....7 + 51b00: 055f7461 736b6c65 745f696e 69740000 ._tasklet_init.. + 51b10: 0001c202 2300055f 7461736b 6c65745f ....#.._tasklet_ + 51b20: 696e6974 5f746173 6b000000 0a9b0223 init_task......# + 51b30: 04055f74 61736b6c 65745f64 69736162 .._tasklet_disab + 51b40: 6c650000 000aa402 2308055f 7461736b le......#.._task + 51b50: 6c65745f 73636865 64756c65 0000000a let_schedule.... + 51b60: a402230c 055f7461 736b6c65 745f7275 ..#.._tasklet_ru + 51b70: 6e000000 01c20223 10000601 0700000b n......#........ + 51b80: 37040009 00000993 01070000 0b400400 7............@.. + 51b90: 06010700 000b4d04 0004636c 6f636b5f ......M...clock_ + 51ba0: 61706900 2400000c 2f055f63 6c6f636b api.$.../._clock + 51bb0: 5f696e69 74000000 0b390223 00055f63 _init....9.#.._c + 51bc0: 6c6f636b 72656773 5f696e69 74000000 lockregs_init... + 51bd0: 01c20223 04055f75 6172745f 66726571 ...#.._uart_freq + 51be0: 75656e63 79000000 0b460223 08055f64 uency....F.#.._d + 51bf0: 656c6179 5f757300 00000b4f 02230c05 elay_us....O.#.. + 51c00: 5f776c61 6e5f6261 6e645f73 65740000 _wlan_band_set.. + 51c10: 000b4f02 2310055f 72656663 6c6b5f73 ..O.#.._refclk_s + 51c20: 70656564 5f676574 0000000b 46022314 peed_get....F.#. + 51c30: 055f6d69 6c6c6973 65636f6e 64730000 ._milliseconds.. + 51c40: 000b4602 2318055f 73797363 6c6b5f63 ..F.#.._sysclk_c + 51c50: 68616e67 65000000 01c20223 1c055f63 hange......#.._c + 51c60: 6c6f636b 5f746963 6b000000 01c20223 lock_tick......# + 51c70: 20000900 00024201 0700000c 2f040003 .....B...../... + 51c80: 415f6f6c 645f696e 74725f74 00000002 A_old_intr_t.... + 51c90: 42090000 0c3c0107 00000c4e 04000601 B....<.....N.... + 51ca0: 0700000c 5b040006 01070000 0c640400 ....[........d.. + 51cb0: 09000002 42010700 000c6d04 0003415f ....B.....m...A_ + 51cc0: 6973725f 74000000 0c730601 0700000c isr_t....s...... + 51cd0: 87040009 0000047f 01070000 0c900400 ................ + 51ce0: 06010700 000c9d04 0004696e 74725f61 ..........intr_a + 51cf0: 7069002c 00000dbf 055f696e 74725f69 pi.,....._intr_i + 51d00: 6e697400 000001c2 02230005 5f696e74 nit......#.._int + 51d10: 725f696e 766f6b65 5f697372 0000000c r_invoke_isr.... + 51d20: 35022304 055f696e 74725f64 69736162 5.#.._intr_disab + 51d30: 6c650000 000c5402 2308055f 696e7472 le....T.#.._intr + 51d40: 5f726573 746f7265 0000000c 5d02230c _restore....].#. + 51d50: 055f696e 74725f6d 61736b5f 696e756d ._intr_mask_inum + 51d60: 0000000c 66022310 055f696e 74725f75 ....f.#.._intr_u + 51d70: 6e6d6173 6b5f696e 756d0000 000c6602 nmask_inum....f. + 51d80: 2314055f 696e7472 5f617474 6163685f #.._intr_attach_ + 51d90: 69737200 00000c89 02231805 5f676574 isr......#.._get + 51da0: 5f696e74 72656e61 626c6500 00000c96 _intrenable..... + 51db0: 02231c05 5f736574 5f696e74 72656e61 .#.._set_intrena + 51dc0: 626c6500 00000c9f 02232005 5f676574 ble......# ._get + 51dd0: 5f696e74 7270656e 64696e67 0000000c _intrpending.... + 51de0: 96022324 055f756e 626c6f63 6b5f616c ..#$._unblock_al + 51df0: 6c5f696e 74726c76 6c000000 01c20223 l_intrlvl......# + 51e00: 28001104 00000de5 0574696d 656f7574 (........timeout + 51e10: 00000002 42022300 05616374 696f6e00 ....B.#..action. + 51e20: 00000242 02230000 12080000 0e000563 ...B.#.........c + 51e30: 6d640000 00024202 23001300 000dbf02 md....B.#....... + 51e40: 23040003 545f5744 545f434d 44000000 #...T_WDT_CMD... + 51e50: 0de50601 0700000e 0f040014 0400000e ................ + 51e60: 650e454e 554d5f57 44545f42 4f4f5400 e.ENUM_WDT_BOOT. + 51e70: 010e454e 554d5f43 4f4c445f 424f4f54 ..ENUM_COLD_BOOT + 51e80: 00020e45 4e554d5f 53555350 5f424f4f ...ENUM_SUSP_BOO + 51e90: 5400030e 454e554d 5f554e4b 4e4f574e T...ENUM_UNKNOWN + 51ea0: 5f424f4f 54000400 03545f42 4f4f545f _BOOT....T_BOOT_ + 51eb0: 54595045 0000000e 18090000 0e650107 TYPE.........e.. + 51ec0: 00000e76 04000477 64745f61 7069001c ...v...wdt_api.. + 51ed0: 00000f1a 055f7764 745f696e 69740000 ....._wdt_init.. + 51ee0: 0001c202 2300055f 7764745f 656e6162 ....#.._wdt_enab + 51ef0: 6c650000 0001c202 2304055f 7764745f le......#.._wdt_ + 51f00: 64697361 626c6500 000001c2 02230805 disable......#.. + 51f10: 5f776474 5f736574 0000000e 1102230c _wdt_set......#. + 51f20: 055f7764 745f7461 736b0000 0001c202 ._wdt_task...... + 51f30: 2310055f 7764745f 72657365 74000000 #.._wdt_reset... + 51f40: 01c20223 14055f77 64745f6c 6173745f ...#.._wdt_last_ + 51f50: 626f6f74 0000000e 7c022318 00140400 boot....|.#..... + 51f60: 000f810e 5245545f 53554343 45535300 ....RET_SUCCESS. + 51f70: 000e5245 545f4e4f 545f494e 49540001 ..RET_NOT_INIT.. + 51f80: 0e524554 5f4e4f54 5f455849 53540002 .RET_NOT_EXIST.. + 51f90: 0e524554 5f454550 5f434f52 52555054 .RET_EEP_CORRUPT + 51fa0: 00030e52 45545f45 45505f4f 56455246 ...RET_EEP_OVERF + 51fb0: 4c4f5700 040e5245 545f554e 4b4e4f57 LOW...RET_UNKNOW + 51fc0: 4e000500 03545f45 45505f52 45540000 N....T_EEP_RET.. + 51fd0: 000f1a07 00000135 04000900 000f8101 .......5........ + 51fe0: 0700000f 97040009 00000f81 01070000 ................ + 51ff0: 0fa40400 04656570 5f617069 00100000 .....eep_api.... + 52000: 100d055f 6565705f 696e6974 00000001 ..._eep_init.... + 52010: c2022300 055f6565 705f7265 61640000 ..#.._eep_read.. + 52020: 000f9d02 2304055f 6565705f 77726974 ....#.._eep_writ + 52030: 65000000 0f9d0223 08055f65 65705f69 e......#.._eep_i + 52040: 735f6578 69737400 00000faa 02230c00 s_exist......#.. + 52050: 04757362 5f617069 00700000 12ba055f .usb_api.p....._ + 52060: 7573625f 696e6974 00000001 c2022300 usb_init......#. + 52070: 055f7573 625f726f 6d5f7461 736b0000 ._usb_rom_task.. + 52080: 0001c202 2304055f 7573625f 66775f74 ....#.._usb_fw_t + 52090: 61736b00 000001c2 02230805 5f757362 ask......#.._usb + 520a0: 5f696e69 745f7068 79000000 01c20223 _init_phy......# + 520b0: 0c055f75 73625f65 70305f73 65747570 .._usb_ep0_setup + 520c0: 00000001 c2022310 055f7573 625f6570 ......#.._usb_ep + 520d0: 305f7478 00000001 c2022314 055f7573 0_tx......#.._us + 520e0: 625f6570 305f7278 00000001 c2022318 b_ep0_rx......#. + 520f0: 055f7573 625f6765 745f696e 74657266 ._usb_get_interf + 52100: 61636500 0000088c 02231c05 5f757362 ace......#.._usb + 52110: 5f736574 5f696e74 65726661 63650000 _set_interface.. + 52120: 00088c02 2320055f 7573625f 6765745f ....# ._usb_get_ + 52130: 636f6e66 69677572 6174696f 6e000000 configuration... + 52140: 088c0223 24055f75 73625f73 65745f63 ...#$._usb_set_c + 52150: 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 52160: 8c022328 055f7573 625f7374 616e6461 ..#(._usb_standa + 52170: 72645f63 6d640000 00088c02 232c055f rd_cmd......#,._ + 52180: 7573625f 76656e64 6f725f63 6d640000 usb_vendor_cmd.. + 52190: 0001c202 2330055f 7573625f 706f7765 ....#0._usb_powe + 521a0: 725f6f66 66000000 01c20223 34055f75 r_off......#4._u + 521b0: 73625f72 65736574 5f666966 6f000000 sb_reset_fifo... + 521c0: 01c20223 38055f75 73625f67 656e5f77 ...#8._usb_gen_w + 521d0: 64740000 0001c202 233c055f 7573625f dt......#<._usb_ + 521e0: 6a756d70 5f626f6f 74000000 01c20223 jump_boot......# + 521f0: 40055f75 73625f63 6c725f66 65617475 @._usb_clr_featu + 52200: 72650000 00088c02 2344055f 7573625f re......#D._usb_ + 52210: 7365745f 66656174 75726500 0000088c set_feature..... + 52220: 02234805 5f757362 5f736574 5f616464 .#H._usb_set_add + 52230: 72657373 00000008 8c02234c 055f7573 ress......#L._us + 52240: 625f6765 745f6465 73637269 70746f72 b_get_descriptor + 52250: 00000008 8c022350 055f7573 625f6765 ......#P._usb_ge + 52260: 745f7374 61747573 00000008 8c022354 t_status......#T + 52270: 055f7573 625f7365 7475705f 64657363 ._usb_setup_desc + 52280: 00000001 c2022358 055f7573 625f7265 ......#X._usb_re + 52290: 675f6f75 74000000 01c20223 5c055f75 g_out......#\._u + 522a0: 73625f73 74617475 735f696e 00000001 sb_status_in.... + 522b0: c2022360 055f7573 625f6570 305f7478 ..#`._usb_ep0_tx + 522c0: 5f646174 61000000 01c20223 64055f75 _data......#d._u + 522d0: 73625f65 70305f72 785f6461 74610000 sb_ep0_rx_data.. + 522e0: 0001c202 2368055f 7573625f 636c6b5f ....#h._usb_clk_ + 522f0: 696e6974 00000001 c202236c 00045f56 init......#l.._V + 52300: 44455343 00240000 1346056e 6578745f DESC.$...F.next_ + 52310: 64657363 00000013 46022300 05627566 desc....F.#..buf + 52320: 5f616464 72000000 135a0223 04056275 _addr....Z.#..bu + 52330: 665f7369 7a650000 00136102 23080564 f_size....a.#..d + 52340: 6174615f 6f666673 65740000 00136102 ata_offset....a. + 52350: 230a0564 6174615f 73697a65 00000013 #..data_size.... + 52360: 6102230c 05636f6e 74726f6c 00000013 a.#..control.... + 52370: 6102230e 0568775f 64657363 5f627566 a.#..hw_desc_buf + 52380: 00000013 6f022310 00070000 12ba0400 ....o.#......... + 52390: 03415f55 494e5438 00000001 01070000 .A_UINT8........ + 523a0: 134d0400 03415f55 494e5431 36000000 .M...A_UINT16... + 523b0: 011f0f00 00134d14 0000137c 10130007 ......M....|.... + 523c0: 000012ba 04000356 44455343 00000012 .......VDESC.... + 523d0: ba070000 13830400 09000013 8e010700 ................ + 523e0: 00139504 00090000 135a0107 000013a2 .........Z...... + 523f0: 04000601 07000013 af040004 76646573 ............vdes + 52400: 635f6170 69001400 00142705 5f696e69 c_api.....'._ini + 52410: 74000000 0b4f0223 00055f61 6c6c6f63 t....O.#.._alloc + 52420: 5f766465 73630000 00139b02 2304055f _vdesc......#.._ + 52430: 6765745f 68775f64 65736300 000013a8 get_hw_desc..... + 52440: 02230805 5f737761 705f7664 65736300 .#.._swap_vdesc. + 52450: 000013b1 02230c05 70526573 65727665 .....#..pReserve + 52460: 64000000 047c0223 1000045f 56425546 d....|.#..._VBUF + 52470: 00200000 14870564 6573635f 6c697374 . .....desc_list + 52480: 00000013 8e022300 056e6578 745f6275 ......#..next_bu + 52490: 66000000 14870223 04056275 665f6c65 f......#..buf_le + 524a0: 6e677468 00000013 61022308 05726573 ngth....a.#..res + 524b0: 65727665 64000000 148e0223 0a056374 erved......#..ct + 524c0: 78000000 136f0223 0c000700 00142704 x....o.#......'. + 524d0: 000f0000 134d0200 00149b10 01000700 .....M.......... + 524e0: 00142704 00035642 55460000 00142707 ..'...VBUF....'. + 524f0: 000014a2 04000900 0014ac01 07000014 ................ + 52500: b3040009 000014ac 01070000 14c00400 ................ + 52510: 06010700 0014cd04 00047662 75665f61 ..........vbuf_a + 52520: 70690014 0000154b 055f696e 69740000 pi.....K._init.. + 52530: 000b4f02 2300055f 616c6c6f 635f7662 ..O.#.._alloc_vb + 52540: 75660000 0014b902 2304055f 616c6c6f uf......#.._allo + 52550: 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 52560: 00000014 c6022308 055f6672 65655f76 ......#.._free_v + 52570: 62756600 000014cf 02230c05 70526573 buf......#..pRes + 52580: 65727665 64000000 047c0223 1000045f erved....|.#..._ + 52590: 5f616466 5f646576 69636500 04000015 _adf_device..... + 525a0: 6d056475 6d6d7900 000001c9 02230000 m.dummy......#.. + 525b0: 07000009 93040004 5f5f6164 665f646d ........__adf_dm + 525c0: 615f6d61 70000c00 0015b405 62756600 a_map.......buf. + 525d0: 000014ac 02230005 64735f61 64647200 .....#..ds_addr. + 525e0: 0000156d 02230405 64735f6c 656e0000 ...m.#..ds_len.. + 525f0: 00136102 23080012 0c000015 ee055f5f ..a.#.........__ + 52600: 76615f73 746b0000 0003ea02 2300055f va_stk......#.._ + 52610: 5f76615f 72656700 000003ea 02230405 _va_reg......#.. + 52620: 5f5f7661 5f6e6478 00000001 c9022308 __va_ndx......#. + 52630: 00035f5f 6164665f 6f735f64 6d615f61 ..__adf_os_dma_a + 52640: 6464725f 74000000 09930361 64665f6f ddr_t......adf_o + 52650: 735f646d 615f6164 64725f74 00000015 s_dma_addr_t.... + 52660: ee035f5f 6164665f 6f735f64 6d615f73 ..__adf_os_dma_s + 52670: 697a655f 74000000 09930361 64665f6f ize_t......adf_o + 52680: 735f646d 615f7369 7a655f74 00000016 s_dma_size_t.... + 52690: 1e045f5f 646d615f 73656773 00080000 ..__dma_segs.... + 526a0: 167a0570 61646472 00000016 07022300 .z.paddr......#. + 526b0: 056c656e 00000016 37022304 00035f5f .len....7.#...__ + 526c0: 615f7569 6e743332 5f740000 00099303 a_uint32_t...... + 526d0: 615f7569 6e743332 5f740000 00167a0f a_uint32_t....z. + 526e0: 0000164e 08000016 a9100000 04616466 ...N.........adf + 526f0: 5f6f735f 646d616d 61705f69 6e666f00 _os_dmamap_info. + 52700: 0c000016 e2056e73 65677300 0000168c ......nsegs..... + 52710: 02230005 646d615f 73656773 00000016 .#..dma_segs.... + 52720: 9c022304 00035f5f 615f7569 6e74385f ..#...__a_uint8_ + 52730: 74000000 134d0361 5f75696e 74385f74 t....M.a_uint8_t + 52740: 00000016 e2070000 16f30400 045f5f73 .............__s + 52750: 675f7365 67730008 00001734 05766164 g_segs.....4.vad + 52760: 64720000 00170202 2300056c 656e0000 dr......#..len.. + 52770: 00168c02 2304000f 00001709 20000017 ....#....... ... + 52780: 41100300 04616466 5f6f735f 73676c69 A....adf_os_sgli + 52790: 73740024 00001774 056e7365 67730000 st.$...t.nsegs.. + 527a0: 00168c02 23000573 675f7365 67730000 ....#..sg_segs.. + 527b0: 00173402 23040012 10000017 bd057665 ..4.#.........ve + 527c0: 6e646f72 00000016 8c022300 05646576 ndor......#..dev + 527d0: 69636500 0000168c 02230405 73756276 ice......#..subv + 527e0: 656e646f 72000000 168c0223 08057375 endor......#..su + 527f0: 62646576 69636500 0000168c 02230c00 bdevice......#.. + 52800: 026c6f6e 67206c6f 6e672075 6e736967 .long long unsig + 52810: 6e656420 696e7400 07080341 5f55494e ned int....A_UIN + 52820: 54363400 000017bd 035f5f61 5f75696e T64......__a_uin + 52830: 7436345f 74000000 17d70361 5f75696e t64_t......a_uin + 52840: 7436345f 74000000 17e51404 00001843 t64_t..........C + 52850: 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 52860: 5f545950 455f4d45 4d00000e 4144465f _TYPE_MEM...ADF_ + 52870: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 52880: 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re + 52890: 736f7572 63655f74 7970655f 74000000 source_type_t... + 528a0: 18071218 0000188d 05737461 72740000 .........start.. + 528b0: 0017f702 23000565 6e640000 0017f702 ....#..end...... + 528c0: 23080574 79706500 00001843 02231000 #..type....C.#.. + 528d0: 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 528e0: 69645f74 00000017 74070000 188d0400 id_t....t....... + 528f0: 11040000 18cc0570 63690000 0018a602 .......pci...... + 52900: 23000572 61770000 00047c02 23000011 #..raw....|.#... + 52910: 10000018 eb057063 69000000 188d0223 ......pci......# + 52920: 00057261 77000000 047c0223 00000361 ..raw....|.#...a + 52930: 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 52940: 0000047c 03616466 5f6f735f 7265736f ...|.adf_os_reso + 52950: 75726365 5f740000 00185f07 00001901 urce_t...._..... + 52960: 04000361 64665f6f 735f6174 74616368 ...adf_os_attach + 52970: 5f646174 615f7400 000018cc 07000019 _data_t......... + 52980: 1f040007 0000154b 0400035f 5f616466 .......K...__adf + 52990: 5f6f735f 64657669 63655f74 00000019 _os_device_t.... + 529a0: 40036164 665f6f73 5f646576 6963655f @.adf_os_device_ + 529b0: 74000000 19470900 0018eb01 07000019 t....G.......... + 529c0: 73040006 01070000 19800400 03616466 s............adf + 529d0: 5f6f735f 706d5f74 00000004 7c060107 _os_pm_t....|... + 529e0: 0000199a 04001404 000019da 0e414446 .............ADF + 529f0: 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 52a00: 00010e41 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 52a10: 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad + 52a20: 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 52a30: 000019a3 03616466 5f6f735f 6275735f .....adf_os_bus_ + 52a40: 7265675f 64617461 5f740000 0018ad07 reg_data_t...... + 52a50: 00000101 0400045f 6164665f 6472765f ......._adf_drv_ + 52a60: 696e666f 00200000 1ab70564 72765f61 info. .....drv_a + 52a70: 74746163 68000000 19790223 00056472 ttach....y.#..dr + 52a80: 765f6465 74616368 00000019 82022304 v_detach......#. + 52a90: 05647276 5f737573 70656e64 00000019 .drv_suspend.... + 52aa0: 9c022308 05647276 5f726573 756d6500 ..#..drv_resume. + 52ab0: 00001982 02230c05 6275735f 74797065 .....#..bus_type + 52ac0: 00000019 da022310 05627573 5f646174 ......#..bus_dat + 52ad0: 61000000 19f10223 14056d6f 645f6e61 a......#..mod_na + 52ae0: 6d650000 001a0c02 23180569 666e616d me......#..ifnam + 52af0: 65000000 1a0c0223 1c000361 64665f6f e......#...adf_o + 52b00: 735f6861 6e646c65 5f740000 00047c07 s_handle_t....|. + 52b10: 000016e2 04000601 0601035f 5f616466 ...........__adf + 52b20: 5f6f735f 73697a65 5f740000 00047f14 _os_size_t...... + 52b30: 0400001b 060e415f 46414c53 4500000e ......A_FALSE... + 52b40: 415f5452 55450001 0003615f 626f6f6c A_TRUE....a_bool + 52b50: 5f740000 001aec07 00001574 0400035f _t.........t..._ + 52b60: 5f616466 5f6f735f 646d615f 6d61705f _adf_os_dma_map_ + 52b70: 74000000 1b140601 0d616466 5f6f735f t........adf_os_ + 52b80: 63616368 655f7379 6e630004 00001b9e cache_sync...... + 52b90: 0e414446 5f53594e 435f5052 45524541 .ADF_SYNC_PREREA + 52ba0: 4400000e 4144465f 53594e43 5f505245 D...ADF_SYNC_PRE + 52bb0: 57524954 4500020e 4144465f 53594e43 WRITE...ADF_SYNC + 52bc0: 5f504f53 54524541 4400010e 4144465f _POSTREAD...ADF_ + 52bd0: 53594e43 5f504f53 54575249 54450003 SYNC_POSTWRITE.. + 52be0: 00036164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 52bf0: 796e635f 74000000 1b350601 03616466 ync_t....5...adf + 52c00: 5f6f735f 73697a65 5f740000 001ad709 _os_size_t...... + 52c10: 00001bb9 01036164 665f6f73 5f646d61 ......adf_os_dma + 52c20: 5f6d6170 5f740000 001b1b07 00001bd2 _map_t.......... + 52c30: 04000900 00047c01 0700001b 1b040009 ......|......... + 52c40: 0000047c 01060109 00001607 01060102 ...|............ + 52c50: 73686f72 7420696e 74000502 03415f49 short int....A_I + 52c60: 4e543136 0000001c 0c035f5f 615f696e NT16......__a_in + 52c70: 7431365f 74000000 1c190361 5f696e74 t16_t......a_int + 52c80: 31365f74 0000001c 26027369 676e6564 16_t....&.signed + 52c90: 20636861 72000501 03415f49 4e543800 char....A_INT8. + 52ca0: 00001c46 035f5f61 5f696e74 385f7400 ...F.__a_int8_t. + 52cb0: 00001c55 03615f69 6e74385f 74000000 ...U.a_int8_t... + 52cc0: 1c61120c 00001cd8 05737570 706f7274 .a.......support + 52cd0: 65640000 00168c02 23000561 64766572 ed......#..adver + 52ce0: 74697a65 64000000 168c0223 04057370 tized......#..sp + 52cf0: 65656400 00001c37 02230805 6475706c eed....7.#..dupl + 52d00: 65780000 001c7102 230a0561 75746f6e ex....q.#..auton + 52d10: 65670000 0016f302 230b000f 000016f3 eg......#....... + 52d20: 0600001c e5100500 04616466 5f6e6574 .........adf_net + 52d30: 5f657468 61646472 00060000 1d090561 _ethaddr.......a + 52d40: 64647200 00001cd8 02230000 035f5f61 ddr......#...__a + 52d50: 5f75696e 7431365f 74000000 13610361 _uint16_t....a.a + 52d60: 5f75696e 7431365f 74000000 1d09120e _uint16_t....... + 52d70: 00001d6d 05657468 65725f64 686f7374 ...m.ether_dhost + 52d80: 0000001c d8022300 05657468 65725f73 ......#..ether_s + 52d90: 686f7374 0000001c d8022306 05657468 host......#..eth + 52da0: 65725f74 79706500 00001d1b 02230c00 er_type......#.. + 52db0: 12140000 1e2e1569 705f7665 7273696f .......ip_versio + 52dc0: 6e000000 16f30100 04022300 1569705f n.........#..ip_ + 52dd0: 686c0000 0016f301 04040223 00056970 hl.........#..ip + 52de0: 5f746f73 00000016 f3022301 0569705f _tos......#..ip_ + 52df0: 6c656e00 00001d1b 02230205 69705f69 len......#..ip_i + 52e00: 64000000 1d1b0223 04056970 5f667261 d......#..ip_fra + 52e10: 675f6f66 66000000 1d1b0223 06056970 g_off......#..ip + 52e20: 5f74746c 00000016 f3022308 0569705f _ttl......#..ip_ + 52e30: 70726f74 6f000000 16f30223 09056970 proto......#..ip + 52e40: 5f636865 636b0000 001d1b02 230a0569 _check......#..i + 52e50: 705f7361 64647200 0000168c 02230c05 p_saddr......#.. + 52e60: 69705f64 61646472 00000016 8c022310 ip_daddr......#. + 52e70: 00046164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 52e80: 72000400 001e8005 74706964 0000001d r.......tpid.... + 52e90: 1b022300 15707269 6f000000 16f30100 ..#..prio....... + 52ea0: 03022302 15636669 00000016 f3010301 ..#..cfi........ + 52eb0: 02230215 76696400 00001d1b 02040c02 .#..vid......... + 52ec0: 23020004 6164665f 6e65745f 76696400 #...adf_net_vid. + 52ed0: 0200001e b1157265 73000000 16f30100 ......res....... + 52ee0: 04022300 1576616c 0000001d 1b02040c ..#..val........ + 52ef0: 02230000 120c0000 1eed0572 785f6275 .#.........rx_bu + 52f00: 6673697a 65000000 168c0223 00057278 fsize......#..rx + 52f10: 5f6e6465 73630000 00168c02 23040574 _ndesc......#..t + 52f20: 785f6e64 65736300 0000168c 02230800 x_ndesc......#.. + 52f30: 12080000 1f130570 6f6c6c65 64000000 .......polled... + 52f40: 1b060223 0005706f 6c6c5f77 74000000 ...#..poll_wt... + 52f50: 168c0223 04000f00 0016f340 00001f20 ...#.......@... + 52f60: 103f0012 4600001f 48056966 5f6e616d .?..F...H.if_nam + 52f70: 65000000 1f130223 00056465 765f6164 e......#..dev_ad + 52f80: 64720000 001cd802 23400014 0400001f dr......#@...... + 52f90: 7f0e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 52fa0: 4b5f3332 42495400 000e4144 465f4f53 K_32BIT...ADF_OS + 52fb0: 5f444d41 5f4d4153 4b5f3634 42495400 _DMA_MASK_64BIT. + 52fc0: 01000361 64665f6f 735f646d 615f6d61 ...adf_os_dma_ma + 52fd0: 736b5f74 0000001f 48046164 665f646d sk_t....H.adf_dm + 52fe0: 615f696e 666f0008 00001fcc 05646d61 a_info.......dma + 52ff0: 5f6d6173 6b000000 1f7f0223 00057367 _mask......#..sg + 53000: 5f6e7365 67730000 00168c02 23040014 _nsegs......#... + 53010: 04000020 220e4144 465f4e45 545f434b ... ".ADF_NET_CK + 53020: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 53030: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 53040: 5f495076 3400010e 4144465f 4e45545f _IPv4...ADF_NET_ + 53050: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 53060: 76360002 00036164 665f6e65 745f636b v6....adf_net_ck + 53070: 73756d5f 74797065 5f740000 001fcc12 sum_type_t...... + 53080: 08000020 65057478 5f636b73 756d0000 ... e.tx_cksum.. + 53090: 00202202 23000572 785f636b 73756d00 . ".#..rx_cksum. + 530a0: 00002022 02230400 03616466 5f6e6574 .. ".#...adf_net + 530b0: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 530c0: 203c1404 000020be 0e414446 5f4e4554 <.... ..ADF_NET + 530d0: 5f54534f 5f4e4f4e 4500000e 4144465f _TSO_NONE...ADF_ + 530e0: 4e45545f 54534f5f 49505634 00010e41 NET_TSO_IPV4...A + 530f0: 44465f4e 45545f54 534f5f41 4c4c0002 DF_NET_TSO_ALL.. + 53100: 00036164 665f6e65 745f7473 6f5f7479 ..adf_net_tso_ty + 53110: 70655f74 00000020 7f121000 00211205 pe_t... .....!.. + 53120: 636b7375 6d5f6361 70000000 20650223 cksum_cap... e.# + 53130: 00057473 6f000000 20be0223 0805766c ..tso... ..#..vl + 53140: 616e5f73 7570706f 72746564 00000016 an_supported.... + 53150: f302230c 00122000 0021ab05 74785f70 ..#... ..!..tx_p + 53160: 61636b65 74730000 00168c02 23000572 ackets......#..r + 53170: 785f7061 636b6574 73000000 168c0223 x_packets......# + 53180: 04057478 5f627974 65730000 00168c02 ..tx_bytes...... + 53190: 23080572 785f6279 74657300 0000168c #..rx_bytes..... + 531a0: 02230c05 74785f64 726f7070 65640000 .#..tx_dropped.. + 531b0: 00168c02 23100572 785f6472 6f707065 ....#..rx_droppe + 531c0: 64000000 168c0223 14057278 5f657272 d......#..rx_err + 531d0: 6f727300 0000168c 02231805 74785f65 ors......#..tx_e + 531e0: 72726f72 73000000 168c0223 1c000361 rrors......#...a + 531f0: 64665f6e 65745f65 74686164 64725f74 df_net_ethaddr_t + 53200: 0000001c e5160000 21ab0300 000021d0 ........!.....!. + 53210: 107f0017 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 53220: 6d636164 64720003 04000022 07056e65 mcaddr....."..ne + 53230: 6c656d00 0000168c 02230005 6d636173 lem......#..mcas + 53240: 74000000 21c20223 04000361 64665f6e t...!..#...adf_n + 53250: 65745f63 6d645f6c 696e6b5f 696e666f et_cmd_link_info + 53260: 5f740000 001c7f03 6164665f 6e65745f _t......adf_net_ + 53270: 636d645f 706f6c6c 5f696e66 6f5f7400 cmd_poll_info_t. + 53280: 00001eed 03616466 5f6e6574 5f636d64 .....adf_net_cmd + 53290: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 532a0: 20650361 64665f6e 65745f63 6d645f72 e.adf_net_cmd_r + 532b0: 696e675f 696e666f 5f740000 001eb103 ing_info_t...... + 532c0: 6164665f 6e65745f 636d645f 646d615f adf_net_cmd_dma_ + 532d0: 696e666f 5f740000 001f9603 6164665f info_t......adf_ + 532e0: 6e65745f 636d645f 7669645f 74000000 net_cmd_vid_t... + 532f0: 1d1b0361 64665f6e 65745f63 6d645f6f ...adf_net_cmd_o + 53300: 66666c6f 61645f63 61705f74 00000020 ffload_cap_t... + 53310: d6036164 665f6e65 745f636d 645f7374 ..adf_net_cmd_st + 53320: 6174735f 74000000 21120361 64665f6e ats_t...!..adf_n + 53330: 65745f63 6d645f6d 63616464 725f7400 et_cmd_mcaddr_t. + 53340: 000021d0 0d616466 5f6e6574 5f636d64 ..!..adf_net_cmd + 53350: 5f6d6361 73745f63 61700004 00002349 _mcast_cap....#I + 53360: 0e414446 5f4e4554 5f4d4341 53545f53 .ADF_NET_MCAST_S + 53370: 55500000 0e414446 5f4e4554 5f4d4341 UP...ADF_NET_MCA + 53380: 53545f4e 4f545355 50000100 03616466 ST_NOTSUP....adf + 53390: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 533a0: 61705f74 00000023 01180304 0000241b ap_t...#......$. + 533b0: 056c696e 6b5f696e 666f0000 00220702 .link_info...".. + 533c0: 23000570 6f6c6c5f 696e666f 00000022 #..poll_info..." + 533d0: 24022300 05636b73 756d5f69 6e666f00 $.#..cksum_info. + 533e0: 00002241 02230005 72696e67 5f696e66 .."A.#..ring_inf + 533f0: 6f000000 225f0223 0005646d 615f696e o..."_.#..dma_in + 53400: 666f0000 00227c02 23000576 69640000 fo..."|.#..vid.. + 53410: 00229802 2300056f 66666c6f 61645f63 ."..#..offload_c + 53420: 61700000 0022af02 23000573 74617473 ap..."..#..stats + 53430: 00000022 ce022300 056d6361 73745f69 ..."..#..mcast_i + 53440: 6e666f00 000022e7 02230005 6d636173 nfo..."..#..mcas + 53450: 745f6361 70000000 23490223 00001404 t_cap...#I.#.... + 53460: 00002472 0e414446 5f4e4255 465f5258 ..$r.ADF_NBUF_RX + 53470: 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD + 53480: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 53490: 48570001 0e414446 5f4e4255 465f5258 HW...ADF_NBUF_RX + 534a0: 5f434b53 554d5f55 4e4e4543 45535341 _CKSUM_UNNECESSA + 534b0: 52590002 00036164 665f6e62 75665f72 RY....adf_nbuf_r + 534c0: 785f636b 73756d5f 74797065 5f740000 x_cksum_type_t.. + 534d0: 00241b12 08000024 b2057265 73756c74 .$.....$..result + 534e0: 00000024 72022300 0576616c 00000016 ...$r.#..val.... + 534f0: 8c022304 00120800 0024e205 74797065 ..#......$..type + 53500: 00000020 be022300 056d7373 0000001d ... ..#..mss.... + 53510: 1b022304 05686472 5f6f6666 00000016 ..#..hdr_off.... + 53520: f3022306 00045f5f 6164665f 6e627566 ..#...__adf_nbuf + 53530: 5f716865 6164000c 00002521 05686561 _qhead....%!.hea + 53540: 64000000 14ac0223 00057461 696c0000 d......#..tail.. + 53550: 0014ac02 23040571 6c656e00 0000168c ....#..qlen..... + 53560: 02230800 035f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 53570: 74000000 14ac0700 00170204 00070000 t............... + 53580: 168c0400 06010900 00138e01 09000016 ................ + 53590: 8c010900 00170201 09000017 02010700 ................ + 535a0: 00136f04 00035f5f 6164665f 6e627566 ..o...__adf_nbuf + 535b0: 5f716865 61645f74 00000024 e2035f5f _qhead_t...$..__ + 535c0: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 535d0: 00000025 62070000 257a0400 09000025 ...%b...%z.....% + 535e0: 21010900 00252101 14040000 269a0e41 !....%!.....&..A + 535f0: 5f535441 5455535f 4f4b0000 0e415f53 _STATUS_OK...A_S + 53600: 54415455 535f4641 494c4544 00010e41 TATUS_FAILED...A + 53610: 5f535441 5455535f 454e4f45 4e540002 _STATUS_ENOENT.. + 53620: 0e415f53 54415455 535f454e 4f4d454d .A_STATUS_ENOMEM + 53630: 00030e41 5f535441 5455535f 45494e56 ...A_STATUS_EINV + 53640: 414c0004 0e415f53 54415455 535f4549 AL...A_STATUS_EI + 53650: 4e50524f 47524553 5300050e 415f5354 NPROGRESS...A_ST + 53660: 41545553 5f454e4f 54535550 5000060e ATUS_ENOTSUPP... + 53670: 415f5354 41545553 5f454255 53590007 A_STATUS_EBUSY.. + 53680: 0e415f53 54415455 535f4532 42494700 .A_STATUS_E2BIG. + 53690: 080e415f 53544154 55535f45 41444452 ..A_STATUS_EADDR + 536a0: 4e4f5441 5641494c 00090e41 5f535441 NOTAVAIL...A_STA + 536b0: 5455535f 454e5849 4f000a0e 415f5354 TUS_ENXIO...A_ST + 536c0: 41545553 5f454641 554c5400 0b0e415f ATUS_EFAULT...A_ + 536d0: 53544154 55535f45 494f000c 0003615f STATUS_EIO....a_ + 536e0: 73746174 75735f74 00000025 a5090000 status_t...%.... + 536f0: 269a0109 000001c9 01060103 6164665f &...........adf_ + 53700: 6e627566 5f740000 00252114 04000026 nbuf_t...%!....& + 53710: ff0e4144 465f4f53 5f444d41 5f544f5f ..ADF_OS_DMA_TO_ + 53720: 44455649 43450000 0e414446 5f4f535f DEVICE...ADF_OS_ + 53730: 444d415f 46524f4d 5f444556 49434500 DMA_FROM_DEVICE. + 53740: 01000361 64665f6f 735f646d 615f6469 ...adf_os_dma_di + 53750: 725f7400 000026c8 09000026 9a010601 r_t...&....&.... + 53760: 03616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 53770: 6e666f5f 74000000 16a90700 00271d04 nfo_t........'.. + 53780: 00060106 01090000 26b80109 00002521 ........&.....%! + 53790: 01060106 01090000 26b80109 00002521 ........&.....%! + 537a0: 01090000 26b80109 00002521 01090000 ....&.....%!.... + 537b0: 26b80106 01060109 0000168c 01090000 &............... + 537c0: 17020106 01060109 00001bb9 01090000 ................ + 537d0: 1b060109 00001b06 01036164 665f6f73 ..........adf_os + 537e0: 5f73676c 6973745f 74000000 17410700 _sglist_t....A.. + 537f0: 00279604 00060106 01060109 00001702 .'.............. + 53800: 01036164 665f6e62 75665f71 75657565 ..adf_nbuf_queue + 53810: 5f740000 00257a07 000027be 04000601 _t...%z...'..... + 53820: 07000025 62040006 01060106 01090000 ...%b........... + 53830: 26b80109 00002521 01090000 168c0109 &.....%!........ + 53840: 0000168c 01090000 1b060109 00001b06 ................ + 53850: 01090000 20220109 0000168c 01036164 .... "........ad + 53860: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 53870: 74000000 24900700 00281a04 00060106 t...$....(...... + 53880: 01036164 665f6e62 75665f74 736f5f74 ..adf_nbuf_tso_t + 53890: 00000024 b2070000 283e0400 06010601 ...$....(>...... + 538a0: 03616466 5f6e6574 5f68616e 646c655f .adf_net_handle_ + 538b0: 74000000 047c0361 64665f6e 65745f76 t....|.adf_net_v + 538c0: 6c616e68 64725f74 0000001e 2e070000 lanhdr_t........ + 538d0: 28730400 09000026 9a010900 00269a01 (s.....&.....&.. + 538e0: 06010601 045f4849 465f434f 4e464947 ....._HIF_CONFIG + 538f0: 00040000 28c20564 756d6d79 00000001 ....(..dummy.... + 53900: c9022300 00060107 000028c2 04000601 ..#.......(..... + 53910: 07000028 cb040004 5f484946 5f43414c ...(...._HIF_CAL + 53920: 4c424143 4b000c00 00292005 73656e64 LBACK....) .send + 53930: 5f627566 5f646f6e 65000000 28c40223 _buf_done...(..# + 53940: 00057265 63765f62 75660000 0028cd02 ..recv_buf...(.. + 53950: 23040563 6f6e7465 78740000 00047c02 #..context....|. + 53960: 23080003 6869665f 68616e64 6c655f74 #...hif_handle_t + 53970: 00000004 7c034849 465f434f 4e464947 ....|.HIF_CONFIG + 53980: 00000028 a1070000 29320400 09000029 ...(....)2.....) + 53990: 20010700 00294904 00060107 00002956 ....)I.......)V + 539a0: 04000348 49465f43 414c4c42 41434b00 ...HIF_CALLBACK. + 539b0: 000028d4 07000029 5f040006 01070000 ..(....)_....... + 539c0: 29780400 09000001 c9010700 00298104 )x...........).. + 539d0: 00060107 0000298e 04000900 0001c901 ......)......... + 539e0: 07000029 97040006 01070000 29a40400 ...)........)... + 539f0: 09000001 c9010700 0029ad04 00060107 .........)...... + 53a00: 000029ba 04000468 69665f61 70690038 ..)....hif_api.8 + 53a10: 00002b13 055f696e 69740000 00294f02 ..+.._init...)O. + 53a20: 2300055f 73687574 646f776e 00000029 #.._shutdown...) + 53a30: 58022304 055f7265 67697374 65725f63 X.#.._register_c + 53a40: 616c6c62 61636b00 0000297a 02230805 allback...)z.#.. + 53a50: 5f676574 5f746f74 616c5f63 72656469 _get_total_credi + 53a60: 745f636f 756e7400 00002987 02230c05 t_count...)..#.. + 53a70: 5f737461 72740000 00295802 2310055f _start...)X.#.._ + 53a80: 636f6e66 69675f70 69706500 00002990 config_pipe...). + 53a90: 02231405 5f73656e 645f6275 66666572 .#.._send_buffer + 53aa0: 00000029 9d022318 055f7265 7475726e ...)..#.._return + 53ab0: 5f726563 765f6275 66000000 29a60223 _recv_buf...)..# + 53ac0: 1c055f69 735f7069 70655f73 7570706f .._is_pipe_suppo + 53ad0: 72746564 00000029 b3022320 055f6765 rted...)..# ._ge + 53ae0: 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... + 53af0: 29b30223 24055f67 65745f72 65736572 )..#$._get_reser + 53b00: 7665645f 68656164 726f6f6d 00000029 ved_headroom...) + 53b10: 87022328 055f6973 725f6861 6e646c65 ..#(._isr_handle + 53b20: 72000000 29580223 2c055f67 65745f64 r...)X.#,._get_d + 53b30: 65666175 6c745f70 69706500 000029bc efault_pipe...). + 53b40: 02233005 70526573 65727665 64000000 .#0.pReserved... + 53b50: 047c0223 34000d64 6d615f65 6e67696e .|.#4..dma_engin + 53b60: 65000400 002b9c0e 444d415f 454e4749 e....+..DMA_ENGI + 53b70: 4e455f52 58300000 0e444d41 5f454e47 NE_RX0...DMA_ENG + 53b80: 494e455f 52583100 010e444d 415f454e INE_RX1...DMA_EN + 53b90: 47494e45 5f525832 00020e44 4d415f45 GINE_RX2...DMA_E + 53ba0: 4e47494e 455f5258 3300030e 444d415f NGINE_RX3...DMA_ + 53bb0: 454e4749 4e455f54 58300004 0e444d41 ENGINE_TX0...DMA + 53bc0: 5f454e47 494e455f 54583100 050e444d _ENGINE_TX1...DM + 53bd0: 415f454e 47494e45 5f4d4158 00060003 A_ENGINE_MAX.... + 53be0: 646d615f 656e6769 6e655f74 0000002b dma_engine_t...+ + 53bf0: 130d646d 615f6966 74797065 00040000 ..dma_iftype.... + 53c00: 2be90e44 4d415f49 465f474d 41430000 +..DMA_IF_GMAC.. + 53c10: 0e444d41 5f49465f 50434900 010e444d .DMA_IF_PCI...DM + 53c20: 415f4946 5f504349 45000200 03646d61 A_IF_PCIE....dma + 53c30: 5f696674 7970655f 74000000 2bae0900 _iftype_t...+... + 53c40: 00136101 0700002b fb040006 01070000 ..a....+........ + 53c50: 2c080400 06010700 002c1104 00090000 ,........,...... + 53c60: 09930107 00002c1a 04000900 00136101 ......,.......a. + 53c70: 0700002c 27040009 00001361 01070000 ...,'......a.... + 53c80: 2c340400 09000014 ac010700 002c4104 ,4...........,A. + 53c90: 00060107 00002c4e 04000464 6d615f6c ......,N...dma_l + 53ca0: 69625f61 70690034 00002d55 0574785f ib_api.4..-U.tx_ + 53cb0: 696e6974 0000002c 01022300 0574785f init...,..#..tx_ + 53cc0: 73746172 74000000 2c0a0223 04057278 start...,..#..rx + 53cd0: 5f696e69 74000000 2c010223 08057278 _init...,..#..rx + 53ce0: 5f636f6e 66696700 00002c13 02230c05 _config...,..#.. + 53cf0: 72785f73 74617274 0000002c 0a022310 rx_start...,..#. + 53d00: 05696e74 725f7374 61747573 0000002c .intr_status..., + 53d10: 20022314 05686172 645f786d 69740000 .#..hard_xmit.. + 53d20: 002c2d02 23180566 6c757368 5f786d69 .,-.#..flush_xmi + 53d30: 74000000 2c0a0223 1c05786d 69745f64 t...,..#..xmit_d + 53d40: 6f6e6500 00002c3a 02232005 72656170 one...,:.# .reap + 53d50: 5f786d69 74746564 0000002c 47022324 _xmitted...,G.#$ + 53d60: 05726561 705f7265 63760000 002c4702 .reap_recv...,G. + 53d70: 23280572 65747572 6e5f7265 63760000 #(.return_recv.. + 53d80: 002c5002 232c0572 6563765f 706b7400 .,P.#,.recv_pkt. + 53d90: 00002c3a 02233000 045f5f70 63695f73 ..,:.#0..__pci_s + 53da0: 6f667463 000c0000 2d730573 77000000 oftc....-s.sw... + 53db0: 295f0223 0000035f 5f706369 5f736f66 )_.#...__pci_sof + 53dc0: 74635f74 0000002d 55070000 2d730400 tc_t...-U...-s.. + 53dd0: 06010700 002d8d04 00090000 134d0107 .....-.......M.. + 53de0: 00002d96 04000d68 69665f70 63695f70 ..-....hif_pci_p + 53df0: 6970655f 74780004 00002df6 0e484946 ipe_tx....-..HIF + 53e00: 5f504349 5f504950 455f5458 3000000e _PCI_PIPE_TX0... + 53e10: 4849465f 5043495f 50495045 5f545831 HIF_PCI_PIPE_TX1 + 53e20: 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 53e30: 54585f4d 41580002 00036869 665f7063 TX_MAX....hif_pc + 53e40: 695f7069 70655f74 785f7400 00002da3 i_pipe_tx_t...-. + 53e50: 0900002b 9c010700 002e0d04 000d6869 ...+..........hi + 53e60: 665f7063 695f7069 70655f72 78000400 f_pci_pipe_rx... + 53e70: 002e930e 4849465f 5043495f 50495045 ....HIF_PCI_PIPE + 53e80: 5f525830 00000e48 49465f50 43495f50 _RX0...HIF_PCI_P + 53e90: 4950455f 52583100 010e4849 465f5043 IPE_RX1...HIF_PC + 53ea0: 495f5049 50455f52 58320002 0e484946 I_PIPE_RX2...HIF + 53eb0: 5f504349 5f504950 455f5258 3300030e _PCI_PIPE_RX3... + 53ec0: 4849465f 5043495f 50495045 5f52585f HIF_PCI_PIPE_RX_ + 53ed0: 4d415800 04000368 69665f70 63695f70 MAX....hif_pci_p + 53ee0: 6970655f 72785f74 0000002e 1a090000 ipe_rx_t........ + 53ef0: 2b9c0107 00002eaa 04000468 69665f70 +..........hif_p + 53f00: 63695f61 70690024 00002f88 05706369 ci_api.$../..pci + 53f10: 5f626f6f 745f696e 69740000 0001c202 _boot_init...... + 53f20: 23000570 63695f69 6e697400 0000294f #..pci_init...)O + 53f30: 02230405 7063695f 72657365 74000000 .#..pci_reset... + 53f40: 01c20223 08057063 695f656e 61626c65 ...#..pci_enable + 53f50: 00000001 c202230c 05706369 5f726561 ......#..pci_rea + 53f60: 705f786d 69747465 64000000 2d8f0223 p_xmitted...-..# + 53f70: 10057063 695f7265 61705f72 65637600 ..pci_reap_recv. + 53f80: 00002d8f 02231405 7063695f 6765745f ..-..#..pci_get_ + 53f90: 70697065 0000002d 9c022318 05706369 pipe...-..#..pci + 53fa0: 5f676574 5f74785f 656e6700 00002e13 _get_tx_eng..... + 53fb0: 02231c05 7063695f 6765745f 72785f65 .#..pci_get_rx_e + 53fc0: 6e670000 002eb002 23200004 676d6163 ng......# ..gmac + 53fd0: 5f617069 00040000 2faf0567 6d61635f _api..../..gmac_ + 53fe0: 626f6f74 5f696e69 74000000 01c20223 boot_init......# + 53ff0: 00000f00 00010106 00002fbc 10050004 ........../..... + 54000: 5f5f6574 68686472 000e0000 2ff20564 __ethhdr..../..d + 54010: 73740000 002faf02 23000573 72630000 st.../..#..src.. + 54020: 002faf02 23060565 74797065 00000013 ./..#..etype.... + 54030: 6102230c 00045f5f 61746868 64720004 a.#...__athhdr.. + 54040: 00003040 15726573 00000013 4d010002 ..0@.res....M... + 54050: 02230015 70726f74 6f000000 134d0102 .#..proto....M.. + 54060: 06022300 05726573 5f6c6f00 0000134d ..#..res_lo....M + 54070: 02230105 7265735f 68690000 00136102 .#..res_hi....a. + 54080: 23020004 5f5f676d 61635f68 64720014 #...__gmac_hdr.. + 54090: 0000307c 05657468 0000002f bc022300 ..0|.eth.../..#. + 540a0: 05617468 0000002f f202230e 05616c69 .ath.../..#..ali + 540b0: 676e5f70 61640000 00136102 23120003 gn_pad....a.#... + 540c0: 5f5f676d 61635f68 64725f74 00000030 __gmac_hdr_t...0 + 540d0: 40045f5f 676d6163 5f736f66 74630024 @.__gmac_softc.$ + 540e0: 000030c6 05686472 00000030 7c022300 ..0..hdr...0|.#. + 540f0: 05677261 6e000000 13610223 14057377 .gran....a.#..sw + 54100: 00000029 5f022318 00045f41 5f6f735f ...)_.#..._A_os_ + 54110: 6c696e6b 6167655f 63686563 6b000800 linkage_check... + 54120: 0030ff05 76657273 696f6e00 000001c9 .0..version..... + 54130: 02230005 7461626c 65000000 01c90223 .#..table......# + 54140: 04000700 0030c604 00090000 01c90107 .....0.......... + 54150: 00003106 04000700 00047f04 00175f41 ..1..........._A + 54160: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 54170: 6f6e5f74 61626c65 0001b800 00325605 on_table.....2V. + 54180: 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 54190: 6b000000 310c0223 00057374 6172745f k...1..#..start_ + 541a0: 62737300 00003113 02230405 6170705f bss...1..#..app_ + 541b0: 73746172 74000000 01c20223 08056d65 start......#..me + 541c0: 6d000000 04bf0223 0c056d69 73630000 m......#..misc.. + 541d0: 0005de02 23200570 72696e74 66000000 ....# .printf... + 541e0: 01f60223 44057561 72740000 00029b02 ...#D.uart...... + 541f0: 234c0567 6d616300 00002f88 02236c05 #L.gmac.../..#l. + 54200: 75736200 0000100d 02237005 636c6f63 usb......#p.cloc + 54210: 6b000000 0b560323 e0010574 696d6572 k....V.#...timer + 54220: 00000007 f6032384 0205696e 74720000 ......#...intr.. + 54230: 000ca603 23980205 616c6c6f 6372616d ....#...allocram + 54240: 00000009 ae0323c4 0205726f 6d700000 ......#...romp.. + 54250: 0008a003 23d00205 7764745f 74696d65 ....#...wdt_time + 54260: 72000000 0e830323 e0020565 65700000 r......#...eep.. + 54270: 000fb103 23fc0205 73747269 6e670000 ....#...string.. + 54280: 00070203 238c0305 7461736b 6c657400 ....#...tasklet. + 54290: 00000aab 0323a403 00045f55 53425f46 .....#...._USB_F + 542a0: 49464f5f 434f4e46 49470010 000032c9 IFO_CONFIG....2. + 542b0: 05676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 542c0: 00000014 b9022300 05726563 765f636f ......#..recv_co + 542d0: 6d6d616e 64000000 14cf0223 04056765 mmand......#..ge + 542e0: 745f6576 656e745f 62756600 000014b9 t_event_buf..... + 542f0: 02230805 73656e64 5f657665 6e745f64 .#..send_event_d + 54300: 6f6e6500 000014cf 02230c00 03555342 one......#...USB + 54310: 5f464946 4f5f434f 4e464947 00000032 _FIFO_CONFIG...2 + 54320: 56070000 32c90400 06010700 0032e504 V...2........2.. + 54330: 00047573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 54340: 00333b05 5f696e69 74000000 32e70223 .3;._init...2..# + 54350: 00055f65 6e61626c 655f6576 656e745f .._enable_event_ + 54360: 69737200 000001c2 02230405 70526573 isr......#..pRes + 54370: 65727665 64000000 047c0223 08000f00 erved....|.#.... + 54380: 0016f302 00003348 10010004 5f485443 ......3H...._HTC + 54390: 5f465241 4d455f48 44520008 000033ba _FRAME_HDR....3. + 543a0: 05456e64 706f696e 74494400 000016f3 .EndpointID..... + 543b0: 02230005 466c6167 73000000 16f30223 .#..Flags......# + 543c0: 01055061 796c6f61 644c656e 0000001d ..PayloadLen.... + 543d0: 1b022302 05436f6e 74726f6c 42797465 ..#..ControlByte + 543e0: 73000000 333b0223 0405486f 73745365 s...3;.#..HostSe + 543f0: 714e756d 0000001d 1b022306 00120200 qNum......#..... + 54400: 0033d305 4d657373 61676549 44000000 .3..MessageID... + 54410: 1d1b0223 00001208 00003436 054d6573 ...#......46.Mes + 54420: 73616765 49440000 001d1b02 23000543 sageID......#..C + 54430: 72656469 74436f75 6e740000 001d1b02 reditCount...... + 54440: 23020543 72656469 7453697a 65000000 #..CreditSize... + 54450: 1d1b0223 04054d61 78456e64 706f696e ...#..MaxEndpoin + 54460: 74730000 0016f302 2306055f 50616431 ts......#.._Pad1 + 54470: 00000016 f3022307 00120a00 0034cd05 ......#......4.. + 54480: 4d657373 61676549 44000000 1d1b0223 MessageID......# + 54490: 00055365 72766963 65494400 00001d1b ..ServiceID..... + 544a0: 02230205 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 544b0: 61677300 00001d1b 02230405 446f776e ags......#..Down + 544c0: 4c696e6b 50697065 49440000 0016f302 LinkPipeID...... + 544d0: 23060555 704c696e 6b506970 65494400 #..UpLinkPipeID. + 544e0: 000016f3 02230705 53657276 6963654d .....#..ServiceM + 544f0: 6574614c 656e6774 68000000 16f30223 etaLength......# + 54500: 08055f50 61643100 000016f3 02230900 .._Pad1......#.. + 54510: 120a0000 3555054d 65737361 67654944 ....5U.MessageID + 54520: 0000001d 1b022300 05536572 76696365 ......#..Service + 54530: 49440000 001d1b02 23020553 74617475 ID......#..Statu + 54540: 73000000 16f30223 0405456e 64706f69 s......#..Endpoi + 54550: 6e744944 00000016 f3022305 054d6178 ntID......#..Max + 54560: 4d736753 697a6500 00001d1b 02230605 MsgSize......#.. + 54570: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 54580: 68000000 16f30223 08055f50 61643100 h......#.._Pad1. + 54590: 000016f3 02230900 12020000 356e054d .....#......5n.M + 545a0: 65737361 67654944 0000001d 1b022300 essageID......#. + 545b0: 00120400 0035aa05 4d657373 61676549 .....5..MessageI + 545c0: 44000000 1d1b0223 00055069 70654944 D......#..PipeID + 545d0: 00000016 f3022302 05437265 64697443 ......#..CreditC + 545e0: 6f756e74 00000016 f3022303 00120400 ount......#..... + 545f0: 0035e105 4d657373 61676549 44000000 .5..MessageID... + 54600: 1d1b0223 00055069 70654944 00000016 ...#..PipeID.... + 54610: f3022302 05537461 74757300 000016f3 ..#..Status..... + 54620: 02230300 12020000 36080552 65636f72 .#......6..Recor + 54630: 64494400 000016f3 02230005 4c656e67 dID......#..Leng + 54640: 74680000 0016f302 23010012 02000036 th......#......6 + 54650: 3205456e 64706f69 6e744944 00000016 2.EndpointID.... + 54660: f3022300 05437265 64697473 00000016 ..#..Credits.... + 54670: f3022301 00120400 00367305 456e6470 ..#......6s.Endp + 54680: 6f696e74 49440000 0016f302 23000543 ointID......#..C + 54690: 72656469 74730000 0016f302 23010554 redits......#..T + 546a0: 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 546b0: 1d1b0223 02000f00 0016f304 00003680 ...#..........6. + 546c0: 10030012 06000036 bc055072 6556616c .......6..PreVal + 546d0: 69640000 0016f302 2300054c 6f6f6b41 id......#..LookA + 546e0: 68656164 00000036 73022301 05506f73 head...6s.#..Pos + 546f0: 7456616c 69640000 0016f302 23050003 tValid......#... + 54700: 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 54710: 047c0900 0036bc01 07000036 cf040006 .|...6.....6.... + 54720: 01070000 36dc0400 14040000 375a0e50 ....6.......7Z.P + 54730: 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 54740: 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 54750: 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 54760: 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 54770: 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID + 54780: 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P + 54790: 4f4f4c5f 49445f4d 4158000a 00034255 OOL_ID_MAX....BU + 547a0: 465f504f 4f4c5f49 44000000 36e50601 F_POOL_ID...6... + 547b0: 07000037 6b040009 000026b8 01070000 ...7k.....&..... + 547c0: 37740400 09000026 b8010700 00378104 7t.....&.....7.. + 547d0: 00060107 0000378e 04000462 75665f70 ......7....buf_p + 547e0: 6f6f6c5f 61706900 1c000038 30055f69 ool_api....80._i + 547f0: 6e697400 000036d5 02230005 5f736875 nit...6..#.._shu + 54800: 74646f77 6e000000 36de0223 04055f63 tdown...6..#.._c + 54810: 72656174 655f706f 6f6c0000 00376d02 reate_pool...7m. + 54820: 2308055f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 54830: 377a0223 0c055f61 6c6c6f63 5f627566 7z.#.._alloc_buf + 54840: 5f616c69 676e0000 00378702 2310055f _align...7..#.._ + 54850: 66726565 5f627566 00000037 90022314 free_buf...7..#. + 54860: 05705265 73657276 65640000 00047c02 .pReserved....|. + 54870: 23180004 5f485443 5f534552 56494345 #..._HTC_SERVICE + 54880: 001c0000 390f0570 4e657874 00000039 ....9..pNext...9 + 54890: 0f022300 0550726f 63657373 52656376 ..#..ProcessRecv + 548a0: 4d736700 000039c4 02230405 50726f63 Msg...9..#..Proc + 548b0: 65737353 656e6442 75666665 72436f6d essSendBufferCom + 548c0: 706c6574 65000000 39cd0223 08055072 plete...9..#..Pr + 548d0: 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 548e0: e102230c 05536572 76696365 49440000 ..#..ServiceID.. + 548f0: 00136102 23100553 65727669 6365466c ..a.#..ServiceFl + 54900: 61677300 00001361 02231205 4d617853 ags....a.#..MaxS + 54910: 76634d73 6753697a 65000000 13610223 vcMsgSize....a.# + 54920: 14055472 61696c65 72537063 43686563 ..TrailerSpcChec + 54930: 6b4c696d 69740000 00136102 23160553 kLimit....a.#..S + 54940: 65727669 63654374 78000000 047c0223 erviceCtx....|.# + 54950: 18000700 00383004 00140400 0039ad19 .....80......9.. + 54960: 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 54970: ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. + 54980: 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END + 54990: 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN + 549a0: 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. + 549b0: 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END + 549c0: 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN + 549d0: 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. + 549e0: 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 549f0: 03485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 54a00: 00000039 16060107 000039c2 04000601 ...9......9..... + 54a10: 07000039 cb040007 000001c9 04000900 ...9............ + 54a20: 00134d01 07000039 db040007 00003830 ..M....9......80 + 54a30: 0400045f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 54a40: 00003a60 05437265 64697453 697a6500 ..:`.CreditSize. + 54a50: 000001c9 02230005 43726564 69744e75 .....#..CreditNu + 54a60: 6d626572 00000001 c9022304 054f5348 mber......#..OSH + 54a70: 616e646c 65000000 1ab70223 08054849 andle......#..HI + 54a80: 4648616e 646c6500 00002920 02230c05 FHandle...) .#.. + 54a90: 506f6f6c 48616e64 6c650000 0036bc02 PoolHandle...6.. + 54aa0: 23100004 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 54ab0: 54455854 00020000 3a9c0565 6e645f70 TEXT....:..end_p + 54ac0: 6f696e74 00000013 4d022300 05687463 oint....M.#..htc + 54ad0: 5f666c61 67730000 00134d02 23010003 _flags....M.#... + 54ae0: 6874635f 68616e64 6c655f74 00000004 htc_handle_t.... + 54af0: 7c034854 435f5345 5455505f 434f4d50 |.HTC_SETUP_COMP + 54b00: 4c455445 5f434200 000001c2 03485443 LETE_CB......HTC + 54b10: 5f434f4e 46494700 000039ef 0700003a _CONFIG...9....: + 54b20: c9040009 00003a9c 01070000 3ae00400 ......:.....:... + 54b30: 06010700 003aed04 00034854 435f5345 .....:....HTC_SE + 54b40: 52564943 45000000 38300700 003af604 RVICE...80...:.. + 54b50: 00060107 00003b0e 04000601 0700003b ......;........; + 54b60: 17040006 01070000 3b200400 09000001 ........; ...... + 54b70: c9010700 003b2904 00046874 635f6170 .....;)...htc_ap + 54b80: 69730034 00003ca6 055f4854 435f496e is.4..<.._HTC_In + 54b90: 69740000 003ae602 2300055f 4854435f it...:..#.._HTC_ + 54ba0: 53687574 646f776e 0000003a ef022304 Shutdown...:..#. + 54bb0: 055f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 54bc0: 72766963 65000000 3b100223 08055f48 rvice...;..#.._H + 54bd0: 54435f52 65616479 0000003a ef02230c TC_Ready...:..#. + 54be0: 055f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 54bf0: 65727300 00003b19 02231005 5f485443 ers...;..#.._HTC + 54c00: 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 54c10: 73740000 003b2202 2314055f 4854435f st...;".#.._HTC_ + 54c20: 53656e64 4d736700 00003b19 02231805 SendMsg...;..#.. + 54c30: 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 54c40: 48656164 726f6f6d 0000003b 2f02231c Headroom...;/.#. + 54c50: 055f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 54c60: 646c6572 00000028 cd022320 055f4854 dler...(..# ._HT + 54c70: 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 54c80: 72000000 28c40223 24055f48 54435f43 r...(..#$._HTC_C + 54c90: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 54ca0: 4d736700 000039c4 02232805 5f485443 Msg...9..#(._HTC + 54cb0: 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 54cc0: 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 54cd0: 0039cd02 232c0570 52657365 72766564 .9..#,.pReserved + 54ce0: 00000004 7c022330 0004686f 73745f61 ....|.#0..host_a + 54cf0: 70705f61 7265615f 73000400 003cd605 pp_area_s....<.. + 54d00: 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 54d10: 00000016 8c022300 00120e00 003d0d05 ......#......=.. + 54d20: 6473744d 61630000 001cd802 23000573 dstMac......#..s + 54d30: 72634d61 63000000 1cd80223 06057479 rcMac......#..ty + 54d40: 70654f72 4c656e00 00001d1b 02230c00 peOrLen......#.. + 54d50: 0f000016 f3030000 3d1a1002 00120800 ........=....... + 54d60: 003d6a05 64736170 00000016 f3022300 .=j.dsap......#. + 54d70: 05737361 70000000 16f30223 0105636e .ssap......#..cn + 54d80: 746c0000 0016f302 2302056f 7267436f tl......#..orgCo + 54d90: 64650000 003d0d02 23030565 74686572 de...=..#..ether + 54da0: 54797065 0000001d 1b022306 00120200 Type......#..... + 54db0: 003d8b05 72737369 0000001c 71022300 .=..rssi....q.#. + 54dc0: 05696e66 6f000000 16f30223 01001204 .info......#.... + 54dd0: 00003db2 05636f6d 6d616e64 49640000 ..=..commandId.. + 54de0: 001d1b02 23000573 65714e6f 0000001d ....#..seqNo.... + 54df0: 1b022302 000f0000 16f30100 003dbf10 ..#..........=.. + 54e00: 00001202 00003de6 056d7367 53697a65 ......=..msgSize + 54e10: 00000016 f3022300 056d7367 44617461 ......#..msgData + 54e20: 0000003d b2022301 00120800 003e2d05 ...=..#......>-. + 54e30: 61646472 6573734c 0000001d 1b022300 addressL......#. + 54e40: 05616464 72657373 48000000 1d1b0223 .addressH......# + 54e50: 02057661 6c75654c 0000001d 1b022304 ..valueL......#. + 54e60: 0576616c 75654800 00001d1b 02230600 .valueH......#.. + 54e70: 03574d49 5f415654 0000003d e60f0000 .WMI_AVT...=.... + 54e80: 3e2d0800 003e4710 0000120c 00003e7e >-...>G.......>~ + 54e90: 05747570 6c654e75 6d4c0000 001d1b02 .tupleNumL...... + 54ea0: 23000574 75706c65 4e756d48 0000001d #..tupleNumH.... + 54eb0: 1b022302 05617674 0000003e 3a022304 ..#..avt...>:.#. + 54ec0: 00120100 003ea005 62656163 6f6e5065 .....>..beaconPe + 54ed0: 6e64696e 67436f75 6e740000 0016f302 ndingCount...... + 54ee0: 23000004 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON + 54ef0: 46494700 1000003f 09054874 6348616e FIG....?..HtcHan + 54f00: 646c6500 00003a9c 02230005 506f6f6c dle...:..#..Pool + 54f10: 48616e64 6c650000 0036bc02 2304054d Handle...6..#..M + 54f20: 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 54f30: 0001c902 2308054d 61784576 656e7445 ....#..MaxEventE + 54f40: 76747300 000001c9 02230c00 06010700 vts......#...... + 54f50: 003f0904 0003574d 495f434d 445f4841 .?....WMI_CMD_HA + 54f60: 4e444c45 52000000 3f0b045f 574d495f NDLER...?.._WMI_ + 54f70: 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 54f80: 00003f72 0570436d 6448616e 646c6572 ..?r.pCmdHandler + 54f90: 0000003f 12022300 05436d64 49440000 ...?..#..CmdID.. + 54fa0: 00136102 23040546 6c616773 00000013 ..a.#..Flags.... + 54fb0: 61022306 00045f57 4d495f44 49535041 a.#..._WMI_DISPA + 54fc0: 5443485f 5441424c 45001000 003fd305 TCH_TABLE....?.. + 54fd0: 704e6578 74000000 3fd30223 00057043 pNext...?..#..pC + 54fe0: 6f6e7465 78740000 00047c02 2304054e ontext....|.#..N + 54ff0: 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 55000: 0001c902 23080570 5461626c 65000000 ....#..pTable... + 55010: 3ff20223 0c000700 003f7204 0003574d ?..#.....?r...WM + 55020: 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 55030: 0000003f 27070000 3fda0400 0700003f ...?'...?......? + 55040: 72040003 4854435f 4255465f 434f4e54 r...HTC_BUF_CONT + 55050: 45585400 00003a60 0d574d49 5f455654 EXT...:`.WMI_EVT + 55060: 5f434c41 53530004 0000408a 19574d49 _CLASS....@..WMI + 55070: 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 55080: ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 55090: 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W + 550a0: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 550b0: 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT + 550c0: 5f434c41 53535f4d 41580002 0003574d _CLASS_MAX....WM + 550d0: 495f4556 545f434c 41535300 00004015 I_EVT_CLASS...@. + 550e0: 045f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 550f0: 54000c00 0040e805 48746342 75664374 T....@..HtcBufCt + 55100: 78000000 40000223 00054576 656e7443 x...@..#..EventC + 55110: 6c617373 00000040 8a022304 05466c61 lass...@..#..Fla + 55120: 67730000 00136102 23080003 776d695f gs....a.#...wmi_ + 55130: 68616e64 6c655f74 00000004 7c03574d handle_t....|.WM + 55140: 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> + 55150: a0070000 40fa0400 09000040 e8010700 ....@......@.... + 55160: 00411504 0003574d 495f4449 53504154 .A....WMI_DISPAT + 55170: 43485f54 41424c45 0000003f 72070000 CH_TABLE...?r... + 55180: 41220400 06010700 00414104 00090000 A".......AA..... + 55190: 26b80107 0000414a 04000601 07000041 &.....AJ.......A + 551a0: 57040009 000001c9 01070000 41600400 W...........A`.. + 551b0: 06010700 00416d04 00090000 134d0107 .....Am......M.. + 551c0: 00004176 0400045f 776d695f 7376635f ..Av..._wmi_svc_ + 551d0: 61706973 002c0000 42be055f 574d495f apis.,..B.._WMI_ + 551e0: 496e6974 00000041 1b022300 055f574d Init...A..#.._WM + 551f0: 495f5265 67697374 65724469 73706174 I_RegisterDispat + 55200: 63685461 626c6500 00004143 02230405 chTable...AC.#.. + 55210: 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 55220: 00004150 02230805 5f574d49 5f53656e ..AP.#.._WMI_Sen + 55230: 64457665 6e740000 00415902 230c055f dEvent...AY.#.._ + 55240: 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 55250: 656e7473 436f756e 74000000 41660223 entsCount...Af.# + 55260: 10055f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 55270: 65746548 616e646c 65720000 0039cd02 eteHandler...9.. + 55280: 2314055f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 55290: 6f6c4570 00000041 66022318 055f574d olEp...Af.#.._WM + 552a0: 495f5368 7574646f 776e0000 00416f02 I_Shutdown...Ao. + 552b0: 231c055f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 552c0: 61676548 616e646c 65720000 0039c402 ageHandler...9.. + 552d0: 2320055f 574d495f 53657276 69636543 # ._WMI_ServiceC + 552e0: 6f6e6e65 63740000 00417c02 23240570 onnect...A|.#$.p + 552f0: 52657365 72766564 00000004 7c022328 Reserved....|.#( + 55300: 00047a73 446d6144 65736300 14000043 ..zsDmaDesc....C + 55310: 40056374 726c0000 00011f02 23000573 @.ctrl......#..s + 55320: 74617475 73000000 011f0223 0205746f tatus......#..to + 55330: 74616c4c 656e0000 00011f02 23040564 talLen......#..d + 55340: 61746153 697a6500 0000011f 02230605 ataSize......#.. + 55350: 6c617374 41646472 00000043 40022308 lastAddr...C@.#. + 55360: 05646174 61416464 72000000 022d0223 .dataAddr....-.# + 55370: 0c056e65 78744164 64720000 00434002 ..nextAddr...C@. + 55380: 23100007 000042be 04000700 0042be04 #.....B......B.. + 55390: 00047a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 553a0: 43800568 65616400 00004347 02230005 C..head...CG.#.. + 553b0: 7465726d 696e6174 6f720000 00434702 terminator...CG. + 553c0: 23040004 7a735478 446d6151 75657565 #...zsTxDmaQueue + 553d0: 00100000 43e40568 65616400 00004347 ....C..head...CG + 553e0: 02230005 7465726d 696e6174 6f720000 .#..terminator.. + 553f0: 00434702 23040578 6d697465 645f6275 .CG.#..xmited_bu + 55400: 665f6865 61640000 0014ac02 23080578 f_head......#..x + 55410: 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 55420: 0014ac02 230c0006 01070000 43e40400 ....#.......C... + 55430: 07000043 4e040006 01070000 43f40400 ...CN.......C... + 55440: 07000043 80040006 01070000 44040400 ...C........D... + 55450: 06010700 00440d04 00060107 00004416 .....D........D. + 55460: 04000900 0014ac01 07000044 1f040006 ...........D.... + 55470: 01070000 442c0400 09000014 ac010700 ....D,.......... + 55480: 00443504 00060107 00004442 04000900 .D5.......DB.... + 55490: 0001c901 07000044 4b040009 00004347 .......DK.....CG + 554a0: 01070000 44580400 06010700 00446504 ....DX.......De. + 554b0: 0004646d 615f656e 67696e65 5f617069 ..dma_engine_api + 554c0: 00400000 45db055f 696e6974 00000043 .@..E.._init...C + 554d0: e6022300 055f696e 69745f72 785f7175 ..#.._init_rx_qu + 554e0: 65756500 000043f6 02230405 5f696e69 eue...C..#.._ini + 554f0: 745f7478 5f717565 75650000 00440602 t_tx_queue...D.. + 55500: 2308055f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 55510: 65756500 0000440f 02230c05 5f786d69 eue...D..#.._xmi + 55520: 745f6275 66000000 44180223 10055f66 t_buf...D..#.._f + 55530: 6c757368 5f786d69 74000000 43f60223 lush_xmit...C..# + 55540: 14055f72 6561705f 72656376 5f627566 .._reap_recv_buf + 55550: 00000044 25022318 055f7265 7475726e ...D%.#.._return + 55560: 5f726563 765f6275 66000000 442e0223 _recv_buf...D..# + 55570: 1c055f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 55580: 75660000 00443b02 2320055f 73776170 uf...D;.# ._swap + 55590: 5f646174 61000000 44440223 24055f68 _data...DD.#$._h + 555a0: 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 555b0: 00000044 51022328 055f6465 73635f64 ...DQ.#(._desc_d + 555c0: 756d7000 000043f6 02232c05 5f676574 ump...C..#,._get + 555d0: 5f706163 6b657400 0000445e 02233005 _packet...D^.#0. + 555e0: 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 555f0: 00004467 02233405 5f707574 5f706163 ..Dg.#4._put_pac + 55600: 6b657400 00004467 02233805 70526573 ket...Dg.#8.pRes + 55610: 65727665 64000000 047c0223 3c00035f erved....|.#<.._ + 55620: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 55630: 696f6e5f 7461626c 655f7400 0000311a ion_table_t...1. + 55640: 03574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 55650: 4183175f 415f6d61 67706965 5f696e64 A.._A_magpie_ind + 55660: 69726563 74696f6e 5f746162 6c650003 irection_table.. + 55670: 4c000047 0905636d 6e6f7300 000045db L..G..cmnos...E. + 55680: 02230005 64626700 00000449 0323b803 .#..dbg....I.#.. + 55690: 05686966 00000029 c30323c0 03056874 .hif...)..#...ht + 556a0: 63000000 3b360323 f8030577 6d695f73 c...;6.#...wmi_s + 556b0: 76635f61 70690000 0045fd03 23ac0405 vc_api...E..#... + 556c0: 75736266 69666f5f 61706900 000032ee usbfifo_api...2. + 556d0: 0323d804 05627566 5f706f6f 6c000000 .#...buf_pool... + 556e0: 37970323 e4040576 62756600 000014d6 7..#...vbuf..... + 556f0: 03238005 05766465 73630000 0013b803 .#...vdesc...... + 55700: 23940505 616c6c6f 6372616d 00000009 #...allocram.... + 55710: ae0323a8 0505646d 615f656e 67696e65 ..#...dma_engine + 55720: 00000044 6e0323b4 0505646d 615f6c69 ...Dn.#...dma_li + 55730: 62000000 2c570323 f4050568 69665f70 b...,W.#...hif_p + 55740: 63690000 002eb703 23a80600 035f415f ci......#...._A_ + 55750: 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 55760: 6f6e5f74 61626c65 5f740000 00460f06 on_table_t...F.. + 55770: 01070000 01120400 06011a01 146d5573 .............mUs + 55780: 6245504d 61700001 01039201 20029000 bEPMap...... ... + 55790: 008e48f8 008e4914 0000477d 1b011445 ..H...I...G}...E + 557a0: 506e0000 00011201 521b0114 4d415000 Pn......R...MAP. + 557b0: 00000112 01531c72 65670000 00011200 .....S.reg...... + 557c0: 1a01246d 55736246 49464f4d 61700001 ..$mUsbFIFOMap.. + 557d0: 01039201 20029000 008e4914 008e4932 .... .....I...I2 + 557e0: 000047c7 1b012446 49464f6e 00000001 ..G...$FIFOn.... + 557f0: 1201521b 01244d41 50000000 01120153 ..R..$MAP......S + 55800: 1c726567 00000001 12001a01 366d5573 .reg........6mUs + 55810: 62464946 4f436f6e 66696700 01010392 bFIFOConfig..... + 55820: 01200290 00008e49 34008e49 52000048 . .....I4..IR..H + 55830: 141b0136 4649464f 6e000000 01120152 ...6FIFOn......R + 55840: 1b013663 66670000 00011201 531c7265 ..6cfg......S.re + 55850: 67000000 0112001a 01456d55 73624550 g........EmUsbEP + 55860: 4d785074 537a4869 67680001 01039201 MxPtSzHigh...... + 55870: 20029000 008e4954 008e497b 0000486f .....IT..I{..Ho + 55880: 1b014545 506e0000 00011201 521b0145 ..EEPn......R..E + 55890: 64697200 00000112 01531b01 4573697a dir......S..Esiz + 558a0: 65000000 01350154 1c726567 00000001 e....5.T.reg.... + 558b0: 12001a01 526d5573 6245504d 78507453 ....RmUsbEPMxPtS + 558c0: 7a4c6f77 00010103 92012002 9000008e zLow...... ..... + 558d0: 497c008e 49a00000 48c91b01 5245506e I|..I...H...REPn + 558e0: 00000001 1201521b 01526469 72000000 ......R..Rdir... + 558f0: 01120153 1b015273 697a6500 00000135 ...S..Rsize....5 + 55900: 01541c72 65670000 00011200 1a015e6d .T.reg........^m + 55910: 55736245 50696e48 69676842 616e6453 UsbEPinHighBandS + 55920: 65740001 01039201 20029000 008e49a0 et...... .....I. + 55930: 008e49ea 00004931 1b015e45 506e0000 ..I...I1..^EPn.. + 55940: 00011201 521b015e 64697200 00000112 ....R..^dir..... + 55950: 01531b01 5e73697a 65000000 01350154 .S..^size....5.T + 55960: 1c726567 00000001 121c7265 67320000 .reg......reg2.. + 55970: 00011200 1a018f76 55736246 49464f5f .......vUsbFIFO_ + 55980: 45507843 66675f48 53000101 03920120 EPxCfg_HS...... + 55990: 02900000 8e49ec00 8e4b7c00 0049641c .....I...K|..Id. + 559a0: 69000000 01c9001d 01013476 55736246 i.........4vUsbF + 559b0: 49464f5f 45507843 66675f46 53000101 IFO_EPxCfg_FS... + 559c0: 03920120 02900000 8e4b7c00 8e4cab1c ... .....K|..L.. + 559d0: 69000000 01c90000 0000004d 26000200 i..........M&... + 559e0: 001f5c04 012f726f 6f742f57 6f726b73 ..\../root/Works + 559f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 55a00: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 55a10: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 55a20: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 55a30: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 55a40: 6f6d2f68 69662f64 6d615f6c 69622f2f om/hif/dma_lib// + 55a50: 646d615f 6c69622e 63002f72 6f6f742f dma_lib.c./root/ + 55a60: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 55a70: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 55a80: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 55a90: 2f646d61 5f6c6962 0078742d 78636320 /dma_lib.xt-xcc + 55aa0: 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + 55ab0: 6c69676e 5f696e73 74727563 74696f6e lign_instruction + 55ac0: 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + 55ad0: 543a7370 61636500 01000001 356e025f T:space.....5n._ + 55ae0: 56444553 43002400 00019103 6e657874 VDESC.$.....next + 55af0: 5f646573 63000000 01910223 00036275 _desc......#..bu + 55b00: 665f6164 64720000 0001b602 23040362 f_addr......#..b + 55b10: 75665f73 697a6500 000001d3 02230803 uf_size......#.. + 55b20: 64617461 5f6f6666 73657400 000001d3 data_offset..... + 55b30: 02230a03 64617461 5f73697a 65000000 .#..data_size... + 55b40: 01d30223 0c03636f 6e74726f 6c000000 ...#..control... + 55b50: 01d30223 0e036877 5f646573 635f6275 ...#..hw_desc_bu + 55b60: 66000000 01e10223 10000400 00010504 f......#........ + 55b70: 0005756e 7369676e 65642063 68617200 ..unsigned char. + 55b80: 07010641 5f55494e 54380000 00019804 ...A_UINT8...... + 55b90: 000001a9 04000573 686f7274 20756e73 .......short uns + 55ba0: 69676e65 6420696e 74000702 06415f55 igned int....A_U + 55bb0: 494e5431 36000000 01bd0700 0001a914 INT16........... + 55bc0: 000001ee 08130004 00000105 04000569 ...............i + 55bd0: 6e740005 04090104 000001fc 04000656 nt.............V + 55be0: 44455343 00000001 05040000 02050400 DESC............ + 55bf0: 0a000002 10010400 00021704 000a0000 ................ + 55c00: 01b60104 00000224 04000901 04000002 .......$........ + 55c10: 3104000b 04000276 64657363 5f617069 1......vdesc_api + 55c20: 00140000 02ac035f 696e6974 00000001 ......._init.... + 55c30: fe022300 035f616c 6c6f635f 76646573 ..#.._alloc_vdes + 55c40: 63000000 021d0223 04035f67 65745f68 c......#.._get_h + 55c50: 775f6465 73630000 00022a02 2308035f w_desc....*.#.._ + 55c60: 73776170 5f766465 73630000 00023302 swap_vdesc....3. + 55c70: 230c0370 52657365 72766564 00000002 #..pReserved.... + 55c80: 3a022310 00025f56 42554600 20000003 :.#..._VBUF. ... + 55c90: 0c036465 73635f6c 69737400 00000210 ..desc_list..... + 55ca0: 02230003 6e657874 5f627566 00000003 .#..next_buf.... + 55cb0: 0c022304 03627566 5f6c656e 67746800 ..#..buf_length. + 55cc0: 000001d3 02230803 72657365 72766564 .....#..reserved + 55cd0: 00000003 1302230a 03637478 00000001 ......#..ctx.... + 55ce0: e102230c 00040000 02ac0400 07000001 ..#............. + 55cf0: a9020000 03200801 00040000 02ac0400 ..... .......... + 55d00: 06564255 46000000 02ac0400 00032704 .VBUF.........'. + 55d10: 000a0000 03310104 00000338 04000a00 .....1.....8.... + 55d20: 00033101 04000003 45040009 01040000 ..1.....E....... + 55d30: 03520400 02766275 665f6170 69001400 .R...vbuf_api... + 55d40: 0003d003 5f696e69 74000000 01fe0223 ...._init......# + 55d50: 00035f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... + 55d60: 033e0223 04035f61 6c6c6f63 5f766275 .>.#.._alloc_vbu + 55d70: 665f7769 74685f73 697a6500 0000034b f_with_size....K + 55d80: 02230803 5f667265 655f7662 75660000 .#.._free_vbuf.. + 55d90: 00035402 230c0370 52657365 72766564 ..T.#..pReserved + 55da0: 00000002 3a022310 00027a73 446d6144 ....:.#...zsDmaD + 55db0: 65736300 14000004 52036374 726c0000 esc.....R.ctrl.. + 55dc0: 0001bd02 23000373 74617475 73000000 ....#..status... + 55dd0: 01bd0223 0203746f 74616c4c 656e0000 ...#..totalLen.. + 55de0: 0001bd02 23040364 61746153 697a6500 ....#..dataSize. + 55df0: 000001bd 02230603 6c617374 41646472 .....#..lastAddr + 55e00: 00000004 52022308 03646174 61416464 ....R.#..dataAdd + 55e10: 72000000 04590223 0c036e65 78744164 r....Y.#..nextAd + 55e20: 64720000 00045202 23100004 000003d0 dr....R.#....... + 55e30: 0400056c 6f6e6720 756e7369 676e6564 ...long unsigned + 55e40: 20696e74 00070404 000003d0 0400027a int...........z + 55e50: 73446d61 51756575 65000800 0004a703 sDmaQueue....... + 55e60: 68656164 00000004 6e022300 03746572 head....n.#..ter + 55e70: 6d696e61 746f7200 0000046e 02230400 minator....n.#.. + 55e80: 027a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 55e90: 00050b03 68656164 00000004 6e022300 ....head....n.#. + 55ea0: 03746572 6d696e61 746f7200 0000046e .terminator....n + 55eb0: 02230403 786d6974 65645f62 75665f68 .#..xmited_buf_h + 55ec0: 65616400 00000331 02230803 786d6974 ead....1.#..xmit + 55ed0: 65645f62 75665f74 61696c00 00000331 ed_buf_tail....1 + 55ee0: 02230c00 09010400 00050b04 00040000 .#.............. + 55ef0: 04750400 09010400 00051b04 00040000 .u.............. + 55f00: 04a70400 09010400 00052b04 00090104 ..........+..... + 55f10: 00000534 04000901 04000005 3d04000a ...4........=... + 55f20: 00000331 01040000 05460400 09010400 ...1.....F...... + 55f30: 00055304 000a0000 03310104 0000055c ..S......1.....\ + 55f40: 04000901 04000005 6904000a 000001f5 ........i....... + 55f50: 01040000 05720400 0a000004 6e010400 .....r......n... + 55f60: 00057f04 00090104 0000058c 04000264 ...............d + 55f70: 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 55f80: 00070203 5f696e69 74000000 050d0223 ...._init......# + 55f90: 00035f69 6e69745f 72785f71 75657565 .._init_rx_queue + 55fa0: 00000005 1d022304 035f696e 69745f74 ......#.._init_t + 55fb0: 785f7175 65756500 0000052d 02230803 x_queue....-.#.. + 55fc0: 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 55fd0: 00000005 3602230c 035f786d 69745f62 ....6.#.._xmit_b + 55fe0: 75660000 00053f02 2310035f 666c7573 uf....?.#.._flus + 55ff0: 685f786d 69740000 00051d02 2314035f h_xmit......#.._ + 56000: 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 56010: 054c0223 18035f72 65747572 6e5f7265 .L.#.._return_re + 56020: 63765f62 75660000 00055502 231c035f cv_buf....U.#.._ + 56030: 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 56040: 00000562 02232003 5f737761 705f6461 ...b.# ._swap_da + 56050: 74610000 00056b02 2324035f 6861735f ta....k.#$._has_ + 56060: 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 56070: 05780223 28035f64 6573635f 64756d70 .x.#(._desc_dump + 56080: 00000005 1d02232c 035f6765 745f7061 ......#,._get_pa + 56090: 636b6574 00000005 85022330 035f7265 cket......#0._re + 560a0: 636c6169 6d5f7061 636b6574 00000005 claim_packet.... + 560b0: 8e022334 035f7075 745f7061 636b6574 ..#4._put_packet + 560c0: 00000005 8e022338 03705265 73657276 ......#8.pReserv + 560d0: 65640000 00023a02 233c0009 01040000 ed....:.#<...... + 560e0: 07020400 05636861 72000701 0c000007 .....char....... + 560f0: 0b0c0000 070b0400 00071804 000a0000 ................ + 56100: 01f50104 00000724 04000270 72696e74 .......$...print + 56110: 665f6170 69000800 00076803 5f707269 f_api.....h._pri + 56120: 6e74665f 696e6974 00000007 04022300 ntf_init......#. + 56130: 035f7072 696e7466 00000007 2a022304 ._printf....*.#. + 56140: 00067569 6e743136 5f740000 0001bd06 ..uint16_t...... + 56150: 75696e74 33325f74 00000004 59027561 uint32_t....Y.ua + 56160: 72745f66 69666f00 08000007 cf037374 rt_fifo.......st + 56170: 6172745f 696e6465 78000000 07680223 art_index....h.# + 56180: 0003656e 645f696e 64657800 00000768 ..end_index....h + 56190: 02230203 6f766572 72756e5f 65727200 .#..overrun_err. + 561a0: 00000776 02230400 02756172 745f6170 ...v.#...uart_ap + 561b0: 69002000 00088803 5f756172 745f696e i. ....._uart_in + 561c0: 69740000 0008df02 2300035f 75617274 it......#.._uart + 561d0: 5f636861 725f7075 74000000 08f50223 _char_put......# + 561e0: 04035f75 6172745f 63686172 5f676574 .._uart_char_get + 561f0: 00000009 09022308 035f7561 72745f73 ......#.._uart_s + 56200: 74725f6f 75740000 00091202 230c035f tr_out......#.._ + 56210: 75617274 5f746173 6b000000 07040223 uart_task......# + 56220: 10035f75 6172745f 73746174 75730000 .._uart_status.. + 56230: 0008df02 2314035f 75617274 5f636f6e ....#.._uart_con + 56240: 66696700 0000091b 02231803 5f756172 fig......#.._uar + 56250: 745f6877 696e6974 00000009 2402231c t_hwinit....$.#. + 56260: 00040000 07cf0400 02756172 745f626c .........uart_bl + 56270: 6b001000 0008d903 64656275 675f6d6f k.......debug_mo + 56280: 64650000 00076802 23000362 61756400 de....h.#..baud. + 56290: 00000768 02230203 5f756172 74000000 ...h.#.._uart... + 562a0: 08880223 04035f74 78000000 07840223 ...#.._tx......# + 562b0: 08000a00 00077601 04000008 d9040006 ......v......... + 562c0: 75696e74 385f7400 00000198 09010400 uint8_t......... + 562d0: 0008f304 00040000 08e60400 0a000007 ................ + 562e0: 68010400 00090304 00090104 00000910 h............... + 562f0: 04000901 04000009 19040009 01040000 ................ + 56300: 09220400 04000007 0b04000a 000001f5 .".............. + 56310: 01040000 09320400 0244425f 434f4d4d .....2...DB_COMM + 56320: 414e445f 53545255 4354000c 0000098a AND_STRUCT...... + 56330: 03636d64 5f737472 00000009 2b022300 .cmd_str....+.#. + 56340: 0368656c 705f7374 72000000 092b0223 .help_str....+.# + 56350: 0403636d 645f6675 6e630000 00093802 ..cmd_func....8. + 56360: 23080002 6462675f 61706900 08000009 #...dbg_api..... + 56370: bd035f64 62675f69 6e697400 00000704 .._dbg_init..... + 56380: 02230003 5f646267 5f746173 6b000000 .#.._dbg_task... + 56390: 07040223 04000575 6e736967 6e656420 ...#...unsigned + 563a0: 696e7400 07040a00 00023a01 04000009 int.......:..... + 563b0: cd04000d 0d040000 09db0400 0a000002 ................ + 563c0: 3a010400 0009e304 000a0000 01f50104 :............... + 563d0: 000009f0 0400026d 656d5f61 70690014 .......mem_api.. + 563e0: 00000a5f 035f6d65 6d5f696e 69740000 ..._._mem_init.. + 563f0: 00070402 2300035f 6d656d73 65740000 ....#.._memset.. + 56400: 0009d302 2304035f 6d656d63 70790000 ....#.._memcpy.. + 56410: 0009e902 2308035f 6d656d6d 6f766500 ....#.._memmove. + 56420: 000009e9 02230c03 5f6d656d 636d7000 .....#.._memcmp. + 56430: 000009f6 02231000 0e726567 69737465 .....#...registe + 56440: 725f6475 6d705f73 00000104 00000a5f r_dump_s......._ + 56450: 04000901 0400000a 79040009 01040000 ........y....... + 56460: 0a820400 0a000001 f5010400 000a8b04 ................ + 56470: 000f686f 73746966 5f730004 00000ae7 ..hostif_s...... + 56480: 10484946 5f555342 00001048 49465f50 .HIF_USB...HIF_P + 56490: 43494500 01104849 465f474d 41430002 CIE...HIF_GMAC.. + 564a0: 10484946 5f504349 00031048 49465f4e .HIF_PCI...HIF_N + 564b0: 554d0004 10484946 5f4e4f4e 45000500 UM...HIF_NONE... + 564c0: 06415f48 4f535449 46000000 0a980a00 .A_HOSTIF....... + 564d0: 000ae701 0400000a f504000a 000008e6 ................ + 564e0: 01040000 0b020400 0a000007 68010400 ............h... + 564f0: 000b0f04 00026d69 73635f61 70690024 ......misc_api.$ + 56500: 00000bff 035f7379 7374656d 5f726573 ....._system_res + 56510: 65740000 00070402 2300035f 6d61635f et......#.._mac_ + 56520: 72657365 74000000 07040223 04035f61 reset......#.._a + 56530: 73736661 696c0000 000a7b02 2308035f ssfail....{.#.._ + 56540: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 56550: 68616e64 6c657200 00000a7b 02230c03 handler....{.#.. + 56560: 5f726570 6f72745f 6661696c 7572655f _report_failure_ + 56570: 746f5f68 6f737400 00000a84 02231003 to_host......#.. + 56580: 5f746172 6765745f 69645f67 65740000 _target_id_get.. + 56590: 000a9102 2314035f 69735f68 6f73745f ....#.._is_host_ + 565a0: 70726573 656e7400 00000afb 02231803 present......#.. + 565b0: 5f6b6268 69740000 000b0802 231c035f _kbhit......#.._ + 565c0: 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. + 565d0: 00000b15 02232000 0a000009 2b010400 .....# .....+... + 565e0: 000bff04 000a0000 092b0104 00000c0c .........+...... + 565f0: 04000a00 0001f501 0400000c 1904000a ................ + 56600: 000001f5 01040000 0c260400 0a000001 .........&...... + 56610: f5010400 000c3304 00027374 72696e67 ......3...string + 56620: 5f617069 00180000 0cb9035f 73747269 _api......._stri + 56630: 6e675f69 6e697400 00000704 02230003 ng_init......#.. + 56640: 5f737472 63707900 00000c05 02230403 _strcpy......#.. + 56650: 5f737472 6e637079 0000000c 12022308 _strncpy......#. + 56660: 035f7374 726c656e 0000000c 1f02230c ._strlen......#. + 56670: 035f7374 72636d70 0000000c 2c022310 ._strcmp....,.#. + 56680: 035f7374 726e636d 70000000 0c390223 ._strncmp....9.# + 56690: 14000700 0009bd14 00000cc6 08040006 ................ + 566a0: 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. + 566b0: 000cb906 415f7469 6d65725f 74000000 ....A_timer_t... + 566c0: 0cc60400 000cda04 00090104 00000cf0 ................ + 566d0: 04000901 0400000c f9040006 415f4841 ............A_HA + 566e0: 4e444c45 00000009 bd090106 415f5449 NDLE........A_TI + 566f0: 4d45525f 46554e43 0000000d 10040000 MER_FUNC........ + 56700: 0d120400 09010400 000d2b04 00027469 ..........+...ti + 56710: 6d65725f 61706900 1400000d aa035f74 mer_api......._t + 56720: 696d6572 5f696e69 74000000 07040223 imer_init......# + 56730: 00035f74 696d6572 5f61726d 0000000c .._timer_arm.... + 56740: f2022304 035f7469 6d65725f 64697361 ..#.._timer_disa + 56750: 726d0000 000cfb02 2308035f 74696d65 rm......#.._time + 56760: 725f7365 74666e00 00000d2d 02230c03 r_setfn....-.#.. + 56770: 5f74696d 65725f72 756e0000 00070402 _timer_run...... + 56780: 23100006 424f4f4c 45414e00 00000768 #...BOOLEAN....h + 56790: 0a00000d aa010400 000db704 000a0000 ................ + 567a0: 0daa0104 00000dc4 04000a00 000daa01 ................ + 567b0: 0400000d d1040002 726f6d70 5f617069 ........romp_api + 567c0: 00100000 0e43035f 726f6d70 5f696e69 .....C._romp_ini + 567d0: 74000000 07040223 00035f72 6f6d705f t......#.._romp_ + 567e0: 646f776e 6c6f6164 0000000d bd022304 download......#. + 567f0: 035f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. + 56800: 000dca02 2308035f 726f6d70 5f646563 ....#.._romp_dec + 56810: 6f646500 00000dd7 02230c00 02726f6d ode......#...rom + 56820: 5f706174 63685f73 74001000 000e9f03 _patch_st....... + 56830: 63726331 36000000 07680223 00036c65 crc16....h.#..le + 56840: 6e000000 07680223 02036c64 5f616464 n....h.#..ld_add + 56850: 72000000 07760223 04036675 6e5f6164 r....v.#..fun_ad + 56860: 64720000 00077602 23080370 66756e00 dr....v.#..pfun. + 56870: 000008fc 02230c00 02656570 5f726564 .....#...eep_red + 56880: 69725f61 64647200 0400000e d1036f66 ir_addr.......of + 56890: 66736574 00000007 68022300 0373697a fset....h.#..siz + 568a0: 65000000 07680223 02000641 5f55494e e....h.#...A_UIN + 568b0: 54333200 000009bd 0a000002 3a010400 T32.........:... + 568c0: 000edf04 0002616c 6c6f6372 616d5f61 ......allocram_a + 568d0: 7069000c 00000f50 03636d6e 6f735f61 pi.....P.cmnos_a + 568e0: 6c6c6f63 72616d5f 696e6974 0000000e llocram_init.... + 568f0: e5022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc + 56900: 72616d00 00000ee5 02230403 636d6e6f ram......#..cmno + 56910: 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 56920: 00000007 04022308 00090104 00000f50 ......#........P + 56930: 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 56940: 43000000 0f52025f 7461736b 6c657400 C....R._tasklet. + 56950: 1000000f b1036675 6e630000 000f5902 ......func....Y. + 56960: 23000361 72670000 00023a02 23040373 #..arg....:.#..s + 56970: 74617465 00000001 f5022308 036e6578 tate......#..nex + 56980: 74000000 0fb10223 0c000400 000f6d04 t......#......m. + 56990: 00040000 0f6d0400 06415f74 61736b6c .....m...A_taskl + 569a0: 65745f74 0000000f 6d040000 0fbf0400 et_t....m....... + 569b0: 09010400 000fd704 00090104 00000fe0 ................ + 569c0: 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. + 569d0: 00001075 035f7461 736b6c65 745f696e ...u._tasklet_in + 569e0: 69740000 00070402 2300035f 7461736b it......#.._task + 569f0: 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 56a00: 0fd90223 04035f74 61736b6c 65745f64 ...#.._tasklet_d + 56a10: 69736162 6c650000 000fe202 2308035f isable......#.._ + 56a20: 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 56a30: 0000000f e202230c 035f7461 736b6c65 ......#.._taskle + 56a40: 745f7275 6e000000 07040223 10000901 t_run......#.... + 56a50: 04000010 7504000a 00000ed1 01040000 ....u........... + 56a60: 107e0400 02636c6f 636b5f61 70690024 .~...clock_api.$ + 56a70: 00001164 035f636c 6f636b5f 696e6974 ...d._clock_init + 56a80: 00000010 77022300 035f636c 6f636b72 ....w.#.._clockr + 56a90: 6567735f 696e6974 00000007 04022304 egs_init......#. + 56aa0: 035f7561 72745f66 72657175 656e6379 ._uart_frequency + 56ab0: 00000010 84022308 035f6465 6c61795f ......#.._delay_ + 56ac0: 75730000 0001fe02 230c035f 776c616e us......#.._wlan + 56ad0: 5f62616e 645f7365 74000000 01fe0223 _band_set......# + 56ae0: 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 56af0: 67657400 00001084 02231403 5f6d696c get......#.._mil + 56b00: 6c697365 636f6e64 73000000 10840223 liseconds......# + 56b10: 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 56b20: 00000007 0402231c 035f636c 6f636b5f ......#.._clock_ + 56b30: 7469636b 00000007 04022320 000a0000 tick......# .... + 56b40: 07760104 00001164 04000641 5f6f6c64 .v.....d...A_old + 56b50: 5f696e74 725f7400 00000776 0a000011 _intr_t....v.... + 56b60: 71010400 00118304 00090104 00001190 q............... + 56b70: 04000901 04000011 9904000a 00000776 ...............v + 56b80: 01040000 11a20400 06415f69 73725f74 .........A_isr_t + 56b90: 00000011 a8090104 000011bc 04000a00 ................ + 56ba0: 0009bd01 04000011 c5040009 01040000 ................ + 56bb0: 11d20400 02696e74 725f6170 69002c00 .....intr_api.,. + 56bc0: 0012f403 5f696e74 725f696e 69740000 ...._intr_init.. + 56bd0: 00070402 2300035f 696e7472 5f696e76 ....#.._intr_inv + 56be0: 6f6b655f 69737200 0000116a 02230403 oke_isr....j.#.. + 56bf0: 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 56c00: 11890223 08035f69 6e74725f 72657374 ...#.._intr_rest + 56c10: 6f726500 00001192 02230c03 5f696e74 ore......#.._int + 56c20: 725f6d61 736b5f69 6e756d00 0000119b r_mask_inum..... + 56c30: 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask + 56c40: 5f696e75 6d000000 119b0223 14035f69 _inum......#.._i + 56c50: 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 56c60: 0011be02 2318035f 6765745f 696e7472 ....#.._get_intr + 56c70: 656e6162 6c650000 0011cb02 231c035f enable......#.._ + 56c80: 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 56c90: 0011d402 2320035f 6765745f 696e7472 ....# ._get_intr + 56ca0: 70656e64 696e6700 000011cb 02232403 pending......#$. + 56cb0: 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 56cc0: 726c766c 00000007 04022328 00110400 rlvl......#(.... + 56cd0: 00131a03 74696d65 6f757400 00000776 ....timeout....v + 56ce0: 02230003 61637469 6f6e0000 00077602 .#..action....v. + 56cf0: 23000012 08000013 3503636d 64000000 #.......5.cmd... + 56d00: 07760223 00130000 12f40223 04000654 .v.#.......#...T + 56d10: 5f574454 5f434d44 00000013 1a090104 _WDT_CMD........ + 56d20: 00001344 04001404 0000139a 10454e55 ...D.........ENU + 56d30: 4d5f5744 545f424f 4f540001 10454e55 M_WDT_BOOT...ENU + 56d40: 4d5f434f 4c445f42 4f4f5400 0210454e M_COLD_BOOT...EN + 56d50: 554d5f53 5553505f 424f4f54 00031045 UM_SUSP_BOOT...E + 56d60: 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 56d70: 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 56d80: 0000134d 0a000013 9a010400 0013ab04 ...M............ + 56d90: 00027764 745f6170 69001c00 00144f03 ..wdt_api.....O. + 56da0: 5f776474 5f696e69 74000000 07040223 _wdt_init......# + 56db0: 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... + 56dc0: 07040223 04035f77 64745f64 69736162 ...#.._wdt_disab + 56dd0: 6c650000 00070402 2308035f 7764745f le......#.._wdt_ + 56de0: 73657400 00001346 02230c03 5f776474 set....F.#.._wdt + 56df0: 5f746173 6b000000 07040223 10035f77 _task......#.._w + 56e00: 64745f72 65736574 00000007 04022314 dt_reset......#. + 56e10: 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 56e20: 000013b1 02231800 14040000 14b61052 .....#.........R + 56e30: 45545f53 55434345 53530000 10524554 ET_SUCCESS...RET + 56e40: 5f4e4f54 5f494e49 54000110 5245545f _NOT_INIT...RET_ + 56e50: 4e4f545f 45584953 54000210 5245545f NOT_EXIST...RET_ + 56e60: 4545505f 434f5252 55505400 03105245 EEP_CORRUPT...RE + 56e70: 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 56e80: 10524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... + 56e90: 545f4545 505f5245 54000000 144f0400 T_EEP_RET....O.. + 56ea0: 00076804 000a0000 14b60104 000014cc ..h............. + 56eb0: 04000a00 0014b601 04000014 d9040002 ................ + 56ec0: 6565705f 61706900 10000015 42035f65 eep_api.....B._e + 56ed0: 65705f69 6e697400 00000704 02230003 ep_init......#.. + 56ee0: 5f656570 5f726561 64000000 14d20223 _eep_read......# + 56ef0: 04035f65 65705f77 72697465 00000014 .._eep_write.... + 56f00: d2022308 035f6565 705f6973 5f657869 ..#.._eep_is_exi + 56f10: 73740000 0014df02 230c0002 7573625f st......#...usb_ + 56f20: 61706900 70000017 ef035f75 73625f69 api.p....._usb_i + 56f30: 6e697400 00000704 02230003 5f757362 nit......#.._usb + 56f40: 5f726f6d 5f746173 6b000000 07040223 _rom_task......# + 56f50: 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 56f60: 00070402 2308035f 7573625f 696e6974 ....#.._usb_init + 56f70: 5f706879 00000007 0402230c 035f7573 _phy......#.._us + 56f80: 625f6570 305f7365 74757000 00000704 b_ep0_setup..... + 56f90: 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 56fa0: 00000704 02231403 5f757362 5f657030 .....#.._usb_ep0 + 56fb0: 5f727800 00000704 02231803 5f757362 _rx......#.._usb + 56fc0: 5f676574 5f696e74 65726661 63650000 _get_interface.. + 56fd0: 000dca02 231c035f 7573625f 7365745f ....#.._usb_set_ + 56fe0: 696e7465 72666163 65000000 0dca0223 interface......# + 56ff0: 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 57000: 67757261 74696f6e 0000000d ca022324 guration......#$ + 57010: 035f7573 625f7365 745f636f 6e666967 ._usb_set_config + 57020: 75726174 696f6e00 00000dca 02232803 uration......#(. + 57030: 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 57040: 64000000 0dca0223 2c035f75 73625f76 d......#,._usb_v + 57050: 656e646f 725f636d 64000000 07040223 endor_cmd......# + 57060: 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 57070: 00000007 04022334 035f7573 625f7265 ......#4._usb_re + 57080: 7365745f 6669666f 00000007 04022338 set_fifo......#8 + 57090: 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 570a0: 07040223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ + 570b0: 626f6f74 00000007 04022340 035f7573 boot......#@._us + 570c0: 625f636c 725f6665 61747572 65000000 b_clr_feature... + 570d0: 0dca0223 44035f75 73625f73 65745f66 ...#D._usb_set_f + 570e0: 65617475 72650000 000dca02 2348035f eature......#H._ + 570f0: 7573625f 7365745f 61646472 65737300 usb_set_address. + 57100: 00000dca 02234c03 5f757362 5f676574 .....#L._usb_get + 57110: 5f646573 63726970 746f7200 00000dca _descriptor..... + 57120: 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta + 57130: 74757300 00000dca 02235403 5f757362 tus......#T._usb + 57140: 5f736574 75705f64 65736300 00000704 _setup_desc..... + 57150: 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 57160: 00000007 0402235c 035f7573 625f7374 ......#\._usb_st + 57170: 61747573 5f696e00 00000704 02236003 atus_in......#`. + 57180: 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 57190: 00000007 04022364 035f7573 625f6570 ......#d._usb_ep + 571a0: 305f7278 5f646174 61000000 07040223 0_rx_data......# + 571b0: 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 571c0: 00000704 02236c00 025f5f61 64665f64 .....#l..__adf_d + 571d0: 65766963 65000400 00181103 64756d6d evice.......dumm + 571e0: 79000000 01f50223 00000400 000ed104 y......#........ + 571f0: 00025f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 57200: 0c000018 58036275 66000000 03310223 ....X.buf....1.# + 57210: 00036473 5f616464 72000000 18110223 ..ds_addr......# + 57220: 04036473 5f6c656e 00000001 d3022308 ..ds_len......#. + 57230: 00120c00 00189203 5f5f7661 5f73746b ........__va_stk + 57240: 00000009 2b022300 035f5f76 615f7265 ....+.#..__va_re + 57250: 67000000 092b0223 04035f5f 76615f6e g....+.#..__va_n + 57260: 64780000 0001f502 23080006 5f5f6164 dx......#...__ad + 57270: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 57280: 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ + 57290: 61646472 5f740000 00189206 5f5f6164 addr_t......__ad + 572a0: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 572b0: 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ + 572c0: 73697a65 5f740000 0018c202 5f5f646d size_t......__dm + 572d0: 615f7365 67730008 0000191e 03706164 a_segs.......pad + 572e0: 64720000 0018ab02 2300036c 656e0000 dr......#..len.. + 572f0: 0018db02 23040006 5f5f615f 75696e74 ....#...__a_uint + 57300: 33325f74 0000000e d106615f 75696e74 32_t......a_uint + 57310: 33325f74 00000019 1e070000 18f20800 32_t............ + 57320: 00194d08 00000261 64665f6f 735f646d ..M....adf_os_dm + 57330: 616d6170 5f696e66 6f000c00 00198603 amap_info....... + 57340: 6e736567 73000000 19300223 0003646d nsegs....0.#..dm + 57350: 615f7365 67730000 00194002 23040006 a_segs....@.#... + 57360: 5f5f615f 75696e74 385f7400 000001a9 __a_uint8_t..... + 57370: 06615f75 696e7438 5f740000 00198604 .a_uint8_t...... + 57380: 00001997 0400025f 5f73675f 73656773 .......__sg_segs + 57390: 00080000 19d80376 61646472 00000019 .......vaddr.... + 573a0: a6022300 036c656e 00000019 30022304 ..#..len....0.#. + 573b0: 00070000 19ad2000 0019e508 03000261 ...... ........a + 573c0: 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 573d0: 1a18036e 73656773 00000019 30022300 ...nsegs....0.#. + 573e0: 0373675f 73656773 00000019 d8022304 .sg_segs......#. + 573f0: 00121000 001a6103 76656e64 6f720000 ......a.vendor.. + 57400: 00193002 23000364 65766963 65000000 ..0.#..device... + 57410: 19300223 04037375 6276656e 646f7200 .0.#..subvendor. + 57420: 00001930 02230803 73756264 65766963 ...0.#..subdevic + 57430: 65000000 19300223 0c00056c 6f6e6720 e....0.#...long + 57440: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 57450: 74000708 06415f55 494e5436 34000000 t....A_UINT64... + 57460: 1a61065f 5f615f75 696e7436 345f7400 .a.__a_uint64_t. + 57470: 00001a7b 06615f75 696e7436 345f7400 ...{.a_uint64_t. + 57480: 00001a89 14040000 1ae71041 44465f4f ...........ADF_O + 57490: 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 574a0: 4d454d00 00104144 465f4f53 5f524553 MEM...ADF_OS_RES + 574b0: 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... + 574c0: 06616466 5f6f735f 7265736f 75726365 .adf_os_resource + 574d0: 5f747970 655f7400 00001aab 12180000 _type_t......... + 574e0: 1b310373 74617274 0000001a 9b022300 .1.start......#. + 574f0: 03656e64 0000001a 9b022308 03747970 .end......#..typ + 57500: 65000000 1ae70223 10000661 64665f6f e......#...adf_o + 57510: 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. + 57520: 001a1804 00001b31 04001104 00001b70 .......1.......p + 57530: 03706369 0000001b 4a022300 03726177 .pci....J.#..raw + 57540: 00000002 3a022300 00111000 001b8f03 ....:.#......... + 57550: 70636900 00001b31 02230003 72617700 pci....1.#..raw. + 57560: 0000023a 02230000 06616466 5f647276 ...:.#...adf_drv + 57570: 5f68616e 646c655f 74000000 023a0661 _handle_t....:.a + 57580: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 57590: 0000001b 03040000 1ba50400 06616466 .............adf + 575a0: 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ + 575b0: 74000000 1b700400 001bc304 00040000 t....p.......... + 575c0: 17ef0400 065f5f61 64665f6f 735f6465 .....__adf_os_de + 575d0: 76696365 5f740000 001be406 6164665f vice_t......adf_ + 575e0: 6f735f64 65766963 655f7400 00001beb os_device_t..... + 575f0: 0a00001b 8f010400 001c1704 00090104 ................ + 57600: 00001c24 04000661 64665f6f 735f706d ...$...adf_os_pm + 57610: 5f740000 00023a09 01040000 1c3e0400 _t....:......>.. + 57620: 14040000 1c7e1041 44465f4f 535f4255 .....~.ADF_OS_BU + 57630: 535f5459 50455f50 43490001 10414446 S_TYPE_PCI...ADF + 57640: 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN + 57650: 45524943 00020006 6164665f 6f735f62 ERIC....adf_os_b + 57660: 75735f74 7970655f 74000000 1c470661 us_type_t....G.a + 57670: 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da + 57680: 74615f74 0000001b 51040000 01980400 ta_t....Q....... + 57690: 025f6164 665f6472 765f696e 666f0020 ._adf_drv_info. + 576a0: 00001d5b 03647276 5f617474 61636800 ...[.drv_attach. + 576b0: 00001c1d 02230003 6472765f 64657461 .....#..drv_deta + 576c0: 63680000 001c2602 23040364 72765f73 ch....&.#..drv_s + 576d0: 75737065 6e640000 001c4002 23080364 uspend....@.#..d + 576e0: 72765f72 6573756d 65000000 1c260223 rv_resume....&.# + 576f0: 0c036275 735f7479 70650000 001c7e02 ..bus_type....~. + 57700: 23100362 75735f64 61746100 00001c95 #..bus_data..... + 57710: 02231403 6d6f645f 6e616d65 0000001c .#..mod_name.... + 57720: b0022318 0369666e 616d6500 00001cb0 ..#..ifname..... + 57730: 02231c00 06616466 5f6f735f 68616e64 .#...adf_os_hand + 57740: 6c655f74 00000002 3a040000 19860400 le_t....:....... + 57750: 09010901 065f5f61 64665f6f 735f7369 .....__adf_os_si + 57760: 7a655f74 00000009 bd140400 001daa10 ze_t............ + 57770: 415f4641 4c534500 0010415f 54525545 A_FALSE...A_TRUE + 57780: 00010006 615f626f 6f6c5f74 0000001d ....a_bool_t.... + 57790: 90040000 18180400 065f5f61 64665f6f .........__adf_o + 577a0: 735f646d 615f6d61 705f7400 00001db8 s_dma_map_t..... + 577b0: 09010f61 64665f6f 735f6361 6368655f ...adf_os_cache_ + 577c0: 73796e63 00040000 1e421041 44465f53 sync.....B.ADF_S + 577d0: 594e435f 50524552 45414400 00104144 YNC_PREREAD...AD + 577e0: 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. + 577f0: 02104144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR + 57800: 45414400 01104144 465f5359 4e435f50 EAD...ADF_SYNC_P + 57810: 4f535457 52495445 00030006 6164665f OSTWRITE....adf_ + 57820: 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. + 57830: 00001dd9 09010661 64665f6f 735f7369 .......adf_os_si + 57840: 7a655f74 0000001d 7b0a0000 1e5d0106 ze_t....{....].. + 57850: 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 57860: 0000001d bf040000 1e760400 0a000002 .........v...... + 57870: 3a010400 001dbf04 000a0000 023a0109 :............:.. + 57880: 010a0000 18ab0109 01057368 6f727420 ..........short + 57890: 696e7400 05020641 5f494e54 31360000 int....A_INT16.. + 578a0: 001eb006 5f5f615f 696e7431 365f7400 ....__a_int16_t. + 578b0: 00001ebd 06615f69 6e743136 5f740000 .....a_int16_t.. + 578c0: 001eca05 7369676e 65642063 68617200 ....signed char. + 578d0: 05010641 5f494e54 38000000 1eea065f ...A_INT8......_ + 578e0: 5f615f69 6e74385f 74000000 1ef90661 _a_int8_t......a + 578f0: 5f696e74 385f7400 00001f05 120c0000 _int8_t......... + 57900: 1f7c0373 7570706f 72746564 00000019 .|.supported.... + 57910: 30022300 03616476 65727469 7a656400 0.#..advertized. + 57920: 00001930 02230403 73706565 64000000 ...0.#..speed... + 57930: 1edb0223 08036475 706c6578 0000001f ...#..duplex.... + 57940: 1502230a 03617574 6f6e6567 00000019 ..#..autoneg.... + 57950: 9702230b 00070000 19970600 001f8908 ..#............. + 57960: 05000261 64665f6e 65745f65 74686164 ...adf_net_ethad + 57970: 64720006 00001fad 03616464 72000000 dr.......addr... + 57980: 1f7c0223 0000065f 5f615f75 696e7431 .|.#...__a_uint1 + 57990: 365f7400 000001d3 06615f75 696e7431 6_t......a_uint1 + 579a0: 365f7400 00001fad 120e0000 20110365 6_t......... ..e + 579b0: 74686572 5f64686f 73740000 001f7c02 ther_dhost....|. + 579c0: 23000365 74686572 5f73686f 73740000 #..ether_shost.. + 579d0: 001f7c02 23060365 74686572 5f747970 ..|.#..ether_typ + 579e0: 65000000 1fbf0223 0c001214 000020d2 e......#...... . + 579f0: 1569705f 76657273 696f6e00 00001997 .ip_version..... + 57a00: 01000402 23001569 705f686c 00000019 ....#..ip_hl.... + 57a10: 97010404 02230003 69705f74 6f730000 .....#..ip_tos.. + 57a20: 00199702 23010369 705f6c65 6e000000 ....#..ip_len... + 57a30: 1fbf0223 02036970 5f696400 00001fbf ...#..ip_id..... + 57a40: 02230403 69705f66 7261675f 6f666600 .#..ip_frag_off. + 57a50: 00001fbf 02230603 69705f74 746c0000 .....#..ip_ttl.. + 57a60: 00199702 23080369 705f7072 6f746f00 ....#..ip_proto. + 57a70: 00001997 02230903 69705f63 6865636b .....#..ip_check + 57a80: 0000001f bf02230a 0369705f 73616464 ......#..ip_sadd + 57a90: 72000000 19300223 0c036970 5f646164 r....0.#..ip_dad + 57aa0: 64720000 00193002 23100002 6164665f dr....0.#...adf_ + 57ab0: 6e65745f 766c616e 68647200 04000021 net_vlanhdr....! + 57ac0: 24037470 69640000 001fbf02 23001570 $.tpid......#..p + 57ad0: 72696f00 00001997 01000302 23021563 rio.........#..c + 57ae0: 66690000 00199701 03010223 02157669 fi.........#..vi + 57af0: 64000000 1fbf0204 0c022302 00026164 d.........#...ad + 57b00: 665f6e65 745f7669 64000200 00215515 f_net_vid....!U. + 57b10: 72657300 00001997 01000402 23001576 res.........#..v + 57b20: 616c0000 001fbf02 040c0223 0000120c al.........#.... + 57b30: 00002191 0372785f 62756673 697a6500 ..!..rx_bufsize. + 57b40: 00001930 02230003 72785f6e 64657363 ...0.#..rx_ndesc + 57b50: 00000019 30022304 0374785f 6e646573 ....0.#..tx_ndes + 57b60: 63000000 19300223 08001208 000021b7 c....0.#......!. + 57b70: 03706f6c 6c656400 00001daa 02230003 .polled......#.. + 57b80: 706f6c6c 5f777400 00001930 02230400 poll_wt....0.#.. + 57b90: 07000019 97400000 21c4083f 00124600 .....@..!..?..F. + 57ba0: 0021ec03 69665f6e 616d6500 000021b7 .!..if_name...!. + 57bb0: 02230003 6465765f 61646472 0000001f .#..dev_addr.... + 57bc0: 7c022340 00140400 00222310 4144465f |.#@....."#.ADF_ + 57bd0: 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI + 57be0: 54000010 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M + 57bf0: 41534b5f 36344249 54000100 06616466 ASK_64BIT....adf + 57c00: 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. + 57c10: 0021ec02 6164665f 646d615f 696e666f .!..adf_dma_info + 57c20: 00080000 22700364 6d615f6d 61736b00 ...."p.dma_mask. + 57c30: 00002223 02230003 73675f6e 73656773 .."#.#..sg_nsegs + 57c40: 00000019 30022304 00140400 0022c610 ....0.#......".. + 57c50: 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO + 57c60: 4e450000 10414446 5f4e4554 5f434b53 NE...ADF_NET_CKS + 57c70: 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. + 57c80: 01104144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 57c90: 5443505f 5544505f 49507636 00020006 TCP_UDP_IPv6.... + 57ca0: 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty + 57cb0: 70655f74 00000022 70120800 00230903 pe_t..."p....#.. + 57cc0: 74785f63 6b73756d 00000022 c6022300 tx_cksum..."..#. + 57cd0: 0372785f 636b7375 6d000000 22c60223 .rx_cksum..."..# + 57ce0: 04000661 64665f6e 65745f63 6b73756d ...adf_net_cksum + 57cf0: 5f696e66 6f5f7400 000022e0 14040000 _info_t..."..... + 57d00: 23621041 44465f4e 45545f54 534f5f4e #b.ADF_NET_TSO_N + 57d10: 4f4e4500 00104144 465f4e45 545f5453 ONE...ADF_NET_TS + 57d20: 4f5f4950 56340001 10414446 5f4e4554 O_IPV4...ADF_NET + 57d30: 5f54534f 5f414c4c 00020006 6164665f _TSO_ALL....adf_ + 57d40: 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. + 57d50: 00232312 10000023 b603636b 73756d5f .##....#..cksum_ + 57d60: 63617000 00002309 02230003 74736f00 cap...#..#..tso. + 57d70: 00002362 02230803 766c616e 5f737570 ..#b.#..vlan_sup + 57d80: 706f7274 65640000 00199702 230c0012 ported......#... + 57d90: 20000024 4f037478 5f706163 6b657473 ..$O.tx_packets + 57da0: 00000019 30022300 0372785f 7061636b ....0.#..rx_pack + 57db0: 65747300 00001930 02230403 74785f62 ets....0.#..tx_b + 57dc0: 79746573 00000019 30022308 0372785f ytes....0.#..rx_ + 57dd0: 62797465 73000000 19300223 0c037478 bytes....0.#..tx + 57de0: 5f64726f 70706564 00000019 30022310 _dropped....0.#. + 57df0: 0372785f 64726f70 70656400 00001930 .rx_dropped....0 + 57e00: 02231403 72785f65 72726f72 73000000 .#..rx_errors... + 57e10: 19300223 18037478 5f657272 6f727300 .0.#..tx_errors. + 57e20: 00001930 02231c00 06616466 5f6e6574 ...0.#...adf_net + 57e30: 5f657468 61646472 5f740000 001f8916 _ethaddr_t...... + 57e40: 0000244f 03000000 2474087f 00176164 ..$O....$t....ad + 57e50: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 57e60: 00030400 0024ab03 6e656c65 6d000000 .....$..nelem... + 57e70: 19300223 00036d63 61737400 00002466 .0.#..mcast...$f + 57e80: 02230400 06616466 5f6e6574 5f636d64 .#...adf_net_cmd + 57e90: 5f6c696e 6b5f696e 666f5f74 0000001f _link_info_t.... + 57ea0: 23066164 665f6e65 745f636d 645f706f #.adf_net_cmd_po + 57eb0: 6c6c5f69 6e666f5f 74000000 21910661 ll_info_t...!..a + 57ec0: 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum + 57ed0: 5f696e66 6f5f7400 00002309 06616466 _info_t...#..adf + 57ee0: 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in + 57ef0: 666f5f74 00000021 55066164 665f6e65 fo_t...!U.adf_ne + 57f00: 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t + 57f10: 00000022 3a066164 665f6e65 745f636d ...":.adf_net_cm + 57f20: 645f7669 645f7400 00001fbf 06616466 d_vid_t......adf + 57f30: 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload + 57f40: 5f636170 5f740000 00237a06 6164665f _cap_t...#z.adf_ + 57f50: 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. + 57f60: 000023b6 06616466 5f6e6574 5f636d64 ..#..adf_net_cmd + 57f70: 5f6d6361 6464725f 74000000 24740f61 _mcaddr_t...$t.a + 57f80: 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast + 57f90: 5f636170 00040000 25ed1041 44465f4e _cap....%..ADF_N + 57fa0: 45545f4d 43415354 5f535550 00001041 ET_MCAST_SUP...A + 57fb0: 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT + 57fc0: 53555000 01000661 64665f6e 65745f63 SUP....adf_net_c + 57fd0: 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. + 57fe0: 0025a518 03040000 26bf036c 696e6b5f .%......&..link_ + 57ff0: 696e666f 00000024 ab022300 03706f6c info...$..#..pol + 58000: 6c5f696e 666f0000 0024c802 23000363 l_info...$..#..c + 58010: 6b73756d 5f696e66 6f000000 24e50223 ksum_info...$..# + 58020: 00037269 6e675f69 6e666f00 00002503 ..ring_info...%. + 58030: 02230003 646d615f 696e666f 00000025 .#..dma_info...% + 58040: 20022300 03766964 00000025 3c022300 .#..vid...%<.#. + 58050: 036f6666 6c6f6164 5f636170 00000025 .offload_cap...% + 58060: 53022300 03737461 74730000 00257202 S.#..stats...%r. + 58070: 2300036d 63617374 5f696e66 6f000000 #..mcast_info... + 58080: 258b0223 00036d63 6173745f 63617000 %..#..mcast_cap. + 58090: 000025ed 02230000 14040000 27161041 ..%..#......'..A + 580a0: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 580b0: 5f4e4f4e 45000010 4144465f 4e425546 _NONE...ADF_NBUF + 580c0: 5f52585f 434b5355 4d5f4857 00011041 _RX_CKSUM_HW...A + 580d0: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 580e0: 5f554e4e 45434553 53415259 00020006 _UNNECESSARY.... + 580f0: 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu + 58100: 6d5f7479 70655f74 00000026 bf120800 m_type_t...&.... + 58110: 00275603 72657375 6c740000 00271602 .'V.result...'.. + 58120: 23000376 616c0000 00193002 23040012 #..val....0.#... + 58130: 08000027 86037479 70650000 00236202 ...'..type...#b. + 58140: 2300036d 73730000 001fbf02 23040368 #..mss......#..h + 58150: 64725f6f 66660000 00199702 23060002 dr_off......#... + 58160: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 58170: 000c0000 27c50368 65616400 00000331 ....'..head....1 + 58180: 02230003 7461696c 00000003 31022304 .#..tail....1.#. + 58190: 03716c65 6e000000 19300223 0800065f .qlen....0.#..._ + 581a0: 5f616466 5f6e6275 665f7400 00000331 _adf_nbuf_t....1 + 581b0: 04000019 a6040004 00001930 04000901 ...........0.... + 581c0: 0a000002 10010a00 00193001 0a000019 ..........0..... + 581d0: a6010a00 0019a601 04000001 e1040006 ................ + 581e0: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 581f0: 5f740000 00278606 5f5f6164 665f6e62 _t...'..__adf_nb + 58200: 75665f71 75657565 5f740000 00280604 uf_queue_t...(.. + 58210: 0000281e 04000a00 0027c501 0a000027 ..(......'.....' + 58220: c5011404 0000293e 10415f53 54415455 ......)>.A_STATU + 58230: 535f4f4b 00001041 5f535441 5455535f S_OK...A_STATUS_ + 58240: 4641494c 45440001 10415f53 54415455 FAILED...A_STATU + 58250: 535f454e 4f454e54 00021041 5f535441 S_ENOENT...A_STA + 58260: 5455535f 454e4f4d 454d0003 10415f53 TUS_ENOMEM...A_S + 58270: 54415455 535f4549 4e56414c 00041041 TATUS_EINVAL...A + 58280: 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR + 58290: 45535300 0510415f 53544154 55535f45 ESS...A_STATUS_E + 582a0: 4e4f5453 55505000 0610415f 53544154 NOTSUPP...A_STAT + 582b0: 55535f45 42555359 00071041 5f535441 US_EBUSY...A_STA + 582c0: 5455535f 45324249 47000810 415f5354 TUS_E2BIG...A_ST + 582d0: 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA + 582e0: 494c0009 10415f53 54415455 535f454e IL...A_STATUS_EN + 582f0: 58494f00 0a10415f 53544154 55535f45 XIO...A_STATUS_E + 58300: 4641554c 54000b10 415f5354 41545553 FAULT...A_STATUS + 58310: 5f45494f 000c0006 615f7374 61747573 _EIO....a_status + 58320: 5f740000 0028490a 0000293e 010a0000 _t...(I...)>.... + 58330: 01f50109 01066164 665f6e62 75665f74 ......adf_nbuf_t + 58340: 00000027 c5140400 0029a310 4144465f ...'.....)..ADF_ + 58350: 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE + 58360: 00001041 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR + 58370: 4f4d5f44 45564943 45000100 06616466 OM_DEVICE....adf + 58380: 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... + 58390: 296c0a00 00293e01 09010661 64665f6f )l...)>....adf_o + 583a0: 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. + 583b0: 0000194d 04000029 c1040009 0109010a ...M...)........ + 583c0: 0000295c 010a0000 27c50109 0109010a ..)\....'....... + 583d0: 0000295c 010a0000 27c5010a 0000295c ..)\....'.....)\ + 583e0: 010a0000 27c5010a 0000295c 01090109 ....'.....)\.... + 583f0: 010a0000 1930010a 000019a6 01090109 .....0.......... + 58400: 010a0000 1e5d010a 00001daa 010a0000 .....].......... + 58410: 1daa0106 6164665f 6f735f73 676c6973 ....adf_os_sglis + 58420: 745f7400 000019e5 0400002a 3a040009 t_t........*:... + 58430: 01090109 010a0000 19a60106 6164665f ............adf_ + 58440: 6e627566 5f717565 75655f74 00000028 nbuf_queue_t...( + 58450: 1e040000 2a620400 09010400 00280604 ....*b.......(.. + 58460: 00090109 0109010a 0000295c 010a0000 ..........)\.... + 58470: 27c5010a 00001930 010a0000 1930010a '......0.....0.. + 58480: 00001daa 010a0000 1daa010a 000022c6 ..............". + 58490: 010a0000 19300106 6164665f 6e627566 .....0..adf_nbuf + 584a0: 5f72785f 636b7375 6d5f7400 00002734 _rx_cksum_t...'4 + 584b0: 0400002a be040009 01090106 6164665f ...*........adf_ + 584c0: 6e627566 5f74736f 5f740000 00275604 nbuf_tso_t...'V. + 584d0: 00002ae2 04000901 09010661 64665f6e ..*........adf_n + 584e0: 65745f68 616e646c 655f7400 0000023a et_handle_t....: + 584f0: 06616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 58500: 5f740000 0020d204 00002b17 04000a00 _t... ....+..... + 58510: 00293e01 0a000029 3e010901 0901025f .)>....)>......_ + 58520: 4849465f 434f4e46 49470004 00002b66 HIF_CONFIG....+f + 58530: 0364756d 6d790000 0001f502 23000009 .dummy......#... + 58540: 01040000 2b660400 09010400 002b6f04 ....+f.......+o. + 58550: 00025f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. + 58560: 0c00002b c4037365 6e645f62 75665f64 ...+..send_buf_d + 58570: 6f6e6500 00002b68 02230003 72656376 one...+h.#..recv + 58580: 5f627566 0000002b 71022304 03636f6e _buf...+q.#..con + 58590: 74657874 00000002 3a022308 00066869 text....:.#...hi + 585a0: 665f6861 6e646c65 5f740000 00023a06 f_handle_t....:. + 585b0: 4849465f 434f4e46 49470000 002b4504 HIF_CONFIG...+E. + 585c0: 00002bd6 04000a00 002bc401 0400002b ..+......+.....+ + 585d0: ed040009 01040000 2bfa0400 06484946 ........+....HIF + 585e0: 5f43414c 4c424143 4b000000 2b780400 _CALLBACK...+x.. + 585f0: 002c0304 00090104 00002c1c 04000a00 .,........,..... + 58600: 0001f501 0400002c 25040009 01040000 .......,%....... + 58610: 2c320400 0a000001 f5010400 002c3b04 ,2...........,;. + 58620: 00090104 00002c48 04000a00 0001f501 ......,H........ + 58630: 0400002c 51040009 01040000 2c5e0400 ...,Q.......,^.. + 58640: 02686966 5f617069 00380000 2db7035f .hif_api.8..-.._ + 58650: 696e6974 0000002b f3022300 035f7368 init...+..#.._sh + 58660: 7574646f 776e0000 002bfc02 2304035f utdown...+..#.._ + 58670: 72656769 73746572 5f63616c 6c626163 register_callbac + 58680: 6b000000 2c1e0223 08035f67 65745f74 k...,..#.._get_t + 58690: 6f74616c 5f637265 6469745f 636f756e otal_credit_coun + 586a0: 74000000 2c2b0223 0c035f73 74617274 t...,+.#.._start + 586b0: 0000002b fc022310 035f636f 6e666967 ...+..#.._config + 586c0: 5f706970 65000000 2c340223 14035f73 _pipe...,4.#.._s + 586d0: 656e645f 62756666 65720000 002c4102 end_buffer...,A. + 586e0: 2318035f 72657475 726e5f72 6563765f #.._return_recv_ + 586f0: 62756600 00002c4a 02231c03 5f69735f buf...,J.#.._is_ + 58700: 70697065 5f737570 706f7274 65640000 pipe_supported.. + 58710: 002c5702 2320035f 6765745f 6d61785f .,W.# ._get_max_ + 58720: 6d73675f 6c656e00 00002c57 02232403 msg_len...,W.#$. + 58730: 5f676574 5f726573 65727665 645f6865 _get_reserved_he + 58740: 6164726f 6f6d0000 002c2b02 2328035f adroom...,+.#(._ + 58750: 6973725f 68616e64 6c657200 00002bfc isr_handler...+. + 58760: 02232c03 5f676574 5f646566 61756c74 .#,._get_default + 58770: 5f706970 65000000 2c600223 30037052 _pipe...,`.#0.pR + 58780: 65736572 76656400 0000023a 02233400 eserved....:.#4. + 58790: 0f646d61 5f656e67 696e6500 0400002e .dma_engine..... + 587a0: 4010444d 415f454e 47494e45 5f525830 @.DMA_ENGINE_RX0 + 587b0: 00001044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 587c0: 31000110 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R + 587d0: 58320002 10444d41 5f454e47 494e455f X2...DMA_ENGINE_ + 587e0: 52583300 0310444d 415f454e 47494e45 RX3...DMA_ENGINE + 587f0: 5f545830 00041044 4d415f45 4e47494e _TX0...DMA_ENGIN + 58800: 455f5458 31000510 444d415f 454e4749 E_TX1...DMA_ENGI + 58810: 4e455f4d 41580006 0006646d 615f656e NE_MAX....dma_en + 58820: 67696e65 5f740000 002db70f 646d615f gine_t...-..dma_ + 58830: 69667479 70650004 00002e8d 10444d41 iftype.......DMA + 58840: 5f49465f 474d4143 00001044 4d415f49 _IF_GMAC...DMA_I + 58850: 465f5043 49000110 444d415f 49465f50 F_PCI...DMA_IF_P + 58860: 43494500 02000664 6d615f69 66747970 CIE....dma_iftyp + 58870: 655f7400 00002e52 0a000001 d3010400 e_t....R........ + 58880: 002e9f04 00090104 00002eac 04000901 ................ + 58890: 0400002e b504000a 00000ed1 01040000 ................ + 588a0: 2ebe0400 0a000001 d3010400 002ecb04 ................ + 588b0: 000a0000 01d30104 00002ed8 04000a00 ................ + 588c0: 00033101 0400002e e5040009 01040000 ..1............. + 588d0: 2ef20400 02646d61 5f6c6962 5f617069 .....dma_lib_api + 588e0: 00340000 2ff90374 785f696e 69740000 .4../..tx_init.. + 588f0: 002ea502 23000374 785f7374 61727400 ....#..tx_start. + 58900: 00002eae 02230403 72785f69 6e697400 .....#..rx_init. + 58910: 00002ea5 02230803 72785f63 6f6e6669 .....#..rx_confi + 58920: 67000000 2eb70223 0c037278 5f737461 g......#..rx_sta + 58930: 72740000 002eae02 23100369 6e74725f rt......#..intr_ + 58940: 73746174 75730000 002ec402 23140368 status......#..h + 58950: 6172645f 786d6974 0000002e d1022318 ard_xmit......#. + 58960: 03666c75 73685f78 6d697400 00002eae .flush_xmit..... + 58970: 02231c03 786d6974 5f646f6e 65000000 .#..xmit_done... + 58980: 2ede0223 20037265 61705f78 6d697474 ...# .reap_xmitt + 58990: 65640000 002eeb02 23240372 6561705f ed......#$.reap_ + 589a0: 72656376 0000002e eb022328 03726574 recv......#(.ret + 589b0: 75726e5f 72656376 0000002e f402232c urn_recv......#, + 589c0: 03726563 765f706b 74000000 2ede0223 .recv_pkt......# + 589d0: 3000025f 5f706369 5f736f66 7463000c 0..__pci_softc.. + 589e0: 00003017 03737700 00002c03 02230000 ..0..sw...,..#.. + 589f0: 065f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. + 58a00: 002ff904 00003017 04000901 04000030 ./....0........0 + 58a10: 3104000a 000001a9 01040000 303a0400 1...........0:.. + 58a20: 0f686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx + 58a30: 00040000 309a1048 49465f50 43495f50 ....0..HIF_PCI_P + 58a40: 4950455f 54583000 00104849 465f5043 IPE_TX0...HIF_PC + 58a50: 495f5049 50455f54 58310001 10484946 I_PIPE_TX1...HIF + 58a60: 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX + 58a70: 00020006 6869665f 7063695f 70697065 ....hif_pci_pipe + 58a80: 5f74785f 74000000 30470a00 002e4001 _tx_t...0G....@. + 58a90: 04000030 b104000f 6869665f 7063695f ...0....hif_pci_ + 58aa0: 70697065 5f727800 04000031 37104849 pipe_rx....17.HI + 58ab0: 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. + 58ac0: 10484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 58ad0: 31000110 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 58ae0: 5f525832 00021048 49465f50 43495f50 _RX2...HIF_PCI_P + 58af0: 4950455f 52583300 03104849 465f5043 IPE_RX3...HIF_PC + 58b00: 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... + 58b10: 06686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 58b20: 5f740000 0030be0a 00002e40 01040000 _t...0.....@.... + 58b30: 314e0400 02686966 5f706369 5f617069 1N...hif_pci_api + 58b40: 00240000 322c0370 63695f62 6f6f745f .$..2,.pci_boot_ + 58b50: 696e6974 00000007 04022300 03706369 init......#..pci + 58b60: 5f696e69 74000000 2bf30223 04037063 _init...+..#..pc + 58b70: 695f7265 73657400 00000704 02230803 i_reset......#.. + 58b80: 7063695f 656e6162 6c650000 00070402 pci_enable...... + 58b90: 230c0370 63695f72 6561705f 786d6974 #..pci_reap_xmit + 58ba0: 74656400 00003033 02231003 7063695f ted...03.#..pci_ + 58bb0: 72656170 5f726563 76000000 30330223 reap_recv...03.# + 58bc0: 14037063 695f6765 745f7069 70650000 ..pci_get_pipe.. + 58bd0: 00304002 23180370 63695f67 65745f74 .0@.#..pci_get_t + 58be0: 785f656e 67000000 30b70223 1c037063 x_eng...0..#..pc + 58bf0: 695f6765 745f7278 5f656e67 00000031 i_get_rx_eng...1 + 58c00: 54022320 0002676d 61635f61 70690004 T.# ..gmac_api.. + 58c10: 00003253 03676d61 635f626f 6f745f69 ..2S.gmac_boot_i + 58c20: 6e697400 00000704 02230000 07000001 nit......#...... + 58c30: 98060000 32600805 00025f5f 65746868 ....2`....__ethh + 58c40: 6472000e 00003296 03647374 00000032 dr....2..dst...2 + 58c50: 53022300 03737263 00000032 53022306 S.#..src...2S.#. + 58c60: 03657479 70650000 0001d302 230c0002 .etype......#... + 58c70: 5f5f6174 68686472 00040000 32e41572 __athhdr....2..r + 58c80: 65730000 0001a901 00020223 00157072 es.........#..pr + 58c90: 6f746f00 000001a9 01020602 23000372 oto.........#..r + 58ca0: 65735f6c 6f000000 01a90223 01037265 es_lo......#..re + 58cb0: 735f6869 00000001 d3022302 00025f5f s_hi......#...__ + 58cc0: 676d6163 5f686472 00140000 33200365 gmac_hdr....3 .e + 58cd0: 74680000 00326002 23000361 74680000 th...2`.#..ath.. + 58ce0: 00329602 230e0361 6c69676e 5f706164 .2..#..align_pad + 58cf0: 00000001 d3022312 00065f5f 676d6163 ......#...__gmac + 58d00: 5f686472 5f740000 0032e402 5f5f676d _hdr_t...2..__gm + 58d10: 61635f73 6f667463 00240000 336a0368 ac_softc.$..3j.h + 58d20: 64720000 00332002 23000367 72616e00 dr...3 .#..gran. + 58d30: 000001d3 02231403 73770000 002c0302 .....#..sw...,.. + 58d40: 2318000e 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag + 58d50: 655f6368 65636b00 00010400 00336a04 e_check......3j. + 58d60: 000a0000 01f50104 00003388 04000400 ..........3..... + 58d70: 0009bd04 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 58d80: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 58d90: 0001b800 0034d803 68616c5f 6c696e6b .....4..hal_link + 58da0: 6167655f 63686563 6b000000 338e0223 age_check...3..# + 58db0: 00037374 6172745f 62737300 00003395 ..start_bss...3. + 58dc0: 02230403 6170705f 73746172 74000000 .#..app_start... + 58dd0: 07040223 08036d65 6d000000 09fd0223 ...#..mem......# + 58de0: 0c036d69 73630000 000b1c02 23200370 ..misc......# .p + 58df0: 72696e74 66000000 07310223 44037561 rintf....1.#D.ua + 58e00: 72740000 0007cf02 234c0367 6d616300 rt......#L.gmac. + 58e10: 0000322c 02236c03 75736200 00001542 ..2,.#l.usb....B + 58e20: 02237003 636c6f63 6b000000 108b0323 .#p.clock......# + 58e30: e0010374 696d6572 0000000d 34032384 ...timer....4.#. + 58e40: 0203696e 74720000 0011db03 23980203 ..intr......#... + 58e50: 616c6c6f 6372616d 0000000e ec0323c4 allocram......#. + 58e60: 0203726f 6d700000 000dde03 23d00203 ..romp......#... + 58e70: 7764745f 74696d65 72000000 13b80323 wdt_timer......# + 58e80: e0020365 65700000 0014e603 23fc0203 ...eep......#... + 58e90: 73747269 6e670000 000c4003 238c0303 string....@.#... + 58ea0: 7461736b 6c657400 00000fe9 0323a403 tasklet......#.. + 58eb0: 00025f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 58ec0: 49470010 0000354b 03676574 5f636f6d IG....5K.get_com + 58ed0: 6d616e64 5f627566 00000003 3e022300 mand_buf....>.#. + 58ee0: 03726563 765f636f 6d6d616e 64000000 .recv_command... + 58ef0: 03540223 04036765 745f6576 656e745f .T.#..get_event_ + 58f00: 62756600 0000033e 02230803 73656e64 buf....>.#..send + 58f10: 5f657665 6e745f64 6f6e6500 00000354 _event_done....T + 58f20: 02230c00 06555342 5f464946 4f5f434f .#...USB_FIFO_CO + 58f30: 4e464947 00000034 d8040000 354b0400 NFIG...4....5K.. + 58f40: 09010400 00356704 00027573 62666966 .....5g...usbfif + 58f50: 6f5f6170 69000c00 0035bd03 5f696e69 o_api....5.._ini + 58f60: 74000000 35690223 00035f65 6e61626c t...5i.#.._enabl + 58f70: 655f6576 656e745f 69737200 00000704 e_event_isr..... + 58f80: 02230403 70526573 65727665 64000000 .#..pReserved... + 58f90: 023a0223 08000700 00199702 000035ca .:.#..........5. + 58fa0: 08010002 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 58fb0: 44520008 0000363c 03456e64 706f696e DR....6<.Endpoin + 58fc0: 74494400 00001997 02230003 466c6167 tID......#..Flag + 58fd0: 73000000 19970223 01035061 796c6f61 s......#..Payloa + 58fe0: 644c656e 0000001f bf022302 03436f6e dLen......#..Con + 58ff0: 74726f6c 42797465 73000000 35bd0223 trolBytes...5..# + 59000: 0403486f 73745365 714e756d 0000001f ..HostSeqNum.... + 59010: bf022306 00120200 00365503 4d657373 ..#......6U.Mess + 59020: 61676549 44000000 1fbf0223 00001208 ageID......#.... + 59030: 000036b8 034d6573 73616765 49440000 ..6..MessageID.. + 59040: 001fbf02 23000343 72656469 74436f75 ....#..CreditCou + 59050: 6e740000 001fbf02 23020343 72656469 nt......#..Credi + 59060: 7453697a 65000000 1fbf0223 04034d61 tSize......#..Ma + 59070: 78456e64 706f696e 74730000 00199702 xEndpoints...... + 59080: 2306035f 50616431 00000019 97022307 #.._Pad1......#. + 59090: 00120a00 00374f03 4d657373 61676549 .....7O.MessageI + 590a0: 44000000 1fbf0223 00035365 72766963 D......#..Servic + 590b0: 65494400 00001fbf 02230203 436f6e6e eID......#..Conn + 590c0: 65637469 6f6e466c 61677300 00001fbf ectionFlags..... + 590d0: 02230403 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 590e0: 49440000 00199702 23060355 704c696e ID......#..UpLin + 590f0: 6b506970 65494400 00001997 02230703 kPipeID......#.. + 59100: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 59110: 68000000 19970223 08035f50 61643100 h......#.._Pad1. + 59120: 00001997 02230900 120a0000 37d7034d .....#......7..M + 59130: 65737361 67654944 0000001f bf022300 essageID......#. + 59140: 03536572 76696365 49440000 001fbf02 .ServiceID...... + 59150: 23020353 74617475 73000000 19970223 #..Status......# + 59160: 0403456e 64706f69 6e744944 00000019 ..EndpointID.... + 59170: 97022305 034d6178 4d736753 697a6500 ..#..MaxMsgSize. + 59180: 00001fbf 02230603 53657276 6963654d .....#..ServiceM + 59190: 6574614c 656e6774 68000000 19970223 etaLength......# + 591a0: 08035f50 61643100 00001997 02230900 .._Pad1......#.. + 591b0: 12020000 37f0034d 65737361 67654944 ....7..MessageID + 591c0: 0000001f bf022300 00120400 00382c03 ......#......8,. + 591d0: 4d657373 61676549 44000000 1fbf0223 MessageID......# + 591e0: 00035069 70654944 00000019 97022302 ..PipeID......#. + 591f0: 03437265 64697443 6f756e74 00000019 .CreditCount.... + 59200: 97022303 00120400 00386303 4d657373 ..#......8c.Mess + 59210: 61676549 44000000 1fbf0223 00035069 ageID......#..Pi + 59220: 70654944 00000019 97022302 03537461 peID......#..Sta + 59230: 74757300 00001997 02230300 12020000 tus......#...... + 59240: 388a0352 65636f72 64494400 00001997 8..RecordID..... + 59250: 02230003 4c656e67 74680000 00199702 .#..Length...... + 59260: 23010012 02000038 b403456e 64706f69 #......8..Endpoi + 59270: 6e744944 00000019 97022300 03437265 ntID......#..Cre + 59280: 64697473 00000019 97022301 00120400 dits......#..... + 59290: 0038f503 456e6470 6f696e74 49440000 .8..EndpointID.. + 592a0: 00199702 23000343 72656469 74730000 ....#..Credits.. + 592b0: 00199702 23010354 67744372 65646974 ....#..TgtCredit + 592c0: 5365714e 6f000000 1fbf0223 02000700 SeqNo......#.... + 592d0: 00199704 00003902 08030012 06000039 ......9........9 + 592e0: 3e035072 6556616c 69640000 00199702 >.PreValid...... + 592f0: 2300034c 6f6f6b41 68656164 00000038 #..LookAhead...8 + 59300: f5022301 03506f73 7456616c 69640000 ..#..PostValid.. + 59310: 00199702 23050006 706f6f6c 5f68616e ....#...pool_han + 59320: 646c655f 74000000 023a0a00 00393e01 dle_t....:...9>. + 59330: 04000039 51040009 01040000 395e0400 ...9Q.......9^.. + 59340: 14040000 39dc1050 4f4f4c5f 49445f48 ....9..POOL_ID_H + 59350: 54435f43 4f4e5452 4f4c0000 10504f4f TC_CONTROL...POO + 59360: 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 59370: 5f524550 4c590001 10504f4f 4c5f4944 _REPLY...POOL_ID + 59380: 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 59390: 10504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 593a0: 5f425546 00031050 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 593b0: 4158000a 00064255 465f504f 4f4c5f49 AX....BUF_POOL_I + 593c0: 44000000 39670901 04000039 ed04000a D...9g.....9.... + 593d0: 0000295c 01040000 39f60400 0a000029 ..)\....9......) + 593e0: 5c010400 003a0304 00090104 00003a10 \....:........:. + 593f0: 04000262 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 59400: 1c00003a b2035f69 6e697400 00003957 ...:.._init...9W + 59410: 02230003 5f736875 74646f77 6e000000 .#.._shutdown... + 59420: 39600223 04035f63 72656174 655f706f 9`.#.._create_po + 59430: 6f6c0000 0039ef02 2308035f 616c6c6f ol...9..#.._allo + 59440: 635f6275 66000000 39fc0223 0c035f61 c_buf...9..#.._a + 59450: 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 59460: 003a0902 2310035f 66726565 5f627566 .:..#.._free_buf + 59470: 0000003a 12022314 03705265 73657276 ...:..#..pReserv + 59480: 65640000 00023a02 23180002 5f485443 ed....:.#..._HTC + 59490: 5f534552 56494345 001c0000 3b910370 _SERVICE....;..p + 594a0: 4e657874 0000003b 91022300 0350726f Next...;..#..Pro + 594b0: 63657373 52656376 4d736700 00003c46 cessRecvMsg....#..._HTC + 596c0: 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 596d0: 3d1e0365 6e645f70 6f696e74 00000001 =..end_point.... + 596e0: a9022300 03687463 5f666c61 67730000 ..#..htc_flags.. + 596f0: 0001a902 23010006 6874635f 68616e64 ....#...htc_hand + 59700: 6c655f74 00000002 3a064854 435f5345 le_t....:.HTC_SE + 59710: 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 59720: 00000704 06485443 5f434f4e 46494700 .....HTC_CONFIG. + 59730: 00003c71 0400003d 4b04000a 00003d1e ...#..MaxCmdRep + 59b40: 6c794576 74730000 0001f502 2308034d lyEvts......#..M + 59b50: 61784576 656e7445 76747300 000001f5 axEventEvts..... + 59b60: 02230c00 09010400 00418b04 0006574d .#.......A....WM + 59b70: 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 59b80: 418d025f 574d495f 44495350 41544348 A.._WMI_DISPATCH + 59b90: 5f454e54 52590008 000041f4 0370436d _ENTRY....A..pCm + 59ba0: 6448616e 646c6572 00000041 94022300 dHandler...A..#. + 59bb0: 03436d64 49440000 0001d302 23040346 .CmdID......#..F + 59bc0: 6c616773 00000001 d3022306 00025f57 lags......#..._W + 59bd0: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 59be0: 45001000 00425503 704e6578 74000000 E....BU.pNext... + 59bf0: 42550223 00037043 6f6e7465 78740000 BU.#..pContext.. + 59c00: 00023a02 2304034e 756d6265 724f6645 ..:.#..NumberOfE + 59c10: 6e747269 65730000 0001f502 23080370 ntries......#..p + 59c20: 5461626c 65000000 42740223 0c000400 Table...Bt.#.... + 59c30: 0041f404 0006574d 495f4449 53504154 .A....WMI_DISPAT + 59c40: 43485f45 4e545259 00000041 a9040000 CH_ENTRY...A.... + 59c50: 425c0400 04000041 f4040006 4854435f B\.....A....HTC_ + 59c60: 4255465f 434f4e54 45585400 00003ce2 BUF_CONTEXT...<. + 59c70: 0f574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 59c80: 0000430c 19574d49 5f455654 5f434c41 ..C..WMI_EVT_CLA + 59c90: 53535f4e 4f4e4500 ffffffff 10574d49 SS_NONE......WMI + 59ca0: 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 59cb0: 56454e54 00001057 4d495f45 56545f43 VENT...WMI_EVT_C + 59cc0: 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 59cd0: 10574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 59ce0: 41580002 0006574d 495f4556 545f434c AX....WMI_EVT_CL + 59cf0: 41535300 00004297 025f574d 495f4255 ASS...B.._WMI_BU + 59d00: 465f434f 4e544558 54000c00 00436a03 F_CONTEXT....Cj. + 59d10: 48746342 75664374 78000000 42820223 HtcBufCtx...B..# + 59d20: 00034576 656e7443 6c617373 00000043 ..EventClass...C + 59d30: 0c022304 03466c61 67730000 0001d302 ..#..Flags...... + 59d40: 23080006 776d695f 68616e64 6c655f74 #...wmi_handle_t + 59d50: 00000002 3a06574d 495f5356 435f434f ....:.WMI_SVC_CO + 59d60: 4e464947 00000041 22040000 437c0400 NFIG...A"...C|.. + 59d70: 0a000043 6a010400 00439704 0006574d ...Cj....C....WM + 59d80: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 59d90: 00000041 f4040000 43a40400 09010400 ...A....C....... + 59da0: 0043c304 000a0000 295c0104 000043cc .C......)\....C. + 59db0: 04000901 04000043 d904000a 000001f5 .......C........ + 59dc0: 01040000 43e20400 09010400 0043ef04 ....C........C.. + 59dd0: 000a0000 01a90104 000043f8 0400025f ..........C...._ + 59de0: 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 59df0: 4540035f 574d495f 496e6974 00000043 E@._WMI_Init...C + 59e00: 9d022300 035f574d 495f5265 67697374 ..#.._WMI_Regist + 59e10: 65724469 73706174 63685461 626c6500 erDispatchTable. + 59e20: 000043c5 02230403 5f574d49 5f416c6c ..C..#.._WMI_All + 59e30: 6f634576 656e7400 000043d2 02230803 ocEvent...C..#.. + 59e40: 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 59e50: 0043db02 230c035f 574d495f 47657450 .C..#.._WMI_GetP + 59e60: 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 59e70: 74000000 43e80223 10035f57 4d495f53 t...C..#.._WMI_S + 59e80: 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 59e90: 65720000 003c4f02 2314035f 574d495f er....#.._enable + 5d970: 5f657665 6e745f69 73720000 0003c402 _event_isr...... + 5d980: 23040370 52657365 72766564 00000002 #..pReserved.... + 5d990: 2c022308 00070000 166c0200 00329f08 ,.#......l...2.. + 5d9a0: 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 5d9b0: 52000800 00331103 456e6470 6f696e74 R....3..Endpoint + 5d9c0: 49440000 00166c02 23000346 6c616773 ID....l.#..Flags + 5d9d0: 00000016 6c022301 03506179 6c6f6164 ....l.#..Payload + 5d9e0: 4c656e00 00001c94 02230203 436f6e74 Len......#..Cont + 5d9f0: 726f6c42 79746573 00000032 92022304 rolBytes...2..#. + 5da00: 03486f73 74536571 4e756d00 00001c94 .HostSeqNum..... + 5da10: 02230600 12020000 332a034d 65737361 .#......3*.Messa + 5da20: 67654944 0000001c 94022300 00120800 geID......#..... + 5da30: 00338d03 4d657373 61676549 44000000 .3..MessageID... + 5da40: 1c940223 00034372 65646974 436f756e ...#..CreditCoun + 5da50: 74000000 1c940223 02034372 65646974 t......#..Credit + 5da60: 53697a65 0000001c 94022304 034d6178 Size......#..Max + 5da70: 456e6470 6f696e74 73000000 166c0223 Endpoints....l.# + 5da80: 06035f50 61643100 0000166c 02230700 .._Pad1....l.#.. + 5da90: 120a0000 3424034d 65737361 67654944 ....4$.MessageID + 5daa0: 0000001c 94022300 03536572 76696365 ......#..Service + 5dab0: 49440000 001c9402 23020343 6f6e6e65 ID......#..Conne + 5dac0: 6374696f 6e466c61 67730000 001c9402 ctionFlags...... + 5dad0: 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 5dae0: 44000000 166c0223 06035570 4c696e6b D....l.#..UpLink + 5daf0: 50697065 49440000 00166c02 23070353 PipeID....l.#..S + 5db00: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 5db10: 00000016 6c022308 035f5061 64310000 ....l.#.._Pad1.. + 5db20: 00166c02 23090012 0a000034 ac034d65 ..l.#......4..Me + 5db30: 73736167 65494400 00001c94 02230003 ssageID......#.. + 5db40: 53657276 69636549 44000000 1c940223 ServiceID......# + 5db50: 02035374 61747573 00000016 6c022304 ..Status....l.#. + 5db60: 03456e64 706f696e 74494400 0000166c .EndpointID....l + 5db70: 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 5db80: 001c9402 23060353 65727669 63654d65 ....#..ServiceMe + 5db90: 74614c65 6e677468 00000016 6c022308 taLength....l.#. + 5dba0: 035f5061 64310000 00166c02 23090012 ._Pad1....l.#... + 5dbb0: 02000034 c5034d65 73736167 65494400 ...4..MessageID. + 5dbc0: 00001c94 02230000 12040000 3501034d .....#......5..M + 5dbd0: 65737361 67654944 0000001c 94022300 essageID......#. + 5dbe0: 03506970 65494400 0000166c 02230203 .PipeID....l.#.. + 5dbf0: 43726564 6974436f 756e7400 0000166c CreditCount....l + 5dc00: 02230300 12040000 3538034d 65737361 .#......58.Messa + 5dc10: 67654944 0000001c 94022300 03506970 geID......#..Pip + 5dc20: 65494400 0000166c 02230203 53746174 eID....l.#..Stat + 5dc30: 75730000 00166c02 23030012 02000035 us....l.#......5 + 5dc40: 5f035265 636f7264 49440000 00166c02 _.RecordID....l. + 5dc50: 2300034c 656e6774 68000000 166c0223 #..Length....l.# + 5dc60: 01001202 00003589 03456e64 706f696e ......5..Endpoin + 5dc70: 74494400 0000166c 02230003 43726564 tID....l.#..Cred + 5dc80: 69747300 0000166c 02230100 12040000 its....l.#...... + 5dc90: 35ca0345 6e64706f 696e7449 44000000 5..EndpointID... + 5dca0: 166c0223 00034372 65646974 73000000 .l.#..Credits... + 5dcb0: 166c0223 01035467 74437265 64697453 .l.#..TgtCreditS + 5dcc0: 65714e6f 0000001c 94022302 00070000 eqNo......#..... + 5dcd0: 166c0400 0035d708 03001206 00003613 .l...5........6. + 5dce0: 03507265 56616c69 64000000 166c0223 .PreValid....l.# + 5dcf0: 00034c6f 6f6b4168 65616400 000035ca ..LookAhead...5. + 5dd00: 02230103 506f7374 56616c69 64000000 .#..PostValid... + 5dd10: 166c0223 05000670 6f6f6c5f 68616e64 .l.#...pool_hand + 5dd20: 6c655f74 00000002 2c0a0000 36130104 le_t....,...6... + 5dd30: 00003626 04000901 04000036 33040014 ..6&.......63... + 5dd40: 04000036 b110504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 5dd50: 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL + 5dd60: 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 5dd70: 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ + 5dd80: 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... + 5dd90: 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 5dda0: 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 5ddb0: 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 5ddc0: 00000036 3c090104 000036c2 04000a00 ...6<.....6..... + 5ddd0: 00263101 04000036 cb04000a 00002631 .&1....6......&1 + 5dde0: 01040000 36d80400 09010400 0036e504 ....6........6.. + 5ddf0: 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 5de00: 00003787 035f696e 69740000 00362c02 ..7.._init...6,. + 5de10: 2300035f 73687574 646f776e 00000036 #.._shutdown...6 + 5de20: 35022304 035f6372 65617465 5f706f6f 5.#.._create_poo + 5de30: 6c000000 36c40223 08035f61 6c6c6f63 l...6..#.._alloc + 5de40: 5f627566 00000036 d102230c 035f616c _buf...6..#.._al + 5de50: 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 5de60: 36de0223 10035f66 7265655f 62756600 6..#.._free_buf. + 5de70: 000036e7 02231403 70526573 65727665 ..6..#..pReserve + 5de80: 64000000 022c0223 1800025f 4854435f d....,.#..._HTC_ + 5de90: 53455256 49434500 1c000038 6603704e SERVICE....8f.pN + 5dea0: 65787400 00003866 02230003 50726f63 ext...8f.#..Proc + 5deb0: 65737352 6563764d 73670000 00391b02 essRecvMsg...9.. + 5dec0: 23040350 726f6365 73735365 6e644275 #..ProcessSendBu + 5ded0: 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 5dee0: 24022308 0350726f 63657373 436f6e6e $.#..ProcessConn + 5def0: 65637400 00003938 02230c03 53657276 ect...98.#..Serv + 5df00: 69636549 44000000 01c50223 10035365 iceID......#..Se + 5df10: 72766963 65466c61 67730000 0001c502 rviceFlags...... + 5df20: 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 5df30: 00000001 c5022314 03547261 696c6572 ......#..Trailer + 5df40: 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 5df50: 01c50223 16035365 72766963 65437478 ...#..ServiceCtx + 5df60: 00000002 2c022318 00040000 37870400 ....,.#.....7... + 5df70: 14040000 39041945 4e44504f 494e545f ....9..ENDPOINT_ + 5df80: 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP + 5df90: 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT + 5dfa0: 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... + 5dfb0: 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP + 5dfc0: 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT + 5dfd0: 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... + 5dfe0: 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP + 5dff0: 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT + 5e000: 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP + 5e010: 4f494e54 5f494400 0000386d 09010400 OINT_ID...8m.... + 5e020: 00391904 00090104 00003922 04000400 .9........9".... + 5e030: 0001e704 000a0000 019b0104 00003932 ..............92 + 5e040: 04000400 00378704 00025f48 54435f43 .....7...._HTC_C + 5e050: 4f4e4649 47001400 0039b703 43726564 ONFIG....9..Cred + 5e060: 69745369 7a650000 0001e702 23000343 itSize......#..C + 5e070: 72656469 744e756d 62657200 000001e7 reditNumber..... + 5e080: 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... + 5e090: 30022308 03484946 48616e64 6c650000 0.#..HIFHandle.. + 5e0a0: 00289902 230c0350 6f6f6c48 616e646c .(..#..PoolHandl + 5e0b0: 65000000 36130223 1000025f 4854435f e...6..#..._HTC_ + 5e0c0: 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 + 5e0d0: f303656e 645f706f 696e7400 0000019b ..end_point..... + 5e0e0: 02230003 6874635f 666c6167 73000000 .#..htc_flags... + 5e0f0: 019b0223 01000668 74635f68 616e646c ...#...htc_handl + 5e100: 655f7400 0000022c 06485443 5f534554 e_t....,.HTC_SET + 5e110: 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 5e120: 0003c406 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 5e130: 00394604 00003a20 04000a00 0039f301 .9F...: .....9.. + 5e140: 0400003a 37040009 01040000 3a440400 ...:7.......:D.. + 5e150: 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 5e160: 87040000 3a4d0400 09010400 003a6504 ....:M.......:e. + 5e170: 00090104 00003a6e 04000901 0400003a ......:n.......: + 5e180: 7704000a 000001e7 01040000 3a800400 w...........:... + 5e190: 02687463 5f617069 73003400 003bfd03 .htc_apis.4..;.. + 5e1a0: 5f485443 5f496e69 74000000 3a3d0223 _HTC_Init...:=.# + 5e1b0: 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 5e1c0: 00003a46 02230403 5f485443 5f526567 ..:F.#.._HTC_Reg + 5e1d0: 69737465 72536572 76696365 0000003a isterService...: + 5e1e0: 67022308 035f4854 435f5265 61647900 g.#.._HTC_Ready. + 5e1f0: 00003a46 02230c03 5f485443 5f526574 ..:F.#.._HTC_Ret + 5e200: 75726e42 75666665 72730000 003a7002 urnBuffers...:p. + 5e210: 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 5e220: 66666572 734c6973 74000000 3a790223 ffersList...:y.# + 5e230: 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 5e240: 003a7002 2318035f 4854435f 47657452 .:p.#.._HTC_GetR + 5e250: 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 5e260: 00003a86 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg + 5e270: 52656376 48616e64 6c657200 00002846 RecvHandler...(F + 5e280: 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 5e290: 6548616e 646c6572 00000028 3d022324 eHandler...(=.#$ + 5e2a0: 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 5e2b0: 50726f63 6573734d 73670000 00391b02 ProcessMsg...9.. + 5e2c0: 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 5e2d0: 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 5e2e0: 706c6574 65000000 39240223 2c037052 plete...9$.#,.pR + 5e2f0: 65736572 76656400 0000022c 02233000 eserved....,.#0. + 5e300: 02686f73 745f6170 705f6172 65615f73 .host_app_area_s + 5e310: 00040000 3c2d0377 6d695f70 726f746f ....<-.wmi_proto + 5e320: 636f6c5f 76657200 00001605 02230000 col_ver......#.. + 5e330: 120e0000 3c640364 73744d61 63000000 ....` + 5e510: 03487463 48616e64 6c650000 0039f302 .HtcHandle...9.. + 5e520: 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... + 5e530: 36130223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl + 5e540: 79457674 73000000 01e70223 08034d61 yEvts......#..Ma + 5e550: 78457665 6e744576 74730000 0001e702 xEventEvts...... + 5e560: 230c0009 01040000 3e600400 06574d49 #.......>`...WMI + 5e570: 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 5e580: 62025f57 4d495f44 49535041 5443485f b._WMI_DISPATCH_ + 5e590: 454e5452 59000800 003ec903 70436d64 ENTRY....>..pCmd + 5e5a0: 48616e64 6c657200 00003e69 02230003 Handler...>i.#.. + 5e5b0: 436d6449 44000000 01c50223 0403466c CmdID......#..Fl + 5e5c0: 61677300 000001c5 02230600 025f574d ags......#..._WM + 5e5d0: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 5e5e0: 00100000 3f2a0370 4e657874 0000003f ....?*.pNext...? + 5e5f0: 2a022300 0370436f 6e746578 74000000 *.#..pContext... + 5e600: 022c0223 04034e75 6d626572 4f66456e .,.#..NumberOfEn + 5e610: 74726965 73000000 01e70223 08037054 tries......#..pT + 5e620: 61626c65 0000003f 4902230c 00040000 able...?I.#..... + 5e630: 3ec90400 06574d49 5f444953 50415443 >....WMI_DISPATC + 5e640: 485f454e 54525900 00003e7e 0400003f H_ENTRY...>~...? + 5e650: 31040004 00003ec9 04000648 54435f42 1.....>....HTC_B + 5e660: 55465f43 4f4e5445 58540000 0039b70f UF_CONTEXT...9.. + 5e670: 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 5e680: 003fe119 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 5e690: 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ + 5e6a0: 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 5e6b0: 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL + 5e6c0: 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... + 5e6d0: 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 5e6e0: 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 5e6f0: 53530000 003f6c02 5f574d49 5f425546 SS...?l._WMI_BUF + 5e700: 5f434f4e 54455854 000c0000 403f0348 _CONTEXT....@?.H + 5e710: 74634275 66437478 0000003f 57022300 tcBufCtx...?W.#. + 5e720: 03457665 6e74436c 61737300 00003fe1 .EventClass...?. + 5e730: 02230403 466c6167 73000000 01c50223 .#..Flags......# + 5e740: 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 5e750: 0000022c 06574d49 5f535643 5f434f4e ...,.WMI_SVC_CON + 5e760: 46494700 00003df7 04000040 5104000a FIG...=....@Q... + 5e770: 0000403f 01040000 406c0400 06574d49 ..@?....@l...WMI + 5e780: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 5e790: 00003ec9 04000040 79040009 01040000 ..>....@y....... + 5e7a0: 40980400 0a000026 31010400 0040a104 @......&1....@.. + 5e7b0: 00090104 000040ae 04000a00 0001e701 ......@......... + 5e7c0: 04000040 b7040009 01040000 40c40400 ...@........@... + 5e7d0: 0a000001 9b010400 0040cd04 00025f77 .........@...._w + 5e7e0: 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 5e7f0: 15035f57 4d495f49 6e697400 00004072 .._WMI_Init...@r + 5e800: 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe + 5e810: 72446973 70617463 68546162 6c650000 rDispatchTable.. + 5e820: 00409a02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo + 5e830: 63457665 6e740000 0040a702 2308035f cEvent...@..#.._ + 5e840: 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 5e850: 40b00223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe + 5e860: 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 5e870: 00000040 bd022310 035f574d 495f5365 ...@..#.._WMI_Se + 5e880: 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 5e890: 72000000 39240223 14035f57 4d495f47 r...9$.#.._WMI_G + 5e8a0: 6574436f 6e74726f 6c457000 000040bd etControlEp...@. + 5e8b0: 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 5e8c0: 6e000000 40c60223 1c035f57 4d495f52 n...@..#.._WMI_R + 5e8d0: 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 5e8e0: 72000000 391b0223 20035f57 4d495f53 r...9..# ._WMI_S + 5e8f0: 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 5e900: 40d30223 24037052 65736572 76656400 @..#$.pReserved. + 5e910: 0000022c 02232800 027a7344 6d614465 ...,.#(..zsDmaDe + 5e920: 73630014 00004297 03637472 6c000000 sc....B..ctrl... + 5e930: 01af0223 00037374 61747573 00000001 ...#..status.... + 5e940: af022302 03746f74 616c4c65 6e000000 ..#..totalLen... + 5e950: 01af0223 04036461 74615369 7a650000 ...#..dataSize.. + 5e960: 0001af02 2306036c 61737441 64647200 ....#..lastAddr. + 5e970: 00004297 02230803 64617461 41646472 ..B..#..dataAddr + 5e980: 00000004 3602230c 036e6578 74416464 ....6.#..nextAdd + 5e990: 72000000 42970223 10000400 00421504 r...B..#.....B.. + 5e9a0: 00040000 42150400 027a7344 6d615175 ....B....zsDmaQu + 5e9b0: 65756500 08000042 d7036865 61640000 eue....B..head.. + 5e9c0: 00429e02 23000374 65726d69 6e61746f .B..#..terminato + 5e9d0: 72000000 429e0223 0400027a 73547844 r...B..#...zsTxD + 5e9e0: 6d615175 65756500 10000043 3b036865 maQueue....C;.he + 5e9f0: 61640000 00429e02 23000374 65726d69 ad...B..#..termi + 5ea00: 6e61746f 72000000 429e0223 0403786d nator...B..#..xm + 5ea10: 69746564 5f627566 5f686561 64000000 ited_buf_head... + 5ea20: 03230223 0803786d 69746564 5f627566 .#.#..xmited_buf + 5ea30: 5f746169 6c000000 03230223 0c000901 _tail....#.#.... + 5ea40: 04000043 3b040004 000042a5 04000901 ...C;.....B..... + 5ea50: 04000043 4b040004 000042d7 04000901 ...CK.....B..... + 5ea60: 04000043 5b040009 01040000 43640400 ...C[.......Cd.. + 5ea70: 09010400 00436d04 000a0000 03230104 .....Cm......#.. + 5ea80: 00004376 04000901 04000043 8304000a ..Cv.......C.... + 5ea90: 00000323 01040000 438c0400 09010400 ...#....C....... + 5eaa0: 00439904 000a0000 01e70104 000043a2 .C............C. + 5eab0: 04000a00 00429e01 04000043 af040009 .....B.....C.... + 5eac0: 01040000 43bc0400 02646d61 5f656e67 ....C....dma_eng + 5ead0: 696e655f 61706900 40000045 32035f69 ine_api.@..E2._i + 5eae0: 6e697400 0000433d 02230003 5f696e69 nit...C=.#.._ini + 5eaf0: 745f7278 5f717565 75650000 00434d02 t_rx_queue...CM. + 5eb00: 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu + 5eb10: 65000000 435d0223 08035f63 6f6e6669 e...C].#.._confi + 5eb20: 675f7278 5f717565 75650000 00436602 g_rx_queue...Cf. + 5eb30: 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C + 5eb40: 6f022310 035f666c 7573685f 786d6974 o.#.._flush_xmit + 5eb50: 00000043 4d022314 035f7265 61705f72 ...CM.#.._reap_r + 5eb60: 6563765f 62756600 0000437c 02231803 ecv_buf...C|.#.. + 5eb70: 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 5eb80: 00000043 8502231c 035f7265 61705f78 ...C..#.._reap_x + 5eb90: 6d697465 645f6275 66000000 43920223 mited_buf...C..# + 5eba0: 20035f73 7761705f 64617461 00000043 ._swap_data...C + 5ebb0: 9b022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ + 5ebc0: 7061636b 65747300 000043a8 02232803 packets...C..#(. + 5ebd0: 5f646573 635f6475 6d700000 00434d02 _desc_dump...CM. + 5ebe0: 232c035f 6765745f 7061636b 65740000 #,._get_packet.. + 5ebf0: 0043b502 2330035f 7265636c 61696d5f .C..#0._reclaim_ + 5ec00: 7061636b 65740000 0043be02 2334035f packet...C..#4._ + 5ec10: 7075745f 7061636b 65740000 0043be02 put_packet...C.. + 5ec20: 23380370 52657365 72766564 00000002 #8.pReserved.... + 5ec30: 2c02233c 00065f41 5f636d6e 6f735f69 ,.#<.._A_cmnos_i + 5ec40: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 5ec50: 5f740000 00307106 574d495f 5356435f _t...0q.WMI_SVC_ + 5ec60: 41504953 00000040 da175f41 5f6d6167 APIS...@.._A_mag + 5ec70: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 5ec80: 7461626c 6500034c 00004660 03636d6e table..L..F`.cmn + 5ec90: 6f730000 00453202 23000364 62670000 os...E2.#..dbg.. + 5eca0: 00065f03 23b80303 68696600 0000293c .._.#...hif...)< + 5ecb0: 0323c003 03687463 0000003a 8d0323f8 .#...htc...:..#. + 5ecc0: 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 5ecd0: 45540323 ac040375 73626669 666f5f61 ET.#...usbfifo_a + 5ece0: 70690000 00324503 23d80403 6275665f pi...2E.#...buf_ + 5ecf0: 706f6f6c 00000036 ee0323e4 04037662 pool...6..#...vb + 5ed00: 75660000 00034d03 23800503 76646573 uf....M.#...vdes + 5ed10: 63000000 022f0323 94050361 6c6c6f63 c..../.#...alloc + 5ed20: 72616d00 00000bc1 0323a805 03646d61 ram......#...dma + 5ed30: 5f656e67 696e6500 000043c5 0323b405 _engine...C..#.. + 5ed40: 03646d61 5f6c6962 0000002b d00323f4 .dma_lib...+..#. + 5ed50: 05036869 665f7063 69000000 2e300323 ..hif_pci....0.# + 5ed60: a8060002 56425546 5f434f4e 54455854 ....VBUF_CONTEXT + 5ed70: 000c0000 46ad0366 7265655f 6275665f ....F..free_buf_ + 5ed80: 68656164 00000003 23022300 036e5662 head....#.#..nVb + 5ed90: 75664e75 6d000000 01e70223 04037052 ufNum......#..pR + 5eda0: 65736572 76656400 0000022c 02230800 eserved....,.#.. + 5edb0: 1a675f76 62756643 74780000 00466005 .g_vbufCtx...F`. + 5edc0: 0300500a f801065f 415f6d61 67706965 ..P...._A_magpie + 5edd0: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 5ede0: 6c655f74 00000045 66040000 034d0400 le_t...Ef....M.. + 5edf0: 09011b01 1d5f7662 75665f69 6e697400 ....._vbuf_init. + 5ee00: 01010392 01200290 00008e4e f0008e4f ..... .....N...O + 5ee10: 2b000047 321c011d 6e427566 00000001 +..G2...nBuf.... + 5ee20: e701521d 76627566 00000003 231d6900 ..R.vbuf....#.i. + 5ee30: 000001e7 001e0138 5f766275 665f616c .......8_vbuf_al + 5ee40: 6c6f635f 76627566 00000003 23010103 loc_vbuf....#... + 5ee50: 92012002 9000008e 4f2c008e 4f4a0000 .. .....O,..OJ.. + 5ee60: 476e1d61 6c6c6f63 42756600 00000323 Gn.allocBuf....# + 5ee70: 001b0148 5f766275 665f6672 65655f76 ...H_vbuf_free_v + 5ee80: 62756600 01010392 01200290 00008e4f buf...... .....O + 5ee90: 4c008e4f 60000047 a41c0148 62756600 L..O`..G...Hbuf. + 5eea0: 00000323 0152001f 01547662 75665f6d ...#.R...Tvbuf_m + 5eeb0: 6f64756c 655f696e 7374616c 6c000101 odule_install... + 5eec0: 03920120 02900000 8e4f6000 8e4f741c ... .....O`..Ot. + 5eed0: 01546170 69730000 0046e601 52000000 .Tapis...F..R... + 5eee0: 0000483f 00020000 227c0401 2f726f6f ..H?...."|../roo + 5eef0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5ef00: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5ef10: 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 5ef20: 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 5ef30: 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 5ef40: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 5ef50: 7372632f 76646573 632e6300 2f726f6f src/vdesc.c./roo + 5ef60: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5ef70: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5ef80: 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 5ef90: 64657363 0078742d 78636320 666f7220 desc.xt-xcc for + 5efa0: 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 5efb0: 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 5efc0: 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 5efd0: 61636500 01000001 52b2025f 56444553 ace.....R.._VDES + 5efe0: 43002400 00018603 6e657874 5f646573 C.$.....next_des + 5eff0: 63000000 01860223 00036275 665f6164 c......#..buf_ad + 5f000: 64720000 0001ab02 23040362 75665f73 dr......#..buf_s + 5f010: 697a6500 000001c8 02230803 64617461 ize......#..data + 5f020: 5f6f6666 73657400 000001c8 02230a03 _offset......#.. + 5f030: 64617461 5f73697a 65000000 01c80223 data_size......# + 5f040: 0c03636f 6e74726f 6c000000 01c80223 ..control......# + 5f050: 0e036877 5f646573 635f6275 66000000 ..hw_desc_buf... + 5f060: 01d60223 10000400 0000fa04 0005756e ...#..........un + 5f070: 7369676e 65642063 68617200 07010641 signed char....A + 5f080: 5f55494e 54380000 00018d04 0000019e _UINT8.......... + 5f090: 04000573 686f7274 20756e73 69676e65 ...short unsigne + 5f0a0: 6420696e 74000702 06415f55 494e5431 d int....A_UINT1 + 5f0b0: 36000000 01b20700 00019e14 000001e3 6............... + 5f0c0: 08130004 000000fa 04000569 6e740005 ...........int.. + 5f0d0: 04090104 000001f1 04000656 44455343 ...........VDESC + 5f0e0: 00000000 fa040000 01fa0400 0a000002 ................ + 5f0f0: 05010400 00020c04 000a0000 01ab0104 ................ + 5f100: 00000219 04000901 04000002 2604000b ............&... + 5f110: 04000276 64657363 5f617069 00140000 ...vdesc_api.... + 5f120: 02a1035f 696e6974 00000001 f3022300 ..._init......#. + 5f130: 035f616c 6c6f635f 76646573 63000000 ._alloc_vdesc... + 5f140: 02120223 04035f67 65745f68 775f6465 ...#.._get_hw_de + 5f150: 73630000 00021f02 2308035f 73776170 sc......#.._swap + 5f160: 5f766465 73630000 00022802 230c0370 _vdesc....(.#..p + 5f170: 52657365 72766564 00000002 2f022310 Reserved..../.#. + 5f180: 00090104 000002a1 04000563 68617200 ...........char. + 5f190: 07010c00 0002aa0c 000002aa 04000002 ................ + 5f1a0: b704000a 000001ea 01040000 02c30400 ................ + 5f1b0: 02707269 6e74665f 61706900 08000003 .printf_api..... + 5f1c0: 07035f70 72696e74 665f696e 69740000 .._printf_init.. + 5f1d0: 0002a302 2300035f 7072696e 74660000 ....#.._printf.. + 5f1e0: 0002c902 23040006 75696e74 31365f74 ....#...uint16_t + 5f1f0: 00000001 b2056c6f 6e672075 6e736967 ......long unsig + 5f200: 6e656420 696e7400 07040675 696e7433 ned int....uint3 + 5f210: 325f7400 00000315 02756172 745f6669 2_t......uart_fi + 5f220: 666f0008 00000383 03737461 72745f69 fo.......start_i + 5f230: 6e646578 00000003 07022300 03656e64 ndex......#..end + 5f240: 5f696e64 65780000 00030702 2302036f _index......#..o + 5f250: 76657272 756e5f65 72720000 00032a02 verrun_err....*. + 5f260: 23040002 75617274 5f617069 00200000 #...uart_api. .. + 5f270: 043c035f 75617274 5f696e69 74000000 .<._uart_init... + 5f280: 04930223 00035f75 6172745f 63686172 ...#.._uart_char + 5f290: 5f707574 00000004 a9022304 035f7561 _put......#.._ua + 5f2a0: 72745f63 6861725f 67657400 000004bd rt_char_get..... + 5f2b0: 02230803 5f756172 745f7374 725f6f75 .#.._uart_str_ou + 5f2c0: 74000000 04c60223 0c035f75 6172745f t......#.._uart_ + 5f2d0: 7461736b 00000002 a3022310 035f7561 task......#.._ua + 5f2e0: 72745f73 74617475 73000000 04930223 rt_status......# + 5f2f0: 14035f75 6172745f 636f6e66 69670000 .._uart_config.. + 5f300: 0004cf02 2318035f 75617274 5f687769 ....#.._uart_hwi + 5f310: 6e697400 000004d8 02231c00 04000003 nit......#...... + 5f320: 83040002 75617274 5f626c6b 00100000 ....uart_blk.... + 5f330: 048d0364 65627567 5f6d6f64 65000000 ...debug_mode... + 5f340: 03070223 00036261 75640000 00030702 ...#..baud...... + 5f350: 2302035f 75617274 00000004 3c022304 #.._uart....<.#. + 5f360: 035f7478 00000003 38022308 000a0000 ._tx....8.#..... + 5f370: 032a0104 0000048d 04000675 696e7438 .*.........uint8 + 5f380: 5f740000 00018d09 01040000 04a70400 _t.............. + 5f390: 04000004 9a04000a 00000307 01040000 ................ + 5f3a0: 04b70400 09010400 0004c404 00090104 ................ + 5f3b0: 000004cd 04000901 04000004 d6040004 ................ + 5f3c0: 000002aa 04000a00 0001ea01 04000004 ................ + 5f3d0: e6040002 44425f43 4f4d4d41 4e445f53 ....DB_COMMAND_S + 5f3e0: 54525543 54000c00 00053e03 636d645f TRUCT.....>.cmd_ + 5f3f0: 73747200 000004df 02230003 68656c70 str......#..help + 5f400: 5f737472 00000004 df022304 03636d64 _str......#..cmd + 5f410: 5f66756e 63000000 04ec0223 08000264 _func......#...d + 5f420: 62675f61 70690008 00000571 035f6462 bg_api.....q._db + 5f430: 675f696e 69740000 0002a302 2300035f g_init......#.._ + 5f440: 6462675f 7461736b 00000002 a3022304 dbg_task......#. + 5f450: 0005756e 7369676e 65642069 6e740007 ..unsigned int.. + 5f460: 040a0000 022f0104 00000581 04000d0d ...../.......... + 5f470: 04000005 8f04000a 0000022f 01040000 .........../.... + 5f480: 05970400 0a000001 ea010400 0005a404 ................ + 5f490: 00026d65 6d5f6170 69001400 00061303 ..mem_api....... + 5f4a0: 5f6d656d 5f696e69 74000000 02a30223 _mem_init......# + 5f4b0: 00035f6d 656d7365 74000000 05870223 .._memset......# + 5f4c0: 04035f6d 656d6370 79000000 059d0223 .._memcpy......# + 5f4d0: 08035f6d 656d6d6f 76650000 00059d02 .._memmove...... + 5f4e0: 230c035f 6d656d63 6d700000 0005aa02 #.._memcmp...... + 5f4f0: 2310000e 72656769 73746572 5f64756d #...register_dum + 5f500: 705f7300 00010400 00061304 00090104 p_s............. + 5f510: 0000062d 04000901 04000006 3604000a ...-........6... + 5f520: 000001ea 01040000 063f0400 0f686f73 .........?...hos + 5f530: 7469665f 73000400 00069b10 4849465f tif_s.......HIF_ + 5f540: 55534200 00104849 465f5043 49450001 USB...HIF_PCIE.. + 5f550: 10484946 5f474d41 43000210 4849465f .HIF_GMAC...HIF_ + 5f560: 50434900 03104849 465f4e55 4d000410 PCI...HIF_NUM... + 5f570: 4849465f 4e4f4e45 00050006 415f484f HIF_NONE....A_HO + 5f580: 53544946 00000006 4c0a0000 069b0104 STIF....L....... + 5f590: 000006a9 04000a00 00049a01 04000006 ................ + 5f5a0: b604000a 00000307 01040000 06c30400 ................ + 5f5b0: 026d6973 635f6170 69002400 0007b303 .misc_api.$..... + 5f5c0: 5f737973 74656d5f 72657365 74000000 _system_reset... + 5f5d0: 02a30223 00035f6d 61635f72 65736574 ...#.._mac_reset + 5f5e0: 00000002 a3022304 035f6173 73666169 ......#.._assfai + 5f5f0: 6c000000 062f0223 08035f6d 6973616c l..../.#.._misal + 5f600: 69676e65 645f6c6f 61645f68 616e646c igned_load_handl + 5f610: 65720000 00062f02 230c035f 7265706f er..../.#.._repo + 5f620: 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho + 5f630: 73740000 00063802 2310035f 74617267 st....8.#.._targ + 5f640: 65745f69 645f6765 74000000 06450223 et_id_get....E.# + 5f650: 14035f69 735f686f 73745f70 72657365 .._is_host_prese + 5f660: 6e740000 0006af02 2318035f 6b626869 nt......#.._kbhi + 5f670: 74000000 06bc0223 1c035f72 6f6d5f76 t......#.._rom_v + 5f680: 65727369 6f6e5f67 65740000 0006c902 ersion_get...... + 5f690: 2320000a 000004df 01040000 07b30400 # .............. + 5f6a0: 0a000004 df010400 0007c004 000a0000 ................ + 5f6b0: 01ea0104 000007cd 04000a00 0001ea01 ................ + 5f6c0: 04000007 da04000a 000001ea 01040000 ................ + 5f6d0: 07e70400 02737472 696e675f 61706900 .....string_api. + 5f6e0: 18000008 6d035f73 7472696e 675f696e ....m._string_in + 5f6f0: 69740000 0002a302 2300035f 73747263 it......#.._strc + 5f700: 70790000 0007b902 2304035f 7374726e py......#.._strn + 5f710: 63707900 000007c6 02230803 5f737472 cpy......#.._str + 5f720: 6c656e00 000007d3 02230c03 5f737472 len......#.._str + 5f730: 636d7000 000007e0 02231003 5f737472 cmp......#.._str + 5f740: 6e636d70 00000007 ed022314 00070000 ncmp......#..... + 5f750: 05711400 00087a08 0400065f 415f5449 .q....z...._A_TI + 5f760: 4d45525f 53504143 45000000 086d0641 MER_SPACE....m.A + 5f770: 5f74696d 65725f74 00000008 7a040000 _timer_t....z... + 5f780: 088e0400 09010400 0008a404 00090104 ................ + 5f790: 000008ad 04000641 5f48414e 444c4500 .......A_HANDLE. + 5f7a0: 00000571 09010641 5f54494d 45525f46 ...q...A_TIMER_F + 5f7b0: 554e4300 000008c4 04000008 c6040009 UNC............. + 5f7c0: 01040000 08df0400 0274696d 65725f61 .........timer_a + 5f7d0: 70690014 0000095e 035f7469 6d65725f pi.....^._timer_ + 5f7e0: 696e6974 00000002 a3022300 035f7469 init......#.._ti + 5f7f0: 6d65725f 61726d00 000008a6 02230403 mer_arm......#.. + 5f800: 5f74696d 65725f64 69736172 6d000000 _timer_disarm... + 5f810: 08af0223 08035f74 696d6572 5f736574 ...#.._timer_set + 5f820: 666e0000 0008e102 230c035f 74696d65 fn......#.._time + 5f830: 725f7275 6e000000 02a30223 10000642 r_run......#...B + 5f840: 4f4f4c45 414e0000 0003070a 0000095e OOLEAN.........^ + 5f850: 01040000 096b0400 0a000009 5e010400 .....k......^... + 5f860: 00097804 000a0000 095e0104 00000985 ..x......^...... + 5f870: 04000272 6f6d705f 61706900 10000009 ...romp_api..... + 5f880: f7035f72 6f6d705f 696e6974 00000002 .._romp_init.... + 5f890: a3022300 035f726f 6d705f64 6f776e6c ..#.._romp_downl + 5f8a0: 6f616400 00000971 02230403 5f726f6d oad....q.#.._rom + 5f8b0: 705f696e 7374616c 6c000000 097e0223 p_install....~.# + 5f8c0: 08035f72 6f6d705f 6465636f 64650000 .._romp_decode.. + 5f8d0: 00098b02 230c0002 726f6d5f 70617463 ....#...rom_patc + 5f8e0: 685f7374 00100000 0a530363 72633136 h_st.....S.crc16 + 5f8f0: 00000003 07022300 036c656e 00000003 ......#..len.... + 5f900: 07022302 036c645f 61646472 00000003 ..#..ld_addr.... + 5f910: 2a022304 0366756e 5f616464 72000000 *.#..fun_addr... + 5f920: 032a0223 08037066 756e0000 0004b002 .*.#..pfun...... + 5f930: 230c0002 6565705f 72656469 725f6164 #...eep_redir_ad + 5f940: 64720004 00000a85 036f6666 73657400 dr.......offset. + 5f950: 00000307 02230003 73697a65 00000003 .....#..size.... + 5f960: 07022302 0006415f 55494e54 33320000 ..#...A_UINT32.. + 5f970: 0005710a 0000022f 01040000 0a930400 ..q..../........ + 5f980: 02616c6c 6f637261 6d5f6170 69000c00 .allocram_api... + 5f990: 000b0403 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr + 5f9a0: 616d5f69 6e697400 00000a99 02230003 am_init......#.. + 5f9b0: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 5f9c0: 000a9902 23040363 6d6e6f73 5f616c6c ....#..cmnos_all + 5f9d0: 6f637261 6d5f6465 62756700 000002a3 ocram_debug..... + 5f9e0: 02230800 09010400 000b0404 0006415f .#............A_ + 5f9f0: 5441534b 4c45545f 46554e43 0000000b TASKLET_FUNC.... + 5fa00: 06025f74 61736b6c 65740010 00000b65 .._tasklet.....e + 5fa10: 0366756e 63000000 0b0d0223 00036172 .func......#..ar + 5fa20: 67000000 022f0223 04037374 61746500 g..../.#..state. + 5fa30: 000001ea 02230803 6e657874 0000000b .....#..next.... + 5fa40: 6502230c 00040000 0b210400 0400000b e.#......!...... + 5fa50: 21040006 415f7461 736b6c65 745f7400 !...A_tasklet_t. + 5fa60: 00000b21 0400000b 73040009 01040000 ...!....s....... + 5fa70: 0b8b0400 09010400 000b9404 00027461 ..............ta + 5fa80: 736b6c65 745f6170 69001400 000c2903 sklet_api.....). + 5fa90: 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 5faa0: 02a30223 00035f74 61736b6c 65745f69 ...#.._tasklet_i + 5fab0: 6e69745f 7461736b 0000000b 8d022304 nit_task......#. + 5fac0: 035f7461 736b6c65 745f6469 7361626c ._tasklet_disabl + 5fad0: 65000000 0b960223 08035f74 61736b6c e......#.._taskl + 5fae0: 65745f73 63686564 756c6500 00000b96 et_schedule..... + 5faf0: 02230c03 5f746173 6b6c6574 5f72756e .#.._tasklet_run + 5fb00: 00000002 a3022310 00090104 00000c29 ......#........) + 5fb10: 04000a00 000a8501 0400000c 32040002 ............2... + 5fb20: 636c6f63 6b5f6170 69002400 000d1803 clock_api.$..... + 5fb30: 5f636c6f 636b5f69 6e697400 00000c2b _clock_init....+ + 5fb40: 02230003 5f636c6f 636b7265 67735f69 .#.._clockregs_i + 5fb50: 6e697400 000002a3 02230403 5f756172 nit......#.._uar + 5fb60: 745f6672 65717565 6e637900 00000c38 t_frequency....8 + 5fb70: 02230803 5f64656c 61795f75 73000000 .#.._delay_us... + 5fb80: 01f30223 0c035f77 6c616e5f 62616e64 ...#.._wlan_band + 5fb90: 5f736574 00000001 f3022310 035f7265 _set......#.._re + 5fba0: 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 5fbb0: 000c3802 2314035f 6d696c6c 69736563 ..8.#.._millisec + 5fbc0: 6f6e6473 0000000c 38022318 035f7379 onds....8.#.._sy + 5fbd0: 73636c6b 5f636861 6e676500 000002a3 sclk_change..... + 5fbe0: 02231c03 5f636c6f 636b5f74 69636b00 .#.._clock_tick. + 5fbf0: 000002a3 02232000 0a000003 2a010400 .....# .....*... + 5fc00: 000d1804 0006415f 6f6c645f 696e7472 ......A_old_intr + 5fc10: 5f740000 00032a0a 00000d25 01040000 _t....*....%.... + 5fc20: 0d370400 09010400 000d4404 00090104 .7........D..... + 5fc30: 00000d4d 04000a00 00032a01 0400000d ...M......*..... + 5fc40: 56040006 415f6973 725f7400 00000d5c V...A_isr_t....\ + 5fc50: 09010400 000d7004 000a0000 05710104 ......p......q.. + 5fc60: 00000d79 04000901 0400000d 86040002 ...y............ + 5fc70: 696e7472 5f617069 002c0000 0ea8035f intr_api.,....._ + 5fc80: 696e7472 5f696e69 74000000 02a30223 intr_init......# + 5fc90: 00035f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i + 5fca0: 73720000 000d1e02 2304035f 696e7472 sr......#.._intr + 5fcb0: 5f646973 61626c65 0000000d 3d022308 _disable....=.#. + 5fcc0: 035f696e 74725f72 6573746f 72650000 ._intr_restore.. + 5fcd0: 000d4602 230c035f 696e7472 5f6d6173 ..F.#.._intr_mas + 5fce0: 6b5f696e 756d0000 000d4f02 2310035f k_inum....O.#.._ + 5fcf0: 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum + 5fd00: 0000000d 4f022314 035f696e 74725f61 ....O.#.._intr_a + 5fd10: 74746163 685f6973 72000000 0d720223 ttach_isr....r.# + 5fd20: 18035f67 65745f69 6e747265 6e61626c .._get_intrenabl + 5fd30: 65000000 0d7f0223 1c035f73 65745f69 e......#.._set_i + 5fd40: 6e747265 6e61626c 65000000 0d880223 ntrenable......# + 5fd50: 20035f67 65745f69 6e747270 656e6469 ._get_intrpendi + 5fd60: 6e670000 000d7f02 2324035f 756e626c ng......#$._unbl + 5fd70: 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. + 5fd80: 000002a3 02232800 11040000 0ece0374 .....#(........t + 5fd90: 696d656f 75740000 00032a02 23000361 imeout....*.#..a + 5fda0: 6374696f 6e000000 032a0223 00001208 ction....*.#.... + 5fdb0: 00000ee9 03636d64 00000003 2a022300 .....cmd....*.#. + 5fdc0: 1300000e a8022304 0006545f 5744545f ......#...T_WDT_ + 5fdd0: 434d4400 00000ece 09010400 000ef804 CMD............. + 5fde0: 00140400 000f4e10 454e554d 5f574454 ......N.ENUM_WDT + 5fdf0: 5f424f4f 54000110 454e554d 5f434f4c _BOOT...ENUM_COL + 5fe00: 445f424f 4f540002 10454e55 4d5f5355 D_BOOT...ENUM_SU + 5fe10: 53505f42 4f4f5400 0310454e 554d5f55 SP_BOOT...ENUM_U + 5fe20: 4e4b4e4f 574e5f42 4f4f5400 04000654 NKNOWN_BOOT....T + 5fe30: 5f424f4f 545f5459 50450000 000f010a _BOOT_TYPE...... + 5fe40: 00000f4e 01040000 0f5f0400 02776474 ...N....._...wdt + 5fe50: 5f617069 001c0000 1003035f 7764745f _api......._wdt_ + 5fe60: 696e6974 00000002 a3022300 035f7764 init......#.._wd + 5fe70: 745f656e 61626c65 00000002 a3022304 t_enable......#. + 5fe80: 035f7764 745f6469 7361626c 65000000 ._wdt_disable... + 5fe90: 02a30223 08035f77 64745f73 65740000 ...#.._wdt_set.. + 5fea0: 000efa02 230c035f 7764745f 7461736b ....#.._wdt_task + 5feb0: 00000002 a3022310 035f7764 745f7265 ......#.._wdt_re + 5fec0: 73657400 000002a3 02231403 5f776474 set......#.._wdt + 5fed0: 5f6c6173 745f626f 6f740000 000f6502 _last_boot....e. + 5fee0: 23180014 04000010 6a105245 545f5355 #.......j.RET_SU + 5fef0: 43434553 53000010 5245545f 4e4f545f CCESS...RET_NOT_ + 5ff00: 494e4954 00011052 45545f4e 4f545f45 INIT...RET_NOT_E + 5ff10: 58495354 00021052 45545f45 45505f43 XIST...RET_EEP_C + 5ff20: 4f525255 50540003 10524554 5f454550 ORRUPT...RET_EEP + 5ff30: 5f4f5645 52464c4f 57000410 5245545f _OVERFLOW...RET_ + 5ff40: 554e4b4e 4f574e00 05000654 5f454550 UNKNOWN....T_EEP + 5ff50: 5f524554 00000010 03040000 03070400 _RET............ + 5ff60: 0a000010 6a010400 00108004 000a0000 ....j........... + 5ff70: 106a0104 0000108d 04000265 65705f61 .j.........eep_a + 5ff80: 70690010 000010f6 035f6565 705f696e pi......._eep_in + 5ff90: 69740000 0002a302 2300035f 6565705f it......#.._eep_ + 5ffa0: 72656164 00000010 86022304 035f6565 read......#.._ee + 5ffb0: 705f7772 69746500 00001086 02230803 p_write......#.. + 5ffc0: 5f656570 5f69735f 65786973 74000000 _eep_is_exist... + 5ffd0: 10930223 0c000275 73625f61 70690070 ...#...usb_api.p + 5ffe0: 000013a3 035f7573 625f696e 69740000 ....._usb_init.. + 5fff0: 0002a302 2300035f 7573625f 726f6d5f ....#.._usb_rom_ + 60000: 7461736b 00000002 a3022304 035f7573 task......#.._us + 60010: 625f6677 5f746173 6b000000 02a30223 b_fw_task......# + 60020: 08035f75 73625f69 6e69745f 70687900 .._usb_init_phy. + 60030: 000002a3 02230c03 5f757362 5f657030 .....#.._usb_ep0 + 60040: 5f736574 75700000 0002a302 2310035f _setup......#.._ + 60050: 7573625f 6570305f 74780000 0002a302 usb_ep0_tx...... + 60060: 2314035f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. + 60070: 0002a302 2318035f 7573625f 6765745f ....#.._usb_get_ + 60080: 696e7465 72666163 65000000 097e0223 interface....~.# + 60090: 1c035f75 73625f73 65745f69 6e746572 .._usb_set_inter + 600a0: 66616365 00000009 7e022320 035f7573 face....~.# ._us + 600b0: 625f6765 745f636f 6e666967 75726174 b_get_configurat + 600c0: 696f6e00 0000097e 02232403 5f757362 ion....~.#$._usb + 600d0: 5f736574 5f636f6e 66696775 72617469 _set_configurati + 600e0: 6f6e0000 00097e02 2328035f 7573625f on....~.#(._usb_ + 600f0: 7374616e 64617264 5f636d64 00000009 standard_cmd.... + 60100: 7e02232c 035f7573 625f7665 6e646f72 ~.#,._usb_vendor + 60110: 5f636d64 00000002 a3022330 035f7573 _cmd......#0._us + 60120: 625f706f 7765725f 6f666600 000002a3 b_power_off..... + 60130: 02233403 5f757362 5f726573 65745f66 .#4._usb_reset_f + 60140: 69666f00 000002a3 02233803 5f757362 ifo......#8._usb + 60150: 5f67656e 5f776474 00000002 a302233c _gen_wdt......#< + 60160: 035f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. + 60170: 000002a3 02234003 5f757362 5f636c72 .....#@._usb_clr + 60180: 5f666561 74757265 00000009 7e022344 _feature....~.#D + 60190: 035f7573 625f7365 745f6665 61747572 ._usb_set_featur + 601a0: 65000000 097e0223 48035f75 73625f73 e....~.#H._usb_s + 601b0: 65745f61 64647265 73730000 00097e02 et_address....~. + 601c0: 234c035f 7573625f 6765745f 64657363 #L._usb_get_desc + 601d0: 72697074 6f720000 00097e02 2350035f riptor....~.#P._ + 601e0: 7573625f 6765745f 73746174 75730000 usb_get_status.. + 601f0: 00097e02 2354035f 7573625f 73657475 ..~.#T._usb_setu + 60200: 705f6465 73630000 0002a302 2358035f p_desc......#X._ + 60210: 7573625f 7265675f 6f757400 000002a3 usb_reg_out..... + 60220: 02235c03 5f757362 5f737461 7475735f .#\._usb_status_ + 60230: 696e0000 0002a302 2360035f 7573625f in......#`._usb_ + 60240: 6570305f 74785f64 61746100 000002a3 ep0_tx_data..... + 60250: 02236403 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ + 60260: 64617461 00000002 a3022368 035f7573 data......#h._us + 60270: 625f636c 6b5f696e 69740000 0002a302 b_clk_init...... + 60280: 236c0002 5f564255 46002000 00140303 #l.._VBUF. ..... + 60290: 64657363 5f6c6973 74000000 02050223 desc_list......# + 602a0: 00036e65 78745f62 75660000 00140302 ..next_buf...... + 602b0: 23040362 75665f6c 656e6774 68000000 #..buf_length... + 602c0: 01c80223 08037265 73657276 65640000 ...#..reserved.. + 602d0: 00140a02 230a0363 74780000 0001d602 ....#..ctx...... + 602e0: 230c0004 000013a3 04000700 00019e02 #............... + 602f0: 00001417 08010004 000013a3 04000656 ...............V + 60300: 42554600 000013a3 04000014 1e04000a BUF............. + 60310: 00001428 01040000 142f0400 0a000014 ...(...../...... + 60320: 28010400 00143c04 00090104 00001449 (.....<........I + 60330: 04000276 6275665f 61706900 14000014 ...vbuf_api..... + 60340: c7035f69 6e697400 000001f3 02230003 .._init......#.. + 60350: 5f616c6c 6f635f76 62756600 00001435 _alloc_vbuf....5 + 60360: 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 60370: 77697468 5f73697a 65000000 14420223 with_size....B.# + 60380: 08035f66 7265655f 76627566 00000014 .._free_vbuf.... + 60390: 4b02230c 03705265 73657276 65640000 K.#..pReserved.. + 603a0: 00022f02 23100002 5f5f6164 665f6465 ../.#...__adf_de + 603b0: 76696365 00040000 14e90364 756d6d79 vice.......dummy + 603c0: 00000001 ea022300 00040000 0a850400 ......#......... + 603d0: 025f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 603e0: 00001530 03627566 00000014 28022300 ...0.buf....(.#. + 603f0: 0364735f 61646472 00000014 e9022304 .ds_addr......#. + 60400: 0364735f 6c656e00 000001c8 02230800 .ds_len......#.. + 60410: 120c0000 156a035f 5f76615f 73746b00 .....j.__va_stk. + 60420: 000004df 02230003 5f5f7661 5f726567 .....#..__va_reg + 60430: 00000004 df022304 035f5f76 615f6e64 ......#..__va_nd + 60440: 78000000 01ea0223 0800065f 5f616466 x......#...__adf + 60450: 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 60460: 000a8506 6164665f 6f735f64 6d615f61 ....adf_os_dma_a + 60470: 6464725f 74000000 156a065f 5f616466 ddr_t....j.__adf + 60480: 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 60490: 000a8506 6164665f 6f735f64 6d615f73 ....adf_os_dma_s + 604a0: 697a655f 74000000 159a025f 5f646d61 ize_t......__dma + 604b0: 5f736567 73000800 0015f603 70616464 _segs.......padd + 604c0: 72000000 15830223 00036c65 6e000000 r......#..len... + 604d0: 15b30223 0400065f 5f615f75 696e7433 ...#...__a_uint3 + 604e0: 325f7400 00000a85 06615f75 696e7433 2_t......a_uint3 + 604f0: 325f7400 000015f6 07000015 ca080000 2_t............. + 60500: 16250800 00026164 665f6f73 5f646d61 .%....adf_os_dma + 60510: 6d61705f 696e666f 000c0000 165e036e map_info.....^.n + 60520: 73656773 00000016 08022300 03646d61 segs......#..dma + 60530: 5f736567 73000000 16180223 0400065f _segs......#..._ + 60540: 5f615f75 696e7438 5f740000 00019e06 _a_uint8_t...... + 60550: 615f7569 6e74385f 74000000 165e0400 a_uint8_t....^.. + 60560: 00166f04 00025f5f 73675f73 65677300 ..o...__sg_segs. + 60570: 08000016 b0037661 64647200 0000167e ......vaddr....~ + 60580: 02230003 6c656e00 00001608 02230400 .#..len......#.. + 60590: 07000016 85200000 16bd0803 00026164 ..... ........ad + 605a0: 665f6f73 5f73676c 69737400 24000016 f_os_sglist.$... + 605b0: f0036e73 65677300 00001608 02230003 ..nsegs......#.. + 605c0: 73675f73 65677300 000016b0 02230400 sg_segs......#.. + 605d0: 12100000 17390376 656e646f 72000000 .....9.vendor... + 605e0: 16080223 00036465 76696365 00000016 ...#..device.... + 605f0: 08022304 03737562 76656e64 6f720000 ..#..subvendor.. + 60600: 00160802 23080373 75626465 76696365 ....#..subdevice + 60610: 00000016 0802230c 00056c6f 6e67206c ......#...long l + 60620: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 60630: 00070806 415f5549 4e543634 00000017 ....A_UINT64.... + 60640: 39065f5f 615f7569 6e743634 5f740000 9.__a_uint64_t.. + 60650: 00175306 615f7569 6e743634 5f740000 ..S.a_uint64_t.. + 60660: 00176114 04000017 bf104144 465f4f53 ..a.......ADF_OS + 60670: 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 60680: 454d0000 10414446 5f4f535f 5245534f EM...ADF_OS_RESO + 60690: 55524345 5f545950 455f494f 00010006 URCE_TYPE_IO.... + 606a0: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 606b0: 74797065 5f740000 00178312 18000018 type_t.......... + 606c0: 09037374 61727400 00001773 02230003 ..start....s.#.. + 606d0: 656e6400 00001773 02230803 74797065 end....s.#..type + 606e0: 00000017 bf022310 00066164 665f6f73 ......#...adf_os + 606f0: 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 60700: 16f00400 00180904 00110400 00184803 ..............H. + 60710: 70636900 00001822 02230003 72617700 pci....".#..raw. + 60720: 0000022f 02230000 11100000 18670370 .../.#.......g.p + 60730: 63690000 00180902 23000372 61770000 ci......#..raw.. + 60740: 00022f02 23000006 6164665f 6472765f ../.#...adf_drv_ + 60750: 68616e64 6c655f74 00000002 2f066164 handle_t..../.ad + 60760: 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 60770: 000017db 04000018 7d040006 6164665f ........}...adf_ + 60780: 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 60790: 00000018 48040000 189b0400 04000014 ....H........... + 607a0: c7040006 5f5f6164 665f6f73 5f646576 ....__adf_os_dev + 607b0: 6963655f 74000000 18bc0661 64665f6f ice_t......adf_o + 607c0: 735f6465 76696365 5f740000 0018c30a s_device_t...... + 607d0: 00001867 01040000 18ef0400 09010400 ...g............ + 607e0: 0018fc04 00066164 665f6f73 5f706d5f ......adf_os_pm_ + 607f0: 74000000 022f0901 04000019 16040014 t..../.......... + 60800: 04000019 56104144 465f4f53 5f425553 ....V.ADF_OS_BUS + 60810: 5f545950 455f5043 49000110 4144465f _TYPE_PCI...ADF_ + 60820: 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 60830: 52494300 02000661 64665f6f 735f6275 RIC....adf_os_bu + 60840: 735f7479 70655f74 00000019 1f066164 s_type_t......ad + 60850: 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 60860: 615f7400 00001829 04000001 8d040002 a_t....)........ + 60870: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 60880: 001a3303 6472765f 61747461 63680000 ..3.drv_attach.. + 60890: 0018f502 23000364 72765f64 65746163 ....#..drv_detac + 608a0: 68000000 18fe0223 04036472 765f7375 h......#..drv_su + 608b0: 7370656e 64000000 19180223 08036472 spend......#..dr + 608c0: 765f7265 73756d65 00000018 fe02230c v_resume......#. + 608d0: 03627573 5f747970 65000000 19560223 .bus_type....V.# + 608e0: 10036275 735f6461 74610000 00196d02 ..bus_data....m. + 608f0: 2314036d 6f645f6e 616d6500 00001988 #..mod_name..... + 60900: 02231803 69666e61 6d650000 00198802 .#..ifname...... + 60910: 231c0006 6164665f 6f735f68 616e646c #...adf_os_handl + 60920: 655f7400 0000022f 04000016 5e040009 e_t..../....^... + 60930: 01090106 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 60940: 655f7400 00000571 14040000 1a821041 e_t....q.......A + 60950: 5f46414c 53450000 10415f54 52554500 _FALSE...A_TRUE. + 60960: 01000661 5f626f6f 6c5f7400 00001a68 ...a_bool_t....h + 60970: 04000014 f0040006 5f5f6164 665f6f73 ........__adf_os + 60980: 5f646d61 5f6d6170 5f740000 001a9009 _dma_map_t...... + 60990: 010f6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 609a0: 796e6300 0400001b 1a104144 465f5359 ync.......ADF_SY + 609b0: 4e435f50 52455245 41440000 10414446 NC_PREREAD...ADF + 609c0: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 609d0: 10414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 609e0: 41440001 10414446 5f53594e 435f504f AD...ADF_SYNC_PO + 609f0: 53545752 49544500 03000661 64665f6f STWRITE....adf_o + 60a00: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 60a10: 001ab109 01066164 665f6f73 5f73697a ......adf_os_siz + 60a20: 655f7400 00001a53 0a00001b 35010661 e_t....S....5..a + 60a30: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 60a40: 00001a97 0400001b 4e04000a 0000022f ........N....../ + 60a50: 01040000 1a970400 0a000002 2f010901 ............/... + 60a60: 0a000015 83010901 0573686f 72742069 .........short i + 60a70: 6e740005 0206415f 494e5431 36000000 nt....A_INT16... + 60a80: 1b88065f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 60a90: 001b9506 615f696e 7431365f 74000000 ....a_int16_t... + 60aa0: 1ba20573 69676e65 64206368 61720005 ...signed char.. + 60ab0: 0106415f 494e5438 0000001b c2065f5f ..A_INT8......__ + 60ac0: 615f696e 74385f74 0000001b d106615f a_int8_t......a_ + 60ad0: 696e7438 5f740000 001bdd12 0c00001c int8_t.......... + 60ae0: 54037375 70706f72 74656400 00001608 T.supported..... + 60af0: 02230003 61647665 7274697a 65640000 .#..advertized.. + 60b00: 00160802 23040373 70656564 0000001b ....#..speed.... + 60b10: b3022308 03647570 6c657800 00001bed ..#..duplex..... + 60b20: 02230a03 6175746f 6e656700 0000166f .#..autoneg....o + 60b30: 02230b00 07000016 6f060000 1c610805 .#......o....a.. + 60b40: 00026164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 60b50: 72000600 001c8503 61646472 0000001c r.......addr.... + 60b60: 54022300 00065f5f 615f7569 6e743136 T.#...__a_uint16 + 60b70: 5f740000 0001c806 615f7569 6e743136 _t......a_uint16 + 60b80: 5f740000 001c8512 0e00001c e9036574 _t............et + 60b90: 6865725f 64686f73 74000000 1c540223 her_dhost....T.# + 60ba0: 00036574 6865725f 73686f73 74000000 ..ether_shost... + 60bb0: 1c540223 06036574 6865725f 74797065 .T.#..ether_type + 60bc0: 0000001c 9702230c 00121400 001daa15 ......#......... + 60bd0: 69705f76 65727369 6f6e0000 00166f01 ip_version....o. + 60be0: 00040223 00156970 5f686c00 0000166f ...#..ip_hl....o + 60bf0: 01040402 23000369 705f746f 73000000 ....#..ip_tos... + 60c00: 166f0223 01036970 5f6c656e 0000001c .o.#..ip_len.... + 60c10: 97022302 0369705f 69640000 001c9702 ..#..ip_id...... + 60c20: 23040369 705f6672 61675f6f 66660000 #..ip_frag_off.. + 60c30: 001c9702 23060369 705f7474 6c000000 ....#..ip_ttl... + 60c40: 166f0223 08036970 5f70726f 746f0000 .o.#..ip_proto.. + 60c50: 00166f02 23090369 705f6368 65636b00 ..o.#..ip_check. + 60c60: 00001c97 02230a03 69705f73 61646472 .....#..ip_saddr + 60c70: 00000016 0802230c 0369705f 64616464 ......#..ip_dadd + 60c80: 72000000 16080223 10000261 64665f6e r......#...adf_n + 60c90: 65745f76 6c616e68 64720004 00001dfc et_vlanhdr...... + 60ca0: 03747069 64000000 1c970223 00157072 .tpid......#..pr + 60cb0: 696f0000 00166f01 00030223 02156366 io....o....#..cf + 60cc0: 69000000 166f0103 01022302 15766964 i....o....#..vid + 60cd0: 0000001c 9702040c 02230200 02616466 .........#...adf + 60ce0: 5f6e6574 5f766964 00020000 1e2d1572 _net_vid.....-.r + 60cf0: 65730000 00166f01 00040223 00157661 es....o....#..va + 60d00: 6c000000 1c970204 0c022300 00120c00 l.........#..... + 60d10: 001e6903 72785f62 75667369 7a650000 ..i.rx_bufsize.. + 60d20: 00160802 23000372 785f6e64 65736300 ....#..rx_ndesc. + 60d30: 00001608 02230403 74785f6e 64657363 .....#..tx_ndesc + 60d40: 00000016 08022308 00120800 001e8f03 ......#......... + 60d50: 706f6c6c 65640000 001a8202 23000370 polled......#..p + 60d60: 6f6c6c5f 77740000 00160802 23040007 oll_wt......#... + 60d70: 0000166f 4000001e 9c083f00 12460000 ...o@.....?..F.. + 60d80: 1ec40369 665f6e61 6d650000 001e8f02 ...if_name...... + 60d90: 23000364 65765f61 64647200 00001c54 #..dev_addr....T + 60da0: 02234000 14040000 1efb1041 44465f4f .#@........ADF_O + 60db0: 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 60dc0: 00001041 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 60dd0: 534b5f36 34424954 00010006 6164665f SK_64BIT....adf_ + 60de0: 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 60df0: 1ec40261 64665f64 6d615f69 6e666f00 ...adf_dma_info. + 60e00: 0800001f 4803646d 615f6d61 736b0000 ....H.dma_mask.. + 60e10: 001efb02 23000373 675f6e73 65677300 ....#..sg_nsegs. + 60e20: 00001608 02230400 14040000 1f9e1041 .....#.........A + 60e30: 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 60e40: 45000010 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 60e50: 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 60e60: 10414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 60e70: 43505f55 44505f49 50763600 02000661 CP_UDP_IPv6....a + 60e80: 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 60e90: 655f7400 00001f48 12080000 1fe10374 e_t....H.......t + 60ea0: 785f636b 73756d00 00001f9e 02230003 x_cksum......#.. + 60eb0: 72785f63 6b73756d 0000001f 9e022304 rx_cksum......#. + 60ec0: 00066164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 60ed0: 696e666f 5f740000 001fb814 04000020 info_t......... + 60ee0: 3a104144 465f4e45 545f5453 4f5f4e4f :.ADF_NET_TSO_NO + 60ef0: 4e450000 10414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 60f00: 5f495056 34000110 4144465f 4e45545f _IPV4...ADF_NET_ + 60f10: 54534f5f 414c4c00 02000661 64665f6e TSO_ALL....adf_n + 60f20: 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 60f30: 1ffb1210 0000208e 03636b73 756d5f63 ...... ..cksum_c + 60f40: 61700000 001fe102 23000374 736f0000 ap......#..tso.. + 60f50: 00203a02 23080376 6c616e5f 73757070 . :.#..vlan_supp + 60f60: 6f727465 64000000 166f0223 0c001220 orted....o.#... + 60f70: 00002127 0374785f 7061636b 65747300 ..!'.tx_packets. + 60f80: 00001608 02230003 72785f70 61636b65 .....#..rx_packe + 60f90: 74730000 00160802 23040374 785f6279 ts......#..tx_by + 60fa0: 74657300 00001608 02230803 72785f62 tes......#..rx_b + 60fb0: 79746573 00000016 0802230c 0374785f ytes......#..tx_ + 60fc0: 64726f70 70656400 00001608 02231003 dropped......#.. + 60fd0: 72785f64 726f7070 65640000 00160802 rx_dropped...... + 60fe0: 23140372 785f6572 726f7273 00000016 #..rx_errors.... + 60ff0: 08022318 0374785f 6572726f 72730000 ..#..tx_errors.. + 61000: 00160802 231c0006 6164665f 6e65745f ....#...adf_net_ + 61010: 65746861 6464725f 74000000 1c611600 ethaddr_t....a.. + 61020: 00212703 00000021 4c087f00 17616466 .!'....!L....adf + 61030: 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 61040: 03040000 2183036e 656c656d 00000016 ....!..nelem.... + 61050: 08022300 036d6361 73740000 00213e02 ..#..mcast...!>. + 61060: 23040006 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 61070: 6c696e6b 5f696e66 6f5f7400 00001bfb link_info_t..... + 61080: 06616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 61090: 6c5f696e 666f5f74 0000001e 69066164 l_info_t....i.ad + 610a0: 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 610b0: 696e666f 5f740000 001fe106 6164665f info_t......adf_ + 610c0: 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 610d0: 6f5f7400 00001e2d 06616466 5f6e6574 o_t....-.adf_net + 610e0: 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 610f0: 00001f12 06616466 5f6e6574 5f636d64 .....adf_net_cmd + 61100: 5f766964 5f740000 001c9706 6164665f _vid_t......adf_ + 61110: 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 61120: 6361705f 74000000 20520661 64665f6e cap_t... R.adf_n + 61130: 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 61140: 00208e06 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 61150: 6d636164 64725f74 00000021 4c0f6164 mcaddr_t...!L.ad + 61160: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 61170: 63617000 04000022 c5104144 465f4e45 cap...."..ADF_NE + 61180: 545f4d43 4153545f 53555000 00104144 T_MCAST_SUP...AD + 61190: 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 611a0: 55500001 00066164 665f6e65 745f636d UP....adf_net_cm + 611b0: 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 611c0: 227d1803 04000023 97036c69 6e6b5f69 "}.....#..link_i + 611d0: 6e666f00 00002183 02230003 706f6c6c nfo...!..#..poll + 611e0: 5f696e66 6f000000 21a00223 0003636b _info...!..#..ck + 611f0: 73756d5f 696e666f 00000021 bd022300 sum_info...!..#. + 61200: 0372696e 675f696e 666f0000 0021db02 .ring_info...!.. + 61210: 23000364 6d615f69 6e666f00 000021f8 #..dma_info...!. + 61220: 02230003 76696400 00002214 02230003 .#..vid..."..#.. + 61230: 6f66666c 6f61645f 63617000 0000222b offload_cap..."+ + 61240: 02230003 73746174 73000000 224a0223 .#..stats..."J.# + 61250: 00036d63 6173745f 696e666f 00000022 ..mcast_info..." + 61260: 63022300 036d6361 73745f63 61700000 c.#..mcast_cap.. + 61270: 0022c502 23000014 04000023 ee104144 ."..#......#..AD + 61280: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 61290: 4e4f4e45 00001041 44465f4e 4255465f NONE...ADF_NBUF_ + 612a0: 52585f43 4b53554d 5f485700 01104144 RX_CKSUM_HW...AD + 612b0: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 612c0: 554e4e45 43455353 41525900 02000661 UNNECESSARY....a + 612d0: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 612e0: 5f747970 655f7400 00002397 12080000 _type_t...#..... + 612f0: 242e0372 6573756c 74000000 23ee0223 $..result...#..# + 61300: 00037661 6c000000 16080223 04001208 ..val......#.... + 61310: 0000245e 03747970 65000000 203a0223 ..$^.type... :.# + 61320: 00036d73 73000000 1c970223 04036864 ..mss......#..hd + 61330: 725f6f66 66000000 166f0223 0600025f r_off....o.#..._ + 61340: 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 61350: 0c000024 9d036865 61640000 00142802 ...$..head....(. + 61360: 23000374 61696c00 00001428 02230403 #..tail....(.#.. + 61370: 716c656e 00000016 08022308 00065f5f qlen......#...__ + 61380: 6164665f 6e627566 5f740000 00142804 adf_nbuf_t....(. + 61390: 0000167e 04000400 00160804 0009010a ...~............ + 613a0: 00000205 010a0000 1608010a 0000167e ...............~ + 613b0: 010a0000 167e0104 000001d6 0400065f .....~........._ + 613c0: 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 613d0: 74000000 245e065f 5f616466 5f6e6275 t...$^.__adf_nbu + 613e0: 665f7175 6575655f 74000000 24de0400 f_queue_t...$... + 613f0: 0024f604 000a0000 249d010a 0000249d .$......$.....$. + 61400: 01140400 00261610 415f5354 41545553 .....&..A_STATUS + 61410: 5f4f4b00 0010415f 53544154 55535f46 _OK...A_STATUS_F + 61420: 41494c45 44000110 415f5354 41545553 AILED...A_STATUS + 61430: 5f454e4f 454e5400 0210415f 53544154 _ENOENT...A_STAT + 61440: 55535f45 4e4f4d45 4d000310 415f5354 US_ENOMEM...A_ST + 61450: 41545553 5f45494e 56414c00 0410415f ATUS_EINVAL...A_ + 61460: 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 61470: 53530005 10415f53 54415455 535f454e SS...A_STATUS_EN + 61480: 4f545355 50500006 10415f53 54415455 OTSUPP...A_STATU + 61490: 535f4542 55535900 0710415f 53544154 S_EBUSY...A_STAT + 614a0: 55535f45 32424947 00081041 5f535441 US_E2BIG...A_STA + 614b0: 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 614c0: 4c000910 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 614d0: 494f000a 10415f53 54415455 535f4546 IO...A_STATUS_EF + 614e0: 41554c54 000b1041 5f535441 5455535f AULT...A_STATUS_ + 614f0: 45494f00 0c000661 5f737461 7475735f EIO....a_status_ + 61500: 74000000 25210a00 00261601 0a000001 t...%!...&...... + 61510: ea010901 06616466 5f6e6275 665f7400 .....adf_nbuf_t. + 61520: 0000249d 14040000 267b1041 44465f4f ..$.....&{.ADF_O + 61530: 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 61540: 00104144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 61550: 4d5f4445 56494345 00010006 6164665f M_DEVICE....adf_ + 61560: 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 61570: 440a0000 26160109 01066164 665f6f73 D...&.....adf_os + 61580: 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 61590: 00162504 00002699 04000901 09010a00 ..%...&......... + 615a0: 00263401 0a000024 9d010901 09010a00 .&4....$........ + 615b0: 00263401 0a000024 9d010a00 00263401 .&4....$.....&4. + 615c0: 0a000024 9d010a00 00263401 09010901 ...$.....&4..... + 615d0: 0a000016 08010a00 00167e01 09010901 ..........~..... + 615e0: 0a00001b 35010a00 001a8201 0a00001a ....5........... + 615f0: 82010661 64665f6f 735f7367 6c697374 ...adf_os_sglist + 61600: 5f740000 0016bd04 00002712 04000901 _t........'..... + 61610: 09010901 0a000016 7e010661 64665f6e ........~..adf_n + 61620: 6275665f 71756575 655f7400 000024f6 buf_queue_t...$. + 61630: 04000027 3a040009 01040000 24de0400 ...':.......$... + 61640: 09010901 09010a00 00263401 0a000024 .........&4....$ + 61650: 9d010a00 00160801 0a000016 08010a00 ................ + 61660: 001a8201 0a00001a 82010a00 001f9e01 ................ + 61670: 0a000016 08010661 64665f6e 6275665f .......adf_nbuf_ + 61680: 72785f63 6b73756d 5f740000 00240c04 rx_cksum_t...$.. + 61690: 00002796 04000901 09010661 64665f6e ..'........adf_n + 616a0: 6275665f 74736f5f 74000000 242e0400 buf_tso_t...$... + 616b0: 0027ba04 00090109 01066164 665f6e65 .'........adf_ne + 616c0: 745f6861 6e646c65 5f740000 00022f06 t_handle_t..../. + 616d0: 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 616e0: 74000000 1daa0400 0027ef04 000a0000 t........'...... + 616f0: 2616010a 00002616 01090109 01025f48 &.....&......._H + 61700: 49465f43 4f4e4649 47000400 00283e03 IF_CONFIG....(>. + 61710: 64756d6d 79000000 01ea0223 00000901 dummy......#.... + 61720: 04000028 3e040009 01040000 28470400 ...(>.......(G.. + 61730: 025f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 61740: 0000289c 0373656e 645f6275 665f646f ..(..send_buf_do + 61750: 6e650000 00284002 23000372 6563765f ne...(@.#..recv_ + 61760: 62756600 00002849 02230403 636f6e74 buf...(I.#..cont + 61770: 65787400 0000022f 02230800 06686966 ext..../.#...hif + 61780: 5f68616e 646c655f 74000000 022f0648 _handle_t..../.H + 61790: 49465f43 4f4e4649 47000000 281d0400 IF_CONFIG...(... + 617a0: 0028ae04 000a0000 289c0104 000028c5 .(......(.....(. + 617b0: 04000901 04000028 d2040006 4849465f .......(....HIF_ + 617c0: 43414c4c 4241434b 00000028 50040000 CALLBACK...(P... + 617d0: 28db0400 09010400 0028f404 000a0000 (........(...... + 617e0: 01ea0104 000028fd 04000901 04000029 ......(........) + 617f0: 0a04000a 000001ea 01040000 29130400 ............)... + 61800: 09010400 00292004 000a0000 01ea0104 .....) ......... + 61810: 00002929 04000901 04000029 36040002 ..)).......)6... + 61820: 6869665f 61706900 3800002a 8f035f69 hif_api.8..*.._i + 61830: 6e697400 000028cb 02230003 5f736875 nit...(..#.._shu + 61840: 74646f77 6e000000 28d40223 04035f72 tdown...(..#.._r + 61850: 65676973 7465725f 63616c6c 6261636b egister_callback + 61860: 00000028 f6022308 035f6765 745f746f ...(..#.._get_to + 61870: 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 61880: 00000029 0302230c 035f7374 61727400 ...)..#.._start. + 61890: 000028d4 02231003 5f636f6e 6669675f ..(..#.._config_ + 618a0: 70697065 00000029 0c022314 035f7365 pipe...)..#.._se + 618b0: 6e645f62 75666665 72000000 29190223 nd_buffer...)..# + 618c0: 18035f72 65747572 6e5f7265 63765f62 .._return_recv_b + 618d0: 75660000 00292202 231c035f 69735f70 uf...)".#.._is_p + 618e0: 6970655f 73757070 6f727465 64000000 ipe_supported... + 618f0: 292f0223 20035f67 65745f6d 61785f6d )/.# ._get_max_m + 61900: 73675f6c 656e0000 00292f02 2324035f sg_len...)/.#$._ + 61910: 6765745f 72657365 72766564 5f686561 get_reserved_hea + 61920: 64726f6f 6d000000 29030223 28035f69 droom...)..#(._i + 61930: 73725f68 616e646c 65720000 0028d402 sr_handler...(.. + 61940: 232c035f 6765745f 64656661 756c745f #,._get_default_ + 61950: 70697065 00000029 38022330 03705265 pipe...)8.#0.pRe + 61960: 73657276 65640000 00022f02 2334000f served..../.#4.. + 61970: 646d615f 656e6769 6e650004 00002b18 dma_engine....+. + 61980: 10444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 61990: 0010444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 619a0: 00011044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 619b0: 32000210 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 619c0: 58330003 10444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 619d0: 54583000 0410444d 415f454e 47494e45 TX0...DMA_ENGINE + 619e0: 5f545831 00051044 4d415f45 4e47494e _TX1...DMA_ENGIN + 619f0: 455f4d41 58000600 06646d61 5f656e67 E_MAX....dma_eng + 61a00: 696e655f 74000000 2a8f0f64 6d615f69 ine_t...*..dma_i + 61a10: 66747970 65000400 002b6510 444d415f ftype....+e.DMA_ + 61a20: 49465f47 4d414300 0010444d 415f4946 IF_GMAC...DMA_IF + 61a30: 5f504349 00011044 4d415f49 465f5043 _PCI...DMA_IF_PC + 61a40: 49450002 0006646d 615f6966 74797065 IE....dma_iftype + 61a50: 5f740000 002b2a0a 000001c8 01040000 _t...+*......... + 61a60: 2b770400 09010400 002b8404 00090104 +w.......+...... + 61a70: 00002b8d 04000a00 000a8501 0400002b ..+............+ + 61a80: 9604000a 000001c8 01040000 2ba30400 ............+... + 61a90: 0a000001 c8010400 002bb004 000a0000 .........+...... + 61aa0: 14280104 00002bbd 04000901 0400002b .(....+........+ + 61ab0: ca040002 646d615f 6c69625f 61706900 ....dma_lib_api. + 61ac0: 3400002c d1037478 5f696e69 74000000 4..,..tx_init... + 61ad0: 2b7d0223 00037478 5f737461 72740000 +}.#..tx_start.. + 61ae0: 002b8602 23040372 785f696e 69740000 .+..#..rx_init.. + 61af0: 002b7d02 23080372 785f636f 6e666967 .+}.#..rx_config + 61b00: 0000002b 8f02230c 0372785f 73746172 ...+..#..rx_star + 61b10: 74000000 2b860223 1003696e 74725f73 t...+..#..intr_s + 61b20: 74617475 73000000 2b9c0223 14036861 tatus...+..#..ha + 61b30: 72645f78 6d697400 00002ba9 02231803 rd_xmit...+..#.. + 61b40: 666c7573 685f786d 69740000 002b8602 flush_xmit...+.. + 61b50: 231c0378 6d69745f 646f6e65 0000002b #..xmit_done...+ + 61b60: b6022320 03726561 705f786d 69747465 ..# .reap_xmitte + 61b70: 64000000 2bc30223 24037265 61705f72 d...+..#$.reap_r + 61b80: 65637600 00002bc3 02232803 72657475 ecv...+..#(.retu + 61b90: 726e5f72 65637600 00002bcc 02232c03 rn_recv...+..#,. + 61ba0: 72656376 5f706b74 0000002b b6022330 recv_pkt...+..#0 + 61bb0: 00025f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 61bc0: 002cef03 73770000 0028db02 23000006 .,..sw...(..#... + 61bd0: 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 61be0: 2cd10400 002cef04 00090104 00002d09 ,....,........-. + 61bf0: 04000a00 00019e01 0400002d 1204000f ...........-.... + 61c00: 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 61c10: 0400002d 72104849 465f5043 495f5049 ...-r.HIF_PCI_PI + 61c20: 50455f54 58300000 10484946 5f504349 PE_TX0...HIF_PCI + 61c30: 5f504950 455f5458 31000110 4849465f _PIPE_TX1...HIF_ + 61c40: 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 61c50: 02000668 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 61c60: 74785f74 0000002d 1f0a0000 2b180104 tx_t...-....+... + 61c70: 00002d89 04000f68 69665f70 63695f70 ..-....hif_pci_p + 61c80: 6970655f 72780004 00002e0f 10484946 ipe_rx.......HIF + 61c90: 5f504349 5f504950 455f5258 30000010 _PCI_PIPE_RX0... + 61ca0: 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 61cb0: 00011048 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 61cc0: 52583200 02104849 465f5043 495f5049 RX2...HIF_PCI_PI + 61cd0: 50455f52 58330003 10484946 5f504349 PE_RX3...HIF_PCI + 61ce0: 5f504950 455f5258 5f4d4158 00040006 _PIPE_RX_MAX.... + 61cf0: 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 61d00: 74000000 2d960a00 002b1801 0400002e t...-....+...... + 61d10: 26040002 6869665f 7063695f 61706900 &...hif_pci_api. + 61d20: 2400002f 04037063 695f626f 6f745f69 $../..pci_boot_i + 61d30: 6e697400 000002a3 02230003 7063695f nit......#..pci_ + 61d40: 696e6974 00000028 cb022304 03706369 init...(..#..pci + 61d50: 5f726573 65740000 0002a302 23080370 _reset......#..p + 61d60: 63695f65 6e61626c 65000000 02a30223 ci_enable......# + 61d70: 0c037063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 61d80: 65640000 002d0b02 23100370 63695f72 ed...-..#..pci_r + 61d90: 6561705f 72656376 0000002d 0b022314 eap_recv...-..#. + 61da0: 03706369 5f676574 5f706970 65000000 .pci_get_pipe... + 61db0: 2d180223 18037063 695f6765 745f7478 -..#..pci_get_tx + 61dc0: 5f656e67 0000002d 8f02231c 03706369 _eng...-..#..pci + 61dd0: 5f676574 5f72785f 656e6700 00002e2c _get_rx_eng...., + 61de0: 02232000 02676d61 635f6170 69000400 .# ..gmac_api... + 61df0: 002f2b03 676d6163 5f626f6f 745f696e ./+.gmac_boot_in + 61e00: 69740000 0002a302 23000007 0000018d it......#....... + 61e10: 0600002f 38080500 025f5f65 74686864 .../8....__ethhd + 61e20: 72000e00 002f6e03 64737400 00002f2b r..../n.dst.../+ + 61e30: 02230003 73726300 00002f2b 02230603 .#..src.../+.#.. + 61e40: 65747970 65000000 01c80223 0c00025f etype......#..._ + 61e50: 5f617468 68647200 0400002f bc157265 _athhdr..../..re + 61e60: 73000000 019e0100 02022300 1570726f s.........#..pro + 61e70: 746f0000 00019e01 02060223 00037265 to.........#..re + 61e80: 735f6c6f 00000001 9e022301 03726573 s_lo......#..res + 61e90: 5f686900 000001c8 02230200 025f5f67 _hi......#...__g + 61ea0: 6d61635f 68647200 1400002f f8036574 mac_hdr..../..et + 61eb0: 68000000 2f380223 00036174 68000000 h.../8.#..ath... + 61ec0: 2f6e0223 0e03616c 69676e5f 70616400 /n.#..align_pad. + 61ed0: 000001c8 02231200 065f5f67 6d61635f .....#...__gmac_ + 61ee0: 6864725f 74000000 2fbc025f 5f676d61 hdr_t.../..__gma + 61ef0: 635f736f 66746300 24000030 42036864 c_softc.$..0B.hd + 61f00: 72000000 2ff80223 00036772 616e0000 r.../..#..gran.. + 61f10: 0001c802 23140373 77000000 28db0223 ....#..sw...(..# + 61f20: 18000e5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 61f30: 5f636865 636b0000 01040000 30420400 _check......0B.. + 61f40: 0a000001 ea010400 00306004 00040000 .........0`..... + 61f50: 05710400 175f415f 636d6e6f 735f696e .q..._A_cmnos_in + 61f60: 64697265 6374696f 6e5f7461 626c6500 direction_table. + 61f70: 01b80000 31b00368 616c5f6c 696e6b61 ....1..hal_linka + 61f80: 67655f63 6865636b 00000030 66022300 ge_check...0f.#. + 61f90: 03737461 72745f62 73730000 00306d02 .start_bss...0m. + 61fa0: 23040361 70705f73 74617274 00000002 #..app_start.... + 61fb0: a3022308 036d656d 00000005 b102230c ..#..mem......#. + 61fc0: 036d6973 63000000 06d00223 20037072 .misc......# .pr + 61fd0: 696e7466 00000002 d0022344 03756172 intf......#D.uar + 61fe0: 74000000 03830223 4c03676d 61630000 t......#L.gmac.. + 61ff0: 002f0402 236c0375 73620000 0010f602 ./..#l.usb...... + 62000: 23700363 6c6f636b 0000000c 3f0323e0 #p.clock....?.#. + 62010: 01037469 6d657200 000008e8 03238402 ..timer......#.. + 62020: 03696e74 72000000 0d8f0323 98020361 .intr......#...a + 62030: 6c6c6f63 72616d00 00000aa0 0323c402 llocram......#.. + 62040: 03726f6d 70000000 09920323 d0020377 .romp......#...w + 62050: 64745f74 696d6572 0000000f 6c0323e0 dt_timer....l.#. + 62060: 02036565 70000000 109a0323 fc020373 ..eep......#...s + 62070: 7472696e 67000000 07f40323 8c030374 tring......#...t + 62080: 61736b6c 65740000 000b9d03 23a40300 asklet......#... + 62090: 025f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI + 620a0: 47001000 00322303 6765745f 636f6d6d G....2#.get_comm + 620b0: 616e645f 62756600 00001435 02230003 and_buf....5.#.. + 620c0: 72656376 5f636f6d 6d616e64 00000014 recv_command.... + 620d0: 4b022304 03676574 5f657665 6e745f62 K.#..get_event_b + 620e0: 75660000 00143502 23080373 656e645f uf....5.#..send_ + 620f0: 6576656e 745f646f 6e650000 00144b02 event_done....K. + 62100: 230c0006 5553425f 4649464f 5f434f4e #...USB_FIFO_CON + 62110: 46494700 000031b0 04000032 23040009 FIG...1....2#... + 62120: 01040000 323f0400 02757362 6669666f ....2?...usbfifo + 62130: 5f617069 000c0000 3295035f 696e6974 _api....2.._init + 62140: 00000032 41022300 035f656e 61626c65 ...2A.#.._enable + 62150: 5f657665 6e745f69 73720000 0002a302 _event_isr...... + 62160: 23040370 52657365 72766564 00000002 #..pReserved.... + 62170: 2f022308 00070000 166f0200 0032a208 /.#......o...2.. + 62180: 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 62190: 52000800 00331403 456e6470 6f696e74 R....3..Endpoint + 621a0: 49440000 00166f02 23000346 6c616773 ID....o.#..Flags + 621b0: 00000016 6f022301 03506179 6c6f6164 ....o.#..Payload + 621c0: 4c656e00 00001c97 02230203 436f6e74 Len......#..Cont + 621d0: 726f6c42 79746573 00000032 95022304 rolBytes...2..#. + 621e0: 03486f73 74536571 4e756d00 00001c97 .HostSeqNum..... + 621f0: 02230600 12020000 332d034d 65737361 .#......3-.Messa + 62200: 67654944 0000001c 97022300 00120800 geID......#..... + 62210: 00339003 4d657373 61676549 44000000 .3..MessageID... + 62220: 1c970223 00034372 65646974 436f756e ...#..CreditCoun + 62230: 74000000 1c970223 02034372 65646974 t......#..Credit + 62240: 53697a65 0000001c 97022304 034d6178 Size......#..Max + 62250: 456e6470 6f696e74 73000000 166f0223 Endpoints....o.# + 62260: 06035f50 61643100 0000166f 02230700 .._Pad1....o.#.. + 62270: 120a0000 3427034d 65737361 67654944 ....4'.MessageID + 62280: 0000001c 97022300 03536572 76696365 ......#..Service + 62290: 49440000 001c9702 23020343 6f6e6e65 ID......#..Conne + 622a0: 6374696f 6e466c61 67730000 001c9702 ctionFlags...... + 622b0: 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 622c0: 44000000 166f0223 06035570 4c696e6b D....o.#..UpLink + 622d0: 50697065 49440000 00166f02 23070353 PipeID....o.#..S + 622e0: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 622f0: 00000016 6f022308 035f5061 64310000 ....o.#.._Pad1.. + 62300: 00166f02 23090012 0a000034 af034d65 ..o.#......4..Me + 62310: 73736167 65494400 00001c97 02230003 ssageID......#.. + 62320: 53657276 69636549 44000000 1c970223 ServiceID......# + 62330: 02035374 61747573 00000016 6f022304 ..Status....o.#. + 62340: 03456e64 706f696e 74494400 0000166f .EndpointID....o + 62350: 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 62360: 001c9702 23060353 65727669 63654d65 ....#..ServiceMe + 62370: 74614c65 6e677468 00000016 6f022308 taLength....o.#. + 62380: 035f5061 64310000 00166f02 23090012 ._Pad1....o.#... + 62390: 02000034 c8034d65 73736167 65494400 ...4..MessageID. + 623a0: 00001c97 02230000 12040000 3504034d .....#......5..M + 623b0: 65737361 67654944 0000001c 97022300 essageID......#. + 623c0: 03506970 65494400 0000166f 02230203 .PipeID....o.#.. + 623d0: 43726564 6974436f 756e7400 0000166f CreditCount....o + 623e0: 02230300 12040000 353b034d 65737361 .#......5;.Messa + 623f0: 67654944 0000001c 97022300 03506970 geID......#..Pip + 62400: 65494400 0000166f 02230203 53746174 eID....o.#..Stat + 62410: 75730000 00166f02 23030012 02000035 us....o.#......5 + 62420: 62035265 636f7264 49440000 00166f02 b.RecordID....o. + 62430: 2300034c 656e6774 68000000 166f0223 #..Length....o.# + 62440: 01001202 0000358c 03456e64 706f696e ......5..Endpoin + 62450: 74494400 0000166f 02230003 43726564 tID....o.#..Cred + 62460: 69747300 0000166f 02230100 12040000 its....o.#...... + 62470: 35cd0345 6e64706f 696e7449 44000000 5..EndpointID... + 62480: 166f0223 00034372 65646974 73000000 .o.#..Credits... + 62490: 166f0223 01035467 74437265 64697453 .o.#..TgtCreditS + 624a0: 65714e6f 0000001c 97022302 00070000 eqNo......#..... + 624b0: 166f0400 0035da08 03001206 00003616 .o...5........6. + 624c0: 03507265 56616c69 64000000 166f0223 .PreValid....o.# + 624d0: 00034c6f 6f6b4168 65616400 000035cd ..LookAhead...5. + 624e0: 02230103 506f7374 56616c69 64000000 .#..PostValid... + 624f0: 166f0223 05000670 6f6f6c5f 68616e64 .o.#...pool_hand + 62500: 6c655f74 00000002 2f0a0000 36160104 le_t..../...6... + 62510: 00003629 04000901 04000036 36040014 ..6).......66... + 62520: 04000036 b410504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 62530: 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL + 62540: 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 62550: 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ + 62560: 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... + 62570: 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 62580: 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 62590: 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 625a0: 00000036 3f090104 000036c5 04000a00 ...6?.....6..... + 625b0: 00263401 04000036 ce04000a 00002634 .&4....6......&4 + 625c0: 01040000 36db0400 09010400 0036e804 ....6........6.. + 625d0: 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 625e0: 0000378a 035f696e 69740000 00362f02 ..7.._init...6/. + 625f0: 2300035f 73687574 646f776e 00000036 #.._shutdown...6 + 62600: 38022304 035f6372 65617465 5f706f6f 8.#.._create_poo + 62610: 6c000000 36c70223 08035f61 6c6c6f63 l...6..#.._alloc + 62620: 5f627566 00000036 d402230c 035f616c _buf...6..#.._al + 62630: 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 62640: 36e10223 10035f66 7265655f 62756600 6..#.._free_buf. + 62650: 000036ea 02231403 70526573 65727665 ..6..#..pReserve + 62660: 64000000 022f0223 1800025f 4854435f d..../.#..._HTC_ + 62670: 53455256 49434500 1c000038 6903704e SERVICE....8i.pN + 62680: 65787400 00003869 02230003 50726f63 ext...8i.#..Proc + 62690: 65737352 6563764d 73670000 00391e02 essRecvMsg...9.. + 626a0: 23040350 726f6365 73735365 6e644275 #..ProcessSendBu + 626b0: 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 626c0: 27022308 0350726f 63657373 436f6e6e '.#..ProcessConn + 626d0: 65637400 0000393b 02230c03 53657276 ect...9;.#..Serv + 626e0: 69636549 44000000 01c80223 10035365 iceID......#..Se + 626f0: 72766963 65466c61 67730000 0001c802 rviceFlags...... + 62700: 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 62710: 00000001 c8022314 03547261 696c6572 ......#..Trailer + 62720: 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 62730: 01c80223 16035365 72766963 65437478 ...#..ServiceCtx + 62740: 00000002 2f022318 00040000 378a0400 ..../.#.....7... + 62750: 14040000 39071945 4e44504f 494e545f ....9..ENDPOINT_ + 62760: 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP + 62770: 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT + 62780: 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... + 62790: 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP + 627a0: 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT + 627b0: 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... + 627c0: 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP + 627d0: 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT + 627e0: 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP + 627f0: 4f494e54 5f494400 00003870 09010400 OINT_ID...8p.... + 62800: 00391c04 00090104 00003925 04000400 .9........9%.... + 62810: 0001ea04 000a0000 019e0104 00003935 ..............95 + 62820: 04000400 00378a04 00025f48 54435f43 .....7...._HTC_C + 62830: 4f4e4649 47001400 0039ba03 43726564 ONFIG....9..Cred + 62840: 69745369 7a650000 0001ea02 23000343 itSize......#..C + 62850: 72656469 744e756d 62657200 000001ea reditNumber..... + 62860: 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... + 62870: 33022308 03484946 48616e64 6c650000 3.#..HIFHandle.. + 62880: 00289c02 230c0350 6f6f6c48 616e646c .(..#..PoolHandl + 62890: 65000000 36160223 1000025f 4854435f e...6..#..._HTC_ + 628a0: 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 + 628b0: f603656e 645f706f 696e7400 0000019e ..end_point..... + 628c0: 02230003 6874635f 666c6167 73000000 .#..htc_flags... + 628d0: 019e0223 01000668 74635f68 616e646c ...#...htc_handl + 628e0: 655f7400 0000022f 06485443 5f534554 e_t..../.HTC_SET + 628f0: 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 62900: 0002a306 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 62910: 00394904 00003a23 04000a00 0039f601 .9I...:#.....9.. + 62920: 0400003a 3a040009 01040000 3a470400 ...::.......:G.. + 62930: 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 62940: 8a040000 3a500400 09010400 003a6804 ....:P.......:h. + 62950: 00090104 00003a71 04000901 0400003a ......:q.......: + 62960: 7a04000a 000001ea 01040000 3a830400 z...........:... + 62970: 02687463 5f617069 73003400 003c0003 .htc_apis.4..<.. + 62980: 5f485443 5f496e69 74000000 3a400223 _HTC_Init...:@.# + 62990: 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 629a0: 00003a49 02230403 5f485443 5f526567 ..:I.#.._HTC_Reg + 629b0: 69737465 72536572 76696365 0000003a isterService...: + 629c0: 6a022308 035f4854 435f5265 61647900 j.#.._HTC_Ready. + 629d0: 00003a49 02230c03 5f485443 5f526574 ..:I.#.._HTC_Ret + 629e0: 75726e42 75666665 72730000 003a7302 urnBuffers...:s. + 629f0: 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 62a00: 66666572 734c6973 74000000 3a7c0223 ffersList...:|.# + 62a10: 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 62a20: 003a7302 2318035f 4854435f 47657452 .:s.#.._HTC_GetR + 62a30: 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 62a40: 00003a89 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg + 62a50: 52656376 48616e64 6c657200 00002849 RecvHandler...(I + 62a60: 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 62a70: 6548616e 646c6572 00000028 40022324 eHandler...(@.#$ + 62a80: 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 62a90: 50726f63 6573734d 73670000 00391e02 ProcessMsg...9.. + 62aa0: 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 62ab0: 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 62ac0: 706c6574 65000000 39270223 2c037052 plete...9'.#,.pR + 62ad0: 65736572 76656400 0000022f 02233000 eserved..../.#0. + 62ae0: 02686f73 745f6170 705f6172 65615f73 .host_app_area_s + 62af0: 00040000 3c300377 6d695f70 726f746f ....<0.wmi_proto + 62b00: 636f6c5f 76657200 00001608 02230000 col_ver......#.. + 62b10: 120e0000 3c670364 73744d61 63000000 ....c + 62cf0: 03487463 48616e64 6c650000 0039f602 .HtcHandle...9.. + 62d00: 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... + 62d10: 36160223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl + 62d20: 79457674 73000000 01ea0223 08034d61 yEvts......#..Ma + 62d30: 78457665 6e744576 74730000 0001ea02 xEventEvts...... + 62d40: 230c0009 01040000 3e630400 06574d49 #.......>c...WMI + 62d50: 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 62d60: 65025f57 4d495f44 49535041 5443485f e._WMI_DISPATCH_ + 62d70: 454e5452 59000800 003ecc03 70436d64 ENTRY....>..pCmd + 62d80: 48616e64 6c657200 00003e6c 02230003 Handler...>l.#.. + 62d90: 436d6449 44000000 01c80223 0403466c CmdID......#..Fl + 62da0: 61677300 000001c8 02230600 025f574d ags......#..._WM + 62db0: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 62dc0: 00100000 3f2d0370 4e657874 0000003f ....?-.pNext...? + 62dd0: 2d022300 0370436f 6e746578 74000000 -.#..pContext... + 62de0: 022f0223 04034e75 6d626572 4f66456e ./.#..NumberOfEn + 62df0: 74726965 73000000 01ea0223 08037054 tries......#..pT + 62e00: 61626c65 0000003f 4c02230c 00040000 able...?L.#..... + 62e10: 3ecc0400 06574d49 5f444953 50415443 >....WMI_DISPATC + 62e20: 485f454e 54525900 00003e81 0400003f H_ENTRY...>....? + 62e30: 34040004 00003ecc 04000648 54435f42 4.....>....HTC_B + 62e40: 55465f43 4f4e5445 58540000 0039ba0f UF_CONTEXT...9.. + 62e50: 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 62e60: 003fe419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 62e70: 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ + 62e80: 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 62e90: 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL + 62ea0: 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... + 62eb0: 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 62ec0: 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 62ed0: 53530000 003f6f02 5f574d49 5f425546 SS...?o._WMI_BUF + 62ee0: 5f434f4e 54455854 000c0000 40420348 _CONTEXT....@B.H + 62ef0: 74634275 66437478 0000003f 5a022300 tcBufCtx...?Z.#. + 62f00: 03457665 6e74436c 61737300 00003fe4 .EventClass...?. + 62f10: 02230403 466c6167 73000000 01c80223 .#..Flags......# + 62f20: 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 62f30: 0000022f 06574d49 5f535643 5f434f4e .../.WMI_SVC_CON + 62f40: 46494700 00003dfa 04000040 5404000a FIG...=....@T... + 62f50: 00004042 01040000 406f0400 06574d49 ..@B....@o...WMI + 62f60: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 62f70: 00003ecc 04000040 7c040009 01040000 ..>....@|....... + 62f80: 409b0400 0a000026 34010400 0040a404 @......&4....@.. + 62f90: 00090104 000040b1 04000a00 0001ea01 ......@......... + 62fa0: 04000040 ba040009 01040000 40c70400 ...@........@... + 62fb0: 0a000001 9e010400 0040d004 00025f77 .........@...._w + 62fc0: 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 62fd0: 18035f57 4d495f49 6e697400 00004075 .._WMI_Init...@u + 62fe0: 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe + 62ff0: 72446973 70617463 68546162 6c650000 rDispatchTable.. + 63000: 00409d02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo + 63010: 63457665 6e740000 0040aa02 2308035f cEvent...@..#.._ + 63020: 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 63030: 40b30223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe + 63040: 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 63050: 00000040 c0022310 035f574d 495f5365 ...@..#.._WMI_Se + 63060: 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 63070: 72000000 39270223 14035f57 4d495f47 r...9'.#.._WMI_G + 63080: 6574436f 6e74726f 6c457000 000040c0 etControlEp...@. + 63090: 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 630a0: 6e000000 40c90223 1c035f57 4d495f52 n...@..#.._WMI_R + 630b0: 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 630c0: 72000000 391e0223 20035f57 4d495f53 r...9..# ._WMI_S + 630d0: 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 630e0: 40d60223 24037052 65736572 76656400 @..#$.pReserved. + 630f0: 0000022f 02232800 027a7344 6d614465 .../.#(..zsDmaDe + 63100: 73630014 0000429a 03637472 6c000000 sc....B..ctrl... + 63110: 01b20223 00037374 61747573 00000001 ...#..status.... + 63120: b2022302 03746f74 616c4c65 6e000000 ..#..totalLen... + 63130: 01b20223 04036461 74615369 7a650000 ...#..dataSize.. + 63140: 0001b202 2306036c 61737441 64647200 ....#..lastAddr. + 63150: 0000429a 02230803 64617461 41646472 ..B..#..dataAddr + 63160: 00000003 1502230c 036e6578 74416464 ......#..nextAdd + 63170: 72000000 429a0223 10000400 00421804 r...B..#.....B.. + 63180: 00040000 42180400 027a7344 6d615175 ....B....zsDmaQu + 63190: 65756500 08000042 da036865 61640000 eue....B..head.. + 631a0: 0042a102 23000374 65726d69 6e61746f .B..#..terminato + 631b0: 72000000 42a10223 0400027a 73547844 r...B..#...zsTxD + 631c0: 6d615175 65756500 10000043 3e036865 maQueue....C>.he + 631d0: 61640000 0042a102 23000374 65726d69 ad...B..#..termi + 631e0: 6e61746f 72000000 42a10223 0403786d nator...B..#..xm + 631f0: 69746564 5f627566 5f686561 64000000 ited_buf_head... + 63200: 14280223 0803786d 69746564 5f627566 .(.#..xmited_buf + 63210: 5f746169 6c000000 14280223 0c000901 _tail....(.#.... + 63220: 04000043 3e040004 000042a8 04000901 ...C>.....B..... + 63230: 04000043 4e040004 000042da 04000901 ...CN.....B..... + 63240: 04000043 5e040009 01040000 43670400 ...C^.......Cg.. + 63250: 09010400 00437004 000a0000 14280104 .....Cp......(.. + 63260: 00004379 04000901 04000043 8604000a ..Cy.......C.... + 63270: 00001428 01040000 438f0400 09010400 ...(....C....... + 63280: 00439c04 000a0000 01ea0104 000043a5 .C............C. + 63290: 04000a00 0042a101 04000043 b2040009 .....B.....C.... + 632a0: 01040000 43bf0400 02646d61 5f656e67 ....C....dma_eng + 632b0: 696e655f 61706900 40000045 35035f69 ine_api.@..E5._i + 632c0: 6e697400 00004340 02230003 5f696e69 nit...C@.#.._ini + 632d0: 745f7278 5f717565 75650000 00435002 t_rx_queue...CP. + 632e0: 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu + 632f0: 65000000 43600223 08035f63 6f6e6669 e...C`.#.._confi + 63300: 675f7278 5f717565 75650000 00436902 g_rx_queue...Ci. + 63310: 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C + 63320: 72022310 035f666c 7573685f 786d6974 r.#.._flush_xmit + 63330: 00000043 50022314 035f7265 61705f72 ...CP.#.._reap_r + 63340: 6563765f 62756600 0000437f 02231803 ecv_buf...C..#.. + 63350: 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 63360: 00000043 8802231c 035f7265 61705f78 ...C..#.._reap_x + 63370: 6d697465 645f6275 66000000 43950223 mited_buf...C..# + 63380: 20035f73 7761705f 64617461 00000043 ._swap_data...C + 63390: 9e022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ + 633a0: 7061636b 65747300 000043ab 02232803 packets...C..#(. + 633b0: 5f646573 635f6475 6d700000 00435002 _desc_dump...CP. + 633c0: 232c035f 6765745f 7061636b 65740000 #,._get_packet.. + 633d0: 0043b802 2330035f 7265636c 61696d5f .C..#0._reclaim_ + 633e0: 7061636b 65740000 0043c102 2334035f packet...C..#4._ + 633f0: 7075745f 7061636b 65740000 0043c102 put_packet...C.. + 63400: 23380370 52657365 72766564 00000002 #8.pReserved.... + 63410: 2f02233c 00065f41 5f636d6e 6f735f69 /.#<.._A_cmnos_i + 63420: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 63430: 5f740000 00307406 574d495f 5356435f _t...0t.WMI_SVC_ + 63440: 41504953 00000040 dd175f41 5f6d6167 APIS...@.._A_mag + 63450: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 63460: 7461626c 6500034c 00004663 03636d6e table..L..Fc.cmn + 63470: 6f730000 00453502 23000364 62670000 os...E5.#..dbg.. + 63480: 00053e03 23b80303 68696600 0000293f ..>.#...hif...)? + 63490: 0323c003 03687463 0000003a 900323f8 .#...htc...:..#. + 634a0: 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 634b0: 45570323 ac040375 73626669 666f5f61 EW.#...usbfifo_a + 634c0: 70690000 00324803 23d80403 6275665f pi...2H.#...buf_ + 634d0: 706f6f6c 00000036 f10323e4 04037662 pool...6..#...vb + 634e0: 75660000 00145203 23800503 76646573 uf....R.#...vdes + 634f0: 63000000 02320323 94050361 6c6c6f63 c....2.#...alloc + 63500: 72616d00 00000aa0 0323a805 03646d61 ram......#...dma + 63510: 5f656e67 696e6500 000043c8 0323b405 _engine...C..#.. + 63520: 03646d61 5f6c6962 0000002b d30323f4 .dma_lib...+..#. + 63530: 05036869 665f7063 69000000 2e330323 ..hif_pci....3.# + 63540: a8060002 56444553 435f434f 4e544558 ....VDESC_CONTEX + 63550: 54000800 0046a203 66726565 5f766465 T....F..free_vde + 63560: 73635f68 65616400 00000205 02230003 sc_head......#.. + 63570: 70526573 65727665 64000000 022f0223 pReserved..../.# + 63580: 04001a67 5f766465 73634374 78000000 ...g_vdescCtx... + 63590: 46630503 00500b04 01065f41 5f6d6167 Fc...P...._A_mag + 635a0: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 635b0: 7461626c 655f7400 00004569 04000002 table_t...Ei.... + 635c0: 32040009 01040000 020c0400 1b01225f 2............."_ + 635d0: 76646573 635f696e 69740001 01039201 vdesc_init...... + 635e0: 20029000 008e4f74 008e4faf 00004732 .....Ot..O...G2 + 635f0: 1c01226e 44657363 00000001 ea01521d .."nDesc......R. + 63600: 76646573 63000000 02051d69 00000001 vdesc......i.... + 63610: ea001e01 865f7664 6573635f 616c6c6f ....._vdesc_allo + 63620: 635f6465 73630000 00020501 03920120 c_desc......... + 63630: 02900000 8e4fb000 8e4fc800 00476f1d .....O...O...Go. + 63640: 616c6c6f 63446573 63000000 0205001f allocDesc....... + 63650: 01a15f76 64657363 5f676574 5f68775f .._vdesc_get_hw_ + 63660: 64657363 00000001 ab010103 92012002 desc.......... . + 63670: 9000008e 4fc8008e 4fd00000 47ad1c01 ....O...O...G... + 63680: a1646573 63000000 02050152 001b01b1 .desc......R.... + 63690: 5f766465 73635f73 7761705f 76646573 _vdesc_swap_vdes + 636a0: 63000101 03920120 02900000 8e4fd000 c...... .....O.. + 636b0: 8e500d00 0048091c 01b16465 73740000 .P...H....dest.. + 636c0: 00020501 521c01b1 73726300 00000205 ....R...src..... + 636d0: 01531d74 6d700000 000a851d 746d7041 .S.tmp......tmpA + 636e0: 64647200 000001ab 002001cd 76646573 ddr...... ..vdes + 636f0: 635f6d6f 64756c65 5f696e73 74616c6c c_module_install + 63700: 00010103 92012002 9000008e 5010008e ...... .....P... + 63710: 50291c01 cd617069 73000000 46dc0152 P)...apis...F..R + 63720: 00000000 00589c00 02000023 ff04012f .....X.....#.../ + 63730: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 63740: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 63750: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 63760: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 63770: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 63780: 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + 63790: 2f737263 2f687463 2e63002f 726f6f74 /src/htc.c./root + 637a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 637b0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 637c0: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 637d0: 63007874 2d786363 20666f72 20372e31 c.xt-xcc for 7.1 + 637e0: 2e30202d 4f50543a 616c6967 6e5f696e .0 -OPT:align_in + 637f0: 73747275 6374696f 6e733d33 32202d4f structions=32 -O + 63800: 32202d67 33202d4f 50543a73 70616365 2 -g3 -OPT:space + 63810: 00010000 01611802 01030000 00f40400 .....a.......... + 63820: 04696e74 00050404 63686172 00070105 .int....char.... + 63830: 00000104 05000001 04030000 01110400 ................ + 63840: 06000000 fd010300 00011d04 00077072 ..............pr + 63850: 696e7466 5f617069 00080000 0161085f intf_api.....a._ + 63860: 7072696e 74665f69 6e697400 000000f6 printf_init..... + 63870: 02230008 5f707269 6e746600 00000123 .#.._printf....# + 63880: 02230400 0473686f 72742075 6e736967 .#...short unsig + 63890: 6e656420 696e7400 07020975 696e7431 ned int....uint1 + 638a0: 365f7400 00000161 046c6f6e 6720756e 6_t....a.long un + 638b0: 7369676e 65642069 6e740007 04097569 signed int....ui + 638c0: 6e743332 5f740000 00018507 75617274 nt32_t......uart + 638d0: 5f666966 6f000800 0001f308 73746172 _fifo.......star + 638e0: 745f696e 64657800 00000177 02230008 t_index....w.#.. + 638f0: 656e645f 696e6465 78000000 01770223 end_index....w.# + 63900: 02086f76 65727275 6e5f6572 72000000 ..overrun_err... + 63910: 019a0223 04000775 6172745f 61706900 ...#...uart_api. + 63920: 20000002 ac085f75 6172745f 696e6974 ....._uart_init + 63930: 00000003 03022300 085f7561 72745f63 ......#.._uart_c + 63940: 6861725f 70757400 0000032a 02230408 har_put....*.#.. + 63950: 5f756172 745f6368 61725f67 65740000 _uart_char_get.. + 63960: 00033e02 2308085f 75617274 5f737472 ..>.#.._uart_str + 63970: 5f6f7574 00000003 4702230c 085f7561 _out....G.#.._ua + 63980: 72745f74 61736b00 000000f6 02231008 rt_task......#.. + 63990: 5f756172 745f7374 61747573 00000003 _uart_status.... + 639a0: 03022314 085f7561 72745f63 6f6e6669 ..#.._uart_confi + 639b0: 67000000 03500223 18085f75 6172745f g....P.#.._uart_ + 639c0: 6877696e 69740000 00035902 231c0003 hwinit....Y.#... + 639d0: 000001f3 04000775 6172745f 626c6b00 .......uart_blk. + 639e0: 10000002 fd086465 6275675f 6d6f6465 ......debug_mode + 639f0: 00000001 77022300 08626175 64000000 ....w.#..baud... + 63a00: 01770223 02085f75 61727400 000002ac .w.#.._uart..... + 63a10: 02230408 5f747800 000001a8 02230800 .#.._tx......#.. + 63a20: 06000001 9a010300 0002fd04 0004756e ..............un + 63a30: 7369676e 65642063 68617200 07010975 signed char....u + 63a40: 696e7438 5f740000 00030a02 01030000 int8_t.......... + 63a50: 03280400 03000003 1b040006 00000177 .(.............w + 63a60: 01030000 03380400 02010300 00034504 .....8........E. + 63a70: 00020103 0000034e 04000201 03000003 .......N........ + 63a80: 57040003 00000104 04000600 0000fd01 W............... + 63a90: 03000003 67040007 44425f43 4f4d4d41 ....g...DB_COMMA + 63aa0: 4e445f53 54525543 54000c00 0003bf08 ND_STRUCT....... + 63ab0: 636d645f 73747200 00000360 02230008 cmd_str....`.#.. + 63ac0: 68656c70 5f737472 00000003 60022304 help_str....`.#. + 63ad0: 08636d64 5f66756e 63000000 036d0223 .cmd_func....m.# + 63ae0: 08000764 62675f61 70690008 000003f2 ...dbg_api...... + 63af0: 085f6462 675f696e 69740000 0000f602 ._dbg_init...... + 63b00: 2300085f 6462675f 7461736b 00000000 #.._dbg_task.... + 63b10: f6022304 000a0400 04756e73 69676e65 ..#......unsigne + 63b20: 6420696e 74000704 06000003 f2010300 d int........... + 63b30: 00040504 000b0b03 00000413 04000600 ................ + 63b40: 0003f201 03000004 1b040006 000000fd ................ + 63b50: 01030000 04280400 076d656d 5f617069 .....(...mem_api + 63b60: 00140000 0497085f 6d656d5f 696e6974 ......._mem_init + 63b70: 00000000 f6022300 085f6d65 6d736574 ......#.._memset + 63b80: 00000004 0b022304 085f6d65 6d637079 ......#.._memcpy + 63b90: 00000004 21022308 085f6d65 6d6d6f76 ....!.#.._memmov + 63ba0: 65000000 04210223 0c085f6d 656d636d e....!.#.._memcm + 63bb0: 70000000 042e0223 10000c72 65676973 p......#...regis + 63bc0: 7465725f 64756d70 5f730000 01030000 ter_dump_s...... + 63bd0: 04970400 02010300 0004b104 00020103 ................ + 63be0: 000004ba 04000600 0000fd01 03000004 ................ + 63bf0: c304000d 686f7374 69665f73 00040000 ....hostif_s.... + 63c00: 051f0e48 49465f55 53420000 0e484946 ...HIF_USB...HIF + 63c10: 5f504349 4500010e 4849465f 474d4143 _PCIE...HIF_GMAC + 63c20: 00020e48 49465f50 43490003 0e484946 ...HIF_PCI...HIF + 63c30: 5f4e554d 00040e48 49465f4e 4f4e4500 _NUM...HIF_NONE. + 63c40: 05000941 5f484f53 54494600 000004d0 ...A_HOSTIF..... + 63c50: 06000005 1f010300 00052d04 00060000 ..........-..... + 63c60: 031b0103 0000053a 04000600 00017701 .......:......w. + 63c70: 03000005 47040007 6d697363 5f617069 ....G...misc_api + 63c80: 00240000 0637085f 73797374 656d5f72 .$...7._system_r + 63c90: 65736574 00000000 f6022300 085f6d61 eset......#.._ma + 63ca0: 635f7265 73657400 000000f6 02230408 c_reset......#.. + 63cb0: 5f617373 6661696c 00000004 b3022308 _assfail......#. + 63cc0: 085f6d69 73616c69 676e6564 5f6c6f61 ._misaligned_loa + 63cd0: 645f6861 6e646c65 72000000 04b30223 d_handler......# + 63ce0: 0c085f72 65706f72 745f6661 696c7572 .._report_failur + 63cf0: 655f746f 5f686f73 74000000 04bc0223 e_to_host......# + 63d00: 10085f74 61726765 745f6964 5f676574 .._target_id_get + 63d10: 00000004 c9022314 085f6973 5f686f73 ......#.._is_hos + 63d20: 745f7072 6573656e 74000000 05330223 t_present....3.# + 63d30: 18085f6b 62686974 00000005 4002231c .._kbhit....@.#. + 63d40: 085f726f 6d5f7665 7273696f 6e5f6765 ._rom_version_ge + 63d50: 74000000 054d0223 20000600 00036001 t....M.# .....`. + 63d60: 03000006 37040006 00000360 01030000 ....7......`.... + 63d70: 06440400 06000000 fd010300 00065104 .D............Q. + 63d80: 00060000 00fd0103 0000065e 04000600 ...........^.... + 63d90: 0000fd01 03000006 6b040007 73747269 ........k...stri + 63da0: 6e675f61 70690018 000006f1 085f7374 ng_api......._st + 63db0: 72696e67 5f696e69 74000000 00f60223 ring_init......# + 63dc0: 00085f73 74726370 79000000 063d0223 .._strcpy....=.# + 63dd0: 04085f73 74726e63 70790000 00064a02 .._strncpy....J. + 63de0: 2308085f 7374726c 656e0000 00065702 #.._strlen....W. + 63df0: 230c085f 73747263 6d700000 00066402 #.._strcmp....d. + 63e00: 2310085f 7374726e 636d7000 00000671 #.._strncmp....q + 63e10: 02231400 0f000003 f5140000 06fe1004 .#.............. + 63e20: 00095f41 5f54494d 45525f53 50414345 .._A_TIMER_SPACE + 63e30: 00000006 f109415f 74696d65 725f7400 ......A_timer_t. + 63e40: 000006fe 03000007 12040002 01030000 ................ + 63e50: 07280400 02010300 00073104 0009415f .(........1...A_ + 63e60: 48414e44 4c450000 0003f502 0109415f HANDLE........A_ + 63e70: 54494d45 525f4655 4e430000 00074803 TIMER_FUNC....H. + 63e80: 0000074a 04000201 03000007 63040007 ...J........c... + 63e90: 74696d65 725f6170 69001400 0007e208 timer_api....... + 63ea0: 5f74696d 65725f69 6e697400 000000f6 _timer_init..... + 63eb0: 02230008 5f74696d 65725f61 726d0000 .#.._timer_arm.. + 63ec0: 00072a02 2304085f 74696d65 725f6469 ..*.#.._timer_di + 63ed0: 7361726d 00000007 33022308 085f7469 sarm....3.#.._ti + 63ee0: 6d65725f 73657466 6e000000 07650223 mer_setfn....e.# + 63ef0: 0c085f74 696d6572 5f72756e 00000000 .._timer_run.... + 63f00: f6022310 0009424f 4f4c4541 4e000000 ..#...BOOLEAN... + 63f10: 01770600 0007e201 03000007 ef040006 .w.............. + 63f20: 000007e2 01030000 07fc0400 06000007 ................ + 63f30: e2010300 00080904 0007726f 6d705f61 ..........romp_a + 63f40: 70690010 0000087b 085f726f 6d705f69 pi.....{._romp_i + 63f50: 6e697400 000000f6 02230008 5f726f6d nit......#.._rom + 63f60: 705f646f 776e6c6f 61640000 0007f502 p_download...... + 63f70: 2304085f 726f6d70 5f696e73 74616c6c #.._romp_install + 63f80: 00000008 02022308 085f726f 6d705f64 ......#.._romp_d + 63f90: 65636f64 65000000 080f0223 0c000772 ecode......#...r + 63fa0: 6f6d5f70 61746368 5f737400 10000008 om_patch_st..... + 63fb0: d7086372 63313600 00000177 02230008 ..crc16....w.#.. + 63fc0: 6c656e00 00000177 02230208 6c645f61 len....w.#..ld_a + 63fd0: 64647200 0000019a 02230408 66756e5f ddr......#..fun_ + 63fe0: 61646472 00000001 9a022308 08706675 addr......#..pfu + 63ff0: 6e000000 03310223 0c000765 65705f72 n....1.#...eep_r + 64000: 65646972 5f616464 72000400 00090908 edir_addr....... + 64010: 6f666673 65740000 00017702 23000873 offset....w.#..s + 64020: 697a6500 00000177 02230200 09415f55 ize....w.#...A_U + 64030: 494e5433 32000000 03f50600 0003f201 INT32........... + 64040: 03000009 17040007 616c6c6f 6372616d ........allocram + 64050: 5f617069 000c0000 09880863 6d6e6f73 _api.......cmnos + 64060: 5f616c6c 6f637261 6d5f696e 69740000 _allocram_init.. + 64070: 00091d02 23000863 6d6e6f73 5f616c6c ....#..cmnos_all + 64080: 6f637261 6d000000 091d0223 0408636d ocram......#..cm + 64090: 6e6f735f 616c6c6f 6372616d 5f646562 nos_allocram_deb + 640a0: 75670000 0000f602 23080002 01030000 ug......#....... + 640b0: 09880400 09415f54 41534b4c 45545f46 .....A_TASKLET_F + 640c0: 554e4300 0000098a 075f7461 736b6c65 UNC......_taskle + 640d0: 74001000 0009e908 66756e63 00000009 t.......func.... + 640e0: 91022300 08617267 00000003 f2022304 ..#..arg......#. + 640f0: 08737461 74650000 0000fd02 2308086e .state......#..n + 64100: 65787400 000009e9 02230c00 03000009 ext......#...... + 64110: a5040003 000009a5 04000941 5f746173 ...........A_tas + 64120: 6b6c6574 5f740000 0009a503 000009f7 klet_t.......... + 64130: 04000201 0300000a 0f040002 01030000 ................ + 64140: 0a180400 07746173 6b6c6574 5f617069 .....tasklet_api + 64150: 00140000 0aad085f 7461736b 6c65745f ......._tasklet_ + 64160: 696e6974 00000000 f6022300 085f7461 init......#.._ta + 64170: 736b6c65 745f696e 69745f74 61736b00 sklet_init_task. + 64180: 00000a11 02230408 5f746173 6b6c6574 .....#.._tasklet + 64190: 5f646973 61626c65 0000000a 1a022308 _disable......#. + 641a0: 085f7461 736b6c65 745f7363 68656475 ._tasklet_schedu + 641b0: 6c650000 000a1a02 230c085f 7461736b le......#.._task + 641c0: 6c65745f 72756e00 000000f6 02231000 let_run......#.. + 641d0: 02010300 000aad04 00060000 09090103 ................ + 641e0: 00000ab6 04000201 0300000a c3040007 ................ + 641f0: 636c6f63 6b5f6170 69002400 000ba508 clock_api.$..... + 64200: 5f636c6f 636b5f69 6e697400 00000aaf _clock_init..... + 64210: 02230008 5f636c6f 636b7265 67735f69 .#.._clockregs_i + 64220: 6e697400 000000f6 02230408 5f756172 nit......#.._uar + 64230: 745f6672 65717565 6e637900 00000abc t_frequency..... + 64240: 02230808 5f64656c 61795f75 73000000 .#.._delay_us... + 64250: 0ac50223 0c085f77 6c616e5f 62616e64 ...#.._wlan_band + 64260: 5f736574 0000000a c5022310 085f7265 _set......#.._re + 64270: 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 64280: 000abc02 2314085f 6d696c6c 69736563 ....#.._millisec + 64290: 6f6e6473 0000000a bc022318 085f7379 onds......#.._sy + 642a0: 73636c6b 5f636861 6e676500 000000f6 sclk_change..... + 642b0: 02231c08 5f636c6f 636b5f74 69636b00 .#.._clock_tick. + 642c0: 000000f6 02232000 06000001 9a010300 .....# ......... + 642d0: 000ba504 0009415f 6f6c645f 696e7472 ......A_old_intr + 642e0: 5f740000 00019a06 00000bb2 01030000 _t.............. + 642f0: 0bc40400 02010300 000bd104 00020103 ................ + 64300: 00000bda 04000600 00019a01 0300000b ................ + 64310: e3040009 415f6973 725f7400 00000be9 ....A_isr_t..... + 64320: 02010300 000bfd04 00060000 03f50103 ................ + 64330: 00000c06 04000201 0300000c 13040007 ................ + 64340: 696e7472 5f617069 002c0000 0d35085f intr_api.,...5._ + 64350: 696e7472 5f696e69 74000000 00f60223 intr_init......# + 64360: 00085f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i + 64370: 73720000 000bab02 2304085f 696e7472 sr......#.._intr + 64380: 5f646973 61626c65 0000000b ca022308 _disable......#. + 64390: 085f696e 74725f72 6573746f 72650000 ._intr_restore.. + 643a0: 000bd302 230c085f 696e7472 5f6d6173 ....#.._intr_mas + 643b0: 6b5f696e 756d0000 000bdc02 2310085f k_inum......#.._ + 643c0: 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum + 643d0: 0000000b dc022314 085f696e 74725f61 ......#.._intr_a + 643e0: 74746163 685f6973 72000000 0bff0223 ttach_isr......# + 643f0: 18085f67 65745f69 6e747265 6e61626c .._get_intrenabl + 64400: 65000000 0c0c0223 1c085f73 65745f69 e......#.._set_i + 64410: 6e747265 6e61626c 65000000 0c150223 ntrenable......# + 64420: 20085f67 65745f69 6e747270 656e6469 ._get_intrpendi + 64430: 6e670000 000c0c02 2324085f 756e626c ng......#$._unbl + 64440: 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. + 64450: 000000f6 02232800 11040000 0d5b0874 .....#(......[.t + 64460: 696d656f 75740000 00019a02 23000861 imeout......#..a + 64470: 6374696f 6e000000 019a0223 00001208 ction......#.... + 64480: 00000d76 08636d64 00000001 9a022300 ...v.cmd......#. + 64490: 1300000d 35022304 0009545f 5744545f ....5.#...T_WDT_ + 644a0: 434d4400 00000d5b 02010300 000d8504 CMD....[........ + 644b0: 00140400 000ddb0e 454e554d 5f574454 ........ENUM_WDT + 644c0: 5f424f4f 5400010e 454e554d 5f434f4c _BOOT...ENUM_COL + 644d0: 445f424f 4f540002 0e454e55 4d5f5355 D_BOOT...ENUM_SU + 644e0: 53505f42 4f4f5400 030e454e 554d5f55 SP_BOOT...ENUM_U + 644f0: 4e4b4e4f 574e5f42 4f4f5400 04000954 NKNOWN_BOOT....T + 64500: 5f424f4f 545f5459 50450000 000d8e06 _BOOT_TYPE...... + 64510: 00000ddb 01030000 0dec0400 07776474 .............wdt + 64520: 5f617069 001c0000 0e90085f 7764745f _api......._wdt_ + 64530: 696e6974 00000000 f6022300 085f7764 init......#.._wd + 64540: 745f656e 61626c65 00000000 f6022304 t_enable......#. + 64550: 085f7764 745f6469 7361626c 65000000 ._wdt_disable... + 64560: 00f60223 08085f77 64745f73 65740000 ...#.._wdt_set.. + 64570: 000d8702 230c085f 7764745f 7461736b ....#.._wdt_task + 64580: 00000000 f6022310 085f7764 745f7265 ......#.._wdt_re + 64590: 73657400 000000f6 02231408 5f776474 set......#.._wdt + 645a0: 5f6c6173 745f626f 6f740000 000df202 _last_boot...... + 645b0: 23180014 0400000e f70e5245 545f5355 #.........RET_SU + 645c0: 43434553 5300000e 5245545f 4e4f545f CCESS...RET_NOT_ + 645d0: 494e4954 00010e52 45545f4e 4f545f45 INIT...RET_NOT_E + 645e0: 58495354 00020e52 45545f45 45505f43 XIST...RET_EEP_C + 645f0: 4f525255 50540003 0e524554 5f454550 ORRUPT...RET_EEP + 64600: 5f4f5645 52464c4f 5700040e 5245545f _OVERFLOW...RET_ + 64610: 554e4b4e 4f574e00 05000954 5f454550 UNKNOWN....T_EEP + 64620: 5f524554 0000000e 90030000 01770400 _RET.........w.. + 64630: 0600000e f7010300 000f0d04 00060000 ................ + 64640: 0ef70103 00000f1a 04000765 65705f61 ...........eep_a + 64650: 70690010 00000f83 085f6565 705f696e pi......._eep_in + 64660: 69740000 0000f602 2300085f 6565705f it......#.._eep_ + 64670: 72656164 0000000f 13022304 085f6565 read......#.._ee + 64680: 705f7772 69746500 00000f13 02230808 p_write......#.. + 64690: 5f656570 5f69735f 65786973 74000000 _eep_is_exist... + 646a0: 0f200223 0c000775 73625f61 70690070 . .#...usb_api.p + 646b0: 00001230 085f7573 625f696e 69740000 ...0._usb_init.. + 646c0: 0000f602 2300085f 7573625f 726f6d5f ....#.._usb_rom_ + 646d0: 7461736b 00000000 f6022304 085f7573 task......#.._us + 646e0: 625f6677 5f746173 6b000000 00f60223 b_fw_task......# + 646f0: 08085f75 73625f69 6e69745f 70687900 .._usb_init_phy. + 64700: 000000f6 02230c08 5f757362 5f657030 .....#.._usb_ep0 + 64710: 5f736574 75700000 0000f602 2310085f _setup......#.._ + 64720: 7573625f 6570305f 74780000 0000f602 usb_ep0_tx...... + 64730: 2314085f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. + 64740: 0000f602 2318085f 7573625f 6765745f ....#.._usb_get_ + 64750: 696e7465 72666163 65000000 08020223 interface......# + 64760: 1c085f75 73625f73 65745f69 6e746572 .._usb_set_inter + 64770: 66616365 00000008 02022320 085f7573 face......# ._us + 64780: 625f6765 745f636f 6e666967 75726174 b_get_configurat + 64790: 696f6e00 00000802 02232408 5f757362 ion......#$._usb + 647a0: 5f736574 5f636f6e 66696775 72617469 _set_configurati + 647b0: 6f6e0000 00080202 2328085f 7573625f on......#(._usb_ + 647c0: 7374616e 64617264 5f636d64 00000008 standard_cmd.... + 647d0: 0202232c 085f7573 625f7665 6e646f72 ..#,._usb_vendor + 647e0: 5f636d64 00000000 f6022330 085f7573 _cmd......#0._us + 647f0: 625f706f 7765725f 6f666600 000000f6 b_power_off..... + 64800: 02233408 5f757362 5f726573 65745f66 .#4._usb_reset_f + 64810: 69666f00 000000f6 02233808 5f757362 ifo......#8._usb + 64820: 5f67656e 5f776474 00000000 f602233c _gen_wdt......#< + 64830: 085f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. + 64840: 000000f6 02234008 5f757362 5f636c72 .....#@._usb_clr + 64850: 5f666561 74757265 00000008 02022344 _feature......#D + 64860: 085f7573 625f7365 745f6665 61747572 ._usb_set_featur + 64870: 65000000 08020223 48085f75 73625f73 e......#H._usb_s + 64880: 65745f61 64647265 73730000 00080202 et_address...... + 64890: 234c085f 7573625f 6765745f 64657363 #L._usb_get_desc + 648a0: 72697074 6f720000 00080202 2350085f riptor......#P._ + 648b0: 7573625f 6765745f 73746174 75730000 usb_get_status.. + 648c0: 00080202 2354085f 7573625f 73657475 ....#T._usb_setu + 648d0: 705f6465 73630000 0000f602 2358085f p_desc......#X._ + 648e0: 7573625f 7265675f 6f757400 000000f6 usb_reg_out..... + 648f0: 02235c08 5f757362 5f737461 7475735f .#\._usb_status_ + 64900: 696e0000 0000f602 2360085f 7573625f in......#`._usb_ + 64910: 6570305f 74785f64 61746100 000000f6 ep0_tx_data..... + 64920: 02236408 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ + 64930: 64617461 00000000 f6022368 085f7573 data......#h._us + 64940: 625f636c 6b5f696e 69740000 0000f602 b_clk_init...... + 64950: 236c0007 5f564445 53430024 000012bc #l.._VDESC.$.... + 64960: 086e6578 745f6465 73630000 0012bc02 .next_desc...... + 64970: 23000862 75665f61 64647200 000012d0 #..buf_addr..... + 64980: 02230408 6275665f 73697a65 00000012 .#..buf_size.... + 64990: d7022308 08646174 615f6f66 66736574 ..#..data_offset + 649a0: 00000012 d702230a 08646174 615f7369 ......#..data_si + 649b0: 7a650000 0012d702 230c0863 6f6e7472 ze......#..contr + 649c0: 6f6c0000 0012d702 230e0868 775f6465 ol......#..hw_de + 649d0: 73635f62 75660000 0012e502 23100003 sc_buf......#... + 649e0: 00001230 04000941 5f55494e 54380000 ...0...A_UINT8.. + 649f0: 00030a03 000012c3 04000941 5f55494e ...........A_UIN + 64a00: 54313600 00000161 0f000012 c3140000 T16....a........ + 64a10: 12f21013 00030000 12300400 09564445 .........0...VDE + 64a20: 53430000 00123003 000012f9 04000600 SC....0......... + 64a30: 00130401 03000013 0b040006 000012d0 ................ + 64a40: 01030000 13180400 02010300 00132504 ..............%. + 64a50: 00077664 6573635f 61706900 14000013 ..vdesc_api..... + 64a60: 9d085f69 6e697400 00000ac5 02230008 .._init......#.. + 64a70: 5f616c6c 6f635f76 64657363 00000013 _alloc_vdesc.... + 64a80: 11022304 085f6765 745f6877 5f646573 ..#.._get_hw_des + 64a90: 63000000 131e0223 08085f73 7761705f c......#.._swap_ + 64aa0: 76646573 63000000 13270223 0c087052 vdesc....'.#..pR + 64ab0: 65736572 76656400 000003f2 02231000 eserved......#.. + 64ac0: 075f5642 55460020 000013fd 08646573 ._VBUF. .....des + 64ad0: 635f6c69 73740000 00130402 2300086e c_list......#..n + 64ae0: 6578745f 62756600 000013fd 02230408 ext_buf......#.. + 64af0: 6275665f 6c656e67 74680000 0012d702 buf_length...... + 64b00: 23080872 65736572 76656400 00001404 #..reserved..... + 64b10: 02230a08 63747800 000012e5 02230c00 .#..ctx......#.. + 64b20: 03000013 9d04000f 000012c3 02000014 ................ + 64b30: 11100100 03000013 9d040009 56425546 ............VBUF + 64b40: 00000013 9d030000 14180400 06000014 ................ + 64b50: 22010300 00142904 00060000 14220103 ".....)......".. + 64b60: 00001436 04000201 03000014 43040007 ...6........C... + 64b70: 76627566 5f617069 00140000 14c1085f vbuf_api......._ + 64b80: 696e6974 0000000a c5022300 085f616c init......#.._al + 64b90: 6c6f635f 76627566 00000014 2f022304 loc_vbuf..../.#. + 64ba0: 085f616c 6c6f635f 76627566 5f776974 ._alloc_vbuf_wit + 64bb0: 685f7369 7a650000 00143c02 2308085f h_size....<.#.._ + 64bc0: 66726565 5f766275 66000000 14450223 free_vbuf....E.# + 64bd0: 0c087052 65736572 76656400 000003f2 ..pReserved..... + 64be0: 02231000 075f5f61 64665f64 65766963 .#...__adf_devic + 64bf0: 65000400 0014e308 64756d6d 79000000 e.......dummy... + 64c00: 00fd0223 00000300 00090904 00075f5f ...#..........__ + 64c10: 6164665f 646d615f 6d617000 0c000015 adf_dma_map..... + 64c20: 2a086275 66000000 14220223 00086473 *.buf....".#..ds + 64c30: 5f616464 72000000 14e30223 04086473 _addr......#..ds + 64c40: 5f6c656e 00000012 d7022308 00120c00 _len......#..... + 64c50: 00156408 5f5f7661 5f73746b 00000003 ..d.__va_stk.... + 64c60: 60022300 085f5f76 615f7265 67000000 `.#..__va_reg... + 64c70: 03600223 04085f5f 76615f6e 64780000 .`.#..__va_ndx.. + 64c80: 0000fd02 23080009 5f5f6164 665f6f73 ....#...__adf_os + 64c90: 5f646d61 5f616464 725f7400 00000909 _dma_addr_t..... + 64ca0: 09616466 5f6f735f 646d615f 61646472 .adf_os_dma_addr + 64cb0: 5f740000 00156409 5f5f6164 665f6f73 _t....d.__adf_os + 64cc0: 5f646d61 5f73697a 655f7400 00000909 _dma_size_t..... + 64cd0: 09616466 5f6f735f 646d615f 73697a65 .adf_os_dma_size + 64ce0: 5f740000 00159407 5f5f646d 615f7365 _t......__dma_se + 64cf0: 67730008 000015f0 08706164 64720000 gs.......paddr.. + 64d00: 00157d02 2300086c 656e0000 0015ad02 ..}.#..len...... + 64d10: 23040009 5f5f615f 75696e74 33325f74 #...__a_uint32_t + 64d20: 00000009 0909615f 75696e74 33325f74 ......a_uint32_t + 64d30: 00000015 f00f0000 15c40800 00161f10 ................ + 64d40: 00000761 64665f6f 735f646d 616d6170 ...adf_os_dmamap + 64d50: 5f696e66 6f000c00 00165808 6e736567 _info.....X.nseg + 64d60: 73000000 16020223 0008646d 615f7365 s......#..dma_se + 64d70: 67730000 00161202 23040009 5f5f615f gs......#...__a_ + 64d80: 75696e74 385f7400 000012c3 09615f75 uint8_t......a_u + 64d90: 696e7438 5f740000 00165803 00001669 int8_t....X....i + 64da0: 0400075f 5f73675f 73656773 00080000 ...__sg_segs.... + 64db0: 16aa0876 61646472 00000016 78022300 ...vaddr....x.#. + 64dc0: 086c656e 00000016 02022304 000f0000 .len......#..... + 64dd0: 167f2000 0016b710 03000761 64665f6f .. ........adf_o + 64de0: 735f7367 6c697374 00240000 16ea086e s_sglist.$.....n + 64df0: 73656773 00000016 02022300 0873675f segs......#..sg_ + 64e00: 73656773 00000016 aa022304 00121000 segs......#..... + 64e10: 00173308 76656e64 6f720000 00160202 ..3.vendor...... + 64e20: 23000864 65766963 65000000 16020223 #..device......# + 64e30: 04087375 6276656e 646f7200 00001602 ..subvendor..... + 64e40: 02230808 73756264 65766963 65000000 .#..subdevice... + 64e50: 16020223 0c00046c 6f6e6720 6c6f6e67 ...#...long long + 64e60: 20756e73 69676e65 6420696e 74000708 unsigned int... + 64e70: 09415f55 494e5436 34000000 1733095f .A_UINT64....3._ + 64e80: 5f615f75 696e7436 345f7400 0000174d _a_uint64_t....M + 64e90: 09615f75 696e7436 345f7400 0000175b .a_uint64_t....[ + 64ea0: 14040000 17b90e41 44465f4f 535f5245 .......ADF_OS_RE + 64eb0: 534f5552 43455f54 5950455f 4d454d00 SOURCE_TYPE_MEM. + 64ec0: 000e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC + 64ed0: 455f5459 50455f49 4f000100 09616466 E_TYPE_IO....adf + 64ee0: 5f6f735f 7265736f 75726365 5f747970 _os_resource_typ + 64ef0: 655f7400 0000177d 12180000 18030873 e_t....}.......s + 64f00: 74617274 00000017 6d022300 08656e64 tart....m.#..end + 64f10: 00000017 6d022308 08747970 65000000 ....m.#..type... + 64f20: 17b90223 10000961 64665f6f 735f7063 ...#...adf_os_pc + 64f30: 695f6465 765f6964 5f740000 0016ea03 i_dev_id_t...... + 64f40: 00001803 04001104 00001842 08706369 ...........B.pci + 64f50: 00000018 1c022300 08726177 00000003 ......#..raw.... + 64f60: f2022300 00111000 00186108 70636900 ..#.......a.pci. + 64f70: 00001803 02230008 72617700 000003f2 .....#..raw..... + 64f80: 02230000 09616466 5f647276 5f68616e .#...adf_drv_han + 64f90: 646c655f 74000000 03f20961 64665f6f dle_t......adf_o + 64fa0: 735f7265 736f7572 63655f74 00000017 s_resource_t.... + 64fb0: d5030000 18770400 09616466 5f6f735f .....w...adf_os_ + 64fc0: 61747461 63685f64 6174615f 74000000 attach_data_t... + 64fd0: 18420300 00189504 00030000 14c10400 .B.............. + 64fe0: 095f5f61 64665f6f 735f6465 76696365 .__adf_os_device + 64ff0: 5f740000 0018b609 6164665f 6f735f64 _t......adf_os_d + 65000: 65766963 655f7400 000018bd 06000018 evice_t......... + 65010: 61010300 0018e904 00020103 000018f6 a............... + 65020: 04000961 64665f6f 735f706d 5f740000 ...adf_os_pm_t.. + 65030: 0003f202 01030000 19100400 14040000 ................ + 65040: 19500e41 44465f4f 535f4255 535f5459 .P.ADF_OS_BUS_TY + 65050: 50455f50 43490001 0e414446 5f4f535f PE_PCI...ADF_OS_ + 65060: 4255535f 54595045 5f47454e 45524943 BUS_TYPE_GENERIC + 65070: 00020009 6164665f 6f735f62 75735f74 ....adf_os_bus_t + 65080: 7970655f 74000000 19190961 64665f6f ype_t......adf_o + 65090: 735f6275 735f7265 675f6461 74615f74 s_bus_reg_data_t + 650a0: 00000018 23030000 030a0400 075f6164 ....#........_ad + 650b0: 665f6472 765f696e 666f0020 00001a2d f_drv_info. ...- + 650c0: 08647276 5f617474 61636800 000018ef .drv_attach..... + 650d0: 02230008 6472765f 64657461 63680000 .#..drv_detach.. + 650e0: 0018f802 23040864 72765f73 75737065 ....#..drv_suspe + 650f0: 6e640000 00191202 23080864 72765f72 nd......#..drv_r + 65100: 6573756d 65000000 18f80223 0c086275 esume......#..bu + 65110: 735f7479 70650000 00195002 23100862 s_type....P.#..b + 65120: 75735f64 61746100 00001967 02231408 us_data....g.#.. + 65130: 6d6f645f 6e616d65 00000019 82022318 mod_name......#. + 65140: 0869666e 616d6500 00001982 02231c00 .ifname......#.. + 65150: 09616466 5f6f735f 68616e64 6c655f74 .adf_os_handle_t + 65160: 00000003 f2030000 16580400 02010201 .........X...... + 65170: 095f5f61 64665f6f 735f7369 7a655f74 .__adf_os_size_t + 65180: 00000003 f5140400 001a7c0e 415f4641 ..........|.A_FA + 65190: 4c534500 000e415f 54525545 00010009 LSE...A_TRUE.... + 651a0: 615f626f 6f6c5f74 0000001a 62030000 a_bool_t....b... + 651b0: 14ea0400 095f5f61 64665f6f 735f646d .....__adf_os_dm + 651c0: 615f6d61 705f7400 00001a8a 02010d61 a_map_t........a + 651d0: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 651e0: 00040000 1b140e41 44465f53 594e435f .......ADF_SYNC_ + 651f0: 50524552 45414400 000e4144 465f5359 PREREAD...ADF_SY + 65200: 4e435f50 52455752 49544500 020e4144 NC_PREWRITE...AD + 65210: 465f5359 4e435f50 4f535452 45414400 F_SYNC_POSTREAD. + 65220: 010e4144 465f5359 4e435f50 4f535457 ..ADF_SYNC_POSTW + 65230: 52495445 00030009 6164665f 6f735f63 RITE....adf_os_c + 65240: 61636865 5f73796e 635f7400 00001aab ache_sync_t..... + 65250: 02010961 64665f6f 735f7369 7a655f74 ...adf_os_size_t + 65260: 0000001a 4d060000 1b2f0109 6164665f ....M..../..adf_ + 65270: 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... + 65280: 91030000 1b480400 06000003 f2010300 .....H.......... + 65290: 001a9104 00060000 03f20102 01060000 ................ + 652a0: 157d0102 01047368 6f727420 696e7400 .}....short int. + 652b0: 05020941 5f494e54 31360000 001b8209 ...A_INT16...... + 652c0: 5f5f615f 696e7431 365f7400 00001b8f __a_int16_t..... + 652d0: 09615f69 6e743136 5f740000 001b9c04 .a_int16_t...... + 652e0: 7369676e 65642063 68617200 05010941 signed char....A + 652f0: 5f494e54 38000000 1bbc095f 5f615f69 _INT8......__a_i + 65300: 6e74385f 74000000 1bcb0961 5f696e74 nt8_t......a_int + 65310: 385f7400 00001bd7 120c0000 1c4e0873 8_t..........N.s + 65320: 7570706f 72746564 00000016 02022300 upported......#. + 65330: 08616476 65727469 7a656400 00001602 .advertized..... + 65340: 02230408 73706565 64000000 1bad0223 .#..speed......# + 65350: 08086475 706c6578 0000001b e702230a ..duplex......#. + 65360: 08617574 6f6e6567 00000016 6902230b .autoneg....i.#. + 65370: 000f0000 16690600 001c5b10 05000761 .....i....[....a + 65380: 64665f6e 65745f65 74686164 64720006 df_net_ethaddr.. + 65390: 00001c7f 08616464 72000000 1c4e0223 .....addr....N.# + 653a0: 0000095f 5f615f75 696e7431 365f7400 ...__a_uint16_t. + 653b0: 000012d7 09615f75 696e7431 365f7400 .....a_uint16_t. + 653c0: 00001c7f 120e0000 1ce30865 74686572 ...........ether + 653d0: 5f64686f 73740000 001c4e02 23000865 _dhost....N.#..e + 653e0: 74686572 5f73686f 73740000 001c4e02 ther_shost....N. + 653f0: 23060865 74686572 5f747970 65000000 #..ether_type... + 65400: 1c910223 0c001214 00001da4 1569705f ...#.........ip_ + 65410: 76657273 696f6e00 00001669 01000402 version....i.... + 65420: 23001569 705f686c 00000016 69010404 #..ip_hl....i... + 65430: 02230008 69705f74 6f730000 00166902 .#..ip_tos....i. + 65440: 23010869 705f6c65 6e000000 1c910223 #..ip_len......# + 65450: 02086970 5f696400 00001c91 02230408 ..ip_id......#.. + 65460: 69705f66 7261675f 6f666600 00001c91 ip_frag_off..... + 65470: 02230608 69705f74 746c0000 00166902 .#..ip_ttl....i. + 65480: 23080869 705f7072 6f746f00 00001669 #..ip_proto....i + 65490: 02230908 69705f63 6865636b 0000001c .#..ip_check.... + 654a0: 9102230a 0869705f 73616464 72000000 ..#..ip_saddr... + 654b0: 16020223 0c086970 5f646164 64720000 ...#..ip_daddr.. + 654c0: 00160202 23100007 6164665f 6e65745f ....#...adf_net_ + 654d0: 766c616e 68647200 0400001d f6087470 vlanhdr.......tp + 654e0: 69640000 001c9102 23001570 72696f00 id......#..prio. + 654f0: 00001669 01000302 23021563 66690000 ...i....#..cfi.. + 65500: 00166901 03010223 02157669 64000000 ..i....#..vid... + 65510: 1c910204 0c022302 00076164 665f6e65 ......#...adf_ne + 65520: 745f7669 64000200 001e2715 72657300 t_vid.....'.res. + 65530: 00001669 01000402 23001576 616c0000 ...i....#..val.. + 65540: 001c9102 040c0223 0000120c 00001e63 .......#.......c + 65550: 0872785f 62756673 697a6500 00001602 .rx_bufsize..... + 65560: 02230008 72785f6e 64657363 00000016 .#..rx_ndesc.... + 65570: 02022304 0874785f 6e646573 63000000 ..#..tx_ndesc... + 65580: 16020223 08001208 00001e89 08706f6c ...#.........pol + 65590: 6c656400 00001a7c 02230008 706f6c6c led....|.#..poll + 655a0: 5f777400 00001602 02230400 0f000016 _wt......#...... + 655b0: 69400000 1e96103f 00124600 001ebe08 i@.....?..F..... + 655c0: 69665f6e 616d6500 00001e89 02230008 if_name......#.. + 655d0: 6465765f 61646472 0000001c 4e022340 dev_addr....N.#@ + 655e0: 00140400 001ef50e 4144465f 4f535f44 ........ADF_OS_D + 655f0: 4d415f4d 41534b5f 33324249 5400000e MA_MASK_32BIT... + 65600: 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 65610: 36344249 54000100 09616466 5f6f735f 64BIT....adf_os_ + 65620: 646d615f 6d61736b 5f740000 001ebe07 dma_mask_t...... + 65630: 6164665f 646d615f 696e666f 00080000 adf_dma_info.... + 65640: 1f420864 6d615f6d 61736b00 00001ef5 .B.dma_mask..... + 65650: 02230008 73675f6e 73656773 00000016 .#..sg_nsegs.... + 65660: 02022304 00140400 001f980e 4144465f ..#.........ADF_ + 65670: 4e45545f 434b5355 4d5f4e4f 4e450000 NET_CKSUM_NONE.. + 65680: 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 65690: 43505f55 44505f49 50763400 010e4144 CP_UDP_IPv4...AD + 656a0: 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 656b0: 5544505f 49507636 00020009 6164665f UDP_IPv6....adf_ + 656c0: 6e65745f 636b7375 6d5f7479 70655f74 net_cksum_type_t + 656d0: 0000001f 42120800 001fdb08 74785f63 ....B.......tx_c + 656e0: 6b73756d 0000001f 98022300 0872785f ksum......#..rx_ + 656f0: 636b7375 6d000000 1f980223 04000961 cksum......#...a + 65700: 64665f6e 65745f63 6b73756d 5f696e66 df_net_cksum_inf + 65710: 6f5f7400 00001fb2 14040000 20340e41 o_t......... 4.A + 65720: 44465f4e 45545f54 534f5f4e 4f4e4500 DF_NET_TSO_NONE. + 65730: 000e4144 465f4e45 545f5453 4f5f4950 ..ADF_NET_TSO_IP + 65740: 56340001 0e414446 5f4e4554 5f54534f V4...ADF_NET_TSO + 65750: 5f414c4c 00020009 6164665f 6e65745f _ALL....adf_net_ + 65760: 74736f5f 74797065 5f740000 001ff512 tso_type_t...... + 65770: 10000020 8808636b 73756d5f 63617000 ... ..cksum_cap. + 65780: 00001fdb 02230008 74736f00 00002034 .....#..tso... 4 + 65790: 02230808 766c616e 5f737570 706f7274 .#..vlan_support + 657a0: 65640000 00166902 230c0012 20000021 ed....i.#... ..! + 657b0: 21087478 5f706163 6b657473 00000016 !.tx_packets.... + 657c0: 02022300 0872785f 7061636b 65747300 ..#..rx_packets. + 657d0: 00001602 02230408 74785f62 79746573 .....#..tx_bytes + 657e0: 00000016 02022308 0872785f 62797465 ......#..rx_byte + 657f0: 73000000 16020223 0c087478 5f64726f s......#..tx_dro + 65800: 70706564 00000016 02022310 0872785f pped......#..rx_ + 65810: 64726f70 70656400 00001602 02231408 dropped......#.. + 65820: 72785f65 72726f72 73000000 16020223 rx_errors......# + 65830: 18087478 5f657272 6f727300 00001602 ..tx_errors..... + 65840: 02231c00 09616466 5f6e6574 5f657468 .#...adf_net_eth + 65850: 61646472 5f740000 001c5b16 00002121 addr_t....[...!! + 65860: 03000000 2146107f 00176164 665f6e65 ....!F....adf_ne + 65870: 745f636d 645f6d63 61646472 00030400 t_cmd_mcaddr.... + 65880: 00217d08 6e656c65 6d000000 16020223 .!}.nelem......# + 65890: 00086d63 61737400 00002138 02230400 ..mcast...!8.#.. + 658a0: 09616466 5f6e6574 5f636d64 5f6c696e .adf_net_cmd_lin + 658b0: 6b5f696e 666f5f74 0000001b f5096164 k_info_t......ad + 658c0: 665f6e65 745f636d 645f706f 6c6c5f69 f_net_cmd_poll_i + 658d0: 6e666f5f 74000000 1e630961 64665f6e nfo_t....c.adf_n + 658e0: 65745f63 6d645f63 6b73756d 5f696e66 et_cmd_cksum_inf + 658f0: 6f5f7400 00001fdb 09616466 5f6e6574 o_t......adf_net + 65900: 5f636d64 5f72696e 675f696e 666f5f74 _cmd_ring_info_t + 65910: 0000001e 27096164 665f6e65 745f636d ....'.adf_net_cm + 65920: 645f646d 615f696e 666f5f74 0000001f d_dma_info_t.... + 65930: 0c096164 665f6e65 745f636d 645f7669 ..adf_net_cmd_vi + 65940: 645f7400 00001c91 09616466 5f6e6574 d_t......adf_net + 65950: 5f636d64 5f6f6666 6c6f6164 5f636170 _cmd_offload_cap + 65960: 5f740000 00204c09 6164665f 6e65745f _t... L.adf_net_ + 65970: 636d645f 73746174 735f7400 00002088 cmd_stats_t... . + 65980: 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 65990: 6464725f 74000000 21460d61 64665f6e ddr_t...!F.adf_n + 659a0: 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 659b0: 00040000 22bf0e41 44465f4e 45545f4d ...."..ADF_NET_M + 659c0: 43415354 5f535550 00000e41 44465f4e CAST_SUP...ADF_N + 659d0: 45545f4d 43415354 5f4e4f54 53555000 ET_MCAST_NOTSUP. + 659e0: 01000961 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m + 659f0: 63617374 5f636170 5f740000 00227718 cast_cap_t..."w. + 65a00: 03040000 2391086c 696e6b5f 696e666f ....#..link_info + 65a10: 00000021 7d022300 08706f6c 6c5f696e ...!}.#..poll_in + 65a20: 666f0000 00219a02 23000863 6b73756d fo...!..#..cksum + 65a30: 5f696e66 6f000000 21b70223 00087269 _info...!..#..ri + 65a40: 6e675f69 6e666f00 000021d5 02230008 ng_info...!..#.. + 65a50: 646d615f 696e666f 00000021 f2022300 dma_info...!..#. + 65a60: 08766964 00000022 0e022300 086f6666 .vid..."..#..off + 65a70: 6c6f6164 5f636170 00000022 25022300 load_cap..."%.#. + 65a80: 08737461 74730000 00224402 2300086d .stats..."D.#..m + 65a90: 63617374 5f696e66 6f000000 225d0223 cast_info..."].# + 65aa0: 00086d63 6173745f 63617000 000022bf ..mcast_cap...". + 65ab0: 02230000 14040000 23e80e41 44465f4e .#......#..ADF_N + 65ac0: 4255465f 52585f43 4b53554d 5f4e4f4e BUF_RX_CKSUM_NON + 65ad0: 4500000e 4144465f 4e425546 5f52585f E...ADF_NBUF_RX_ + 65ae0: 434b5355 4d5f4857 00010e41 44465f4e CKSUM_HW...ADF_N + 65af0: 4255465f 52585f43 4b53554d 5f554e4e BUF_RX_CKSUM_UNN + 65b00: 45434553 53415259 00020009 6164665f ECESSARY....adf_ + 65b10: 6e627566 5f72785f 636b7375 6d5f7479 nbuf_rx_cksum_ty + 65b20: 70655f74 00000023 91120800 00242808 pe_t...#.....$(. + 65b30: 72657375 6c740000 0023e802 23000876 result...#..#..v + 65b40: 616c0000 00160202 23040012 08000024 al......#......$ + 65b50: 58087479 70650000 00203402 2300086d X.type... 4.#..m + 65b60: 73730000 001c9102 23040868 64725f6f ss......#..hdr_o + 65b70: 66660000 00166902 23060007 5f5f6164 ff....i.#...__ad + 65b80: 665f6e62 75665f71 68656164 000c0000 f_nbuf_qhead.... + 65b90: 24970868 65616400 00001422 02230008 $..head....".#.. + 65ba0: 7461696c 00000014 22022304 08716c65 tail....".#..qle + 65bb0: 6e000000 16020223 0800095f 5f616466 n......#...__adf + 65bc0: 5f6e6275 665f7400 00001422 03000016 _nbuf_t....".... + 65bd0: 78040003 00001602 04000201 06000013 x............... + 65be0: 04010600 00160201 06000016 78010600 ............x... + 65bf0: 00167801 03000012 e5040009 5f5f6164 ..x.........__ad + 65c00: 665f6e62 75665f71 68656164 5f740000 f_nbuf_qhead_t.. + 65c10: 00245809 5f5f6164 665f6e62 75665f71 .$X.__adf_nbuf_q + 65c20: 75657565 5f740000 0024d803 000024f0 ueue_t...$....$. + 65c30: 04000600 00249701 06000024 97011404 .....$.....$.... + 65c40: 00002610 0e415f53 54415455 535f4f4b ..&..A_STATUS_OK + 65c50: 00000e41 5f535441 5455535f 4641494c ...A_STATUS_FAIL + 65c60: 45440001 0e415f53 54415455 535f454e ED...A_STATUS_EN + 65c70: 4f454e54 00020e41 5f535441 5455535f OENT...A_STATUS_ + 65c80: 454e4f4d 454d0003 0e415f53 54415455 ENOMEM...A_STATU + 65c90: 535f4549 4e56414c 00040e41 5f535441 S_EINVAL...A_STA + 65ca0: 5455535f 45494e50 524f4752 45535300 TUS_EINPROGRESS. + 65cb0: 050e415f 53544154 55535f45 4e4f5453 ..A_STATUS_ENOTS + 65cc0: 55505000 060e415f 53544154 55535f45 UPP...A_STATUS_E + 65cd0: 42555359 00070e41 5f535441 5455535f BUSY...A_STATUS_ + 65ce0: 45324249 4700080e 415f5354 41545553 E2BIG...A_STATUS + 65cf0: 5f454144 44524e4f 54415641 494c0009 _EADDRNOTAVAIL.. + 65d00: 0e415f53 54415455 535f454e 58494f00 .A_STATUS_ENXIO. + 65d10: 0a0e415f 53544154 55535f45 4641554c ..A_STATUS_EFAUL + 65d20: 54000b0e 415f5354 41545553 5f45494f T...A_STATUS_EIO + 65d30: 000c0009 615f7374 61747573 5f740000 ....a_status_t.. + 65d40: 00251b06 00002610 01060000 00fd0102 .%....&......... + 65d50: 01096164 665f6e62 75665f74 00000024 ..adf_nbuf_t...$ + 65d60: 97140400 0026750e 4144465f 4f535f44 .....&u.ADF_OS_D + 65d70: 4d415f54 4f5f4445 56494345 00000e41 MA_TO_DEVICE...A + 65d80: 44465f4f 535f444d 415f4652 4f4d5f44 DF_OS_DMA_FROM_D + 65d90: 45564943 45000100 09616466 5f6f735f EVICE....adf_os_ + 65da0: 646d615f 6469725f 74000000 263e0600 dma_dir_t...&>.. + 65db0: 00261001 02010961 64665f6f 735f646d .&.....adf_os_dm + 65dc0: 616d6170 5f696e66 6f5f7400 0000161f amap_info_t..... + 65dd0: 03000026 93040002 01020106 0000262e ...&..........&. + 65de0: 01060000 24970102 01020106 0000262e ....$.........&. + 65df0: 01060000 24970106 0000262e 01060000 ....$.....&..... + 65e00: 24970106 0000262e 01020102 01060000 $.....&......... + 65e10: 16020106 00001678 01020102 01060000 .......x........ + 65e20: 1b2f0106 00001a7c 01060000 1a7c0109 ./.....|.....|.. + 65e30: 6164665f 6f735f73 676c6973 745f7400 adf_os_sglist_t. + 65e40: 000016b7 03000027 0c040002 01020102 .......'........ + 65e50: 01060000 16780109 6164665f 6e627566 .....x..adf_nbuf + 65e60: 5f717565 75655f74 00000024 f0030000 _queue_t...$.... + 65e70: 27340400 02010300 0024d804 00020102 '4.......$...... + 65e80: 01020106 0000262e 01060000 24970106 ......&.....$... + 65e90: 00001602 01060000 16020106 00001a7c ...............| + 65ea0: 01060000 1a7c0106 00001f98 01060000 .....|.......... + 65eb0: 16020109 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 65ec0: 636b7375 6d5f7400 00002406 03000027 cksum_t...$....' + 65ed0: 90040002 01020109 6164665f 6e627566 ........adf_nbuf + 65ee0: 5f74736f 5f740000 00242803 000027b4 _tso_t...$(...'. + 65ef0: 04000201 02010961 64665f6e 65745f68 .......adf_net_h + 65f00: 616e646c 655f7400 000003f2 09616466 andle_t......adf + 65f10: 5f6e6574 5f766c61 6e686472 5f740000 _net_vlanhdr_t.. + 65f20: 001da403 000027e9 04000600 00261001 ......'......&.. + 65f30: 06000026 10010201 0201075f 4849465f ...&......._HIF_ + 65f40: 434f4e46 49470004 00002838 0864756d CONFIG....(8.dum + 65f50: 6d790000 0000fd02 23000002 01030000 my......#....... + 65f60: 28380400 02010300 00284104 00075f48 (8.......(A..._H + 65f70: 49465f43 414c4c42 41434b00 0c000028 IF_CALLBACK....( + 65f80: 96087365 6e645f62 75665f64 6f6e6500 ..send_buf_done. + 65f90: 0000283a 02230008 72656376 5f627566 ..(:.#..recv_buf + 65fa0: 00000028 43022304 08636f6e 74657874 ...(C.#..context + 65fb0: 00000003 f2022308 00096869 665f6861 ......#...hif_ha + 65fc0: 6e646c65 5f740000 0003f209 4849465f ndle_t......HIF_ + 65fd0: 434f4e46 49470000 00281703 000028a8 CONFIG...(....(. + 65fe0: 04000600 00289601 03000028 bf040002 .....(.....(.... + 65ff0: 01030000 28cc0400 09484946 5f43414c ....(....HIF_CAL + 66000: 4c424143 4b000000 284a0300 0028d504 LBACK...(J...(.. + 66010: 00020103 000028ee 04000600 0000fd01 ......(......... + 66020: 03000028 f7040002 01030000 29040400 ...(........)... + 66030: 06000000 fd010300 00290d04 00020103 .........)...... + 66040: 0000291a 04000600 0000fd01 03000029 ..)............) + 66050: 23040002 01030000 29300400 07686966 #.......)0...hif + 66060: 5f617069 00380000 2a89085f 696e6974 _api.8..*.._init + 66070: 00000028 c5022300 085f7368 7574646f ...(..#.._shutdo + 66080: 776e0000 0028ce02 2304085f 72656769 wn...(..#.._regi + 66090: 73746572 5f63616c 6c626163 6b000000 ster_callback... + 660a0: 28f00223 08085f67 65745f74 6f74616c (..#.._get_total + 660b0: 5f637265 6469745f 636f756e 74000000 _credit_count... + 660c0: 28fd0223 0c085f73 74617274 00000028 (..#.._start...( + 660d0: ce022310 085f636f 6e666967 5f706970 ..#.._config_pip + 660e0: 65000000 29060223 14085f73 656e645f e...)..#.._send_ + 660f0: 62756666 65720000 00291302 2318085f buffer...)..#.._ + 66100: 72657475 726e5f72 6563765f 62756600 return_recv_buf. + 66110: 0000291c 02231c08 5f69735f 70697065 ..)..#.._is_pipe + 66120: 5f737570 706f7274 65640000 00292902 _supported...)). + 66130: 2320085f 6765745f 6d61785f 6d73675f # ._get_max_msg_ + 66140: 6c656e00 00002929 02232408 5f676574 len...)).#$._get + 66150: 5f726573 65727665 645f6865 6164726f _reserved_headro + 66160: 6f6d0000 0028fd02 2328085f 6973725f om...(..#(._isr_ + 66170: 68616e64 6c657200 000028ce 02232c08 handler...(..#,. + 66180: 5f676574 5f646566 61756c74 5f706970 _get_default_pip + 66190: 65000000 29320223 30087052 65736572 e...)2.#0.pReser + 661a0: 76656400 000003f2 02233400 0d646d61 ved......#4..dma + 661b0: 5f656e67 696e6500 0400002b 120e444d _engine....+..DM + 661c0: 415f454e 47494e45 5f525830 00000e44 A_ENGINE_RX0...D + 661d0: 4d415f45 4e47494e 455f5258 3100010e MA_ENGINE_RX1... + 661e0: 444d415f 454e4749 4e455f52 58320002 DMA_ENGINE_RX2.. + 661f0: 0e444d41 5f454e47 494e455f 52583300 .DMA_ENGINE_RX3. + 66200: 030e444d 415f454e 47494e45 5f545830 ..DMA_ENGINE_TX0 + 66210: 00040e44 4d415f45 4e47494e 455f5458 ...DMA_ENGINE_TX + 66220: 3100050e 444d415f 454e4749 4e455f4d 1...DMA_ENGINE_M + 66230: 41580006 0009646d 615f656e 67696e65 AX....dma_engine + 66240: 5f740000 002a890d 646d615f 69667479 _t...*..dma_ifty + 66250: 70650004 00002b5f 0e444d41 5f49465f pe....+_.DMA_IF_ + 66260: 474d4143 00000e44 4d415f49 465f5043 GMAC...DMA_IF_PC + 66270: 4900010e 444d415f 49465f50 43494500 I...DMA_IF_PCIE. + 66280: 02000964 6d615f69 66747970 655f7400 ...dma_iftype_t. + 66290: 00002b24 06000012 d7010300 002b7104 ..+$.........+q. + 662a0: 00020103 00002b7e 04000201 0300002b ......+~.......+ + 662b0: 87040006 00000909 01030000 2b900400 ............+... + 662c0: 06000012 d7010300 002b9d04 00060000 .........+...... + 662d0: 12d70103 00002baa 04000600 00142201 ......+.......". + 662e0: 0300002b b7040002 01030000 2bc40400 ...+........+... + 662f0: 07646d61 5f6c6962 5f617069 00340000 .dma_lib_api.4.. + 66300: 2ccb0874 785f696e 69740000 002b7702 ,..tx_init...+w. + 66310: 23000874 785f7374 61727400 00002b80 #..tx_start...+. + 66320: 02230408 72785f69 6e697400 00002b77 .#..rx_init...+w + 66330: 02230808 72785f63 6f6e6669 67000000 .#..rx_config... + 66340: 2b890223 0c087278 5f737461 72740000 +..#..rx_start.. + 66350: 002b8002 23100869 6e74725f 73746174 .+..#..intr_stat + 66360: 75730000 002b9602 23140868 6172645f us...+..#..hard_ + 66370: 786d6974 0000002b a3022318 08666c75 xmit...+..#..flu + 66380: 73685f78 6d697400 00002b80 02231c08 sh_xmit...+..#.. + 66390: 786d6974 5f646f6e 65000000 2bb00223 xmit_done...+..# + 663a0: 20087265 61705f78 6d697474 65640000 .reap_xmitted.. + 663b0: 002bbd02 23240872 6561705f 72656376 .+..#$.reap_recv + 663c0: 0000002b bd022328 08726574 75726e5f ...+..#(.return_ + 663d0: 72656376 0000002b c602232c 08726563 recv...+..#,.rec + 663e0: 765f706b 74000000 2bb00223 3000075f v_pkt...+..#0.._ + 663f0: 5f706369 5f736f66 7463000c 00002ce9 _pci_softc....,. + 66400: 08737700 000028d5 02230000 095f5f70 .sw...(..#...__p + 66410: 63695f73 6f667463 5f740000 002ccb03 ci_softc_t...,.. + 66420: 00002ce9 04000201 0300002d 03040006 ..,........-.... + 66430: 000012c3 01030000 2d0c0400 0d686966 ........-....hif + 66440: 5f706369 5f706970 655f7478 00040000 _pci_pipe_tx.... + 66450: 2d6c0e48 49465f50 43495f50 4950455f -l.HIF_PCI_PIPE_ + 66460: 54583000 000e4849 465f5043 495f5049 TX0...HIF_PCI_PI + 66470: 50455f54 58310001 0e484946 5f504349 PE_TX1...HIF_PCI + 66480: 5f504950 455f5458 5f4d4158 00020009 _PIPE_TX_MAX.... + 66490: 6869665f 7063695f 70697065 5f74785f hif_pci_pipe_tx_ + 664a0: 74000000 2d190600 002b1201 0300002d t...-....+.....- + 664b0: 8304000d 6869665f 7063695f 70697065 ....hif_pci_pipe + 664c0: 5f727800 0400002e 090e4849 465f5043 _rx.......HIF_PC + 664d0: 495f5049 50455f52 58300000 0e484946 I_PIPE_RX0...HIF + 664e0: 5f504349 5f504950 455f5258 3100010e _PCI_PIPE_RX1... + 664f0: 4849465f 5043495f 50495045 5f525832 HIF_PCI_PIPE_RX2 + 66500: 00020e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 66510: 52583300 030e4849 465f5043 495f5049 RX3...HIF_PCI_PI + 66520: 50455f52 585f4d41 58000400 09686966 PE_RX_MAX....hif + 66530: 5f706369 5f706970 655f7278 5f740000 _pci_pipe_rx_t.. + 66540: 002d9006 00002b12 01030000 2e200400 .-....+...... .. + 66550: 07686966 5f706369 5f617069 00240000 .hif_pci_api.$.. + 66560: 2efe0870 63695f62 6f6f745f 696e6974 ...pci_boot_init + 66570: 00000000 f6022300 08706369 5f696e69 ......#..pci_ini + 66580: 74000000 28c50223 04087063 695f7265 t...(..#..pci_re + 66590: 73657400 000000f6 02230808 7063695f set......#..pci_ + 665a0: 656e6162 6c650000 0000f602 230c0870 enable......#..p + 665b0: 63695f72 6561705f 786d6974 74656400 ci_reap_xmitted. + 665c0: 00002d05 02231008 7063695f 72656170 ..-..#..pci_reap + 665d0: 5f726563 76000000 2d050223 14087063 _recv...-..#..pc + 665e0: 695f6765 745f7069 70650000 002d1202 i_get_pipe...-.. + 665f0: 23180870 63695f67 65745f74 785f656e #..pci_get_tx_en + 66600: 67000000 2d890223 1c087063 695f6765 g...-..#..pci_ge + 66610: 745f7278 5f656e67 0000002e 26022320 t_rx_eng....&.# + 66620: 0007676d 61635f61 70690004 00002f25 ..gmac_api..../% + 66630: 08676d61 635f626f 6f745f69 6e697400 .gmac_boot_init. + 66640: 000000f6 02230000 0f000003 0a060000 .....#.......... + 66650: 2f321005 00075f5f 65746868 6472000e /2....__ethhdr.. + 66660: 00002f68 08647374 0000002f 25022300 ../h.dst.../%.#. + 66670: 08737263 0000002f 25022306 08657479 .src.../%.#..ety + 66680: 70650000 0012d702 230c0007 5f5f6174 pe......#...__at + 66690: 68686472 00040000 2fb61572 65730000 hhdr..../..res.. + 666a0: 0012c301 00020223 00157072 6f746f00 .......#..proto. + 666b0: 000012c3 01020602 23000872 65735f6c ........#..res_l + 666c0: 6f000000 12c30223 01087265 735f6869 o......#..res_hi + 666d0: 00000012 d7022302 00075f5f 676d6163 ......#...__gmac + 666e0: 5f686472 00140000 2ff20865 74680000 _hdr..../..eth.. + 666f0: 002f3202 23000861 74680000 002f6802 ./2.#..ath.../h. + 66700: 230e0861 6c69676e 5f706164 00000012 #..align_pad.... + 66710: d7022312 00095f5f 676d6163 5f686472 ..#...__gmac_hdr + 66720: 5f740000 002fb607 5f5f676d 61635f73 _t.../..__gmac_s + 66730: 6f667463 00240000 303c0868 64720000 oftc.$..0<.hdr.. + 66740: 002ff202 23000867 72616e00 000012d7 ./..#..gran..... + 66750: 02231408 73770000 0028d502 2318000c .#..sw...(..#... + 66760: 5f415f6f 735f6c69 6e6b6167 655f6368 _A_os_linkage_ch + 66770: 65636b00 00010300 00303c04 00060000 eck......0<..... + 66780: 00fd0103 0000305a 04000300 0003f504 ......0Z........ + 66790: 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 667a0: 65637469 6f6e5f74 61626c65 0001b800 ection_table.... + 667b0: 0031aa08 68616c5f 6c696e6b 6167655f .1..hal_linkage_ + 667c0: 63686563 6b000000 30600223 00087374 check...0`.#..st + 667d0: 6172745f 62737300 00003067 02230408 art_bss...0g.#.. + 667e0: 6170705f 73746172 74000000 00f60223 app_start......# + 667f0: 08086d65 6d000000 04350223 0c086d69 ..mem....5.#..mi + 66800: 73630000 00055402 23200870 72696e74 sc....T.# .print + 66810: 66000000 012a0223 44087561 72740000 f....*.#D.uart.. + 66820: 0001f302 234c0867 6d616300 00002efe ....#L.gmac..... + 66830: 02236c08 75736200 00000f83 02237008 .#l.usb......#p. + 66840: 636c6f63 6b000000 0acc0323 e0010874 clock......#...t + 66850: 696d6572 00000007 6c032384 0208696e imer....l.#...in + 66860: 74720000 000c1c03 23980208 616c6c6f tr......#...allo + 66870: 6372616d 00000009 240323c4 0208726f cram....$.#...ro + 66880: 6d700000 00081603 23d00208 7764745f mp......#...wdt_ + 66890: 74696d65 72000000 0df90323 e0020865 timer......#...e + 668a0: 65700000 000f2703 23fc0208 73747269 ep....'.#...stri + 668b0: 6e670000 00067803 238c0308 7461736b ng....x.#...task + 668c0: 6c657400 00000a21 0323a403 00075f55 let....!.#...._U + 668d0: 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. + 668e0: 0000321d 08676574 5f636f6d 6d616e64 ..2..get_command + 668f0: 5f627566 00000014 2f022300 08726563 _buf..../.#..rec + 66900: 765f636f 6d6d616e 64000000 14450223 v_command....E.# + 66910: 04086765 745f6576 656e745f 62756600 ..get_event_buf. + 66920: 0000142f 02230808 73656e64 5f657665 .../.#..send_eve + 66930: 6e745f64 6f6e6500 00001445 02230c00 nt_done....E.#.. + 66940: 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG + 66950: 00000031 aa030000 321d0400 02010300 ...1....2....... + 66960: 00323904 00077573 62666966 6f5f6170 .29...usbfifo_ap + 66970: 69000c00 00328f08 5f696e69 74000000 i....2.._init... + 66980: 323b0223 00085f65 6e61626c 655f6576 2;.#.._enable_ev + 66990: 656e745f 69737200 000000f6 02230408 ent_isr......#.. + 669a0: 70526573 65727665 64000000 03f20223 pReserved......# + 669b0: 08000f00 00166902 0000329c 10010007 ......i...2..... + 669c0: 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. + 669d0: 0000330e 08456e64 706f696e 74494400 ..3..EndpointID. + 669e0: 00001669 02230008 466c6167 73000000 ...i.#..Flags... + 669f0: 16690223 01085061 796c6f61 644c656e .i.#..PayloadLen + 66a00: 0000001c 91022302 08436f6e 74726f6c ......#..Control + 66a10: 42797465 73000000 328f0223 0408486f Bytes...2..#..Ho + 66a20: 73745365 714e756d 0000001c 91022306 stSeqNum......#. + 66a30: 00120200 00332708 4d657373 61676549 .....3'.MessageI + 66a40: 44000000 1c910223 00001208 0000338a D......#......3. + 66a50: 084d6573 73616765 49440000 001c9102 .MessageID...... + 66a60: 23000843 72656469 74436f75 6e740000 #..CreditCount.. + 66a70: 001c9102 23020843 72656469 7453697a ....#..CreditSiz + 66a80: 65000000 1c910223 04084d61 78456e64 e......#..MaxEnd + 66a90: 706f696e 74730000 00166902 2306085f points....i.#.._ + 66aa0: 50616431 00000016 69022307 00120a00 Pad1....i.#..... + 66ab0: 00342108 4d657373 61676549 44000000 .4!.MessageID... + 66ac0: 1c910223 00085365 72766963 65494400 ...#..ServiceID. + 66ad0: 00001c91 02230208 436f6e6e 65637469 .....#..Connecti + 66ae0: 6f6e466c 61677300 00001c91 02230408 onFlags......#.. + 66af0: 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. + 66b00: 00166902 23060855 704c696e 6b506970 ..i.#..UpLinkPip + 66b10: 65494400 00001669 02230708 53657276 eID....i.#..Serv + 66b20: 6963654d 6574614c 656e6774 68000000 iceMetaLength... + 66b30: 16690223 08085f50 61643100 00001669 .i.#.._Pad1....i + 66b40: 02230900 120a0000 34a9084d 65737361 .#......4..Messa + 66b50: 67654944 0000001c 91022300 08536572 geID......#..Ser + 66b60: 76696365 49440000 001c9102 23020853 viceID......#..S + 66b70: 74617475 73000000 16690223 0408456e tatus....i.#..En + 66b80: 64706f69 6e744944 00000016 69022305 dpointID....i.#. + 66b90: 084d6178 4d736753 697a6500 00001c91 .MaxMsgSize..... + 66ba0: 02230608 53657276 6963654d 6574614c .#..ServiceMetaL + 66bb0: 656e6774 68000000 16690223 08085f50 ength....i.#.._P + 66bc0: 61643100 00001669 02230900 12020000 ad1....i.#...... + 66bd0: 34c2084d 65737361 67654944 0000001c 4..MessageID.... + 66be0: 91022300 00120400 0034fe08 4d657373 ..#......4..Mess + 66bf0: 61676549 44000000 1c910223 00085069 ageID......#..Pi + 66c00: 70654944 00000016 69022302 08437265 peID....i.#..Cre + 66c10: 64697443 6f756e74 00000016 69022303 ditCount....i.#. + 66c20: 00120400 00353508 4d657373 61676549 .....55.MessageI + 66c30: 44000000 1c910223 00085069 70654944 D......#..PipeID + 66c40: 00000016 69022302 08537461 74757300 ....i.#..Status. + 66c50: 00001669 02230300 12020000 355c0852 ...i.#......5\.R + 66c60: 65636f72 64494400 00001669 02230008 ecordID....i.#.. + 66c70: 4c656e67 74680000 00166902 23010012 Length....i.#... + 66c80: 02000035 8608456e 64706f69 6e744944 ...5..EndpointID + 66c90: 00000016 69022300 08437265 64697473 ....i.#..Credits + 66ca0: 00000016 69022301 00120400 0035c708 ....i.#......5.. + 66cb0: 456e6470 6f696e74 49440000 00166902 EndpointID....i. + 66cc0: 23000843 72656469 74730000 00166902 #..Credits....i. + 66cd0: 23010854 67744372 65646974 5365714e #..TgtCreditSeqN + 66ce0: 6f000000 1c910223 02000f00 00166904 o......#......i. + 66cf0: 000035d4 10030012 06000036 10085072 ..5........6..Pr + 66d00: 6556616c 69640000 00166902 2300084c eValid....i.#..L + 66d10: 6f6f6b41 68656164 00000035 c7022301 ookAhead...5..#. + 66d20: 08506f73 7456616c 69640000 00166902 .PostValid....i. + 66d30: 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ + 66d40: 74000000 03f20600 00361001 03000036 t........6.....6 + 66d50: 23040002 01030000 36300400 14040000 #.......60...... + 66d60: 36ae0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C + 66d70: 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID + 66d80: 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP + 66d90: 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI + 66da0: 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO + 66db0: 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF + 66dc0: 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. + 66dd0: 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... + 66de0: 36390201 03000036 bf040006 0000262e 69.....6......&. + 66df0: 01030000 36c80400 06000026 2e010300 ....6......&.... + 66e00: 0036d504 00020103 000036e2 04000762 .6........6....b + 66e10: 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 + 66e20: 84085f69 6e697400 00003629 02230008 .._init...6).#.. + 66e30: 5f736875 74646f77 6e000000 36320223 _shutdown...62.# + 66e40: 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. + 66e50: 0036c102 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu + 66e60: 66000000 36ce0223 0c085f61 6c6c6f63 f...6..#.._alloc + 66e70: 5f627566 5f616c69 676e0000 0036db02 _buf_align...6.. + 66e80: 2310085f 66726565 5f627566 00000036 #.._free_buf...6 + 66e90: e4022314 08705265 73657276 65640000 ..#..pReserved.. + 66ea0: 0003f202 23180007 5f485443 5f534552 ....#..._HTC_SER + 66eb0: 56494345 001c0000 38630870 4e657874 VICE....8c.pNext + 66ec0: 00000038 63022300 0850726f 63657373 ...8c.#..Process + 66ed0: 52656376 4d736700 00003918 02230408 RecvMsg...9..#.. + 66ee0: 50726f63 65737353 656e6442 75666665 ProcessSendBuffe + 66ef0: 72436f6d 706c6574 65000000 39210223 rComplete...9!.# + 66f00: 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect + 66f10: 00000039 3502230c 08536572 76696365 ...95.#..Service + 66f20: 49440000 0012d702 23100853 65727669 ID......#..Servi + 66f30: 6365466c 61677300 000012d7 02231208 ceFlags......#.. + 66f40: 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... + 66f50: 12d70223 14085472 61696c65 72537063 ...#..TrailerSpc + 66f60: 43686563 6b4c696d 69740000 0012d702 CheckLimit...... + 66f70: 23160853 65727669 63654374 78000000 #..ServiceCtx... + 66f80: 03f20223 18000300 00378404 00140400 ...#.....7...... + 66f90: 00390119 454e4450 4f494e54 5f554e55 .9..ENDPOINT_UNU + 66fa0: 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN + 66fb0: 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. + 66fc0: 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END + 66fd0: 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN + 66fe0: 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. + 66ff0: 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END + 67000: 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN + 67010: 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA + 67020: 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN + 67030: 545f4944 00000038 6a020103 00003916 T_ID...8j.....9. + 67040: 04000201 03000039 1f040003 000000fd .......9........ + 67050: 04000600 0012c301 03000039 2f040003 ...........9/... + 67060: 00003784 0400075f 4854435f 434f4e46 ..7...._HTC_CONF + 67070: 49470014 000039b4 08437265 64697453 IG....9..CreditS + 67080: 697a6500 000000fd 02230008 43726564 ize......#..Cred + 67090: 69744e75 6d626572 00000000 fd022304 itNumber......#. + 670a0: 084f5348 616e646c 65000000 1a2d0223 .OSHandle....-.# + 670b0: 08084849 4648616e 646c6500 00002896 ..HIFHandle...(. + 670c0: 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 670d0: 00361002 23100007 5f485443 5f425546 .6..#..._HTC_BUF + 670e0: 5f434f4e 54455854 00020000 39f00865 _CONTEXT....9..e + 670f0: 6e645f70 6f696e74 00000012 c3022300 nd_point......#. + 67100: 08687463 5f666c61 67730000 0012c302 .htc_flags...... + 67110: 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t + 67120: 00000003 f2094854 435f5345 5455505f ......HTC_SETUP_ + 67130: 434f4d50 4c455445 5f434200 000000f6 COMPLETE_CB..... + 67140: 09485443 5f434f4e 46494700 00003943 .HTC_CONFIG...9C + 67150: 0300003a 1d040006 000039f0 01030000 ...:......9..... + 67160: 3a340400 02010300 003a4104 00094854 :4.......:A...HT + 67170: 435f5345 52564943 45000000 37840300 C_SERVICE...7... + 67180: 003a4a04 00020103 00003a62 04000201 .:J.......:b.... + 67190: 0300003a 6b040002 01030000 3a740400 ...:k.......:t.. + 671a0: 06000000 fd010300 003a7d04 00076874 .........:}...ht + 671b0: 635f6170 69730034 00003bfa 085f4854 c_apis.4..;.._HT + 671c0: 435f496e 69740000 003a3a02 2300085f C_Init...::.#.._ + 671d0: 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: + 671e0: 43022304 085f4854 435f5265 67697374 C.#.._HTC_Regist + 671f0: 65725365 72766963 65000000 3a640223 erService...:d.# + 67200: 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: + 67210: 4302230c 085f4854 435f5265 7475726e C.#.._HTC_Return + 67220: 42756666 65727300 00003a6d 02231008 Buffers...:m.#.. + 67230: 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 67240: 72734c69 73740000 003a7602 2314085f rsList...:v.#.._ + 67250: 4854435f 53656e64 4d736700 00003a6d HTC_SendMsg...:m + 67260: 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese + 67270: 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: + 67280: 8302231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec + 67290: 7648616e 646c6572 00000028 43022320 vHandler...(C.# + 672a0: 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa + 672b0: 6e646c65 72000000 283a0223 24085f48 ndler...(:.#$._H + 672c0: 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro + 672d0: 63657373 4d736700 00003918 02232808 cessMsg...9..#(. + 672e0: 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP + 672f0: 726f6365 73735365 6e64436f 6d706c65 rocessSendComple + 67300: 74650000 00392102 232c0870 52657365 te...9!.#,.pRese + 67310: 72766564 00000003 f2022330 0007686f rved......#0..ho + 67320: 73745f61 70705f61 7265615f 73000400 st_app_area_s... + 67330: 003c2a08 776d695f 70726f74 6f636f6c .<*.wmi_protocol + 67340: 5f766572 00000016 02022300 00120e00 _ver......#..... + 67350: 003c6108 6473744d 61630000 001c4e02 .].Ht + 67530: 6348616e 646c6500 000039f0 02230008 cHandle...9..#.. + 67540: 506f6f6c 48616e64 6c650000 00361002 PoolHandle...6.. + 67550: 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv + 67560: 74730000 0000fd02 2308084d 61784576 ts......#..MaxEv + 67570: 656e7445 76747300 000000fd 02230c00 entEvts......#.. + 67580: 02010300 003e5d04 0009574d 495f434d .....>]...WMI_CM + 67590: 445f4841 4e444c45 52000000 3e5f075f D_HANDLER...>_._ + 675a0: 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT + 675b0: 52590008 00003ec6 0870436d 6448616e RY....>..pCmdHan + 675c0: 646c6572 0000003e 66022300 08436d64 dler...>f.#..Cmd + 675d0: 49440000 0012d702 23040846 6c616773 ID......#..Flags + 675e0: 00000012 d7022306 00075f57 4d495f44 ......#..._WMI_D + 675f0: 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... + 67600: 003f2708 704e6578 74000000 3f270223 .?'.pNext...?'.# + 67610: 00087043 6f6e7465 78740000 0003f202 ..pContext...... + 67620: 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri + 67630: 65730000 0000fd02 23080870 5461626c es......#..pTabl + 67640: 65000000 3f460223 0c000300 003ec604 e...?F.#.....>.. + 67650: 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E + 67660: 4e545259 0000003e 7b030000 3f2e0400 NTRY...>{...?... + 67670: 0300003e c6040009 4854435f 4255465f ...>....HTC_BUF_ + 67680: 434f4e54 45585400 000039b4 0d574d49 CONTEXT...9..WMI + 67690: 5f455654 5f434c41 53530004 00003fde _EVT_CLASS....?. + 676a0: 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N + 676b0: 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT + 676c0: 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT + 676d0: 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 676e0: 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI + 676f0: 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. + 67700: 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. + 67710: 00003f69 075f574d 495f4255 465f434f ..?i._WMI_BUF_CO + 67720: 4e544558 54000c00 00403c08 48746342 NTEXT....@<.HtcB + 67730: 75664374 78000000 3f540223 00084576 ufCtx...?T.#..Ev + 67740: 656e7443 6c617373 0000003f de022304 entClass...?..#. + 67750: 08466c61 67730000 0012d702 23080009 .Flags......#... + 67760: 776d695f 68616e64 6c655f74 00000003 wmi_handle_t.... + 67770: f209574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG + 67780: 0000003d f4030000 404e0400 06000040 ...=....@N.....@ + 67790: 3c010300 00406904 0009574d 495f4449 <....@i...WMI_DI + 677a0: 53504154 43485f54 41424c45 0000003e SPATCH_TABLE...> + 677b0: c6030000 40760400 02010300 00409504 ....@v.......@.. + 677c0: 00060000 262e0103 0000409e 04000201 ....&.....@..... + 677d0: 03000040 ab040006 000000fd 01030000 ...@............ + 677e0: 40b40400 02010300 0040c104 00060000 @........@...... + 677f0: 12c30103 000040ca 0400075f 776d695f ......@...._wmi_ + 67800: 7376635f 61706973 002c0000 4212085f svc_apis.,..B.._ + 67810: 574d495f 496e6974 00000040 6f022300 WMI_Init...@o.#. + 67820: 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi + 67830: 73706174 63685461 626c6500 00004097 spatchTable...@. + 67840: 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv + 67850: 656e7400 000040a4 02230808 5f574d49 ent...@..#.._WMI + 67860: 5f53656e 64457665 6e740000 0040ad02 _SendEvent...@.. + 67870: 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi + 67880: 6e674576 656e7473 436f756e 74000000 ngEventsCount... + 67890: 40ba0223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC + 678a0: 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. + 678b0: 00392102 2314085f 574d495f 47657443 .9!.#.._WMI_GetC + 678c0: 6f6e7472 6f6c4570 00000040 ba022318 ontrolEp...@..#. + 678d0: 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. + 678e0: 0040c302 231c085f 574d495f 52656376 .@..#.._WMI_Recv + 678f0: 4d657373 61676548 616e646c 65720000 MessageHandler.. + 67900: 00391802 2320085f 574d495f 53657276 .9..# ._WMI_Serv + 67910: 69636543 6f6e6e65 63740000 0040d002 iceConnect...@.. + 67920: 23240870 52657365 72766564 00000003 #$.pReserved.... + 67930: f2022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. + 67940: 14000042 94086374 726c0000 00016102 ...B..ctrl....a. + 67950: 23000873 74617475 73000000 01610223 #..status....a.# + 67960: 0208746f 74616c4c 656e0000 00016102 ..totalLen....a. + 67970: 23040864 61746153 697a6500 00000161 #..dataSize....a + 67980: 02230608 6c617374 41646472 00000042 .#..lastAddr...B + 67990: 94022308 08646174 61416464 72000000 ..#..dataAddr... + 679a0: 01850223 0c086e65 78744164 64720000 ...#..nextAddr.. + 679b0: 00429402 23100003 00004212 04000300 .B..#.....B..... + 679c0: 00421204 00077a73 446d6151 75657565 .B....zsDmaQueue + 679d0: 00080000 42d40868 65616400 0000429b ....B..head...B. + 679e0: 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 679f0: 00429b02 23040007 7a735478 446d6151 .B..#...zsTxDmaQ + 67a00: 75657565 00100000 43380868 65616400 ueue....C8.head. + 67a10: 0000429b 02230008 7465726d 696e6174 ..B..#..terminat + 67a20: 6f720000 00429b02 23040878 6d697465 or...B..#..xmite + 67a30: 645f6275 665f6865 61640000 00142202 d_buf_head....". + 67a40: 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta + 67a50: 696c0000 00142202 230c0002 01030000 il....".#....... + 67a60: 43380400 03000042 a2040002 01030000 C8.....B........ + 67a70: 43480400 03000042 d4040002 01030000 CH.....B........ + 67a80: 43580400 02010300 00436104 00020103 CX.......Ca..... + 67a90: 0000436a 04000600 00142201 03000043 ..Cj......"....C + 67aa0: 73040002 01030000 43800400 06000014 s.......C....... + 67ab0: 22010300 00438904 00020103 00004396 "....C........C. + 67ac0: 04000600 0000fd01 03000043 9f040006 ...........C.... + 67ad0: 0000429b 01030000 43ac0400 02010300 ..B.....C....... + 67ae0: 0043b904 0007646d 615f656e 67696e65 .C....dma_engine + 67af0: 5f617069 00400000 452f085f 696e6974 _api.@..E/._init + 67b00: 00000043 3a022300 085f696e 69745f72 ...C:.#.._init_r + 67b10: 785f7175 65756500 0000434a 02230408 x_queue...CJ.#.. + 67b20: 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. + 67b30: 00435a02 2308085f 636f6e66 69675f72 .CZ.#.._config_r + 67b40: 785f7175 65756500 00004363 02230c08 x_queue...Cc.#.. + 67b50: 5f786d69 745f6275 66000000 436c0223 _xmit_buf...Cl.# + 67b60: 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... + 67b70: 434a0223 14085f72 6561705f 72656376 CJ.#.._reap_recv + 67b80: 5f627566 00000043 79022318 085f7265 _buf...Cy.#.._re + 67b90: 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 67ba0: 43820223 1c085f72 6561705f 786d6974 C..#.._reap_xmit + 67bb0: 65645f62 75660000 00438f02 2320085f ed_buf...C..# ._ + 67bc0: 73776170 5f646174 61000000 43980223 swap_data...C..# + 67bd0: 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac + 67be0: 6b657473 00000043 a5022328 085f6465 kets...C..#(._de + 67bf0: 73635f64 756d7000 0000434a 02232c08 sc_dump...CJ.#,. + 67c00: 5f676574 5f706163 6b657400 000043b2 _get_packet...C. + 67c10: 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac + 67c20: 6b657400 000043bb 02233408 5f707574 ket...C..#4._put + 67c30: 5f706163 6b657400 000043bb 02233808 _packet...C..#8. + 67c40: 70526573 65727665 64000000 03f20223 pReserved......# + 67c50: 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi + 67c60: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 67c70: 0000306e 09574d49 5f535643 5f415049 ..0n.WMI_SVC_API + 67c80: 53000000 40d7175f 415f6d61 67706965 S...@.._A_magpie + 67c90: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 67ca0: 6c650003 4c000046 5d08636d 6e6f7300 le..L..F].cmnos. + 67cb0: 0000452f 02230008 64626700 000003bf ..E/.#..dbg..... + 67cc0: 0323b803 08686966 00000029 390323c0 .#...hif...)9.#. + 67cd0: 03086874 63000000 3a8a0323 f8030877 ..htc...:..#...w + 67ce0: 6d695f73 76635f61 70690000 00455103 mi_svc_api...EQ. + 67cf0: 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. + 67d00: 00003242 0323d804 08627566 5f706f6f ..2B.#...buf_poo + 67d10: 6c000000 36eb0323 e4040876 62756600 l...6..#...vbuf. + 67d20: 0000144c 03238005 08766465 73630000 ...L.#...vdesc.. + 67d30: 00132e03 23940508 616c6c6f 6372616d ....#...allocram + 67d40: 00000009 240323a8 0508646d 615f656e ....$.#...dma_en + 67d50: 67696e65 00000043 c20323b4 0508646d gine...C..#...dm + 67d60: 615f6c69 62000000 2bcd0323 f4050868 a_lib...+..#...h + 67d70: 69665f70 63690000 002e2d03 23a80600 if_pci....-.#... + 67d80: 06000003 f201095f 415f6d61 67706965 ......._A_magpie + 67d90: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 67da0: 6c655f74 00000045 63020102 01020106 le_t...Ec....... + 67db0: 000000fd 01075f48 54435f45 4e44504f ......_HTC_ENDPO + 67dc0: 494e5400 1c000047 91084372 65646974 INT....G..Credit + 67dd0: 73546f52 65747572 6e000000 1b8f0223 sToReturn......# + 67de0: 00087053 65727669 63650000 003a5b02 ..pService...:[. + 67df0: 23040843 72656469 74526574 75726e54 #..CreditReturnT + 67e00: 68726573 68686f6c 64000000 1b8f0223 hreshhold......# + 67e10: 08084372 65646974 73436f6e 73756d65 ..CreditsConsume + 67e20: 64000000 1b8f0223 0a08436f 6e6e6563 d......#..Connec + 67e30: 74696f6e 466c6167 73000000 12d70223 tionFlags......# + 67e40: 0c085065 6e64696e 67437265 64697452 ..PendingCreditR + 67e50: 65706f72 74730000 0000fd02 23100844 eports......#..D + 67e60: 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 67e70: 12c30223 14085570 4c696e6b 50697065 ...#..UpLinkPipe + 67e80: 49440000 0012c302 2315084c 61737453 ID......#..LastS + 67e90: 65714e75 6d000000 12d70223 16084e65 eqNum......#..Ne + 67ea0: 78744372 65646974 53657100 000012d7 xtCreditSeq..... + 67eb0: 02231800 09485443 5f454e44 504f494e .#...HTC_ENDPOIN + 67ec0: 54000000 46921600 00479102 68000047 T...F....G..h..G + 67ed0: b1101500 175f4854 435f434f 4e544558 ....._HTC_CONTEX + 67ee0: 540002cc 000049ab 084f5348 616e646c T.....I..OSHandl + 67ef0: 65000000 1a2d0223 0008456e 64706f69 e....-.#..Endpoi + 67f00: 6e747300 000047a3 02230408 4570486f nts...G..#..EpHo + 67f10: 73744e65 65647343 72656469 744d6170 stNeedsCreditMap + 67f20: 00000009 090323ec 04084570 43726564 ......#...EpCred + 67f30: 69745065 6e64696e 674d6170 00000009 itPendingMap.... + 67f40: 090323f0 04084570 52656376 50617573 ..#...EpRecvPaus + 67f50: 65644d61 70000000 09090323 f4040843 edMap......#...C + 67f60: 75727265 6e744570 496e6465 78000000 urrentEpIndex... + 67f70: 39010323 f8040848 5443436f 6e74726f 9..#...HTCContro + 67f80: 6c536572 76696365 0000003a 4a0323fc lService...:J.#. + 67f90: 04087053 65727669 63654c69 73740000 ..pServiceList.. + 67fa0: 003a5b03 23980508 52656376 42756666 .:[.#...RecvBuff + 67fb0: 65725369 7a650000 0000fd03 239c0508 erSize......#... + 67fc0: 53746174 65466c61 67730000 00090903 StateFlags...... + 67fd0: 23a00508 53657475 70436f6d 706c6574 #...SetupComplet + 67fe0: 65436200 00003a02 0323a405 08546f74 eCb...:..#...Tot + 67ff0: 616c4372 65646974 73000000 00fd0323 alCredits......# + 68000: a8050854 6f74616c 43726564 69747341 ...TotalCreditsA + 68010: 73736967 6e656400 000000fd 0323ac05 ssigned......#.. + 68020: 084e756d 42756666 65727346 6f724372 .NumBuffersForCr + 68030: 65646974 52707473 00000000 fd0323b0 editRpts......#. + 68040: 05084374 726c4275 66666572 416c6c6f ..CtrlBufferAllo + 68050: 6353697a 65000000 00fd0323 b4050870 cSize......#...p + 68060: 4374726c 42756666 65720000 0012d003 CtrlBuffer...... + 68070: 23b80508 4d617845 7050656e 64696e67 #...MaxEpPending + 68080: 43726564 69745270 74730000 0000fd03 CreditRpts...... + 68090: 23bc0508 68696648 616e646c 65000000 #...hifHandle... + 680a0: 28960323 c0050850 6f6f6c48 616e646c (..#...PoolHandl + 680b0: 65000000 36100323 c4050870 52657365 e...6..#...pRese + 680c0: 72766564 00000003 f20323c8 05000948 rved......#....H + 680d0: 54435f43 4f4e5445 58540000 0047b103 TC_CONTEXT...G.. + 680e0: 000049ab 04000201 03000047 a3040006 ..I........G.... + 680f0: 0000262e 01094854 435f5245 4144595f ..&...HTC_READY_ + 68100: 4d534700 00003327 03000049 d2040009 MSG...3'...I.... + 68110: 415f424f 4f4c0000 0000fd02 01030000 A_BOOL.......... + 68120: 47910400 02010300 003f5404 00094854 G........?T...HT + 68130: 435f4652 414d455f 48445200 0000329c C_FRAME_HDR...2. + 68140: 0300004a 0a040009 4854435f 5245434f ...J....HTC_RECO + 68150: 52445f48 44520000 00353503 00004a24 RD_HDR...55...J$ + 68160: 04000948 54435f43 52454449 545f5245 ...HTC_CREDIT_RE + 68170: 504f5254 5f315f31 00000035 86030000 PORT_1_1...5.... + 68180: 4a3f0400 02010201 0300003a 8a040002 J?.........:.... + 68190: 01020109 4854435f 434f4e4e 4543545f ....HTC_CONNECT_ + 681a0: 53455256 4943455f 4d534700 0000338a SERVICE_MSG...3. + 681b0: 0300004a 70040002 01094854 435f434f ...Jp.....HTC_CO + 681c0: 4e4e4543 545f5345 52564943 455f5245 NNECT_SERVICE_RE + 681d0: 53504f4e 53455f4d 53470000 00342103 SPONSE_MSG...4!. + 681e0: 00004a96 04000948 54435f43 4f4e4649 ..J....HTC_CONFI + 681f0: 475f5049 50455f4d 53470000 0034c203 G_PIPE_MSG...4.. + 68200: 00004ac3 04000201 09485443 5f434f4e ..J......HTC_CON + 68210: 4649475f 50495045 5f524553 504f4e53 FIG_PIPE_RESPONS + 68220: 455f4d53 47000000 34fe0300 004ae504 E_MSG...4....J.. + 68230: 00094854 435f554e 4b4e4f57 4e5f4d53 ..HTC_UNKNOWN_MS + 68240: 47000000 330e0300 004b0e04 0002011a G...3....K...... + 68250: 24013a61 64665f6e 6275665f 7461696c $.:adf_nbuf_tail + 68260: 726f6f6d 00000016 02010103 92012002 room.......... . + 68270: 9000008e 502c008e 504d0000 4b6a1b24 ....P,..PM..Kj.$ + 68280: 013a6275 66000000 262e0152 001a2401 .:buf...&..R..$. + 68290: 4a616466 5f6e6275 665f7075 73685f68 Jadf_nbuf_push_h + 682a0: 65616400 00001678 01010392 01200290 ead....x..... .. + 682b0: 00008e50 50008e50 7900004b b81b2401 ...PP..Py..K..$. + 682c0: 4a627566 00000026 2e01521b 24014a73 Jbuf...&..R.$.Js + 682d0: 697a6500 00001b2f 0153001a 24015a61 ize..../.S..$.Za + 682e0: 64665f6e 6275665f 7075745f 7461696c df_nbuf_put_tail + 682f0: 00000016 78010103 92012002 9000008e ....x..... ..... + 68300: 507c008e 50a70000 4c051b24 015a6275 P|..P...L..$.Zbu + 68310: 66000000 262e0152 1b24015a 73697a65 f...&..R.$.Zsize + 68320: 0000001b 2f015300 1a24016a 6164665f ..../.S..$.jadf_ + 68330: 6e627566 5f70756c 6c5f6865 61640000 nbuf_pull_head.. + 68340: 00167801 01039201 20029000 008e50a8 ..x..... .....P. + 68350: 008e50d2 00004c53 1b24016a 62756600 ..P...LS.$.jbuf. + 68360: 0000262e 01521b24 016a7369 7a650000 ..&..R.$.jsize.. + 68370: 001b2f01 53001a24 01886164 665f6e62 ../.S..$..adf_nb + 68380: 75665f6c 656e0000 001b2f01 01039201 uf_len..../..... + 68390: 20029000 008e50d4 008e50dc 00004c8c .....P...P...L. + 683a0: 1b240188 62756600 0000262e 0152001c .$..buf...&..R.. + 683b0: 2401b561 64665f6e 6275665f 7065656b $..adf_nbuf_peek + 683c0: 5f686561 64657200 01010392 01200290 _header...... .. + 683d0: 00008e50 dc008e50 f100004c e61b2401 ...P...P...L..$. + 683e0: b5627566 00000026 2e01521b 2401b561 .buf...&..R.$..a + 683f0: 64647200 000024a9 01531b24 01b56c65 ddr...$..S.$..le + 68400: 6e000000 24b00154 001a2401 c5616466 n...$..T..$..adf + 68410: 5f6e6275 665f6765 745f7072 69760000 _nbuf_get_priv.. + 68420: 00167801 01039201 20029000 008e50f4 ..x..... .....P. + 68430: 008e50fb 00004d24 1b2401c5 62756600 ..P...M$.$..buf. + 68440: 0000262e 0152001d 01504854 435f4173 ..&..R...PHTC_As + 68450: 73656d62 6c654275 66666572 73000101 sembleBuffers... + 68460: 03920120 02900000 8e50fc00 8e511300 ... .....P...Q.. + 68470: 004d7c1e 01507048 54430000 0049bc01 .M|..PpHTC...I.. + 68480: 521e0150 436f756e 74000000 00fd0153 R..PCount......S + 68490: 1e015053 697a6500 000000fd 0154001f ..PSize......T.. + 684a0: 01575f48 54435f49 6e697400 000039f0 .W_HTC_Init...9. + 684b0: 01010103 92013002 9000008e 5114008e ......0.....Q... + 684c0: 51f40000 4df71e01 57536574 7570436f Q...M...WSetupCo + 684d0: 6d706c65 74650000 003a0201 521e0157 mplete...:..R..W + 684e0: 70436f6e 66696700 00003a2d 01532070 pConfig...:-.S p + 684f0: 48544300 000049bc 21686966 4342436f HTC...I.!hifCBCo + 68500: 6e666967 00000028 d5029150 20686f73 nfig...(...P hos + 68510: 745f6966 00000005 1f002201 b25f4854 t_if......".._HT + 68520: 435f5368 7574646f 776e0001 01010392 C_Shutdown...... + 68530: 01200290 00008e51 f4008e51 f900004e . .....Q...Q...N + 68540: 3c1e01b2 68746348 616e646c 65000000 <...htcHandle... + 68550: 39f00152 20704854 43000000 49bc001d 9..R pHTC...I... + 68560: 01b95f48 54435f52 65676973 74657253 .._HTC_RegisterS + 68570: 65727669 63650001 01039201 20029000 ervice...... ... + 68580: 008e51fc 008e5209 00004e99 1e01b968 ..Q...R...N....h + 68590: 74634861 6e646c65 00000039 f001521e tcHandle...9..R. + 685a0: 01b97053 65727669 63650000 003a5b01 ..pService...:[. + 685b0: 53207048 54430000 0049bc00 1d01c25f S pHTC...I....._ + 685c0: 4854435f 52656164 79000101 03920120 HTC_Ready...... + 685d0: 02900000 8e520c00 8e525e00 004efd1e .....R...R^..N.. + 685e0: 01c26874 6348616e 646c6500 000039f0 ..htcHandle...9. + 685f0: 01522070 48544300 000049bc 20704275 .R pHTC...I. pBu + 68600: 66666572 00000026 2e206164 64720000 ffer...&. addr.. + 68610: 00167820 70526561 64790000 0049e500 ..x pReady...I.. + 68620: 1d01de52 65747572 6e427566 66657273 ...ReturnBuffers + 68630: 00010103 92012002 9000008e 5260008e ...... .....R`.. + 68640: 52dd0000 4f9c1e01 de687463 48616e64 R...O....htcHand + 68650: 6c650000 0039f001 521e01de 456e6470 le...9..R...Endp + 68660: 6f696e74 49440000 00390101 531e01de ointID...9..S... + 68670: 70427566 66657273 00000026 2e01541e pBuffers...&..T. + 68680: 01de7365 6e644372 65646974 466c6167 ..sendCreditFlag + 68690: 00000049 ec015520 70485443 00000049 ...I..U pHTC...I + 686a0: bc206570 43726564 69744d61 736b0000 . epCreditMask.. + 686b0: 00090920 6e627566 73000000 1b8f0023 ... nbufs......# + 686c0: 0101085f 4854435f 52657475 726e4275 ..._HTC_ReturnBu + 686d0: 66666572 734c6973 74000101 01049201 ffersList....... + 686e0: c0000290 00008e52 e0008e53 17000050 .......R...S...P + 686f0: 2d1b0101 08687463 48616e64 6c650000 -....htcHandle.. + 68700: 0039f001 521b0101 08456e64 706f696e .9..R....Endpoin + 68710: 74494400 00003901 01531b01 01086275 tID...9..S....bu + 68720: 66486561 64000000 27340154 20704854 fHead...'4.T pHT + 68730: 43000000 49bc206e 65746275 66000000 C...I. netbuf... + 68740: 262e2074 6d704e62 75660000 00262e00 &. tmpNbuf...&.. + 68750: 2401011b 5f485443 5f526574 75726e42 $..._HTC_ReturnB + 68760: 75666665 72730001 01039201 20029000 uffers...... ... + 68770: 008e5318 008e5328 00005096 1b01011b ..S...S(..P..... + 68780: 68746348 616e646c 65000000 39f00152 htcHandle...9..R + 68790: 1b01011b 456e6470 6f696e74 49440000 ....EndpointID.. + 687a0: 00390101 531b0101 1b704275 66666572 .9..S....pBuffer + 687b0: 73000000 262e0154 00230101 205f4854 s...&..T.#.. _HT + 687c0: 435f5365 6e644d73 67000101 01049201 C_SendMsg....... + 687d0: c0000290 00008e53 28008e54 82000051 .......S(..T...Q + 687e0: b91b0101 20687463 48616e64 6c650000 .... htcHandle.. + 687f0: 0039f001 521b0101 20456e64 706f696e .9..R... Endpoin + 68800: 74494400 00003901 01531b01 01207042 tID...9..S... pB + 68810: 75666665 72730000 00262e01 54207048 uffers...&..T pH + 68820: 54430000 0049bc20 63747800 00004a03 TC...I. ctx...J. + 68830: 20746f74 737a0000 0000fd20 70485443 totsz..... pHTC + 68840: 48647200 00004a1d 20706970 654d6178 Hdr...J. pipeMax + 68850: 4c656e00 000000fd 20726f6f 6d466f72 Len..... roomFor + 68860: 50697065 4d61784c 656e0000 00090920 PipeMaxLen..... + 68870: 726f6f6d 00000000 fd20746f 74616c52 room..... totalR + 68880: 65706f72 74427974 65730000 0000fd20 eportBytes..... + 68890: 63726564 69747350 656e6469 6e674d61 creditsPendingMa + 688a0: 70000000 09092070 52656348 64720000 p..... pRecHdr.. + 688b0: 004a3820 69000000 00fd2063 6f6d7061 .J8 i..... compa + 688c0: 72654d61 736b0000 00090920 70437265 reMask..... pCre + 688d0: 64697452 70740000 004a5a00 240101ab ditRpt...JZ.$... + 688e0: 5f485443 5f506175 73655265 63760001 _HTC_PauseRecv.. + 688f0: 01039201 20029000 008e5484 008e5489 .... .....T...T. + 68900: 000051f7 1b0101ab 456e6470 6f696e74 ..Q.....Endpoint + 68910: 49440000 00390101 52002401 01b65f48 ID...9..R.$..._H + 68920: 54435f52 6573756d 65526563 76000101 TC_ResumeRecv... + 68930: 03920120 02900000 8e548c00 8e549100 ... .....T...T.. + 68940: 0052361b 0101b645 6e64706f 696e7449 .R6....EndpointI + 68950: 44000000 39010152 00250101 d45f4854 D...9..R.%..._HT + 68960: 435f4765 74526573 65727665 64486561 C_GetReservedHea + 68970: 64726f6f 6d000000 00fd0101 03920120 droom.......... + 68980: 02900000 8e549400 8e54a700 00528b1b .....T...T...R.. + 68990: 0101d468 74634861 6e646c65 00000039 ...htcHandle...9 + 689a0: f0015220 70485443 00000049 bc002401 ..R pHTC...I..$. + 689b0: 01db6874 635f6d6f 64756c65 5f696e73 ..htc_module_ins + 689c0: 74616c6c 00010103 92012002 9000008e tall...... ..... + 689d0: 54a8008e 54e90000 52c81b01 01db7041 T...T...R.....pA + 689e0: 50497300 00004a65 01520024 0101f648 PIs...Je.R.$...H + 689f0: 54434672 65654d73 67427566 66657200 TCFreeMsgBuffer. + 68a00: 01010392 01200290 00008e54 ec008e55 ..... .....T...U + 68a10: 01000053 101b0101 f6704854 43000000 ...S.....pHTC... + 68a20: 49bc0152 1b0101f6 62756600 0000262e I..R....buf...&. + 68a30: 01530025 0101fd48 5443416c 6c6f634d .S.%...HTCAllocM + 68a40: 73674275 66666572 00000026 2e010103 sgBuffer...&.... + 68a50: 92012002 9000008e 5504008e 55230000 .. .....U...U#.. + 68a60: 534f1b01 01fd7048 54430000 0049bc01 SO....pHTC...I.. + 68a70: 52002401 02024854 43436865 636b416e R.$...HTCCheckAn + 68a80: 6453656e 64437265 64697452 65706f72 dSendCreditRepor + 68a90: 74000101 03920120 02900000 8e552400 t...... .....U$. + 68aa0: 8e558200 0053e11b 01020270 48544300 .U...S.....pHTC. + 68ab0: 000049bc 01521b01 02024570 4d61736b ..I..R....EpMask + 68ac0: 00000009 0901531b 01020270 456e6470 ......S....pEndp + 68ad0: 6f696e74 00000049 fa01541b 01020245 oint...I..T....E + 68ae0: 69640000 00390101 55207043 72656442 id...9..U pCredB + 68af0: 75666665 72000000 262e2063 74780000 uffer...&. ctx.. + 68b00: 004a0300 24010244 48544350 726f6365 .J..$..DHTCProce + 68b10: 7373436f 6e6e6563 744d7367 00010103 ssConnectMsg.... + 68b20: 92013002 9000008e 5584008e 567c0000 ..0.....U...V|.. + 68b30: 54b21b01 02447048 54430000 0049bc01 T....DpHTC...I.. + 68b40: 521b0102 44704d73 67000000 4a8d0153 R...DpMsg...J..S + 68b50: 20705365 72766963 65000000 3a5b2063 pService...:[ c + 68b60: 6f6e6e65 63745374 61747573 00000012 onnectStatus.... + 68b70: c3216d65 74614461 74614f75 744c656e .!metaDataOutLen + 68b80: 00000000 fd029150 20736572 76696365 .......P service + 68b90: 49640000 0012d720 70427566 66657200 Id..... pBuffer. + 68ba0: 0000262e 20705273 704d7367 0000004a ..&. pRspMsg...J + 68bb0: bc20704d 65746144 61746149 4e000000 . pMetaDataIN... + 68bc0: 12d02070 4d657461 44617461 4f757400 .. pMetaDataOut. + 68bd0: 000012d0 00240102 9c485443 50726f63 .....$...HTCProc + 68be0: 65737343 6f6e6669 67506970 654d7367 essConfigPipeMsg + 68bf0: 00010103 92012002 9000008e 567c008e ...... .....V|.. + 68c00: 56f10000 551c1b01 029c7048 54430000 V...U.....pHTC.. + 68c10: 0049bc01 521b0102 9c704d73 67000000 .I..R....pMsg... + 68c20: 4adc0153 20704275 66666572 00000026 J..S pBuffer...& + 68c30: 2e207052 73704d73 67000000 4b070024 . pRspMsg...K..$ + 68c40: 0102c748 5443436f 6e74726f 6c537663 ...HTCControlSvc + 68c50: 50726f63 6573734d 73670001 01039201 ProcessMsg...... + 68c60: 30029000 008e56f4 008e577a 000055dc 0.....V...Wz..U. + 68c70: 1b0102c7 456e6470 6f696e74 49440000 ....EndpointID.. + 68c80: 00390101 521b0102 c7686472 5f627566 .9..R....hdr_buf + 68c90: 00000026 2e01531b 0102c770 42756666 ...&..S....pBuff + 68ca0: 65727300 0000262e 01541b01 02c76172 ers...&..T....ar + 68cb0: 67000000 03f20155 20736574 7570436f g......U setupCo + 68cc0: 6d706c65 74650000 0049ec20 70485443 mplete...I. pHTC + 68cd0: 00000049 bc21616e 62646174 61000000 ...I.!anbdata... + 68ce0: 16780291 5021616e 626c656e 00000016 .x..P!anblen.... + 68cf0: 02029154 20704d73 67000000 4b230024 ...T pMsg...K#.$ + 68d00: 01030448 5443436f 6e74726f 6c537663 ...HTCControlSvc + 68d10: 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl + 68d20: 65746500 01010392 01200290 00008e57 ete...... .....W + 68d30: 7c008e57 bb000056 771b0103 04456e64 |..W...Vw....End + 68d40: 706f696e 74494400 00003901 01521b01 pointID...9..R.. + 68d50: 03047042 75666665 72730000 00262e01 ..pBuffers...&.. + 68d60: 531b0103 04617267 00000003 f2015420 S....arg......T + 68d70: 70485443 00000049 bc206374 78000000 pHTC...I. ctx... + 68d80: 4a032063 72656469 74527074 456e6470 J. creditRptEndp + 68d90: 6f696e74 00000039 01002401 03344854 oint...9..$..4HT + 68da0: 4353656e 64446f6e 6548616e 646c6572 CSendDoneHandler + 68db0: 00010103 92012002 9000008e 57bc008e ...... .....W... + 68dc0: 57e30000 56e81b01 03346275 66000000 W...V....4buf... + 68dd0: 262e0152 1b010334 636f6e74 65787400 &..R...4context. + 68de0: 000003f2 01532070 48544300 000049bc .....S pHTC...I. + 68df0: 20637478 0000004a 03206375 7272656e ctx...J. curren + 68e00: 745f6569 64000000 12c30024 01038141 t_eid......$...A + 68e10: 646a7573 74437265 64697454 68726573 djustCreditThres + 68e20: 686f6c64 00010103 92012002 9000008e hold...... ..... + 68e30: 57e4008e 58110000 57441b01 03817045 W...X...WD....pE + 68e40: 6e64706f 696e7400 000049fa 01522063 ndpoint...I..R c + 68e50: 72656469 74734f75 74737461 6e64696e reditsOutstandin + 68e60: 67000000 1b8f0024 01039a52 65646973 g......$...Redis + 68e70: 74726962 75746543 72656469 74000101 tributeCredit... + 68e80: 03920120 02900000 8e581400 8e581900 ... .....X...X.. + 68e90: 0057921b 01039a62 75660000 00262e01 .W.....buf...&.. + 68ea0: 521b0103 9a746f50 69706549 64000000 R....toPipeId... + 68eb0: 00fd0153 00260103 a0485443 4d736752 ...S.&...HTCMsgR + 68ec0: 65637648 616e646c 65720001 01049201 ecvHandler...... + 68ed0: c0000290 00008e58 1c008e59 1b1b0103 .......X...Y.... + 68ee0: a0686472 5f627566 00000026 2e01521b .hdr_buf...&..R. + 68ef0: 0103a062 75666665 72000000 262e0153 ...buffer...&..S + 68f00: 1b0103a0 636f6e74 65787400 000003f2 ....context..... + 68f10: 01542070 48544300 000049bc 20736571 .T pHTC...I. seq + 68f20: 64696666 00000016 0220486f 73745365 diff..... HostSe + 68f30: 714e756d 00000016 0220746d 705f6e62 qNum..... tmp_nb + 68f40: 75660000 00262e21 616e6264 61746100 uf...&.!anbdata. + 68f50: 00001678 02914021 616e626c 656e0000 ...x..@!anblen.. + 68f60: 00160202 91442070 48544348 64720000 .....D pHTCHdr.. + 68f70: 004a1d20 746f7473 7a000000 12d72065 .J. totsz..... e + 68f80: 69640000 0000fd20 70456e64 706f696e id..... pEndpoin + 68f90: 74000000 49fa2065 69644d61 736b0000 t...I. eidMask.. + 68fa0: 00090920 6c656e00 00001602 20507265 ... len..... Pre + 68fb0: 73656e74 63726564 69747300 00001602 sentcredits..... + 68fc0: 00000000 004c2b00 02000026 1b04012f .....L+....&.../ + 68fd0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 68fe0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 68ff0: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 69000: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 69010: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 69020: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 69030: 5f656e67 696e652f 7372632f 646d615f _engine/src/dma_ + 69040: 656e6769 6e652e63 002f726f 6f742f57 engine.c./root/W + 69050: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 69060: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 69070: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 69080: 656e6769 6e650078 742d7863 6320666f engine.xt-xcc fo + 69090: 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali + 690a0: 676e5f69 6e737472 75637469 6f6e733d gn_instructions= + 690b0: 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: + 690c0: 73706163 65000100 00017927 025f5644 space.....y'._VD + 690d0: 45534300 24000001 95036e65 78745f64 ESC.$.....next_d + 690e0: 65736300 00000195 02230003 6275665f esc......#..buf_ + 690f0: 61646472 00000001 ba022304 03627566 addr......#..buf + 69100: 5f73697a 65000000 01d70223 08036461 _size......#..da + 69110: 74615f6f 66667365 74000000 01d70223 ta_offset......# + 69120: 0a036461 74615f73 697a6500 000001d7 ..data_size..... + 69130: 02230c03 636f6e74 726f6c00 000001d7 .#..control..... + 69140: 02230e03 68775f64 6573635f 62756600 .#..hw_desc_buf. + 69150: 000001e5 02231000 04000001 09040005 .....#.......... + 69160: 756e7369 676e6564 20636861 72000701 unsigned char... + 69170: 06415f55 494e5438 00000001 9c040000 .A_UINT8........ + 69180: 01ad0400 0573686f 72742075 6e736967 .....short unsig + 69190: 6e656420 696e7400 07020641 5f55494e ned int....A_UIN + 691a0: 54313600 000001c1 07000001 ad140000 T16............. + 691b0: 01f20813 00040000 01090400 05696e74 .............int + 691c0: 00050409 01040000 02000400 06564445 .............VDE + 691d0: 53430000 00010904 00000209 04000a00 SC.............. + 691e0: 00021401 04000002 1b04000a 000001ba ................ + 691f0: 01040000 02280400 09010400 00023504 .....(........5. + 69200: 000b0400 02766465 73635f61 70690014 .....vdesc_api.. + 69210: 000002b0 035f696e 69740000 00020202 ....._init...... + 69220: 2300035f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 69230: 00000221 02230403 5f676574 5f68775f ...!.#.._get_hw_ + 69240: 64657363 00000002 2e022308 035f7377 desc......#.._sw + 69250: 61705f76 64657363 00000002 3702230c ap_vdesc....7.#. + 69260: 03705265 73657276 65640000 00023e02 .pReserved....>. + 69270: 23100002 5f564255 46002000 00031003 #..._VBUF. ..... + 69280: 64657363 5f6c6973 74000000 02140223 desc_list......# + 69290: 00036e65 78745f62 75660000 00031002 ..next_buf...... + 692a0: 23040362 75665f6c 656e6774 68000000 #..buf_length... + 692b0: 01d70223 08037265 73657276 65640000 ...#..reserved.. + 692c0: 00031702 230a0363 74780000 0001e502 ....#..ctx...... + 692d0: 230c0004 000002b0 04000700 0001ad02 #............... + 692e0: 00000324 08010004 000002b0 04000656 ...$...........V + 692f0: 42554600 000002b0 04000003 2b04000a BUF.........+... + 69300: 00000335 01040000 033c0400 0a000003 ...5.....<...... + 69310: 35010400 00034904 00090104 00000356 5.....I........V + 69320: 04000276 6275665f 61706900 14000003 ...vbuf_api..... + 69330: d4035f69 6e697400 00000202 02230003 .._init......#.. + 69340: 5f616c6c 6f635f76 62756600 00000342 _alloc_vbuf....B + 69350: 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 69360: 77697468 5f73697a 65000000 034f0223 with_size....O.# + 69370: 08035f66 7265655f 76627566 00000003 .._free_vbuf.... + 69380: 5802230c 03705265 73657276 65640000 X.#..pReserved.. + 69390: 00023e02 23100002 7a73446d 61446573 ..>.#...zsDmaDes + 693a0: 63001400 00045603 6374726c 00000001 c.....V.ctrl.... + 693b0: c1022300 03737461 74757300 000001c1 ..#..status..... + 693c0: 02230203 746f7461 6c4c656e 00000001 .#..totalLen.... + 693d0: c1022304 03646174 6153697a 65000000 ..#..dataSize... + 693e0: 01c10223 06036c61 73744164 64720000 ...#..lastAddr.. + 693f0: 00045602 23080364 61746141 64647200 ..V.#..dataAddr. + 69400: 0000045d 02230c03 6e657874 41646472 ...].#..nextAddr + 69410: 00000004 56022310 00040000 03d40400 ....V.#......... + 69420: 056c6f6e 6720756e 7369676e 65642069 .long unsigned i + 69430: 6e740007 04040000 03d40400 027a7344 nt...........zsD + 69440: 6d615175 65756500 08000004 ab036865 maQueue.......he + 69450: 61640000 00047202 23000374 65726d69 ad....r.#..termi + 69460: 6e61746f 72000000 04720223 0400027a nator....r.#...z + 69470: 73547844 6d615175 65756500 10000005 sTxDmaQueue..... + 69480: 0f036865 61640000 00047202 23000374 ..head....r.#..t + 69490: 65726d69 6e61746f 72000000 04720223 erminator....r.# + 694a0: 0403786d 69746564 5f627566 5f686561 ..xmited_buf_hea + 694b0: 64000000 03350223 0803786d 69746564 d....5.#..xmited + 694c0: 5f627566 5f746169 6c000000 03350223 _buf_tail....5.# + 694d0: 0c000901 04000005 0f040004 00000479 ...............y + 694e0: 04000901 04000005 1f040004 000004ab ................ + 694f0: 04000901 04000005 2f040009 01040000 ......../....... + 69500: 05380400 09010400 00054104 000a0000 .8........A..... + 69510: 03350104 0000054a 04000901 04000005 .5.....J........ + 69520: 5704000a 00000335 01040000 05600400 W......5.....`.. + 69530: 09010400 00056d04 000a0000 01f90104 ......m......... + 69540: 00000576 04000a00 00047201 04000005 ...v......r..... + 69550: 83040009 01040000 05900400 02646d61 .............dma + 69560: 5f656e67 696e655f 61706900 40000007 _engine_api.@... + 69570: 06035f69 6e697400 00000511 02230003 .._init......#.. + 69580: 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. + 69590: 00052102 2304035f 696e6974 5f74785f ..!.#.._init_tx_ + 695a0: 71756575 65000000 05310223 08035f63 queue....1.#.._c + 695b0: 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. + 695c0: 00053a02 230c035f 786d6974 5f627566 ..:.#.._xmit_buf + 695d0: 00000005 43022310 035f666c 7573685f ....C.#.._flush_ + 695e0: 786d6974 00000005 21022314 035f7265 xmit....!.#.._re + 695f0: 61705f72 6563765f 62756600 00000550 ap_recv_buf....P + 69600: 02231803 5f726574 75726e5f 72656376 .#.._return_recv + 69610: 5f627566 00000005 5902231c 035f7265 _buf....Y.#.._re + 69620: 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... + 69630: 05660223 20035f73 7761705f 64617461 .f.# ._swap_data + 69640: 00000005 6f022324 035f6861 735f636f ....o.#$._has_co + 69650: 6d706c5f 7061636b 65747300 0000057c mpl_packets....| + 69660: 02232803 5f646573 635f6475 6d700000 .#(._desc_dump.. + 69670: 00052102 232c035f 6765745f 7061636b ..!.#,._get_pack + 69680: 65740000 00058902 2330035f 7265636c et......#0._recl + 69690: 61696d5f 7061636b 65740000 00059202 aim_packet...... + 696a0: 2334035f 7075745f 7061636b 65740000 #4._put_packet.. + 696b0: 00059202 23380370 52657365 72766564 ....#8.pReserved + 696c0: 00000002 3e02233c 00090104 00000706 ....>.#<........ + 696d0: 04000563 68617200 07010c00 00070f0c ...char......... + 696e0: 0000070f 04000007 1c04000a 000001f9 ................ + 696f0: 01040000 07280400 02707269 6e74665f .....(...printf_ + 69700: 61706900 08000007 6c035f70 72696e74 api.....l._print + 69710: 665f696e 69740000 00070802 2300035f f_init......#.._ + 69720: 7072696e 74660000 00072e02 23040006 printf......#... + 69730: 75696e74 31365f74 00000001 c1067569 uint16_t......ui + 69740: 6e743332 5f740000 00045d02 75617274 nt32_t....].uart + 69750: 5f666966 6f000800 0007d303 73746172 _fifo.......star + 69760: 745f696e 64657800 0000076c 02230003 t_index....l.#.. + 69770: 656e645f 696e6465 78000000 076c0223 end_index....l.# + 69780: 02036f76 65727275 6e5f6572 72000000 ..overrun_err... + 69790: 077a0223 04000275 6172745f 61706900 .z.#...uart_api. + 697a0: 20000008 8c035f75 6172745f 696e6974 ....._uart_init + 697b0: 00000008 e3022300 035f7561 72745f63 ......#.._uart_c + 697c0: 6861725f 70757400 000008f9 02230403 har_put......#.. + 697d0: 5f756172 745f6368 61725f67 65740000 _uart_char_get.. + 697e0: 00090d02 2308035f 75617274 5f737472 ....#.._uart_str + 697f0: 5f6f7574 00000009 1602230c 035f7561 _out......#.._ua + 69800: 72745f74 61736b00 00000708 02231003 rt_task......#.. + 69810: 5f756172 745f7374 61747573 00000008 _uart_status.... + 69820: e3022314 035f7561 72745f63 6f6e6669 ..#.._uart_confi + 69830: 67000000 091f0223 18035f75 6172745f g......#.._uart_ + 69840: 6877696e 69740000 00092802 231c0004 hwinit....(.#... + 69850: 000007d3 04000275 6172745f 626c6b00 .......uart_blk. + 69860: 10000008 dd036465 6275675f 6d6f6465 ......debug_mode + 69870: 00000007 6c022300 03626175 64000000 ....l.#..baud... + 69880: 076c0223 02035f75 61727400 0000088c .l.#.._uart..... + 69890: 02230403 5f747800 00000788 02230800 .#.._tx......#.. + 698a0: 0a000007 7a010400 0008dd04 00067569 ....z.........ui + 698b0: 6e74385f 74000000 019c0901 04000008 nt8_t........... + 698c0: f7040004 000008ea 04000a00 00076c01 ..............l. + 698d0: 04000009 07040009 01040000 09140400 ................ + 698e0: 09010400 00091d04 00090104 00000926 ...............& + 698f0: 04000400 00070f04 000a0000 01f90104 ................ + 69900: 00000936 04000244 425f434f 4d4d414e ...6...DB_COMMAN + 69910: 445f5354 52554354 000c0000 098e0363 D_STRUCT.......c + 69920: 6d645f73 74720000 00092f02 23000368 md_str..../.#..h + 69930: 656c705f 73747200 0000092f 02230403 elp_str..../.#.. + 69940: 636d645f 66756e63 00000009 3c022308 cmd_func....<.#. + 69950: 00026462 675f6170 69000800 0009c103 ..dbg_api....... + 69960: 5f646267 5f696e69 74000000 07080223 _dbg_init......# + 69970: 00035f64 62675f74 61736b00 00000708 .._dbg_task..... + 69980: 02230400 05756e73 69676e65 6420696e .#...unsigned in + 69990: 74000704 0a000002 3e010400 0009d104 t.......>....... + 699a0: 000d0d04 000009df 04000a00 00023e01 ..............>. + 699b0: 04000009 e704000a 000001f9 01040000 ................ + 699c0: 09f40400 026d656d 5f617069 00140000 .....mem_api.... + 699d0: 0a63035f 6d656d5f 696e6974 00000007 .c._mem_init.... + 699e0: 08022300 035f6d65 6d736574 00000009 ..#.._memset.... + 699f0: d7022304 035f6d65 6d637079 00000009 ..#.._memcpy.... + 69a00: ed022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... + 69a10: 09ed0223 0c035f6d 656d636d 70000000 ...#.._memcmp... + 69a20: 09fa0223 10000e72 65676973 7465725f ...#...register_ + 69a30: 64756d70 5f730000 01040000 0a630400 dump_s.......c.. + 69a40: 09010400 000a7d04 00090104 00000a86 ......}......... + 69a50: 04000a00 0001f901 0400000a 8f04000f ................ + 69a60: 686f7374 69665f73 00040000 0aeb1048 hostif_s.......H + 69a70: 49465f55 53420000 10484946 5f504349 IF_USB...HIF_PCI + 69a80: 45000110 4849465f 474d4143 00021048 E...HIF_GMAC...H + 69a90: 49465f50 43490003 10484946 5f4e554d IF_PCI...HIF_NUM + 69aa0: 00041048 49465f4e 4f4e4500 05000641 ...HIF_NONE....A + 69ab0: 5f484f53 54494600 00000a9c 0a00000a _HOSTIF......... + 69ac0: eb010400 000af904 000a0000 08ea0104 ................ + 69ad0: 00000b06 04000a00 00076c01 0400000b ..........l..... + 69ae0: 13040002 6d697363 5f617069 00240000 ....misc_api.$.. + 69af0: 0c03035f 73797374 656d5f72 65736574 ..._system_reset + 69b00: 00000007 08022300 035f6d61 635f7265 ......#.._mac_re + 69b10: 73657400 00000708 02230403 5f617373 set......#.._ass + 69b20: 6661696c 0000000a 7f022308 035f6d69 fail......#.._mi + 69b30: 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha + 69b40: 6e646c65 72000000 0a7f0223 0c035f72 ndler......#.._r + 69b50: 65706f72 745f6661 696c7572 655f746f eport_failure_to + 69b60: 5f686f73 74000000 0a880223 10035f74 _host......#.._t + 69b70: 61726765 745f6964 5f676574 0000000a arget_id_get.... + 69b80: 95022314 035f6973 5f686f73 745f7072 ..#.._is_host_pr + 69b90: 6573656e 74000000 0aff0223 18035f6b esent......#.._k + 69ba0: 62686974 0000000b 0c02231c 035f726f bhit......#.._ro + 69bb0: 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... + 69bc0: 0b190223 20000a00 00092f01 0400000c ...# ...../..... + 69bd0: 0304000a 0000092f 01040000 0c100400 ......./........ + 69be0: 0a000001 f9010400 000c1d04 000a0000 ................ + 69bf0: 01f90104 00000c2a 04000a00 0001f901 .......*........ + 69c00: 0400000c 37040002 73747269 6e675f61 ....7...string_a + 69c10: 70690018 00000cbd 035f7374 72696e67 pi......._string + 69c20: 5f696e69 74000000 07080223 00035f73 _init......#.._s + 69c30: 74726370 79000000 0c090223 04035f73 trcpy......#.._s + 69c40: 74726e63 70790000 000c1602 2308035f trncpy......#.._ + 69c50: 7374726c 656e0000 000c2302 230c035f strlen....#.#.._ + 69c60: 73747263 6d700000 000c3002 2310035f strcmp....0.#.._ + 69c70: 7374726e 636d7000 00000c3d 02231400 strncmp....=.#.. + 69c80: 07000009 c1140000 0cca0804 00065f41 .............._A + 69c90: 5f54494d 45525f53 50414345 0000000c _TIMER_SPACE.... + 69ca0: bd06415f 74696d65 725f7400 00000cca ..A_timer_t..... + 69cb0: 0400000c de040009 01040000 0cf40400 ................ + 69cc0: 09010400 000cfd04 0006415f 48414e44 ..........A_HAND + 69cd0: 4c450000 0009c109 0106415f 54494d45 LE........A_TIME + 69ce0: 525f4655 4e430000 000d1404 00000d16 R_FUNC.......... + 69cf0: 04000901 0400000d 2f040002 74696d65 ......../...time + 69d00: 725f6170 69001400 000dae03 5f74696d r_api......._tim + 69d10: 65725f69 6e697400 00000708 02230003 er_init......#.. + 69d20: 5f74696d 65725f61 726d0000 000cf602 _timer_arm...... + 69d30: 2304035f 74696d65 725f6469 7361726d #.._timer_disarm + 69d40: 0000000c ff022308 035f7469 6d65725f ......#.._timer_ + 69d50: 73657466 6e000000 0d310223 0c035f74 setfn....1.#.._t + 69d60: 696d6572 5f72756e 00000007 08022310 imer_run......#. + 69d70: 0006424f 4f4c4541 4e000000 076c0a00 ..BOOLEAN....l.. + 69d80: 000dae01 0400000d bb04000a 00000dae ................ + 69d90: 01040000 0dc80400 0a00000d ae010400 ................ + 69da0: 000dd504 0002726f 6d705f61 70690010 ......romp_api.. + 69db0: 00000e47 035f726f 6d705f69 6e697400 ...G._romp_init. + 69dc0: 00000708 02230003 5f726f6d 705f646f .....#.._romp_do + 69dd0: 776e6c6f 61640000 000dc102 2304035f wnload......#.._ + 69de0: 726f6d70 5f696e73 74616c6c 0000000d romp_install.... + 69df0: ce022308 035f726f 6d705f64 65636f64 ..#.._romp_decod + 69e00: 65000000 0ddb0223 0c000272 6f6d5f70 e......#...rom_p + 69e10: 61746368 5f737400 1000000e a3036372 atch_st.......cr + 69e20: 63313600 0000076c 02230003 6c656e00 c16....l.#..len. + 69e30: 0000076c 02230203 6c645f61 64647200 ...l.#..ld_addr. + 69e40: 0000077a 02230403 66756e5f 61646472 ...z.#..fun_addr + 69e50: 00000007 7a022308 03706675 6e000000 ....z.#..pfun... + 69e60: 09000223 0c000265 65705f72 65646972 ...#...eep_redir + 69e70: 5f616464 72000400 000ed503 6f666673 _addr.......offs + 69e80: 65740000 00076c02 23000373 697a6500 et....l.#..size. + 69e90: 0000076c 02230200 06415f55 494e5433 ...l.#...A_UINT3 + 69ea0: 32000000 09c10a00 00023e01 0400000e 2.........>..... + 69eb0: e3040002 616c6c6f 6372616d 5f617069 ....allocram_api + 69ec0: 000c0000 0f540363 6d6e6f73 5f616c6c .....T.cmnos_all + 69ed0: 6f637261 6d5f696e 69740000 000ee902 ocram_init...... + 69ee0: 23000363 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra + 69ef0: 6d000000 0ee90223 0403636d 6e6f735f m......#..cmnos_ + 69f00: 616c6c6f 6372616d 5f646562 75670000 allocram_debug.. + 69f10: 00070802 23080009 01040000 0f540400 ....#........T.. + 69f20: 06415f54 41534b4c 45545f46 554e4300 .A_TASKLET_FUNC. + 69f30: 00000f56 025f7461 736b6c65 74001000 ...V._tasklet... + 69f40: 000fb503 66756e63 0000000f 5d022300 ....func....].#. + 69f50: 03617267 00000002 3e022304 03737461 .arg....>.#..sta + 69f60: 74650000 0001f902 2308036e 65787400 te......#..next. + 69f70: 00000fb5 02230c00 0400000f 71040004 .....#......q... + 69f80: 00000f71 04000641 5f746173 6b6c6574 ...q...A_tasklet + 69f90: 5f740000 000f7104 00000fc3 04000901 _t....q......... + 69fa0: 0400000f db040009 01040000 0fe40400 ................ + 69fb0: 02746173 6b6c6574 5f617069 00140000 .tasklet_api.... + 69fc0: 1079035f 7461736b 6c65745f 696e6974 .y._tasklet_init + 69fd0: 00000007 08022300 035f7461 736b6c65 ......#.._taskle + 69fe0: 745f696e 69745f74 61736b00 00000fdd t_init_task..... + 69ff0: 02230403 5f746173 6b6c6574 5f646973 .#.._tasklet_dis + 6a000: 61626c65 0000000f e6022308 035f7461 able......#.._ta + 6a010: 736b6c65 745f7363 68656475 6c650000 sklet_schedule.. + 6a020: 000fe602 230c035f 7461736b 6c65745f ....#.._tasklet_ + 6a030: 72756e00 00000708 02231000 09010400 run......#...... + 6a040: 00107904 000a0000 0ed50104 00001082 ..y............. + 6a050: 04000263 6c6f636b 5f617069 00240000 ...clock_api.$.. + 6a060: 1168035f 636c6f63 6b5f696e 69740000 .h._clock_init.. + 6a070: 00107b02 2300035f 636c6f63 6b726567 ..{.#.._clockreg + 6a080: 735f696e 69740000 00070802 2304035f s_init......#.._ + 6a090: 75617274 5f667265 7175656e 63790000 uart_frequency.. + 6a0a0: 00108802 2308035f 64656c61 795f7573 ....#.._delay_us + 6a0b0: 00000002 0202230c 035f776c 616e5f62 ......#.._wlan_b + 6a0c0: 616e645f 73657400 00000202 02231003 and_set......#.. + 6a0d0: 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 6a0e0: 74000000 10880223 14035f6d 696c6c69 t......#.._milli + 6a0f0: 7365636f 6e647300 00001088 02231803 seconds......#.. + 6a100: 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 6a110: 00070802 231c035f 636c6f63 6b5f7469 ....#.._clock_ti + 6a120: 636b0000 00070802 2320000a 0000077a ck......# .....z + 6a130: 01040000 11680400 06415f6f 6c645f69 .....h...A_old_i + 6a140: 6e74725f 74000000 077a0a00 00117501 ntr_t....z....u. + 6a150: 04000011 87040009 01040000 11940400 ................ + 6a160: 09010400 00119d04 000a0000 077a0104 .............z.. + 6a170: 000011a6 04000641 5f697372 5f740000 .......A_isr_t.. + 6a180: 0011ac09 01040000 11c00400 0a000009 ................ + 6a190: c1010400 0011c904 00090104 000011d6 ................ + 6a1a0: 04000269 6e74725f 61706900 2c000012 ...intr_api.,... + 6a1b0: f8035f69 6e74725f 696e6974 00000007 .._intr_init.... + 6a1c0: 08022300 035f696e 74725f69 6e766f6b ..#.._intr_invok + 6a1d0: 655f6973 72000000 116e0223 04035f69 e_isr....n.#.._i + 6a1e0: 6e74725f 64697361 626c6500 0000118d ntr_disable..... + 6a1f0: 02230803 5f696e74 725f7265 73746f72 .#.._intr_restor + 6a200: 65000000 11960223 0c035f69 6e74725f e......#.._intr_ + 6a210: 6d61736b 5f696e75 6d000000 119f0223 mask_inum......# + 6a220: 10035f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 6a230: 6e756d00 0000119f 02231403 5f696e74 num......#.._int + 6a240: 725f6174 74616368 5f697372 00000011 r_attach_isr.... + 6a250: c2022318 035f6765 745f696e 7472656e ..#.._get_intren + 6a260: 61626c65 00000011 cf02231c 035f7365 able......#.._se + 6a270: 745f696e 7472656e 61626c65 00000011 t_intrenable.... + 6a280: d8022320 035f6765 745f696e 74727065 ..# ._get_intrpe + 6a290: 6e64696e 67000000 11cf0223 24035f75 nding......#$._u + 6a2a0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 6a2b0: 766c0000 00070802 23280011 04000013 vl......#(...... + 6a2c0: 1e037469 6d656f75 74000000 077a0223 ..timeout....z.# + 6a2d0: 00036163 74696f6e 00000007 7a022300 ..action....z.#. + 6a2e0: 00120800 00133903 636d6400 0000077a ......9.cmd....z + 6a2f0: 02230013 000012f8 02230400 06545f57 .#.......#...T_W + 6a300: 44545f43 4d440000 00131e09 01040000 DT_CMD.......... + 6a310: 13480400 14040000 139e1045 4e554d5f .H.........ENUM_ + 6a320: 5744545f 424f4f54 00011045 4e554d5f WDT_BOOT...ENUM_ + 6a330: 434f4c44 5f424f4f 54000210 454e554d COLD_BOOT...ENUM + 6a340: 5f535553 505f424f 4f540003 10454e55 _SUSP_BOOT...ENU + 6a350: 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 6a360: 0006545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 6a370: 13510a00 00139e01 04000013 af040002 .Q.............. + 6a380: 7764745f 61706900 1c000014 53035f77 wdt_api.....S._w + 6a390: 64745f69 6e697400 00000708 02230003 dt_init......#.. + 6a3a0: 5f776474 5f656e61 626c6500 00000708 _wdt_enable..... + 6a3b0: 02230403 5f776474 5f646973 61626c65 .#.._wdt_disable + 6a3c0: 00000007 08022308 035f7764 745f7365 ......#.._wdt_se + 6a3d0: 74000000 134a0223 0c035f77 64745f74 t....J.#.._wdt_t + 6a3e0: 61736b00 00000708 02231003 5f776474 ask......#.._wdt + 6a3f0: 5f726573 65740000 00070802 2314035f _reset......#.._ + 6a400: 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 6a410: 13b50223 18001404 000014ba 10524554 ...#.........RET + 6a420: 5f535543 43455353 00001052 45545f4e _SUCCESS...RET_N + 6a430: 4f545f49 4e495400 01105245 545f4e4f OT_INIT...RET_NO + 6a440: 545f4558 49535400 02105245 545f4545 T_EXIST...RET_EE + 6a450: 505f434f 52525550 54000310 5245545f P_CORRUPT...RET_ + 6a460: 4545505f 4f564552 464c4f57 00041052 EEP_OVERFLOW...R + 6a470: 45545f55 4e4b4e4f 574e0005 0006545f ET_UNKNOWN....T_ + 6a480: 4545505f 52455400 00001453 04000007 EEP_RET....S.... + 6a490: 6c04000a 000014ba 01040000 14d00400 l............... + 6a4a0: 0a000014 ba010400 0014dd04 00026565 ..............ee + 6a4b0: 705f6170 69001000 00154603 5f656570 p_api.....F._eep + 6a4c0: 5f696e69 74000000 07080223 00035f65 _init......#.._e + 6a4d0: 65705f72 65616400 000014d6 02230403 ep_read......#.. + 6a4e0: 5f656570 5f777269 74650000 0014d602 _eep_write...... + 6a4f0: 2308035f 6565705f 69735f65 78697374 #.._eep_is_exist + 6a500: 00000014 e302230c 00027573 625f6170 ......#...usb_ap + 6a510: 69007000 0017f303 5f757362 5f696e69 i.p....._usb_ini + 6a520: 74000000 07080223 00035f75 73625f72 t......#.._usb_r + 6a530: 6f6d5f74 61736b00 00000708 02230403 om_task......#.. + 6a540: 5f757362 5f66775f 7461736b 00000007 _usb_fw_task.... + 6a550: 08022308 035f7573 625f696e 69745f70 ..#.._usb_init_p + 6a560: 68790000 00070802 230c035f 7573625f hy......#.._usb_ + 6a570: 6570305f 73657475 70000000 07080223 ep0_setup......# + 6a580: 10035f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 6a590: 07080223 14035f75 73625f65 70305f72 ...#.._usb_ep0_r + 6a5a0: 78000000 07080223 18035f75 73625f67 x......#.._usb_g + 6a5b0: 65745f69 6e746572 66616365 0000000d et_interface.... + 6a5c0: ce02231c 035f7573 625f7365 745f696e ..#.._usb_set_in + 6a5d0: 74657266 61636500 00000dce 02232003 terface......# . + 6a5e0: 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 6a5f0: 72617469 6f6e0000 000dce02 2324035f ration......#$._ + 6a600: 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 6a610: 6174696f 6e000000 0dce0223 28035f75 ation......#(._u + 6a620: 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 6a630: 00000dce 02232c03 5f757362 5f76656e .....#,._usb_ven + 6a640: 646f725f 636d6400 00000708 02233003 dor_cmd......#0. + 6a650: 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 6a660: 00070802 2334035f 7573625f 72657365 ....#4._usb_rese + 6a670: 745f6669 666f0000 00070802 2338035f t_fifo......#8._ + 6a680: 7573625f 67656e5f 77647400 00000708 usb_gen_wdt..... + 6a690: 02233c03 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 6a6a0: 6f740000 00070802 2340035f 7573625f ot......#@._usb_ + 6a6b0: 636c725f 66656174 75726500 00000dce clr_feature..... + 6a6c0: 02234403 5f757362 5f736574 5f666561 .#D._usb_set_fea + 6a6d0: 74757265 0000000d ce022348 035f7573 ture......#H._us + 6a6e0: 625f7365 745f6164 64726573 73000000 b_set_address... + 6a6f0: 0dce0223 4c035f75 73625f67 65745f64 ...#L._usb_get_d + 6a700: 65736372 6970746f 72000000 0dce0223 escriptor......# + 6a710: 50035f75 73625f67 65745f73 74617475 P._usb_get_statu + 6a720: 73000000 0dce0223 54035f75 73625f73 s......#T._usb_s + 6a730: 65747570 5f646573 63000000 07080223 etup_desc......# + 6a740: 58035f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 6a750: 00070802 235c035f 7573625f 73746174 ....#\._usb_stat + 6a760: 75735f69 6e000000 07080223 60035f75 us_in......#`._u + 6a770: 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 6a780: 00070802 2364035f 7573625f 6570305f ....#d._usb_ep0_ + 6a790: 72785f64 61746100 00000708 02236803 rx_data......#h. + 6a7a0: 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 6a7b0: 07080223 6c00025f 5f616466 5f646576 ...#l..__adf_dev + 6a7c0: 69636500 04000018 15036475 6d6d7900 ice.......dummy. + 6a7d0: 000001f9 02230000 0400000e d5040002 .....#.......... + 6a7e0: 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... + 6a7f0: 00185c03 62756600 00000335 02230003 ..\.buf....5.#.. + 6a800: 64735f61 64647200 00001815 02230403 ds_addr......#.. + 6a810: 64735f6c 656e0000 0001d702 23080012 ds_len......#... + 6a820: 0c000018 96035f5f 76615f73 746b0000 ......__va_stk.. + 6a830: 00092f02 2300035f 5f76615f 72656700 ../.#..__va_reg. + 6a840: 0000092f 02230403 5f5f7661 5f6e6478 .../.#..__va_ndx + 6a850: 00000001 f9022308 00065f5f 6164665f ......#...__adf_ + 6a860: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 6a870: 0ed50661 64665f6f 735f646d 615f6164 ...adf_os_dma_ad + 6a880: 64725f74 00000018 96065f5f 6164665f dr_t......__adf_ + 6a890: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 6a8a0: 0ed50661 64665f6f 735f646d 615f7369 ...adf_os_dma_si + 6a8b0: 7a655f74 00000018 c6025f5f 646d615f ze_t......__dma_ + 6a8c0: 73656773 00080000 19220370 61646472 segs.....".paddr + 6a8d0: 00000018 af022300 036c656e 00000018 ......#..len.... + 6a8e0: df022304 00065f5f 615f7569 6e743332 ..#...__a_uint32 + 6a8f0: 5f740000 000ed506 615f7569 6e743332 _t......a_uint32 + 6a900: 5f740000 00192207 000018f6 08000019 _t...."......... + 6a910: 51080000 02616466 5f6f735f 646d616d Q....adf_os_dmam + 6a920: 61705f69 6e666f00 0c000019 8a036e73 ap_info.......ns + 6a930: 65677300 00001934 02230003 646d615f egs....4.#..dma_ + 6a940: 73656773 00000019 44022304 00065f5f segs....D.#...__ + 6a950: 615f7569 6e74385f 74000000 01ad0661 a_uint8_t......a + 6a960: 5f75696e 74385f74 00000019 8a040000 _uint8_t........ + 6a970: 199b0400 025f5f73 675f7365 67730008 .....__sg_segs.. + 6a980: 000019dc 03766164 64720000 0019aa02 .....vaddr...... + 6a990: 2300036c 656e0000 00193402 23040007 #..len....4.#... + 6a9a0: 000019b1 20000019 e9080300 02616466 .... ........adf + 6a9b0: 5f6f735f 73676c69 73740024 00001a1c _os_sglist.$.... + 6a9c0: 036e7365 67730000 00193402 23000373 .nsegs....4.#..s + 6a9d0: 675f7365 67730000 0019dc02 23040012 g_segs......#... + 6a9e0: 1000001a 65037665 6e646f72 00000019 ....e.vendor.... + 6a9f0: 34022300 03646576 69636500 00001934 4.#..device....4 + 6aa00: 02230403 73756276 656e646f 72000000 .#..subvendor... + 6aa10: 19340223 08037375 62646576 69636500 .4.#..subdevice. + 6aa20: 00001934 02230c00 056c6f6e 67206c6f ...4.#...long lo + 6aa30: 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 6aa40: 07080641 5f55494e 54363400 00001a65 ...A_UINT64....e + 6aa50: 065f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... + 6aa60: 1a7f0661 5f75696e 7436345f 74000000 ...a_uint64_t... + 6aa70: 1a8d1404 00001aeb 10414446 5f4f535f .........ADF_OS_ + 6aa80: 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME + 6aa90: 4d000010 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU + 6aaa0: 5243455f 54595045 5f494f00 01000661 RCE_TYPE_IO....a + 6aab0: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 6aac0: 7970655f 74000000 1aaf1218 00001b35 ype_t..........5 + 6aad0: 03737461 72740000 001a9f02 23000365 .start......#..e + 6aae0: 6e640000 001a9f02 23080374 79706500 nd......#..type. + 6aaf0: 00001aeb 02231000 06616466 5f6f735f .....#...adf_os_ + 6ab00: 7063695f 6465765f 69645f74 0000001a pci_dev_id_t.... + 6ab10: 1c040000 1b350400 11040000 1b740370 .....5.......t.p + 6ab20: 63690000 001b4e02 23000372 61770000 ci....N.#..raw.. + 6ab30: 00023e02 23000011 1000001b 93037063 ..>.#.........pc + 6ab40: 69000000 1b350223 00037261 77000000 i....5.#..raw... + 6ab50: 023e0223 00000661 64665f64 72765f68 .>.#...adf_drv_h + 6ab60: 616e646c 655f7400 0000023e 06616466 andle_t....>.adf + 6ab70: 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. + 6ab80: 001b0704 00001ba9 04000661 64665f6f ...........adf_o + 6ab90: 735f6174 74616368 5f646174 615f7400 s_attach_data_t. + 6aba0: 00001b74 0400001b c7040004 000017f3 ...t............ + 6abb0: 0400065f 5f616466 5f6f735f 64657669 ...__adf_os_devi + 6abc0: 63655f74 0000001b e8066164 665f6f73 ce_t......adf_os + 6abd0: 5f646576 6963655f 74000000 1bef0a00 _device_t....... + 6abe0: 001b9301 0400001c 1b040009 01040000 ................ + 6abf0: 1c280400 06616466 5f6f735f 706d5f74 .(...adf_os_pm_t + 6ac00: 00000002 3e090104 00001c42 04001404 ....>......B.... + 6ac10: 00001c82 10414446 5f4f535f 4255535f .....ADF_OS_BUS_ + 6ac20: 54595045 5f504349 00011041 44465f4f TYPE_PCI...ADF_O + 6ac30: 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER + 6ac40: 49430002 00066164 665f6f73 5f627573 IC....adf_os_bus + 6ac50: 5f747970 655f7400 00001c4b 06616466 _type_t....K.adf + 6ac60: 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data + 6ac70: 5f740000 001b5504 0000019c 0400025f _t....U........_ + 6ac80: 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. + 6ac90: 1d5f0364 72765f61 74746163 68000000 ._.drv_attach... + 6aca0: 1c210223 00036472 765f6465 74616368 .!.#..drv_detach + 6acb0: 0000001c 2a022304 03647276 5f737573 ....*.#..drv_sus + 6acc0: 70656e64 0000001c 44022308 03647276 pend....D.#..drv + 6acd0: 5f726573 756d6500 00001c2a 02230c03 _resume....*.#.. + 6ace0: 6275735f 74797065 0000001c 82022310 bus_type......#. + 6acf0: 03627573 5f646174 61000000 1c990223 .bus_data......# + 6ad00: 14036d6f 645f6e61 6d650000 001cb402 ..mod_name...... + 6ad10: 23180369 666e616d 65000000 1cb40223 #..ifname......# + 6ad20: 1c000661 64665f6f 735f6861 6e646c65 ...adf_os_handle + 6ad30: 5f740000 00023e04 0000198a 04000901 _t....>......... + 6ad40: 0901065f 5f616466 5f6f735f 73697a65 ...__adf_os_size + 6ad50: 5f740000 0009c114 0400001d ae10415f _t............A_ + 6ad60: 46414c53 45000010 415f5452 55450001 FALSE...A_TRUE.. + 6ad70: 0006615f 626f6f6c 5f740000 001d9404 ..a_bool_t...... + 6ad80: 0000181c 0400065f 5f616466 5f6f735f .......__adf_os_ + 6ad90: 646d615f 6d61705f 74000000 1dbc0901 dma_map_t....... + 6ada0: 0f616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 6adb0: 6e630004 00001e46 10414446 5f53594e nc.....F.ADF_SYN + 6adc0: 435f5052 45524541 44000010 4144465f C_PREREAD...ADF_ + 6add0: 53594e43 5f505245 57524954 45000210 SYNC_PREWRITE... + 6ade0: 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA + 6adf0: 44000110 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS + 6ae00: 54575249 54450003 00066164 665f6f73 TWRITE....adf_os + 6ae10: 5f636163 68655f73 796e635f 74000000 _cache_sync_t... + 6ae20: 1ddd0901 06616466 5f6f735f 73697a65 .....adf_os_size + 6ae30: 5f740000 001d7f0a 00001e61 01066164 _t.........a..ad + 6ae40: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 6ae50: 001dc304 00001e7a 04000a00 00023e01 .......z......>. + 6ae60: 0400001d c304000a 0000023e 0109010a ...........>.... + 6ae70: 000018af 01090105 73686f72 7420696e ........short in + 6ae80: 74000502 06415f49 4e543136 0000001e t....A_INT16.... + 6ae90: b4065f5f 615f696e 7431365f 74000000 ..__a_int16_t... + 6aea0: 1ec10661 5f696e74 31365f74 0000001e ...a_int16_t.... + 6aeb0: ce057369 676e6564 20636861 72000501 ..signed char... + 6aec0: 06415f49 4e543800 00001eee 065f5f61 .A_INT8......__a + 6aed0: 5f696e74 385f7400 00001efd 06615f69 _int8_t......a_i + 6aee0: 6e74385f 74000000 1f09120c 00001f80 nt8_t........... + 6aef0: 03737570 706f7274 65640000 00193402 .supported....4. + 6af00: 23000361 64766572 74697a65 64000000 #..advertized... + 6af10: 19340223 04037370 65656400 00001edf .4.#..speed..... + 6af20: 02230803 6475706c 65780000 001f1902 .#..duplex...... + 6af30: 230a0361 75746f6e 65670000 00199b02 #..autoneg...... + 6af40: 230b0007 0000199b 0600001f 8d080500 #............... + 6af50: 02616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr + 6af60: 00060000 1fb10361 64647200 00001f80 .......addr..... + 6af70: 02230000 065f5f61 5f75696e 7431365f .#...__a_uint16_ + 6af80: 74000000 01d70661 5f75696e 7431365f t......a_uint16_ + 6af90: 74000000 1fb1120e 00002015 03657468 t......... ..eth + 6afa0: 65725f64 686f7374 0000001f 80022300 er_dhost......#. + 6afb0: 03657468 65725f73 686f7374 0000001f .ether_shost.... + 6afc0: 80022306 03657468 65725f74 79706500 ..#..ether_type. + 6afd0: 00001fc3 02230c00 12140000 20d61569 .....#...... ..i + 6afe0: 705f7665 7273696f 6e000000 199b0100 p_version....... + 6aff0: 04022300 1569705f 686c0000 00199b01 ..#..ip_hl...... + 6b000: 04040223 00036970 5f746f73 00000019 ...#..ip_tos.... + 6b010: 9b022301 0369705f 6c656e00 00001fc3 ..#..ip_len..... + 6b020: 02230203 69705f69 64000000 1fc30223 .#..ip_id......# + 6b030: 04036970 5f667261 675f6f66 66000000 ..ip_frag_off... + 6b040: 1fc30223 06036970 5f74746c 00000019 ...#..ip_ttl.... + 6b050: 9b022308 0369705f 70726f74 6f000000 ..#..ip_proto... + 6b060: 199b0223 09036970 5f636865 636b0000 ...#..ip_check.. + 6b070: 001fc302 230a0369 705f7361 64647200 ....#..ip_saddr. + 6b080: 00001934 02230c03 69705f64 61646472 ...4.#..ip_daddr + 6b090: 00000019 34022310 00026164 665f6e65 ....4.#...adf_ne + 6b0a0: 745f766c 616e6864 72000400 00212803 t_vlanhdr....!(. + 6b0b0: 74706964 0000001f c3022300 15707269 tpid......#..pri + 6b0c0: 6f000000 199b0100 03022302 15636669 o.........#..cfi + 6b0d0: 00000019 9b010301 02230215 76696400 .........#..vid. + 6b0e0: 00001fc3 02040c02 23020002 6164665f ........#...adf_ + 6b0f0: 6e65745f 76696400 02000021 59157265 net_vid....!Y.re + 6b100: 73000000 199b0100 04022300 1576616c s.........#..val + 6b110: 0000001f c302040c 02230000 120c0000 .........#...... + 6b120: 21950372 785f6275 6673697a 65000000 !..rx_bufsize... + 6b130: 19340223 00037278 5f6e6465 73630000 .4.#..rx_ndesc.. + 6b140: 00193402 23040374 785f6e64 65736300 ..4.#..tx_ndesc. + 6b150: 00001934 02230800 12080000 21bb0370 ...4.#......!..p + 6b160: 6f6c6c65 64000000 1dae0223 0003706f olled......#..po + 6b170: 6c6c5f77 74000000 19340223 04000700 ll_wt....4.#.... + 6b180: 00199b40 000021c8 083f0012 46000021 ...@..!..?..F..! + 6b190: f0036966 5f6e616d 65000000 21bb0223 ..if_name...!..# + 6b1a0: 00036465 765f6164 64720000 001f8002 ..dev_addr...... + 6b1b0: 23400014 04000022 27104144 465f4f53 #@....."'.ADF_OS + 6b1c0: 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. + 6b1d0: 00104144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 6b1e0: 4b5f3634 42495400 01000661 64665f6f K_64BIT....adf_o + 6b1f0: 735f646d 615f6d61 736b5f74 00000021 s_dma_mask_t...! + 6b200: f0026164 665f646d 615f696e 666f0008 ..adf_dma_info.. + 6b210: 00002274 03646d61 5f6d6173 6b000000 .."t.dma_mask... + 6b220: 22270223 00037367 5f6e7365 67730000 "'.#..sg_nsegs.. + 6b230: 00193402 23040014 04000022 ca104144 ..4.#......"..AD + 6b240: 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE + 6b250: 00001041 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 6b260: 5f544350 5f554450 5f495076 34000110 _TCP_UDP_IPv4... + 6b270: 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 6b280: 505f5544 505f4950 76360002 00066164 P_UDP_IPv6....ad + 6b290: 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type + 6b2a0: 5f740000 00227412 08000023 0d037478 _t..."t....#..tx + 6b2b0: 5f636b73 756d0000 0022ca02 23000372 _cksum..."..#..r + 6b2c0: 785f636b 73756d00 000022ca 02230400 x_cksum..."..#.. + 6b2d0: 06616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i + 6b2e0: 6e666f5f 74000000 22e41404 00002366 nfo_t...".....#f + 6b2f0: 10414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON + 6b300: 45000010 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ + 6b310: 49505634 00011041 44465f4e 45545f54 IPV4...ADF_NET_T + 6b320: 534f5f41 4c4c0002 00066164 665f6e65 SO_ALL....adf_ne + 6b330: 745f7473 6f5f7479 70655f74 00000023 t_tso_type_t...# + 6b340: 27121000 0023ba03 636b7375 6d5f6361 '....#..cksum_ca + 6b350: 70000000 230d0223 00037473 6f000000 p...#..#..tso... + 6b360: 23660223 0803766c 616e5f73 7570706f #f.#..vlan_suppo + 6b370: 72746564 00000019 9b02230c 00122000 rted......#... . + 6b380: 00245303 74785f70 61636b65 74730000 .$S.tx_packets.. + 6b390: 00193402 23000372 785f7061 636b6574 ..4.#..rx_packet + 6b3a0: 73000000 19340223 04037478 5f627974 s....4.#..tx_byt + 6b3b0: 65730000 00193402 23080372 785f6279 es....4.#..rx_by + 6b3c0: 74657300 00001934 02230c03 74785f64 tes....4.#..tx_d + 6b3d0: 726f7070 65640000 00193402 23100372 ropped....4.#..r + 6b3e0: 785f6472 6f707065 64000000 19340223 x_dropped....4.# + 6b3f0: 14037278 5f657272 6f727300 00001934 ..rx_errors....4 + 6b400: 02231803 74785f65 72726f72 73000000 .#..tx_errors... + 6b410: 19340223 1c000661 64665f6e 65745f65 .4.#...adf_net_e + 6b420: 74686164 64725f74 0000001f 8d160000 thaddr_t........ + 6b430: 24530300 00002478 087f0017 6164665f $S....$x....adf_ + 6b440: 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. + 6b450: 04000024 af036e65 6c656d00 00001934 ...$..nelem....4 + 6b460: 02230003 6d636173 74000000 246a0223 .#..mcast...$j.# + 6b470: 04000661 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l + 6b480: 696e6b5f 696e666f 5f740000 001f2706 ink_info_t....'. + 6b490: 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll + 6b4a0: 5f696e66 6f5f7400 00002195 06616466 _info_t...!..adf + 6b4b0: 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i + 6b4c0: 6e666f5f 74000000 230d0661 64665f6e nfo_t...#..adf_n + 6b4d0: 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info + 6b4e0: 5f740000 00215906 6164665f 6e65745f _t...!Y.adf_net_ + 6b4f0: 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. + 6b500: 00223e06 6164665f 6e65745f 636d645f .">.adf_net_cmd_ + 6b510: 7669645f 74000000 1fc30661 64665f6e vid_t......adf_n + 6b520: 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c + 6b530: 61705f74 00000023 7e066164 665f6e65 ap_t...#~.adf_ne + 6b540: 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... + 6b550: 23ba0661 64665f6e 65745f63 6d645f6d #..adf_net_cmd_m + 6b560: 63616464 725f7400 00002478 0f616466 caddr_t...$x.adf + 6b570: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 6b580: 61700004 000025f1 10414446 5f4e4554 ap....%..ADF_NET + 6b590: 5f4d4341 53545f53 55500000 10414446 _MCAST_SUP...ADF + 6b5a0: 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU + 6b5b0: 50000100 06616466 5f6e6574 5f636d64 P....adf_net_cmd + 6b5c0: 5f6d6361 73745f63 61705f74 00000025 _mcast_cap_t...% + 6b5d0: a9180304 000026c3 036c696e 6b5f696e ......&..link_in + 6b5e0: 666f0000 0024af02 23000370 6f6c6c5f fo...$..#..poll_ + 6b5f0: 696e666f 00000024 cc022300 03636b73 info...$..#..cks + 6b600: 756d5f69 6e666f00 000024e9 02230003 um_info...$..#.. + 6b610: 72696e67 5f696e66 6f000000 25070223 ring_info...%..# + 6b620: 0003646d 615f696e 666f0000 00252402 ..dma_info...%$. + 6b630: 23000376 69640000 00254002 2300036f #..vid...%@.#..o + 6b640: 66666c6f 61645f63 61700000 00255702 ffload_cap...%W. + 6b650: 23000373 74617473 00000025 76022300 #..stats...%v.#. + 6b660: 036d6361 73745f69 6e666f00 0000258f .mcast_info...%. + 6b670: 02230003 6d636173 745f6361 70000000 .#..mcast_cap... + 6b680: 25f10223 00001404 0000271a 10414446 %..#......'..ADF + 6b690: 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N + 6b6a0: 4f4e4500 00104144 465f4e42 55465f52 ONE...ADF_NBUF_R + 6b6b0: 585f434b 53554d5f 48570001 10414446 X_CKSUM_HW...ADF + 6b6c0: 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U + 6b6d0: 4e4e4543 45535341 52590002 00066164 NNECESSARY....ad + 6b6e0: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 6b6f0: 74797065 5f740000 0026c312 08000027 type_t...&.....' + 6b700: 5a037265 73756c74 00000027 1a022300 Z.result...'..#. + 6b710: 0376616c 00000019 34022304 00120800 .val....4.#..... + 6b720: 00278a03 74797065 00000023 66022300 .'..type...#f.#. + 6b730: 036d7373 0000001f c3022304 03686472 .mss......#..hdr + 6b740: 5f6f6666 00000019 9b022306 00025f5f _off......#...__ + 6b750: 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. + 6b760: 000027c9 03686561 64000000 03350223 ..'..head....5.# + 6b770: 00037461 696c0000 00033502 23040371 ..tail....5.#..q + 6b780: 6c656e00 00001934 02230800 065f5f61 len....4.#...__a + 6b790: 64665f6e 6275665f 74000000 03350400 df_nbuf_t....5.. + 6b7a0: 0019aa04 00040000 19340400 09010a00 .........4...... + 6b7b0: 00021401 0a000019 34010a00 0019aa01 ........4....... + 6b7c0: 0a000019 aa010400 0001e504 00065f5f ..............__ + 6b7d0: 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t + 6b7e0: 00000027 8a065f5f 6164665f 6e627566 ...'..__adf_nbuf + 6b7f0: 5f717565 75655f74 00000028 0a040000 _queue_t...(.... + 6b800: 28220400 0a000027 c9010a00 0027c901 (".....'.....'.. + 6b810: 14040000 29421041 5f535441 5455535f ....)B.A_STATUS_ + 6b820: 4f4b0000 10415f53 54415455 535f4641 OK...A_STATUS_FA + 6b830: 494c4544 00011041 5f535441 5455535f ILED...A_STATUS_ + 6b840: 454e4f45 4e540002 10415f53 54415455 ENOENT...A_STATU + 6b850: 535f454e 4f4d454d 00031041 5f535441 S_ENOMEM...A_STA + 6b860: 5455535f 45494e56 414c0004 10415f53 TUS_EINVAL...A_S + 6b870: 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES + 6b880: 53000510 415f5354 41545553 5f454e4f S...A_STATUS_ENO + 6b890: 54535550 50000610 415f5354 41545553 TSUPP...A_STATUS + 6b8a0: 5f454255 53590007 10415f53 54415455 _EBUSY...A_STATU + 6b8b0: 535f4532 42494700 0810415f 53544154 S_E2BIG...A_STAT + 6b8c0: 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL + 6b8d0: 00091041 5f535441 5455535f 454e5849 ...A_STATUS_ENXI + 6b8e0: 4f000a10 415f5354 41545553 5f454641 O...A_STATUS_EFA + 6b8f0: 554c5400 0b10415f 53544154 55535f45 ULT...A_STATUS_E + 6b900: 494f000c 0006615f 73746174 75735f74 IO....a_status_t + 6b910: 00000028 4d0a0000 2942010a 000001f9 ...(M...)B...... + 6b920: 01090106 6164665f 6e627566 5f740000 ....adf_nbuf_t.. + 6b930: 0027c914 04000029 a7104144 465f4f53 .'.....)..ADF_OS + 6b940: 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. + 6b950: 10414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM + 6b960: 5f444556 49434500 01000661 64665f6f _DEVICE....adf_o + 6b970: 735f646d 615f6469 725f7400 00002970 s_dma_dir_t...)p + 6b980: 0a000029 42010901 06616466 5f6f735f ...)B....adf_os_ + 6b990: 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... + 6b9a0: 19510400 0029c504 00090109 010a0000 .Q...).......... + 6b9b0: 2960010a 000027c9 01090109 010a0000 )`....'......... + 6b9c0: 2960010a 000027c9 010a0000 2960010a )`....'.....)`.. + 6b9d0: 000027c9 010a0000 29600109 0109010a ..'.....)`...... + 6b9e0: 00001934 010a0000 19aa0109 0109010a ...4............ + 6b9f0: 00001e61 010a0000 1dae010a 00001dae ...a............ + 6ba00: 01066164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ + 6ba10: 74000000 19e90400 002a3e04 00090109 t........*>..... + 6ba20: 0109010a 000019aa 01066164 665f6e62 ..........adf_nb + 6ba30: 75665f71 75657565 5f740000 00282204 uf_queue_t...(". + 6ba40: 00002a66 04000901 04000028 0a040009 ..*f.......(.... + 6ba50: 01090109 010a0000 2960010a 000027c9 ........)`....'. + 6ba60: 010a0000 1934010a 00001934 010a0000 .....4.....4.... + 6ba70: 1dae010a 00001dae 010a0000 22ca010a ............"... + 6ba80: 00001934 01066164 665f6e62 75665f72 ...4..adf_nbuf_r + 6ba90: 785f636b 73756d5f 74000000 27380400 x_cksum_t...'8.. + 6baa0: 002ac204 00090109 01066164 665f6e62 .*........adf_nb + 6bab0: 75665f74 736f5f74 00000027 5a040000 uf_tso_t...'Z... + 6bac0: 2ae60400 09010901 06616466 5f6e6574 *........adf_net + 6bad0: 5f68616e 646c655f 74000000 023e0661 _handle_t....>.a + 6bae0: 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t + 6baf0: 00000020 d6040000 2b1b0400 0a000029 ... ....+......) + 6bb00: 42010a00 00294201 09010901 025f4849 B....)B......_HI + 6bb10: 465f434f 4e464947 00040000 2b6a0364 F_CONFIG....+j.d + 6bb20: 756d6d79 00000001 f9022300 00090104 ummy......#..... + 6bb30: 00002b6a 04000901 0400002b 73040002 ..+j.......+s... + 6bb40: 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... + 6bb50: 002bc803 73656e64 5f627566 5f646f6e .+..send_buf_don + 6bb60: 65000000 2b6c0223 00037265 63765f62 e...+l.#..recv_b + 6bb70: 75660000 002b7502 23040363 6f6e7465 uf...+u.#..conte + 6bb80: 78740000 00023e02 23080006 6869665f xt....>.#...hif_ + 6bb90: 68616e64 6c655f74 00000002 3e064849 handle_t....>.HI + 6bba0: 465f434f 4e464947 0000002b 49040000 F_CONFIG...+I... + 6bbb0: 2bda0400 0a00002b c8010400 002bf104 +......+.....+.. + 6bbc0: 00090104 00002bfe 04000648 49465f43 ......+....HIF_C + 6bbd0: 414c4c42 41434b00 00002b7c 0400002c ALLBACK...+|..., + 6bbe0: 07040009 01040000 2c200400 0a000001 ........, ...... + 6bbf0: f9010400 002c2904 00090104 00002c36 .....,).......,6 + 6bc00: 04000a00 0001f901 0400002c 3f040009 ...........,?... + 6bc10: 01040000 2c4c0400 0a000001 f9010400 ....,L.......... + 6bc20: 002c5504 00090104 00002c62 04000268 .,U.......,b...h + 6bc30: 69665f61 70690038 00002dbb 035f696e if_api.8..-.._in + 6bc40: 69740000 002bf702 2300035f 73687574 it...+..#.._shut + 6bc50: 646f776e 0000002c 00022304 035f7265 down...,..#.._re + 6bc60: 67697374 65725f63 616c6c62 61636b00 gister_callback. + 6bc70: 00002c22 02230803 5f676574 5f746f74 ..,".#.._get_tot + 6bc80: 616c5f63 72656469 745f636f 756e7400 al_credit_count. + 6bc90: 00002c2f 02230c03 5f737461 72740000 ..,/.#.._start.. + 6bca0: 002c0002 2310035f 636f6e66 69675f70 .,..#.._config_p + 6bcb0: 69706500 00002c38 02231403 5f73656e ipe...,8.#.._sen + 6bcc0: 645f6275 66666572 0000002c 45022318 d_buffer...,E.#. + 6bcd0: 035f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 6bce0: 66000000 2c4e0223 1c035f69 735f7069 f...,N.#.._is_pi + 6bcf0: 70655f73 7570706f 72746564 0000002c pe_supported..., + 6bd00: 5b022320 035f6765 745f6d61 785f6d73 [.# ._get_max_ms + 6bd10: 675f6c65 6e000000 2c5b0223 24035f67 g_len...,[.#$._g + 6bd20: 65745f72 65736572 7665645f 68656164 et_reserved_head + 6bd30: 726f6f6d 0000002c 2f022328 035f6973 room...,/.#(._is + 6bd40: 725f6861 6e646c65 72000000 2c000223 r_handler...,..# + 6bd50: 2c035f67 65745f64 65666175 6c745f70 ,._get_default_p + 6bd60: 69706500 00002c64 02233003 70526573 ipe...,d.#0.pRes + 6bd70: 65727665 64000000 023e0223 34000f64 erved....>.#4..d + 6bd80: 6d615f65 6e67696e 65000400 002e4410 ma_engine.....D. + 6bd90: 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. + 6bda0: 10444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. + 6bdb0: 0110444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 + 6bdc0: 00021044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 6bdd0: 33000310 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T + 6bde0: 58300004 10444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 6bdf0: 54583100 0510444d 415f454e 47494e45 TX1...DMA_ENGINE + 6be00: 5f4d4158 00060006 646d615f 656e6769 _MAX....dma_engi + 6be10: 6e655f74 0000002d bb0f646d 615f6966 ne_t...-..dma_if + 6be20: 74797065 00040000 2e911044 4d415f49 type.......DMA_I + 6be30: 465f474d 41430000 10444d41 5f49465f F_GMAC...DMA_IF_ + 6be40: 50434900 0110444d 415f4946 5f504349 PCI...DMA_IF_PCI + 6be50: 45000200 06646d61 5f696674 7970655f E....dma_iftype_ + 6be60: 74000000 2e560a00 0001d701 0400002e t....V.......... + 6be70: a3040009 01040000 2eb00400 09010400 ................ + 6be80: 002eb904 000a0000 0ed50104 00002ec2 ................ + 6be90: 04000a00 0001d701 0400002e cf04000a ................ + 6bea0: 000001d7 01040000 2edc0400 0a000003 ................ + 6beb0: 35010400 002ee904 00090104 00002ef6 5............... + 6bec0: 04000264 6d615f6c 69625f61 70690034 ...dma_lib_api.4 + 6bed0: 00002ffd 0374785f 696e6974 0000002e ../..tx_init.... + 6bee0: a9022300 0374785f 73746172 74000000 ..#..tx_start... + 6bef0: 2eb20223 04037278 5f696e69 74000000 ...#..rx_init... + 6bf00: 2ea90223 08037278 5f636f6e 66696700 ...#..rx_config. + 6bf10: 00002ebb 02230c03 72785f73 74617274 .....#..rx_start + 6bf20: 0000002e b2022310 03696e74 725f7374 ......#..intr_st + 6bf30: 61747573 0000002e c8022314 03686172 atus......#..har + 6bf40: 645f786d 69740000 002ed502 23180366 d_xmit......#..f + 6bf50: 6c757368 5f786d69 74000000 2eb20223 lush_xmit......# + 6bf60: 1c03786d 69745f64 6f6e6500 00002ee2 ..xmit_done..... + 6bf70: 02232003 72656170 5f786d69 74746564 .# .reap_xmitted + 6bf80: 0000002e ef022324 03726561 705f7265 ......#$.reap_re + 6bf90: 63760000 002eef02 23280372 65747572 cv......#(.retur + 6bfa0: 6e5f7265 63760000 002ef802 232c0372 n_recv......#,.r + 6bfb0: 6563765f 706b7400 00002ee2 02233000 ecv_pkt......#0. + 6bfc0: 025f5f70 63695f73 6f667463 000c0000 .__pci_softc.... + 6bfd0: 301b0373 77000000 2c070223 0000065f 0..sw...,..#..._ + 6bfe0: 5f706369 5f736f66 74635f74 0000002f _pci_softc_t.../ + 6bff0: fd040000 301b0400 09010400 00303504 ....0........05. + 6c000: 000a0000 01ad0104 0000303e 04000f68 ..........0>...h + 6c010: 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. + 6c020: 0000309e 10484946 5f504349 5f504950 ..0..HIF_PCI_PIP + 6c030: 455f5458 30000010 4849465f 5043495f E_TX0...HIF_PCI_ + 6c040: 50495045 5f545831 00011048 49465f50 PIPE_TX1...HIF_P + 6c050: 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. + 6c060: 00066869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 6c070: 785f7400 0000304b 0a00002e 44010400 x_t...0K....D... + 6c080: 0030b504 000f6869 665f7063 695f7069 .0....hif_pci_pi + 6c090: 70655f72 78000400 00313b10 4849465f pe_rx....1;.HIF_ + 6c0a0: 5043495f 50495045 5f525830 00001048 PCI_PIPE_RX0...H + 6c0b0: 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. + 6c0c0: 01104849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 6c0d0: 58320002 10484946 5f504349 5f504950 X2...HIF_PCI_PIP + 6c0e0: 455f5258 33000310 4849465f 5043495f E_RX3...HIF_PCI_ + 6c0f0: 50495045 5f52585f 4d415800 04000668 PIPE_RX_MAX....h + 6c100: 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t + 6c110: 00000030 c20a0000 2e440104 00003152 ...0.....D....1R + 6c120: 04000268 69665f70 63695f61 70690024 ...hif_pci_api.$ + 6c130: 00003230 03706369 5f626f6f 745f696e ..20.pci_boot_in + 6c140: 69740000 00070802 23000370 63695f69 it......#..pci_i + 6c150: 6e697400 00002bf7 02230403 7063695f nit...+..#..pci_ + 6c160: 72657365 74000000 07080223 08037063 reset......#..pc + 6c170: 695f656e 61626c65 00000007 0802230c i_enable......#. + 6c180: 03706369 5f726561 705f786d 69747465 .pci_reap_xmitte + 6c190: 64000000 30370223 10037063 695f7265 d...07.#..pci_re + 6c1a0: 61705f72 65637600 00003037 02231403 ap_recv...07.#.. + 6c1b0: 7063695f 6765745f 70697065 00000030 pci_get_pipe...0 + 6c1c0: 44022318 03706369 5f676574 5f74785f D.#..pci_get_tx_ + 6c1d0: 656e6700 000030bb 02231c03 7063695f eng...0..#..pci_ + 6c1e0: 6765745f 72785f65 6e670000 00315802 get_rx_eng...1X. + 6c1f0: 23200002 676d6163 5f617069 00040000 # ..gmac_api.... + 6c200: 32570367 6d61635f 626f6f74 5f696e69 2W.gmac_boot_ini + 6c210: 74000000 07080223 00000700 00019c06 t......#........ + 6c220: 00003264 08050002 5f5f6574 68686472 ..2d....__ethhdr + 6c230: 000e0000 329a0364 73740000 00325702 ....2..dst...2W. + 6c240: 23000373 72630000 00325702 23060365 #..src...2W.#..e + 6c250: 74797065 00000001 d702230c 00025f5f type......#...__ + 6c260: 61746868 64720004 000032e8 15726573 athhdr....2..res + 6c270: 00000001 ad010002 02230015 70726f74 .........#..prot + 6c280: 6f000000 01ad0102 06022300 03726573 o.........#..res + 6c290: 5f6c6f00 000001ad 02230103 7265735f _lo......#..res_ + 6c2a0: 68690000 0001d702 23020002 5f5f676d hi......#...__gm + 6c2b0: 61635f68 64720014 00003324 03657468 ac_hdr....3$.eth + 6c2c0: 00000032 64022300 03617468 00000032 ...2d.#..ath...2 + 6c2d0: 9a02230e 03616c69 676e5f70 61640000 ..#..align_pad.. + 6c2e0: 0001d702 23120006 5f5f676d 61635f68 ....#...__gmac_h + 6c2f0: 64725f74 00000032 e8025f5f 676d6163 dr_t...2..__gmac + 6c300: 5f736f66 74630024 0000336e 03686472 _softc.$..3n.hdr + 6c310: 00000033 24022300 03677261 6e000000 ...3$.#..gran... + 6c320: 01d70223 14037377 0000002c 07022318 ...#..sw...,..#. + 6c330: 000e5f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ + 6c340: 63686563 6b000001 04000033 6e04000a check......3n... + 6c350: 000001f9 01040000 338c0400 04000009 ........3....... + 6c360: c1040017 5f415f63 6d6e6f73 5f696e64 ...._A_cmnos_ind + 6c370: 69726563 74696f6e 5f746162 6c650001 irection_table.. + 6c380: b8000034 dc036861 6c5f6c69 6e6b6167 ...4..hal_linkag + 6c390: 655f6368 65636b00 00003392 02230003 e_check...3..#.. + 6c3a0: 73746172 745f6273 73000000 33990223 start_bss...3..# + 6c3b0: 04036170 705f7374 61727400 00000708 ..app_start..... + 6c3c0: 02230803 6d656d00 00000a01 02230c03 .#..mem......#.. + 6c3d0: 6d697363 0000000b 20022320 03707269 misc.... .# .pri + 6c3e0: 6e746600 00000735 02234403 75617274 ntf....5.#D.uart + 6c3f0: 00000007 d302234c 03676d61 63000000 ......#L.gmac... + 6c400: 32300223 6c037573 62000000 15460223 20.#l.usb....F.# + 6c410: 7003636c 6f636b00 0000108f 0323e001 p.clock......#.. + 6c420: 0374696d 65720000 000d3803 23840203 .timer....8.#... + 6c430: 696e7472 00000011 df032398 0203616c intr......#...al + 6c440: 6c6f6372 616d0000 000ef003 23c40203 locram......#... + 6c450: 726f6d70 0000000d e20323d0 02037764 romp......#...wd + 6c460: 745f7469 6d657200 000013bc 0323e002 t_timer......#.. + 6c470: 03656570 00000014 ea0323fc 02037374 .eep......#...st + 6c480: 72696e67 0000000c 4403238c 03037461 ring....D.#...ta + 6c490: 736b6c65 74000000 0fed0323 a4030002 sklet......#.... + 6c4a0: 5f555342 5f464946 4f5f434f 4e464947 _USB_FIFO_CONFIG + 6c4b0: 00100000 354f0367 65745f63 6f6d6d61 ....5O.get_comma + 6c4c0: 6e645f62 75660000 00034202 23000372 nd_buf....B.#..r + 6c4d0: 6563765f 636f6d6d 616e6400 00000358 ecv_command....X + 6c4e0: 02230403 6765745f 6576656e 745f6275 .#..get_event_bu + 6c4f0: 66000000 03420223 08037365 6e645f65 f....B.#..send_e + 6c500: 76656e74 5f646f6e 65000000 03580223 vent_done....X.# + 6c510: 0c000655 53425f46 49464f5f 434f4e46 ...USB_FIFO_CONF + 6c520: 49470000 0034dc04 0000354f 04000901 IG...4....5O.... + 6c530: 04000035 6b040002 75736266 69666f5f ...5k...usbfifo_ + 6c540: 61706900 0c000035 c1035f69 6e697400 api....5.._init. + 6c550: 0000356d 02230003 5f656e61 626c655f ..5m.#.._enable_ + 6c560: 6576656e 745f6973 72000000 07080223 event_isr......# + 6c570: 04037052 65736572 76656400 0000023e ..pReserved....> + 6c580: 02230800 07000019 9b020000 35ce0801 .#..........5... + 6c590: 00025f48 54435f46 52414d45 5f484452 .._HTC_FRAME_HDR + 6c5a0: 00080000 36400345 6e64706f 696e7449 ....6@.EndpointI + 6c5b0: 44000000 199b0223 0003466c 61677300 D......#..Flags. + 6c5c0: 0000199b 02230103 5061796c 6f61644c .....#..PayloadL + 6c5d0: 656e0000 001fc302 23020343 6f6e7472 en......#..Contr + 6c5e0: 6f6c4279 74657300 000035c1 02230403 olBytes...5..#.. + 6c5f0: 486f7374 5365714e 756d0000 001fc302 HostSeqNum...... + 6c600: 23060012 02000036 59034d65 73736167 #......6Y.Messag + 6c610: 65494400 00001fc3 02230000 12080000 eID......#...... + 6c620: 36bc034d 65737361 67654944 0000001f 6..MessageID.... + 6c630: c3022300 03437265 64697443 6f756e74 ..#..CreditCount + 6c640: 0000001f c3022302 03437265 64697453 ......#..CreditS + 6c650: 697a6500 00001fc3 02230403 4d617845 ize......#..MaxE + 6c660: 6e64706f 696e7473 00000019 9b022306 ndpoints......#. + 6c670: 035f5061 64310000 00199b02 23070012 ._Pad1......#... + 6c680: 0a000037 53034d65 73736167 65494400 ...7S.MessageID. + 6c690: 00001fc3 02230003 53657276 69636549 .....#..ServiceI + 6c6a0: 44000000 1fc30223 0203436f 6e6e6563 D......#..Connec + 6c6b0: 74696f6e 466c6167 73000000 1fc30223 tionFlags......# + 6c6c0: 0403446f 776e4c69 6e6b5069 70654944 ..DownLinkPipeID + 6c6d0: 00000019 9b022306 0355704c 696e6b50 ......#..UpLinkP + 6c6e0: 69706549 44000000 199b0223 07035365 ipeID......#..Se + 6c6f0: 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 6c700: 0000199b 02230803 5f506164 31000000 .....#.._Pad1... + 6c710: 199b0223 0900120a 000037db 034d6573 ...#......7..Mes + 6c720: 73616765 49440000 001fc302 23000353 sageID......#..S + 6c730: 65727669 63654944 0000001f c3022302 erviceID......#. + 6c740: 03537461 74757300 0000199b 02230403 .Status......#.. + 6c750: 456e6470 6f696e74 49440000 00199b02 EndpointID...... + 6c760: 2305034d 61784d73 6753697a 65000000 #..MaxMsgSize... + 6c770: 1fc30223 06035365 72766963 654d6574 ...#..ServiceMet + 6c780: 614c656e 67746800 0000199b 02230803 aLength......#.. + 6c790: 5f506164 31000000 199b0223 09001202 _Pad1......#.... + 6c7a0: 000037f4 034d6573 73616765 49440000 ..7..MessageID.. + 6c7b0: 001fc302 23000012 04000038 30034d65 ....#......80.Me + 6c7c0: 73736167 65494400 00001fc3 02230003 ssageID......#.. + 6c7d0: 50697065 49440000 00199b02 23020343 PipeID......#..C + 6c7e0: 72656469 74436f75 6e740000 00199b02 reditCount...... + 6c7f0: 23030012 04000038 67034d65 73736167 #......8g.Messag + 6c800: 65494400 00001fc3 02230003 50697065 eID......#..Pipe + 6c810: 49440000 00199b02 23020353 74617475 ID......#..Statu + 6c820: 73000000 199b0223 03001202 0000388e s......#......8. + 6c830: 03526563 6f726449 44000000 199b0223 .RecordID......# + 6c840: 00034c65 6e677468 00000019 9b022301 ..Length......#. + 6c850: 00120200 0038b803 456e6470 6f696e74 .....8..Endpoint + 6c860: 49440000 00199b02 23000343 72656469 ID......#..Credi + 6c870: 74730000 00199b02 23010012 04000038 ts......#......8 + 6c880: f903456e 64706f69 6e744944 00000019 ..EndpointID.... + 6c890: 9b022300 03437265 64697473 00000019 ..#..Credits.... + 6c8a0: 9b022301 03546774 43726564 69745365 ..#..TgtCreditSe + 6c8b0: 714e6f00 00001fc3 02230200 07000019 qNo......#...... + 6c8c0: 9b040000 39060803 00120600 00394203 ....9........9B. + 6c8d0: 50726556 616c6964 00000019 9b022300 PreValid......#. + 6c8e0: 034c6f6f 6b416865 61640000 0038f902 .LookAhead...8.. + 6c8f0: 23010350 6f737456 616c6964 00000019 #..PostValid.... + 6c900: 9b022305 0006706f 6f6c5f68 616e646c ..#...pool_handl + 6c910: 655f7400 0000023e 0a000039 42010400 e_t....>...9B... + 6c920: 00395504 00090104 00003962 04001404 .9U.......9b.... + 6c930: 000039e0 10504f4f 4c5f4944 5f485443 ..9..POOL_ID_HTC + 6c940: 5f434f4e 54524f4c 00001050 4f4f4c5f _CONTROL...POOL_ + 6c950: 49445f57 4d495f53 56435f43 4d445f52 ID_WMI_SVC_CMD_R + 6c960: 45504c59 00011050 4f4f4c5f 49445f57 EPLY...POOL_ID_W + 6c970: 4d495f53 56435f45 56454e54 00021050 MI_SVC_EVENT...P + 6c980: 4f4f4c5f 49445f57 4c414e5f 52585f42 OOL_ID_WLAN_RX_B + 6c990: 55460003 10504f4f 4c5f4944 5f4d4158 UF...POOL_ID_MAX + 6c9a0: 000a0006 4255465f 504f4f4c 5f494400 ....BUF_POOL_ID. + 6c9b0: 0000396b 09010400 0039f104 000a0000 ..9k.....9...... + 6c9c0: 29600104 000039fa 04000a00 00296001 )`....9......)`. + 6c9d0: 0400003a 07040009 01040000 3a140400 ...:........:... + 6c9e0: 02627566 5f706f6f 6c5f6170 69001c00 .buf_pool_api... + 6c9f0: 003ab603 5f696e69 74000000 395b0223 .:.._init...9[.# + 6ca00: 00035f73 68757464 6f776e00 00003964 .._shutdown...9d + 6ca10: 02230403 5f637265 6174655f 706f6f6c .#.._create_pool + 6ca20: 00000039 f3022308 035f616c 6c6f635f ...9..#.._alloc_ + 6ca30: 62756600 00003a00 02230c03 5f616c6c buf...:..#.._all + 6ca40: 6f635f62 75665f61 6c69676e 0000003a oc_buf_align...: + 6ca50: 0d022310 035f6672 65655f62 75660000 ..#.._free_buf.. + 6ca60: 003a1602 23140370 52657365 72766564 .:..#..pReserved + 6ca70: 00000002 3e022318 00025f48 54435f53 ....>.#..._HTC_S + 6ca80: 45525649 4345001c 00003b95 03704e65 ERVICE....;..pNe + 6ca90: 78740000 003b9502 23000350 726f6365 xt...;..#..Proce + 6caa0: 73735265 63764d73 67000000 3c4a0223 ssRecvMsg....#.....:.... + 6cb60: 0400003c 3319454e 44504f49 4e545f55 ...<3.ENDPOINT_U + 6cb70: 4e555345 4400ffff ffff1045 4e44504f NUSED......ENDPO + 6cb80: 494e5430 00001045 4e44504f 494e5431 INT0...ENDPOINT1 + 6cb90: 00011045 4e44504f 494e5432 00021045 ...ENDPOINT2...E + 6cba0: 4e44504f 494e5433 00031045 4e44504f NDPOINT3...ENDPO + 6cbb0: 494e5434 00041045 4e44504f 494e5435 INT4...ENDPOINT5 + 6cbc0: 00051045 4e44504f 494e5436 00061045 ...ENDPOINT6...E + 6cbd0: 4e44504f 494e5437 00071045 4e44504f NDPOINT7...ENDPO + 6cbe0: 494e5438 00081045 4e44504f 494e545f INT8...ENDPOINT_ + 6cbf0: 4d415800 16000648 54435f45 4e44504f MAX....HTC_ENDPO + 6cc00: 494e545f 49440000 003b9c09 01040000 INT_ID...;...... + 6cc10: 3c480400 09010400 003c5104 00040000 .#0.. + 6cef0: 686f7374 5f617070 5f617265 615f7300 host_app_area_s. + 6cf00: 0400003f 5c03776d 695f7072 6f746f63 ...?\.wmi_protoc + 6cf10: 6f6c5f76 65720000 00193402 23000012 ol_ver....4.#... + 6cf20: 0e00003f 93036473 744d6163 0000001f ...?..dstMac.... + 6cf30: 80022300 03737263 4d616300 00001f80 ..#..srcMac..... + 6cf40: 02230603 74797065 4f724c65 6e000000 .#..typeOrLen... + 6cf50: 1fc30223 0c000700 00199b03 00003fa0 ...#..........?. + 6cf60: 08020012 0800003f f0036473 61700000 .......?..dsap.. + 6cf70: 00199b02 23000373 73617000 0000199b ....#..ssap..... + 6cf80: 02230103 636e746c 00000019 9b022302 .#..cntl......#. + 6cf90: 036f7267 436f6465 0000003f 93022303 .orgCode...?..#. + 6cfa0: 03657468 65725479 70650000 001fc302 .etherType...... + 6cfb0: 23060012 02000040 11037273 73690000 #......@..rssi.. + 6cfc0: 001f1902 23000369 6e666f00 0000199b ....#..info..... + 6cfd0: 02230100 12040000 40380363 6f6d6d61 .#......@8.comma + 6cfe0: 6e644964 0000001f c3022300 03736571 ndId......#..seq + 6cff0: 4e6f0000 001fc302 23020007 0000199b No......#....... + 6d000: 01000040 45080000 12020000 406c036d ...@E.......@l.m + 6d010: 73675369 7a650000 00199b02 2300036d sgSize......#..m + 6d020: 73674461 74610000 00403802 23010012 sgData...@8.#... + 6d030: 08000040 b3036164 64726573 734c0000 ...@..addressL.. + 6d040: 001fc302 23000361 64647265 73734800 ....#..addressH. + 6d050: 00001fc3 02230203 76616c75 654c0000 .....#..valueL.. + 6d060: 001fc302 23040376 616c7565 48000000 ....#..valueH... + 6d070: 1fc30223 06000657 4d495f41 56540000 ...#...WMI_AVT.. + 6d080: 00406c07 000040b3 08000040 cd080000 .@l...@....@.... + 6d090: 120c0000 41040374 75706c65 4e756d4c ....A..tupleNumL + 6d0a0: 0000001f c3022300 03747570 6c654e75 ......#..tupleNu + 6d0b0: 6d480000 001fc302 23020361 76740000 mH......#..avt.. + 6d0c0: 0040c002 23040012 01000041 26036265 .@..#......A&.be + 6d0d0: 61636f6e 50656e64 696e6743 6f756e74 aconPendingCount + 6d0e0: 00000019 9b022300 00025f57 4d495f53 ......#..._WMI_S + 6d0f0: 56435f43 4f4e4649 47001000 00418f03 VC_CONFIG....A.. + 6d100: 48746348 616e646c 65000000 3d220223 HtcHandle...=".# + 6d110: 0003506f 6f6c4861 6e646c65 00000039 ..PoolHandle...9 + 6d120: 42022304 034d6178 436d6452 65706c79 B.#..MaxCmdReply + 6d130: 45767473 00000001 f9022308 034d6178 Evts......#..Max + 6d140: 4576656e 74457674 73000000 01f90223 EventEvts......# + 6d150: 0c000901 04000041 8f040006 574d495f .......A....WMI_ + 6d160: 434d445f 48414e44 4c455200 00004191 CMD_HANDLER...A. + 6d170: 025f574d 495f4449 53504154 43485f45 ._WMI_DISPATCH_E + 6d180: 4e545259 00080000 41f80370 436d6448 NTRY....A..pCmdH + 6d190: 616e646c 65720000 00419802 23000343 andler...A..#..C + 6d1a0: 6d644944 00000001 d7022304 03466c61 mdID......#..Fla + 6d1b0: 67730000 0001d702 23060002 5f574d49 gs......#..._WMI + 6d1c0: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 6d1d0: 10000042 5903704e 65787400 00004259 ...BY.pNext...BY + 6d1e0: 02230003 70436f6e 74657874 00000002 .#..pContext.... + 6d1f0: 3e022304 034e756d 6265724f 66456e74 >.#..NumberOfEnt + 6d200: 72696573 00000001 f9022308 03705461 ries......#..pTa + 6d210: 626c6500 00004278 02230c00 04000041 ble...Bx.#.....A + 6d220: f8040006 574d495f 44495350 41544348 ....WMI_DISPATCH + 6d230: 5f454e54 52590000 0041ad04 00004260 _ENTRY...A....B` + 6d240: 04000400 0041f804 00064854 435f4255 .....A....HTC_BU + 6d250: 465f434f 4e544558 54000000 3ce60f57 F_CONTEXT...<..W + 6d260: 4d495f45 56545f43 4c415353 00040000 MI_EVT_CLASS.... + 6d270: 43101957 4d495f45 56545f43 4c415353 C..WMI_EVT_CLASS + 6d280: 5f4e4f4e 4500ffff ffff1057 4d495f45 _NONE......WMI_E + 6d290: 56545f43 4c415353 5f434d44 5f455645 VT_CLASS_CMD_EVE + 6d2a0: 4e540000 10574d49 5f455654 5f434c41 NT...WMI_EVT_CLA + 6d2b0: 53535f43 4d445f52 45504c59 00011057 SS_CMD_REPLY...W + 6d2c0: 4d495f45 56545f43 4c415353 5f4d4158 MI_EVT_CLASS_MAX + 6d2d0: 00020006 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 6d2e0: 53000000 429b025f 574d495f 4255465f S...B.._WMI_BUF_ + 6d2f0: 434f4e54 45585400 0c000043 6e034874 CONTEXT....Cn.Ht + 6d300: 63427566 43747800 00004286 02230003 cBufCtx...B..#.. + 6d310: 4576656e 74436c61 73730000 00431002 EventClass...C.. + 6d320: 23040346 6c616773 00000001 d7022308 #..Flags......#. + 6d330: 0006776d 695f6861 6e646c65 5f740000 ..wmi_handle_t.. + 6d340: 00023e06 574d495f 5356435f 434f4e46 ..>.WMI_SVC_CONF + 6d350: 49470000 00412604 00004380 04000a00 IG...A&...C..... + 6d360: 00436e01 04000043 9b040006 574d495f .Cn....C....WMI_ + 6d370: 44495350 41544348 5f544142 4c450000 DISPATCH_TABLE.. + 6d380: 0041f804 000043a8 04000901 04000043 .A....C........C + 6d390: c704000a 00002960 01040000 43d00400 ......)`....C... + 6d3a0: 09010400 0043dd04 000a0000 01f90104 .....C.......... + 6d3b0: 000043e6 04000901 04000043 f304000a ..C........C.... + 6d3c0: 000001ad 01040000 43fc0400 025f776d ........C...._wm + 6d3d0: 695f7376 635f6170 6973002c 00004544 i_svc_apis.,..ED + 6d3e0: 035f574d 495f496e 69740000 0043a102 ._WMI_Init...C.. + 6d3f0: 2300035f 574d495f 52656769 73746572 #.._WMI_Register + 6d400: 44697370 61746368 5461626c 65000000 DispatchTable... + 6d410: 43c90223 04035f57 4d495f41 6c6c6f63 C..#.._WMI_Alloc + 6d420: 4576656e 74000000 43d60223 08035f57 Event...C..#.._W + 6d430: 4d495f53 656e6445 76656e74 00000043 MI_SendEvent...C + 6d440: df02230c 035f574d 495f4765 7450656e ..#.._WMI_GetPen + 6d450: 64696e67 4576656e 7473436f 756e7400 dingEventsCount. + 6d460: 000043ec 02231003 5f574d49 5f53656e ..C..#.._WMI_Sen + 6d470: 64436f6d 706c6574 6548616e 646c6572 dCompleteHandler + 6d480: 0000003c 53022314 035f574d 495f4765 ....#(.._A_cmnos + 6d510: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 6d520: 6c655f74 00000033 a006574d 495f5356 le_t...3..WMI_SV + 6d530: 435f4150 49530000 00440917 5f415f6d C_APIS...D.._A_m + 6d540: 61677069 655f696e 64697265 6374696f agpie_indirectio + 6d550: 6e5f7461 626c6500 034c0000 46720363 n_table..L..Fr.c + 6d560: 6d6e6f73 00000045 44022300 03646267 mnos...ED.#..dbg + 6d570: 00000009 8e0323b8 03036869 66000000 ......#...hif... + 6d580: 2c6b0323 c0030368 74630000 003dbc03 ,k.#...htc...=.. + 6d590: 23f80303 776d695f 7376635f 61706900 #...wmi_svc_api. + 6d5a0: 00004566 0323ac04 03757362 6669666f ..Ef.#...usbfifo + 6d5b0: 5f617069 00000035 740323d8 04036275 _api...5t.#...bu + 6d5c0: 665f706f 6f6c0000 003a1d03 23e40403 f_pool...:..#... + 6d5d0: 76627566 00000003 5f032380 05037664 vbuf...._.#...vd + 6d5e0: 65736300 00000241 03239405 03616c6c esc....A.#...all + 6d5f0: 6f637261 6d000000 0ef00323 a8050364 ocram......#...d + 6d600: 6d615f65 6e67696e 65000000 05990323 ma_engine......# + 6d610: b4050364 6d615f6c 69620000 002eff03 ...dma_lib...... + 6d620: 23f40503 6869665f 70636900 0000315f #...hif_pci...1_ + 6d630: 0323a806 000a0000 023e0106 5f415f6d .#.......>.._A_m + 6d640: 61677069 655f696e 64697265 6374696f agpie_indirectio + 6d650: 6e5f7461 626c655f 74000000 45780901 n_table_t...Ex.. + 6d660: 09010901 0a000001 f9010901 09010700 ................ + 6d670: 00070f0b 000046b8 080a0004 000046ab ......F.......F. + 6d680: 04000700 00070f0d 000046cc 080c0004 ..........F..... + 6d690: 000046bf 04000700 00070f06 000046e0 ..F...........F. + 6d6a0: 08050004 000046d3 04000700 00070f03 ......F......... + 6d6b0: 000046f4 08020004 000046e7 04000400 ..F.......F..... + 6d6c0: 00059904 00090104 0000050f 04001a01 ................ + 6d6d0: 325f444d 41656e67 696e655f 696e6974 2_DMAengine_init + 6d6e0: 00010392 01200290 00008e59 1c008e59 ..... .....Y...Y + 6d6f0: 211b0137 5f444d41 656e6769 6e655f69 !..7_DMAengine_i + 6d700: 6e69745f 72785f71 75657565 00010101 nit_rx_queue.... + 6d710: 03920120 02900000 8e592400 8e596400 ... .....Y$..Yd. + 6d720: 0047831c 01377100 00000518 01521d64 .G...7q......R.d + 6d730: 65736300 00000214 1d757362 44657363 esc......usbDesc + 6d740: 00000004 72001e01 455f444d 41656e67 ....r...E_DMAeng + 6d750: 696e655f 696e6974 5f74785f 71756575 ine_init_tx_queu + 6d760: 65000101 03920120 02900000 8e596400 e...... .....Yd. + 6d770: 8e597400 0047c01c 01457100 00000528 .Yt..G...Eq....( + 6d780: 0152001f 014e7377 61704461 74610001 .R...NswapData.. + 6d790: 03920120 02900000 8e597400 8e59bf00 ... .....Yt..Y.. + 6d7a0: 00481a1c 014e7573 62446573 63000000 .H...NusbDesc... + 6d7b0: 04720152 1d6c656e 00000001 f91d6461 .r.R.len......da + 6d7c0: 74614164 64720000 0018151d 69000000 taAddr......i... + 6d7d0: 01f91d64 61746100 00000ed5 001e0162 ...data........b + 6d7e0: 5f444d41 656e6769 6e655f72 65747572 _DMAengine_retur + 6d7f0: 6e5f7265 63765f62 75660001 01039201 n_recv_buf...... + 6d800: 20029000 008e59c0 008e59d7 00004866 .....Y...Y...Hf + 6d810: 1c016271 00000005 1801521c 01626275 ..bq......R..bbu + 6d820: 66000000 03350153 0020016b 636f6e66 f....5.S. .kconf + 6d830: 69675f71 75657565 00010103 92012002 ig_queue...... . + 6d840: 9000008e 59d8008e 5a500000 48e61c01 ....Y...ZP..H... + 6d850: 6b710000 00051801 521c016b 64657363 kq......R..kdesc + 6d860: 5f6c6973 74000000 02140153 1d707265 _list......S.pre + 6d870: 76557362 44657363 00000004 721d7468 vUsbDesc....r.th + 6d880: 65446573 63000000 02141d75 73624465 eDesc......usbDe + 6d890: 73630000 0004721d 68656164 55736244 sc....r.headUsbD + 6d8a0: 65736300 00000472 001b018f 5f444d41 esc....r...._DMA + 6d8b0: 656e6769 6e655f63 6f6e6669 675f7278 engine_config_rx + 6d8c0: 5f717565 75650001 01010392 01300290 _queue.......0.. + 6d8d0: 00008e5a 50008e5a a7000049 651c018f ...ZP..Z...Ie... + 6d8e0: 71000000 05180152 1c018f6e 756d5f64 q......R...num_d + 6d8f0: 65736300 000001f9 01531c01 8f627566 esc......S...buf + 6d900: 5f73697a 65000000 01f90154 1d686561 _size......T.hea + 6d910: 64000000 02141d69 00000001 f91d6465 d......i......de + 6d920: 73630000 00021400 1b01b05f 444d4165 sc........._DMAe + 6d930: 6e67696e 655f786d 69745f62 75660001 ngine_xmit_buf.. + 6d940: 01010392 01200290 00008e5a a8008e5b ..... .....Z...[ + 6d950: 66000049 e91c01b0 71000000 05280152 f..I....q....(.R + 6d960: 1c01b062 75660000 00033501 531d7072 ...buf....5.S.pr + 6d970: 65765573 62446573 63000000 04721d63 evUsbDesc....r.c + 6d980: 75727256 64657363 00000002 141d7573 urrVdesc......us + 6d990: 62446573 63000000 04721d68 65616455 bDesc....r.headU + 6d9a0: 73624465 73630000 00047200 1e01ea5f sbDesc....r...._ + 6d9b0: 444d4165 6e67696e 655f666c 7573685f DMAengine_flush_ + 6d9c0: 786d6974 00010103 92012002 9000008e xmit...... ..... + 6d9d0: 5b68008e 5b6d0000 4a231c01 ea710000 [h..[m..J#...q.. + 6d9e0: 00051801 52002101 ee5f444d 41656e67 ....R.!.._DMAeng + 6d9f0: 696e655f 6861735f 636f6d70 6c5f7061 ine_has_compl_pa + 6da00: 636b6574 73000000 01f90101 03920120 ckets.......... + 6da10: 02900000 8e5b7000 8e5b8e00 004a7c1c .....[p..[...J|. + 6da20: 01ee7100 00000518 01521d68 61735f63 ..q......R.has_c + 6da30: 6f6d706c 5f706b74 73000000 01f90021 ompl_pkts......! + 6da40: 01fa5f44 4d41656e 67696e65 5f726561 .._DMAengine_rea + 6da50: 705f7265 63765f62 75660000 00033501 p_recv_buf....5. + 6da60: 01039201 20029000 008e5b90 008e5bbf .... .....[...[. + 6da70: 00004ad0 1c01fa71 00000005 1801521d ..J....q......R. + 6da80: 64657363 00000004 721d6275 66000000 desc....r.buf... + 6da90: 03350022 0101115f 444d4165 6e67696e .5."..._DMAengin + 6daa0: 655f7265 61705f78 6d697465 645f6275 e_reap_xmited_bu + 6dab0: 66000000 03350101 03920120 02900000 f....5..... .... + 6dac0: 8e5bc000 8e5bf600 004b2c23 01011171 .[...[...K,#...q + 6dad0: 00000005 2801521d 64657363 00000004 ....(.R.desc.... + 6dae0: 721d7365 6e744275 66000000 03350024 r.sentBuf....5.$ + 6daf0: 01012a5f 444d4165 6e67696e 655f6465 ..*_DMAengine_de + 6db00: 73635f64 756d7000 01010392 01200290 sc_dump...... .. + 6db10: 00008e5b f8008e5c 5600004b 7b230101 ...[...\V..K{#.. + 6db20: 2a710000 00051801 521d6900 0000045d *q......R.i....] + 6db30: 1d746d70 44657363 00000004 72002401 .tmpDesc....r.$. + 6db40: 014e646d 615f656e 67696e65 5f6d6f64 .Ndma_engine_mod + 6db50: 756c655f 696e7374 616c6c00 01010392 ule_install..... + 6db60: 01200290 00008e5c 58008e5c a800004b . .....\X..\...K + 6db70: be230101 4e617069 73000000 46fb0152 .#..Napis...F..R + 6db80: 00250101 6572656c 696e6b55 53424465 .%..erelinkUSBDe + 6db90: 7363546f 56646573 63000103 92012002 scToVdesc..... . + 6dba0: 9000008e 5ca8008e 5cef2301 01656275 ....\...\.#..ebu + 6dbb0: 66000000 03350152 23010165 64657363 f....5.R#..edesc + 6dbc0: 00000004 7201531d 70726576 56646573 ....r.S.prevVdes + 6dbd0: 63000000 02141d63 75727244 65736300 c......currDesc. + 6dbe0: 00000472 1d766465 73630000 00021400 ...r.vdesc...... + 6dbf0: 00000000 50a40002 0000281b 04012f72 ....P.....(.../r + 6dc00: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 6dc10: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 6dc20: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 6dc30: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 6dc40: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 6dc50: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 6dc60: 656e6769 6e652f73 72632f64 6573632e engine/src/desc. + 6dc70: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 6dc80: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 6dc90: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 6dca0: 2f726f6d 2f646d61 5f656e67 696e6500 /rom/dma_engine. + 6dcb0: 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 + 6dcc0: 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst + 6dcd0: 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 + 6dce0: 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. + 6dcf0: 0000018a f602756e 7369676e 65642069 ......unsigned i + 6dd00: 6e740007 04037769 6e745f74 00000001 nt....wint_t.... + 6dd10: 0302756e 7369676e 65642063 68617200 ..unsigned char. + 6dd20: 07010400 00011f04 0000013d 05030006 ...........=.... + 6dd30: 04000001 61075f5f 77636800 00000113 ....a.__wch..... + 6dd40: 02230007 5f5f7763 68620000 00013002 .#..__wchb....0. + 6dd50: 23000002 696e7400 05040808 0000018f #...int......... + 6dd60: 075f5f63 6f756e74 00000001 61022300 .__count....a.#. + 6dd70: 075f5f76 616c7565 00000001 3d022304 .__value....=.#. + 6dd80: 00095f42 6967696e 74001800 0001ed07 .._Bigint....... + 6dd90: 5f6e6578 74000000 01ed0223 00075f6b _next......#.._k + 6dda0: 00000001 61022304 075f6d61 78776473 ....a.#.._maxwds + 6ddb0: 00000001 61022308 075f7369 676e0000 ....a.#.._sign.. + 6ddc0: 00016102 230c075f 77647300 00000161 ..a.#.._wds....a + 6ddd0: 02231007 5f780000 00021602 2314000a .#.._x......#... + 6dde0: 0000018f 0400026c 6f6e6720 756e7369 .......long unsi + 6ddf0: 676e6564 20696e74 00070403 5f5f554c gned int....__UL + 6de00: 6f6e6700 000001f4 04000002 09040000 ong............. + 6de10: 02230500 000a0000 018f0400 095f5f74 .#...........__t + 6de20: 6d002400 0002d607 5f5f746d 5f736563 m.$.....__tm_sec + 6de30: 00000001 61022300 075f5f74 6d5f6d69 ....a.#..__tm_mi + 6de40: 6e000000 01610223 04075f5f 746d5f68 n....a.#..__tm_h + 6de50: 6f757200 00000161 02230807 5f5f746d our....a.#..__tm + 6de60: 5f6d6461 79000000 01610223 0c075f5f _mday....a.#..__ + 6de70: 746d5f6d 6f6e0000 00016102 2310075f tm_mon....a.#.._ + 6de80: 5f746d5f 79656172 00000001 61022314 _tm_year....a.#. + 6de90: 075f5f74 6d5f7764 61790000 00016102 .__tm_wday....a. + 6dea0: 2318075f 5f746d5f 79646179 00000001 #..__tm_yday.... + 6deb0: 6102231c 075f5f74 6d5f6973 64737400 a.#..__tm_isdst. + 6dec0: 00000161 02232000 0b040004 000002d6 ...a.# ......... + 6ded0: 80000002 e6051f00 0c5f6f6e 5f657869 ........._on_exi + 6dee0: 745f6172 67730001 08000003 44075f66 t_args......D._f + 6def0: 6e617267 73000000 02d90223 00075f64 nargs......#.._d + 6df00: 736f5f68 616e646c 65000000 02d90323 so_handle......# + 6df10: 8001075f 666e7479 70657300 00000209 ..._fntypes..... + 6df20: 03238002 075f6973 5f637861 00000002 .#..._is_cxa.... + 6df30: 09032384 02000c5f 61746578 69740001 ..#...._atexit.. + 6df40: 90000003 93075f6e 65787400 00000393 ......_next..... + 6df50: 02230007 5f696e64 00000001 61022304 .#.._ind....a.#. + 6df60: 075f666e 73000000 03a30223 08075f6f ._fns......#.._o + 6df70: 6e5f6578 69745f61 72677300 000002e6 n_exit_args..... + 6df80: 03238801 000a0000 03440400 0d010a00 .#.......D...... + 6df90: 00039a04 00040000 039c8000 0003b005 ................ + 6dfa0: 1f000a00 00034404 000a0000 011f0400 ......D......... + 6dfb0: 095f5f73 62756600 08000003 e8075f62 .__sbuf......._b + 6dfc0: 61736500 000003b7 02230007 5f73697a ase......#.._siz + 6dfd0: 65000000 01610223 04000273 686f7274 e....a.#...short + 6dfe0: 20696e74 00050202 63686172 0007010a int....char.... + 6dff0: 000003f5 04000e00 00016101 0a000004 ..........a..... + 6e000: 0404000f 000003f5 0f000003 f50a0000 ................ + 6e010: 04160400 0e000001 61010a00 00042204 ........a.....". + 6e020: 00026c6f 6e672069 6e740005 04035f66 ..long int...._f + 6e030: 706f735f 74000000 042f0e00 00043b01 pos_t..../....;. + 6e040: 0a000004 4804000e 00000161 010a0000 ....H......a.... + 6e050: 04550400 04000001 1f030000 046f0502 .U...........o.. + 6e060: 00040000 011f0100 00047c05 00000c5f ..........|...._ + 6e070: 7265656e 74000400 00000603 075f6572 reent........_er + 6e080: 726e6f00 00000161 02230007 5f737464 rno....a.#.._std + 6e090: 696e0000 0007b702 2304075f 7374646f in......#.._stdo + 6e0a0: 75740000 0007b702 2308075f 73746465 ut......#.._stde + 6e0b0: 72720000 0007b702 230c075f 696e6300 rr......#.._inc. + 6e0c0: 00000161 02231007 5f656d65 7267656e ...a.#.._emergen + 6e0d0: 63790000 000a6602 2314075f 63757272 cy....f.#.._curr + 6e0e0: 656e745f 63617465 676f7279 00000001 ent_category.... + 6e0f0: 61022330 075f6375 7272656e 745f6c6f a.#0._current_lo + 6e100: 63616c65 00000004 1b022334 075f5f73 cale......#4.__s + 6e110: 64696469 6e697400 00000161 02233807 didinit....a.#8. + 6e120: 5f5f636c 65616e75 70000000 0a750223 __cleanup....u.# + 6e130: 3c075f72 6573756c 74000000 02230223 <._result....#.# + 6e140: 40075f72 6573756c 745f6b00 00000161 @._result_k....a + 6e150: 02234407 5f703573 00000002 23022348 .#D._p5s....#.#H + 6e160: 075f6672 65656c69 73740000 000a7c02 ._freelist....|. + 6e170: 234c075f 6376746c 656e0000 00016102 #L._cvtlen....a. + 6e180: 2350075f 63767462 75660000 0003fd02 #P._cvtbuf...... + 6e190: 2354075f 6e657700 00000a40 02235807 #T._new....@.#X. + 6e1a0: 5f617465 78697400 000003b0 0323c802 _atexit......#.. + 6e1b0: 075f6174 65786974 30000000 03440323 ._atexit0....D.# + 6e1c0: cc02075f 7369675f 66756e63 0000000a ..._sig_func.... + 6e1d0: 8c0323dc 05075f5f 73676c75 65000000 ..#...__sglue... + 6e1e0: 076c0323 e005075f 5f736600 00000a93 .l.#...__sf..... + 6e1f0: 0323ec05 000a0000 047c0400 035f4c4f .#.......|..._LO + 6e200: 434b5f52 45435552 53495645 5f540000 CK_RECURSIVE_T.. + 6e210: 00016103 5f666c6f 636b5f74 00000006 ..a._flock_t.... + 6e220: 0a095f5f 7346494c 45005c00 00076c07 ..__sFILE.\...l. + 6e230: 5f700000 0003b702 2300075f 72000000 _p......#.._r... + 6e240: 01610223 04075f77 00000001 61022308 .a.#.._w....a.#. + 6e250: 075f666c 61677300 000003e8 02230c07 ._flags......#.. + 6e260: 5f66696c 65000000 03e80223 0e075f62 _file......#.._b + 6e270: 66000000 03be0223 10075f6c 62667369 f......#.._lbfsi + 6e280: 7a650000 00016102 2318075f 636f6f6b ze....a.#.._cook + 6e290: 69650000 0002d602 231c075f 72656164 ie......#.._read + 6e2a0: 00000004 0a022320 075f7772 69746500 ......# ._write. + 6e2b0: 00000428 02232407 5f736565 6b000000 ...(.#$._seek... + 6e2c0: 044e0223 28075f63 6c6f7365 00000004 .N.#(._close.... + 6e2d0: 5b02232c 075f7562 00000003 be022330 [.#,._ub......#0 + 6e2e0: 075f7570 00000003 b7022338 075f7572 ._up......#8._ur + 6e2f0: 00000001 6102233c 075f7562 75660000 ....a.#<._ubuf.. + 6e300: 00046202 2340075f 6e627566 00000004 ..b.#@._nbuf.... + 6e310: 6f022343 075f6c62 00000003 be022344 o.#C._lb......#D + 6e320: 075f626c 6b73697a 65000000 01610223 ._blksize....a.# + 6e330: 4c075f6f 66667365 74000000 01610223 L._offset....a.# + 6e340: 50075f64 61746100 00000603 02235407 P._data......#T. + 6e350: 5f6c6f63 6b000000 06210223 5800095f _lock....!.#X.._ + 6e360: 676c7565 000c0000 07a4075f 6e657874 glue......._next + 6e370: 00000007 a4022300 075f6e69 6f627300 ......#.._niobs. + 6e380: 00000161 02230407 5f696f62 73000000 ...a.#.._iobs... + 6e390: 07b70223 08000a00 00076c04 00035f5f ...#......l...__ + 6e3a0: 46494c45 00000006 2f0a0000 07ab0400 FILE..../....... + 6e3b0: 0a000007 6c040002 73686f72 7420756e ....l...short un + 6e3c0: 7369676e 65642069 6e740007 02040000 signed int...... + 6e3d0: 07c50600 0007e805 0200095f 72616e64 ..........._rand + 6e3e0: 3438000e 00000820 075f7365 65640000 48..... ._seed.. + 6e3f0: 0007db02 2300075f 6d756c74 00000007 ....#.._mult.... + 6e400: db022306 075f6164 64000000 07c50223 ..#.._add......# + 6e410: 0c000400 0003f51a 0000082d 05190002 ...........-.... + 6e420: 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign + 6e430: 65642069 6e740007 08035f6d 62737461 ed int...._mbsta + 6e440: 74655f74 00000001 68040000 03f50800 te_t....h....... + 6e450: 00086405 07000400 0003f518 00000871 ..d............q + 6e460: 05170008 d0000009 ff075f75 6e757365 .........._unuse + 6e470: 645f7261 6e640000 00010302 2300075f d_rand......#.._ + 6e480: 73747274 6f6b5f6c 61737400 000003fd strtok_last..... + 6e490: 02230407 5f617363 74696d65 5f627566 .#.._asctime_buf + 6e4a0: 00000008 20022308 075f6c6f 63616c74 .... .#.._localt + 6e4b0: 696d655f 62756600 0000022a 02232407 ime_buf....*.#$. + 6e4c0: 5f67616d 6d615f73 69676e67 616d0000 _gamma_signgam.. + 6e4d0: 00016102 2348075f 72616e64 5f6e6578 ..a.#H._rand_nex + 6e4e0: 74000000 082d0223 50075f72 34380000 t....-.#P._r48.. + 6e4f0: 0007e802 2358075f 6d626c65 6e5f7374 ....#X._mblen_st + 6e500: 61746500 00000847 02236807 5f6d6274 ate....G.#h._mbt + 6e510: 6f77635f 73746174 65000000 08470223 owc_state....G.# + 6e520: 70075f77 63746f6d 625f7374 61746500 p._wctomb_state. + 6e530: 00000847 02237807 5f6c3634 615f6275 ...G.#x._l64a_bu + 6e540: 66000000 08570323 8001075f 7369676e f....W.#..._sign + 6e550: 616c5f62 75660000 00086403 23880107 al_buf....d.#... + 6e560: 5f676574 64617465 5f657272 00000001 _getdate_err.... + 6e570: 610323a0 01075f6d 62726c65 6e5f7374 a.#..._mbrlen_st + 6e580: 61746500 00000847 0323a401 075f6d62 ate....G.#..._mb + 6e590: 72746f77 635f7374 61746500 00000847 rtowc_state....G + 6e5a0: 0323ac01 075f6d62 7372746f 7763735f .#..._mbsrtowcs_ + 6e5b0: 73746174 65000000 08470323 b401075f state....G.#..._ + 6e5c0: 77637274 6f6d625f 73746174 65000000 wcrtomb_state... + 6e5d0: 08470323 bc01075f 77637372 746f6d62 .G.#..._wcsrtomb + 6e5e0: 735f7374 61746500 00000847 0323c401 s_state....G.#.. + 6e5f0: 00040000 03b77800 000a0c05 1d000400 ......x......... + 6e600: 00010378 00000a19 051d0008 f000000a ...x............ + 6e610: 40075f6e 65787466 00000009 ff022300 @._nextf......#. + 6e620: 075f6e6d 616c6c6f 63000000 0a0c0223 ._nmalloc......# + 6e630: 780006f0 00000a66 075f7265 656e7400 x......f._reent. + 6e640: 00000871 02230007 5f756e75 73656400 ...q.#.._unused. + 6e650: 00000a19 02230000 04000003 f5190000 .....#.......... + 6e660: 0a730518 000d010a 00000a73 04000a00 .s.........s.... + 6e670: 00022304 000d010a 00000a83 04000a00 ..#............. + 6e680: 000a8504 00100000 07ab0114 00000aa1 ................ + 6e690: 0502000e 00000161 010a0000 0aa10400 .......a........ + 6e6a0: 09707269 6e74665f 61706900 0800000a .printf_api..... + 6e6b0: e5075f70 72696e74 665f696e 69740000 .._printf_init.. + 6e6c0: 00039c02 2300075f 7072696e 74660000 ....#.._printf.. + 6e6d0: 000aa702 23040003 75696e74 31365f74 ....#...uint16_t + 6e6e0: 00000007 c5037569 6e743332 5f740000 ......uint32_t.. + 6e6f0: 0001f409 75617274 5f666966 6f000800 ....uart_fifo... + 6e700: 000b4c07 73746172 745f696e 64657800 ..L.start_index. + 6e710: 00000ae5 02230007 656e645f 696e6465 .....#..end_inde + 6e720: 78000000 0ae50223 02076f76 65727275 x......#..overru + 6e730: 6e5f6572 72000000 0af30223 04000975 n_err......#...u + 6e740: 6172745f 61706900 2000000c 05075f75 art_api. ....._u + 6e750: 6172745f 696e6974 0000000c 5c022300 art_init....\.#. + 6e760: 075f7561 72745f63 6861725f 70757400 ._uart_char_put. + 6e770: 00000c72 02230407 5f756172 745f6368 ...r.#.._uart_ch + 6e780: 61725f67 65740000 000c8602 2308075f ar_get......#.._ + 6e790: 75617274 5f737472 5f6f7574 0000000c uart_str_out.... + 6e7a0: 8f02230c 075f7561 72745f74 61736b00 ..#.._uart_task. + 6e7b0: 0000039c 02231007 5f756172 745f7374 .....#.._uart_st + 6e7c0: 61747573 0000000c 5c022314 075f7561 atus....\.#.._ua + 6e7d0: 72745f63 6f6e6669 67000000 0c980223 rt_config......# + 6e7e0: 18075f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 6e7f0: 000ca102 231c000a 00000b4c 04000975 ....#......L...u + 6e800: 6172745f 626c6b00 1000000c 56076465 art_blk.....V.de + 6e810: 6275675f 6d6f6465 0000000a e5022300 bug_mode......#. + 6e820: 07626175 64000000 0ae50223 02075f75 .baud......#.._u + 6e830: 61727400 00000c05 02230407 5f747800 art......#.._tx. + 6e840: 00000b01 02230800 0e00000a f3010a00 .....#.......... + 6e850: 000c5604 00037569 6e74385f 74000000 ..V...uint8_t... + 6e860: 011f0d01 0a00000c 7004000a 00000c63 ........p......c + 6e870: 04000e00 000ae501 0a00000c 8004000d ................ + 6e880: 010a0000 0c8d0400 0d010a00 000c9604 ................ + 6e890: 000d010a 00000c9f 04000e00 00016101 ..............a. + 6e8a0: 0a00000c a8040009 44425f43 4f4d4d41 ........DB_COMMA + 6e8b0: 4e445f53 54525543 54000c00 000d0007 ND_STRUCT....... + 6e8c0: 636d645f 73747200 000003fd 02230007 cmd_str......#.. + 6e8d0: 68656c70 5f737472 00000003 fd022304 help_str......#. + 6e8e0: 07636d64 5f66756e 63000000 0cae0223 .cmd_func......# + 6e8f0: 08000964 62675f61 70690008 00000d33 ...dbg_api.....3 + 6e900: 075f6462 675f696e 69740000 00039c02 ._dbg_init...... + 6e910: 2300075f 6462675f 7461736b 00000003 #.._dbg_task.... + 6e920: 9c022304 000e0000 02d6010a 00000d33 ..#............3 + 6e930: 04001111 0a00000d 4104000e 000002d6 ........A....... + 6e940: 010a0000 0d490400 0e000001 61010a00 .....I......a... + 6e950: 000d5604 00096d65 6d5f6170 69001400 ..V...mem_api... + 6e960: 000dc507 5f6d656d 5f696e69 74000000 ...._mem_init... + 6e970: 039c0223 00075f6d 656d7365 74000000 ...#.._memset... + 6e980: 0d390223 04075f6d 656d6370 79000000 .9.#.._memcpy... + 6e990: 0d4f0223 08075f6d 656d6d6f 76650000 .O.#.._memmove.. + 6e9a0: 000d4f02 230c075f 6d656d63 6d700000 ..O.#.._memcmp.. + 6e9b0: 000d5c02 23100012 72656769 73746572 ..\.#...register + 6e9c0: 5f64756d 705f7300 00010a00 000dc504 _dump_s......... + 6e9d0: 000d010a 00000ddf 04000d01 0a00000d ................ + 6e9e0: e804000e 00000161 010a0000 0df10400 .......a........ + 6e9f0: 13686f73 7469665f 73000400 000e4d14 .hostif_s.....M. + 6ea00: 4849465f 55534200 00144849 465f5043 HIF_USB...HIF_PC + 6ea10: 49450001 14484946 5f474d41 43000214 IE...HIF_GMAC... + 6ea20: 4849465f 50434900 03144849 465f4e55 HIF_PCI...HIF_NU + 6ea30: 4d000414 4849465f 4e4f4e45 00050003 M...HIF_NONE.... + 6ea40: 415f484f 53544946 0000000d fe0e0000 A_HOSTIF........ + 6ea50: 0e4d010a 00000e5b 04000e00 000c6301 .M.....[......c. + 6ea60: 0a00000e 6804000e 00000ae5 010a0000 ....h........... + 6ea70: 0e750400 096d6973 635f6170 69002400 .u...misc_api.$. + 6ea80: 000f6507 5f737973 74656d5f 72657365 ..e._system_rese + 6ea90: 74000000 039c0223 00075f6d 61635f72 t......#.._mac_r + 6eaa0: 65736574 00000003 9c022304 075f6173 eset......#.._as + 6eab0: 73666169 6c000000 0de10223 08075f6d sfail......#.._m + 6eac0: 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 6ead0: 616e646c 65720000 000de102 230c075f andler......#.._ + 6eae0: 7265706f 72745f66 61696c75 72655f74 report_failure_t + 6eaf0: 6f5f686f 73740000 000dea02 2310075f o_host......#.._ + 6eb00: 74617267 65745f69 645f6765 74000000 target_id_get... + 6eb10: 0df70223 14075f69 735f686f 73745f70 ...#.._is_host_p + 6eb20: 72657365 6e740000 000e6102 2318075f resent....a.#.._ + 6eb30: 6b626869 74000000 0e6e0223 1c075f72 kbhit....n.#.._r + 6eb40: 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 6eb50: 000e7b02 2320000e 000003fd 010a0000 ..{.# .......... + 6eb60: 0f650400 0e000003 fd010a00 000f7204 .e............r. + 6eb70: 000e0000 0161010a 00000f7f 04000e00 .....a.......... + 6eb80: 00016101 0a00000f 8c04000e 00000161 ..a............a + 6eb90: 010a0000 0f990400 09737472 696e675f .........string_ + 6eba0: 61706900 18000010 1f075f73 7472696e api......._strin + 6ebb0: 675f696e 69740000 00039c02 2300075f g_init......#.._ + 6ebc0: 73747263 70790000 000f6b02 2304075f strcpy....k.#.._ + 6ebd0: 7374726e 63707900 00000f78 02230807 strncpy....x.#.. + 6ebe0: 5f737472 6c656e00 00000f85 02230c07 _strlen......#.. + 6ebf0: 5f737472 636d7000 00000f92 02231007 _strcmp......#.. + 6ec00: 5f737472 6e636d70 0000000f 9f022314 _strncmp......#. + 6ec10: 00040000 01031400 00102c05 0400035f ..........,...._ + 6ec20: 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 6ec30: 101f0341 5f74696d 65725f74 00000010 ...A_timer_t.... + 6ec40: 2c0a0000 10400400 0d010a00 00105604 ,....@........V. + 6ec50: 000d010a 0000105f 04000341 5f48414e ......._...A_HAN + 6ec60: 444c4500 00000103 0d010341 5f54494d DLE........A_TIM + 6ec70: 45525f46 554e4300 00001076 0a000010 ER_FUNC....v.... + 6ec80: 7804000d 010a0000 10910400 0974696d x............tim + 6ec90: 65725f61 70690014 00001110 075f7469 er_api......._ti + 6eca0: 6d65725f 696e6974 00000003 9c022300 mer_init......#. + 6ecb0: 075f7469 6d65725f 61726d00 00001058 ._timer_arm....X + 6ecc0: 02230407 5f74696d 65725f64 69736172 .#.._timer_disar + 6ecd0: 6d000000 10610223 08075f74 696d6572 m....a.#.._timer + 6ece0: 5f736574 666e0000 00109302 230c075f _setfn......#.._ + 6ecf0: 74696d65 725f7275 6e000000 039c0223 timer_run......# + 6ed00: 10000342 4f4f4c45 414e0000 000ae50e ...BOOLEAN...... + 6ed10: 00001110 010a0000 111d0400 0e000011 ................ + 6ed20: 10010a00 00112a04 000e0000 1110010a ......*......... + 6ed30: 00001137 04000972 6f6d705f 61706900 ...7...romp_api. + 6ed40: 10000011 a9075f72 6f6d705f 696e6974 ......_romp_init + 6ed50: 00000003 9c022300 075f726f 6d705f64 ......#.._romp_d + 6ed60: 6f776e6c 6f616400 00001123 02230407 ownload....#.#.. + 6ed70: 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 6ed80: 11300223 08075f72 6f6d705f 6465636f .0.#.._romp_deco + 6ed90: 64650000 00113d02 230c0009 726f6d5f de....=.#...rom_ + 6eda0: 70617463 685f7374 00100000 12050763 patch_st.......c + 6edb0: 72633136 0000000a e5022300 076c656e rc16......#..len + 6edc0: 0000000a e5022302 076c645f 61646472 ......#..ld_addr + 6edd0: 0000000a f3022304 0766756e 5f616464 ......#..fun_add + 6ede0: 72000000 0af30223 08077066 756e0000 r......#..pfun.. + 6edf0: 000c7902 230c0009 6565705f 72656469 ..y.#...eep_redi + 6ee00: 725f6164 64720004 00001237 076f6666 r_addr.....7.off + 6ee10: 73657400 00000ae5 02230007 73697a65 set......#..size + 6ee20: 0000000a e5022302 0003415f 55494e54 ......#...A_UINT + 6ee30: 33320000 0001030e 000002d6 010a0000 32.............. + 6ee40: 12450400 09616c6c 6f637261 6d5f6170 .E...allocram_ap + 6ee50: 69000c00 0012b607 636d6e6f 735f616c i.......cmnos_al + 6ee60: 6c6f6372 616d5f69 6e697400 0000124b locram_init....K + 6ee70: 02230007 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 6ee80: 616d0000 00124b02 23040763 6d6e6f73 am....K.#..cmnos + 6ee90: 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 6eea0: 0000039c 02230800 0d010a00 0012b604 .....#.......... + 6eeb0: 0003415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 6eec0: 00000012 b8095f74 61736b6c 65740010 ......_tasklet.. + 6eed0: 00001317 0766756e 63000000 12bf0223 .....func......# + 6eee0: 00076172 67000000 02d60223 04077374 ..arg......#..st + 6eef0: 61746500 00000161 02230807 6e657874 ate....a.#..next + 6ef00: 00000013 1702230c 000a0000 12d30400 ......#......... + 6ef10: 0a000012 d3040003 415f7461 736b6c65 ........A_taskle + 6ef20: 745f7400 000012d3 0a000013 2504000d t_t.........%... + 6ef30: 010a0000 133d0400 0d010a00 00134604 .....=........F. + 6ef40: 00097461 736b6c65 745f6170 69001400 ..tasklet_api... + 6ef50: 0013db07 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 6ef60: 74000000 039c0223 00075f74 61736b6c t......#.._taskl + 6ef70: 65745f69 6e69745f 7461736b 00000013 et_init_task.... + 6ef80: 3f022304 075f7461 736b6c65 745f6469 ?.#.._tasklet_di + 6ef90: 7361626c 65000000 13480223 08075f74 sable....H.#.._t + 6efa0: 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 6efb0: 00001348 02230c07 5f746173 6b6c6574 ...H.#.._tasklet + 6efc0: 5f72756e 00000003 9c022310 000d010a _run......#..... + 6efd0: 000013db 04000e00 00123701 0a000013 ..........7..... + 6efe0: e4040009 636c6f63 6b5f6170 69002400 ....clock_api.$. + 6eff0: 0014ca07 5f636c6f 636b5f69 6e697400 ...._clock_init. + 6f000: 000013dd 02230007 5f636c6f 636b7265 .....#.._clockre + 6f010: 67735f69 6e697400 0000039c 02230407 gs_init......#.. + 6f020: 5f756172 745f6672 65717565 6e637900 _uart_frequency. + 6f030: 000013ea 02230807 5f64656c 61795f75 .....#.._delay_u + 6f040: 73000000 0a850223 0c075f77 6c616e5f s......#.._wlan_ + 6f050: 62616e64 5f736574 0000000a 85022310 band_set......#. + 6f060: 075f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g + 6f070: 65740000 0013ea02 2314075f 6d696c6c et......#.._mill + 6f080: 69736563 6f6e6473 00000013 ea022318 iseconds......#. + 6f090: 075f7379 73636c6b 5f636861 6e676500 ._sysclk_change. + 6f0a0: 0000039c 02231c07 5f636c6f 636b5f74 .....#.._clock_t + 6f0b0: 69636b00 0000039c 02232000 0e00000a ick......# ..... + 6f0c0: f3010a00 0014ca04 0003415f 6f6c645f ..........A_old_ + 6f0d0: 696e7472 5f740000 000af30e 000014d7 intr_t.......... + 6f0e0: 010a0000 14e90400 0d010a00 0014f604 ................ + 6f0f0: 000d010a 000014ff 04000e00 000af301 ................ + 6f100: 0a000015 08040003 415f6973 725f7400 ........A_isr_t. + 6f110: 0000150e 0d010a00 00152204 000e0000 .........."..... + 6f120: 0103010a 0000152b 04000d01 0a000015 .......+........ + 6f130: 38040009 696e7472 5f617069 002c0000 8...intr_api.,.. + 6f140: 165a075f 696e7472 5f696e69 74000000 .Z._intr_init... + 6f150: 039c0223 00075f69 6e74725f 696e766f ...#.._intr_invo + 6f160: 6b655f69 73720000 0014d002 2304075f ke_isr......#.._ + 6f170: 696e7472 5f646973 61626c65 00000014 intr_disable.... + 6f180: ef022308 075f696e 74725f72 6573746f ..#.._intr_resto + 6f190: 72650000 0014f802 230c075f 696e7472 re......#.._intr + 6f1a0: 5f6d6173 6b5f696e 756d0000 00150102 _mask_inum...... + 6f1b0: 2310075f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ + 6f1c0: 696e756d 00000015 01022314 075f696e inum......#.._in + 6f1d0: 74725f61 74746163 685f6973 72000000 tr_attach_isr... + 6f1e0: 15240223 18075f67 65745f69 6e747265 .$.#.._get_intre + 6f1f0: 6e61626c 65000000 15310223 1c075f73 nable....1.#.._s + 6f200: 65745f69 6e747265 6e61626c 65000000 et_intrenable... + 6f210: 153a0223 20075f67 65745f69 6e747270 .:.# ._get_intrp + 6f220: 656e6469 6e670000 00153102 2324075f ending....1.#$._ + 6f230: 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr + 6f240: 6c766c00 0000039c 02232800 06040000 lvl......#(..... + 6f250: 16800774 696d656f 75740000 000af302 ...timeout...... + 6f260: 23000761 6374696f 6e000000 0af30223 #..action......# + 6f270: 00000808 0000169b 07636d64 0000000a .........cmd.... + 6f280: f3022300 15000016 5a022304 0003545f ..#.....Z.#...T_ + 6f290: 5744545f 434d4400 00001680 0d010a00 WDT_CMD......... + 6f2a0: 0016aa04 00160400 00170014 454e554d ............ENUM + 6f2b0: 5f574454 5f424f4f 54000114 454e554d _WDT_BOOT...ENUM + 6f2c0: 5f434f4c 445f424f 4f540002 14454e55 _COLD_BOOT...ENU + 6f2d0: 4d5f5355 53505f42 4f4f5400 0314454e M_SUSP_BOOT...EN + 6f2e0: 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. + 6f2f0: 04000354 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. + 6f300: 0016b30e 00001700 010a0000 17110400 ................ + 6f310: 09776474 5f617069 001c0000 17b5075f .wdt_api......._ + 6f320: 7764745f 696e6974 00000003 9c022300 wdt_init......#. + 6f330: 075f7764 745f656e 61626c65 00000003 ._wdt_enable.... + 6f340: 9c022304 075f7764 745f6469 7361626c ..#.._wdt_disabl + 6f350: 65000000 039c0223 08075f77 64745f73 e......#.._wdt_s + 6f360: 65740000 0016ac02 230c075f 7764745f et......#.._wdt_ + 6f370: 7461736b 00000003 9c022310 075f7764 task......#.._wd + 6f380: 745f7265 73657400 0000039c 02231407 t_reset......#.. + 6f390: 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 6f3a0: 00171702 23180016 04000018 1c145245 ....#.........RE + 6f3b0: 545f5355 43434553 53000014 5245545f T_SUCCESS...RET_ + 6f3c0: 4e4f545f 494e4954 00011452 45545f4e NOT_INIT...RET_N + 6f3d0: 4f545f45 58495354 00021452 45545f45 OT_EXIST...RET_E + 6f3e0: 45505f43 4f525255 50540003 14524554 EP_CORRUPT...RET + 6f3f0: 5f454550 5f4f5645 52464c4f 57000414 _EEP_OVERFLOW... + 6f400: 5245545f 554e4b4e 4f574e00 05000354 RET_UNKNOWN....T + 6f410: 5f454550 5f524554 00000017 b50a0000 _EEP_RET........ + 6f420: 0ae50400 0e000018 1c010a00 00183204 ..............2. + 6f430: 000e0000 181c010a 0000183f 04000965 ...........?...e + 6f440: 65705f61 70690010 000018a8 075f6565 ep_api......._ee + 6f450: 705f696e 69740000 00039c02 2300075f p_init......#.._ + 6f460: 6565705f 72656164 00000018 38022304 eep_read....8.#. + 6f470: 075f6565 705f7772 69746500 00001838 ._eep_write....8 + 6f480: 02230807 5f656570 5f69735f 65786973 .#.._eep_is_exis + 6f490: 74000000 18450223 0c000975 73625f61 t....E.#...usb_a + 6f4a0: 70690070 00001b55 075f7573 625f696e pi.p...U._usb_in + 6f4b0: 69740000 00039c02 2300075f 7573625f it......#.._usb_ + 6f4c0: 726f6d5f 7461736b 00000003 9c022304 rom_task......#. + 6f4d0: 075f7573 625f6677 5f746173 6b000000 ._usb_fw_task... + 6f4e0: 039c0223 08075f75 73625f69 6e69745f ...#.._usb_init_ + 6f4f0: 70687900 0000039c 02230c07 5f757362 phy......#.._usb + 6f500: 5f657030 5f736574 75700000 00039c02 _ep0_setup...... + 6f510: 2310075f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. + 6f520: 00039c02 2314075f 7573625f 6570305f ....#.._usb_ep0_ + 6f530: 72780000 00039c02 2318075f 7573625f rx......#.._usb_ + 6f540: 6765745f 696e7465 72666163 65000000 get_interface... + 6f550: 11300223 1c075f75 73625f73 65745f69 .0.#.._usb_set_i + 6f560: 6e746572 66616365 00000011 30022320 nterface....0.# + 6f570: 075f7573 625f6765 745f636f 6e666967 ._usb_get_config + 6f580: 75726174 696f6e00 00001130 02232407 uration....0.#$. + 6f590: 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu + 6f5a0: 72617469 6f6e0000 00113002 2328075f ration....0.#(._ + 6f5b0: 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd + 6f5c0: 00000011 3002232c 075f7573 625f7665 ....0.#,._usb_ve + 6f5d0: 6e646f72 5f636d64 00000003 9c022330 ndor_cmd......#0 + 6f5e0: 075f7573 625f706f 7765725f 6f666600 ._usb_power_off. + 6f5f0: 0000039c 02233407 5f757362 5f726573 .....#4._usb_res + 6f600: 65745f66 69666f00 0000039c 02233807 et_fifo......#8. + 6f610: 5f757362 5f67656e 5f776474 00000003 _usb_gen_wdt.... + 6f620: 9c02233c 075f7573 625f6a75 6d705f62 ..#<._usb_jump_b + 6f630: 6f6f7400 0000039c 02234007 5f757362 oot......#@._usb + 6f640: 5f636c72 5f666561 74757265 00000011 _clr_feature.... + 6f650: 30022344 075f7573 625f7365 745f6665 0.#D._usb_set_fe + 6f660: 61747572 65000000 11300223 48075f75 ature....0.#H._u + 6f670: 73625f73 65745f61 64647265 73730000 sb_set_address.. + 6f680: 00113002 234c075f 7573625f 6765745f ..0.#L._usb_get_ + 6f690: 64657363 72697074 6f720000 00113002 descriptor....0. + 6f6a0: 2350075f 7573625f 6765745f 73746174 #P._usb_get_stat + 6f6b0: 75730000 00113002 2354075f 7573625f us....0.#T._usb_ + 6f6c0: 73657475 705f6465 73630000 00039c02 setup_desc...... + 6f6d0: 2358075f 7573625f 7265675f 6f757400 #X._usb_reg_out. + 6f6e0: 0000039c 02235c07 5f757362 5f737461 .....#\._usb_sta + 6f6f0: 7475735f 696e0000 00039c02 2360075f tus_in......#`._ + 6f700: 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. + 6f710: 0000039c 02236407 5f757362 5f657030 .....#d._usb_ep0 + 6f720: 5f72785f 64617461 00000003 9c022368 _rx_data......#h + 6f730: 075f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. + 6f740: 00039c02 236c0009 5f564445 53430024 ....#l.._VDESC.$ + 6f750: 00001be1 076e6578 745f6465 73630000 .....next_desc.. + 6f760: 001be102 23000762 75665f61 64647200 ....#..buf_addr. + 6f770: 00001bf5 02230407 6275665f 73697a65 .....#..buf_size + 6f780: 0000001b fc022308 07646174 615f6f66 ......#..data_of + 6f790: 66736574 0000001b fc02230a 07646174 fset......#..dat + 6f7a0: 615f7369 7a650000 001bfc02 230c0763 a_size......#..c + 6f7b0: 6f6e7472 6f6c0000 001bfc02 230e0768 ontrol......#..h + 6f7c0: 775f6465 73635f62 75660000 001c0a02 w_desc_buf...... + 6f7d0: 2310000a 00001b55 04000341 5f55494e #......U...A_UIN + 6f7e0: 54380000 00011f0a 00001be8 04000341 T8.............A + 6f7f0: 5f55494e 54313600 000007c5 0400001b _UINT16......... + 6f800: e8140000 1c170513 000a0000 1b550400 .............U.. + 6f810: 03564445 53430000 001b550a 00001c1e .VDESC....U..... + 6f820: 04000e00 001c2901 0a00001c 3004000e ......).....0... + 6f830: 00001bf5 010a0000 1c3d0400 0d010a00 .........=...... + 6f840: 001c4a04 00097664 6573635f 61706900 ..J...vdesc_api. + 6f850: 1400001c c2075f69 6e697400 00000a85 ......_init..... + 6f860: 02230007 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc + 6f870: 0000001c 36022304 075f6765 745f6877 ....6.#.._get_hw + 6f880: 5f646573 63000000 1c430223 08075f73 _desc....C.#.._s + 6f890: 7761705f 76646573 63000000 1c4c0223 wap_vdesc....L.# + 6f8a0: 0c077052 65736572 76656400 000002d6 ..pReserved..... + 6f8b0: 02231000 095f5642 55460020 00001d22 .#..._VBUF. ..." + 6f8c0: 07646573 635f6c69 73740000 001c2902 .desc_list....). + 6f8d0: 2300076e 6578745f 62756600 00001d22 #..next_buf...." + 6f8e0: 02230407 6275665f 6c656e67 74680000 .#..buf_length.. + 6f8f0: 001bfc02 23080772 65736572 76656400 ....#..reserved. + 6f900: 00001d29 02230a07 63747800 00001c0a ...).#..ctx..... + 6f910: 02230c00 0a00001c c2040004 00001be8 .#.............. + 6f920: 0200001d 36050100 0a00001c c2040003 ....6........... + 6f930: 56425546 0000001c c20a0000 1d3d0400 VBUF.........=.. + 6f940: 0e00001d 47010a00 001d4e04 000e0000 ....G.....N..... + 6f950: 1d47010a 00001d5b 04000d01 0a00001d .G.....[........ + 6f960: 68040009 76627566 5f617069 00140000 h...vbuf_api.... + 6f970: 1de6075f 696e6974 0000000a 85022300 ..._init......#. + 6f980: 075f616c 6c6f635f 76627566 0000001d ._alloc_vbuf.... + 6f990: 54022304 075f616c 6c6f635f 76627566 T.#.._alloc_vbuf + 6f9a0: 5f776974 685f7369 7a650000 001d6102 _with_size....a. + 6f9b0: 2308075f 66726565 5f766275 66000000 #.._free_vbuf... + 6f9c0: 1d6a0223 0c077052 65736572 76656400 .j.#..pReserved. + 6f9d0: 000002d6 02231000 095f5f61 64665f64 .....#...__adf_d + 6f9e0: 65766963 65000400 001e0807 64756d6d evice.......dumm + 6f9f0: 79000000 01610223 00000a00 00123704 y....a.#......7. + 6fa00: 00095f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 6fa10: 0c00001e 4f076275 66000000 1d470223 ....O.buf....G.# + 6fa20: 00076473 5f616464 72000000 1e080223 ..ds_addr......# + 6fa30: 04076473 5f6c656e 0000001b fc022308 ..ds_len......#. + 6fa40: 00080c00 001e8907 5f5f7661 5f73746b ........__va_stk + 6fa50: 00000003 fd022300 075f5f76 615f7265 ......#..__va_re + 6fa60: 67000000 03fd0223 04075f5f 76615f6e g......#..__va_n + 6fa70: 64780000 00016102 23080003 5f5f6164 dx....a.#...__ad + 6fa80: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 6fa90: 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ + 6faa0: 61646472 5f740000 001e8903 5f5f6164 addr_t......__ad + 6fab0: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 6fac0: 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ + 6fad0: 73697a65 5f740000 001eb909 5f5f646d size_t......__dm + 6fae0: 615f7365 67730008 00001f15 07706164 a_segs.......pad + 6faf0: 64720000 001ea202 2300076c 656e0000 dr......#..len.. + 6fb00: 001ed202 23040003 5f5f615f 75696e74 ....#...__a_uint + 6fb10: 33325f74 00000012 3703615f 75696e74 32_t....7.a_uint + 6fb20: 33325f74 0000001f 15040000 1ee90800 32_t............ + 6fb30: 001f4405 00000961 64665f6f 735f646d ..D....adf_os_dm + 6fb40: 616d6170 5f696e66 6f000c00 001f7d07 amap_info.....}. + 6fb50: 6e736567 73000000 1f270223 0007646d nsegs....'.#..dm + 6fb60: 615f7365 67730000 001f3702 23040003 a_segs....7.#... + 6fb70: 5f5f615f 75696e74 385f7400 00001be8 __a_uint8_t..... + 6fb80: 03615f75 696e7438 5f740000 001f7d0a .a_uint8_t....}. + 6fb90: 00001f8e 0400095f 5f73675f 73656773 .......__sg_segs + 6fba0: 00080000 1fcf0776 61646472 0000001f .......vaddr.... + 6fbb0: 9d022300 076c656e 0000001f 27022304 ..#..len....'.#. + 6fbc0: 00040000 1fa42000 001fdc05 03000961 ...... ........a + 6fbd0: 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 6fbe0: 200f076e 73656773 0000001f 27022300 ..nsegs....'.#. + 6fbf0: 0773675f 73656773 0000001f cf022304 .sg_segs......#. + 6fc00: 00081000 00205807 76656e64 6f720000 ..... X.vendor.. + 6fc10: 001f2702 23000764 65766963 65000000 ..'.#..device... + 6fc20: 1f270223 04077375 6276656e 646f7200 .'.#..subvendor. + 6fc30: 00001f27 02230807 73756264 65766963 ...'.#..subdevic + 6fc40: 65000000 1f270223 0c000341 5f55494e e....'.#...A_UIN + 6fc50: 54363400 0000082d 035f5f61 5f75696e T64....-.__a_uin + 6fc60: 7436345f 74000000 20580361 5f75696e t64_t... X.a_uin + 6fc70: 7436345f 74000000 20661604 000020c4 t64_t... f.... . + 6fc80: 14414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 6fc90: 5f545950 455f4d45 4d000014 4144465f _TYPE_MEM...ADF_ + 6fca0: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 6fcb0: 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re + 6fcc0: 736f7572 63655f74 7970655f 74000000 source_type_t... + 6fcd0: 20880818 0000210e 07737461 72740000 .....!..start.. + 6fce0: 00207802 23000765 6e640000 00207802 . x.#..end... x. + 6fcf0: 23080774 79706500 000020c4 02231000 #..type... ..#.. + 6fd00: 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 6fd10: 69645f74 00000020 0f0a0000 210e0400 id_t... ....!... + 6fd20: 06040000 214d0770 63690000 00212702 ....!M.pci...!'. + 6fd30: 23000772 61770000 0002d602 23000006 #..raw......#... + 6fd40: 10000021 6c077063 69000000 210e0223 ...!l.pci...!..# + 6fd50: 00077261 77000000 02d60223 00000361 ..raw......#...a + 6fd60: 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 6fd70: 000002d6 03616466 5f6f735f 7265736f .....adf_os_reso + 6fd80: 75726365 5f740000 0020e00a 00002182 urce_t... ....!. + 6fd90: 04000361 64665f6f 735f6174 74616368 ...adf_os_attach + 6fda0: 5f646174 615f7400 0000214d 0a000021 _data_t...!M...! + 6fdb0: a004000a 00001de6 0400035f 5f616466 ...........__adf + 6fdc0: 5f6f735f 64657669 63655f74 00000021 _os_device_t...! + 6fdd0: c1036164 665f6f73 5f646576 6963655f ..adf_os_device_ + 6fde0: 74000000 21c80e00 00216c01 0a000021 t...!....!l....! + 6fdf0: f404000d 010a0000 22010400 03616466 ........"....adf + 6fe00: 5f6f735f 706d5f74 00000002 d60d010a _os_pm_t........ + 6fe10: 0000221b 04001604 0000225b 14414446 .."......."[.ADF + 6fe20: 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 6fe30: 00011441 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 6fe40: 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad + 6fe50: 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 6fe60: 00002224 03616466 5f6f735f 6275735f .."$.adf_os_bus_ + 6fe70: 7265675f 64617461 5f740000 00212e09 reg_data_t...!.. + 6fe80: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 6fe90: 00233107 6472765f 61747461 63680000 .#1.drv_attach.. + 6fea0: 0021fa02 23000764 72765f64 65746163 .!..#..drv_detac + 6feb0: 68000000 22030223 04076472 765f7375 h..."..#..drv_su + 6fec0: 7370656e 64000000 221d0223 08076472 spend..."..#..dr + 6fed0: 765f7265 73756d65 00000022 0302230c v_resume..."..#. + 6fee0: 07627573 5f747970 65000000 225b0223 .bus_type..."[.# + 6fef0: 10076275 735f6461 74610000 00227202 ..bus_data..."r. + 6ff00: 2314076d 6f645f6e 616d6500 000003b7 #..mod_name..... + 6ff10: 02231807 69666e61 6d650000 0003b702 .#..ifname...... + 6ff20: 231c0003 6164665f 6f735f68 616e646c #...adf_os_handl + 6ff30: 655f7400 000002d6 0a00001f 7d04000d e_t.........}... + 6ff40: 010d0103 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 6ff50: 655f7400 00000103 16040000 23801441 e_t.........#..A + 6ff60: 5f46414c 53450000 14415f54 52554500 _FALSE...A_TRUE. + 6ff70: 01000361 5f626f6f 6c5f7400 00002366 ...a_bool_t...#f + 6ff80: 0a00001e 0f040003 5f5f6164 665f6f73 ........__adf_os + 6ff90: 5f646d61 5f6d6170 5f740000 00238e0d _dma_map_t...#.. + 6ffa0: 01136164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 6ffb0: 796e6300 04000024 18144144 465f5359 ync....$..ADF_SY + 6ffc0: 4e435f50 52455245 41440000 14414446 NC_PREREAD...ADF + 6ffd0: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 6ffe0: 14414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 6fff0: 41440001 14414446 5f53594e 435f504f AD...ADF_SYNC_PO + 70000: 53545752 49544500 03000361 64665f6f STWRITE....adf_o + 70010: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 70020: 0023af0d 01036164 665f6f73 5f73697a .#....adf_os_siz + 70030: 655f7400 00002351 0e000024 33010361 e_t...#Q...$3..a + 70040: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 70050: 00002395 0a000024 4c04000e 000002d6 ..#....$L....... + 70060: 010a0000 23950400 0e000002 d6010d01 ....#........... + 70070: 0e00001e a2010d01 03415f49 4e543136 .........A_INT16 + 70080: 00000003 e8035f5f 615f696e 7431365f ......__a_int16_ + 70090: 74000000 24860361 5f696e74 31365f74 t...$..a_int16_t + 700a0: 00000024 93027369 676e6564 20636861 ...$..signed cha + 700b0: 72000501 03415f49 4e543800 000024b3 r....A_INT8...$. + 700c0: 035f5f61 5f696e74 385f7400 000024c2 .__a_int8_t...$. + 700d0: 03615f69 6e74385f 74000000 24ce080c .a_int8_t...$... + 700e0: 00002545 07737570 706f7274 65640000 ..%E.supported.. + 700f0: 001f2702 23000761 64766572 74697a65 ..'.#..advertize + 70100: 64000000 1f270223 04077370 65656400 d....'.#..speed. + 70110: 000024a4 02230807 6475706c 65780000 ..$..#..duplex.. + 70120: 0024de02 230a0761 75746f6e 65670000 .$..#..autoneg.. + 70130: 001f8e02 230b0004 00001f8e 06000025 ....#..........% + 70140: 52050500 09616466 5f6e6574 5f657468 R....adf_net_eth + 70150: 61646472 00060000 25760761 64647200 addr....%v.addr. + 70160: 00002545 02230000 035f5f61 5f75696e ..%E.#...__a_uin + 70170: 7431365f 74000000 1bfc0361 5f75696e t16_t......a_uin + 70180: 7431365f 74000000 2576080e 000025da t16_t...%v....%. + 70190: 07657468 65725f64 686f7374 00000025 .ether_dhost...% + 701a0: 45022300 07657468 65725f73 686f7374 E.#..ether_shost + 701b0: 00000025 45022306 07657468 65725f74 ...%E.#..ether_t + 701c0: 79706500 00002588 02230c00 08140000 ype...%..#...... + 701d0: 269b1769 705f7665 7273696f 6e000000 &..ip_version... + 701e0: 1f8e0100 04022300 1769705f 686c0000 ......#..ip_hl.. + 701f0: 001f8e01 04040223 00076970 5f746f73 .......#..ip_tos + 70200: 0000001f 8e022301 0769705f 6c656e00 ......#..ip_len. + 70210: 00002588 02230207 69705f69 64000000 ..%..#..ip_id... + 70220: 25880223 04076970 5f667261 675f6f66 %..#..ip_frag_of + 70230: 66000000 25880223 06076970 5f74746c f...%..#..ip_ttl + 70240: 0000001f 8e022308 0769705f 70726f74 ......#..ip_prot + 70250: 6f000000 1f8e0223 09076970 5f636865 o......#..ip_che + 70260: 636b0000 00258802 230a0769 705f7361 ck...%..#..ip_sa + 70270: 64647200 00001f27 02230c07 69705f64 ddr....'.#..ip_d + 70280: 61646472 0000001f 27022310 00096164 addr....'.#...ad + 70290: 665f6e65 745f766c 616e6864 72000400 f_net_vlanhdr... + 702a0: 0026ed07 74706964 00000025 88022300 .&..tpid...%..#. + 702b0: 17707269 6f000000 1f8e0100 03022302 .prio.........#. + 702c0: 17636669 0000001f 8e010301 02230217 .cfi.........#.. + 702d0: 76696400 00002588 02040c02 23020009 vid...%.....#... + 702e0: 6164665f 6e65745f 76696400 02000027 adf_net_vid....' + 702f0: 1e177265 73000000 1f8e0100 04022300 ..res.........#. + 70300: 1776616c 00000025 8802040c 02230000 .val...%.....#.. + 70310: 080c0000 275a0772 785f6275 6673697a ....'Z.rx_bufsiz + 70320: 65000000 1f270223 00077278 5f6e6465 e....'.#..rx_nde + 70330: 73630000 001f2702 23040774 785f6e64 sc....'.#..tx_nd + 70340: 65736300 00001f27 02230800 08080000 esc....'.#...... + 70350: 27800770 6f6c6c65 64000000 23800223 '..polled...#..# + 70360: 0007706f 6c6c5f77 74000000 1f270223 ..poll_wt....'.# + 70370: 04000400 001f8e40 0000278d 053f0008 .......@..'..?.. + 70380: 46000027 b5076966 5f6e616d 65000000 F..'..if_name... + 70390: 27800223 00076465 765f6164 64720000 '..#..dev_addr.. + 703a0: 00254502 23400016 04000027 ec144144 .%E.#@.....'..AD + 703b0: 465f4f53 5f444d41 5f4d4153 4b5f3332 F_OS_DMA_MASK_32 + 703c0: 42495400 00144144 465f4f53 5f444d41 BIT...ADF_OS_DMA + 703d0: 5f4d4153 4b5f3634 42495400 01000361 _MASK_64BIT....a + 703e0: 64665f6f 735f646d 615f6d61 736b5f74 df_os_dma_mask_t + 703f0: 00000027 b5096164 665f646d 615f696e ...'..adf_dma_in + 70400: 666f0008 00002839 07646d61 5f6d6173 fo....(9.dma_mas + 70410: 6b000000 27ec0223 00077367 5f6e7365 k...'..#..sg_nse + 70420: 67730000 001f2702 23040016 04000028 gs....'.#......( + 70430: 8f144144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 70440: 4e4f4e45 00001441 44465f4e 45545f43 NONE...ADF_NET_C + 70450: 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv + 70460: 34000114 4144465f 4e45545f 434b5355 4...ADF_NET_CKSU + 70470: 4d5f5443 505f5544 505f4950 76360002 M_TCP_UDP_IPv6.. + 70480: 00036164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 70490: 74797065 5f740000 00283908 08000028 type_t...(9....( + 704a0: d2077478 5f636b73 756d0000 00288f02 ..tx_cksum...(.. + 704b0: 23000772 785f636b 73756d00 0000288f #..rx_cksum...(. + 704c0: 02230400 03616466 5f6e6574 5f636b73 .#...adf_net_cks + 704d0: 756d5f69 6e666f5f 74000000 28a91604 um_info_t...(... + 704e0: 0000292b 14414446 5f4e4554 5f54534f ..)+.ADF_NET_TSO + 704f0: 5f4e4f4e 45000014 4144465f 4e45545f _NONE...ADF_NET_ + 70500: 54534f5f 49505634 00011441 44465f4e TSO_IPV4...ADF_N + 70510: 45545f54 534f5f41 4c4c0002 00036164 ET_TSO_ALL....ad + 70520: 665f6e65 745f7473 6f5f7479 70655f74 f_net_tso_type_t + 70530: 00000028 ec081000 00297f07 636b7375 ...(.....)..cksu + 70540: 6d5f6361 70000000 28d20223 00077473 m_cap...(..#..ts + 70550: 6f000000 292b0223 0807766c 616e5f73 o...)+.#..vlan_s + 70560: 7570706f 72746564 0000001f 8e02230c upported......#. + 70570: 00082000 002a1807 74785f70 61636b65 .. ..*..tx_packe + 70580: 74730000 001f2702 23000772 785f7061 ts....'.#..rx_pa + 70590: 636b6574 73000000 1f270223 04077478 ckets....'.#..tx + 705a0: 5f627974 65730000 001f2702 23080772 _bytes....'.#..r + 705b0: 785f6279 74657300 00001f27 02230c07 x_bytes....'.#.. + 705c0: 74785f64 726f7070 65640000 001f2702 tx_dropped....'. + 705d0: 23100772 785f6472 6f707065 64000000 #..rx_dropped... + 705e0: 1f270223 14077278 5f657272 6f727300 .'.#..rx_errors. + 705f0: 00001f27 02231807 74785f65 72726f72 ...'.#..tx_error + 70600: 73000000 1f270223 1c000361 64665f6e s....'.#...adf_n + 70610: 65745f65 74686164 64725f74 00000025 et_ethaddr_t...% + 70620: 52100000 2a180300 00002a3d 057f000c R...*.....*=.... + 70630: 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad + 70640: 64720003 0400002a 74076e65 6c656d00 dr.....*t.nelem. + 70650: 00001f27 02230007 6d636173 74000000 ...'.#..mcast... + 70660: 2a2f0223 04000361 64665f6e 65745f63 */.#...adf_net_c + 70670: 6d645f6c 696e6b5f 696e666f 5f740000 md_link_info_t.. + 70680: 0024ec03 6164665f 6e65745f 636d645f .$..adf_net_cmd_ + 70690: 706f6c6c 5f696e66 6f5f7400 0000275a poll_info_t...'Z + 706a0: 03616466 5f6e6574 5f636d64 5f636b73 .adf_net_cmd_cks + 706b0: 756d5f69 6e666f5f 74000000 28d20361 um_info_t...(..a + 706c0: 64665f6e 65745f63 6d645f72 696e675f df_net_cmd_ring_ + 706d0: 696e666f 5f740000 00271e03 6164665f info_t...'..adf_ + 706e0: 6e65745f 636d645f 646d615f 696e666f net_cmd_dma_info + 706f0: 5f740000 00280303 6164665f 6e65745f _t...(..adf_net_ + 70700: 636d645f 7669645f 74000000 25880361 cmd_vid_t...%..a + 70710: 64665f6e 65745f63 6d645f6f 66666c6f df_net_cmd_offlo + 70720: 61645f63 61705f74 00000029 43036164 ad_cap_t...)C.ad + 70730: 665f6e65 745f636d 645f7374 6174735f f_net_cmd_stats_ + 70740: 74000000 297f0361 64665f6e 65745f63 t...)..adf_net_c + 70750: 6d645f6d 63616464 725f7400 00002a3d md_mcaddr_t...*= + 70760: 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 70770: 73745f63 61700004 00002bb6 14414446 st_cap....+..ADF + 70780: 5f4e4554 5f4d4341 53545f53 55500000 _NET_MCAST_SUP.. + 70790: 14414446 5f4e4554 5f4d4341 53545f4e .ADF_NET_MCAST_N + 707a0: 4f545355 50000100 03616466 5f6e6574 OTSUP....adf_net + 707b0: 5f636d64 5f6d6361 73745f63 61705f74 _cmd_mcast_cap_t + 707c0: 0000002b 6e180304 00002c88 076c696e ...+n.....,..lin + 707d0: 6b5f696e 666f0000 002a7402 23000770 k_info...*t.#..p + 707e0: 6f6c6c5f 696e666f 0000002a 91022300 oll_info...*..#. + 707f0: 07636b73 756d5f69 6e666f00 00002aae .cksum_info...*. + 70800: 02230007 72696e67 5f696e66 6f000000 .#..ring_info... + 70810: 2acc0223 0007646d 615f696e 666f0000 *..#..dma_info.. + 70820: 002ae902 23000776 69640000 002b0502 .*..#..vid...+.. + 70830: 2300076f 66666c6f 61645f63 61700000 #..offload_cap.. + 70840: 002b1c02 23000773 74617473 0000002b .+..#..stats...+ + 70850: 3b022300 076d6361 73745f69 6e666f00 ;.#..mcast_info. + 70860: 00002b54 02230007 6d636173 745f6361 ..+T.#..mcast_ca + 70870: 70000000 2bb60223 00001604 00002cdf p...+..#......,. + 70880: 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 70890: 554d5f4e 4f4e4500 00144144 465f4e42 UM_NONE...ADF_NB + 708a0: 55465f52 585f434b 53554d5f 48570001 UF_RX_CKSUM_HW.. + 708b0: 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 708c0: 554d5f55 4e4e4543 45535341 52590002 UM_UNNECESSARY.. + 708d0: 00036164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck + 708e0: 73756d5f 74797065 5f740000 002c8808 sum_type_t...,.. + 708f0: 0800002d 1f077265 73756c74 0000002c ...-..result..., + 70900: df022300 0776616c 0000001f 27022304 ..#..val....'.#. + 70910: 00080800 002d4f07 74797065 00000029 .....-O.type...) + 70920: 2b022300 076d7373 00000025 88022304 +.#..mss...%..#. + 70930: 07686472 5f6f6666 0000001f 8e022306 .hdr_off......#. + 70940: 00095f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe + 70950: 6164000c 00002d8e 07686561 64000000 ad....-..head... + 70960: 1d470223 00077461 696c0000 001d4702 .G.#..tail....G. + 70970: 23040771 6c656e00 00001f27 02230800 #..qlen....'.#.. + 70980: 035f5f61 64665f6e 6275665f 74000000 .__adf_nbuf_t... + 70990: 1d470a00 001f9d04 000a0000 1f270400 .G...........'.. + 709a0: 0d010e00 001c2901 0e00001f 27010e00 ......).....'... + 709b0: 001f9d01 0e00001f 9d010a00 001c0a04 ................ + 709c0: 00035f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe + 709d0: 61645f74 0000002d 4f035f5f 6164665f ad_t...-O.__adf_ + 709e0: 6e627566 5f717565 75655f74 0000002d nbuf_queue_t...- + 709f0: cf0a0000 2de70400 0e00002d 8e010e00 ....-......-.... + 70a00: 002d8e01 16040000 2f071441 5f535441 .-....../..A_STA + 70a10: 5455535f 4f4b0000 14415f53 54415455 TUS_OK...A_STATU + 70a20: 535f4641 494c4544 00011441 5f535441 S_FAILED...A_STA + 70a30: 5455535f 454e4f45 4e540002 14415f53 TUS_ENOENT...A_S + 70a40: 54415455 535f454e 4f4d454d 00031441 TATUS_ENOMEM...A + 70a50: 5f535441 5455535f 45494e56 414c0004 _STATUS_EINVAL.. + 70a60: 14415f53 54415455 535f4549 4e50524f .A_STATUS_EINPRO + 70a70: 47524553 53000514 415f5354 41545553 GRESS...A_STATUS + 70a80: 5f454e4f 54535550 50000614 415f5354 _ENOTSUPP...A_ST + 70a90: 41545553 5f454255 53590007 14415f53 ATUS_EBUSY...A_S + 70aa0: 54415455 535f4532 42494700 0814415f TATUS_E2BIG...A_ + 70ab0: 53544154 55535f45 41444452 4e4f5441 STATUS_EADDRNOTA + 70ac0: 5641494c 00091441 5f535441 5455535f VAIL...A_STATUS_ + 70ad0: 454e5849 4f000a14 415f5354 41545553 ENXIO...A_STATUS + 70ae0: 5f454641 554c5400 0b14415f 53544154 _EFAULT...A_STAT + 70af0: 55535f45 494f000c 0003615f 73746174 US_EIO....a_stat + 70b00: 75735f74 0000002e 120e0000 2f07010e us_t......../... + 70b10: 00000161 010d0103 6164665f 6e627566 ...a....adf_nbuf + 70b20: 5f740000 002d8e16 0400002f 6c144144 _t...-...../l.AD + 70b30: 465f4f53 5f444d41 5f544f5f 44455649 F_OS_DMA_TO_DEVI + 70b40: 43450000 14414446 5f4f535f 444d415f CE...ADF_OS_DMA_ + 70b50: 46524f4d 5f444556 49434500 01000361 FROM_DEVICE....a + 70b60: 64665f6f 735f646d 615f6469 725f7400 df_os_dma_dir_t. + 70b70: 00002f35 0e00002f 07010d01 03616466 ../5.../.....adf + 70b80: 5f6f735f 646d616d 61705f69 6e666f5f _os_dmamap_info_ + 70b90: 74000000 1f440a00 002f8a04 000d010d t....D.../...... + 70ba0: 010e0000 2f25010e 00002d8e 010d010d ..../%....-..... + 70bb0: 010e0000 2f25010e 00002d8e 010e0000 ..../%....-..... + 70bc0: 2f25010e 00002d8e 010e0000 2f25010d /%....-...../%.. + 70bd0: 010d010e 00001f27 010e0000 1f9d010d .......'........ + 70be0: 010d010e 00002433 010e0000 2380010e ......$3....#... + 70bf0: 00002380 01036164 665f6f73 5f73676c ..#...adf_os_sgl + 70c00: 6973745f 74000000 1fdc0a00 00300304 ist_t........0.. + 70c10: 000d010d 010d010e 00001f9d 01036164 ..............ad + 70c20: 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 70c30: 002de70a 0000302b 04000d01 0a00002d .-....0+.......- + 70c40: cf04000d 010d010d 010e0000 2f25010e ............/%.. + 70c50: 00002d8e 010e0000 1f27010e 00001f27 ..-......'.....' + 70c60: 010e0000 2380010e 00002380 010e0000 ....#.....#..... + 70c70: 288f010e 00001f27 01036164 665f6e62 (......'..adf_nb + 70c80: 75665f72 785f636b 73756d5f 74000000 uf_rx_cksum_t... + 70c90: 2cfd0a00 00308704 000d010d 01036164 ,....0........ad + 70ca0: 665f6e62 75665f74 736f5f74 0000002d f_nbuf_tso_t...- + 70cb0: 1f0a0000 30ab0400 0d010d01 03616466 ....0........adf + 70cc0: 5f6e6574 5f68616e 646c655f 74000000 _net_handle_t... + 70cd0: 02d60361 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 70ce0: 64725f74 00000026 9b0a0000 30e00400 dr_t...&....0... + 70cf0: 0e00002f 07010e00 002f0701 0d010d01 .../...../...... + 70d00: 095f4849 465f434f 4e464947 00040000 ._HIF_CONFIG.... + 70d10: 312f0764 756d6d79 00000001 61022300 1/.dummy....a.#. + 70d20: 000d010a 0000312f 04000d01 0a000031 ......1/.......1 + 70d30: 38040009 5f484946 5f43414c 4c424143 8..._HIF_CALLBAC + 70d40: 4b000c00 00318d07 73656e64 5f627566 K....1..send_buf + 70d50: 5f646f6e 65000000 31310223 00077265 _done...11.#..re + 70d60: 63765f62 75660000 00313a02 23040763 cv_buf...1:.#..c + 70d70: 6f6e7465 78740000 0002d602 23080003 ontext......#... + 70d80: 6869665f 68616e64 6c655f74 00000002 hif_handle_t.... + 70d90: d6034849 465f434f 4e464947 00000031 ..HIF_CONFIG...1 + 70da0: 0e0a0000 319f0400 0e000031 8d010a00 ....1......1.... + 70db0: 0031b604 000d010a 000031c3 04000348 .1........1....H + 70dc0: 49465f43 414c4c42 41434b00 00003141 IF_CALLBACK...1A + 70dd0: 0a000031 cc04000d 010a0000 31e50400 ...1........1... + 70de0: 0e000001 61010a00 0031ee04 000d010a ....a....1...... + 70df0: 000031fb 04000e00 00016101 0a000032 ..1.......a....2 + 70e00: 0404000d 010a0000 32110400 0e000001 ........2....... + 70e10: 61010a00 00321a04 000d010a 00003227 a....2........2' + 70e20: 04000968 69665f61 70690038 00003380 ...hif_api.8..3. + 70e30: 075f696e 69740000 0031bc02 2300075f ._init...1..#.._ + 70e40: 73687574 646f776e 00000031 c5022304 shutdown...1..#. + 70e50: 075f7265 67697374 65725f63 616c6c62 ._register_callb + 70e60: 61636b00 000031e7 02230807 5f676574 ack...1..#.._get + 70e70: 5f746f74 616c5f63 72656469 745f636f _total_credit_co + 70e80: 756e7400 000031f4 02230c07 5f737461 unt...1..#.._sta + 70e90: 72740000 0031c502 2310075f 636f6e66 rt...1..#.._conf + 70ea0: 69675f70 69706500 000031fd 02231407 ig_pipe...1..#.. + 70eb0: 5f73656e 645f6275 66666572 00000032 _send_buffer...2 + 70ec0: 0a022318 075f7265 7475726e 5f726563 ..#.._return_rec + 70ed0: 765f6275 66000000 32130223 1c075f69 v_buf...2..#.._i + 70ee0: 735f7069 70655f73 7570706f 72746564 s_pipe_supported + 70ef0: 00000032 20022320 075f6765 745f6d61 ...2 .# ._get_ma + 70f00: 785f6d73 675f6c65 6e000000 32200223 x_msg_len...2 .# + 70f10: 24075f67 65745f72 65736572 7665645f $._get_reserved_ + 70f20: 68656164 726f6f6d 00000031 f4022328 headroom...1..#( + 70f30: 075f6973 725f6861 6e646c65 72000000 ._isr_handler... + 70f40: 31c50223 2c075f67 65745f64 65666175 1..#,._get_defau + 70f50: 6c745f70 69706500 00003229 02233007 lt_pipe...2).#0. + 70f60: 70526573 65727665 64000000 02d60223 pReserved......# + 70f70: 34001364 6d615f65 6e67696e 65000400 4..dma_engine... + 70f80: 00340914 444d415f 454e4749 4e455f52 .4..DMA_ENGINE_R + 70f90: 58300000 14444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 70fa0: 52583100 0114444d 415f454e 47494e45 RX1...DMA_ENGINE + 70fb0: 5f525832 00021444 4d415f45 4e47494e _RX2...DMA_ENGIN + 70fc0: 455f5258 33000314 444d415f 454e4749 E_RX3...DMA_ENGI + 70fd0: 4e455f54 58300004 14444d41 5f454e47 NE_TX0...DMA_ENG + 70fe0: 494e455f 54583100 0514444d 415f454e INE_TX1...DMA_EN + 70ff0: 47494e45 5f4d4158 00060003 646d615f GINE_MAX....dma_ + 71000: 656e6769 6e655f74 00000033 8013646d engine_t...3..dm + 71010: 615f6966 74797065 00040000 34561444 a_iftype....4V.D + 71020: 4d415f49 465f474d 41430000 14444d41 MA_IF_GMAC...DMA + 71030: 5f49465f 50434900 0114444d 415f4946 _IF_PCI...DMA_IF + 71040: 5f504349 45000200 03646d61 5f696674 _PCIE....dma_ift + 71050: 7970655f 74000000 341b0e00 001bfc01 ype_t...4....... + 71060: 0a000034 6804000d 010a0000 34750400 ...4h.......4u.. + 71070: 0d010a00 00347e04 000e0000 1237010a .....4~......7.. + 71080: 00003487 04000e00 001bfc01 0a000034 ..4............4 + 71090: 9404000e 00001bfc 010a0000 34a10400 ............4... + 710a0: 0e00001d 47010a00 0034ae04 000d010a ....G....4...... + 710b0: 000034bb 04000964 6d615f6c 69625f61 ..4....dma_lib_a + 710c0: 70690034 000035c2 0774785f 696e6974 pi.4..5..tx_init + 710d0: 00000034 6e022300 0774785f 73746172 ...4n.#..tx_star + 710e0: 74000000 34770223 04077278 5f696e69 t...4w.#..rx_ini + 710f0: 74000000 346e0223 08077278 5f636f6e t...4n.#..rx_con + 71100: 66696700 00003480 02230c07 72785f73 fig...4..#..rx_s + 71110: 74617274 00000034 77022310 07696e74 tart...4w.#..int + 71120: 725f7374 61747573 00000034 8d022314 r_status...4..#. + 71130: 07686172 645f786d 69740000 00349a02 .hard_xmit...4.. + 71140: 23180766 6c757368 5f786d69 74000000 #..flush_xmit... + 71150: 34770223 1c07786d 69745f64 6f6e6500 4w.#..xmit_done. + 71160: 000034a7 02232007 72656170 5f786d69 ..4..# .reap_xmi + 71170: 74746564 00000034 b4022324 07726561 tted...4..#$.rea + 71180: 705f7265 63760000 0034b402 23280772 p_recv...4..#(.r + 71190: 65747572 6e5f7265 63760000 0034bd02 eturn_recv...4.. + 711a0: 232c0772 6563765f 706b7400 000034a7 #,.recv_pkt...4. + 711b0: 02233000 095f5f70 63695f73 6f667463 .#0..__pci_softc + 711c0: 000c0000 35e00773 77000000 31cc0223 ....5..sw...1..# + 711d0: 0000035f 5f706369 5f736f66 74635f74 ...__pci_softc_t + 711e0: 00000035 c20a0000 35e00400 0d010a00 ...5....5....... + 711f0: 0035fa04 000e0000 1be8010a 00003603 .5............6. + 71200: 04001368 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 71210: 74780004 00003663 14484946 5f504349 tx....6c.HIF_PCI + 71220: 5f504950 455f5458 30000014 4849465f _PIPE_TX0...HIF_ + 71230: 5043495f 50495045 5f545831 00011448 PCI_PIPE_TX1...H + 71240: 49465f50 43495f50 4950455f 54585f4d IF_PCI_PIPE_TX_M + 71250: 41580002 00036869 665f7063 695f7069 AX....hif_pci_pi + 71260: 70655f74 785f7400 00003610 0e000034 pe_tx_t...6....4 + 71270: 09010a00 00367a04 00136869 665f7063 .....6z...hif_pc + 71280: 695f7069 70655f72 78000400 00370014 i_pipe_rx....7.. + 71290: 4849465f 5043495f 50495045 5f525830 HIF_PCI_PIPE_RX0 + 712a0: 00001448 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 712b0: 52583100 01144849 465f5043 495f5049 RX1...HIF_PCI_PI + 712c0: 50455f52 58320002 14484946 5f504349 PE_RX2...HIF_PCI + 712d0: 5f504950 455f5258 33000314 4849465f _PIPE_RX3...HIF_ + 712e0: 5043495f 50495045 5f52585f 4d415800 PCI_PIPE_RX_MAX. + 712f0: 04000368 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 71300: 72785f74 00000036 870e0000 3409010a rx_t...6....4... + 71310: 00003717 04000968 69665f70 63695f61 ..7....hif_pci_a + 71320: 70690024 000037f5 07706369 5f626f6f pi.$..7..pci_boo + 71330: 745f696e 69740000 00039c02 23000770 t_init......#..p + 71340: 63695f69 6e697400 000031bc 02230407 ci_init...1..#.. + 71350: 7063695f 72657365 74000000 039c0223 pci_reset......# + 71360: 08077063 695f656e 61626c65 00000003 ..pci_enable.... + 71370: 9c02230c 07706369 5f726561 705f786d ..#..pci_reap_xm + 71380: 69747465 64000000 35fc0223 10077063 itted...5..#..pc + 71390: 695f7265 61705f72 65637600 000035fc i_reap_recv...5. + 713a0: 02231407 7063695f 6765745f 70697065 .#..pci_get_pipe + 713b0: 00000036 09022318 07706369 5f676574 ...6..#..pci_get + 713c0: 5f74785f 656e6700 00003680 02231c07 _tx_eng...6..#.. + 713d0: 7063695f 6765745f 72785f65 6e670000 pci_get_rx_eng.. + 713e0: 00371d02 23200009 676d6163 5f617069 .7..# ..gmac_api + 713f0: 00040000 381c0767 6d61635f 626f6f74 ....8..gmac_boot + 71400: 5f696e69 74000000 039c0223 00000400 _init......#.... + 71410: 00011f06 00003829 05050009 5f5f6574 ......8)....__et + 71420: 68686472 000e0000 385f0764 73740000 hhdr....8_.dst.. + 71430: 00381c02 23000773 72630000 00381c02 .8..#..src...8.. + 71440: 23060765 74797065 0000001b fc02230c #..etype......#. + 71450: 00095f5f 61746868 64720004 000038ad ..__athhdr....8. + 71460: 17726573 0000001b e8010002 02230017 .res.........#.. + 71470: 70726f74 6f000000 1be80102 06022300 proto.........#. + 71480: 07726573 5f6c6f00 00001be8 02230107 .res_lo......#.. + 71490: 7265735f 68690000 001bfc02 23020009 res_hi......#... + 714a0: 5f5f676d 61635f68 64720014 000038e9 __gmac_hdr....8. + 714b0: 07657468 00000038 29022300 07617468 .eth...8).#..ath + 714c0: 00000038 5f02230e 07616c69 676e5f70 ...8_.#..align_p + 714d0: 61640000 001bfc02 23120003 5f5f676d ad......#...__gm + 714e0: 61635f68 64725f74 00000038 ad095f5f ac_hdr_t...8..__ + 714f0: 676d6163 5f736f66 74630024 00003933 gmac_softc.$..93 + 71500: 07686472 00000038 e9022300 07677261 .hdr...8..#..gra + 71510: 6e000000 1bfc0223 14077377 00000031 n......#..sw...1 + 71520: cc022318 00125f41 5f6f735f 6c696e6b ..#..._A_os_link + 71530: 6167655f 63686563 6b000001 0a000039 age_check......9 + 71540: 3304000e 00000161 010a0000 39510400 3......a....9Q.. + 71550: 0a000001 0304000c 5f415f63 6d6e6f73 ........_A_cmnos + 71560: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 71570: 6c650001 b800003a a1076861 6c5f6c69 le.....:..hal_li + 71580: 6e6b6167 655f6368 65636b00 00003957 nkage_check...9W + 71590: 02230007 73746172 745f6273 73000000 .#..start_bss... + 715a0: 395e0223 04076170 705f7374 61727400 9^.#..app_start. + 715b0: 0000039c 02230807 6d656d00 00000d63 .....#..mem....c + 715c0: 02230c07 6d697363 0000000e 82022320 .#..misc......# + 715d0: 07707269 6e746600 00000aae 02234407 .printf......#D. + 715e0: 75617274 0000000b 4c02234c 07676d61 uart....L.#L.gma + 715f0: 63000000 37f50223 6c077573 62000000 c...7..#l.usb... + 71600: 18a80223 7007636c 6f636b00 000013f1 ...#p.clock..... + 71610: 0323e001 0774696d 65720000 00109a03 .#...timer...... + 71620: 23840207 696e7472 00000015 41032398 #...intr....A.#. + 71630: 0207616c 6c6f6372 616d0000 00125203 ..allocram....R. + 71640: 23c40207 726f6d70 00000011 440323d0 #...romp....D.#. + 71650: 02077764 745f7469 6d657200 0000171e ..wdt_timer..... + 71660: 0323e002 07656570 00000018 4c0323fc .#...eep....L.#. + 71670: 02077374 72696e67 0000000f a603238c ..string......#. + 71680: 03077461 736b6c65 74000000 134f0323 ..tasklet....O.# + 71690: a4030009 5f555342 5f464946 4f5f434f ...._USB_FIFO_CO + 716a0: 4e464947 00100000 3b140767 65745f63 NFIG....;..get_c + 716b0: 6f6d6d61 6e645f62 75660000 001d5402 ommand_buf....T. + 716c0: 23000772 6563765f 636f6d6d 616e6400 #..recv_command. + 716d0: 00001d6a 02230407 6765745f 6576656e ...j.#..get_even + 716e0: 745f6275 66000000 1d540223 08077365 t_buf....T.#..se + 716f0: 6e645f65 76656e74 5f646f6e 65000000 nd_event_done... + 71700: 1d6a0223 0c000355 53425f46 49464f5f .j.#...USB_FIFO_ + 71710: 434f4e46 49470000 003aa10a 00003b14 CONFIG...:....;. + 71720: 04000d01 0a00003b 30040009 75736266 .......;0...usbf + 71730: 69666f5f 61706900 0c00003b 86075f69 ifo_api....;.._i + 71740: 6e697400 00003b32 02230007 5f656e61 nit...;2.#.._ena + 71750: 626c655f 6576656e 745f6973 72000000 ble_event_isr... + 71760: 039c0223 04077052 65736572 76656400 ...#..pReserved. + 71770: 000002d6 02230800 0400001f 8e020000 .....#.......... + 71780: 3b930501 00095f48 54435f46 52414d45 ;....._HTC_FRAME + 71790: 5f484452 00080000 3c050745 6e64706f _HDR....<..Endpo + 717a0: 696e7449 44000000 1f8e0223 0007466c intID......#..Fl + 717b0: 61677300 00001f8e 02230107 5061796c ags......#..Payl + 717c0: 6f61644c 656e0000 00258802 23020743 oadLen...%..#..C + 717d0: 6f6e7472 6f6c4279 74657300 00003b86 ontrolBytes...;. + 717e0: 02230407 486f7374 5365714e 756d0000 .#..HostSeqNum.. + 717f0: 00258802 23060008 0200003c 1e074d65 .%..#......<..Me + 71800: 73736167 65494400 00002588 02230000 ssageID...%..#.. + 71810: 08080000 3c81074d 65737361 67654944 ....<..MessageID + 71820: 00000025 88022300 07437265 64697443 ...%..#..CreditC + 71830: 6f756e74 00000025 88022302 07437265 ount...%..#..Cre + 71840: 64697453 697a6500 00002588 02230407 ditSize...%..#.. + 71850: 4d617845 6e64706f 696e7473 0000001f MaxEndpoints.... + 71860: 8e022306 075f5061 64310000 001f8e02 ..#.._Pad1...... + 71870: 23070008 0a00003d 18074d65 73736167 #......=..Messag + 71880: 65494400 00002588 02230007 53657276 eID...%..#..Serv + 71890: 69636549 44000000 25880223 0207436f iceID...%..#..Co + 718a0: 6e6e6563 74696f6e 466c6167 73000000 nnectionFlags... + 718b0: 25880223 0407446f 776e4c69 6e6b5069 %..#..DownLinkPi + 718c0: 70654944 0000001f 8e022306 0755704c peID......#..UpL + 718d0: 696e6b50 69706549 44000000 1f8e0223 inkPipeID......# + 718e0: 07075365 72766963 654d6574 614c656e ..ServiceMetaLen + 718f0: 67746800 00001f8e 02230807 5f506164 gth......#.._Pad + 71900: 31000000 1f8e0223 0900080a 00003da0 1......#......=. + 71910: 074d6573 73616765 49440000 00258802 .MessageID...%.. + 71920: 23000753 65727669 63654944 00000025 #..ServiceID...% + 71930: 88022302 07537461 74757300 00001f8e ..#..Status..... + 71940: 02230407 456e6470 6f696e74 49440000 .#..EndpointID.. + 71950: 001f8e02 2305074d 61784d73 6753697a ....#..MaxMsgSiz + 71960: 65000000 25880223 06075365 72766963 e...%..#..Servic + 71970: 654d6574 614c656e 67746800 00001f8e eMetaLength..... + 71980: 02230807 5f506164 31000000 1f8e0223 .#.._Pad1......# + 71990: 09000802 00003db9 074d6573 73616765 ......=..Message + 719a0: 49440000 00258802 23000008 0400003d ID...%..#......= + 719b0: f5074d65 73736167 65494400 00002588 ..MessageID...%. + 719c0: 02230007 50697065 49440000 001f8e02 .#..PipeID...... + 719d0: 23020743 72656469 74436f75 6e740000 #..CreditCount.. + 719e0: 001f8e02 23030008 0400003e 2c074d65 ....#......>,.Me + 719f0: 73736167 65494400 00002588 02230007 ssageID...%..#.. + 71a00: 50697065 49440000 001f8e02 23020753 PipeID......#..S + 71a10: 74617475 73000000 1f8e0223 03000802 tatus......#.... + 71a20: 00003e53 07526563 6f726449 44000000 ..>S.RecordID... + 71a30: 1f8e0223 00074c65 6e677468 0000001f ...#..Length.... + 71a40: 8e022301 00080200 003e7d07 456e6470 ..#......>}.Endp + 71a50: 6f696e74 49440000 001f8e02 23000743 ointID......#..C + 71a60: 72656469 74730000 001f8e02 23010008 redits......#... + 71a70: 0400003e be07456e 64706f69 6e744944 ...>..EndpointID + 71a80: 0000001f 8e022300 07437265 64697473 ......#..Credits + 71a90: 0000001f 8e022301 07546774 43726564 ......#..TgtCred + 71aa0: 69745365 714e6f00 00002588 02230200 itSeqNo...%..#.. + 71ab0: 0400001f 8e040000 3ecb0503 00080600 ........>....... + 71ac0: 003f0707 50726556 616c6964 0000001f .?..PreValid.... + 71ad0: 8e022300 074c6f6f 6b416865 61640000 ..#..LookAhead.. + 71ae0: 003ebe02 23010750 6f737456 616c6964 .>..#..PostValid + 71af0: 0000001f 8e022305 0003706f 6f6c5f68 ......#...pool_h + 71b00: 616e646c 655f7400 000002d6 0e00003f andle_t........? + 71b10: 07010a00 003f1a04 000d010a 00003f27 .....?........?' + 71b20: 04001604 00003fa5 14504f4f 4c5f4944 ......?..POOL_ID + 71b30: 5f485443 5f434f4e 54524f4c 00001450 _HTC_CONTROL...P + 71b40: 4f4f4c5f 49445f57 4d495f53 56435f43 OOL_ID_WMI_SVC_C + 71b50: 4d445f52 45504c59 00011450 4f4f4c5f MD_REPLY...POOL_ + 71b60: 49445f57 4d495f53 56435f45 56454e54 ID_WMI_SVC_EVENT + 71b70: 00021450 4f4f4c5f 49445f57 4c414e5f ...POOL_ID_WLAN_ + 71b80: 52585f42 55460003 14504f4f 4c5f4944 RX_BUF...POOL_ID + 71b90: 5f4d4158 000a0003 4255465f 504f4f4c _MAX....BUF_POOL + 71ba0: 5f494400 00003f30 0d010a00 003fb604 _ID...?0.....?.. + 71bb0: 000e0000 2f25010a 00003fbf 04000e00 ..../%....?..... + 71bc0: 002f2501 0a00003f cc04000d 010a0000 ./%....?........ + 71bd0: 3fd90400 09627566 5f706f6f 6c5f6170 ?....buf_pool_ap + 71be0: 69001c00 00407b07 5f696e69 74000000 i....@{._init... + 71bf0: 3f200223 00075f73 68757464 6f776e00 ? .#.._shutdown. + 71c00: 00003f29 02230407 5f637265 6174655f ..?).#.._create_ + 71c10: 706f6f6c 0000003f b8022308 075f616c pool...?..#.._al + 71c20: 6c6f635f 62756600 00003fc5 02230c07 loc_buf...?..#.. + 71c30: 5f616c6c 6f635f62 75665f61 6c69676e _alloc_buf_align + 71c40: 0000003f d2022310 075f6672 65655f62 ...?..#.._free_b + 71c50: 75660000 003fdb02 23140770 52657365 uf...?..#..pRese + 71c60: 72766564 00000002 d6022318 00095f48 rved......#..._H + 71c70: 54435f53 45525649 4345001c 0000415a TC_SERVICE....AZ + 71c80: 07704e65 78740000 00415a02 23000750 .pNext...AZ.#..P + 71c90: 726f6365 73735265 63764d73 67000000 rocessRecvMsg... + 71ca0: 420f0223 04075072 6f636573 7353656e B..#..ProcessSen + 71cb0: 64427566 66657243 6f6d706c 65746500 dBufferComplete. + 71cc0: 00004218 02230807 50726f63 65737343 ..B..#..ProcessC + 71cd0: 6f6e6e65 63740000 00422c02 230c0753 onnect...B,.#..S + 71ce0: 65727669 63654944 0000001b fc022310 erviceID......#. + 71cf0: 07536572 76696365 466c6167 73000000 .ServiceFlags... + 71d00: 1bfc0223 12074d61 78537663 4d736753 ...#..MaxSvcMsgS + 71d10: 697a6500 00001bfc 02231407 54726169 ize......#..Trai + 71d20: 6c657253 70634368 65636b4c 696d6974 lerSpcCheckLimit + 71d30: 0000001b fc022316 07536572 76696365 ......#..Service + 71d40: 43747800 000002d6 02231800 0a000040 Ctx......#.....@ + 71d50: 7b040016 04000041 f819454e 44504f49 {......A..ENDPOI + 71d60: 4e545f55 4e555345 4400ffff ffff1445 NT_UNUSED......E + 71d70: 4e44504f 494e5430 00001445 4e44504f NDPOINT0...ENDPO + 71d80: 494e5431 00011445 4e44504f 494e5432 INT1...ENDPOINT2 + 71d90: 00021445 4e44504f 494e5433 00031445 ...ENDPOINT3...E + 71da0: 4e44504f 494e5434 00041445 4e44504f NDPOINT4...ENDPO + 71db0: 494e5435 00051445 4e44504f 494e5436 INT5...ENDPOINT6 + 71dc0: 00061445 4e44504f 494e5437 00071445 ...ENDPOINT7...E + 71dd0: 4e44504f 494e5438 00081445 4e44504f NDPOINT8...ENDPO + 71de0: 494e545f 4d415800 16000348 54435f45 INT_MAX....HTC_E + 71df0: 4e44504f 494e545f 49440000 0041610d NDPOINT_ID...Aa. + 71e00: 010a0000 420d0400 0d010a00 00421604 ....B........B.. + 71e10: 000a0000 01610400 0e00001b e8010a00 .....a.......... + 71e20: 00422604 000a0000 407b0400 095f4854 .B&.....@{..._HT + 71e30: 435f434f 4e464947 00140000 42ab0743 C_CONFIG....B..C + 71e40: 72656469 7453697a 65000000 01610223 reditSize....a.# + 71e50: 00074372 65646974 4e756d62 65720000 ..CreditNumber.. + 71e60: 00016102 2304074f 5348616e 646c6500 ..a.#..OSHandle. + 71e70: 00002331 02230807 48494648 616e646c ..#1.#..HIFHandl + 71e80: 65000000 318d0223 0c07506f 6f6c4861 e...1..#..PoolHa + 71e90: 6e646c65 0000003f 07022310 00095f48 ndle...?..#..._H + 71ea0: 54435f42 55465f43 4f4e5445 58540002 TC_BUF_CONTEXT.. + 71eb0: 000042e7 07656e64 5f706f69 6e740000 ..B..end_point.. + 71ec0: 001be802 23000768 74635f66 6c616773 ....#..htc_flags + 71ed0: 0000001b e8022301 00036874 635f6861 ......#...htc_ha + 71ee0: 6e646c65 5f740000 0002d603 4854435f ndle_t......HTC_ + 71ef0: 53455455 505f434f 4d504c45 54455f43 SETUP_COMPLETE_C + 71f00: 42000000 039c0348 54435f43 4f4e4649 B......HTC_CONFI + 71f10: 47000000 423a0a00 00431404 000e0000 G...B:...C...... + 71f20: 42e7010a 0000432b 04000d01 0a000043 B.....C+.......C + 71f30: 38040003 4854435f 53455256 49434500 8...HTC_SERVICE. + 71f40: 0000407b 0a000043 4104000d 010a0000 ..@{...CA....... + 71f50: 43590400 0d010a00 00436204 000d010a CY.......Cb..... + 71f60: 0000436b 04000e00 00016101 0a000043 ..Ck......a....C + 71f70: 74040009 6874635f 61706973 00340000 t...htc_apis.4.. + 71f80: 44f1075f 4854435f 496e6974 00000043 D.._HTC_Init...C + 71f90: 31022300 075f4854 435f5368 7574646f 1.#.._HTC_Shutdo + 71fa0: 776e0000 00433a02 2304075f 4854435f wn...C:.#.._HTC_ + 71fb0: 52656769 73746572 53657276 69636500 RegisterService. + 71fc0: 0000435b 02230807 5f485443 5f526561 ..C[.#.._HTC_Rea + 71fd0: 64790000 00433a02 230c075f 4854435f dy...C:.#.._HTC_ + 71fe0: 52657475 726e4275 66666572 73000000 ReturnBuffers... + 71ff0: 43640223 10075f48 54435f52 65747572 Cd.#.._HTC_Retur + 72000: 6e427566 66657273 4c697374 00000043 nBuffersList...C + 72010: 6d022314 075f4854 435f5365 6e644d73 m.#.._HTC_SendMs + 72020: 67000000 43640223 18075f48 54435f47 g...Cd.#.._HTC_G + 72030: 65745265 73657276 65644865 6164726f etReservedHeadro + 72040: 6f6d0000 00437a02 231c075f 4854435f om...Cz.#.._HTC_ + 72050: 4d736752 65637648 616e646c 65720000 MsgRecvHandler.. + 72060: 00313a02 2320075f 4854435f 53656e64 .1:.# ._HTC_Send + 72070: 446f6e65 48616e64 6c657200 00003131 DoneHandler...11 + 72080: 02232407 5f485443 5f436f6e 74726f6c .#$._HTC_Control + 72090: 53766350 726f6365 73734d73 67000000 SvcProcessMsg... + 720a0: 420f0223 28075f48 54435f43 6f6e7472 B..#(._HTC_Contr + 720b0: 6f6c5376 6350726f 63657373 53656e64 olSvcProcessSend + 720c0: 436f6d70 6c657465 00000042 1802232c Complete...B..#, + 720d0: 07705265 73657276 65640000 0002d602 .pReserved...... + 720e0: 23300009 686f7374 5f617070 5f617265 #0..host_app_are + 720f0: 615f7300 04000045 2107776d 695f7072 a_s....E!.wmi_pr + 72100: 6f746f63 6f6c5f76 65720000 001f2702 otocol_ver....'. + 72110: 23000008 0e000045 58076473 744d6163 #......EX.dstMac + 72120: 00000025 45022300 07737263 4d616300 ...%E.#..srcMac. + 72130: 00002545 02230607 74797065 4f724c65 ..%E.#..typeOrLe + 72140: 6e000000 25880223 0c000400 001f8e03 n...%..#........ + 72150: 00004565 05020008 08000045 b5076473 ..Ee.......E..ds + 72160: 61700000 001f8e02 23000773 73617000 ap......#..ssap. + 72170: 00001f8e 02230107 636e746c 0000001f .....#..cntl.... + 72180: 8e022302 076f7267 436f6465 00000045 ..#..orgCode...E + 72190: 58022303 07657468 65725479 70650000 X.#..etherType.. + 721a0: 00258802 23060008 02000045 d6077273 .%..#......E..rs + 721b0: 73690000 0024de02 23000769 6e666f00 si...$..#..info. + 721c0: 00001f8e 02230100 08040000 45fd0763 .....#......E..c + 721d0: 6f6d6d61 6e644964 00000025 88022300 ommandId...%..#. + 721e0: 07736571 4e6f0000 00258802 23020004 .seqNo...%..#... + 721f0: 00001f8e 01000046 0a050000 08020000 .......F........ + 72200: 4631076d 73675369 7a650000 001f8e02 F1.msgSize...... + 72210: 2300076d 73674461 74610000 0045fd02 #..msgData...E.. + 72220: 23010008 08000046 78076164 64726573 #......Fx.addres + 72230: 734c0000 00258802 23000761 64647265 sL...%..#..addre + 72240: 73734800 00002588 02230207 76616c75 ssH...%..#..valu + 72250: 654c0000 00258802 23040776 616c7565 eL...%..#..value + 72260: 48000000 25880223 06000357 4d495f41 H...%..#...WMI_A + 72270: 56540000 00463104 00004678 08000046 VT...F1...Fx...F + 72280: 92050000 080c0000 46c90774 75706c65 ........F..tuple + 72290: 4e756d4c 00000025 88022300 07747570 NumL...%..#..tup + 722a0: 6c654e75 6d480000 00258802 23020761 leNumH...%..#..a + 722b0: 76740000 00468502 23040008 01000046 vt...F..#......F + 722c0: eb076265 61636f6e 50656e64 696e6743 ..beaconPendingC + 722d0: 6f756e74 0000001f 8e022300 00095f57 ount......#..._W + 722e0: 4d495f53 56435f43 4f4e4649 47001000 MI_SVC_CONFIG... + 722f0: 00475407 48746348 616e646c 65000000 .GT.HtcHandle... + 72300: 42e70223 0007506f 6f6c4861 6e646c65 B..#..PoolHandle + 72310: 0000003f 07022304 074d6178 436d6452 ...?..#..MaxCmdR + 72320: 65706c79 45767473 00000001 61022308 eplyEvts....a.#. + 72330: 074d6178 4576656e 74457674 73000000 .MaxEventEvts... + 72340: 01610223 0c000d01 0a000047 54040003 .a.#.......GT... + 72350: 574d495f 434d445f 48414e44 4c455200 WMI_CMD_HANDLER. + 72360: 00004756 095f574d 495f4449 53504154 ..GV._WMI_DISPAT + 72370: 43485f45 4e545259 00080000 47bd0770 CH_ENTRY....G..p + 72380: 436d6448 616e646c 65720000 00475d02 CmdHandler...G]. + 72390: 23000743 6d644944 0000001b fc022304 #..CmdID......#. + 723a0: 07466c61 67730000 001bfc02 23060009 .Flags......#... + 723b0: 5f574d49 5f444953 50415443 485f5441 _WMI_DISPATCH_TA + 723c0: 424c4500 10000048 1e07704e 65787400 BLE....H..pNext. + 723d0: 0000481e 02230007 70436f6e 74657874 ..H..#..pContext + 723e0: 00000002 d6022304 074e756d 6265724f ......#..NumberO + 723f0: 66456e74 72696573 00000001 61022308 fEntries....a.#. + 72400: 07705461 626c6500 0000483d 02230c00 .pTable...H=.#.. + 72410: 0a000047 bd040003 574d495f 44495350 ...G....WMI_DISP + 72420: 41544348 5f454e54 52590000 0047720a ATCH_ENTRY...Gr. + 72430: 00004825 04000a00 0047bd04 00034854 ..H%.....G....HT + 72440: 435f4255 465f434f 4e544558 54000000 C_BUF_CONTEXT... + 72450: 42ab1357 4d495f45 56545f43 4c415353 B..WMI_EVT_CLASS + 72460: 00040000 48d51957 4d495f45 56545f43 ....H..WMI_EVT_C + 72470: 4c415353 5f4e4f4e 4500ffff ffff1457 LASS_NONE......W + 72480: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 72490: 5f455645 4e540000 14574d49 5f455654 _EVENT...WMI_EVT + 724a0: 5f434c41 53535f43 4d445f52 45504c59 _CLASS_CMD_REPLY + 724b0: 00011457 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 724c0: 5f4d4158 00020003 574d495f 4556545f _MAX....WMI_EVT_ + 724d0: 434c4153 53000000 4860095f 574d495f CLASS...H`._WMI_ + 724e0: 4255465f 434f4e54 45585400 0c000049 BUF_CONTEXT....I + 724f0: 33074874 63427566 43747800 0000484b 3.HtcBufCtx...HK + 72500: 02230007 4576656e 74436c61 73730000 .#..EventClass.. + 72510: 0048d502 23040746 6c616773 0000001b .H..#..Flags.... + 72520: fc022308 0003776d 695f6861 6e646c65 ..#...wmi_handle + 72530: 5f740000 0002d603 574d495f 5356435f _t......WMI_SVC_ + 72540: 434f4e46 49470000 0046eb0a 00004945 CONFIG...F....IE + 72550: 04000e00 00493301 0a000049 60040003 .....I3....I`... + 72560: 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB + 72570: 4c450000 0047bd0a 0000496d 04000d01 LE...G....Im.... + 72580: 0a000049 8c04000e 00002f25 010a0000 ...I....../%.... + 72590: 49950400 0d010a00 0049a204 000e0000 I........I...... + 725a0: 0161010a 000049ab 04000d01 0a000049 .a....I........I + 725b0: b804000e 00001be8 010a0000 49c10400 ............I... + 725c0: 095f776d 695f7376 635f6170 6973002c ._wmi_svc_apis., + 725d0: 00004b09 075f574d 495f496e 69740000 ..K.._WMI_Init.. + 725e0: 00496602 2300075f 574d495f 52656769 .If.#.._WMI_Regi + 725f0: 73746572 44697370 61746368 5461626c sterDispatchTabl + 72600: 65000000 498e0223 04075f57 4d495f41 e...I..#.._WMI_A + 72610: 6c6c6f63 4576656e 74000000 499b0223 llocEvent...I..# + 72620: 08075f57 4d495f53 656e6445 76656e74 .._WMI_SendEvent + 72630: 00000049 a402230c 075f574d 495f4765 ...I..#.._WMI_Ge + 72640: 7450656e 64696e67 4576656e 7473436f tPendingEventsCo + 72650: 756e7400 000049b1 02231007 5f574d49 unt...I..#.._WMI + 72660: 5f53656e 64436f6d 706c6574 6548616e _SendCompleteHan + 72670: 646c6572 00000042 18022314 075f574d dler...B..#.._WM + 72680: 495f4765 74436f6e 74726f6c 45700000 I_GetControlEp.. + 72690: 0049b102 2318075f 574d495f 53687574 .I..#.._WMI_Shut + 726a0: 646f776e 00000049 ba02231c 075f574d down...I..#.._WM + 726b0: 495f5265 63764d65 73736167 6548616e I_RecvMessageHan + 726c0: 646c6572 00000042 0f022320 075f574d dler...B..# ._WM + 726d0: 495f5365 72766963 65436f6e 6e656374 I_ServiceConnect + 726e0: 00000049 c7022324 07705265 73657276 ...I..#$.pReserv + 726f0: 65640000 0002d602 23280009 7a73446d ed......#(..zsDm + 72700: 61446573 63001400 004b8b07 6374726c aDesc....K..ctrl + 72710: 00000007 c5022300 07737461 74757300 ......#..status. + 72720: 000007c5 02230207 746f7461 6c4c656e .....#..totalLen + 72730: 00000007 c5022304 07646174 6153697a ......#..dataSiz + 72740: 65000000 07c50223 06076c61 73744164 e......#..lastAd + 72750: 64720000 004b8b02 23080764 61746141 dr...K..#..dataA + 72760: 64647200 000001f4 02230c07 6e657874 ddr......#..next + 72770: 41646472 0000004b 8b022310 000a0000 Addr...K..#..... + 72780: 4b090400 0a00004b 09040009 7a73446d K......K....zsDm + 72790: 61517565 75650008 00004bcb 07686561 aQueue....K..hea + 727a0: 64000000 4b920223 00077465 726d696e d...K..#..termin + 727b0: 61746f72 0000004b 92022304 00097a73 ator...K..#...zs + 727c0: 5478446d 61517565 75650010 00004c2f TxDmaQueue....L/ + 727d0: 07686561 64000000 4b920223 00077465 .head...K..#..te + 727e0: 726d696e 61746f72 0000004b 92022304 rminator...K..#. + 727f0: 07786d69 7465645f 6275665f 68656164 .xmited_buf_head + 72800: 0000001d 47022308 07786d69 7465645f ....G.#..xmited_ + 72810: 6275665f 7461696c 0000001d 4702230c buf_tail....G.#. + 72820: 000d010a 00004c2f 04000a00 004b9904 ......L/.....K.. + 72830: 000d010a 00004c3f 04000a00 004bcb04 ......L?.....K.. + 72840: 000d010a 00004c4f 04000d01 0a00004c ......LO.......L + 72850: 5804000d 010a0000 4c610400 0e00001d X.......La...... + 72860: 47010a00 004c6a04 000d010a 00004c77 G....Lj.......Lw + 72870: 04000e00 001d4701 0a00004c 8004000d ......G....L.... + 72880: 010a0000 4c8d0400 0e000001 61010a00 ....L.......a... + 72890: 004c9604 000e0000 4b92010a 00004ca3 .L......K.....L. + 728a0: 04000d01 0a00004c b0040009 646d615f .......L....dma_ + 728b0: 656e6769 6e655f61 70690040 00004e26 engine_api.@..N& + 728c0: 075f696e 69740000 004c3102 2300075f ._init...L1.#.._ + 728d0: 696e6974 5f72785f 71756575 65000000 init_rx_queue... + 728e0: 4c410223 04075f69 6e69745f 74785f71 LA.#.._init_tx_q + 728f0: 75657565 0000004c 51022308 075f636f ueue...LQ.#.._co + 72900: 6e666967 5f72785f 71756575 65000000 nfig_rx_queue... + 72910: 4c5a0223 0c075f78 6d69745f 62756600 LZ.#.._xmit_buf. + 72920: 00004c63 02231007 5f666c75 73685f78 ..Lc.#.._flush_x + 72930: 6d697400 00004c41 02231407 5f726561 mit...LA.#.._rea + 72940: 705f7265 63765f62 75660000 004c7002 p_recv_buf...Lp. + 72950: 2318075f 72657475 726e5f72 6563765f #.._return_recv_ + 72960: 62756600 00004c79 02231c07 5f726561 buf...Ly.#.._rea + 72970: 705f786d 69746564 5f627566 0000004c p_xmited_buf...L + 72980: 86022320 075f7377 61705f64 61746100 ..# ._swap_data. + 72990: 00004c8f 02232407 5f686173 5f636f6d ..L..#$._has_com + 729a0: 706c5f70 61636b65 74730000 004c9c02 pl_packets...L.. + 729b0: 2328075f 64657363 5f64756d 70000000 #(._desc_dump... + 729c0: 4c410223 2c075f67 65745f70 61636b65 LA.#,._get_packe + 729d0: 74000000 4ca90223 30075f72 65636c61 t...L..#0._recla + 729e0: 696d5f70 61636b65 74000000 4cb20223 im_packet...L..# + 729f0: 34075f70 75745f70 61636b65 74000000 4._put_packet... + 72a00: 4cb20223 38077052 65736572 76656400 L..#8.pReserved. + 72a10: 000002d6 02233c00 035f415f 636d6e6f .....#<.._A_cmno + 72a20: 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta + 72a30: 626c655f 74000000 39650357 4d495f53 ble_t...9e.WMI_S + 72a40: 56435f41 50495300 000049ce 0c5f415f VC_APIS...I.._A_ + 72a50: 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 72a60: 6f6e5f74 61626c65 00034c00 004f5407 on_table..L..OT. + 72a70: 636d6e6f 73000000 4e260223 00076462 cmnos...N&.#..db + 72a80: 67000000 0d000323 b8030768 69660000 g......#...hif.. + 72a90: 00323003 23c00307 68746300 00004381 .20.#...htc...C. + 72aa0: 0323f803 07776d69 5f737663 5f617069 .#...wmi_svc_api + 72ab0: 0000004e 480323ac 04077573 62666966 ...NH.#...usbfif + 72ac0: 6f5f6170 69000000 3b390323 d8040762 o_api...;9.#...b + 72ad0: 75665f70 6f6f6c00 00003fe2 0323e404 uf_pool...?..#.. + 72ae0: 07766275 66000000 1d710323 80050776 .vbuf....q.#...v + 72af0: 64657363 0000001c 53032394 0507616c desc....S.#...al + 72b00: 6c6f6372 616d0000 00125203 23a80507 locram....R.#... + 72b10: 646d615f 656e6769 6e650000 004cb903 dma_engine...L.. + 72b20: 23b40507 646d615f 6c696200 000034c4 #...dma_lib...4. + 72b30: 0323f405 07686966 5f706369 00000037 .#...hif_pci...7 + 72b40: 240323a8 0600035f 415f6d61 67706965 $.#...._A_magpie + 72b50: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 72b60: 6c655f74 0000004e 5a1a013b 7a66446d le_t...NZ..;zfDm + 72b70: 61476574 5061636b 65740000 004b9201 aGetPacket...K.. + 72b80: 01039201 20029000 008e5cf0 008e5d29 .... .....\...]) + 72b90: 00004fb8 1b013b71 0000004c 3801521c ..O...;q...L8.R. + 72ba0: 64657363 0000004b 92001d01 657a6644 desc...K....ezfD + 72bb0: 6d615265 636c6169 6d506163 6b657400 maReclaimPacket. + 72bc0: 01010492 01c00002 9000008e 5d2c008e ............],.. + 72bd0: 5dbe0000 50331b01 65710000 004c3801 ]...P3..eq...L8. + 72be0: 521b0165 64657363 0000004b 9201531c R..edesc...K..S. + 72bf0: 746d7044 65736300 00004b92 1e746465 tmpDesc...K..tde + 72c00: 73630000 004b0902 91401c76 64657363 sc...K...@.vdesc + 72c10: 0000001c 291c7674 65726d64 65736300 ....).vtermdesc. + 72c20: 00001c29 001f01b7 7a66446d 61507574 ...)....zfDmaPut + 72c30: 5061636b 65740001 01049201 c0000290 Packet.......... + 72c40: 00008e5d c0008e5e 5f1b01b7 71000000 ...]...^_...q... + 72c50: 4c380152 1b01b764 65736300 00004b92 L8.R...desc...K. + 72c60: 01531c74 6d704465 73630000 004b921e .S.tmpDesc...K.. + 72c70: 74646573 63000000 4b090291 401c7664 tdesc...K...@.vd + 72c80: 65736300 00001c29 1c767465 726d6465 esc....).vtermde + 72c90: 73630000 001c2900 00000000 4e220002 sc....).....N".. + 72ca0: 00002983 04012f72 6f6f742f 576f726b ..).../root/Work + 72cb0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 72cc0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 72cd0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 72ce0: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 72cf0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 72d00: 726f6d2f 6677642f 2f667764 2e63002f rom/fwd//fwd.c./ + 72d10: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 72d20: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 72d30: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 72d40: 6d2f6677 64007874 2d786363 20666f72 m/fwd.xt-xcc for + 72d50: 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig + 72d60: 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 + 72d70: 32202d4f 32202d67 33202d4f 50543a73 2 -O2 -g3 -OPT:s + 72d80: 70616365 00010000 019aa702 01030000 pace............ + 72d90: 00f10400 04696e74 00050404 63686172 .....int....char + 72da0: 00070105 00000101 05000001 01030000 ................ + 72db0: 010e0400 06000000 fa010300 00011a04 ................ + 72dc0: 00077072 696e7466 5f617069 00080000 ..printf_api.... + 72dd0: 015e085f 7072696e 74665f69 6e697400 .^._printf_init. + 72de0: 000000f3 02230008 5f707269 6e746600 .....#.._printf. + 72df0: 00000120 02230400 0473686f 72742075 ... .#...short u + 72e00: 6e736967 6e656420 696e7400 07020975 nsigned int....u + 72e10: 696e7431 365f7400 0000015e 046c6f6e int16_t....^.lon + 72e20: 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 72e30: 04097569 6e743332 5f740000 00018207 ..uint32_t...... + 72e40: 75617274 5f666966 6f000800 0001f008 uart_fifo....... + 72e50: 73746172 745f696e 64657800 00000174 start_index....t + 72e60: 02230008 656e645f 696e6465 78000000 .#..end_index... + 72e70: 01740223 02086f76 65727275 6e5f6572 .t.#..overrun_er + 72e80: 72000000 01970223 04000775 6172745f r......#...uart_ + 72e90: 61706900 20000002 a9085f75 6172745f api. ....._uart_ + 72ea0: 696e6974 00000003 00022300 085f7561 init......#.._ua + 72eb0: 72745f63 6861725f 70757400 00000327 rt_char_put....' + 72ec0: 02230408 5f756172 745f6368 61725f67 .#.._uart_char_g + 72ed0: 65740000 00033b02 2308085f 75617274 et....;.#.._uart + 72ee0: 5f737472 5f6f7574 00000003 4402230c _str_out....D.#. + 72ef0: 085f7561 72745f74 61736b00 000000f3 ._uart_task..... + 72f00: 02231008 5f756172 745f7374 61747573 .#.._uart_status + 72f10: 00000003 00022314 085f7561 72745f63 ......#.._uart_c + 72f20: 6f6e6669 67000000 034d0223 18085f75 onfig....M.#.._u + 72f30: 6172745f 6877696e 69740000 00035602 art_hwinit....V. + 72f40: 231c0003 000001f0 04000775 6172745f #..........uart_ + 72f50: 626c6b00 10000002 fa086465 6275675f blk.......debug_ + 72f60: 6d6f6465 00000001 74022300 08626175 mode....t.#..bau + 72f70: 64000000 01740223 02085f75 61727400 d....t.#.._uart. + 72f80: 000002a9 02230408 5f747800 000001a5 .....#.._tx..... + 72f90: 02230800 06000001 97010300 0002fa04 .#.............. + 72fa0: 0004756e 7369676e 65642063 68617200 ..unsigned char. + 72fb0: 07010975 696e7438 5f740000 00030702 ...uint8_t...... + 72fc0: 01030000 03250400 03000003 18040006 .....%.......... + 72fd0: 00000174 01030000 03350400 02010300 ...t.....5...... + 72fe0: 00034204 00020103 0000034b 04000201 ..B........K.... + 72ff0: 03000003 54040003 00000101 04000600 ....T........... + 73000: 0000fa01 03000003 64040007 44425f43 ........d...DB_C + 73010: 4f4d4d41 4e445f53 54525543 54000c00 OMMAND_STRUCT... + 73020: 0003bc08 636d645f 73747200 0000035d ....cmd_str....] + 73030: 02230008 68656c70 5f737472 00000003 .#..help_str.... + 73040: 5d022304 08636d64 5f66756e 63000000 ].#..cmd_func... + 73050: 036a0223 08000764 62675f61 70690008 .j.#...dbg_api.. + 73060: 000003ef 085f6462 675f696e 69740000 ....._dbg_init.. + 73070: 0000f302 2300085f 6462675f 7461736b ....#.._dbg_task + 73080: 00000000 f3022304 000a0400 04756e73 ......#......uns + 73090: 69676e65 6420696e 74000704 06000003 igned int....... + 730a0: ef010300 00040204 000b0b03 00000410 ................ + 730b0: 04000600 0003ef01 03000004 18040006 ................ + 730c0: 000000fa 01030000 04250400 076d656d .........%...mem + 730d0: 5f617069 00140000 0494085f 6d656d5f _api......._mem_ + 730e0: 696e6974 00000000 f3022300 085f6d65 init......#.._me + 730f0: 6d736574 00000004 08022304 085f6d65 mset......#.._me + 73100: 6d637079 00000004 1e022308 085f6d65 mcpy......#.._me + 73110: 6d6d6f76 65000000 041e0223 0c085f6d mmove......#.._m + 73120: 656d636d 70000000 042b0223 10000c72 emcmp....+.#...r + 73130: 65676973 7465725f 64756d70 5f730000 egister_dump_s.. + 73140: 01030000 04940400 02010300 0004ae04 ................ + 73150: 00020103 000004b7 04000600 0000fa01 ................ + 73160: 03000004 c004000d 686f7374 69665f73 ........hostif_s + 73170: 00040000 051c0e48 49465f55 53420000 .......HIF_USB.. + 73180: 0e484946 5f504349 4500010e 4849465f .HIF_PCIE...HIF_ + 73190: 474d4143 00020e48 49465f50 43490003 GMAC...HIF_PCI.. + 731a0: 0e484946 5f4e554d 00040e48 49465f4e .HIF_NUM...HIF_N + 731b0: 4f4e4500 05000941 5f484f53 54494600 ONE....A_HOSTIF. + 731c0: 000004cd 06000005 1c010300 00052a04 ..............*. + 731d0: 00060000 03180103 00000537 04000600 ...........7.... + 731e0: 00017401 03000005 44040007 6d697363 ..t.....D...misc + 731f0: 5f617069 00240000 0634085f 73797374 _api.$...4._syst + 73200: 656d5f72 65736574 00000000 f3022300 em_reset......#. + 73210: 085f6d61 635f7265 73657400 000000f3 ._mac_reset..... + 73220: 02230408 5f617373 6661696c 00000004 .#.._assfail.... + 73230: b0022308 085f6d69 73616c69 676e6564 ..#.._misaligned + 73240: 5f6c6f61 645f6861 6e646c65 72000000 _load_handler... + 73250: 04b00223 0c085f72 65706f72 745f6661 ...#.._report_fa + 73260: 696c7572 655f746f 5f686f73 74000000 ilure_to_host... + 73270: 04b90223 10085f74 61726765 745f6964 ...#.._target_id + 73280: 5f676574 00000004 c6022314 085f6973 _get......#.._is + 73290: 5f686f73 745f7072 6573656e 74000000 _host_present... + 732a0: 05300223 18085f6b 62686974 00000005 .0.#.._kbhit.... + 732b0: 3d02231c 085f726f 6d5f7665 7273696f =.#.._rom_versio + 732c0: 6e5f6765 74000000 054a0223 20000600 n_get....J.# ... + 732d0: 00035d01 03000006 34040006 0000035d ..].....4......] + 732e0: 01030000 06410400 06000000 fa010300 .....A.......... + 732f0: 00064e04 00060000 00fa0103 0000065b ..N............[ + 73300: 04000600 0000fa01 03000006 68040007 ............h... + 73310: 73747269 6e675f61 70690018 000006ee string_api...... + 73320: 085f7374 72696e67 5f696e69 74000000 ._string_init... + 73330: 00f30223 00085f73 74726370 79000000 ...#.._strcpy... + 73340: 063a0223 04085f73 74726e63 70790000 .:.#.._strncpy.. + 73350: 00064702 2308085f 7374726c 656e0000 ..G.#.._strlen.. + 73360: 00065402 230c085f 73747263 6d700000 ..T.#.._strcmp.. + 73370: 00066102 2310085f 7374726e 636d7000 ..a.#.._strncmp. + 73380: 0000066e 02231400 0f000003 f2140000 ...n.#.......... + 73390: 06fb1004 00095f41 5f54494d 45525f53 ......_A_TIMER_S + 733a0: 50414345 00000006 ee09415f 74696d65 PACE......A_time + 733b0: 725f7400 000006fb 03000007 0f040002 r_t............. + 733c0: 01030000 07250400 02010300 00072e04 .....%.......... + 733d0: 0009415f 48414e44 4c450000 0003f202 ..A_HANDLE...... + 733e0: 0109415f 54494d45 525f4655 4e430000 ..A_TIMER_FUNC.. + 733f0: 00074503 00000747 04000201 03000007 ..E....G........ + 73400: 60040007 74696d65 725f6170 69001400 `...timer_api... + 73410: 0007df08 5f74696d 65725f69 6e697400 ...._timer_init. + 73420: 000000f3 02230008 5f74696d 65725f61 .....#.._timer_a + 73430: 726d0000 00072702 2304085f 74696d65 rm....'.#.._time + 73440: 725f6469 7361726d 00000007 30022308 r_disarm....0.#. + 73450: 085f7469 6d65725f 73657466 6e000000 ._timer_setfn... + 73460: 07620223 0c085f74 696d6572 5f72756e .b.#.._timer_run + 73470: 00000000 f3022310 0009424f 4f4c4541 ......#...BOOLEA + 73480: 4e000000 01740600 0007df01 03000007 N....t.......... + 73490: ec040006 000007df 01030000 07f90400 ................ + 734a0: 06000007 df010300 00080604 0007726f ..............ro + 734b0: 6d705f61 70690010 00000878 085f726f mp_api.....x._ro + 734c0: 6d705f69 6e697400 000000f3 02230008 mp_init......#.. + 734d0: 5f726f6d 705f646f 776e6c6f 61640000 _romp_download.. + 734e0: 0007f202 2304085f 726f6d70 5f696e73 ....#.._romp_ins + 734f0: 74616c6c 00000007 ff022308 085f726f tall......#.._ro + 73500: 6d705f64 65636f64 65000000 080c0223 mp_decode......# + 73510: 0c000772 6f6d5f70 61746368 5f737400 ...rom_patch_st. + 73520: 10000008 d4086372 63313600 00000174 ......crc16....t + 73530: 02230008 6c656e00 00000174 02230208 .#..len....t.#.. + 73540: 6c645f61 64647200 00000197 02230408 ld_addr......#.. + 73550: 66756e5f 61646472 00000001 97022308 fun_addr......#. + 73560: 08706675 6e000000 032e0223 0c000765 .pfun......#...e + 73570: 65705f72 65646972 5f616464 72000400 ep_redir_addr... + 73580: 00090608 6f666673 65740000 00017402 ....offset....t. + 73590: 23000873 697a6500 00000174 02230200 #..size....t.#.. + 735a0: 09415f55 494e5433 32000000 03f20600 .A_UINT32....... + 735b0: 0003ef01 03000009 14040007 616c6c6f ............allo + 735c0: 6372616d 5f617069 000c0000 09850863 cram_api.......c + 735d0: 6d6e6f73 5f616c6c 6f637261 6d5f696e mnos_allocram_in + 735e0: 69740000 00091a02 23000863 6d6e6f73 it......#..cmnos + 735f0: 5f616c6c 6f637261 6d000000 091a0223 _allocram......# + 73600: 0408636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 73610: 5f646562 75670000 0000f302 23080002 _debug......#... + 73620: 01030000 09850400 09415f54 41534b4c .........A_TASKL + 73630: 45545f46 554e4300 00000987 075f7461 ET_FUNC......_ta + 73640: 736b6c65 74001000 0009e608 66756e63 sklet.......func + 73650: 00000009 8e022300 08617267 00000003 ......#..arg.... + 73660: ef022304 08737461 74650000 0000fa02 ..#..state...... + 73670: 2308086e 65787400 000009e6 02230c00 #..next......#.. + 73680: 03000009 a2040003 000009a2 04000941 ...............A + 73690: 5f746173 6b6c6574 5f740000 0009a203 _tasklet_t...... + 736a0: 000009f4 04000201 0300000a 0c040002 ................ + 736b0: 01030000 0a150400 07746173 6b6c6574 .........tasklet + 736c0: 5f617069 00140000 0aaa085f 7461736b _api......._task + 736d0: 6c65745f 696e6974 00000000 f3022300 let_init......#. + 736e0: 085f7461 736b6c65 745f696e 69745f74 ._tasklet_init_t + 736f0: 61736b00 00000a0e 02230408 5f746173 ask......#.._tas + 73700: 6b6c6574 5f646973 61626c65 0000000a klet_disable.... + 73710: 17022308 085f7461 736b6c65 745f7363 ..#.._tasklet_sc + 73720: 68656475 6c650000 000a1702 230c085f hedule......#.._ + 73730: 7461736b 6c65745f 72756e00 000000f3 tasklet_run..... + 73740: 02231000 02010300 000aaa04 00060000 .#.............. + 73750: 09060103 00000ab3 04000201 0300000a ................ + 73760: c0040007 636c6f63 6b5f6170 69002400 ....clock_api.$. + 73770: 000ba208 5f636c6f 636b5f69 6e697400 ...._clock_init. + 73780: 00000aac 02230008 5f636c6f 636b7265 .....#.._clockre + 73790: 67735f69 6e697400 000000f3 02230408 gs_init......#.. + 737a0: 5f756172 745f6672 65717565 6e637900 _uart_frequency. + 737b0: 00000ab9 02230808 5f64656c 61795f75 .....#.._delay_u + 737c0: 73000000 0ac20223 0c085f77 6c616e5f s......#.._wlan_ + 737d0: 62616e64 5f736574 0000000a c2022310 band_set......#. + 737e0: 085f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g + 737f0: 65740000 000ab902 2314085f 6d696c6c et......#.._mill + 73800: 69736563 6f6e6473 0000000a b9022318 iseconds......#. + 73810: 085f7379 73636c6b 5f636861 6e676500 ._sysclk_change. + 73820: 000000f3 02231c08 5f636c6f 636b5f74 .....#.._clock_t + 73830: 69636b00 000000f3 02232000 06000001 ick......# ..... + 73840: 97010300 000ba204 0009415f 6f6c645f ..........A_old_ + 73850: 696e7472 5f740000 00019706 00000baf intr_t.......... + 73860: 01030000 0bc10400 02010300 000bce04 ................ + 73870: 00020103 00000bd7 04000600 00019701 ................ + 73880: 0300000b e0040009 415f6973 725f7400 ........A_isr_t. + 73890: 00000be6 02010300 000bfa04 00060000 ................ + 738a0: 03f20103 00000c03 04000201 0300000c ................ + 738b0: 10040007 696e7472 5f617069 002c0000 ....intr_api.,.. + 738c0: 0d32085f 696e7472 5f696e69 74000000 .2._intr_init... + 738d0: 00f30223 00085f69 6e74725f 696e766f ...#.._intr_invo + 738e0: 6b655f69 73720000 000ba802 2304085f ke_isr......#.._ + 738f0: 696e7472 5f646973 61626c65 0000000b intr_disable.... + 73900: c7022308 085f696e 74725f72 6573746f ..#.._intr_resto + 73910: 72650000 000bd002 230c085f 696e7472 re......#.._intr + 73920: 5f6d6173 6b5f696e 756d0000 000bd902 _mask_inum...... + 73930: 2310085f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ + 73940: 696e756d 0000000b d9022314 085f696e inum......#.._in + 73950: 74725f61 74746163 685f6973 72000000 tr_attach_isr... + 73960: 0bfc0223 18085f67 65745f69 6e747265 ...#.._get_intre + 73970: 6e61626c 65000000 0c090223 1c085f73 nable......#.._s + 73980: 65745f69 6e747265 6e61626c 65000000 et_intrenable... + 73990: 0c120223 20085f67 65745f69 6e747270 ...# ._get_intrp + 739a0: 656e6469 6e670000 000c0902 2324085f ending......#$._ + 739b0: 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr + 739c0: 6c766c00 000000f3 02232800 11040000 lvl......#(..... + 739d0: 0d580874 696d656f 75740000 00019702 .X.timeout...... + 739e0: 23000861 6374696f 6e000000 01970223 #..action......# + 739f0: 00001208 00000d73 08636d64 00000001 .......s.cmd.... + 73a00: 97022300 1300000d 32022304 0009545f ..#.....2.#...T_ + 73a10: 5744545f 434d4400 00000d58 02010300 WDT_CMD....X.... + 73a20: 000d8204 00140400 000dd80e 454e554d ............ENUM + 73a30: 5f574454 5f424f4f 5400010e 454e554d _WDT_BOOT...ENUM + 73a40: 5f434f4c 445f424f 4f540002 0e454e55 _COLD_BOOT...ENU + 73a50: 4d5f5355 53505f42 4f4f5400 030e454e M_SUSP_BOOT...EN + 73a60: 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. + 73a70: 04000954 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. + 73a80: 000d8b06 00000dd8 01030000 0de90400 ................ + 73a90: 07776474 5f617069 001c0000 0e8d085f .wdt_api......._ + 73aa0: 7764745f 696e6974 00000000 f3022300 wdt_init......#. + 73ab0: 085f7764 745f656e 61626c65 00000000 ._wdt_enable.... + 73ac0: f3022304 085f7764 745f6469 7361626c ..#.._wdt_disabl + 73ad0: 65000000 00f30223 08085f77 64745f73 e......#.._wdt_s + 73ae0: 65740000 000d8402 230c085f 7764745f et......#.._wdt_ + 73af0: 7461736b 00000000 f3022310 085f7764 task......#.._wd + 73b00: 745f7265 73657400 000000f3 02231408 t_reset......#.. + 73b10: 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 73b20: 000def02 23180014 0400000e f40e5245 ....#.........RE + 73b30: 545f5355 43434553 5300000e 5245545f T_SUCCESS...RET_ + 73b40: 4e4f545f 494e4954 00010e52 45545f4e NOT_INIT...RET_N + 73b50: 4f545f45 58495354 00020e52 45545f45 OT_EXIST...RET_E + 73b60: 45505f43 4f525255 50540003 0e524554 EP_CORRUPT...RET + 73b70: 5f454550 5f4f5645 52464c4f 5700040e _EEP_OVERFLOW... + 73b80: 5245545f 554e4b4e 4f574e00 05000954 RET_UNKNOWN....T + 73b90: 5f454550 5f524554 0000000e 8d030000 _EEP_RET........ + 73ba0: 01740400 0600000e f4010300 000f0a04 .t.............. + 73bb0: 00060000 0ef40103 00000f17 04000765 ...............e + 73bc0: 65705f61 70690010 00000f80 085f6565 ep_api......._ee + 73bd0: 705f696e 69740000 0000f302 2300085f p_init......#.._ + 73be0: 6565705f 72656164 0000000f 10022304 eep_read......#. + 73bf0: 085f6565 705f7772 69746500 00000f10 ._eep_write..... + 73c00: 02230808 5f656570 5f69735f 65786973 .#.._eep_is_exis + 73c10: 74000000 0f1d0223 0c000775 73625f61 t......#...usb_a + 73c20: 70690070 0000122d 085f7573 625f696e pi.p...-._usb_in + 73c30: 69740000 0000f302 2300085f 7573625f it......#.._usb_ + 73c40: 726f6d5f 7461736b 00000000 f3022304 rom_task......#. + 73c50: 085f7573 625f6677 5f746173 6b000000 ._usb_fw_task... + 73c60: 00f30223 08085f75 73625f69 6e69745f ...#.._usb_init_ + 73c70: 70687900 000000f3 02230c08 5f757362 phy......#.._usb + 73c80: 5f657030 5f736574 75700000 0000f302 _ep0_setup...... + 73c90: 2310085f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. + 73ca0: 0000f302 2314085f 7573625f 6570305f ....#.._usb_ep0_ + 73cb0: 72780000 0000f302 2318085f 7573625f rx......#.._usb_ + 73cc0: 6765745f 696e7465 72666163 65000000 get_interface... + 73cd0: 07ff0223 1c085f75 73625f73 65745f69 ...#.._usb_set_i + 73ce0: 6e746572 66616365 00000007 ff022320 nterface......# + 73cf0: 085f7573 625f6765 745f636f 6e666967 ._usb_get_config + 73d00: 75726174 696f6e00 000007ff 02232408 uration......#$. + 73d10: 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu + 73d20: 72617469 6f6e0000 0007ff02 2328085f ration......#(._ + 73d30: 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd + 73d40: 00000007 ff02232c 085f7573 625f7665 ......#,._usb_ve + 73d50: 6e646f72 5f636d64 00000000 f3022330 ndor_cmd......#0 + 73d60: 085f7573 625f706f 7765725f 6f666600 ._usb_power_off. + 73d70: 000000f3 02233408 5f757362 5f726573 .....#4._usb_res + 73d80: 65745f66 69666f00 000000f3 02233808 et_fifo......#8. + 73d90: 5f757362 5f67656e 5f776474 00000000 _usb_gen_wdt.... + 73da0: f302233c 085f7573 625f6a75 6d705f62 ..#<._usb_jump_b + 73db0: 6f6f7400 000000f3 02234008 5f757362 oot......#@._usb + 73dc0: 5f636c72 5f666561 74757265 00000007 _clr_feature.... + 73dd0: ff022344 085f7573 625f7365 745f6665 ..#D._usb_set_fe + 73de0: 61747572 65000000 07ff0223 48085f75 ature......#H._u + 73df0: 73625f73 65745f61 64647265 73730000 sb_set_address.. + 73e00: 0007ff02 234c085f 7573625f 6765745f ....#L._usb_get_ + 73e10: 64657363 72697074 6f720000 0007ff02 descriptor...... + 73e20: 2350085f 7573625f 6765745f 73746174 #P._usb_get_stat + 73e30: 75730000 0007ff02 2354085f 7573625f us......#T._usb_ + 73e40: 73657475 705f6465 73630000 0000f302 setup_desc...... + 73e50: 2358085f 7573625f 7265675f 6f757400 #X._usb_reg_out. + 73e60: 000000f3 02235c08 5f757362 5f737461 .....#\._usb_sta + 73e70: 7475735f 696e0000 0000f302 2360085f tus_in......#`._ + 73e80: 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. + 73e90: 000000f3 02236408 5f757362 5f657030 .....#d._usb_ep0 + 73ea0: 5f72785f 64617461 00000000 f3022368 _rx_data......#h + 73eb0: 085f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. + 73ec0: 0000f302 236c0007 5f564445 53430024 ....#l.._VDESC.$ + 73ed0: 000012b9 086e6578 745f6465 73630000 .....next_desc.. + 73ee0: 0012b902 23000862 75665f61 64647200 ....#..buf_addr. + 73ef0: 000012cd 02230408 6275665f 73697a65 .....#..buf_size + 73f00: 00000012 d4022308 08646174 615f6f66 ......#..data_of + 73f10: 66736574 00000012 d402230a 08646174 fset......#..dat + 73f20: 615f7369 7a650000 0012d402 230c0863 a_size......#..c + 73f30: 6f6e7472 6f6c0000 0012d402 230e0868 ontrol......#..h + 73f40: 775f6465 73635f62 75660000 0012e202 w_desc_buf...... + 73f50: 23100003 0000122d 04000941 5f55494e #......-...A_UIN + 73f60: 54380000 00030703 000012c0 04000941 T8.............A + 73f70: 5f55494e 54313600 0000015e 0f000012 _UINT16....^.... + 73f80: c0140000 12ef1013 00030000 122d0400 .............-.. + 73f90: 09564445 53430000 00122d03 000012f6 .VDESC....-..... + 73fa0: 04000600 00130101 03000013 08040006 ................ + 73fb0: 000012cd 01030000 13150400 02010300 ................ + 73fc0: 00132204 00077664 6573635f 61706900 .."...vdesc_api. + 73fd0: 14000013 9a085f69 6e697400 00000ac2 ......_init..... + 73fe0: 02230008 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc + 73ff0: 00000013 0e022304 085f6765 745f6877 ......#.._get_hw + 74000: 5f646573 63000000 131b0223 08085f73 _desc......#.._s + 74010: 7761705f 76646573 63000000 13240223 wap_vdesc....$.# + 74020: 0c087052 65736572 76656400 000003ef ..pReserved..... + 74030: 02231000 075f5642 55460020 000013fa .#..._VBUF. .... + 74040: 08646573 635f6c69 73740000 00130102 .desc_list...... + 74050: 2300086e 6578745f 62756600 000013fa #..next_buf..... + 74060: 02230408 6275665f 6c656e67 74680000 .#..buf_length.. + 74070: 0012d402 23080872 65736572 76656400 ....#..reserved. + 74080: 00001401 02230a08 63747800 000012e2 .....#..ctx..... + 74090: 02230c00 03000013 9a04000f 000012c0 .#.............. + 740a0: 02000014 0e100100 03000013 9a040009 ................ + 740b0: 56425546 00000013 9a030000 14150400 VBUF............ + 740c0: 06000014 1f010300 00142604 00060000 ..........&..... + 740d0: 141f0103 00001433 04000201 03000014 .......3........ + 740e0: 40040007 76627566 5f617069 00140000 @...vbuf_api.... + 740f0: 14be085f 696e6974 0000000a c2022300 ..._init......#. + 74100: 085f616c 6c6f635f 76627566 00000014 ._alloc_vbuf.... + 74110: 2c022304 085f616c 6c6f635f 76627566 ,.#.._alloc_vbuf + 74120: 5f776974 685f7369 7a650000 00143902 _with_size....9. + 74130: 2308085f 66726565 5f766275 66000000 #.._free_vbuf... + 74140: 14420223 0c087052 65736572 76656400 .B.#..pReserved. + 74150: 000003ef 02231000 075f5f61 64665f64 .....#...__adf_d + 74160: 65766963 65000400 0014e008 64756d6d evice.......dumm + 74170: 79000000 00fa0223 00000300 00090604 y......#........ + 74180: 00075f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 74190: 0c000015 27086275 66000000 141f0223 ....'.buf......# + 741a0: 00086473 5f616464 72000000 14e00223 ..ds_addr......# + 741b0: 04086473 5f6c656e 00000012 d4022308 ..ds_len......#. + 741c0: 00120c00 00156108 5f5f7661 5f73746b ......a.__va_stk + 741d0: 00000003 5d022300 085f5f76 615f7265 ....].#..__va_re + 741e0: 67000000 035d0223 04085f5f 76615f6e g....].#..__va_n + 741f0: 64780000 0000fa02 23080009 5f5f6164 dx......#...__ad + 74200: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 74210: 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ + 74220: 61646472 5f740000 00156109 5f5f6164 addr_t....a.__ad + 74230: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 74240: 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ + 74250: 73697a65 5f740000 00159107 5f5f646d size_t......__dm + 74260: 615f7365 67730008 000015ed 08706164 a_segs.......pad + 74270: 64720000 00157a02 2300086c 656e0000 dr....z.#..len.. + 74280: 0015aa02 23040009 5f5f615f 75696e74 ....#...__a_uint + 74290: 33325f74 00000009 0609615f 75696e74 32_t......a_uint + 742a0: 33325f74 00000015 ed0f0000 15c10800 32_t............ + 742b0: 00161c10 00000761 64665f6f 735f646d .......adf_os_dm + 742c0: 616d6170 5f696e66 6f000c00 00165508 amap_info.....U. + 742d0: 6e736567 73000000 15ff0223 0008646d nsegs......#..dm + 742e0: 615f7365 67730000 00160f02 23040009 a_segs......#... + 742f0: 5f5f615f 75696e74 385f7400 000012c0 __a_uint8_t..... + 74300: 09615f75 696e7438 5f740000 00165503 .a_uint8_t....U. + 74310: 00001666 0400075f 5f73675f 73656773 ...f...__sg_segs + 74320: 00080000 16a70876 61646472 00000016 .......vaddr.... + 74330: 75022300 086c656e 00000015 ff022304 u.#..len......#. + 74340: 000f0000 167c2000 0016b410 03000761 .....| ........a + 74350: 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 74360: 16e7086e 73656773 00000015 ff022300 ...nsegs......#. + 74370: 0873675f 73656773 00000016 a7022304 .sg_segs......#. + 74380: 00121000 00173008 76656e64 6f720000 ......0.vendor.. + 74390: 0015ff02 23000864 65766963 65000000 ....#..device... + 743a0: 15ff0223 04087375 6276656e 646f7200 ...#..subvendor. + 743b0: 000015ff 02230808 73756264 65766963 .....#..subdevic + 743c0: 65000000 15ff0223 0c00046c 6f6e6720 e......#...long + 743d0: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 743e0: 74000708 09415f55 494e5436 34000000 t....A_UINT64... + 743f0: 1730095f 5f615f75 696e7436 345f7400 .0.__a_uint64_t. + 74400: 0000174a 09615f75 696e7436 345f7400 ...J.a_uint64_t. + 74410: 00001758 14040000 17b60e41 44465f4f ...X.......ADF_O + 74420: 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 74430: 4d454d00 000e4144 465f4f53 5f524553 MEM...ADF_OS_RES + 74440: 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... + 74450: 09616466 5f6f735f 7265736f 75726365 .adf_os_resource + 74460: 5f747970 655f7400 0000177a 12180000 _type_t....z.... + 74470: 18000873 74617274 00000017 6a022300 ...start....j.#. + 74480: 08656e64 00000017 6a022308 08747970 .end....j.#..typ + 74490: 65000000 17b60223 10000961 64665f6f e......#...adf_o + 744a0: 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. + 744b0: 0016e703 00001800 04001104 0000183f ...............? + 744c0: 08706369 00000018 19022300 08726177 .pci......#..raw + 744d0: 00000003 ef022300 00111000 00185e08 ......#.......^. + 744e0: 70636900 00001800 02230008 72617700 pci......#..raw. + 744f0: 000003ef 02230000 09616466 5f647276 .....#...adf_drv + 74500: 5f68616e 646c655f 74000000 03ef0961 _handle_t......a + 74510: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 74520: 00000017 d2030000 18740400 09616466 .........t...adf + 74530: 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ + 74540: 74000000 183f0300 00189204 00030000 t....?.......... + 74550: 14be0400 095f5f61 64665f6f 735f6465 .....__adf_os_de + 74560: 76696365 5f740000 0018b309 6164665f vice_t......adf_ + 74570: 6f735f64 65766963 655f7400 000018ba os_device_t..... + 74580: 06000018 5e010300 0018e604 00020103 ....^........... + 74590: 000018f3 04000961 64665f6f 735f706d .......adf_os_pm + 745a0: 5f740000 0003ef02 01030000 190d0400 _t.............. + 745b0: 14040000 194d0e41 44465f4f 535f4255 .....M.ADF_OS_BU + 745c0: 535f5459 50455f50 43490001 0e414446 S_TYPE_PCI...ADF + 745d0: 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN + 745e0: 45524943 00020009 6164665f 6f735f62 ERIC....adf_os_b + 745f0: 75735f74 7970655f 74000000 19160961 us_type_t......a + 74600: 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da + 74610: 74615f74 00000018 20030000 03070400 ta_t.... ....... + 74620: 075f6164 665f6472 765f696e 666f0020 ._adf_drv_info. + 74630: 00001a2a 08647276 5f617474 61636800 ...*.drv_attach. + 74640: 000018ec 02230008 6472765f 64657461 .....#..drv_deta + 74650: 63680000 0018f502 23040864 72765f73 ch......#..drv_s + 74660: 75737065 6e640000 00190f02 23080864 uspend......#..d + 74670: 72765f72 6573756d 65000000 18f50223 rv_resume......# + 74680: 0c086275 735f7479 70650000 00194d02 ..bus_type....M. + 74690: 23100862 75735f64 61746100 00001964 #..bus_data....d + 746a0: 02231408 6d6f645f 6e616d65 00000019 .#..mod_name.... + 746b0: 7f022318 0869666e 616d6500 0000197f ..#..ifname..... + 746c0: 02231c00 09616466 5f6f735f 68616e64 .#...adf_os_hand + 746d0: 6c655f74 00000003 ef030000 16550400 le_t.........U.. + 746e0: 02010201 095f5f61 64665f6f 735f7369 .....__adf_os_si + 746f0: 7a655f74 00000003 f2140400 001a790e ze_t..........y. + 74700: 415f4641 4c534500 000e415f 54525545 A_FALSE...A_TRUE + 74710: 00010009 615f626f 6f6c5f74 0000001a ....a_bool_t.... + 74720: 5f030000 14e70400 095f5f61 64665f6f _........__adf_o + 74730: 735f646d 615f6d61 705f7400 00001a87 s_dma_map_t..... + 74740: 02010d61 64665f6f 735f6361 6368655f ...adf_os_cache_ + 74750: 73796e63 00040000 1b110e41 44465f53 sync.......ADF_S + 74760: 594e435f 50524552 45414400 000e4144 YNC_PREREAD...AD + 74770: 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. + 74780: 020e4144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR + 74790: 45414400 010e4144 465f5359 4e435f50 EAD...ADF_SYNC_P + 747a0: 4f535457 52495445 00030009 6164665f OSTWRITE....adf_ + 747b0: 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. + 747c0: 00001aa8 02010961 64665f6f 735f7369 .......adf_os_si + 747d0: 7a655f74 0000001a 4a060000 1b2c0109 ze_t....J....,.. + 747e0: 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 747f0: 0000001a 8e030000 1b450400 06000003 .........E...... + 74800: ef010300 001a8e04 00060000 03ef0102 ................ + 74810: 01060000 157a0102 01047368 6f727420 .....z....short + 74820: 696e7400 05020941 5f494e54 31360000 int....A_INT16.. + 74830: 001b7f09 5f5f615f 696e7431 365f7400 ....__a_int16_t. + 74840: 00001b8c 09615f69 6e743136 5f740000 .....a_int16_t.. + 74850: 001b9904 7369676e 65642063 68617200 ....signed char. + 74860: 05010941 5f494e54 38000000 1bb9095f ...A_INT8......_ + 74870: 5f615f69 6e74385f 74000000 1bc80961 _a_int8_t......a + 74880: 5f696e74 385f7400 00001bd4 120c0000 _int8_t......... + 74890: 1c4b0873 7570706f 72746564 00000015 .K.supported.... + 748a0: ff022300 08616476 65727469 7a656400 ..#..advertized. + 748b0: 000015ff 02230408 73706565 64000000 .....#..speed... + 748c0: 1baa0223 08086475 706c6578 0000001b ...#..duplex.... + 748d0: e402230a 08617574 6f6e6567 00000016 ..#..autoneg.... + 748e0: 6602230b 000f0000 16660600 001c5810 f.#......f....X. + 748f0: 05000761 64665f6e 65745f65 74686164 ...adf_net_ethad + 74900: 64720006 00001c7c 08616464 72000000 dr.....|.addr... + 74910: 1c4b0223 0000095f 5f615f75 696e7431 .K.#...__a_uint1 + 74920: 365f7400 000012d4 09615f75 696e7431 6_t......a_uint1 + 74930: 365f7400 00001c7c 120e0000 1ce00865 6_t....|.......e + 74940: 74686572 5f64686f 73740000 001c4b02 ther_dhost....K. + 74950: 23000865 74686572 5f73686f 73740000 #..ether_shost.. + 74960: 001c4b02 23060865 74686572 5f747970 ..K.#..ether_typ + 74970: 65000000 1c8e0223 0c001214 00001da1 e......#........ + 74980: 1569705f 76657273 696f6e00 00001666 .ip_version....f + 74990: 01000402 23001569 705f686c 00000016 ....#..ip_hl.... + 749a0: 66010404 02230008 69705f74 6f730000 f....#..ip_tos.. + 749b0: 00166602 23010869 705f6c65 6e000000 ..f.#..ip_len... + 749c0: 1c8e0223 02086970 5f696400 00001c8e ...#..ip_id..... + 749d0: 02230408 69705f66 7261675f 6f666600 .#..ip_frag_off. + 749e0: 00001c8e 02230608 69705f74 746c0000 .....#..ip_ttl.. + 749f0: 00166602 23080869 705f7072 6f746f00 ..f.#..ip_proto. + 74a00: 00001666 02230908 69705f63 6865636b ...f.#..ip_check + 74a10: 0000001c 8e02230a 0869705f 73616464 ......#..ip_sadd + 74a20: 72000000 15ff0223 0c086970 5f646164 r......#..ip_dad + 74a30: 64720000 0015ff02 23100007 6164665f dr......#...adf_ + 74a40: 6e65745f 766c616e 68647200 0400001d net_vlanhdr..... + 74a50: f3087470 69640000 001c8e02 23001570 ..tpid......#..p + 74a60: 72696f00 00001666 01000302 23021563 rio....f....#..c + 74a70: 66690000 00166601 03010223 02157669 fi....f....#..vi + 74a80: 64000000 1c8e0204 0c022302 00076164 d.........#...ad + 74a90: 665f6e65 745f7669 64000200 001e2415 f_net_vid.....$. + 74aa0: 72657300 00001666 01000402 23001576 res....f....#..v + 74ab0: 616c0000 001c8e02 040c0223 0000120c al.........#.... + 74ac0: 00001e60 0872785f 62756673 697a6500 ...`.rx_bufsize. + 74ad0: 000015ff 02230008 72785f6e 64657363 .....#..rx_ndesc + 74ae0: 00000015 ff022304 0874785f 6e646573 ......#..tx_ndes + 74af0: 63000000 15ff0223 08001208 00001e86 c......#........ + 74b00: 08706f6c 6c656400 00001a79 02230008 .polled....y.#.. + 74b10: 706f6c6c 5f777400 000015ff 02230400 poll_wt......#.. + 74b20: 0f000016 66400000 1e93103f 00124600 ....f@.....?..F. + 74b30: 001ebb08 69665f6e 616d6500 00001e86 ....if_name..... + 74b40: 02230008 6465765f 61646472 0000001c .#..dev_addr.... + 74b50: 4b022340 00140400 001ef20e 4144465f K.#@........ADF_ + 74b60: 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI + 74b70: 5400000e 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M + 74b80: 41534b5f 36344249 54000100 09616466 ASK_64BIT....adf + 74b90: 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. + 74ba0: 001ebb07 6164665f 646d615f 696e666f ....adf_dma_info + 74bb0: 00080000 1f3f0864 6d615f6d 61736b00 .....?.dma_mask. + 74bc0: 00001ef2 02230008 73675f6e 73656773 .....#..sg_nsegs + 74bd0: 00000015 ff022304 00140400 001f950e ......#......... + 74be0: 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO + 74bf0: 4e450000 0e414446 5f4e4554 5f434b53 NE...ADF_NET_CKS + 74c00: 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. + 74c10: 010e4144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 74c20: 5443505f 5544505f 49507636 00020009 TCP_UDP_IPv6.... + 74c30: 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty + 74c40: 70655f74 0000001f 3f120800 001fd808 pe_t....?....... + 74c50: 74785f63 6b73756d 0000001f 95022300 tx_cksum......#. + 74c60: 0872785f 636b7375 6d000000 1f950223 .rx_cksum......# + 74c70: 04000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 74c80: 5f696e66 6f5f7400 00001faf 14040000 _info_t......... + 74c90: 20310e41 44465f4e 45545f54 534f5f4e 1.ADF_NET_TSO_N + 74ca0: 4f4e4500 000e4144 465f4e45 545f5453 ONE...ADF_NET_TS + 74cb0: 4f5f4950 56340001 0e414446 5f4e4554 O_IPV4...ADF_NET + 74cc0: 5f54534f 5f414c4c 00020009 6164665f _TSO_ALL....adf_ + 74cd0: 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. + 74ce0: 001ff212 10000020 8508636b 73756d5f ....... ..cksum_ + 74cf0: 63617000 00001fd8 02230008 74736f00 cap......#..tso. + 74d00: 00002031 02230808 766c616e 5f737570 .. 1.#..vlan_sup + 74d10: 706f7274 65640000 00166602 230c0012 ported....f.#... + 74d20: 20000021 1e087478 5f706163 6b657473 ..!..tx_packets + 74d30: 00000015 ff022300 0872785f 7061636b ......#..rx_pack + 74d40: 65747300 000015ff 02230408 74785f62 ets......#..tx_b + 74d50: 79746573 00000015 ff022308 0872785f ytes......#..rx_ + 74d60: 62797465 73000000 15ff0223 0c087478 bytes......#..tx + 74d70: 5f64726f 70706564 00000015 ff022310 _dropped......#. + 74d80: 0872785f 64726f70 70656400 000015ff .rx_dropped..... + 74d90: 02231408 72785f65 72726f72 73000000 .#..rx_errors... + 74da0: 15ff0223 18087478 5f657272 6f727300 ...#..tx_errors. + 74db0: 000015ff 02231c00 09616466 5f6e6574 .....#...adf_net + 74dc0: 5f657468 61646472 5f740000 001c5816 _ethaddr_t....X. + 74dd0: 0000211e 03000000 2143107f 00176164 ..!.....!C....ad + 74de0: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 74df0: 00030400 00217a08 6e656c65 6d000000 .....!z.nelem... + 74e00: 15ff0223 00086d63 61737400 00002135 ...#..mcast...!5 + 74e10: 02230400 09616466 5f6e6574 5f636d64 .#...adf_net_cmd + 74e20: 5f6c696e 6b5f696e 666f5f74 0000001b _link_info_t.... + 74e30: f2096164 665f6e65 745f636d 645f706f ..adf_net_cmd_po + 74e40: 6c6c5f69 6e666f5f 74000000 1e600961 ll_info_t....`.a + 74e50: 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum + 74e60: 5f696e66 6f5f7400 00001fd8 09616466 _info_t......adf + 74e70: 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in + 74e80: 666f5f74 0000001e 24096164 665f6e65 fo_t....$.adf_ne + 74e90: 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t + 74ea0: 0000001f 09096164 665f6e65 745f636d ......adf_net_cm + 74eb0: 645f7669 645f7400 00001c8e 09616466 d_vid_t......adf + 74ec0: 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload + 74ed0: 5f636170 5f740000 00204909 6164665f _cap_t... I.adf_ + 74ee0: 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. + 74ef0: 00002085 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd + 74f00: 5f6d6361 6464725f 74000000 21430d61 _mcaddr_t...!C.a + 74f10: 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast + 74f20: 5f636170 00040000 22bc0e41 44465f4e _cap...."..ADF_N + 74f30: 45545f4d 43415354 5f535550 00000e41 ET_MCAST_SUP...A + 74f40: 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT + 74f50: 53555000 01000961 64665f6e 65745f63 SUP....adf_net_c + 74f60: 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. + 74f70: 00227418 03040000 238e086c 696e6b5f ."t.....#..link_ + 74f80: 696e666f 00000021 7a022300 08706f6c info...!z.#..pol + 74f90: 6c5f696e 666f0000 00219702 23000863 l_info...!..#..c + 74fa0: 6b73756d 5f696e66 6f000000 21b40223 ksum_info...!..# + 74fb0: 00087269 6e675f69 6e666f00 000021d2 ..ring_info...!. + 74fc0: 02230008 646d615f 696e666f 00000021 .#..dma_info...! + 74fd0: ef022300 08766964 00000022 0b022300 ..#..vid..."..#. + 74fe0: 086f6666 6c6f6164 5f636170 00000022 .offload_cap..." + 74ff0: 22022300 08737461 74730000 00224102 ".#..stats..."A. + 75000: 2300086d 63617374 5f696e66 6f000000 #..mcast_info... + 75010: 225a0223 00086d63 6173745f 63617000 "Z.#..mcast_cap. + 75020: 000022bc 02230000 14040000 23e50e41 .."..#......#..A + 75030: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 75040: 5f4e4f4e 4500000e 4144465f 4e425546 _NONE...ADF_NBUF + 75050: 5f52585f 434b5355 4d5f4857 00010e41 _RX_CKSUM_HW...A + 75060: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 75070: 5f554e4e 45434553 53415259 00020009 _UNNECESSARY.... + 75080: 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu + 75090: 6d5f7479 70655f74 00000023 8e120800 m_type_t...#.... + 750a0: 00242508 72657375 6c740000 0023e502 .$%.result...#.. + 750b0: 23000876 616c0000 0015ff02 23040012 #..val......#... + 750c0: 08000024 55087479 70650000 00203102 ...$U.type... 1. + 750d0: 2300086d 73730000 001c8e02 23040868 #..mss......#..h + 750e0: 64725f6f 66660000 00166602 23060007 dr_off....f.#... + 750f0: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 75100: 000c0000 24940868 65616400 0000141f ....$..head..... + 75110: 02230008 7461696c 00000014 1f022304 .#..tail......#. + 75120: 08716c65 6e000000 15ff0223 0800095f .qlen......#..._ + 75130: 5f616466 5f6e6275 665f7400 0000141f _adf_nbuf_t..... + 75140: 03000016 75040003 000015ff 04000201 ....u........... + 75150: 06000013 01010600 0015ff01 06000016 ................ + 75160: 75010600 00167501 03000012 e2040009 u.....u......... + 75170: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 75180: 5f740000 00245509 5f5f6164 665f6e62 _t...$U.__adf_nb + 75190: 75665f71 75657565 5f740000 0024d503 uf_queue_t...$.. + 751a0: 000024ed 04000600 00249401 06000024 ..$......$.....$ + 751b0: 94011404 0000260d 0e415f53 54415455 ......&..A_STATU + 751c0: 535f4f4b 00000e41 5f535441 5455535f S_OK...A_STATUS_ + 751d0: 4641494c 45440001 0e415f53 54415455 FAILED...A_STATU + 751e0: 535f454e 4f454e54 00020e41 5f535441 S_ENOENT...A_STA + 751f0: 5455535f 454e4f4d 454d0003 0e415f53 TUS_ENOMEM...A_S + 75200: 54415455 535f4549 4e56414c 00040e41 TATUS_EINVAL...A + 75210: 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR + 75220: 45535300 050e415f 53544154 55535f45 ESS...A_STATUS_E + 75230: 4e4f5453 55505000 060e415f 53544154 NOTSUPP...A_STAT + 75240: 55535f45 42555359 00070e41 5f535441 US_EBUSY...A_STA + 75250: 5455535f 45324249 4700080e 415f5354 TUS_E2BIG...A_ST + 75260: 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA + 75270: 494c0009 0e415f53 54415455 535f454e IL...A_STATUS_EN + 75280: 58494f00 0a0e415f 53544154 55535f45 XIO...A_STATUS_E + 75290: 4641554c 54000b0e 415f5354 41545553 FAULT...A_STATUS + 752a0: 5f45494f 000c0009 615f7374 61747573 _EIO....a_status + 752b0: 5f740000 00251806 0000260d 01060000 _t...%....&..... + 752c0: 00fa0102 01096164 665f6e62 75665f74 ......adf_nbuf_t + 752d0: 00000024 94140400 0026720e 4144465f ...$.....&r.ADF_ + 752e0: 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE + 752f0: 00000e41 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR + 75300: 4f4d5f44 45564943 45000100 09616466 OM_DEVICE....adf + 75310: 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... + 75320: 263b0600 00260d01 02010961 64665f6f &;...&.....adf_o + 75330: 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. + 75340: 0000161c 03000026 90040002 01020106 .......&........ + 75350: 0000262b 01060000 24940102 01020106 ..&+....$....... + 75360: 0000262b 01060000 24940106 0000262b ..&+....$.....&+ + 75370: 01060000 24940106 0000262b 01020102 ....$.....&+.... + 75380: 01060000 15ff0106 00001675 01020102 ...........u.... + 75390: 01060000 1b2c0106 00001a79 01060000 .....,.....y.... + 753a0: 1a790109 6164665f 6f735f73 676c6973 .y..adf_os_sglis + 753b0: 745f7400 000016b4 03000027 09040002 t_t........'.... + 753c0: 01020102 01060000 16750109 6164665f .........u..adf_ + 753d0: 6e627566 5f717565 75655f74 00000024 nbuf_queue_t...$ + 753e0: ed030000 27310400 02010300 0024d504 ....'1.......$.. + 753f0: 00020102 01020106 0000262b 01060000 ..........&+.... + 75400: 24940106 000015ff 01060000 15ff0106 $............... + 75410: 00001a79 01060000 1a790106 00001f95 ...y.....y...... + 75420: 01060000 15ff0109 6164665f 6e627566 ........adf_nbuf + 75430: 5f72785f 636b7375 6d5f7400 00002403 _rx_cksum_t...$. + 75440: 03000027 8d040002 01020109 6164665f ...'........adf_ + 75450: 6e627566 5f74736f 5f740000 00242503 nbuf_tso_t...$%. + 75460: 000027b1 04000201 02010961 64665f6e ..'........adf_n + 75470: 65745f68 616e646c 655f7400 000003ef et_handle_t..... + 75480: 09616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 75490: 5f740000 001da103 000027e6 04000600 _t........'..... + 754a0: 00260d01 06000026 0d010201 0201075f .&.....&......._ + 754b0: 4849465f 434f4e46 49470004 00002835 HIF_CONFIG....(5 + 754c0: 0864756d 6d790000 0000fa02 23000002 .dummy......#... + 754d0: 01030000 28350400 02010300 00283e04 ....(5.......(>. + 754e0: 00075f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. + 754f0: 0c000028 93087365 6e645f62 75665f64 ...(..send_buf_d + 75500: 6f6e6500 00002837 02230008 72656376 one...(7.#..recv + 75510: 5f627566 00000028 40022304 08636f6e _buf...(@.#..con + 75520: 74657874 00000003 ef022308 00096869 text......#...hi + 75530: 665f6861 6e646c65 5f740000 0003ef09 f_handle_t...... + 75540: 4849465f 434f4e46 49470000 00281403 HIF_CONFIG...(.. + 75550: 000028a5 04000600 00289301 03000028 ..(......(.....( + 75560: bc040002 01030000 28c90400 09484946 ........(....HIF + 75570: 5f43414c 4c424143 4b000000 28470300 _CALLBACK...(G.. + 75580: 0028d204 00020103 000028eb 04000600 .(........(..... + 75590: 0000fa01 03000028 f4040002 01030000 .......(........ + 755a0: 29010400 06000000 fa010300 00290a04 )............).. + 755b0: 00020103 00002917 04000600 0000fa01 ......)......... + 755c0: 03000029 20040002 01030000 292d0400 ...) .......)-.. + 755d0: 07686966 5f617069 00380000 2a86085f .hif_api.8..*.._ + 755e0: 696e6974 00000028 c2022300 085f7368 init...(..#.._sh + 755f0: 7574646f 776e0000 0028cb02 2304085f utdown...(..#.._ + 75600: 72656769 73746572 5f63616c 6c626163 register_callbac + 75610: 6b000000 28ed0223 08085f67 65745f74 k...(..#.._get_t + 75620: 6f74616c 5f637265 6469745f 636f756e otal_credit_coun + 75630: 74000000 28fa0223 0c085f73 74617274 t...(..#.._start + 75640: 00000028 cb022310 085f636f 6e666967 ...(..#.._config + 75650: 5f706970 65000000 29030223 14085f73 _pipe...)..#.._s + 75660: 656e645f 62756666 65720000 00291002 end_buffer...).. + 75670: 2318085f 72657475 726e5f72 6563765f #.._return_recv_ + 75680: 62756600 00002919 02231c08 5f69735f buf...)..#.._is_ + 75690: 70697065 5f737570 706f7274 65640000 pipe_supported.. + 756a0: 00292602 2320085f 6765745f 6d61785f .)&.# ._get_max_ + 756b0: 6d73675f 6c656e00 00002926 02232408 msg_len...)&.#$. + 756c0: 5f676574 5f726573 65727665 645f6865 _get_reserved_he + 756d0: 6164726f 6f6d0000 0028fa02 2328085f adroom...(..#(._ + 756e0: 6973725f 68616e64 6c657200 000028cb isr_handler...(. + 756f0: 02232c08 5f676574 5f646566 61756c74 .#,._get_default + 75700: 5f706970 65000000 292f0223 30087052 _pipe...)/.#0.pR + 75710: 65736572 76656400 000003ef 02233400 eserved......#4. + 75720: 0d646d61 5f656e67 696e6500 0400002b .dma_engine....+ + 75730: 0f0e444d 415f454e 47494e45 5f525830 ..DMA_ENGINE_RX0 + 75740: 00000e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 75750: 3100010e 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R + 75760: 58320002 0e444d41 5f454e47 494e455f X2...DMA_ENGINE_ + 75770: 52583300 030e444d 415f454e 47494e45 RX3...DMA_ENGINE + 75780: 5f545830 00040e44 4d415f45 4e47494e _TX0...DMA_ENGIN + 75790: 455f5458 3100050e 444d415f 454e4749 E_TX1...DMA_ENGI + 757a0: 4e455f4d 41580006 0009646d 615f656e NE_MAX....dma_en + 757b0: 67696e65 5f740000 002a860d 646d615f gine_t...*..dma_ + 757c0: 69667479 70650004 00002b5c 0e444d41 iftype....+\.DMA + 757d0: 5f49465f 474d4143 00000e44 4d415f49 _IF_GMAC...DMA_I + 757e0: 465f5043 4900010e 444d415f 49465f50 F_PCI...DMA_IF_P + 757f0: 43494500 02000964 6d615f69 66747970 CIE....dma_iftyp + 75800: 655f7400 00002b21 06000012 d4010300 e_t...+!........ + 75810: 002b6e04 00020103 00002b7b 04000201 .+n.......+{.... + 75820: 0300002b 84040006 00000906 01030000 ...+............ + 75830: 2b8d0400 06000012 d4010300 002b9a04 +............+.. + 75840: 00060000 12d40103 00002ba7 04000600 ..........+..... + 75850: 00141f01 0300002b b4040002 01030000 .......+........ + 75860: 2bc10400 07646d61 5f6c6962 5f617069 +....dma_lib_api + 75870: 00340000 2cc80874 785f696e 69740000 .4..,..tx_init.. + 75880: 002b7402 23000874 785f7374 61727400 .+t.#..tx_start. + 75890: 00002b7d 02230408 72785f69 6e697400 ..+}.#..rx_init. + 758a0: 00002b74 02230808 72785f63 6f6e6669 ..+t.#..rx_confi + 758b0: 67000000 2b860223 0c087278 5f737461 g...+..#..rx_sta + 758c0: 72740000 002b7d02 23100869 6e74725f rt...+}.#..intr_ + 758d0: 73746174 75730000 002b9302 23140868 status...+..#..h + 758e0: 6172645f 786d6974 0000002b a0022318 ard_xmit...+..#. + 758f0: 08666c75 73685f78 6d697400 00002b7d .flush_xmit...+} + 75900: 02231c08 786d6974 5f646f6e 65000000 .#..xmit_done... + 75910: 2bad0223 20087265 61705f78 6d697474 +..# .reap_xmitt + 75920: 65640000 002bba02 23240872 6561705f ed...+..#$.reap_ + 75930: 72656376 0000002b ba022328 08726574 recv...+..#(.ret + 75940: 75726e5f 72656376 0000002b c302232c urn_recv...+..#, + 75950: 08726563 765f706b 74000000 2bad0223 .recv_pkt...+..# + 75960: 3000075f 5f706369 5f736f66 7463000c 0..__pci_softc.. + 75970: 00002ce6 08737700 000028d2 02230000 ..,..sw...(..#.. + 75980: 095f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. + 75990: 002cc803 00002ce6 04000201 0300002d .,....,........- + 759a0: 00040006 000012c0 01030000 2d090400 ............-... + 759b0: 0d686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx + 759c0: 00040000 2d690e48 49465f50 43495f50 ....-i.HIF_PCI_P + 759d0: 4950455f 54583000 000e4849 465f5043 IPE_TX0...HIF_PC + 759e0: 495f5049 50455f54 58310001 0e484946 I_PIPE_TX1...HIF + 759f0: 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX + 75a00: 00020009 6869665f 7063695f 70697065 ....hif_pci_pipe + 75a10: 5f74785f 74000000 2d160600 002b0f01 _tx_t...-....+.. + 75a20: 0300002d 8004000d 6869665f 7063695f ...-....hif_pci_ + 75a30: 70697065 5f727800 0400002e 060e4849 pipe_rx.......HI + 75a40: 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. + 75a50: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 75a60: 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 75a70: 5f525832 00020e48 49465f50 43495f50 _RX2...HIF_PCI_P + 75a80: 4950455f 52583300 030e4849 465f5043 IPE_RX3...HIF_PC + 75a90: 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... + 75aa0: 09686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 75ab0: 5f740000 002d8d06 00002b0f 01030000 _t...-....+..... + 75ac0: 2e1d0400 07686966 5f706369 5f617069 .....hif_pci_api + 75ad0: 00240000 2efb0870 63695f62 6f6f745f .$.....pci_boot_ + 75ae0: 696e6974 00000000 f3022300 08706369 init......#..pci + 75af0: 5f696e69 74000000 28c20223 04087063 _init...(..#..pc + 75b00: 695f7265 73657400 000000f3 02230808 i_reset......#.. + 75b10: 7063695f 656e6162 6c650000 0000f302 pci_enable...... + 75b20: 230c0870 63695f72 6561705f 786d6974 #..pci_reap_xmit + 75b30: 74656400 00002d02 02231008 7063695f ted...-..#..pci_ + 75b40: 72656170 5f726563 76000000 2d020223 reap_recv...-..# + 75b50: 14087063 695f6765 745f7069 70650000 ..pci_get_pipe.. + 75b60: 002d0f02 23180870 63695f67 65745f74 .-..#..pci_get_t + 75b70: 785f656e 67000000 2d860223 1c087063 x_eng...-..#..pc + 75b80: 695f6765 745f7278 5f656e67 0000002e i_get_rx_eng.... + 75b90: 23022320 0007676d 61635f61 70690004 #.# ..gmac_api.. + 75ba0: 00002f22 08676d61 635f626f 6f745f69 ../".gmac_boot_i + 75bb0: 6e697400 000000f3 02230000 0f000003 nit......#...... + 75bc0: 07060000 2f2f1005 00075f5f 65746868 ....//....__ethh + 75bd0: 6472000e 00002f65 08647374 0000002f dr..../e.dst.../ + 75be0: 22022300 08737263 0000002f 22022306 ".#..src.../".#. + 75bf0: 08657479 70650000 0012d402 230c0007 .etype......#... + 75c00: 5f5f6174 68686472 00040000 2fb31572 __athhdr..../..r + 75c10: 65730000 0012c001 00020223 00157072 es.........#..pr + 75c20: 6f746f00 000012c0 01020602 23000872 oto.........#..r + 75c30: 65735f6c 6f000000 12c00223 01087265 es_lo......#..re + 75c40: 735f6869 00000012 d4022302 00075f5f s_hi......#...__ + 75c50: 676d6163 5f686472 00140000 2fef0865 gmac_hdr..../..e + 75c60: 74680000 002f2f02 23000861 74680000 th...//.#..ath.. + 75c70: 002f6502 230e0861 6c69676e 5f706164 ./e.#..align_pad + 75c80: 00000012 d4022312 00095f5f 676d6163 ......#...__gmac + 75c90: 5f686472 5f740000 002fb307 5f5f676d _hdr_t.../..__gm + 75ca0: 61635f73 6f667463 00240000 30390868 ac_softc.$..09.h + 75cb0: 64720000 002fef02 23000867 72616e00 dr.../..#..gran. + 75cc0: 000012d4 02231408 73770000 0028d202 .....#..sw...(.. + 75cd0: 2318000c 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag + 75ce0: 655f6368 65636b00 00010300 00303904 e_check......09. + 75cf0: 00060000 00fa0103 00003057 04000300 ..........0W.... + 75d00: 0003f204 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 75d10: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 75d20: 0001b800 0031a708 68616c5f 6c696e6b .....1..hal_link + 75d30: 6167655f 63686563 6b000000 305d0223 age_check...0].# + 75d40: 00087374 6172745f 62737300 00003064 ..start_bss...0d + 75d50: 02230408 6170705f 73746172 74000000 .#..app_start... + 75d60: 00f30223 08086d65 6d000000 04320223 ...#..mem....2.# + 75d70: 0c086d69 73630000 00055102 23200870 ..misc....Q.# .p + 75d80: 72696e74 66000000 01270223 44087561 rintf....'.#D.ua + 75d90: 72740000 0001f002 234c0867 6d616300 rt......#L.gmac. + 75da0: 00002efb 02236c08 75736200 00000f80 .....#l.usb..... + 75db0: 02237008 636c6f63 6b000000 0ac90323 .#p.clock......# + 75dc0: e0010874 696d6572 00000007 69032384 ...timer....i.#. + 75dd0: 0208696e 74720000 000c1903 23980208 ..intr......#... + 75de0: 616c6c6f 6372616d 00000009 210323c4 allocram....!.#. + 75df0: 0208726f 6d700000 00081303 23d00208 ..romp......#... + 75e00: 7764745f 74696d65 72000000 0df60323 wdt_timer......# + 75e10: e0020865 65700000 000f2403 23fc0208 ...eep....$.#... + 75e20: 73747269 6e670000 00067503 238c0308 string....u.#... + 75e30: 7461736b 6c657400 00000a1e 0323a403 tasklet......#.. + 75e40: 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 75e50: 49470010 0000321a 08676574 5f636f6d IG....2..get_com + 75e60: 6d616e64 5f627566 00000014 2c022300 mand_buf....,.#. + 75e70: 08726563 765f636f 6d6d616e 64000000 .recv_command... + 75e80: 14420223 04086765 745f6576 656e745f .B.#..get_event_ + 75e90: 62756600 0000142c 02230808 73656e64 buf....,.#..send + 75ea0: 5f657665 6e745f64 6f6e6500 00001442 _event_done....B + 75eb0: 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO + 75ec0: 4e464947 00000031 a7030000 321a0400 NFIG...1....2... + 75ed0: 02010300 00323604 00077573 62666966 .....26...usbfif + 75ee0: 6f5f6170 69000c00 00328c08 5f696e69 o_api....2.._ini + 75ef0: 74000000 32380223 00085f65 6e61626c t...28.#.._enabl + 75f00: 655f6576 656e745f 69737200 000000f3 e_event_isr..... + 75f10: 02230408 70526573 65727665 64000000 .#..pReserved... + 75f20: 03ef0223 08000f00 00166602 00003299 ...#......f...2. + 75f30: 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 75f40: 44520008 0000330b 08456e64 706f696e DR....3..Endpoin + 75f50: 74494400 00001666 02230008 466c6167 tID....f.#..Flag + 75f60: 73000000 16660223 01085061 796c6f61 s....f.#..Payloa + 75f70: 644c656e 0000001c 8e022302 08436f6e dLen......#..Con + 75f80: 74726f6c 42797465 73000000 328c0223 trolBytes...2..# + 75f90: 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... + 75fa0: 8e022306 00120200 00332408 4d657373 ..#......3$.Mess + 75fb0: 61676549 44000000 1c8e0223 00001208 ageID......#.... + 75fc0: 00003387 084d6573 73616765 49440000 ..3..MessageID.. + 75fd0: 001c8e02 23000843 72656469 74436f75 ....#..CreditCou + 75fe0: 6e740000 001c8e02 23020843 72656469 nt......#..Credi + 75ff0: 7453697a 65000000 1c8e0223 04084d61 tSize......#..Ma + 76000: 78456e64 706f696e 74730000 00166602 xEndpoints....f. + 76010: 2306085f 50616431 00000016 66022307 #.._Pad1....f.#. + 76020: 00120a00 00341e08 4d657373 61676549 .....4..MessageI + 76030: 44000000 1c8e0223 00085365 72766963 D......#..Servic + 76040: 65494400 00001c8e 02230208 436f6e6e eID......#..Conn + 76050: 65637469 6f6e466c 61677300 00001c8e ectionFlags..... + 76060: 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 76070: 49440000 00166602 23060855 704c696e ID....f.#..UpLin + 76080: 6b506970 65494400 00001666 02230708 kPipeID....f.#.. + 76090: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 760a0: 68000000 16660223 08085f50 61643100 h....f.#.._Pad1. + 760b0: 00001666 02230900 120a0000 34a6084d ...f.#......4..M + 760c0: 65737361 67654944 0000001c 8e022300 essageID......#. + 760d0: 08536572 76696365 49440000 001c8e02 .ServiceID...... + 760e0: 23020853 74617475 73000000 16660223 #..Status....f.# + 760f0: 0408456e 64706f69 6e744944 00000016 ..EndpointID.... + 76100: 66022305 084d6178 4d736753 697a6500 f.#..MaxMsgSize. + 76110: 00001c8e 02230608 53657276 6963654d .....#..ServiceM + 76120: 6574614c 656e6774 68000000 16660223 etaLength....f.# + 76130: 08085f50 61643100 00001666 02230900 .._Pad1....f.#.. + 76140: 12020000 34bf084d 65737361 67654944 ....4..MessageID + 76150: 0000001c 8e022300 00120400 0034fb08 ......#......4.. + 76160: 4d657373 61676549 44000000 1c8e0223 MessageID......# + 76170: 00085069 70654944 00000016 66022302 ..PipeID....f.#. + 76180: 08437265 64697443 6f756e74 00000016 .CreditCount.... + 76190: 66022303 00120400 00353208 4d657373 f.#......52.Mess + 761a0: 61676549 44000000 1c8e0223 00085069 ageID......#..Pi + 761b0: 70654944 00000016 66022302 08537461 peID....f.#..Sta + 761c0: 74757300 00001666 02230300 12020000 tus....f.#...... + 761d0: 35590852 65636f72 64494400 00001666 5Y.RecordID....f + 761e0: 02230008 4c656e67 74680000 00166602 .#..Length....f. + 761f0: 23010012 02000035 8308456e 64706f69 #......5..Endpoi + 76200: 6e744944 00000016 66022300 08437265 ntID....f.#..Cre + 76210: 64697473 00000016 66022301 00120400 dits....f.#..... + 76220: 0035c408 456e6470 6f696e74 49440000 .5..EndpointID.. + 76230: 00166602 23000843 72656469 74730000 ..f.#..Credits.. + 76240: 00166602 23010854 67744372 65646974 ..f.#..TgtCredit + 76250: 5365714e 6f000000 1c8e0223 02000f00 SeqNo......#.... + 76260: 00166604 000035d1 10030012 06000036 ..f...5........6 + 76270: 0d085072 6556616c 69640000 00166602 ..PreValid....f. + 76280: 2300084c 6f6f6b41 68656164 00000035 #..LookAhead...5 + 76290: c4022301 08506f73 7456616c 69640000 ..#..PostValid.. + 762a0: 00166602 23050009 706f6f6c 5f68616e ..f.#...pool_han + 762b0: 646c655f 74000000 03ef0600 00360d01 dle_t........6.. + 762c0: 03000036 20040002 01030000 362d0400 ...6 .......6-.. + 762d0: 14040000 36ab0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H + 762e0: 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO + 762f0: 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 76300: 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID + 76310: 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 76320: 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 76330: 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 76340: 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I + 76350: 44000000 36360201 03000036 bc040006 D...66.....6.... + 76360: 0000262b 01030000 36c50400 06000026 ..&+....6......& + 76370: 2b010300 0036d204 00020103 000036df +....6........6. + 76380: 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 76390: 1c000037 81085f69 6e697400 00003626 ...7.._init...6& + 763a0: 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 763b0: 362f0223 04085f63 72656174 655f706f 6/.#.._create_po + 763c0: 6f6c0000 0036be02 2308085f 616c6c6f ol...6..#.._allo + 763d0: 635f6275 66000000 36cb0223 0c085f61 c_buf...6..#.._a + 763e0: 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 763f0: 0036d802 2310085f 66726565 5f627566 .6..#.._free_buf + 76400: 00000036 e1022314 08705265 73657276 ...6..#..pReserv + 76410: 65640000 0003ef02 23180007 5f485443 ed......#..._HTC + 76420: 5f534552 56494345 001c0000 38600870 _SERVICE....8`.p + 76430: 4e657874 00000038 60022300 0850726f Next...8`.#..Pro + 76440: 63657373 52656376 4d736700 00003915 cessRecvMsg...9. + 76450: 02230408 50726f63 65737353 656e6442 .#..ProcessSendB + 76460: 75666665 72436f6d 706c6574 65000000 ufferComplete... + 76470: 391e0223 08085072 6f636573 73436f6e 9..#..ProcessCon + 76480: 6e656374 00000039 3202230c 08536572 nect...92.#..Ser + 76490: 76696365 49440000 0012d402 23100853 viceID......#..S + 764a0: 65727669 6365466c 61677300 000012d4 erviceFlags..... + 764b0: 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz + 764c0: 65000000 12d40223 14085472 61696c65 e......#..Traile + 764d0: 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. + 764e0: 0012d402 23160853 65727669 63654374 ....#..ServiceCt + 764f0: 78000000 03ef0223 18000300 00378104 x......#.....7.. + 76500: 00140400 0038fe19 454e4450 4f494e54 .....8..ENDPOINT + 76510: 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END + 76520: 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN + 76530: 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. + 76540: 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END + 76550: 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN + 76560: 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. + 76570: 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END + 76580: 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN + 76590: 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END + 765a0: 504f494e 545f4944 00000038 67020103 POINT_ID...8g... + 765b0: 00003913 04000201 03000039 1c040003 ..9........9.... + 765c0: 000000fa 04000600 0012c001 03000039 ...............9 + 765d0: 2c040003 00003781 0400075f 4854435f ,.....7...._HTC_ + 765e0: 434f4e46 49470014 000039b1 08437265 CONFIG....9..Cre + 765f0: 64697453 697a6500 000000fa 02230008 ditSize......#.. + 76600: 43726564 69744e75 6d626572 00000000 CreditNumber.... + 76610: fa022304 084f5348 616e646c 65000000 ..#..OSHandle... + 76620: 1a2a0223 08084849 4648616e 646c6500 .*.#..HIFHandle. + 76630: 00002893 02230c08 506f6f6c 48616e64 ..(..#..PoolHand + 76640: 6c650000 00360d02 23100007 5f485443 le...6..#..._HTC + 76650: 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 76660: 39ed0865 6e645f70 6f696e74 00000012 9..end_point.... + 76670: c0022300 08687463 5f666c61 67730000 ..#..htc_flags.. + 76680: 0012c002 23010009 6874635f 68616e64 ....#...htc_hand + 76690: 6c655f74 00000003 ef094854 435f5345 le_t......HTC_SE + 766a0: 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 766b0: 000000f3 09485443 5f434f4e 46494700 .....HTC_CONFIG. + 766c0: 00003940 0300003a 1a040006 000039ed ..9@...:......9. + 766d0: 01030000 3a310400 02010300 003a3e04 ....:1.......:>. + 766e0: 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... + 766f0: 37810300 003a4704 00020103 00003a5f 7....:G.......:_ + 76700: 04000201 0300003a 68040002 01030000 .......:h....... + 76710: 3a710400 06000000 fa010300 003a7a04 :q...........:z. + 76720: 00076874 635f6170 69730034 00003bf7 ..htc_apis.4..;. + 76730: 085f4854 435f496e 69740000 003a3702 ._HTC_Init...:7. + 76740: 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown + 76750: 0000003a 40022304 085f4854 435f5265 ...:@.#.._HTC_Re + 76760: 67697374 65725365 72766963 65000000 gisterService... + 76770: 3a610223 08085f48 54435f52 65616479 :a.#.._HTC_Ready + 76780: 0000003a 4002230c 085f4854 435f5265 ...:@.#.._HTC_Re + 76790: 7475726e 42756666 65727300 00003a6a turnBuffers...:j + 767a0: 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 767b0: 75666665 72734c69 73740000 003a7302 uffersList...:s. + 767c0: 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. + 767d0: 00003a6a 02231808 5f485443 5f476574 ..:j.#.._HTC_Get + 767e0: 52657365 72766564 48656164 726f6f6d ReservedHeadroom + 767f0: 0000003a 8002231c 085f4854 435f4d73 ...:..#.._HTC_Ms + 76800: 67526563 7648616e 646c6572 00000028 gRecvHandler...( + 76810: 40022320 085f4854 435f5365 6e64446f @.# ._HTC_SendDo + 76820: 6e654861 6e646c65 72000000 28370223 neHandler...(7.# + 76830: 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv + 76840: 6350726f 63657373 4d736700 00003915 cProcessMsg...9. + 76850: 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control + 76860: 53766350 726f6365 73735365 6e64436f SvcProcessSendCo + 76870: 6d706c65 74650000 00391e02 232c0870 mplete...9..#,.p + 76880: 52657365 72766564 00000003 ef022330 Reserved......#0 + 76890: 0007686f 73745f61 70705f61 7265615f ..host_app_area_ + 768a0: 73000400 003c2708 776d695f 70726f74 s....<'.wmi_prot + 768b0: 6f636f6c 5f766572 00000015 ff022300 ocol_ver......#. + 768c0: 00120e00 003c5e08 6473744d 61630000 .....<^.dstMac.. + 768d0: 001c4b02 23000873 72634d61 63000000 ..K.#..srcMac... + 768e0: 1c4b0223 06087479 70654f72 4c656e00 .K.#..typeOrLen. + 768f0: 00001c8e 02230c00 0f000016 66030000 .....#......f... + 76900: 3c6b1002 00120800 003cbb08 64736170 + 76aa0: 5a084874 6348616e 646c6500 000039ed Z.HtcHandle...9. + 76ab0: 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 76ac0: 00360d02 2304084d 6178436d 64526570 .6..#..MaxCmdRep + 76ad0: 6c794576 74730000 0000fa02 2308084d lyEvts......#..M + 76ae0: 61784576 656e7445 76747300 000000fa axEventEvts..... + 76af0: 02230c00 02010300 003e5a04 0009574d .#.......>Z...WM + 76b00: 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 76b10: 3e5c075f 574d495f 44495350 41544348 >\._WMI_DISPATCH + 76b20: 5f454e54 52590008 00003ec3 0870436d _ENTRY....>..pCm + 76b30: 6448616e 646c6572 0000003e 63022300 dHandler...>c.#. + 76b40: 08436d64 49440000 0012d402 23040846 .CmdID......#..F + 76b50: 6c616773 00000012 d4022306 00075f57 lags......#..._W + 76b60: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 76b70: 45001000 003f2408 704e6578 74000000 E....?$.pNext... + 76b80: 3f240223 00087043 6f6e7465 78740000 ?$.#..pContext.. + 76b90: 0003ef02 2304084e 756d6265 724f6645 ....#..NumberOfE + 76ba0: 6e747269 65730000 0000fa02 23080870 ntries......#..p + 76bb0: 5461626c 65000000 3f430223 0c000300 Table...?C.#.... + 76bc0: 003ec304 0009574d 495f4449 53504154 .>....WMI_DISPAT + 76bd0: 43485f45 4e545259 0000003e 78030000 CH_ENTRY...>x... + 76be0: 3f2b0400 0300003e c3040009 4854435f ?+.....>....HTC_ + 76bf0: 4255465f 434f4e54 45585400 000039b1 BUF_CONTEXT...9. + 76c00: 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 76c10: 00003fdb 19574d49 5f455654 5f434c41 ..?..WMI_EVT_CLA + 76c20: 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI + 76c30: 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 76c40: 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C + 76c50: 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 76c60: 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 76c70: 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL + 76c80: 41535300 00003f66 075f574d 495f4255 ASS...?f._WMI_BU + 76c90: 465f434f 4e544558 54000c00 00403908 F_CONTEXT....@9. + 76ca0: 48746342 75664374 78000000 3f510223 HtcBufCtx...?Q.# + 76cb0: 00084576 656e7443 6c617373 0000003f ..EventClass...? + 76cc0: db022304 08466c61 67730000 0012d402 ..#..Flags...... + 76cd0: 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t + 76ce0: 00000003 ef09574d 495f5356 435f434f ......WMI_SVC_CO + 76cf0: 4e464947 0000003d f1030000 404b0400 NFIG...=....@K.. + 76d00: 06000040 39010300 00406604 0009574d ...@9....@f...WM + 76d10: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 76d20: 0000003e c3030000 40730400 02010300 ...>....@s...... + 76d30: 00409204 00060000 262b0103 0000409b .@......&+....@. + 76d40: 04000201 03000040 a8040006 000000fa .......@........ + 76d50: 01030000 40b10400 02010300 0040be04 ....@........@.. + 76d60: 00060000 12c00103 000040c7 0400075f ..........@...._ + 76d70: 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 76d80: 420f085f 574d495f 496e6974 00000040 B.._WMI_Init...@ + 76d90: 6c022300 085f574d 495f5265 67697374 l.#.._WMI_Regist + 76da0: 65724469 73706174 63685461 626c6500 erDispatchTable. + 76db0: 00004094 02230408 5f574d49 5f416c6c ..@..#.._WMI_All + 76dc0: 6f634576 656e7400 000040a1 02230808 ocEvent...@..#.. + 76dd0: 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 76de0: 0040aa02 230c085f 574d495f 47657450 .@..#.._WMI_GetP + 76df0: 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 76e00: 74000000 40b70223 10085f57 4d495f53 t...@..#.._WMI_S + 76e10: 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 76e20: 65720000 00391e02 2314085f 574d495f er...9..#.._WMI_ + 76e30: 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ + 76e40: b7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo + 76e50: 776e0000 0040c002 231c085f 574d495f wn...@..#.._WMI_ + 76e60: 52656376 4d657373 61676548 616e646c RecvMessageHandl + 76e70: 65720000 00391502 2320085f 574d495f er...9..# ._WMI_ + 76e80: 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. + 76e90: 0040cd02 23240870 52657365 72766564 .@..#$.pReserved + 76ea0: 00000003 ef022328 00077a73 446d6144 ......#(..zsDmaD + 76eb0: 65736300 14000042 91086374 726c0000 esc....B..ctrl.. + 76ec0: 00015e02 23000873 74617475 73000000 ..^.#..status... + 76ed0: 015e0223 0208746f 74616c4c 656e0000 .^.#..totalLen.. + 76ee0: 00015e02 23040864 61746153 697a6500 ..^.#..dataSize. + 76ef0: 0000015e 02230608 6c617374 41646472 ...^.#..lastAddr + 76f00: 00000042 91022308 08646174 61416464 ...B..#..dataAdd + 76f10: 72000000 01820223 0c086e65 78744164 r......#..nextAd + 76f20: 64720000 00429102 23100003 0000420f dr...B..#.....B. + 76f30: 04000300 00420f04 00077a73 446d6151 .....B....zsDmaQ + 76f40: 75657565 00080000 42d10868 65616400 ueue....B..head. + 76f50: 00004298 02230008 7465726d 696e6174 ..B..#..terminat + 76f60: 6f720000 00429802 23040007 7a735478 or...B..#...zsTx + 76f70: 446d6151 75657565 00100000 43350868 DmaQueue....C5.h + 76f80: 65616400 00004298 02230008 7465726d ead...B..#..term + 76f90: 696e6174 6f720000 00429802 23040878 inator...B..#..x + 76fa0: 6d697465 645f6275 665f6865 61640000 mited_buf_head.. + 76fb0: 00141f02 23080878 6d697465 645f6275 ....#..xmited_bu + 76fc0: 665f7461 696c0000 00141f02 230c0002 f_tail......#... + 76fd0: 01030000 43350400 03000042 9f040002 ....C5.....B.... + 76fe0: 01030000 43450400 03000042 d1040002 ....CE.....B.... + 76ff0: 01030000 43550400 02010300 00435e04 ....CU.......C^. + 77000: 00020103 00004367 04000600 00141f01 ......Cg........ + 77010: 03000043 70040002 01030000 437d0400 ...Cp.......C}.. + 77020: 06000014 1f010300 00438604 00020103 .........C...... + 77030: 00004393 04000600 0000fa01 03000043 ..C............C + 77040: 9c040006 00004298 01030000 43a90400 ......B.....C... + 77050: 02010300 0043b604 0007646d 615f656e .....C....dma_en + 77060: 67696e65 5f617069 00400000 452c085f gine_api.@..E,._ + 77070: 696e6974 00000043 37022300 085f696e init...C7.#.._in + 77080: 69745f72 785f7175 65756500 00004347 it_rx_queue...CG + 77090: 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que + 770a0: 75650000 00435702 2308085f 636f6e66 ue...CW.#.._conf + 770b0: 69675f72 785f7175 65756500 00004360 ig_rx_queue...C` + 770c0: 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... + 770d0: 43690223 10085f66 6c757368 5f786d69 Ci.#.._flush_xmi + 770e0: 74000000 43470223 14085f72 6561705f t...CG.#.._reap_ + 770f0: 72656376 5f627566 00000043 76022318 recv_buf...Cv.#. + 77100: 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 77110: 66000000 437f0223 1c085f72 6561705f f...C..#.._reap_ + 77120: 786d6974 65645f62 75660000 00438c02 xmited_buf...C.. + 77130: 2320085f 73776170 5f646174 61000000 # ._swap_data... + 77140: 43950223 24085f68 61735f63 6f6d706c C..#$._has_compl + 77150: 5f706163 6b657473 00000043 a2022328 _packets...C..#( + 77160: 085f6465 73635f64 756d7000 00004347 ._desc_dump...CG + 77170: 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. + 77180: 000043af 02233008 5f726563 6c61696d ..C..#0._reclaim + 77190: 5f706163 6b657400 000043b8 02233408 _packet...C..#4. + 771a0: 5f707574 5f706163 6b657400 000043b8 _put_packet...C. + 771b0: 02233808 70526573 65727665 64000000 .#8.pReserved... + 771c0: 03ef0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ + 771d0: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 771e0: 655f7400 0000306b 09574d49 5f535643 e_t...0k.WMI_SVC + 771f0: 5f415049 53000000 40d4175f 415f6d61 _APIS...@.._A_ma + 77200: 67706965 5f696e64 69726563 74696f6e gpie_indirection + 77210: 5f746162 6c650003 4c000046 5a08636d _table..L..FZ.cm + 77220: 6e6f7300 0000452c 02230008 64626700 nos...E,.#..dbg. + 77230: 000003bc 0323b803 08686966 00000029 .....#...hif...) + 77240: 360323c0 03086874 63000000 3a870323 6.#...htc...:..# + 77250: f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. + 77260: 00454e03 23ac0408 75736266 69666f5f .EN.#...usbfifo_ + 77270: 61706900 0000323f 0323d804 08627566 api...2?.#...buf + 77280: 5f706f6f 6c000000 36e80323 e4040876 _pool...6..#...v + 77290: 62756600 00001449 03238005 08766465 buf....I.#...vde + 772a0: 73630000 00132b03 23940508 616c6c6f sc....+.#...allo + 772b0: 6372616d 00000009 210323a8 0508646d cram....!.#...dm + 772c0: 615f656e 67696e65 00000043 bf0323b4 a_engine...C..#. + 772d0: 0508646d 615f6c69 62000000 2bca0323 ..dma_lib...+..# + 772e0: f4050868 69665f70 63690000 002e2a03 ...hif_pci....*. + 772f0: 23a80600 12080000 468e086d 6f72655f #.......F..more_ + 77300: 64617461 0000001c 8e022300 086c656e data......#..len + 77310: 0000001c 8e022302 086f6666 73657400 ......#..offset. + 77320: 000015ff 02230400 12080000 46b00872 .....#......F..r + 77330: 73700000 0015ff02 2300086f 66667365 sp......#..offse + 77340: 74000000 15ff0223 0400120c 000046f7 t......#......F. + 77350: 08616464 72000000 15ff0223 00086869 .addr......#..hi + 77360: 665f6861 6e646c65 00000028 93022304 f_handle...(..#. + 77370: 0872785f 70697065 00000016 66022308 .rx_pipe....f.#. + 77380: 0874785f 70697065 00000016 66022309 .tx_pipe....f.#. + 77390: 0009415f 494e5433 32000000 00fa095f ..A_INT32......_ + 773a0: 5f615f69 6e743332 5f740000 0046f709 _a_int32_t...F.. + 773b0: 615f696e 7433325f 74000000 4704076d a_int32_t...G..m + 773c0: 64696f5f 62775f65 78656300 18000047 dio_bw_exec....G + 773d0: ac087374 6172745f 61646472 65737300 ..start_address. + 773e0: 000015ff 02230008 6c656e67 74680000 .....#..length.. + 773f0: 0015ff02 23040865 7865635f 61646472 ....#..exec_addr + 77400: 65737300 000015ff 02230808 63686563 ess......#..chec + 77410: 6b73756d 00000015 ff02230c 08667764 ksum......#..fwd + 77420: 5f737461 74650000 00471502 23100863 _state...G..#..c + 77430: 75727265 6e745f77 725f7074 72000000 urrent_wr_ptr... + 77440: 16750223 14000600 0000fa01 03000001 .u.#............ + 77450: 97040009 5f415f6d 61677069 655f696e ...._A_magpie_in + 77460: 64697265 6374696f 6e5f7461 626c655f direction_table_ + 77470: 74000000 45600966 77645f74 67745f73 t...E`.fwd_tgt_s + 77480: 6f667463 5f740000 0046b01a 6677645f oftc_t...F..fwd_ + 77490: 73630000 0047dc05 0300500b 0c010600 sc...G....P..... + 774a0: 00289301 02010300 00480a04 00020103 .(.......H...... + 774b0: 00004813 04000300 0047dc04 00060000 ..H......G...... + 774c0: 260d0103 00001666 04000966 77645f63 &......f...fwd_c + 774d0: 6d645f74 00000046 5a030000 48300400 md_t...FZ...H0.. + 774e0: 03000048 30040003 00000906 04000966 ...H0..........f + 774f0: 77645f72 73705f74 00000046 8e030000 wd_rsp_t...F.... + 77500: 48540400 03000048 54040009 6a6d705f HT.....HT...jmp_ + 77510: 66756e63 00000000 f3030000 29360400 func........)6.. + 77520: 02010f00 0001011b 00004895 101a0003 ..........H..... + 77530: 00004888 04000f00 00010119 000048a9 ..H...........H. + 77540: 10180003 0000489c 04000201 06000000 ......H......... + 77550: fa010f00 00010129 000048c5 10280003 .......)..H..(.. + 77560: 000048b8 04000f00 0001012b 000048d9 ..H........+..H. + 77570: 102a0003 000048cc 04000600 0003f201 .*....H......... + 77580: 096d6469 6f5f6277 5f657865 635f7400 .mdio_bw_exec_t. + 77590: 00004724 03000048 e6040006 000000fa ..G$...H........ + 775a0: 010f0000 01011f00 00491410 1e000300 .........I...... + 775b0: 00490704 000f0000 01011c00 00492810 .I...........I(. + 775c0: 1b000300 00491b04 000f0000 01011800 .....I.......... + 775d0: 00493c10 17000300 00492f04 000f0000 .I<......I/..... + 775e0: 01012c00 00495010 2b000300 00494304 ..,..IP.+....IC. + 775f0: 000f0000 01012500 00496410 24000300 ......%..Id.$... + 77600: 00495704 001b3d36 6d69695f 7265675f .IW...=6mii_reg_ + 77610: 77726974 655f3332 00000000 fa010392 write_32........ + 77620: 01200290 00008e5e 60008e5e 75000049 . .....^`..^u..I + 77630: b31c3d36 61646472 00000001 9701521c ..=6addr......R. + 77640: 3d367661 6c000000 01740153 001d011a =6val....t.S.... + 77650: 6677645f 696e6974 00000028 93010392 fwd_init...(.... + 77660: 01300290 00008e5e 78008e5e b000004a .0.....^x..^...J + 77670: 061e6869 66636f6e 66696700 000028d2 ..hifconfig...(. + 77680: 0291501f 7265735f 68656164 726f6f6d ..P.res_headroom + 77690: 00000009 061f7369 7a650000 00090600 ......size...... + 776a0: 20012f66 77645f72 65746275 665f6861 ./fwd_retbuf_ha + 776b0: 6e646c65 72000101 03920120 02900000 ndler...... .... + 776c0: 8e5eb000 8e5ec800 004a531c 012f6275 .^...^...JS../bu + 776d0: 66000000 141f0152 1c012f53 65727669 f......R../Servi + 776e0: 63654374 78000000 03ef0153 00210135 ceCtx......S.!.5 + 776f0: 6677645f 7467745f 70726f63 6573735f fwd_tgt_process_ + 77700: 6c617374 00000026 0d010103 92012002 last...&...... . + 77710: 9000008e 5ec8008e 5ef60000 4ac21c01 ....^...^...J... + 77720: 3573697a 65000000 09060152 1c013563 5size......R..5c + 77730: 6b73756d 00000009 0601531f 63686563 ksum......S.chec + 77740: 6b73756d 00000000 fa1f696d 61676500 ksum......image. + 77750: 000014e0 1f690000 0000fa00 20014666 .....i...... .Ff + 77760: 77645f74 67745f72 65637600 01010392 wd_tgt_recv..... + 77770: 01200290 00008e5e f8008e5f d700004b . .....^..._...K + 77780: a01c0146 6864725f 62756600 0000141f ...Fhdr_buf..... + 77790: 01521c01 46627566 00000014 1f01531c .R..Fbuf......S. + 777a0: 01466374 78000000 03ef0154 1f646573 .Fctx......T.des + 777b0: 63000000 13011f64 61746100 00004829 c......data...H) + 777c0: 1f736567 6c656e00 00000906 1f630000 .seglen......c.. + 777d0: 00483f1f 6c656e00 00000906 1f6f6666 .H?.len......off + 777e0: 73657400 00000906 1f6d6f72 65000000 set......more... + 777f0: 09061f69 6d616765 00000048 4d1f6461 ...image...HM.da + 77800: 64647200 0000484d 1f690000 0009061f ddr...HM.i...... + 77810: 72000000 48631f73 74617475 73000000 r...Hc.status... + 77820: 260d1f65 6c6f6300 00000906 1f66756e &..eloc......fun + 77830: 63707472 00000048 71002001 926d6167 cptr...Hq. ..mag + 77840: 7069655f 6d64696f 5f6d6f64 756c655f pie_mdio_module_ + 77850: 696e7374 616c6c00 01010392 01200290 install...... .. + 77860: 00008e5f d8008e5f fa00004b e21c0192 ..._..._...K.... + 77870: 61706973 00000048 7f015200 2001a46d apis...H..R. ..m + 77880: 61677069 655f6d64 696f5f62 6f6f745f agpie_mdio_boot_ + 77890: 696e6974 00010103 92012002 9000008e init...... ..... + 778a0: 5ffc008e 60be0000 4c1a1f76 616c0000 _...`...L..val.. + 778b0: 00019700 2101cf6d 61677069 655f6d64 ....!..magpie_md + 778c0: 696f5f77 6169745f 666f725f 6c6f636b io_wait_for_lock + 778d0: 00000000 fa010103 92013002 9000008e ..........0..... + 778e0: 60c0008e 60f90000 4c5d1e76 616c0000 `...`...L].val.. + 778f0: 00017402 91500020 01dd6d61 67706965 ..t..P. ..magpie + 77900: 5f6d6469 6f5f7265 6c656173 655f6c6f _mdio_release_lo + 77910: 636b0001 01039201 20029000 008e60fc ck...... .....`. + 77920: 008e6112 00004ca4 1c01dd65 78747261 ..a...L....extra + 77930: 5f666c61 67730000 00030701 52002101 _flags......R.!. + 77940: e36d6469 6f5f7265 61645f62 6c6f636b .mdio_read_block + 77950: 00000000 fa010103 92012002 9000008e .......... ..... + 77960: 6114008e 61590000 4d0e1c01 e3707472 a...aY..M....ptr + 77970: 00000019 7f01521c 01e36c65 6e000000 ......R...len... + 77980: 00fa0153 1f6a0000 0000fa1f 6e657874 ...S.j......next + 77990: 5f726561 645f7265 67000000 00fa1f76 _read_reg......v + 779a0: 616c0000 00017400 2101f96d 61677069 al....t.!..magpi + 779b0: 655f6d64 696f5f63 6f70795f 62797465 e_mdio_copy_byte + 779c0: 73000000 00fa0101 03920120 02900000 s.......... .... + 779d0: 8e615c00 8e619900 004d751c 01f9746f .a\..a...Mu...to + 779e0: 00000019 7f01521c 01f96c65 6e677468 ......R...length + 779f0: 00000000 fa01531f 6377696e 64657800 ......S.cwindex. + 77a00: 000000fa 1f696c65 6e000000 00fa0022 .....ilen......" + 77a10: 01010a66 775f636f 6d707574 655f636b ...fw_compute_ck + 77a20: 73756d00 000003f2 01010392 01200290 sum.......... .. + 77a30: 00008e61 9c008e61 bb00004d d0230101 ...a...a...M.#.. + 77a40: 0a707472 00000030 64015223 01010a6c .ptr...0d.R#...l + 77a50: 656e0000 0000fa01 531f7375 6d000000 en......S.sum... + 77a60: 03f21f69 00000000 fa002401 011a6d64 ...i......$...md + 77a70: 696f5f67 65745f66 775f696d 61676500 io_get_fw_image. + 77a80: 000000fa 01010392 01200290 00008e61 ......... .....a + 77a90: bc008e62 64230101 1a66775f 62775f73 ...bd#...fw_bw_s + 77aa0: 74617465 00000048 fa01521f 6c000000 tate...H..R.l... + 77ab0: 00fa1f72 646c656e 00000000 fa000000 ...rdlen........ + 77ac0: 000049eb 00020000 2b560401 2f726f6f ..I.....+V../roo + 77ad0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 77ae0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 77af0: 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 77b00: 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 77b10: 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 77b20: 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 77b30: 6f6c2f73 72632f62 75665f70 6f6f6c5f ol/src/buf_pool_ + 77b40: 73746174 69632e63 002f726f 6f742f57 static.c./root/W + 77b50: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 77b60: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 77b70: 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + 77b80: 706f6f6c 0078742d 78636320 666f7220 pool.xt-xcc for + 77b90: 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 77ba0: 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 77bb0: 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 77bc0: 61636500 01000001 abf50201 03000001 ace............. + 77bd0: 0a040004 696e7400 05040463 68617200 ....int....char. + 77be0: 07010500 00011a05 0000011a 03000001 ................ + 77bf0: 27040006 00000113 01030000 01330400 '............3.. + 77c00: 07707269 6e74665f 61706900 08000001 .printf_api..... + 77c10: 77085f70 72696e74 665f696e 69740000 w._printf_init.. + 77c20: 00010c02 2300085f 7072696e 74660000 ....#.._printf.. + 77c30: 00013902 23040004 73686f72 7420756e ..9.#...short un + 77c40: 7369676e 65642069 6e740007 02097569 signed int....ui + 77c50: 6e743136 5f740000 00017704 6c6f6e67 nt16_t....w.long + 77c60: 20756e73 69676e65 6420696e 74000704 unsigned int... + 77c70: 0975696e 7433325f 74000000 019b0775 .uint32_t......u + 77c80: 6172745f 6669666f 00080000 02090873 art_fifo.......s + 77c90: 74617274 5f696e64 65780000 00018d02 tart_index...... + 77ca0: 23000865 6e645f69 6e646578 00000001 #..end_index.... + 77cb0: 8d022302 086f7665 7272756e 5f657272 ..#..overrun_err + 77cc0: 00000001 b0022304 00077561 72745f61 ......#...uart_a + 77cd0: 70690020 000002c2 085f7561 72745f69 pi. ....._uart_i + 77ce0: 6e697400 00000319 02230008 5f756172 nit......#.._uar + 77cf0: 745f6368 61725f70 75740000 00034002 t_char_put....@. + 77d00: 2304085f 75617274 5f636861 725f6765 #.._uart_char_ge + 77d10: 74000000 03540223 08085f75 6172745f t....T.#.._uart_ + 77d20: 7374725f 6f757400 0000035d 02230c08 str_out....].#.. + 77d30: 5f756172 745f7461 736b0000 00010c02 _uart_task...... + 77d40: 2310085f 75617274 5f737461 74757300 #.._uart_status. + 77d50: 00000319 02231408 5f756172 745f636f .....#.._uart_co + 77d60: 6e666967 00000003 66022318 085f7561 nfig....f.#.._ua + 77d70: 72745f68 77696e69 74000000 036f0223 rt_hwinit....o.# + 77d80: 1c000300 00020904 00077561 72745f62 ..........uart_b + 77d90: 6c6b0010 00000313 08646562 75675f6d lk.......debug_m + 77da0: 6f646500 0000018d 02230008 62617564 ode......#..baud + 77db0: 00000001 8d022302 085f7561 72740000 ......#.._uart.. + 77dc0: 0002c202 2304085f 74780000 0001be02 ....#.._tx...... + 77dd0: 23080006 000001b0 01030000 03130400 #............... + 77de0: 04756e73 69676e65 64206368 61720007 .unsigned char.. + 77df0: 01097569 6e74385f 74000000 03200201 ..uint8_t.... .. + 77e00: 03000003 3e040003 00000331 04000600 ....>......1.... + 77e10: 00018d01 03000003 4e040002 01030000 ........N....... + 77e20: 035b0400 02010300 00036404 00020103 .[........d..... + 77e30: 0000036d 04000300 00011a04 00060000 ...m............ + 77e40: 01130103 0000037d 04000744 425f434f .......}...DB_CO + 77e50: 4d4d414e 445f5354 52554354 000c0000 MMAND_STRUCT.... + 77e60: 03d50863 6d645f73 74720000 00037602 ...cmd_str....v. + 77e70: 23000868 656c705f 73747200 00000376 #..help_str....v + 77e80: 02230408 636d645f 66756e63 00000003 .#..cmd_func.... + 77e90: 83022308 00076462 675f6170 69000800 ..#...dbg_api... + 77ea0: 00040808 5f646267 5f696e69 74000000 ...._dbg_init... + 77eb0: 010c0223 00085f64 62675f74 61736b00 ...#.._dbg_task. + 77ec0: 0000010c 02230400 0a040004 756e7369 .....#......unsi + 77ed0: 676e6564 20696e74 00070406 00000408 gned int........ + 77ee0: 01030000 041b0400 0b0b0300 00042904 ..............). + 77ef0: 00060000 04080103 00000431 04000600 ...........1.... + 77f00: 00011301 03000004 3e040007 6d656d5f ........>...mem_ + 77f10: 61706900 14000004 ad085f6d 656d5f69 api......._mem_i + 77f20: 6e697400 0000010c 02230008 5f6d656d nit......#.._mem + 77f30: 73657400 00000421 02230408 5f6d656d set....!.#.._mem + 77f40: 63707900 00000437 02230808 5f6d656d cpy....7.#.._mem + 77f50: 6d6f7665 00000004 3702230c 085f6d65 move....7.#.._me + 77f60: 6d636d70 00000004 44022310 000c7265 mcmp....D.#...re + 77f70: 67697374 65725f64 756d705f 73000001 gister_dump_s... + 77f80: 03000004 ad040002 01030000 04c70400 ................ + 77f90: 02010300 0004d004 00060000 01130103 ................ + 77fa0: 000004d9 04000d68 6f737469 665f7300 .......hostif_s. + 77fb0: 04000005 350e4849 465f5553 4200000e ....5.HIF_USB... + 77fc0: 4849465f 50434945 00010e48 49465f47 HIF_PCIE...HIF_G + 77fd0: 4d414300 020e4849 465f5043 4900030e MAC...HIF_PCI... + 77fe0: 4849465f 4e554d00 040e4849 465f4e4f HIF_NUM...HIF_NO + 77ff0: 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. + 78000: 0004e606 00000535 01030000 05430400 .......5.....C.. + 78010: 06000003 31010300 00055004 00060000 ....1.....P..... + 78020: 018d0103 0000055d 0400076d 6973635f .......]...misc_ + 78030: 61706900 24000006 4d085f73 79737465 api.$...M._syste + 78040: 6d5f7265 73657400 0000010c 02230008 m_reset......#.. + 78050: 5f6d6163 5f726573 65740000 00010c02 _mac_reset...... + 78060: 2304085f 61737366 61696c00 000004c9 #.._assfail..... + 78070: 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ + 78080: 6c6f6164 5f68616e 646c6572 00000004 load_handler.... + 78090: c902230c 085f7265 706f7274 5f666169 ..#.._report_fai + 780a0: 6c757265 5f746f5f 686f7374 00000004 lure_to_host.... + 780b0: d2022310 085f7461 72676574 5f69645f ..#.._target_id_ + 780c0: 67657400 000004df 02231408 5f69735f get......#.._is_ + 780d0: 686f7374 5f707265 73656e74 00000005 host_present.... + 780e0: 49022318 085f6b62 68697400 00000556 I.#.._kbhit....V + 780f0: 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version + 78100: 5f676574 00000005 63022320 00060000 _get....c.# .... + 78110: 03760103 0000064d 04000600 00037601 .v.....M......v. + 78120: 03000006 5a040006 00000113 01030000 ....Z........... + 78130: 06670400 06000001 13010300 00067404 .g............t. + 78140: 00060000 01130103 00000681 04000773 ...............s + 78150: 7472696e 675f6170 69001800 00070708 tring_api....... + 78160: 5f737472 696e675f 696e6974 00000001 _string_init.... + 78170: 0c022300 085f7374 72637079 00000006 ..#.._strcpy.... + 78180: 53022304 085f7374 726e6370 79000000 S.#.._strncpy... + 78190: 06600223 08085f73 74726c65 6e000000 .`.#.._strlen... + 781a0: 066d0223 0c085f73 7472636d 70000000 .m.#.._strcmp... + 781b0: 067a0223 10085f73 74726e63 6d700000 .z.#.._strncmp.. + 781c0: 00068702 2314000f 0000040b 14000007 ....#........... + 781d0: 14100400 095f415f 54494d45 525f5350 ....._A_TIMER_SP + 781e0: 41434500 00000707 09415f74 696d6572 ACE......A_timer + 781f0: 5f740000 00071403 00000728 04000201 _t.........(.... + 78200: 03000007 3e040002 01030000 07470400 ....>........G.. + 78210: 09415f48 414e444c 45000000 040b0201 .A_HANDLE....... + 78220: 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... + 78230: 075e0300 00076004 00020103 00000779 .^....`........y + 78240: 04000774 696d6572 5f617069 00140000 ...timer_api.... + 78250: 07f8085f 74696d65 725f696e 69740000 ..._timer_init.. + 78260: 00010c02 2300085f 74696d65 725f6172 ....#.._timer_ar + 78270: 6d000000 07400223 04085f74 696d6572 m....@.#.._timer + 78280: 5f646973 61726d00 00000749 02230808 _disarm....I.#.. + 78290: 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... + 782a0: 7b02230c 085f7469 6d65725f 72756e00 {.#.._timer_run. + 782b0: 0000010c 02231000 09424f4f 4c45414e .....#...BOOLEAN + 782c0: 00000001 8d060000 07f80103 00000805 ................ + 782d0: 04000600 0007f801 03000008 12040006 ................ + 782e0: 000007f8 01030000 081f0400 07726f6d .............rom + 782f0: 705f6170 69001000 00089108 5f726f6d p_api......._rom + 78300: 705f696e 69740000 00010c02 2300085f p_init......#.._ + 78310: 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... + 78320: 080b0223 04085f72 6f6d705f 696e7374 ...#.._romp_inst + 78330: 616c6c00 00000818 02230808 5f726f6d all......#.._rom + 78340: 705f6465 636f6465 00000008 2502230c p_decode....%.#. + 78350: 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. + 78360: 000008ed 08637263 31360000 00018d02 .....crc16...... + 78370: 2300086c 656e0000 00018d02 2302086c #..len......#..l + 78380: 645f6164 64720000 0001b002 23040866 d_addr......#..f + 78390: 756e5f61 64647200 000001b0 02230808 un_addr......#.. + 783a0: 7066756e 00000003 4702230c 00076565 pfun....G.#...ee + 783b0: 705f7265 6469725f 61646472 00040000 p_redir_addr.... + 783c0: 091f086f 66667365 74000000 018d0223 ...offset......# + 783d0: 00087369 7a650000 00018d02 23020009 ..size......#... + 783e0: 415f5549 4e543332 00000004 0b060000 A_UINT32........ + 783f0: 04080103 0000092d 04000761 6c6c6f63 .......-...alloc + 78400: 72616d5f 61706900 0c000009 9e08636d ram_api.......cm + 78410: 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini + 78420: 74000000 09330223 0008636d 6e6f735f t....3.#..cmnos_ + 78430: 616c6c6f 6372616d 00000009 33022304 allocram....3.#. + 78440: 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ + 78450: 64656275 67000000 010c0223 08000201 debug......#.... + 78460: 03000009 9e040009 415f5441 534b4c45 ........A_TASKLE + 78470: 545f4655 4e430000 0009a007 5f746173 T_FUNC......_tas + 78480: 6b6c6574 00100000 09ff0866 756e6300 klet.......func. + 78490: 000009a7 02230008 61726700 00000408 .....#..arg..... + 784a0: 02230408 73746174 65000000 01130223 .#..state......# + 784b0: 08086e65 78740000 0009ff02 230c0003 ..next......#... + 784c0: 000009bb 04000300 0009bb04 0009415f ..............A_ + 784d0: 7461736b 6c65745f 74000000 09bb0300 tasklet_t....... + 784e0: 000a0d04 00020103 00000a25 04000201 ...........%.... + 784f0: 0300000a 2e040007 7461736b 6c65745f ........tasklet_ + 78500: 61706900 1400000a c3085f74 61736b6c api......._taskl + 78510: 65745f69 6e697400 0000010c 02230008 et_init......#.. + 78520: 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta + 78530: 736b0000 000a2702 2304085f 7461736b sk....'.#.._task + 78540: 6c65745f 64697361 626c6500 00000a30 let_disable....0 + 78550: 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch + 78560: 6564756c 65000000 0a300223 0c085f74 edule....0.#.._t + 78570: 61736b6c 65745f72 756e0000 00010c02 asklet_run...... + 78580: 23100002 01030000 0ac30400 06000009 #............... + 78590: 1f010300 000acc04 00020103 00000ad9 ................ + 785a0: 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. + 785b0: 0bbb085f 636c6f63 6b5f696e 69740000 ..._clock_init.. + 785c0: 000ac502 2300085f 636c6f63 6b726567 ....#.._clockreg + 785d0: 735f696e 69740000 00010c02 2304085f s_init......#.._ + 785e0: 75617274 5f667265 7175656e 63790000 uart_frequency.. + 785f0: 000ad202 2308085f 64656c61 795f7573 ....#.._delay_us + 78600: 0000000a db02230c 085f776c 616e5f62 ......#.._wlan_b + 78610: 616e645f 73657400 00000adb 02231008 and_set......#.. + 78620: 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 78630: 74000000 0ad20223 14085f6d 696c6c69 t......#.._milli + 78640: 7365636f 6e647300 00000ad2 02231808 seconds......#.. + 78650: 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 78660: 00010c02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti + 78670: 636b0000 00010c02 23200006 000001b0 ck......# ...... + 78680: 01030000 0bbb0400 09415f6f 6c645f69 .........A_old_i + 78690: 6e74725f 74000000 01b00600 000bc801 ntr_t........... + 786a0: 0300000b da040002 01030000 0be70400 ................ + 786b0: 02010300 000bf004 00060000 01b00103 ................ + 786c0: 00000bf9 04000941 5f697372 5f740000 .......A_isr_t.. + 786d0: 000bff02 01030000 0c130400 06000004 ................ + 786e0: 0b010300 000c1c04 00020103 00000c29 ...............) + 786f0: 04000769 6e74725f 61706900 2c00000d ...intr_api.,... + 78700: 4b085f69 6e74725f 696e6974 00000001 K._intr_init.... + 78710: 0c022300 085f696e 74725f69 6e766f6b ..#.._intr_invok + 78720: 655f6973 72000000 0bc10223 04085f69 e_isr......#.._i + 78730: 6e74725f 64697361 626c6500 00000be0 ntr_disable..... + 78740: 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor + 78750: 65000000 0be90223 0c085f69 6e74725f e......#.._intr_ + 78760: 6d61736b 5f696e75 6d000000 0bf20223 mask_inum......# + 78770: 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 78780: 6e756d00 00000bf2 02231408 5f696e74 num......#.._int + 78790: 725f6174 74616368 5f697372 0000000c r_attach_isr.... + 787a0: 15022318 085f6765 745f696e 7472656e ..#.._get_intren + 787b0: 61626c65 0000000c 2202231c 085f7365 able....".#.._se + 787c0: 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 787d0: 2b022320 085f6765 745f696e 74727065 +.# ._get_intrpe + 787e0: 6e64696e 67000000 0c220223 24085f75 nding....".#$._u + 787f0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 78800: 766c0000 00010c02 23280011 0400000d vl......#(...... + 78810: 71087469 6d656f75 74000000 01b00223 q.timeout......# + 78820: 00086163 74696f6e 00000001 b0022300 ..action......#. + 78830: 00120800 000d8c08 636d6400 000001b0 ........cmd..... + 78840: 02230013 00000d4b 02230400 09545f57 .#.....K.#...T_W + 78850: 44545f43 4d440000 000d7102 01030000 DT_CMD....q..... + 78860: 0d9b0400 14040000 0df10e45 4e554d5f ...........ENUM_ + 78870: 5744545f 424f4f54 00010e45 4e554d5f WDT_BOOT...ENUM_ + 78880: 434f4c44 5f424f4f 5400020e 454e554d COLD_BOOT...ENUM + 78890: 5f535553 505f424f 4f540003 0e454e55 _SUSP_BOOT...ENU + 788a0: 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 788b0: 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 788c0: 0da40600 000df101 0300000e 02040007 ................ + 788d0: 7764745f 61706900 1c00000e a6085f77 wdt_api......._w + 788e0: 64745f69 6e697400 0000010c 02230008 dt_init......#.. + 788f0: 5f776474 5f656e61 626c6500 0000010c _wdt_enable..... + 78900: 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable + 78910: 00000001 0c022308 085f7764 745f7365 ......#.._wdt_se + 78920: 74000000 0d9d0223 0c085f77 64745f74 t......#.._wdt_t + 78930: 61736b00 0000010c 02231008 5f776474 ask......#.._wdt + 78940: 5f726573 65740000 00010c02 2314085f _reset......#.._ + 78950: 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 78960: 0e080223 18001404 00000f0d 0e524554 ...#.........RET + 78970: 5f535543 43455353 00000e52 45545f4e _SUCCESS...RET_N + 78980: 4f545f49 4e495400 010e5245 545f4e4f OT_INIT...RET_NO + 78990: 545f4558 49535400 020e5245 545f4545 T_EXIST...RET_EE + 789a0: 505f434f 52525550 5400030e 5245545f P_CORRUPT...RET_ + 789b0: 4545505f 4f564552 464c4f57 00040e52 EEP_OVERFLOW...R + 789c0: 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ + 789d0: 4545505f 52455400 00000ea6 03000001 EEP_RET......... + 789e0: 8d040006 00000f0d 01030000 0f230400 .............#.. + 789f0: 0600000f 0d010300 000f3004 00076565 ..........0...ee + 78a00: 705f6170 69001000 000f9908 5f656570 p_api......._eep + 78a10: 5f696e69 74000000 010c0223 00085f65 _init......#.._e + 78a20: 65705f72 65616400 00000f29 02230408 ep_read....).#.. + 78a30: 5f656570 5f777269 74650000 000f2902 _eep_write....). + 78a40: 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist + 78a50: 0000000f 3602230c 00077573 625f6170 ....6.#...usb_ap + 78a60: 69007000 00124608 5f757362 5f696e69 i.p...F._usb_ini + 78a70: 74000000 010c0223 00085f75 73625f72 t......#.._usb_r + 78a80: 6f6d5f74 61736b00 0000010c 02230408 om_task......#.. + 78a90: 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... + 78aa0: 0c022308 085f7573 625f696e 69745f70 ..#.._usb_init_p + 78ab0: 68790000 00010c02 230c085f 7573625f hy......#.._usb_ + 78ac0: 6570305f 73657475 70000000 010c0223 ep0_setup......# + 78ad0: 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 78ae0: 010c0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r + 78af0: 78000000 010c0223 18085f75 73625f67 x......#.._usb_g + 78b00: 65745f69 6e746572 66616365 00000008 et_interface.... + 78b10: 1802231c 085f7573 625f7365 745f696e ..#.._usb_set_in + 78b20: 74657266 61636500 00000818 02232008 terface......# . + 78b30: 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 78b40: 72617469 6f6e0000 00081802 2324085f ration......#$._ + 78b50: 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 78b60: 6174696f 6e000000 08180223 28085f75 ation......#(._u + 78b70: 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 78b80: 00000818 02232c08 5f757362 5f76656e .....#,._usb_ven + 78b90: 646f725f 636d6400 0000010c 02233008 dor_cmd......#0. + 78ba0: 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 78bb0: 00010c02 2334085f 7573625f 72657365 ....#4._usb_rese + 78bc0: 745f6669 666f0000 00010c02 2338085f t_fifo......#8._ + 78bd0: 7573625f 67656e5f 77647400 0000010c usb_gen_wdt..... + 78be0: 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 78bf0: 6f740000 00010c02 2340085f 7573625f ot......#@._usb_ + 78c00: 636c725f 66656174 75726500 00000818 clr_feature..... + 78c10: 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea + 78c20: 74757265 00000008 18022348 085f7573 ture......#H._us + 78c30: 625f7365 745f6164 64726573 73000000 b_set_address... + 78c40: 08180223 4c085f75 73625f67 65745f64 ...#L._usb_get_d + 78c50: 65736372 6970746f 72000000 08180223 escriptor......# + 78c60: 50085f75 73625f67 65745f73 74617475 P._usb_get_statu + 78c70: 73000000 08180223 54085f75 73625f73 s......#T._usb_s + 78c80: 65747570 5f646573 63000000 010c0223 etup_desc......# + 78c90: 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 78ca0: 00010c02 235c085f 7573625f 73746174 ....#\._usb_stat + 78cb0: 75735f69 6e000000 010c0223 60085f75 us_in......#`._u + 78cc0: 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 78cd0: 00010c02 2364085f 7573625f 6570305f ....#d._usb_ep0_ + 78ce0: 72785f64 61746100 0000010c 02236808 rx_data......#h. + 78cf0: 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 78d00: 010c0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. + 78d10: 0012d208 6e657874 5f646573 63000000 ....next_desc... + 78d20: 12d20223 00086275 665f6164 64720000 ...#..buf_addr.. + 78d30: 0012e602 23040862 75665f73 697a6500 ....#..buf_size. + 78d40: 000012ed 02230808 64617461 5f6f6666 .....#..data_off + 78d50: 73657400 000012ed 02230a08 64617461 set......#..data + 78d60: 5f73697a 65000000 12ed0223 0c08636f _size......#..co + 78d70: 6e74726f 6c000000 12ed0223 0e086877 ntrol......#..hw + 78d80: 5f646573 635f6275 66000000 12fb0223 _desc_buf......# + 78d90: 10000300 00124604 0009415f 55494e54 ......F...A_UINT + 78da0: 38000000 03200300 0012d904 0009415f 8.... ........A_ + 78db0: 55494e54 31360000 0001770f 000012d9 UINT16....w..... + 78dc0: 14000013 08101300 03000012 46040009 ............F... + 78dd0: 56444553 43000000 12460300 00130f04 VDESC....F...... + 78de0: 00060000 131a0103 00001321 04000600 ...........!.... + 78df0: 0012e601 03000013 2e040002 01030000 ................ + 78e00: 133b0400 07766465 73635f61 70690014 .;...vdesc_api.. + 78e10: 000013b3 085f696e 69740000 000adb02 ....._init...... + 78e20: 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 78e30: 00001327 02230408 5f676574 5f68775f ...'.#.._get_hw_ + 78e40: 64657363 00000013 34022308 085f7377 desc....4.#.._sw + 78e50: 61705f76 64657363 00000013 3d02230c ap_vdesc....=.#. + 78e60: 08705265 73657276 65640000 00040802 .pReserved...... + 78e70: 23100007 5f564255 46002000 00141308 #..._VBUF. ..... + 78e80: 64657363 5f6c6973 74000000 131a0223 desc_list......# + 78e90: 00086e65 78745f62 75660000 00141302 ..next_buf...... + 78ea0: 23040862 75665f6c 656e6774 68000000 #..buf_length... + 78eb0: 12ed0223 08087265 73657276 65640000 ...#..reserved.. + 78ec0: 00141a02 230a0863 74780000 0012fb02 ....#..ctx...... + 78ed0: 230c0003 000013b3 04000f00 0012d902 #............... + 78ee0: 00001427 10010003 000013b3 04000956 ...'...........V + 78ef0: 42554600 000013b3 03000014 2e040006 BUF............. + 78f00: 00001438 01030000 143f0400 06000014 ...8.....?...... + 78f10: 38010300 00144c04 00020103 00001459 8.....L........Y + 78f20: 04000776 6275665f 61706900 14000014 ...vbuf_api..... + 78f30: d7085f69 6e697400 00000adb 02230008 .._init......#.. + 78f40: 5f616c6c 6f635f76 62756600 00001445 _alloc_vbuf....E + 78f50: 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 78f60: 77697468 5f73697a 65000000 14520223 with_size....R.# + 78f70: 08085f66 7265655f 76627566 00000014 .._free_vbuf.... + 78f80: 5b02230c 08705265 73657276 65640000 [.#..pReserved.. + 78f90: 00040802 23100007 5f5f6164 665f6465 ....#...__adf_de + 78fa0: 76696365 00040000 14f90864 756d6d79 vice.......dummy + 78fb0: 00000001 13022300 00030000 091f0400 ......#......... + 78fc0: 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 78fd0: 00001540 08627566 00000014 38022300 ...@.buf....8.#. + 78fe0: 0864735f 61646472 00000014 f9022304 .ds_addr......#. + 78ff0: 0864735f 6c656e00 000012ed 02230800 .ds_len......#.. + 79000: 120c0000 157a085f 5f76615f 73746b00 .....z.__va_stk. + 79010: 00000376 02230008 5f5f7661 5f726567 ...v.#..__va_reg + 79020: 00000003 76022304 085f5f76 615f6e64 ....v.#..__va_nd + 79030: 78000000 01130223 0800095f 5f616466 x......#...__adf + 79040: 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 79050: 00091f09 6164665f 6f735f64 6d615f61 ....adf_os_dma_a + 79060: 6464725f 74000000 157a095f 5f616466 ddr_t....z.__adf + 79070: 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 79080: 00091f09 6164665f 6f735f64 6d615f73 ....adf_os_dma_s + 79090: 697a655f 74000000 15aa075f 5f646d61 ize_t......__dma + 790a0: 5f736567 73000800 00160608 70616464 _segs.......padd + 790b0: 72000000 15930223 00086c65 6e000000 r......#..len... + 790c0: 15c30223 0400095f 5f615f75 696e7433 ...#...__a_uint3 + 790d0: 325f7400 0000091f 09615f75 696e7433 2_t......a_uint3 + 790e0: 325f7400 00001606 0f000015 da080000 2_t............. + 790f0: 16351000 00076164 665f6f73 5f646d61 .5....adf_os_dma + 79100: 6d61705f 696e666f 000c0000 166e086e map_info.....n.n + 79110: 73656773 00000016 18022300 08646d61 segs......#..dma + 79120: 5f736567 73000000 16280223 0400095f _segs....(.#..._ + 79130: 5f615f75 696e7438 5f740000 0012d909 _a_uint8_t...... + 79140: 615f7569 6e74385f 74000000 166e0300 a_uint8_t....n.. + 79150: 00167f04 00075f5f 73675f73 65677300 ......__sg_segs. + 79160: 08000016 c0087661 64647200 0000168e ......vaddr..... + 79170: 02230008 6c656e00 00001618 02230400 .#..len......#.. + 79180: 0f000016 95200000 16cd1003 00076164 ..... ........ad + 79190: 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... + 791a0: 00086e73 65677300 00001618 02230008 ..nsegs......#.. + 791b0: 73675f73 65677300 000016c0 02230400 sg_segs......#.. + 791c0: 12100000 17490876 656e646f 72000000 .....I.vendor... + 791d0: 16180223 00086465 76696365 00000016 ...#..device.... + 791e0: 18022304 08737562 76656e64 6f720000 ..#..subvendor.. + 791f0: 00161802 23080873 75626465 76696365 ....#..subdevice + 79200: 00000016 1802230c 00046c6f 6e67206c ......#...long l + 79210: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 79220: 00070809 415f5549 4e543634 00000017 ....A_UINT64.... + 79230: 49095f5f 615f7569 6e743634 5f740000 I.__a_uint64_t.. + 79240: 00176309 615f7569 6e743634 5f740000 ..c.a_uint64_t.. + 79250: 00177114 04000017 cf0e4144 465f4f53 ..q.......ADF_OS + 79260: 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 79270: 454d0000 0e414446 5f4f535f 5245534f EM...ADF_OS_RESO + 79280: 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... + 79290: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 792a0: 74797065 5f740000 00179312 18000018 type_t.......... + 792b0: 19087374 61727400 00001783 02230008 ..start......#.. + 792c0: 656e6400 00001783 02230808 74797065 end......#..type + 792d0: 00000017 cf022310 00096164 665f6f73 ......#...adf_os + 792e0: 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 792f0: 17000300 00181904 00110400 00185808 ..............X. + 79300: 70636900 00001832 02230008 72617700 pci....2.#..raw. + 79310: 00000408 02230000 11100000 18770870 .....#.......w.p + 79320: 63690000 00181902 23000872 61770000 ci......#..raw.. + 79330: 00040802 23000009 6164665f 6472765f ....#...adf_drv_ + 79340: 68616e64 6c655f74 00000004 08096164 handle_t......ad + 79350: 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 79360: 000017eb 03000018 8d040009 6164665f ............adf_ + 79370: 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 79380: 00000018 58030000 18ab0400 03000014 ....X........... + 79390: d7040009 5f5f6164 665f6f73 5f646576 ....__adf_os_dev + 793a0: 6963655f 74000000 18cc0961 64665f6f ice_t......adf_o + 793b0: 735f6465 76696365 5f740000 0018d306 s_device_t...... + 793c0: 00001877 01030000 18ff0400 02010300 ...w............ + 793d0: 00190c04 00096164 665f6f73 5f706d5f ......adf_os_pm_ + 793e0: 74000000 04080201 03000019 26040014 t...........&... + 793f0: 04000019 660e4144 465f4f53 5f425553 ....f.ADF_OS_BUS + 79400: 5f545950 455f5043 4900010e 4144465f _TYPE_PCI...ADF_ + 79410: 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 79420: 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu + 79430: 735f7479 70655f74 00000019 2f096164 s_type_t..../.ad + 79440: 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 79450: 615f7400 00001839 03000003 20040007 a_t....9.... ... + 79460: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 79470: 001a4308 6472765f 61747461 63680000 ..C.drv_attach.. + 79480: 00190502 23000864 72765f64 65746163 ....#..drv_detac + 79490: 68000000 190e0223 04086472 765f7375 h......#..drv_su + 794a0: 7370656e 64000000 19280223 08086472 spend....(.#..dr + 794b0: 765f7265 73756d65 00000019 0e02230c v_resume......#. + 794c0: 08627573 5f747970 65000000 19660223 .bus_type....f.# + 794d0: 10086275 735f6461 74610000 00197d02 ..bus_data....}. + 794e0: 2314086d 6f645f6e 616d6500 00001998 #..mod_name..... + 794f0: 02231808 69666e61 6d650000 00199802 .#..ifname...... + 79500: 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl + 79510: 655f7400 00000408 03000016 6e040002 e_t.........n... + 79520: 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 79530: 655f7400 0000040b 14040000 1a920e41 e_t............A + 79540: 5f46414c 53450000 0e415f54 52554500 _FALSE...A_TRUE. + 79550: 01000961 5f626f6f 6c5f7400 00001a78 ...a_bool_t....x + 79560: 03000015 00040009 5f5f6164 665f6f73 ........__adf_os + 79570: 5f646d61 5f6d6170 5f740000 001aa002 _dma_map_t...... + 79580: 010d6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 79590: 796e6300 0400001b 2a0e4144 465f5359 ync.....*.ADF_SY + 795a0: 4e435f50 52455245 41440000 0e414446 NC_PREREAD...ADF + 795b0: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 795c0: 0e414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 795d0: 41440001 0e414446 5f53594e 435f504f AD...ADF_SYNC_PO + 795e0: 53545752 49544500 03000961 64665f6f STWRITE....adf_o + 795f0: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 79600: 001ac102 01096164 665f6f73 5f73697a ......adf_os_siz + 79610: 655f7400 00001a63 0600001b 45010961 e_t....c....E..a + 79620: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 79630: 00001aa7 0300001b 5e040006 00000408 ........^....... + 79640: 01030000 1aa70400 06000004 08010201 ................ + 79650: 06000015 93010201 0473686f 72742069 .........short i + 79660: 6e740005 0209415f 494e5431 36000000 nt....A_INT16... + 79670: 1b98095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 79680: 001ba509 615f696e 7431365f 74000000 ....a_int16_t... + 79690: 1bb20473 69676e65 64206368 61720005 ...signed char.. + 796a0: 0109415f 494e5438 0000001b d2095f5f ..A_INT8......__ + 796b0: 615f696e 74385f74 0000001b e109615f a_int8_t......a_ + 796c0: 696e7438 5f740000 001bed12 0c00001c int8_t.......... + 796d0: 64087375 70706f72 74656400 00001618 d.supported..... + 796e0: 02230008 61647665 7274697a 65640000 .#..advertized.. + 796f0: 00161802 23040873 70656564 0000001b ....#..speed.... + 79700: c3022308 08647570 6c657800 00001bfd ..#..duplex..... + 79710: 02230a08 6175746f 6e656700 0000167f .#..autoneg..... + 79720: 02230b00 0f000016 7f060000 1c711005 .#...........q.. + 79730: 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 79740: 72000600 001c9508 61646472 0000001c r.......addr.... + 79750: 64022300 00095f5f 615f7569 6e743136 d.#...__a_uint16 + 79760: 5f740000 0012ed09 615f7569 6e743136 _t......a_uint16 + 79770: 5f740000 001c9512 0e00001c f9086574 _t............et + 79780: 6865725f 64686f73 74000000 1c640223 her_dhost....d.# + 79790: 00086574 6865725f 73686f73 74000000 ..ether_shost... + 797a0: 1c640223 06086574 6865725f 74797065 .d.#..ether_type + 797b0: 0000001c a702230c 00121400 001dba15 ......#......... + 797c0: 69705f76 65727369 6f6e0000 00167f01 ip_version...... + 797d0: 00040223 00156970 5f686c00 0000167f ...#..ip_hl..... + 797e0: 01040402 23000869 705f746f 73000000 ....#..ip_tos... + 797f0: 167f0223 01086970 5f6c656e 0000001c ...#..ip_len.... + 79800: a7022302 0869705f 69640000 001ca702 ..#..ip_id...... + 79810: 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. + 79820: 001ca702 23060869 705f7474 6c000000 ....#..ip_ttl... + 79830: 167f0223 08086970 5f70726f 746f0000 ...#..ip_proto.. + 79840: 00167f02 23090869 705f6368 65636b00 ....#..ip_check. + 79850: 00001ca7 02230a08 69705f73 61646472 .....#..ip_saddr + 79860: 00000016 1802230c 0869705f 64616464 ......#..ip_dadd + 79870: 72000000 16180223 10000761 64665f6e r......#...adf_n + 79880: 65745f76 6c616e68 64720004 00001e0c et_vlanhdr...... + 79890: 08747069 64000000 1ca70223 00157072 .tpid......#..pr + 798a0: 696f0000 00167f01 00030223 02156366 io.........#..cf + 798b0: 69000000 167f0103 01022302 15766964 i.........#..vid + 798c0: 0000001c a702040c 02230200 07616466 .........#...adf + 798d0: 5f6e6574 5f766964 00020000 1e3d1572 _net_vid.....=.r + 798e0: 65730000 00167f01 00040223 00157661 es.........#..va + 798f0: 6c000000 1ca70204 0c022300 00120c00 l.........#..... + 79900: 001e7908 72785f62 75667369 7a650000 ..y.rx_bufsize.. + 79910: 00161802 23000872 785f6e64 65736300 ....#..rx_ndesc. + 79920: 00001618 02230408 74785f6e 64657363 .....#..tx_ndesc + 79930: 00000016 18022308 00120800 001e9f08 ......#......... + 79940: 706f6c6c 65640000 001a9202 23000870 polled......#..p + 79950: 6f6c6c5f 77740000 00161802 2304000f oll_wt......#... + 79960: 0000167f 4000001e ac103f00 12460000 ....@.....?..F.. + 79970: 1ed40869 665f6e61 6d650000 001e9f02 ...if_name...... + 79980: 23000864 65765f61 64647200 00001c64 #..dev_addr....d + 79990: 02234000 14040000 1f0b0e41 44465f4f .#@........ADF_O + 799a0: 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 799b0: 00000e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 799c0: 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ + 799d0: 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 799e0: 1ed40761 64665f64 6d615f69 6e666f00 ...adf_dma_info. + 799f0: 0800001f 5808646d 615f6d61 736b0000 ....X.dma_mask.. + 79a00: 001f0b02 23000873 675f6e73 65677300 ....#..sg_nsegs. + 79a10: 00001618 02230400 14040000 1fae0e41 .....#.........A + 79a20: 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 79a30: 4500000e 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 79a40: 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 79a50: 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 79a60: 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a + 79a70: 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 79a80: 655f7400 00001f58 12080000 1ff10874 e_t....X.......t + 79a90: 785f636b 73756d00 00001fae 02230008 x_cksum......#.. + 79aa0: 72785f63 6b73756d 0000001f ae022304 rx_cksum......#. + 79ab0: 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 79ac0: 696e666f 5f740000 001fc814 04000020 info_t......... + 79ad0: 4a0e4144 465f4e45 545f5453 4f5f4e4f J.ADF_NET_TSO_NO + 79ae0: 4e450000 0e414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 79af0: 5f495056 3400010e 4144465f 4e45545f _IPV4...ADF_NET_ + 79b00: 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n + 79b10: 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 79b20: 200b1210 0000209e 08636b73 756d5f63 ..... ..cksum_c + 79b30: 61700000 001ff102 23000874 736f0000 ap......#..tso.. + 79b40: 00204a02 23080876 6c616e5f 73757070 . J.#..vlan_supp + 79b50: 6f727465 64000000 167f0223 0c001220 orted......#... + 79b60: 00002137 0874785f 7061636b 65747300 ..!7.tx_packets. + 79b70: 00001618 02230008 72785f70 61636b65 .....#..rx_packe + 79b80: 74730000 00161802 23040874 785f6279 ts......#..tx_by + 79b90: 74657300 00001618 02230808 72785f62 tes......#..rx_b + 79ba0: 79746573 00000016 1802230c 0874785f ytes......#..tx_ + 79bb0: 64726f70 70656400 00001618 02231008 dropped......#.. + 79bc0: 72785f64 726f7070 65640000 00161802 rx_dropped...... + 79bd0: 23140872 785f6572 726f7273 00000016 #..rx_errors.... + 79be0: 18022318 0874785f 6572726f 72730000 ..#..tx_errors.. + 79bf0: 00161802 231c0009 6164665f 6e65745f ....#...adf_net_ + 79c00: 65746861 6464725f 74000000 1c711600 ethaddr_t....q.. + 79c10: 00213703 00000021 5c107f00 17616466 .!7....!\....adf + 79c20: 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 79c30: 03040000 2193086e 656c656d 00000016 ....!..nelem.... + 79c40: 18022300 086d6361 73740000 00214e02 ..#..mcast...!N. + 79c50: 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 79c60: 6c696e6b 5f696e66 6f5f7400 00001c0b link_info_t..... + 79c70: 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 79c80: 6c5f696e 666f5f74 0000001e 79096164 l_info_t....y.ad + 79c90: 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 79ca0: 696e666f 5f740000 001ff109 6164665f info_t......adf_ + 79cb0: 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 79cc0: 6f5f7400 00001e3d 09616466 5f6e6574 o_t....=.adf_net + 79cd0: 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 79ce0: 00001f22 09616466 5f6e6574 5f636d64 ...".adf_net_cmd + 79cf0: 5f766964 5f740000 001ca709 6164665f _vid_t......adf_ + 79d00: 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 79d10: 6361705f 74000000 20620961 64665f6e cap_t... b.adf_n + 79d20: 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 79d30: 00209e09 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 79d40: 6d636164 64725f74 00000021 5c0d6164 mcaddr_t...!\.ad + 79d50: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 79d60: 63617000 04000022 d50e4144 465f4e45 cap...."..ADF_NE + 79d70: 545f4d43 4153545f 53555000 000e4144 T_MCAST_SUP...AD + 79d80: 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 79d90: 55500001 00096164 665f6e65 745f636d UP....adf_net_cm + 79da0: 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 79db0: 228d1803 04000023 a7086c69 6e6b5f69 "......#..link_i + 79dc0: 6e666f00 00002193 02230008 706f6c6c nfo...!..#..poll + 79dd0: 5f696e66 6f000000 21b00223 0008636b _info...!..#..ck + 79de0: 73756d5f 696e666f 00000021 cd022300 sum_info...!..#. + 79df0: 0872696e 675f696e 666f0000 0021eb02 .ring_info...!.. + 79e00: 23000864 6d615f69 6e666f00 00002208 #..dma_info...". + 79e10: 02230008 76696400 00002224 02230008 .#..vid..."$.#.. + 79e20: 6f66666c 6f61645f 63617000 0000223b offload_cap..."; + 79e30: 02230008 73746174 73000000 225a0223 .#..stats..."Z.# + 79e40: 00086d63 6173745f 696e666f 00000022 ..mcast_info..." + 79e50: 73022300 086d6361 73745f63 61700000 s.#..mcast_cap.. + 79e60: 0022d502 23000014 04000023 fe0e4144 ."..#......#..AD + 79e70: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 79e80: 4e4f4e45 00000e41 44465f4e 4255465f NONE...ADF_NBUF_ + 79e90: 52585f43 4b53554d 5f485700 010e4144 RX_CKSUM_HW...AD + 79ea0: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 79eb0: 554e4e45 43455353 41525900 02000961 UNNECESSARY....a + 79ec0: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 79ed0: 5f747970 655f7400 000023a7 12080000 _type_t...#..... + 79ee0: 243e0872 6573756c 74000000 23fe0223 $>.result...#..# + 79ef0: 00087661 6c000000 16180223 04001208 ..val......#.... + 79f00: 0000246e 08747970 65000000 204a0223 ..$n.type... J.# + 79f10: 00086d73 73000000 1ca70223 04086864 ..mss......#..hd + 79f20: 725f6f66 66000000 167f0223 0600075f r_off......#..._ + 79f30: 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 79f40: 0c000024 ad086865 61640000 00143802 ...$..head....8. + 79f50: 23000874 61696c00 00001438 02230408 #..tail....8.#.. + 79f60: 716c656e 00000016 18022308 00095f5f qlen......#...__ + 79f70: 6164665f 6e627566 5f740000 00143803 adf_nbuf_t....8. + 79f80: 0000168e 04000300 00161804 00020106 ................ + 79f90: 0000131a 01060000 16180106 0000168e ................ + 79fa0: 01060000 168e0103 000012fb 0400095f ..............._ + 79fb0: 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 79fc0: 74000000 246e095f 5f616466 5f6e6275 t...$n.__adf_nbu + 79fd0: 665f7175 6575655f 74000000 24ee0300 f_queue_t...$... + 79fe0: 00250604 00060000 24ad0106 000024ad .%......$.....$. + 79ff0: 01140400 0026260e 415f5354 41545553 .....&&.A_STATUS + 7a000: 5f4f4b00 000e415f 53544154 55535f46 _OK...A_STATUS_F + 7a010: 41494c45 4400010e 415f5354 41545553 AILED...A_STATUS + 7a020: 5f454e4f 454e5400 020e415f 53544154 _ENOENT...A_STAT + 7a030: 55535f45 4e4f4d45 4d00030e 415f5354 US_ENOMEM...A_ST + 7a040: 41545553 5f45494e 56414c00 040e415f ATUS_EINVAL...A_ + 7a050: 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 7a060: 53530005 0e415f53 54415455 535f454e SS...A_STATUS_EN + 7a070: 4f545355 50500006 0e415f53 54415455 OTSUPP...A_STATU + 7a080: 535f4542 55535900 070e415f 53544154 S_EBUSY...A_STAT + 7a090: 55535f45 32424947 00080e41 5f535441 US_E2BIG...A_STA + 7a0a0: 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 7a0b0: 4c00090e 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 7a0c0: 494f000a 0e415f53 54415455 535f4546 IO...A_STATUS_EF + 7a0d0: 41554c54 000b0e41 5f535441 5455535f AULT...A_STATUS_ + 7a0e0: 45494f00 0c000961 5f737461 7475735f EIO....a_status_ + 7a0f0: 74000000 25310600 00262601 06000001 t...%1...&&..... + 7a100: 13010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. + 7a110: 000024ad 14040000 268b0e41 44465f4f ..$.....&..ADF_O + 7a120: 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 7a130: 000e4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 7a140: 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ + 7a150: 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 7a160: 54060000 26260102 01096164 665f6f73 T...&&....adf_os + 7a170: 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 7a180: 00163503 000026a9 04000201 02010600 ..5...&......... + 7a190: 00264401 06000024 ad010201 02010600 .&D....$........ + 7a1a0: 00264401 06000024 ad010600 00264401 .&D....$.....&D. + 7a1b0: 06000024 ad010600 00264401 02010201 ...$.....&D..... + 7a1c0: 06000016 18010600 00168e01 02010201 ................ + 7a1d0: 0600001b 45010600 001a9201 0600001a ....E........... + 7a1e0: 92010961 64665f6f 735f7367 6c697374 ...adf_os_sglist + 7a1f0: 5f740000 0016cd03 00002722 04000201 _t........'".... + 7a200: 02010201 06000016 8e010961 64665f6e ...........adf_n + 7a210: 6275665f 71756575 655f7400 00002506 buf_queue_t...%. + 7a220: 03000027 4a040002 01030000 24ee0400 ...'J.......$... + 7a230: 02010201 02010600 00264401 06000024 .........&D....$ + 7a240: ad010600 00161801 06000016 18010600 ................ + 7a250: 001a9201 0600001a 92010600 001fae01 ................ + 7a260: 06000016 18010961 64665f6e 6275665f .......adf_nbuf_ + 7a270: 72785f63 6b73756d 5f740000 00241c03 rx_cksum_t...$.. + 7a280: 000027a6 04000201 02010961 64665f6e ..'........adf_n + 7a290: 6275665f 74736f5f 74000000 243e0300 buf_tso_t...$>.. + 7a2a0: 0027ca04 00020102 01096164 665f6e65 .'........adf_ne + 7a2b0: 745f6861 6e646c65 5f740000 00040809 t_handle_t...... + 7a2c0: 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 7a2d0: 74000000 1dba0300 0027ff04 00060000 t........'...... + 7a2e0: 26260106 00002626 01020102 01075f48 &&....&&......_H + 7a2f0: 49465f43 4f4e4649 47000400 00284e08 IF_CONFIG....(N. + 7a300: 64756d6d 79000000 01130223 00000201 dummy......#.... + 7a310: 03000028 4e040002 01030000 28570400 ...(N.......(W.. + 7a320: 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 7a330: 000028ac 0873656e 645f6275 665f646f ..(..send_buf_do + 7a340: 6e650000 00285002 23000872 6563765f ne...(P.#..recv_ + 7a350: 62756600 00002859 02230408 636f6e74 buf...(Y.#..cont + 7a360: 65787400 00000408 02230800 09686966 ext......#...hif + 7a370: 5f68616e 646c655f 74000000 04080948 _handle_t......H + 7a380: 49465f43 4f4e4649 47000000 282d0300 IF_CONFIG...(-.. + 7a390: 0028be04 00060000 28ac0103 000028d5 .(......(.....(. + 7a3a0: 04000201 03000028 e2040009 4849465f .......(....HIF_ + 7a3b0: 43414c4c 4241434b 00000028 60030000 CALLBACK...(`... + 7a3c0: 28eb0400 02010300 00290404 00060000 (........)...... + 7a3d0: 01130103 0000290d 04000201 03000029 ......)........) + 7a3e0: 1a040006 00000113 01030000 29230400 ............)#.. + 7a3f0: 02010300 00293004 00060000 01130103 .....)0......... + 7a400: 00002939 04000201 03000029 46040007 ..)9.......)F... + 7a410: 6869665f 61706900 3800002a 9f085f69 hif_api.8..*.._i + 7a420: 6e697400 000028db 02230008 5f736875 nit...(..#.._shu + 7a430: 74646f77 6e000000 28e40223 04085f72 tdown...(..#.._r + 7a440: 65676973 7465725f 63616c6c 6261636b egister_callback + 7a450: 00000029 06022308 085f6765 745f746f ...)..#.._get_to + 7a460: 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 7a470: 00000029 1302230c 085f7374 61727400 ...)..#.._start. + 7a480: 000028e4 02231008 5f636f6e 6669675f ..(..#.._config_ + 7a490: 70697065 00000029 1c022314 085f7365 pipe...)..#.._se + 7a4a0: 6e645f62 75666665 72000000 29290223 nd_buffer...)).# + 7a4b0: 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 7a4c0: 75660000 00293202 231c085f 69735f70 uf...)2.#.._is_p + 7a4d0: 6970655f 73757070 6f727465 64000000 ipe_supported... + 7a4e0: 293f0223 20085f67 65745f6d 61785f6d )?.# ._get_max_m + 7a4f0: 73675f6c 656e0000 00293f02 2324085f sg_len...)?.#$._ + 7a500: 6765745f 72657365 72766564 5f686561 get_reserved_hea + 7a510: 64726f6f 6d000000 29130223 28085f69 droom...)..#(._i + 7a520: 73725f68 616e646c 65720000 0028e402 sr_handler...(.. + 7a530: 232c085f 6765745f 64656661 756c745f #,._get_default_ + 7a540: 70697065 00000029 48022330 08705265 pipe...)H.#0.pRe + 7a550: 73657276 65640000 00040802 2334000d served......#4.. + 7a560: 646d615f 656e6769 6e650004 00002b28 dma_engine....+( + 7a570: 0e444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 7a580: 000e444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 7a590: 00010e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 7a5a0: 3200020e 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 7a5b0: 58330003 0e444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 7a5c0: 54583000 040e444d 415f454e 47494e45 TX0...DMA_ENGINE + 7a5d0: 5f545831 00050e44 4d415f45 4e47494e _TX1...DMA_ENGIN + 7a5e0: 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng + 7a5f0: 696e655f 74000000 2a9f0d64 6d615f69 ine_t...*..dma_i + 7a600: 66747970 65000400 002b750e 444d415f ftype....+u.DMA_ + 7a610: 49465f47 4d414300 000e444d 415f4946 IF_GMAC...DMA_IF + 7a620: 5f504349 00010e44 4d415f49 465f5043 _PCI...DMA_IF_PC + 7a630: 49450002 0009646d 615f6966 74797065 IE....dma_iftype + 7a640: 5f740000 002b3a06 000012ed 01030000 _t...+:......... + 7a650: 2b870400 02010300 002b9404 00020103 +........+...... + 7a660: 00002b9d 04000600 00091f01 0300002b ..+............+ + 7a670: a6040006 000012ed 01030000 2bb30400 ............+... + 7a680: 06000012 ed010300 002bc004 00060000 .........+...... + 7a690: 14380103 00002bcd 04000201 0300002b .8....+........+ + 7a6a0: da040007 646d615f 6c69625f 61706900 ....dma_lib_api. + 7a6b0: 3400002c e1087478 5f696e69 74000000 4..,..tx_init... + 7a6c0: 2b8d0223 00087478 5f737461 72740000 +..#..tx_start.. + 7a6d0: 002b9602 23040872 785f696e 69740000 .+..#..rx_init.. + 7a6e0: 002b8d02 23080872 785f636f 6e666967 .+..#..rx_config + 7a6f0: 0000002b 9f02230c 0872785f 73746172 ...+..#..rx_star + 7a700: 74000000 2b960223 1008696e 74725f73 t...+..#..intr_s + 7a710: 74617475 73000000 2bac0223 14086861 tatus...+..#..ha + 7a720: 72645f78 6d697400 00002bb9 02231808 rd_xmit...+..#.. + 7a730: 666c7573 685f786d 69740000 002b9602 flush_xmit...+.. + 7a740: 231c0878 6d69745f 646f6e65 0000002b #..xmit_done...+ + 7a750: c6022320 08726561 705f786d 69747465 ..# .reap_xmitte + 7a760: 64000000 2bd30223 24087265 61705f72 d...+..#$.reap_r + 7a770: 65637600 00002bd3 02232808 72657475 ecv...+..#(.retu + 7a780: 726e5f72 65637600 00002bdc 02232c08 rn_recv...+..#,. + 7a790: 72656376 5f706b74 0000002b c6022330 recv_pkt...+..#0 + 7a7a0: 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 7a7b0: 002cff08 73770000 0028eb02 23000009 .,..sw...(..#... + 7a7c0: 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 7a7d0: 2ce10300 002cff04 00020103 00002d19 ,....,........-. + 7a7e0: 04000600 0012d901 0300002d 2204000d ...........-"... + 7a7f0: 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 7a800: 0400002d 820e4849 465f5043 495f5049 ...-..HIF_PCI_PI + 7a810: 50455f54 58300000 0e484946 5f504349 PE_TX0...HIF_PCI + 7a820: 5f504950 455f5458 3100010e 4849465f _PIPE_TX1...HIF_ + 7a830: 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 7a840: 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 7a850: 74785f74 0000002d 2f060000 2b280103 tx_t...-/...+(.. + 7a860: 00002d99 04000d68 69665f70 63695f70 ..-....hif_pci_p + 7a870: 6970655f 72780004 00002e1f 0e484946 ipe_rx.......HIF + 7a880: 5f504349 5f504950 455f5258 3000000e _PCI_PIPE_RX0... + 7a890: 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 7a8a0: 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 7a8b0: 52583200 020e4849 465f5043 495f5049 RX2...HIF_PCI_PI + 7a8c0: 50455f52 58330003 0e484946 5f504349 PE_RX3...HIF_PCI + 7a8d0: 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... + 7a8e0: 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 7a8f0: 74000000 2da60600 002b2801 0300002e t...-....+(..... + 7a900: 36040007 6869665f 7063695f 61706900 6...hif_pci_api. + 7a910: 2400002f 14087063 695f626f 6f745f69 $../..pci_boot_i + 7a920: 6e697400 0000010c 02230008 7063695f nit......#..pci_ + 7a930: 696e6974 00000028 db022304 08706369 init...(..#..pci + 7a940: 5f726573 65740000 00010c02 23080870 _reset......#..p + 7a950: 63695f65 6e61626c 65000000 010c0223 ci_enable......# + 7a960: 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 7a970: 65640000 002d1b02 23100870 63695f72 ed...-..#..pci_r + 7a980: 6561705f 72656376 0000002d 1b022314 eap_recv...-..#. + 7a990: 08706369 5f676574 5f706970 65000000 .pci_get_pipe... + 7a9a0: 2d280223 18087063 695f6765 745f7478 -(.#..pci_get_tx + 7a9b0: 5f656e67 0000002d 9f02231c 08706369 _eng...-..#..pci + 7a9c0: 5f676574 5f72785f 656e6700 00002e3c _get_rx_eng....< + 7a9d0: 02232000 07676d61 635f6170 69000400 .# ..gmac_api... + 7a9e0: 002f3b08 676d6163 5f626f6f 745f696e ./;.gmac_boot_in + 7a9f0: 69740000 00010c02 2300000f 00000320 it......#...... + 7aa00: 0600002f 48100500 075f5f65 74686864 .../H....__ethhd + 7aa10: 72000e00 002f7e08 64737400 00002f3b r..../~.dst.../; + 7aa20: 02230008 73726300 00002f3b 02230608 .#..src.../;.#.. + 7aa30: 65747970 65000000 12ed0223 0c00075f etype......#..._ + 7aa40: 5f617468 68647200 0400002f cc157265 _athhdr..../..re + 7aa50: 73000000 12d90100 02022300 1570726f s.........#..pro + 7aa60: 746f0000 0012d901 02060223 00087265 to.........#..re + 7aa70: 735f6c6f 00000012 d9022301 08726573 s_lo......#..res + 7aa80: 5f686900 000012ed 02230200 075f5f67 _hi......#...__g + 7aa90: 6d61635f 68647200 14000030 08086574 mac_hdr....0..et + 7aaa0: 68000000 2f480223 00086174 68000000 h.../H.#..ath... + 7aab0: 2f7e0223 0e08616c 69676e5f 70616400 /~.#..align_pad. + 7aac0: 000012ed 02231200 095f5f67 6d61635f .....#...__gmac_ + 7aad0: 6864725f 74000000 2fcc075f 5f676d61 hdr_t.../..__gma + 7aae0: 635f736f 66746300 24000030 52086864 c_softc.$..0R.hd + 7aaf0: 72000000 30080223 00086772 616e0000 r...0..#..gran.. + 7ab00: 0012ed02 23140873 77000000 28eb0223 ....#..sw...(..# + 7ab10: 18000c5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 7ab20: 5f636865 636b0000 01030000 30520400 _check......0R.. + 7ab30: 06000001 13010300 00307004 00030000 .........0p..... + 7ab40: 040b0400 175f415f 636d6e6f 735f696e ....._A_cmnos_in + 7ab50: 64697265 6374696f 6e5f7461 626c6500 direction_table. + 7ab60: 01b80000 31c00868 616c5f6c 696e6b61 ....1..hal_linka + 7ab70: 67655f63 6865636b 00000030 76022300 ge_check...0v.#. + 7ab80: 08737461 72745f62 73730000 00307d02 .start_bss...0}. + 7ab90: 23040861 70705f73 74617274 00000001 #..app_start.... + 7aba0: 0c022308 086d656d 00000004 4b02230c ..#..mem....K.#. + 7abb0: 086d6973 63000000 056a0223 20087072 .misc....j.# .pr + 7abc0: 696e7466 00000001 40022344 08756172 intf....@.#D.uar + 7abd0: 74000000 02090223 4c08676d 61630000 t......#L.gmac.. + 7abe0: 002f1402 236c0875 73620000 000f9902 ./..#l.usb...... + 7abf0: 23700863 6c6f636b 0000000a e20323e0 #p.clock......#. + 7ac00: 01087469 6d657200 00000782 03238402 ..timer......#.. + 7ac10: 08696e74 72000000 0c320323 98020861 .intr....2.#...a + 7ac20: 6c6c6f63 72616d00 0000093a 0323c402 llocram....:.#.. + 7ac30: 08726f6d 70000000 082c0323 d0020877 .romp....,.#...w + 7ac40: 64745f74 696d6572 0000000e 0f0323e0 dt_timer......#. + 7ac50: 02086565 70000000 0f3d0323 fc020873 ..eep....=.#...s + 7ac60: 7472696e 67000000 068e0323 8c030874 tring......#...t + 7ac70: 61736b6c 65740000 000a3703 23a40300 asklet....7.#... + 7ac80: 075f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI + 7ac90: 47001000 00323308 6765745f 636f6d6d G....23.get_comm + 7aca0: 616e645f 62756600 00001445 02230008 and_buf....E.#.. + 7acb0: 72656376 5f636f6d 6d616e64 00000014 recv_command.... + 7acc0: 5b022304 08676574 5f657665 6e745f62 [.#..get_event_b + 7acd0: 75660000 00144502 23080873 656e645f uf....E.#..send_ + 7ace0: 6576656e 745f646f 6e650000 00145b02 event_done....[. + 7acf0: 230c0009 5553425f 4649464f 5f434f4e #...USB_FIFO_CON + 7ad00: 46494700 000031c0 03000032 33040002 FIG...1....23... + 7ad10: 01030000 324f0400 07757362 6669666f ....2O...usbfifo + 7ad20: 5f617069 000c0000 32a5085f 696e6974 _api....2.._init + 7ad30: 00000032 51022300 085f656e 61626c65 ...2Q.#.._enable + 7ad40: 5f657665 6e745f69 73720000 00010c02 _event_isr...... + 7ad50: 23040870 52657365 72766564 00000004 #..pReserved.... + 7ad60: 08022308 000f0000 167f0200 0032b210 ..#..........2.. + 7ad70: 0100075f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 7ad80: 52000800 00332408 456e6470 6f696e74 R....3$.Endpoint + 7ad90: 49440000 00167f02 23000846 6c616773 ID......#..Flags + 7ada0: 00000016 7f022301 08506179 6c6f6164 ......#..Payload + 7adb0: 4c656e00 00001ca7 02230208 436f6e74 Len......#..Cont + 7adc0: 726f6c42 79746573 00000032 a5022304 rolBytes...2..#. + 7add0: 08486f73 74536571 4e756d00 00001ca7 .HostSeqNum..... + 7ade0: 02230600 12020000 333d084d 65737361 .#......3=.Messa + 7adf0: 67654944 0000001c a7022300 00120800 geID......#..... + 7ae00: 0033a008 4d657373 61676549 44000000 .3..MessageID... + 7ae10: 1ca70223 00084372 65646974 436f756e ...#..CreditCoun + 7ae20: 74000000 1ca70223 02084372 65646974 t......#..Credit + 7ae30: 53697a65 0000001c a7022304 084d6178 Size......#..Max + 7ae40: 456e6470 6f696e74 73000000 167f0223 Endpoints......# + 7ae50: 06085f50 61643100 0000167f 02230700 .._Pad1......#.. + 7ae60: 120a0000 3437084d 65737361 67654944 ....47.MessageID + 7ae70: 0000001c a7022300 08536572 76696365 ......#..Service + 7ae80: 49440000 001ca702 23020843 6f6e6e65 ID......#..Conne + 7ae90: 6374696f 6e466c61 67730000 001ca702 ctionFlags...... + 7aea0: 23040844 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 7aeb0: 44000000 167f0223 06085570 4c696e6b D......#..UpLink + 7aec0: 50697065 49440000 00167f02 23070853 PipeID......#..S + 7aed0: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 7aee0: 00000016 7f022308 085f5061 64310000 ......#.._Pad1.. + 7aef0: 00167f02 23090012 0a000034 bf084d65 ....#......4..Me + 7af00: 73736167 65494400 00001ca7 02230008 ssageID......#.. + 7af10: 53657276 69636549 44000000 1ca70223 ServiceID......# + 7af20: 02085374 61747573 00000016 7f022304 ..Status......#. + 7af30: 08456e64 706f696e 74494400 0000167f .EndpointID..... + 7af40: 02230508 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 7af50: 001ca702 23060853 65727669 63654d65 ....#..ServiceMe + 7af60: 74614c65 6e677468 00000016 7f022308 taLength......#. + 7af70: 085f5061 64310000 00167f02 23090012 ._Pad1......#... + 7af80: 02000034 d8084d65 73736167 65494400 ...4..MessageID. + 7af90: 00001ca7 02230000 12040000 3514084d .....#......5..M + 7afa0: 65737361 67654944 0000001c a7022300 essageID......#. + 7afb0: 08506970 65494400 0000167f 02230208 .PipeID......#.. + 7afc0: 43726564 6974436f 756e7400 0000167f CreditCount..... + 7afd0: 02230300 12040000 354b084d 65737361 .#......5K.Messa + 7afe0: 67654944 0000001c a7022300 08506970 geID......#..Pip + 7aff0: 65494400 0000167f 02230208 53746174 eID......#..Stat + 7b000: 75730000 00167f02 23030012 02000035 us......#......5 + 7b010: 72085265 636f7264 49440000 00167f02 r.RecordID...... + 7b020: 2300084c 656e6774 68000000 167f0223 #..Length......# + 7b030: 01001202 0000359c 08456e64 706f696e ......5..Endpoin + 7b040: 74494400 0000167f 02230008 43726564 tID......#..Cred + 7b050: 69747300 0000167f 02230100 12040000 its......#...... + 7b060: 35dd0845 6e64706f 696e7449 44000000 5..EndpointID... + 7b070: 167f0223 00084372 65646974 73000000 ...#..Credits... + 7b080: 167f0223 01085467 74437265 64697453 ...#..TgtCreditS + 7b090: 65714e6f 0000001c a7022302 000f0000 eqNo......#..... + 7b0a0: 167f0400 0035ea10 03001206 00003626 .....5........6& + 7b0b0: 08507265 56616c69 64000000 167f0223 .PreValid......# + 7b0c0: 00084c6f 6f6b4168 65616400 000035dd ..LookAhead...5. + 7b0d0: 02230108 506f7374 56616c69 64000000 .#..PostValid... + 7b0e0: 167f0223 05000970 6f6f6c5f 68616e64 ...#...pool_hand + 7b0f0: 6c655f74 00000004 08060000 36260103 le_t........6&.. + 7b100: 00003639 04000201 03000036 46040014 ..69.......6F... + 7b110: 04000036 c40e504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 7b120: 435f434f 4e54524f 4c00000e 504f4f4c C_CONTROL...POOL + 7b130: 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 7b140: 5245504c 5900010e 504f4f4c 5f49445f REPLY...POOL_ID_ + 7b150: 574d495f 5356435f 4556454e 5400020e WMI_SVC_EVENT... + 7b160: 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 7b170: 42554600 030e504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 7b180: 58000a00 09425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 7b190: 00000036 4f020103 000036d5 04000600 ...6O.....6..... + 7b1a0: 00264401 03000036 de040006 00002644 .&D....6......&D + 7b1b0: 01030000 36eb0400 02010300 0036f804 ....6........6.. + 7b1c0: 00076275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 7b1d0: 0000379a 085f696e 69740000 00363f02 ..7.._init...6?. + 7b1e0: 2300085f 73687574 646f776e 00000036 #.._shutdown...6 + 7b1f0: 48022304 085f6372 65617465 5f706f6f H.#.._create_poo + 7b200: 6c000000 36d70223 08085f61 6c6c6f63 l...6..#.._alloc + 7b210: 5f627566 00000036 e402230c 085f616c _buf...6..#.._al + 7b220: 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 7b230: 36f10223 10085f66 7265655f 62756600 6..#.._free_buf. + 7b240: 000036fa 02231408 70526573 65727665 ..6..#..pReserve + 7b250: 64000000 04080223 1800075f 4854435f d......#..._HTC_ + 7b260: 53455256 49434500 1c000038 7908704e SERVICE....8y.pN + 7b270: 65787400 00003879 02230008 50726f63 ext...8y.#..Proc + 7b280: 65737352 6563764d 73670000 00392e02 essRecvMsg...9.. + 7b290: 23040850 726f6365 73735365 6e644275 #..ProcessSendBu + 7b2a0: 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 7b2b0: 37022308 0850726f 63657373 436f6e6e 7.#..ProcessConn + 7b2c0: 65637400 0000394b 02230c08 53657276 ect...9K.#..Serv + 7b2d0: 69636549 44000000 12ed0223 10085365 iceID......#..Se + 7b2e0: 72766963 65466c61 67730000 0012ed02 rviceFlags...... + 7b2f0: 2312084d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 7b300: 00000012 ed022314 08547261 696c6572 ......#..Trailer + 7b310: 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 7b320: 12ed0223 16085365 72766963 65437478 ...#..ServiceCtx + 7b330: 00000004 08022318 00030000 379a0400 ......#.....7... + 7b340: 14040000 39171945 4e44504f 494e545f ....9..ENDPOINT_ + 7b350: 554e5553 454400ff ffffff0e 454e4450 UNUSED......ENDP + 7b360: 4f494e54 3000000e 454e4450 4f494e54 OINT0...ENDPOINT + 7b370: 3100010e 454e4450 4f494e54 3200020e 1...ENDPOINT2... + 7b380: 454e4450 4f494e54 3300030e 454e4450 ENDPOINT3...ENDP + 7b390: 4f494e54 3400040e 454e4450 4f494e54 OINT4...ENDPOINT + 7b3a0: 3500050e 454e4450 4f494e54 3600060e 5...ENDPOINT6... + 7b3b0: 454e4450 4f494e54 3700070e 454e4450 ENDPOINT7...ENDP + 7b3c0: 4f494e54 3800080e 454e4450 4f494e54 OINT8...ENDPOINT + 7b3d0: 5f4d4158 00160009 4854435f 454e4450 _MAX....HTC_ENDP + 7b3e0: 4f494e54 5f494400 00003880 02010300 OINT_ID...8..... + 7b3f0: 00392c04 00020103 00003935 04000300 .9,.......95.... + 7b400: 00011304 00060000 12d90103 00003945 ..............9E + 7b410: 04000300 00379a04 00075f48 54435f43 .....7...._HTC_C + 7b420: 4f4e4649 47001400 0039ca08 43726564 ONFIG....9..Cred + 7b430: 69745369 7a650000 00011302 23000843 itSize......#..C + 7b440: 72656469 744e756d 62657200 00000113 reditNumber..... + 7b450: 02230408 4f534861 6e646c65 0000001a .#..OSHandle.... + 7b460: 43022308 08484946 48616e64 6c650000 C.#..HIFHandle.. + 7b470: 0028ac02 230c0850 6f6f6c48 616e646c .(..#..PoolHandl + 7b480: 65000000 36260223 1000075f 4854435f e...6&.#..._HTC_ + 7b490: 4255465f 434f4e54 45585400 0200003a BUF_CONTEXT....: + 7b4a0: 0608656e 645f706f 696e7400 000012d9 ..end_point..... + 7b4b0: 02230008 6874635f 666c6167 73000000 .#..htc_flags... + 7b4c0: 12d90223 01000968 74635f68 616e646c ...#...htc_handl + 7b4d0: 655f7400 00000408 09485443 5f534554 e_t......HTC_SET + 7b4e0: 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 7b4f0: 00010c09 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 7b500: 00395903 00003a33 04000600 003a0601 .9Y...:3.....:.. + 7b510: 0300003a 4a040002 01030000 3a570400 ...:J.......:W.. + 7b520: 09485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 7b530: 9a030000 3a600400 02010300 003a7804 ....:`.......:x. + 7b540: 00020103 00003a81 04000201 0300003a ......:........: + 7b550: 8a040006 00000113 01030000 3a930400 ............:... + 7b560: 07687463 5f617069 73003400 003c1008 .htc_apis.4..<.. + 7b570: 5f485443 5f496e69 74000000 3a500223 _HTC_Init...:P.# + 7b580: 00085f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 7b590: 00003a59 02230408 5f485443 5f526567 ..:Y.#.._HTC_Reg + 7b5a0: 69737465 72536572 76696365 0000003a isterService...: + 7b5b0: 7a022308 085f4854 435f5265 61647900 z.#.._HTC_Ready. + 7b5c0: 00003a59 02230c08 5f485443 5f526574 ..:Y.#.._HTC_Ret + 7b5d0: 75726e42 75666665 72730000 003a8302 urnBuffers...:.. + 7b5e0: 2310085f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 7b5f0: 66666572 734c6973 74000000 3a8c0223 ffersList...:..# + 7b600: 14085f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 7b610: 003a8302 2318085f 4854435f 47657452 .:..#.._HTC_GetR + 7b620: 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 7b630: 00003a99 02231c08 5f485443 5f4d7367 ..:..#.._HTC_Msg + 7b640: 52656376 48616e64 6c657200 00002859 RecvHandler...(Y + 7b650: 02232008 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 7b660: 6548616e 646c6572 00000028 50022324 eHandler...(P.#$ + 7b670: 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 7b680: 50726f63 6573734d 73670000 00392e02 ProcessMsg...9.. + 7b690: 2328085f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 7b6a0: 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 7b6b0: 706c6574 65000000 39370223 2c087052 plete...97.#,.pR + 7b6c0: 65736572 76656400 00000408 02233000 eserved......#0. + 7b6d0: 07686f73 745f6170 705f6172 65615f73 .host_app_area_s + 7b6e0: 00040000 3c400877 6d695f70 726f746f ....<@.wmi_proto + 7b6f0: 636f6c5f 76657200 00001618 02230000 col_ver......#.. + 7b700: 120e0000 3c770864 73744d61 63000000 ......b + 7b8b0: 6561636f 6e50656e 64696e67 436f756e eaconPendingCoun + 7b8c0: 74000000 167f0223 0000075f 574d495f t......#..._WMI_ + 7b8d0: 5356435f 434f4e46 49470010 00003e73 SVC_CONFIG....>s + 7b8e0: 08487463 48616e64 6c650000 003a0602 .HtcHandle...:.. + 7b8f0: 23000850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 7b900: 36260223 04084d61 78436d64 5265706c 6&.#..MaxCmdRepl + 7b910: 79457674 73000000 01130223 08084d61 yEvts......#..Ma + 7b920: 78457665 6e744576 74730000 00011302 xEventEvts...... + 7b930: 230c0002 01030000 3e730400 09574d49 #.......>s...WMI + 7b940: 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 7b950: 75075f57 4d495f44 49535041 5443485f u._WMI_DISPATCH_ + 7b960: 454e5452 59000800 003edc08 70436d64 ENTRY....>..pCmd + 7b970: 48616e64 6c657200 00003e7c 02230008 Handler...>|.#.. + 7b980: 436d6449 44000000 12ed0223 0408466c CmdID......#..Fl + 7b990: 61677300 000012ed 02230600 075f574d ags......#..._WM + 7b9a0: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 7b9b0: 00100000 3f3d0870 4e657874 0000003f ....?=.pNext...? + 7b9c0: 3d022300 0870436f 6e746578 74000000 =.#..pContext... + 7b9d0: 04080223 04084e75 6d626572 4f66456e ...#..NumberOfEn + 7b9e0: 74726965 73000000 01130223 08087054 tries......#..pT + 7b9f0: 61626c65 0000003f 5c02230c 00030000 able...?\.#..... + 7ba00: 3edc0400 09574d49 5f444953 50415443 >....WMI_DISPATC + 7ba10: 485f454e 54525900 00003e91 0300003f H_ENTRY...>....? + 7ba20: 44040003 00003edc 04000948 54435f42 D.....>....HTC_B + 7ba30: 55465f43 4f4e5445 58540000 0039ca0d UF_CONTEXT...9.. + 7ba40: 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 7ba50: 003ff419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 7ba60: 535f4e4f 4e4500ff ffffff0e 574d495f S_NONE......WMI_ + 7ba70: 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 7ba80: 454e5400 000e574d 495f4556 545f434c ENT...WMI_EVT_CL + 7ba90: 4153535f 434d445f 5245504c 5900010e ASS_CMD_REPLY... + 7baa0: 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 7bab0: 58000200 09574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 7bac0: 53530000 003f7f07 5f574d49 5f425546 SS...?.._WMI_BUF + 7bad0: 5f434f4e 54455854 000c0000 40520848 _CONTEXT....@R.H + 7bae0: 74634275 66437478 0000003f 6a022300 tcBufCtx...?j.#. + 7baf0: 08457665 6e74436c 61737300 00003ff4 .EventClass...?. + 7bb00: 02230408 466c6167 73000000 12ed0223 .#..Flags......# + 7bb10: 08000977 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 7bb20: 00000408 09574d49 5f535643 5f434f4e .....WMI_SVC_CON + 7bb30: 46494700 00003e0a 03000040 64040006 FIG...>....@d... + 7bb40: 00004052 01030000 407f0400 09574d49 ..@R....@....WMI + 7bb50: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 7bb60: 00003edc 03000040 8c040002 01030000 ..>....@........ + 7bb70: 40ab0400 06000026 44010300 0040b404 @......&D....@.. + 7bb80: 00020103 000040c1 04000600 00011301 ......@......... + 7bb90: 03000040 ca040002 01030000 40d70400 ...@........@... + 7bba0: 06000012 d9010300 0040e004 00075f77 .........@...._w + 7bbb0: 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 7bbc0: 28085f57 4d495f49 6e697400 00004085 (._WMI_Init...@. + 7bbd0: 02230008 5f574d49 5f526567 69737465 .#.._WMI_Registe + 7bbe0: 72446973 70617463 68546162 6c650000 rDispatchTable.. + 7bbf0: 0040ad02 2304085f 574d495f 416c6c6f .@..#.._WMI_Allo + 7bc00: 63457665 6e740000 0040ba02 2308085f cEvent...@..#.._ + 7bc10: 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 7bc20: 40c30223 0c085f57 4d495f47 65745065 @..#.._WMI_GetPe + 7bc30: 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 7bc40: 00000040 d0022310 085f574d 495f5365 ...@..#.._WMI_Se + 7bc50: 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 7bc60: 72000000 39370223 14085f57 4d495f47 r...97.#.._WMI_G + 7bc70: 6574436f 6e74726f 6c457000 000040d0 etControlEp...@. + 7bc80: 02231808 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 7bc90: 6e000000 40d90223 1c085f57 4d495f52 n...@..#.._WMI_R + 7bca0: 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 7bcb0: 72000000 392e0223 20085f57 4d495f53 r...9..# ._WMI_S + 7bcc0: 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 7bcd0: 40e60223 24087052 65736572 76656400 @..#$.pReserved. + 7bce0: 00000408 02232800 077a7344 6d614465 .....#(..zsDmaDe + 7bcf0: 73630014 000042aa 08637472 6c000000 sc....B..ctrl... + 7bd00: 01770223 00087374 61747573 00000001 .w.#..status.... + 7bd10: 77022302 08746f74 616c4c65 6e000000 w.#..totalLen... + 7bd20: 01770223 04086461 74615369 7a650000 .w.#..dataSize.. + 7bd30: 00017702 2306086c 61737441 64647200 ..w.#..lastAddr. + 7bd40: 000042aa 02230808 64617461 41646472 ..B..#..dataAddr + 7bd50: 00000001 9b02230c 086e6578 74416464 ......#..nextAdd + 7bd60: 72000000 42aa0223 10000300 00422804 r...B..#.....B(. + 7bd70: 00030000 42280400 077a7344 6d615175 ....B(...zsDmaQu + 7bd80: 65756500 08000042 ea086865 61640000 eue....B..head.. + 7bd90: 0042b102 23000874 65726d69 6e61746f .B..#..terminato + 7bda0: 72000000 42b10223 0400077a 73547844 r...B..#...zsTxD + 7bdb0: 6d615175 65756500 10000043 4e086865 maQueue....CN.he + 7bdc0: 61640000 0042b102 23000874 65726d69 ad...B..#..termi + 7bdd0: 6e61746f 72000000 42b10223 0408786d nator...B..#..xm + 7bde0: 69746564 5f627566 5f686561 64000000 ited_buf_head... + 7bdf0: 14380223 0808786d 69746564 5f627566 .8.#..xmited_buf + 7be00: 5f746169 6c000000 14380223 0c000201 _tail....8.#.... + 7be10: 03000043 4e040003 000042b8 04000201 ...CN.....B..... + 7be20: 03000043 5e040003 000042ea 04000201 ...C^.....B..... + 7be30: 03000043 6e040002 01030000 43770400 ...Cn.......Cw.. + 7be40: 02010300 00438004 00060000 14380103 .....C.......8.. + 7be50: 00004389 04000201 03000043 96040006 ..C........C.... + 7be60: 00001438 01030000 439f0400 02010300 ...8....C....... + 7be70: 0043ac04 00060000 01130103 000043b5 .C............C. + 7be80: 04000600 0042b101 03000043 c2040002 .....B.....C.... + 7be90: 01030000 43cf0400 07646d61 5f656e67 ....C....dma_eng + 7bea0: 696e655f 61706900 40000045 45085f69 ine_api.@..EE._i + 7beb0: 6e697400 00004350 02230008 5f696e69 nit...CP.#.._ini + 7bec0: 745f7278 5f717565 75650000 00436002 t_rx_queue...C`. + 7bed0: 2304085f 696e6974 5f74785f 71756575 #.._init_tx_queu + 7bee0: 65000000 43700223 08085f63 6f6e6669 e...Cp.#.._confi + 7bef0: 675f7278 5f717565 75650000 00437902 g_rx_queue...Cy. + 7bf00: 230c085f 786d6974 5f627566 00000043 #.._xmit_buf...C + 7bf10: 82022310 085f666c 7573685f 786d6974 ..#.._flush_xmit + 7bf20: 00000043 60022314 085f7265 61705f72 ...C`.#.._reap_r + 7bf30: 6563765f 62756600 0000438f 02231808 ecv_buf...C..#.. + 7bf40: 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 7bf50: 00000043 9802231c 085f7265 61705f78 ...C..#.._reap_x + 7bf60: 6d697465 645f6275 66000000 43a50223 mited_buf...C..# + 7bf70: 20085f73 7761705f 64617461 00000043 ._swap_data...C + 7bf80: ae022324 085f6861 735f636f 6d706c5f ..#$._has_compl_ + 7bf90: 7061636b 65747300 000043bb 02232808 packets...C..#(. + 7bfa0: 5f646573 635f6475 6d700000 00436002 _desc_dump...C`. + 7bfb0: 232c085f 6765745f 7061636b 65740000 #,._get_packet.. + 7bfc0: 0043c802 2330085f 7265636c 61696d5f .C..#0._reclaim_ + 7bfd0: 7061636b 65740000 0043d102 2334085f packet...C..#4._ + 7bfe0: 7075745f 7061636b 65740000 0043d102 put_packet...C.. + 7bff0: 23380870 52657365 72766564 00000004 #8.pReserved.... + 7c000: 0802233c 00095f41 5f636d6e 6f735f69 ..#<.._A_cmnos_i + 7c010: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 7c020: 5f740000 00308409 574d495f 5356435f _t...0..WMI_SVC_ + 7c030: 41504953 00000040 ed175f41 5f6d6167 APIS...@.._A_mag + 7c040: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 7c050: 7461626c 6500034c 00004673 08636d6e table..L..Fs.cmn + 7c060: 6f730000 00454502 23000864 62670000 os...EE.#..dbg.. + 7c070: 0003d503 23b80308 68696600 0000294f ....#...hif...)O + 7c080: 0323c003 08687463 0000003a a00323f8 .#...htc...:..#. + 7c090: 0308776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 7c0a0: 45670323 ac040875 73626669 666f5f61 Eg.#...usbfifo_a + 7c0b0: 70690000 00325803 23d80408 6275665f pi...2X.#...buf_ + 7c0c0: 706f6f6c 00000037 010323e4 04087662 pool...7..#...vb + 7c0d0: 75660000 00146203 23800508 76646573 uf....b.#...vdes + 7c0e0: 63000000 13440323 94050861 6c6c6f63 c....D.#...alloc + 7c0f0: 72616d00 0000093a 0323a805 08646d61 ram....:.#...dma + 7c100: 5f656e67 696e6500 000043d8 0323b405 _engine...C..#.. + 7c110: 08646d61 5f6c6962 0000002b e30323f4 .dma_lib...+..#. + 7c120: 05086869 665f7063 69000000 2e430323 ..hif_pci....C.# + 7c130: a8060006 00000408 01095f41 5f6d6167 .........._A_mag + 7c140: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 7c150: 7461626c 655f7400 00004579 02010201 table_t...Ey.... + 7c160: 02010600 00011301 0f000014 38280000 ............8(.. + 7c170: 46b51009 00075f42 55465f50 4f4f4c5f F....._BUF_POOL_ + 7c180: 53544154 49435f43 4f4e5445 5854002c STATIC_CONTEXT., + 7c190: 000046f4 08627566 51000000 46a80223 ..F..bufQ...F..# + 7c1a0: 00087052 65736572 76656400 00000408 ..pReserved..... + 7c1b0: 02232800 09425546 5f504f4f 4c5f5354 .#(..BUF_POOL_ST + 7c1c0: 41544943 5f434f4e 54455854 00000046 ATIC_CONTEXT...F + 7c1d0: b51a675f 706f6f6c 43747800 000046f4 ..g_poolCtx...F. + 7c1e0: 05030050 0b180103 00003701 04000201 ...P......7..... + 7c1f0: 0600003a 06010300 00473004 00030000 ...:.....G0..... + 7c200: 46f40400 1b012262 75665f70 6f6f6c5f F....."buf_pool_ + 7c210: 6d6f6475 6c655f69 6e737461 6c6c0001 module_install.. + 7c220: 01039201 20029000 008e6264 008e6287 .... .....bd..b. + 7c230: 00004784 1c012270 41504973 00000047 ..G..."pAPIs...G + 7c240: 27015200 1d012c5f 6275665f 706f6f6c '.R...,_buf_pool + 7c250: 5f737461 7469635f 696e6974 00000004 _static_init.... + 7c260: 08010103 92012002 9000008e 6288008e ...... .....b... + 7c270: 629e0000 47ce1c01 2c68616e 646c6500 b...G...,handle. + 7c280: 00001a43 01521e69 00000001 13001b01 ...C.R.i........ + 7c290: 415f6275 665f706f 6f6c5f73 74617469 A_buf_pool_stati + 7c2a0: 635f7368 7574646f 776e0001 01039201 c_shutdown...... + 7c2b0: 20029000 008e62a0 008e62a5 00004811 .....b...b...H. + 7c2c0: 1c014168 616e646c 65000000 36260152 ..Ahandle...6&.R + 7c2d0: 001f0146 5f627566 5f706f6f 6c5f7374 ...F_buf_pool_st + 7c2e0: 61746963 5f637265 6174655f 706f6f6c atic_create_pool + 7c2f0: 00010101 03920130 02900000 8e62a800 .......0.....b.. + 7c300: 8e62fd00 0048a11c 01466861 6e646c65 .b...H...Fhandle + 7c310: 00000036 2601521c 0146706f 6f6c4964 ...6&.R..FpoolId + 7c320: 00000036 c401531c 01466e49 74656d73 ...6..S..FnItems + 7c330: 00000001 1301541c 01466e53 697a6500 ......T..FnSize. + 7c340: 00000113 01551e69 00000001 131e6275 .....U.i......bu + 7c350: 66000000 14381e64 65736300 0000131a f....8.desc..... + 7c360: 001d0163 5f627566 5f706f6f 6c5f7374 ...c_buf_pool_st + 7c370: 61746963 5f616c6c 6f635f62 75660000 atic_alloc_buf.. + 7c380: 00264401 01039201 20029000 008e6300 .&D..... .....c. + 7c390: 008e6322 00004913 1c016368 616e646c ..c"..I...chandl + 7c3a0: 65000000 36260152 1c016370 6f6f6c49 e...6&.R..cpoolI + 7c3b0: 64000000 36c40153 1c016372 65736572 d...6..S..creser + 7c3c0: 76650000 00011301 541e6275 66000000 ve......T.buf... + 7c3d0: 1438001d 01745f62 75665f70 6f6f6c5f .8...t_buf_pool_ + 7c3e0: 73746174 69635f61 6c6c6f63 5f627566 static_alloc_buf + 7c3f0: 5f616c69 676e0000 00264401 01039201 _align...&D..... + 7c400: 20029000 008e6324 008e6334 00004991 .....c$..c4..I. + 7c410: 1c017468 616e646c 65000000 36260152 ..thandle...6&.R + 7c420: 1c017470 6f6f6c49 64000000 36c40153 ..tpoolId...6..S + 7c430: 1c017472 65736572 76650000 00011301 ..treserve...... + 7c440: 541c0174 616c6967 6e000000 01130155 T..talign......U + 7c450: 00200179 5f627566 5f706f6f 6c5f7374 . .y_buf_pool_st + 7c460: 61746963 5f667265 655f6275 66000101 atic_free_buf... + 7c470: 03920120 02900000 8e633400 8e63471c ... .....c4..cG. + 7c480: 01796861 6e646c65 00000036 2601521c .yhandle...6&.R. + 7c490: 0179706f 6f6c4964 00000036 c401531c .ypoolId...6..S. + 7c4a0: 01796275 66000000 26440154 00000000 .ybuf...&D.T.... + 7c4b0: 0002eb00 0200002c dc040165 78632d73 .......,...exc-s + 7c4c0: 65746861 6e646c65 722e6300 2f666f6c ethandler.c./fol + 7c4d0: 6b732f72 6873752f 70726f6a 6563742f ks/rhsu/project/ + 7c4e0: 7034762f 70657266 6f726365 2f70305f p4v/perforce/p0_ + 7c4f0: 636f7265 2f70726f 6a656374 2f737263 core/project/src + 7c500: 2f726f6d 2f6f732f 7372632f 78746f73 /rom/os/src/xtos + 7c510: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7c520: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7c530: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7c540: 202d6733 00010000 01bab602 6c6f6e67 -g3........long + 7c550: 20696e74 00050403 0000009c 10000000 int............ + 7c560: b5040300 05280000 01140670 63000000 .....(.....pc... + 7c570: 009c0223 00067073 00000000 9c022304 ...#..ps......#. + 7c580: 06617265 67000000 00a80223 08067361 .areg......#..sa + 7c590: 72000000 009c0223 18066c63 6f756e74 r......#..lcount + 7c5a0: 00000000 9c02231c 066c6265 67000000 ......#..lbeg... + 7c5b0: 009c0223 20066c65 6e640000 00009c02 ...# .lend...... + 7c5c0: 23240005 30000001 b0067063 00000000 #$..0.....pc.... + 7c5d0: 9c022300 06707300 0000009c 02230406 ..#..ps......#.. + 7c5e0: 73617200 0000009c 02230806 76707269 sar......#..vpri + 7c5f0: 00000000 9c02230c 06613200 0000009c ......#..a2..... + 7c600: 02231006 61330000 00009c02 23140661 .#..a3......#..a + 7c610: 34000000 009c0223 18066135 00000000 4......#..a5.... + 7c620: 9c02231c 06657863 63617573 65000000 ..#..exccause... + 7c630: 009c0223 20066c63 6f756e74 00000000 ...# .lcount.... + 7c640: 9c022324 066c6265 67000000 009c0223 ..#$.lbeg......# + 7c650: 28066c65 6e640000 00009c02 232c0007 (.lend......#,.. + 7c660: 01085f78 746f735f 68616e64 6c65725f .._xtos_handler_ + 7c670: 66756e63 00000001 b0090000 01b20400 func............ + 7c680: 085f7874 6f735f68 616e646c 65720000 ._xtos_handler.. + 7c690: 0001ca0a 04000b58 746f7349 6e744861 .......XtosIntHa + 7c6a0: 6e646c65 72456e74 72790008 0000021e ndlerEntry...... + 7c6b0: 0668616e 646c6572 00000001 d1022300 .handler......#. + 7c6c0: 06617267 00000001 e4022304 0002756e .arg......#...un + 7c6d0: 7369676e 65642069 6e740007 040b5874 signed int....Xt + 7c6e0: 6f73496e 744d6173 6b456e74 72790008 osIntMaskEntry.. + 7c6f0: 0000026b 06767072 695f6d61 736b0000 ...k.vpri_mask.. + 7c700: 00021e02 2300066c 6576656c 5f6d6173 ....#..level_mas + 7c710: 6b000000 021e0223 04000269 6e740005 k......#...int.. + 7c720: 040c0000 01d10107 01090000 02780400 .............x.. + 7c730: 0d000001 d1010000 028d0e00 0d000001 ................ + 7c740: d1010000 02990e00 0f01215f 78746f73 ..........!_xtos + 7c750: 5f736574 5f657863 65707469 6f6e5f68 _set_exception_h + 7c760: 616e646c 65720000 0001d101 01039201 andler.......... + 7c770: 20029000 008e6348 008e63a9 1001216e .....cH..c...!n + 7c780: 00000002 6b015210 01216600 000001d1 ....k.R..!f..... + 7c790: 01531172 65740000 0001d100 00000000 .S.ret.......... + 7c7a0: 00750002 00002d98 04010001 bd70008e .u....-......p.. + 7c7b0: 6950008e 69556578 632d7461 626c652e iP..iUexc-table. + 7c7c0: 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr + 7c7d0: 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor + 7c7e0: 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje + 7c7f0: 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr + 7c800: 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. + 7c810: 31362e31 00800100 00008100 0200002d 16.1...........- + 7c820: ac040100 01bdaf00 8e63ac00 8e642565 .........c...d%e + 7c830: 78632d63 2d777261 70706572 2d68616e xc-c-wrapper-han + 7c840: 646c6572 2e53002f 666f6c6b 732f7268 dler.S./folks/rh + 7c850: 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p + 7c860: 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ + 7c870: 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ + 7c880: 6f732f73 72632f78 746f7300 474e5520 os/src/xtos.GNU + 7c890: 41532032 2e31362e 31008001 000000c5 AS 2.16.1....... + 7c8a0: 00020000 2dc00401 0001bec2 008e6958 ....-.........iX + 7c8b0: 008e697e 2f686f6d 652f6375 73746f6d ..i~/home/custom + 7c8c0: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 7c8d0: 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- + 7c8e0: 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa + 7c8f0: 2d656c66 2f737263 2f68616c 2f636c6f -elf/src/hal/clo + 7c900: 636b2e53 002f7072 6f6a6563 742f6375 ck.S./project/cu + 7c910: 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 + 7c920: 372e322f 6275696c 642f6174 6865726f 7.2/build/athero + 7c930: 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 + 7c940: 2f383337 34332f78 6275696c 642f4f53 /83743/xbuild/OS + 7c950: 2f68616c 00474e55 20415320 322e3136 /hal.GNU AS 2.16 + 7c960: 2e310080 0100000c 1c000200 002dd404 .1...........-.. + 7c970: 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 7c980: 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 7c990: 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 7c9a0: 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 7c9b0: 662f7372 632f6861 6c2f6d69 73632e63 f/src/hal/misc.c + 7c9c0: 002f7072 6f6a6563 742f6375 73742f67 ./project/cust/g + 7c9d0: 656e6170 702f5242 2d323030 372e322f enapp/RB-2007.2/ + 7c9e0: 6275696c 642f6174 6865726f 732f7072 build/atheros/pr + 7c9f0: 6f642f4d 61677069 655f5030 2f383337 od/Magpie_P0/837 + 7ca00: 34332f78 6275696c 642f4f53 2f68616c 43/xbuild/OS/hal + 7ca10: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7ca20: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7ca30: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7ca40: 202d6733 00010002 756e7369 676e6564 -g3....unsigned + 7ca50: 20696e74 00070403 000000e2 04587468 int.........Xth + 7ca60: 616c5f72 656c6561 73655f6d 616a6f72 al_release_major + 7ca70: 00000000 f2050300 4e87a001 04587468 ........N....Xth + 7ca80: 616c5f72 656c6561 73655f6d 696e6f72 al_release_minor + 7ca90: 00000000 f2050300 500b4401 02636861 ........P.D..cha + 7caa0: 72000701 03000001 37030000 01370500 r.......7....7.. + 7cab0: 00014404 00030000 01490300 00013705 ..D......I....7. + 7cac0: 00000155 04000458 7468616c 5f72656c ...U...Xthal_rel + 7cad0: 65617365 5f6e616d 65000000 01500503 ease_name....P.. + 7cae0: 004e87a4 01060000 01370600 00018d07 .N.......7...... + 7caf0: 05000458 7468616c 5f72656c 65617365 ...Xthal_release + 7cb00: 5f696e74 65726e61 6c000000 01500503 _internal....P.. + 7cb10: 00500b48 01045874 68616c5f 7265765f .P.H..Xthal_rev_ + 7cb20: 6e6f0000 0000f205 03004e87 a8010458 no........N....X + 7cb30: 7468616c 5f6e756d 5f617265 67730000 thal_num_aregs.. + 7cb40: 0000f205 03004e87 ac010275 6e736967 ......N....unsig + 7cb50: 6e656420 63686172 00070103 000001e5 ned char........ + 7cb60: 04587468 616c5f6e 756d5f61 72656773 .Xthal_num_aregs + 7cb70: 5f6c6f67 32000000 01f60503 004e87b0 _log2........N.. + 7cb80: 01045874 68616c5f 6d656d6f 72795f6f ..Xthal_memory_o + 7cb90: 72646572 00000001 f6050300 4e87b101 rder........N... + 7cba0: 04587468 616c5f68 6176655f 77696e64 .Xthal_have_wind + 7cbb0: 6f776564 00000001 f6050300 4e87b201 owed........N... + 7cbc0: 04587468 616c5f68 6176655f 64656e73 .Xthal_have_dens + 7cbd0: 69747900 000001f6 0503004e 87b30104 ity........N.... + 7cbe0: 58746861 6c5f6861 76655f62 6f6f6c65 Xthal_have_boole + 7cbf0: 616e7300 000001f6 05030050 0b4c0104 ans........P.L.. + 7cc00: 58746861 6c5f6861 76655f6c 6f6f7073 Xthal_have_loops + 7cc10: 00000001 f6050300 4e87b401 04587468 ........N....Xth + 7cc20: 616c5f68 6176655f 6e736100 000001f6 al_have_nsa..... + 7cc30: 0503004e 87b50104 58746861 6c5f6861 ...N....Xthal_ha + 7cc40: 76655f6d 696e6d61 78000000 01f60503 ve_minmax....... + 7cc50: 004e87b6 01045874 68616c5f 68617665 .N....Xthal_have + 7cc60: 5f736578 74000000 01f60503 004e87b7 _sext........N.. + 7cc70: 01045874 68616c5f 68617665 5f636c61 ..Xthal_have_cla + 7cc80: 6d707300 000001f6 05030050 0b4d0104 mps........P.M.. + 7cc90: 58746861 6c5f6861 76655f6d 61633136 Xthal_have_mac16 + 7cca0: 00000001 f6050300 500b4e01 04587468 ........P.N..Xth + 7ccb0: 616c5f68 6176655f 6d756c31 36000000 al_have_mul16... + 7ccc0: 01f60503 004e87b8 01045874 68616c5f .....N....Xthal_ + 7ccd0: 68617665 5f667000 000001f6 05030050 have_fp........P + 7cce0: 0b4f0104 58746861 6c5f6861 76655f73 .O..Xthal_have_s + 7ccf0: 70656375 6c617469 6f6e0000 0001f605 peculation...... + 7cd00: 0300500b 50010458 7468616c 5f686176 ..P.P..Xthal_hav + 7cd10: 655f6578 63657074 696f6e73 00000001 e_exceptions.... + 7cd20: f6050300 4e87b901 04587468 616c5f78 ....N....Xthal_x + 7cd30: 65615f76 65727369 6f6e0000 0001f605 ea_version...... + 7cd40: 03004e87 ba010458 7468616c 5f686176 ..N....Xthal_hav + 7cd50: 655f696e 74657272 75707473 00000001 e_interrupts.... + 7cd60: f6050300 4e87bb01 04587468 616c5f68 ....N....Xthal_h + 7cd70: 6176655f 68696768 6c657665 6c5f696e ave_highlevel_in + 7cd80: 74657272 75707473 00000001 f6050300 terrupts........ + 7cd90: 4e87bc01 04587468 616c5f68 6176655f N....Xthal_have_ + 7cda0: 6e6d6900 000001f6 0503004e 87bd0104 nmi........N.... + 7cdb0: 58746861 6c5f6861 76655f70 72696400 Xthal_have_prid. + 7cdc0: 000001f6 0503004e 87be0104 58746861 .......N....Xtha + 7cdd0: 6c5f6861 76655f72 656c6561 73655f73 l_have_release_s + 7cde0: 796e6300 000001f6 0503004e 87bf0104 ync........N.... + 7cdf0: 58746861 6c5f6861 76655f73 33326331 Xthal_have_s32c1 + 7ce00: 69000000 01f60503 004e87c0 01045874 i........N....Xt + 7ce10: 68616c5f 68617665 5f746872 65616470 hal_have_threadp + 7ce20: 74720000 0001f605 03004e87 c1010458 tr........N....X + 7ce30: 7468616c 5f686176 655f7069 66000000 thal_have_pif... + 7ce40: 01f60503 004e87c2 01027368 6f727420 .....N....short + 7ce50: 756e7369 676e6564 20696e74 00070203 unsigned int.... + 7ce60: 000004e4 04587468 616c5f6e 756d5f77 .....Xthal_num_w + 7ce70: 72697465 62756666 65725f65 6e747269 ritebuffer_entri + 7ce80: 65730000 0004fa05 03004e87 c4010458 es........N....X + 7ce90: 7468616c 5f627569 6c645f75 6e697175 thal_build_uniqu + 7cea0: 655f6964 00000000 f2050300 4e87c801 e_id........N... + 7ceb0: 04587468 616c5f68 775f636f 6e666967 .Xthal_hw_config + 7cec0: 69643000 000000f2 0503004e 87cc0104 id0........N.... + 7ced0: 58746861 6c5f6877 5f636f6e 66696769 Xthal_hw_configi + 7cee0: 64310000 0000f205 03004e87 d0010458 d1........N....X + 7cef0: 7468616c 5f68775f 72656c65 6173655f thal_hw_release_ + 7cf00: 6d616a6f 72000000 00f20503 004e87d4 major........N.. + 7cf10: 01045874 68616c5f 68775f72 656c6561 ..Xthal_hw_relea + 7cf20: 73655f6d 696e6f72 00000000 f2050300 se_minor........ + 7cf30: 500b5401 04587468 616c5f68 775f7265 P.T..Xthal_hw_re + 7cf40: 6c656173 655f6e61 6d650000 00015005 lease_name....P. + 7cf50: 03004e87 d8010600 00013708 000005fe ..N.......7..... + 7cf60: 07070004 58746861 6c5f6877 5f6d696e ....Xthal_hw_min + 7cf70: 5f766572 73696f6e 5f6d616a 6f720000 _version_major.. + 7cf80: 0000f205 03004e87 dc010458 7468616c ......N....Xthal + 7cf90: 5f68775f 6d696e5f 76657273 696f6e5f _hw_min_version_ + 7cfa0: 6d696e6f 72000000 00f20503 00500b58 minor........P.X + 7cfb0: 01045874 68616c5f 68775f6d 61785f76 ..Xthal_hw_max_v + 7cfc0: 65727369 6f6e5f6d 616a6f72 00000000 ersion_major.... + 7cfd0: f2050300 4e87e001 04587468 616c5f68 ....N....Xthal_h + 7cfe0: 775f6d61 785f7665 7273696f 6e5f6d69 w_max_version_mi + 7cff0: 6e6f7200 000000f2 05030050 0b5c0104 nor........P.\.. + 7d000: 58746861 6c5f6877 5f72656c 65617365 Xthal_hw_release + 7d010: 5f696e74 65726e61 6c000000 01500503 _internal....P.. + 7d020: 00500b60 01045874 68616c5f 68617665 .P.`..Xthal_have + 7d030: 5f737061 6e6e696e 675f7761 79000000 _spanning_way... + 7d040: 01f60503 004e87e4 01045874 68616c5f .....N....Xthal_ + 7d050: 68617665 5f696465 6e746974 795f6d61 have_identity_ma + 7d060: 70000000 01f60503 004e87e5 01045874 p........N....Xt + 7d070: 68616c5f 68617665 5f6d696d 69635f63 hal_have_mimic_c + 7d080: 61636865 61747472 00000001 f6050300 acheattr........ + 7d090: 4e87e601 04587468 616c5f68 6176655f N....Xthal_have_ + 7d0a0: 786c745f 63616368 65617474 72000000 xlt_cacheattr... + 7d0b0: 01f60503 00500b64 01045874 68616c5f .....P.d..Xthal_ + 7d0c0: 68617665 5f636163 68656174 74720000 have_cacheattr.. + 7d0d0: 0001f605 0300500b 65010458 7468616c ......P.e..Xthal + 7d0e0: 5f686176 655f746c 62730000 0001f605 _have_tlbs...... + 7d0f0: 03004e87 e7010458 7468616c 5f6d6d75 ..N....Xthal_mmu + 7d100: 5f617369 645f6269 74730000 0001f605 _asid_bits...... + 7d110: 0300500b 66010458 7468616c 5f6d6d75 ..P.f..Xthal_mmu + 7d120: 5f617369 645f6b65 726e656c 00000001 _asid_kernel.... + 7d130: f6050300 500b6701 04587468 616c5f6d ....P.g..Xthal_m + 7d140: 6d755f72 696e6773 00000001 f6050300 mu_rings........ + 7d150: 4e87e801 04587468 616c5f6d 6d755f72 N....Xthal_mmu_r + 7d160: 696e675f 62697473 00000001 f6050300 ing_bits........ + 7d170: 500b6801 04587468 616c5f6d 6d755f73 P.h..Xthal_mmu_s + 7d180: 725f6269 74730000 0001f605 0300500b r_bits........P. + 7d190: 69010458 7468616c 5f6d6d75 5f63615f i..Xthal_mmu_ca_ + 7d1a0: 62697473 00000001 f6050300 4e87e901 bits........N... + 7d1b0: 04587468 616c5f6d 6d755f6d 61785f70 .Xthal_mmu_max_p + 7d1c0: 74655f70 6167655f 73697a65 00000000 te_page_size.... + 7d1d0: f2050300 4e87ec01 04587468 616c5f6d ....N....Xthal_m + 7d1e0: 6d755f6d 696e5f70 74655f70 6167655f mu_min_pte_page_ + 7d1f0: 73697a65 00000000 f2050300 4e87f001 size........N... + 7d200: 04587468 616c5f69 746c625f 7761795f .Xthal_itlb_way_ + 7d210: 62697473 00000001 f6050300 500b6a01 bits........P.j. + 7d220: 04587468 616c5f69 746c625f 77617973 .Xthal_itlb_ways + 7d230: 00000001 f6050300 4e87f401 04587468 ........N....Xth + 7d240: 616c5f69 746c625f 6172665f 77617973 al_itlb_arf_ways + 7d250: 00000001 f6050300 500b6b01 04587468 ........P.k..Xth + 7d260: 616c5f64 746c625f 7761795f 62697473 al_dtlb_way_bits + 7d270: 00000001 f6050300 500b6c01 04587468 ........P.l..Xth + 7d280: 616c5f64 746c625f 77617973 00000001 al_dtlb_ways.... + 7d290: f6050300 4e87f501 04587468 616c5f64 ....N....Xthal_d + 7d2a0: 746c625f 6172665f 77617973 00000001 tlb_arf_ways.... + 7d2b0: f6050300 500b6d01 04587468 616c5f6e ....P.m..Xthal_n + 7d2c0: 756d5f69 6e737472 6f6d0000 0001f605 um_instrom...... + 7d2d0: 0300500b 6e010458 7468616c 5f6e756d ..P.n..Xthal_num + 7d2e0: 5f696e73 7472616d 00000001 f6050300 _instram........ + 7d2f0: 4e87f601 04587468 616c5f6e 756d5f64 N....Xthal_num_d + 7d300: 61746172 6f6d0000 0001f605 0300500b atarom........P. + 7d310: 6f010458 7468616c 5f6e756d 5f646174 o..Xthal_num_dat + 7d320: 6172616d 00000001 f6050300 4e87f701 aram........N... + 7d330: 04587468 616c5f6e 756d5f78 6c6d6900 .Xthal_num_xlmi. + 7d340: 000001f6 05030050 0b700103 000000e2 .......P.p...... + 7d350: 06000009 e6040000 09f80700 00030000 ................ + 7d360: 09eb0300 0000e206 000009fd 0400000a ................ + 7d370: 0f070000 04587468 616c5f69 6e737472 .....Xthal_instr + 7d380: 6f6d5f76 61646472 00000009 f8050300 om_vaddr........ + 7d390: 4e87fc01 04587468 616c5f69 6e737472 N....Xthal_instr + 7d3a0: 6f6d5f70 61646472 00000009 f8050300 om_paddr........ + 7d3b0: 4e880001 04587468 616c5f69 6e737472 N....Xthal_instr + 7d3c0: 6f6d5f73 697a6500 000009f8 0503004e om_size........N + 7d3d0: 88040104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d3e0: 6d5f7661 64647200 000009f8 0503004e m_vaddr........N + 7d3f0: 88080104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d400: 6d5f7061 64647200 000009f8 0503004e m_paddr........N + 7d410: 880c0104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d420: 6d5f7369 7a650000 0009f805 03004e88 m_size........N. + 7d430: 10010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d440: 5f766164 64720000 0009f805 03004e88 _vaddr........N. + 7d450: 14010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d460: 5f706164 64720000 0009f805 03004e88 _paddr........N. + 7d470: 18010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d480: 5f73697a 65000000 09f80503 004e881c _size........N.. + 7d490: 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4a0: 76616464 72000000 09f80503 004e8820 vaddr........N. + 7d4b0: 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4c0: 70616464 72000000 09f80503 004e8824 paddr........N.$ + 7d4d0: 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4e0: 73697a65 00000009 f8050300 4e882801 size........N.(. + 7d4f0: 04587468 616c5f78 6c6d695f 76616464 .Xthal_xlmi_vadd + 7d500: 72000000 09f80503 004e882c 01045874 r........N.,..Xt + 7d510: 68616c5f 786c6d69 5f706164 64720000 hal_xlmi_paddr.. + 7d520: 0009f805 03004e88 30010458 7468616c ......N.0..Xthal + 7d530: 5f786c6d 695f7369 7a650000 0009f805 _xlmi_size...... + 7d540: 03004e88 34010458 7468616c 5f686176 ..N.4..Xthal_hav + 7d550: 655f6363 6f756e74 00000001 f6050300 e_ccount........ + 7d560: 4e87f801 04587468 616c5f6e 756d5f63 N....Xthal_num_c + 7d570: 636f6d70 61726500 000001f6 0503004e compare........N + 7d580: 87f90100 00000000 cf000200 002e2004 .............. . + 7d590: 010001c1 59008e64 28008e65 5f2f686f ....Y..d(..e_/ho + 7d5a0: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 7d5b0: 2f52422d 32303037 2e322f74 6f6f6c73 /RB-2007.2/tools + 7d5c0: 2f737774 6f6f6c73 2d783836 2d6c696e /swtools-x86-lin + 7d5d0: 75782f78 74656e73 612d656c 662f7372 ux/xtensa-elf/sr + 7d5e0: 632f6861 6c2f7769 6e646f77 7370696c c/hal/windowspil + 7d5f0: 6c5f6173 6d2e5300 2f70726f 6a656374 l_asm.S./project + 7d600: 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- + 7d610: 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath + 7d620: 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie + 7d630: 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild + 7d640: 2f4f532f 68616c00 474e5520 41532032 /OS/hal.GNU AS 2 + 7d650: 2e31362e 31008001 000000c7 00020000 .16.1........... + 7d660: 2e340401 0001c48e 008e6980 008e6988 .4........i...i. + 7d670: 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t + 7d680: 7265652f 52422d32 3030372e 322f746f ree/RB-2007.2/to + 7d690: 6f6c732f 7377746f 6f6c732d 7838362d ols/swtools-x86- + 7d6a0: 6c696e75 782f7874 656e7361 2d656c66 linux/xtensa-elf + 7d6b0: 2f737263 2f68616c 2f696e74 5f61736d /src/hal/int_asm + 7d6c0: 2e53002f 70726f6a 6563742f 63757374 .S./project/cust + 7d6d0: 2f67656e 6170702f 52422d32 3030372e /genapp/RB-2007. + 7d6e0: 322f6275 696c642f 61746865 726f732f 2/build/atheros/ + 7d6f0: 70726f64 2f4d6167 7069655f 50302f38 prod/Magpie_P0/8 + 7d700: 33373433 2f786275 696c642f 4f532f68 3743/xbuild/OS/h + 7d710: 616c0047 4e552041 5320322e 31362e31 al.GNU AS 2.16.1 + 7d720: 00800100 0000c700 0200002e 48040100 ............H... + 7d730: 01c51a00 8e698800 8e69902f 686f6d65 .....i...i./home + 7d740: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 7d750: 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s + 7d760: 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux + 7d770: 2f787465 6e73612d 656c662f 7372632f /xtensa-elf/src/ + 7d780: 68616c2f 696e745f 61736d2e 53002f70 hal/int_asm.S./p + 7d790: 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 7d7a0: 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 7d7b0: 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 7d7c0: 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 7d7d0: 78627569 6c642f4f 532f6861 6c00474e xbuild/OS/hal.GN + 7d7e0: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 7d7f0: 00c70002 00002e5c 04010001 c5a7008e .......\........ + 7d800: 6990008e 69982f68 6f6d652f 63757374 i...i./home/cust + 7d810: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 7d820: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 7d830: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 7d840: 73612d65 6c662f73 72632f68 616c2f69 sa-elf/src/hal/i + 7d850: 6e745f61 736d2e53 002f7072 6f6a6563 nt_asm.S./projec + 7d860: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 7d870: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 7d880: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 7d890: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 7d8a0: 642f4f53 2f68616c 00474e55 20415320 d/OS/hal.GNU AS + 7d8b0: 322e3136 2e310080 01000002 25000200 2.16.1......%... + 7d8c0: 002e7004 012f686f 6d652f63 7573746f ..p../home/custo + 7d8d0: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 7d8e0: 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 7d8f0: 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 7d900: 612d656c 662f7372 632f6861 6c2f696e a-elf/src/hal/in + 7d910: 74657272 75707473 2e63002f 70726f6a terrupts.c./proj + 7d920: 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 7d930: 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 7d940: 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 7d950: 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 7d960: 696c642f 4f532f68 616c0078 742d7863 ild/OS/hal.xt-xc + 7d970: 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 7d980: 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 7d990: 6f6e733d 3332202d 4f32202d 67330001 ons=32 -O2 -g3.. + 7d9a0: 0002756e 7369676e 65642063 68617200 ..unsigned char. + 7d9b0: 07010275 6e736967 6e656420 696e7400 ...unsigned int. + 7d9c0: 07040300 0000f940 00000116 040f0005 .......@........ + 7d9d0: 000000f9 01400000 01260404 040f0005 .....@...&...... + 7d9e0: 000000f9 01000000 01360403 040f0006 .........6...... + 7d9f0: 58744861 6c565072 69537461 74650002 XtHalVPriState.. + 7da00: 50000001 de077670 72690000 0000e802 P.....vpri...... + 7da10: 2300076c 6f636b6c 6576656c 00000000 #..locklevel.... + 7da20: e8022301 076c6f63 6b767072 69000000 ..#..lockvpri... + 7da30: 00e80223 02077061 64300000 0000e802 ...#..pad0...... + 7da40: 23030765 6e61626c 65640000 0000f902 #..enabled...... + 7da50: 2304076c 6f636b6d 61736b00 000000f9 #..lockmask..... + 7da60: 02230807 70616431 00000000 f902230c .#..pad1......#. + 7da70: 07656e61 626c656d 61700000 00011602 .enablemap...... + 7da80: 23100772 65736f6c 76656d61 70000000 #..resolvemap... + 7da90: 01260323 d0020008 000000e8 08000000 .&.#............ + 7daa0: e8030000 01e32000 0001f504 1f000800 ...... ......... + 7dab0: 0001e808 000000e8 03000001 fa200000 ............. .. + 7dac0: 020c041f 00095874 68616c5f 696e746c ......Xthal_intl + 7dad0: 6576656c 00000001 f5050300 4e884001 evel........N.@. + 7dae0: 00000000 00f00002 00002ed2 04010001 ................ + 7daf0: c820008e 6998008e 6a002f68 6f6d652f . ..i...j./home/ + 7db00: 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 7db10: 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw + 7db20: 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ + 7db30: 7874656e 73612d65 6c662f73 72632f6c xtensa-elf/src/l + 7db40: 69626763 632d7863 632f636f 6e666967 ibgcc-xcc/config + 7db50: 2f787465 6e73612f 6c696231 66756e63 /xtensa/lib1func + 7db60: 732e6173 6d002f70 726f6a65 63742f63 s.asm./project/c + 7db70: 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 + 7db80: 30372e32 2f627569 6c642f61 74686572 07.2/build/ather + 7db90: 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P + 7dba0: 302f3833 3734332f 78627569 6c642f54 0/83743/xbuild/T + 7dbb0: 61726765 742d6c69 62732f6c 69626763 arget-libs/libgc + 7dbc0: 632d7863 6300474e 55204153 20322e31 c-xcc.GNU AS 2.1 + 7dbd0: 362e3100 80010000 00f00002 00002ee6 6.1............. + 7dbe0: 04010001 c98c008e 6a00008e 6a492f68 ........j...jI/h + 7dbf0: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 7dc00: 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool + 7dc10: 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li + 7dc20: 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s + 7dc30: 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co + 7dc40: 6e666967 2f787465 6e73612f 6c696231 nfig/xtensa/lib1 + 7dc50: 66756e63 732e6173 6d002f70 726f6a65 funcs.asm./proje + 7dc60: 63742f63 7573742f 67656e61 70702f52 ct/cust/genapp/R + 7dc70: 422d3230 30372e32 2f627569 6c642f61 B-2007.2/build/a + 7dc80: 74686572 6f732f70 726f642f 4d616770 theros/prod/Magp + 7dc90: 69655f50 302f3833 3734332f 78627569 ie_P0/83743/xbui + 7dca0: 6c642f54 61726765 742d6c69 62732f6c ld/Target-libs/l + 7dcb0: 69626763 632d7863 6300474e 55204153 ibgcc-xcc.GNU AS + 7dcc0: 20322e31 362e3100 80010000 00f00002 2.16.1......... + 7dcd0: 00002efa 04010001 cab0008e 6a4c008e ............jL.. + 7dce0: 6a9c2f68 6f6d652f 63757374 6f6d6572 j./home/customer + 7dcf0: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 7dd00: 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 + 7dd10: 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e + 7dd20: 6c662f73 72632f6c 69626763 632d7863 lf/src/libgcc-xc + 7dd30: 632f636f 6e666967 2f787465 6e73612f c/config/xtensa/ + 7dd40: 6c696231 66756e63 732e6173 6d002f70 lib1funcs.asm./p + 7dd50: 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 7dd60: 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 7dd70: 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 7dd80: 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 7dd90: 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li + 7dda0: 62732f6c 69626763 632d7863 6300474e bs/libgcc-xcc.GN + 7ddb0: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 7ddc0: 00f00002 00002f0e 04010001 cbe6008e ....../......... + 7ddd0: 6a9c008e 6ad92f68 6f6d652f 63757374 j...j./home/cust + 7dde0: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 7ddf0: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 7de00: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 7de10: 73612d65 6c662f73 72632f6c 69626763 sa-elf/src/libgc + 7de20: 632d7863 632f636f 6e666967 2f787465 c-xcc/config/xte + 7de30: 6e73612f 6c696231 66756e63 732e6173 nsa/lib1funcs.as + 7de40: 6d002f70 726f6a65 63742f63 7573742f m./project/cust/ + 7de50: 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 + 7de60: 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p + 7de70: 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 + 7de80: 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe + 7de90: 742d6c69 62732f6c 69626763 632d7863 t-libs/libgcc-xc + 7dea0: 6300474e 55204153 20322e31 362e3100 c.GNU AS 2.16.1. + 7deb0: 80010000 0b3c0002 00002f22 04012f68 .....<..../"../h + 7dec0: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 7ded0: 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro + 7dee0: 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target + 7def0: 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new + 7df00: 6c69622f 6c696263 2f737472 696e672f lib/libc/string/ + 7df10: 6d656d63 6d702e63 002f7072 6f6a6563 memcmp.c./projec + 7df20: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 7df30: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 7df40: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 7df50: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 7df60: 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne + 7df70: 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ + 7df80: 6e65776c 69622f6c 6962632f 73747269 newlib/libc/stri + 7df90: 6e670078 742d7863 6320666f 7220372e ng.xt-xcc for 7. + 7dfa0: 312e3020 2d4f5054 3a616c69 676e5f69 1.0 -OPT:align_i + 7dfb0: 6e737472 75637469 6f6e733d 3332202d nstructions=32 - + 7dfc0: 4f32202d 67330001 000001cc ec02756e O2 -g3........un + 7dfd0: 7369676e 65642069 6e740007 04037769 signed int....wi + 7dfe0: 6e745f74 00000001 1b02756e 7369676e nt_t......unsign + 7dff0: 65642063 68617200 07010400 00013704 ed char.......7. + 7e000: 00000155 05030006 04000001 79075f5f ...U........y.__ + 7e010: 77636800 0000012b 02230007 5f5f7763 wch....+.#..__wc + 7e020: 68620000 00014802 23000002 696e7400 hb....H.#...int. + 7e030: 05040808 000001a7 075f5f63 6f756e74 .........__count + 7e040: 00000001 79022300 075f5f76 616c7565 ....y.#..__value + 7e050: 00000001 55022304 00095f42 6967696e ....U.#..._Bigin + 7e060: 74001800 00020507 5f6e6578 74000000 t......._next... + 7e070: 02050223 00075f6b 00000001 79022304 ...#.._k....y.#. + 7e080: 075f6d61 78776473 00000001 79022308 ._maxwds....y.#. + 7e090: 075f7369 676e0000 00017902 230c075f ._sign....y.#.._ + 7e0a0: 77647300 00000179 02231007 5f780000 wds....y.#.._x.. + 7e0b0: 00022e02 2314000a 000001a7 0400026c ....#..........l + 7e0c0: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 7e0d0: 00070403 5f5f554c 6f6e6700 0000020c ....__ULong..... + 7e0e0: 04000002 21040000 023b0500 000a0000 ....!....;...... + 7e0f0: 01a70400 095f5f74 6d002400 0002ee07 .....__tm.$..... + 7e100: 5f5f746d 5f736563 00000001 79022300 __tm_sec....y.#. + 7e110: 075f5f74 6d5f6d69 6e000000 01790223 .__tm_min....y.# + 7e120: 04075f5f 746d5f68 6f757200 00000179 ..__tm_hour....y + 7e130: 02230807 5f5f746d 5f6d6461 79000000 .#..__tm_mday... + 7e140: 01790223 0c075f5f 746d5f6d 6f6e0000 .y.#..__tm_mon.. + 7e150: 00017902 2310075f 5f746d5f 79656172 ..y.#..__tm_year + 7e160: 00000001 79022314 075f5f74 6d5f7764 ....y.#..__tm_wd + 7e170: 61790000 00017902 2318075f 5f746d5f ay....y.#..__tm_ + 7e180: 79646179 00000001 7902231c 075f5f74 yday....y.#..__t + 7e190: 6d5f6973 64737400 00000179 02232000 m_isdst....y.# . + 7e1a0: 0b040004 000002ee 80000002 fe051f00 ................ + 7e1b0: 0c5f6f6e 5f657869 745f6172 67730001 ._on_exit_args.. + 7e1c0: 08000003 5c075f66 6e617267 73000000 ....\._fnargs... + 7e1d0: 02f10223 00075f64 736f5f68 616e646c ...#.._dso_handl + 7e1e0: 65000000 02f10323 8001075f 666e7479 e......#..._fnty + 7e1f0: 70657300 00000221 03238002 075f6973 pes....!.#..._is + 7e200: 5f637861 00000002 21032384 02000c5f _cxa....!.#...._ + 7e210: 61746578 69740001 90000003 ab075f6e atexit........_n + 7e220: 65787400 000003ab 02230007 5f696e64 ext......#.._ind + 7e230: 00000001 79022304 075f666e 73000000 ....y.#.._fns... + 7e240: 03bb0223 08075f6f 6e5f6578 69745f61 ...#.._on_exit_a + 7e250: 72677300 000002fe 03238801 000a0000 rgs......#...... + 7e260: 035c0400 0d010a00 0003b204 00040000 .\.............. + 7e270: 03b48000 0003c805 1f000a00 00035c04 ..............\. + 7e280: 000a0000 01370400 095f5f73 62756600 .....7...__sbuf. + 7e290: 08000004 00075f62 61736500 000003cf ......_base..... + 7e2a0: 02230007 5f73697a 65000000 01790223 .#.._size....y.# + 7e2b0: 04000273 686f7274 20696e74 00050202 ...short int.... + 7e2c0: 63686172 0007010a 0000040d 04000e00 char............ + 7e2d0: 00017901 0a000004 1c04000f 0000040d ..y............. + 7e2e0: 0f000004 0d0a0000 042e0400 0e000001 ................ + 7e2f0: 79010a00 00043a04 00026c6f 6e672069 y.....:...long i + 7e300: 6e740005 04035f66 706f735f 74000000 nt...._fpos_t... + 7e310: 04470e00 00045301 0a000004 6004000e .G....S.....`... + 7e320: 00000179 010a0000 046d0400 04000001 ...y.....m...... + 7e330: 37030000 04870502 00040000 01370100 7............7.. + 7e340: 00049405 00000c5f 7265656e 74000400 ......._reent... + 7e350: 0000061b 075f6572 726e6f00 00000179 ....._errno....y + 7e360: 02230007 5f737464 696e0000 0007cf02 .#.._stdin...... + 7e370: 2304075f 7374646f 75740000 0007cf02 #.._stdout...... + 7e380: 2308075f 73746465 72720000 0007cf02 #.._stderr...... + 7e390: 230c075f 696e6300 00000179 02231007 #.._inc....y.#.. + 7e3a0: 5f656d65 7267656e 63790000 000a7e02 _emergency....~. + 7e3b0: 2314075f 63757272 656e745f 63617465 #.._current_cate + 7e3c0: 676f7279 00000001 79022330 075f6375 gory....y.#0._cu + 7e3d0: 7272656e 745f6c6f 63616c65 00000004 rrent_locale.... + 7e3e0: 33022334 075f5f73 64696469 6e697400 3.#4.__sdidinit. + 7e3f0: 00000179 02233807 5f5f636c 65616e75 ...y.#8.__cleanu + 7e400: 70000000 0a8d0223 3c075f72 6573756c p......#<._resul + 7e410: 74000000 023b0223 40075f72 6573756c t....;.#@._resul + 7e420: 745f6b00 00000179 02234407 5f703573 t_k....y.#D._p5s + 7e430: 00000002 3b022348 075f6672 65656c69 ....;.#H._freeli + 7e440: 73740000 000a9402 234c075f 6376746c st......#L._cvtl + 7e450: 656e0000 00017902 2350075f 63767462 en....y.#P._cvtb + 7e460: 75660000 00041502 2354075f 6e657700 uf......#T._new. + 7e470: 00000a58 02235807 5f617465 78697400 ...X.#X._atexit. + 7e480: 000003c8 0323c802 075f6174 65786974 .....#..._atexit + 7e490: 30000000 035c0323 cc02075f 7369675f 0....\.#..._sig_ + 7e4a0: 66756e63 0000000a a40323dc 05075f5f func......#...__ + 7e4b0: 73676c75 65000000 07840323 e005075f sglue......#..._ + 7e4c0: 5f736600 00000aab 0323ec05 000a0000 _sf......#...... + 7e4d0: 04940400 035f4c4f 434b5f52 45435552 ....._LOCK_RECUR + 7e4e0: 53495645 5f540000 00017903 5f666c6f SIVE_T....y._flo + 7e4f0: 636b5f74 00000006 22095f5f 7346494c ck_t....".__sFIL + 7e500: 45005c00 00078407 5f700000 0003cf02 E.\....._p...... + 7e510: 2300075f 72000000 01790223 04075f77 #.._r....y.#.._w + 7e520: 00000001 79022308 075f666c 61677300 ....y.#.._flags. + 7e530: 00000400 02230c07 5f66696c 65000000 .....#.._file... + 7e540: 04000223 0e075f62 66000000 03d60223 ...#.._bf......# + 7e550: 10075f6c 62667369 7a650000 00017902 .._lbfsize....y. + 7e560: 2318075f 636f6f6b 69650000 0002ee02 #.._cookie...... + 7e570: 231c075f 72656164 00000004 22022320 #.._read....".# + 7e580: 075f7772 69746500 00000440 02232407 ._write....@.#$. + 7e590: 5f736565 6b000000 04660223 28075f63 _seek....f.#(._c + 7e5a0: 6c6f7365 00000004 7302232c 075f7562 lose....s.#,._ub + 7e5b0: 00000003 d6022330 075f7570 00000003 ......#0._up.... + 7e5c0: cf022338 075f7572 00000001 7902233c ..#8._ur....y.#< + 7e5d0: 075f7562 75660000 00047a02 2340075f ._ubuf....z.#@._ + 7e5e0: 6e627566 00000004 87022343 075f6c62 nbuf......#C._lb + 7e5f0: 00000003 d6022344 075f626c 6b73697a ......#D._blksiz + 7e600: 65000000 01790223 4c075f6f 66667365 e....y.#L._offse + 7e610: 74000000 01790223 50075f64 61746100 t....y.#P._data. + 7e620: 0000061b 02235407 5f6c6f63 6b000000 .....#T._lock... + 7e630: 06390223 5800095f 676c7565 000c0000 .9.#X.._glue.... + 7e640: 07bc075f 6e657874 00000007 bc022300 ..._next......#. + 7e650: 075f6e69 6f627300 00000179 02230407 ._niobs....y.#.. + 7e660: 5f696f62 73000000 07cf0223 08000a00 _iobs......#.... + 7e670: 00078404 00035f5f 46494c45 00000006 ......__FILE.... + 7e680: 470a0000 07c30400 0a000007 84040002 G............... + 7e690: 73686f72 7420756e 7369676e 65642069 short unsigned i + 7e6a0: 6e740007 02040000 07dd0600 00080005 nt.............. + 7e6b0: 0200095f 72616e64 3438000e 00000838 ..._rand48.....8 + 7e6c0: 075f7365 65640000 0007f302 2300075f ._seed......#.._ + 7e6d0: 6d756c74 00000007 f3022306 075f6164 mult......#.._ad + 7e6e0: 64000000 07dd0223 0c000400 00040d1a d......#........ + 7e6f0: 00000845 05190002 6c6f6e67 206c6f6e ...E....long lon + 7e700: 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 7e710: 08035f6d 62737461 74655f74 00000001 .._mbstate_t.... + 7e720: 80040000 040d0800 00087c05 07000400 ..........|..... + 7e730: 00040d18 00000889 05170008 d000000a ................ + 7e740: 17075f75 6e757365 645f7261 6e640000 .._unused_rand.. + 7e750: 00011b02 2300075f 73747274 6f6b5f6c ....#.._strtok_l + 7e760: 61737400 00000415 02230407 5f617363 ast......#.._asc + 7e770: 74696d65 5f627566 00000008 38022308 time_buf....8.#. + 7e780: 075f6c6f 63616c74 696d655f 62756600 ._localtime_buf. + 7e790: 00000242 02232407 5f67616d 6d615f73 ...B.#$._gamma_s + 7e7a0: 69676e67 616d0000 00017902 2348075f igngam....y.#H._ + 7e7b0: 72616e64 5f6e6578 74000000 08450223 rand_next....E.# + 7e7c0: 50075f72 34380000 00080002 2358075f P._r48......#X._ + 7e7d0: 6d626c65 6e5f7374 61746500 0000085f mblen_state...._ + 7e7e0: 02236807 5f6d6274 6f77635f 73746174 .#h._mbtowc_stat + 7e7f0: 65000000 085f0223 70075f77 63746f6d e...._.#p._wctom + 7e800: 625f7374 61746500 0000085f 02237807 b_state...._.#x. + 7e810: 5f6c3634 615f6275 66000000 086f0323 _l64a_buf....o.# + 7e820: 8001075f 7369676e 616c5f62 75660000 ..._signal_buf.. + 7e830: 00087c03 23880107 5f676574 64617465 ..|.#..._getdate + 7e840: 5f657272 00000001 790323a0 01075f6d _err....y.#..._m + 7e850: 62726c65 6e5f7374 61746500 0000085f brlen_state...._ + 7e860: 0323a401 075f6d62 72746f77 635f7374 .#..._mbrtowc_st + 7e870: 61746500 0000085f 0323ac01 075f6d62 ate...._.#..._mb + 7e880: 7372746f 7763735f 73746174 65000000 srtowcs_state... + 7e890: 085f0323 b401075f 77637274 6f6d625f ._.#..._wcrtomb_ + 7e8a0: 73746174 65000000 085f0323 bc01075f state...._.#..._ + 7e8b0: 77637372 746f6d62 735f7374 61746500 wcsrtombs_state. + 7e8c0: 0000085f 0323c401 00040000 03cf7800 ..._.#........x. + 7e8d0: 000a2405 1d000400 00011b78 00000a31 ..$........x...1 + 7e8e0: 051d0008 f000000a 58075f6e 65787466 ........X._nextf + 7e8f0: 0000000a 17022300 075f6e6d 616c6c6f ......#.._nmallo + 7e900: 63000000 0a240223 780006f0 00000a7e c....$.#x......~ + 7e910: 075f7265 656e7400 00000889 02230007 ._reent......#.. + 7e920: 5f756e75 73656400 00000a31 02230000 _unused....1.#.. + 7e930: 04000004 0d190000 0a8b0518 000d010a ................ + 7e940: 00000a8b 04000a00 00023b04 000d010a ..........;..... + 7e950: 00000a9b 04000a00 000a9d04 00100000 ................ + 7e960: 07c30114 00000ab9 05020011 110a0000 ................ + 7e970: 0aba0400 0373697a 655f7400 0000011b .....size_t..... + 7e980: 0e000001 79010a00 00020c04 00120139 ....y..........9 + 7e990: 6d656d63 6d700000 00017901 01039201 memcmp....y..... + 7e9a0: 20029000 008e6adc 008e6b21 1301396d .....j...k!..9m + 7e9b0: 31000000 0abb0152 1301396d 32000000 1......R..9m2... + 7e9c0: 0abb0153 1301396e 0000000a c2015414 ...S..9n......T. + 7e9d0: 73310000 0003cf14 73320000 0003cf14 s1......s2...... + 7e9e0: 61310000 000ad414 61320000 000ad400 a1......a2...... + 7e9f0: 00000000 01090002 00002ff9 04010001 ........../..... + 7ea00: cfdc008e 6b24008e 6c592f68 6f6d652f ....k$..lY/home/ + 7ea10: 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 7ea20: 2d323030 372e322f 7034726f 6f742f58 -2007.2/p4root/X + 7ea30: 74656e73 612f5461 72676574 2d6c6962 tensa/Target-lib + 7ea40: 732f6e65 776c6962 2f6e6577 6c69622f s/newlib/newlib/ + 7ea50: 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte + 7ea60: 6e73612f 6d656d63 70792e53 002f7072 nsa/memcpy.S./pr + 7ea70: 6f6a6563 742f6375 73742f67 656e6170 oject/cust/genap + 7ea80: 702f5242 2d323030 372e322f 6275696c p/RB-2007.2/buil + 7ea90: 642f6174 6865726f 732f7072 6f642f4d d/atheros/prod/M + 7eaa0: 61677069 655f5030 2f383337 34332f78 agpie_P0/83743/x + 7eab0: 6275696c 642f5461 72676574 2d6c6962 build/Target-lib + 7eac0: 732f6e65 776c6962 2f787465 6e73612d s/newlib/xtensa- + 7ead0: 656c662f 6e65776c 69622f6c 6962632f elf/newlib/libc/ + 7eae0: 6d616368 696e652f 7874656e 73610047 machine/xtensa.G + 7eaf0: 4e552041 5320322e 31362e31 00800100 NU AS 2.16.1.... + 7eb00: 000b7d00 02000030 0d04012f 686f6d65 ..}....0.../home + 7eb10: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 7eb20: 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 7eb30: 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 7eb40: 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 7eb50: 2f6c6962 632f7374 72696e67 2f6d656d /libc/string/mem + 7eb60: 6d6f7665 2e63002f 70726f6a 6563742f move.c./project/ + 7eb70: 63757374 2f67656e 6170702f 52422d32 cust/genapp/RB-2 + 7eb80: 3030372e 322f6275 696c642f 61746865 007.2/build/athe + 7eb90: 726f732f 70726f64 2f4d6167 7069655f ros/prod/Magpie_ + 7eba0: 50302f38 33373433 2f786275 696c642f P0/83743/xbuild/ + 7ebb0: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 7ebc0: 69622f78 74656e73 612d656c 662f6e65 ib/xtensa-elf/ne + 7ebd0: 776c6962 2f6c6962 632f7374 72696e67 wlib/libc/string + 7ebe0: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7ebf0: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7ec00: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7ec10: 202d6733 00010000 01d33102 756e7369 -g3......1.unsi + 7ec20: 676e6564 20696e74 00070403 77696e74 gned int....wint + 7ec30: 5f740000 00011c02 756e7369 676e6564 _t......unsigned + 7ec40: 20636861 72000701 04000001 38040000 char.......8... + 7ec50: 01560503 00060400 00017a07 5f5f7763 .V........z.__wc + 7ec60: 68000000 012c0223 00075f5f 77636862 h....,.#..__wchb + 7ec70: 00000001 49022300 0002696e 74000504 ....I.#...int... + 7ec80: 08080000 01a8075f 5f636f75 6e740000 .......__count.. + 7ec90: 00017a02 2300075f 5f76616c 75650000 ..z.#..__value.. + 7eca0: 00015602 23040009 5f426967 696e7400 ..V.#..._Bigint. + 7ecb0: 18000002 06075f6e 65787400 00000206 ......_next..... + 7ecc0: 02230007 5f6b0000 00017a02 2304075f .#.._k....z.#.._ + 7ecd0: 6d617877 64730000 00017a02 2308075f maxwds....z.#.._ + 7ece0: 7369676e 00000001 7a02230c 075f7764 sign....z.#.._wd + 7ecf0: 73000000 017a0223 10075f78 00000002 s....z.#.._x.... + 7ed00: 2f022314 000a0000 01a80400 026c6f6e /.#..........lon + 7ed10: 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 7ed20: 04035f5f 554c6f6e 67000000 020d0400 ..__ULong....... + 7ed30: 00022204 0000023c 0500000a 000001a8 .."....<........ + 7ed40: 0400095f 5f746d00 24000002 ef075f5f ...__tm.$.....__ + 7ed50: 746d5f73 65630000 00017a02 2300075f tm_sec....z.#.._ + 7ed60: 5f746d5f 6d696e00 0000017a 02230407 _tm_min....z.#.. + 7ed70: 5f5f746d 5f686f75 72000000 017a0223 __tm_hour....z.# + 7ed80: 08075f5f 746d5f6d 64617900 0000017a ..__tm_mday....z + 7ed90: 02230c07 5f5f746d 5f6d6f6e 00000001 .#..__tm_mon.... + 7eda0: 7a022310 075f5f74 6d5f7965 61720000 z.#..__tm_year.. + 7edb0: 00017a02 2314075f 5f746d5f 77646179 ..z.#..__tm_wday + 7edc0: 00000001 7a022318 075f5f74 6d5f7964 ....z.#..__tm_yd + 7edd0: 61790000 00017a02 231c075f 5f746d5f ay....z.#..__tm_ + 7ede0: 69736473 74000000 017a0223 20000b04 isdst....z.# ... + 7edf0: 00040000 02ef8000 0002ff05 1f000c5f ..............._ + 7ee00: 6f6e5f65 7869745f 61726773 00010800 on_exit_args.... + 7ee10: 00035d07 5f666e61 72677300 000002f2 ..]._fnargs..... + 7ee20: 02230007 5f64736f 5f68616e 646c6500 .#.._dso_handle. + 7ee30: 000002f2 03238001 075f666e 74797065 .....#..._fntype + 7ee40: 73000000 02220323 8002075f 69735f63 s....".#..._is_c + 7ee50: 78610000 00022203 23840200 0c5f6174 xa....".#...._at + 7ee60: 65786974 00019000 0003ac07 5f6e6578 exit........_nex + 7ee70: 74000000 03ac0223 00075f69 6e640000 t......#.._ind.. + 7ee80: 00017a02 2304075f 666e7300 000003bc ..z.#.._fns..... + 7ee90: 02230807 5f6f6e5f 65786974 5f617267 .#.._on_exit_arg + 7eea0: 73000000 02ff0323 8801000a 0000035d s......#.......] + 7eeb0: 04000d01 0a000003 b3040004 000003b5 ................ + 7eec0: 80000003 c9051f00 0a000003 5d04000a ............]... + 7eed0: 00000138 0400095f 5f736275 66000800 ...8...__sbuf... + 7eee0: 00040107 5f626173 65000000 03d00223 ...._base......# + 7eef0: 00075f73 697a6500 0000017a 02230400 .._size....z.#.. + 7ef00: 0273686f 72742069 6e740005 02026368 .short int....ch + 7ef10: 61720007 010a0000 040e0400 0e000001 ar.............. + 7ef20: 7a010a00 00041d04 000f0000 040e0f00 z............... + 7ef30: 00040e0a 0000042f 04000e00 00017a01 ......./......z. + 7ef40: 0a000004 3b040002 6c6f6e67 20696e74 ....;...long int + 7ef50: 00050403 5f66706f 735f7400 00000448 ...._fpos_t....H + 7ef60: 0e000004 54010a00 00046104 000e0000 ....T.....a..... + 7ef70: 017a010a 0000046e 04000400 00013803 .z.....n......8. + 7ef80: 00000488 05020004 00000138 01000004 ...........8.... + 7ef90: 95050000 0c5f7265 656e7400 04000000 ....._reent..... + 7efa0: 061c075f 6572726e 6f000000 017a0223 ..._errno....z.# + 7efb0: 00075f73 7464696e 00000007 d0022304 .._stdin......#. + 7efc0: 075f7374 646f7574 00000007 d0022308 ._stdout......#. + 7efd0: 075f7374 64657272 00000007 d002230c ._stderr......#. + 7efe0: 075f696e 63000000 017a0223 10075f65 ._inc....z.#.._e + 7eff0: 6d657267 656e6379 0000000a 7f022314 mergency......#. + 7f000: 075f6375 7272656e 745f6361 7465676f ._current_catego + 7f010: 72790000 00017a02 2330075f 63757272 ry....z.#0._curr + 7f020: 656e745f 6c6f6361 6c650000 00043402 ent_locale....4. + 7f030: 2334075f 5f736469 64696e69 74000000 #4.__sdidinit... + 7f040: 017a0223 38075f5f 636c6561 6e757000 .z.#8.__cleanup. + 7f050: 00000a8e 02233c07 5f726573 756c7400 .....#<._result. + 7f060: 0000023c 02234007 5f726573 756c745f ...<.#@._result_ + 7f070: 6b000000 017a0223 44075f70 35730000 k....z.#D._p5s.. + 7f080: 00023c02 2348075f 66726565 6c697374 ..<.#H._freelist + 7f090: 0000000a 9502234c 075f6376 746c656e ......#L._cvtlen + 7f0a0: 00000001 7a022350 075f6376 74627566 ....z.#P._cvtbuf + 7f0b0: 00000004 16022354 075f6e65 77000000 ......#T._new... + 7f0c0: 0a590223 58075f61 74657869 74000000 .Y.#X._atexit... + 7f0d0: 03c90323 c802075f 61746578 69743000 ...#..._atexit0. + 7f0e0: 0000035d 0323cc02 075f7369 675f6675 ...].#..._sig_fu + 7f0f0: 6e630000 000aa503 23dc0507 5f5f7367 nc......#...__sg + 7f100: 6c756500 00000785 0323e005 075f5f73 lue......#...__s + 7f110: 66000000 0aac0323 ec05000a 00000495 f......#........ + 7f120: 0400035f 4c4f434b 5f524543 55525349 ..._LOCK_RECURSI + 7f130: 56455f54 00000001 7a035f66 6c6f636b VE_T....z._flock + 7f140: 5f740000 00062309 5f5f7346 494c4500 _t....#.__sFILE. + 7f150: 5c000007 85075f70 00000003 d0022300 \....._p......#. + 7f160: 075f7200 0000017a 02230407 5f770000 ._r....z.#.._w.. + 7f170: 00017a02 2308075f 666c6167 73000000 ..z.#.._flags... + 7f180: 04010223 0c075f66 696c6500 00000401 ...#.._file..... + 7f190: 02230e07 5f626600 000003d7 02231007 .#.._bf......#.. + 7f1a0: 5f6c6266 73697a65 00000001 7a022318 _lbfsize....z.#. + 7f1b0: 075f636f 6f6b6965 00000002 ef02231c ._cookie......#. + 7f1c0: 075f7265 61640000 00042302 2320075f ._read....#.# ._ + 7f1d0: 77726974 65000000 04410223 24075f73 write....A.#$._s + 7f1e0: 65656b00 00000467 02232807 5f636c6f eek....g.#(._clo + 7f1f0: 73650000 00047402 232c075f 75620000 se....t.#,._ub.. + 7f200: 0003d702 2330075f 75700000 0003d002 ....#0._up...... + 7f210: 2338075f 75720000 00017a02 233c075f #8._ur....z.#<._ + 7f220: 75627566 00000004 7b022340 075f6e62 ubuf....{.#@._nb + 7f230: 75660000 00048802 2343075f 6c620000 uf......#C._lb.. + 7f240: 0003d702 2344075f 626c6b73 697a6500 ....#D._blksize. + 7f250: 0000017a 02234c07 5f6f6666 73657400 ...z.#L._offset. + 7f260: 0000017a 02235007 5f646174 61000000 ...z.#P._data... + 7f270: 061c0223 54075f6c 6f636b00 0000063a ...#T._lock....: + 7f280: 02235800 095f676c 7565000c 000007bd .#X.._glue...... + 7f290: 075f6e65 78740000 0007bd02 2300075f ._next......#.._ + 7f2a0: 6e696f62 73000000 017a0223 04075f69 niobs....z.#.._i + 7f2b0: 6f627300 000007d0 02230800 0a000007 obs......#...... + 7f2c0: 85040003 5f5f4649 4c450000 0006480a ....__FILE....H. + 7f2d0: 000007c4 04000a00 00078504 00027368 ..............sh + 7f2e0: 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 7f2f0: 00070204 000007de 06000008 01050200 ................ + 7f300: 095f7261 6e643438 000e0000 0839075f ._rand48.....9._ + 7f310: 73656564 00000007 f4022300 075f6d75 seed......#.._mu + 7f320: 6c740000 0007f402 2306075f 61646400 lt......#.._add. + 7f330: 000007de 02230c00 04000004 0e1a0000 .....#.......... + 7f340: 08460519 00026c6f 6e67206c 6f6e6720 .F....long long + 7f350: 756e7369 676e6564 20696e74 00070803 unsigned int.... + 7f360: 5f6d6273 74617465 5f740000 00018104 _mbstate_t...... + 7f370: 0000040e 08000008 7d050700 04000004 ........}....... + 7f380: 0e180000 088a0517 0008d000 000a1807 ................ + 7f390: 5f756e75 7365645f 72616e64 00000001 _unused_rand.... + 7f3a0: 1c022300 075f7374 72746f6b 5f6c6173 ..#.._strtok_las + 7f3b0: 74000000 04160223 04075f61 73637469 t......#.._ascti + 7f3c0: 6d655f62 75660000 00083902 2308075f me_buf....9.#.._ + 7f3d0: 6c6f6361 6c74696d 655f6275 66000000 localtime_buf... + 7f3e0: 02430223 24075f67 616d6d61 5f736967 .C.#$._gamma_sig + 7f3f0: 6e67616d 00000001 7a022348 075f7261 ngam....z.#H._ra + 7f400: 6e645f6e 65787400 00000846 02235007 nd_next....F.#P. + 7f410: 5f723438 00000008 01022358 075f6d62 _r48......#X._mb + 7f420: 6c656e5f 73746174 65000000 08600223 len_state....`.# + 7f430: 68075f6d 62746f77 635f7374 61746500 h._mbtowc_state. + 7f440: 00000860 02237007 5f776374 6f6d625f ...`.#p._wctomb_ + 7f450: 73746174 65000000 08600223 78075f6c state....`.#x._l + 7f460: 3634615f 62756600 00000870 03238001 64a_buf....p.#.. + 7f470: 075f7369 676e616c 5f627566 00000008 ._signal_buf.... + 7f480: 7d032388 01075f67 65746461 74655f65 }.#..._getdate_e + 7f490: 72720000 00017a03 23a00107 5f6d6272 rr....z.#..._mbr + 7f4a0: 6c656e5f 73746174 65000000 08600323 len_state....`.# + 7f4b0: a401075f 6d627274 6f77635f 73746174 ..._mbrtowc_stat + 7f4c0: 65000000 08600323 ac01075f 6d627372 e....`.#..._mbsr + 7f4d0: 746f7763 735f7374 61746500 00000860 towcs_state....` + 7f4e0: 0323b401 075f7763 72746f6d 625f7374 .#..._wcrtomb_st + 7f4f0: 61746500 00000860 0323bc01 075f7763 ate....`.#..._wc + 7f500: 7372746f 6d62735f 73746174 65000000 srtombs_state... + 7f510: 08600323 c4010004 000003d0 7800000a .`.#........x... + 7f520: 25051d00 04000001 1c780000 0a32051d %........x...2.. + 7f530: 0008f000 000a5907 5f6e6578 74660000 ......Y._nextf.. + 7f540: 000a1802 2300075f 6e6d616c 6c6f6300 ....#.._nmalloc. + 7f550: 00000a25 02237800 06f00000 0a7f075f ...%.#x........_ + 7f560: 7265656e 74000000 088a0223 00075f75 reent......#.._u + 7f570: 6e757365 64000000 0a320223 00000400 nused....2.#.... + 7f580: 00040e19 00000a8c 0518000d 010a0000 ................ + 7f590: 0a8c0400 0a000002 3c04000d 010a0000 ........<....... + 7f5a0: 0a9c0400 0a00000a 9e040010 000007c4 ................ + 7f5b0: 01140000 0aba0502 0011110a 00000abb ................ + 7f5c0: 04000373 697a655f 74000000 011c0e00 ...size_t....... + 7f5d0: 0002ef01 0a000004 4804000f 00000448 ........H......H + 7f5e0: 0f000004 480a0000 0ae10400 12013e6d ....H.........>m + 7f5f0: 656d6d6f 76650000 0002ef01 01039201 emmove.......... + 7f600: 20029000 008e6c5c 008e6e1e 13013e64 .....l\..n...>d + 7f610: 73745f76 6f696400 000002ef 01521301 st_void......R.. + 7f620: 3e737263 5f766f69 64000000 0abc0153 >src_void......S + 7f630: 13013e6c 656e6774 68000000 0ac30154 ..>length......T + 7f640: 14647374 00000004 16147372 63000000 .dst......src... + 7f650: 0434146c 656e0000 00017a14 616c6967 .4.len....z.alig + 7f660: 6e65645f 64737400 00000ad5 14616c69 ned_dst......ali + 7f670: 676e6564 5f737263 0000000a e6000000 gned_src........ + 7f680: 00000109 00020000 30e40401 0001d65e ........0......^ + 7f690: 008e6e20 008e6e94 2f686f6d 652f6375 ..n ..n./home/cu + 7f6a0: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 7f6b0: 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte + 7f6c0: 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ + 7f6d0: 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li + 7f6e0: 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens + 7f6f0: 612f6d65 6d736574 2e53002f 70726f6a a/memset.S./proj + 7f700: 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 7f710: 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 7f720: 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 7f730: 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 7f740: 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ + 7f750: 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el + 7f760: 662f6e65 776c6962 2f6c6962 632f6d61 f/newlib/libc/ma + 7f770: 6368696e 652f7874 656e7361 00474e55 chine/xtensa.GNU + 7f780: 20415320 322e3136 2e310080 01000001 AS 2.16.1...... + 7f790: 09000200 0030f804 010001d7 ea008e65 .....0.........e + 7f7a0: 60008e66 782f686f 6d652f63 7573746f `..fx/home/custo + 7f7b0: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 7f7c0: 2e322f70 34726f6f 742f5874 656e7361 .2/p4root/Xtensa + 7f7d0: 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new + 7f7e0: 6c69622f 6e65776c 69622f6c 6962632f lib/newlib/libc/ + 7f7f0: 6d616368 696e652f 7874656e 73612f73 machine/xtensa/s + 7f800: 7472636d 702e5300 2f70726f 6a656374 trcmp.S./project + 7f810: 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- + 7f820: 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath + 7f830: 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie + 7f840: 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild + 7f850: 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new + 7f860: 6c69622f 7874656e 73612d65 6c662f6e lib/xtensa-elf/n + 7f870: 65776c69 622f6c69 62632f6d 61636869 ewlib/libc/machi + 7f880: 6e652f78 74656e73 6100474e 55204153 ne/xtensa.GNU AS + 7f890: 20322e31 362e3100 80010000 01090002 2.16.1......... + 7f8a0: 0000310c 04010001 dacc008e 6678008e ..1.........fx.. + 7f8b0: 67102f68 6f6d652f 63757374 6f6d6572 g./home/customer + 7f8c0: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 7f8d0: 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta + 7f8e0: 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 7f8f0: 2f6e6577 6c69622f 6c696263 2f6d6163 /newlib/libc/mac + 7f900: 68696e65 2f787465 6e73612f 73747263 hine/xtensa/strc + 7f910: 70792e53 002f7072 6f6a6563 742f6375 py.S./project/cu + 7f920: 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 + 7f930: 372e322f 6275696c 642f6174 6865726f 7.2/build/athero + 7f940: 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 + 7f950: 2f383337 34332f78 6275696c 642f5461 /83743/xbuild/Ta + 7f960: 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 7f970: 2f787465 6e73612d 656c662f 6e65776c /xtensa-elf/newl + 7f980: 69622f6c 6962632f 6d616368 696e652f ib/libc/machine/ + 7f990: 7874656e 73610047 4e552041 5320322e xtensa.GNU AS 2. + 7f9a0: 31362e31 00800100 00010900 02000031 16.1...........1 + 7f9b0: 20040100 01dca600 8e671000 8e67732f ........g...gs/ + 7f9c0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 7f9d0: 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 7f9e0: 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 7f9f0: 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 7fa00: 776c6962 2f6c6962 632f6d61 6368696e wlib/libc/machin + 7fa10: 652f7874 656e7361 2f737472 6c656e2e e/xtensa/strlen. + 7fa20: 53002f70 726f6a65 63742f63 7573742f S./project/cust/ + 7fa30: 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 + 7fa40: 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p + 7fa50: 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 + 7fa60: 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe + 7fa70: 742d6c69 62732f6e 65776c69 622f7874 t-libs/newlib/xt + 7fa80: 656e7361 2d656c66 2f6e6577 6c69622f ensa-elf/newlib/ + 7fa90: 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte + 7faa0: 6e736100 474e5520 41532032 2e31362e nsa.GNU AS 2.16. + 7fab0: 31008001 00000b25 00020000 31340401 1......%....14.. + 7fac0: 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t + 7fad0: 7265652f 52422d32 3030372e 322f7034 ree/RB-2007.2/p4 + 7fae0: 726f6f74 2f587465 6e73612f 54617267 root/Xtensa/Targ + 7faf0: 65742d6c 6962732f 6e65776c 69622f6e et-libs/newlib/n + 7fb00: 65776c69 622f6c69 62632f73 7472696e ewlib/libc/strin + 7fb10: 672f7374 726e636d 702e6300 2f70726f g/strncmp.c./pro + 7fb20: 6a656374 2f637573 742f6765 6e617070 ject/cust/genapp + 7fb30: 2f52422d 32303037 2e322f62 75696c64 /RB-2007.2/build + 7fb40: 2f617468 65726f73 2f70726f 642f4d61 /atheros/prod/Ma + 7fb50: 67706965 5f50302f 38333734 332f7862 gpie_P0/83743/xb + 7fb60: 75696c64 2f546172 6765742d 6c696273 uild/Target-libs + 7fb70: 2f6e6577 6c69622f 7874656e 73612d65 /newlib/xtensa-e + 7fb80: 6c662f6e 65776c69 622f6c69 62632f73 lf/newlib/libc/s + 7fb90: 7472696e 67007874 2d786363 20666f72 tring.xt-xcc for + 7fba0: 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig + 7fbb0: 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 + 7fbc0: 32202d4f 32202d67 33000100 0001de0e 2 -O2 -g3....... + 7fbd0: 02756e73 69676e65 6420696e 74000704 .unsigned int... + 7fbe0: 0377696e 745f7400 0000011c 02756e73 .wint_t......uns + 7fbf0: 69676e65 64206368 61720007 01040000 igned char...... + 7fc00: 01380400 00015605 03000604 0000017a .8....V........z + 7fc10: 075f5f77 63680000 00012c02 2300075f .__wch....,.#.._ + 7fc20: 5f776368 62000000 01490223 00000269 _wchb....I.#...i + 7fc30: 6e740005 04080800 0001a807 5f5f636f nt..........__co + 7fc40: 756e7400 0000017a 02230007 5f5f7661 unt....z.#..__va + 7fc50: 6c756500 00000156 02230400 095f4269 lue....V.#..._Bi + 7fc60: 67696e74 00180000 0206075f 6e657874 gint......._next + 7fc70: 00000002 06022300 075f6b00 0000017a ......#.._k....z + 7fc80: 02230407 5f6d6178 77647300 0000017a .#.._maxwds....z + 7fc90: 02230807 5f736967 6e000000 017a0223 .#.._sign....z.# + 7fca0: 0c075f77 64730000 00017a02 2310075f .._wds....z.#.._ + 7fcb0: 78000000 022f0223 14000a00 0001a804 x..../.#........ + 7fcc0: 00026c6f 6e672075 6e736967 6e656420 ..long unsigned + 7fcd0: 696e7400 0704035f 5f554c6f 6e670000 int....__ULong.. + 7fce0: 00020d04 00000222 04000002 3c050000 ......."....<... + 7fcf0: 0a000001 a8040009 5f5f746d 00240000 ........__tm.$.. + 7fd00: 02ef075f 5f746d5f 73656300 0000017a ...__tm_sec....z + 7fd10: 02230007 5f5f746d 5f6d696e 00000001 .#..__tm_min.... + 7fd20: 7a022304 075f5f74 6d5f686f 75720000 z.#..__tm_hour.. + 7fd30: 00017a02 2308075f 5f746d5f 6d646179 ..z.#..__tm_mday + 7fd40: 00000001 7a02230c 075f5f74 6d5f6d6f ....z.#..__tm_mo + 7fd50: 6e000000 017a0223 10075f5f 746d5f79 n....z.#..__tm_y + 7fd60: 65617200 0000017a 02231407 5f5f746d ear....z.#..__tm + 7fd70: 5f776461 79000000 017a0223 18075f5f _wday....z.#..__ + 7fd80: 746d5f79 64617900 0000017a 02231c07 tm_yday....z.#.. + 7fd90: 5f5f746d 5f697364 73740000 00017a02 __tm_isdst....z. + 7fda0: 2320000b 04000400 0002ef80 000002ff # .............. + 7fdb0: 051f000c 5f6f6e5f 65786974 5f617267 ...._on_exit_arg + 7fdc0: 73000108 0000035d 075f666e 61726773 s......]._fnargs + 7fdd0: 00000002 f2022300 075f6473 6f5f6861 ......#.._dso_ha + 7fde0: 6e646c65 00000002 f2032380 01075f66 ndle......#..._f + 7fdf0: 6e747970 65730000 00022203 23800207 ntypes....".#... + 7fe00: 5f69735f 63786100 00000222 03238402 _is_cxa....".#.. + 7fe10: 000c5f61 74657869 74000190 000003ac .._atexit....... + 7fe20: 075f6e65 78740000 0003ac02 2300075f ._next......#.._ + 7fe30: 696e6400 0000017a 02230407 5f666e73 ind....z.#.._fns + 7fe40: 00000003 bc022308 075f6f6e 5f657869 ......#.._on_exi + 7fe50: 745f6172 67730000 0002ff03 23880100 t_args......#... + 7fe60: 0a000003 5d04000d 010a0000 03b30400 ....]........... + 7fe70: 04000003 b5800000 03c9051f 000a0000 ................ + 7fe80: 035d0400 0a000001 38040009 5f5f7362 .]......8...__sb + 7fe90: 75660008 00000401 075f6261 73650000 uf......._base.. + 7fea0: 0003d002 2300075f 73697a65 00000001 ....#.._size.... + 7feb0: 7a022304 00027368 6f727420 696e7400 z.#...short int. + 7fec0: 05020263 68617200 07010a00 00040e04 ...char......... + 7fed0: 000e0000 017a010a 0000041d 04000f00 .....z.......... + 7fee0: 00040e0f 0000040e 0a000004 2f04000e ............/... + 7fef0: 0000017a 010a0000 043b0400 026c6f6e ...z.....;...lon + 7ff00: 6720696e 74000504 035f6670 6f735f74 g int...._fpos_t + 7ff10: 00000004 480e0000 0454010a 00000461 ....H....T.....a + 7ff20: 04000e00 00017a01 0a000004 6e040004 ......z.....n... + 7ff30: 00000138 03000004 88050200 04000001 ...8............ + 7ff40: 38010000 04950500 000c5f72 65656e74 8........._reent + 7ff50: 00040000 00061c07 5f657272 6e6f0000 ........_errno.. + 7ff60: 00017a02 2300075f 73746469 6e000000 ..z.#.._stdin... + 7ff70: 07d00223 04075f73 74646f75 74000000 ...#.._stdout... + 7ff80: 07d00223 08075f73 74646572 72000000 ...#.._stderr... + 7ff90: 07d00223 0c075f69 6e630000 00017a02 ...#.._inc....z. + 7ffa0: 2310075f 656d6572 67656e63 79000000 #.._emergency... + 7ffb0: 0a7f0223 14075f63 75727265 6e745f63 ...#.._current_c + 7ffc0: 61746567 6f727900 0000017a 02233007 ategory....z.#0. + 7ffd0: 5f637572 72656e74 5f6c6f63 616c6500 _current_locale. + 7ffe0: 00000434 02233407 5f5f7364 6964696e ...4.#4.__sdidin + 7fff0: 69740000 00017a02 2338075f 5f636c65 it....z.#8.__cle + 80000: 616e7570 0000000a 8e02233c 075f7265 anup......#<._re + 80010: 73756c74 00000002 3c022340 075f7265 sult....<.#@._re + 80020: 73756c74 5f6b0000 00017a02 2344075f sult_k....z.#D._ + 80030: 70357300 0000023c 02234807 5f667265 p5s....<.#H._fre + 80040: 656c6973 74000000 0a950223 4c075f63 elist......#L._c + 80050: 76746c65 6e000000 017a0223 50075f63 vtlen....z.#P._c + 80060: 76746275 66000000 04160223 54075f6e vtbuf......#T._n + 80070: 65770000 000a5902 2358075f 61746578 ew....Y.#X._atex + 80080: 69740000 0003c903 23c80207 5f617465 it......#..._ate + 80090: 78697430 00000003 5d0323cc 02075f73 xit0....].#..._s + 800a0: 69675f66 756e6300 00000aa5 0323dc05 ig_func......#.. + 800b0: 075f5f73 676c7565 00000007 850323e0 .__sglue......#. + 800c0: 05075f5f 73660000 000aac03 23ec0500 ..__sf......#... + 800d0: 0a000004 95040003 5f4c4f43 4b5f5245 ........_LOCK_RE + 800e0: 43555253 4956455f 54000000 017a035f CURSIVE_T....z._ + 800f0: 666c6f63 6b5f7400 00000623 095f5f73 flock_t....#.__s + 80100: 46494c45 005c0000 0785075f 70000000 FILE.\....._p... + 80110: 03d00223 00075f72 00000001 7a022304 ...#.._r....z.#. + 80120: 075f7700 0000017a 02230807 5f666c61 ._w....z.#.._fla + 80130: 67730000 00040102 230c075f 66696c65 gs......#.._file + 80140: 00000004 0102230e 075f6266 00000003 ......#.._bf.... + 80150: d7022310 075f6c62 6673697a 65000000 ..#.._lbfsize... + 80160: 017a0223 18075f63 6f6f6b69 65000000 .z.#.._cookie... + 80170: 02ef0223 1c075f72 65616400 00000423 ...#.._read....# + 80180: 02232007 5f777269 74650000 00044102 .# ._write....A. + 80190: 2324075f 7365656b 00000004 67022328 #$._seek....g.#( + 801a0: 075f636c 6f736500 00000474 02232c07 ._close....t.#,. + 801b0: 5f756200 000003d7 02233007 5f757000 _ub......#0._up. + 801c0: 000003d0 02233807 5f757200 0000017a .....#8._ur....z + 801d0: 02233c07 5f756275 66000000 047b0223 .#<._ubuf....{.# + 801e0: 40075f6e 62756600 00000488 02234307 @._nbuf......#C. + 801f0: 5f6c6200 000003d7 02234407 5f626c6b _lb......#D._blk + 80200: 73697a65 00000001 7a02234c 075f6f66 size....z.#L._of + 80210: 66736574 00000001 7a022350 075f6461 fset....z.#P._da + 80220: 74610000 00061c02 2354075f 6c6f636b ta......#T._lock + 80230: 00000006 3a022358 00095f67 6c756500 ....:.#X.._glue. + 80240: 0c000007 bd075f6e 65787400 000007bd ......_next..... + 80250: 02230007 5f6e696f 62730000 00017a02 .#.._niobs....z. + 80260: 2304075f 696f6273 00000007 d0022308 #.._iobs......#. + 80270: 000a0000 07850400 035f5f46 494c4500 .........__FILE. + 80280: 00000648 0a000007 c404000a 00000785 ...H............ + 80290: 04000273 686f7274 20756e73 69676e65 ...short unsigne + 802a0: 6420696e 74000702 04000007 de060000 d int........... + 802b0: 08010502 00095f72 616e6434 38000e00 ......_rand48... + 802c0: 00083907 5f736565 64000000 07f40223 ..9._seed......# + 802d0: 00075f6d 756c7400 000007f4 02230607 .._mult......#.. + 802e0: 5f616464 00000007 de02230c 00040000 _add......#..... + 802f0: 040e1a00 00084605 1900026c 6f6e6720 ......F....long + 80300: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 80310: 74000708 035f6d62 73746174 655f7400 t...._mbstate_t. + 80320: 00000181 04000004 0e080000 087d0507 .............}.. + 80330: 00040000 040e1800 00088a05 170008d0 ................ + 80340: 00000a18 075f756e 75736564 5f72616e ....._unused_ran + 80350: 64000000 011c0223 00075f73 7472746f d......#.._strto + 80360: 6b5f6c61 73740000 00041602 2304075f k_last......#.._ + 80370: 61736374 696d655f 62756600 00000839 asctime_buf....9 + 80380: 02230807 5f6c6f63 616c7469 6d655f62 .#.._localtime_b + 80390: 75660000 00024302 2324075f 67616d6d uf....C.#$._gamm + 803a0: 615f7369 676e6761 6d000000 017a0223 a_signgam....z.# + 803b0: 48075f72 616e645f 6e657874 00000008 H._rand_next.... + 803c0: 46022350 075f7234 38000000 08010223 F.#P._r48......# + 803d0: 58075f6d 626c656e 5f737461 74650000 X._mblen_state.. + 803e0: 00086002 2368075f 6d62746f 77635f73 ..`.#h._mbtowc_s + 803f0: 74617465 00000008 60022370 075f7763 tate....`.#p._wc + 80400: 746f6d62 5f737461 74650000 00086002 tomb_state....`. + 80410: 2378075f 6c363461 5f627566 00000008 #x._l64a_buf.... + 80420: 70032380 01075f73 69676e61 6c5f6275 p.#..._signal_bu + 80430: 66000000 087d0323 8801075f 67657464 f....}.#..._getd + 80440: 6174655f 65727200 0000017a 0323a001 ate_err....z.#.. + 80450: 075f6d62 726c656e 5f737461 74650000 ._mbrlen_state.. + 80460: 00086003 23a40107 5f6d6272 746f7763 ..`.#..._mbrtowc + 80470: 5f737461 74650000 00086003 23ac0107 _state....`.#... + 80480: 5f6d6273 72746f77 63735f73 74617465 _mbsrtowcs_state + 80490: 00000008 600323b4 01075f77 6372746f ....`.#..._wcrto + 804a0: 6d625f73 74617465 00000008 600323bc mb_state....`.#. + 804b0: 01075f77 63737274 6f6d6273 5f737461 .._wcsrtombs_sta + 804c0: 74650000 00086003 23c40100 04000003 te....`.#....... + 804d0: d0780000 0a25051d 00040000 011c7800 .x...%........x. + 804e0: 000a3205 1d0008f0 00000a59 075f6e65 ..2........Y._ne + 804f0: 78746600 00000a18 02230007 5f6e6d61 xtf......#.._nma + 80500: 6c6c6f63 0000000a 25022378 0006f000 lloc....%.#x.... + 80510: 000a7f07 5f726565 6e740000 00088a02 ...._reent...... + 80520: 2300075f 756e7573 65640000 000a3202 #.._unused....2. + 80530: 23000004 0000040e 1900000a 8c051800 #............... + 80540: 0d010a00 000a8c04 000a0000 023c0400 .............<.. + 80550: 0d010a00 000a9c04 000a0000 0a9e0400 ................ + 80560: 10000007 c4011400 000aba05 02000373 ...............s + 80570: 697a655f 74000000 011c0e00 00017a01 ize_t.........z. + 80580: 0a000002 0d040011 01427374 726e636d .........Bstrncm + 80590: 70000000 017a0101 03920120 02900000 p....z..... .... + 805a0: 8e677400 8e680212 01427331 00000004 .gt..h...Bs1.... + 805b0: 34015212 01427332 00000004 34015312 4.R..Bs2....4.S. + 805c0: 01426e00 00000aba 01541361 31000000 .Bn......T.a1... + 805d0: 0acc1361 32000000 0acc0000 00000001 ...a2........... + 805e0: 0a000200 00320604 010001e1 30008e68 .....2......0..h + 805f0: 04008e69 1f2f686f 6d652f63 7573746f ...i./home/custo + 80600: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 80610: 2e322f70 34726f6f 742f5874 656e7361 .2/p4root/Xtensa + 80620: 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new + 80630: 6c69622f 6e65776c 69622f6c 6962632f lib/newlib/libc/ + 80640: 6d616368 696e652f 7874656e 73612f73 machine/xtensa/s + 80650: 74726e63 70792e53 002f7072 6f6a6563 trncpy.S./projec + 80660: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 80670: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 80680: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 80690: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 806a0: 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne + 806b0: 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ + 806c0: 6e65776c 69622f6c 6962632f 6d616368 newlib/libc/mach + 806d0: 696e652f 7874656e 73610047 4e552041 ine/xtensa.GNU A + 806e0: 5320322e 31362e31 00800100 S 2.16.1... +Disassembly of section .debug_abbrev: + +00000000 <.debug_abbrev>: + 0: 01110010 06110112 0103081b 08250813 .............%.. + 10: 05000000 01110010 06110112 0103081b ................ + 20: 08250813 05000000 01110010 06110112 .%.............. + 30: 0103081b 08250813 05000000 01110010 .....%.......... + 40: 06110112 0103081b 08250813 05000000 .........%...... + 50: 01110010 06110112 0103081b 08250813 .............%.. + 60: 05000000 01110010 06110112 0103081b ................ + 70: 08250813 05000000 01110010 06110112 .%.............. + 80: 0103081b 08250813 05000000 01110010 .....%.......... + 90: 06110112 0103081b 08250813 05000000 .........%...... + a0: 01110010 06110112 0103081b 08250813 .............%.. + b0: 05000000 01110010 06110112 0103081b ................ + c0: 08250813 05000000 01110010 06110112 .%.............. + d0: 0103081b 08250813 05000000 01110010 .....%.......... + e0: 06110112 0103081b 08250813 05000000 .........%...... + f0: 01110010 06110112 0103081b 08250813 .............%.. + 100: 05000000 01110103 081b0825 08130b42 ...........%...B + 110: 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... + 120: 03010149 130b0b01 13000004 21002f0b ...I........!./. + 130: 00000513 010b0b01 13000006 0d000308 ................ + 140: 4913380a 00000715 00270c00 00081600 I.8......'...... + 150: 03084913 0000090f 0049130b 0b330b00 ..I......I...3.. + 160: 000a0f00 0b0b330b 00000b13 0103080b ......3......... + 170: 0b011300 000c1500 4913270c 00000d26 ........I.'....& + 180: 00491300 000e2e01 3a0b3b0b 03084913 .I......:.;...I. + 190: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1a0: 0f05003a 0b3b0b03 08491302 0a000010 ...:.;...I...... + 1b0: 34000308 49130000 112e013a 0b3b0b03 4...I......:.;.. + 1c0: 0849133f 0c270c40 0a2a0a11 01120100 .I.?.'.@.*...... + 1d0: 00000111 00100611 01120103 081b0825 ...............% + 1e0: 08130500 00000111 00100611 01120103 ................ + 1f0: 081b0825 08130500 00000111 00100611 ...%............ + 200: 01120103 081b0825 08130500 00000111 .......%........ + 210: 00100611 01120103 081b0825 08130500 ...........%.... + 220: 00000111 00100611 01120103 081b0825 ...............% + 230: 08130500 00000111 0103081b 08250813 .............%.. + 240: 0b420b10 06000002 1500270c 0000030f .B........'..... + 250: 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. + 260: 0b0b0000 05260049 13000006 15004913 .....&.I......I. + 270: 270c0000 07130103 080b0b01 13000008 '............... + 280: 0d000308 4913380a 00000916 00030849 ....I.8........I + 290: 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. + 2a0: 000c0401 03080b0b 01130000 0d280003 .............(.. + 2b0: 081c0b00 000e0101 49130b0b 01130000 ........I....... + 2c0: 0f21002f 0b000010 17010b0b 01130000 .!./............ + 2d0: 1113010b 0b011300 00120d00 4913380a ............I.8. + 2e0: 00001304 010b0b01 13000014 0d000308 ................ + 2f0: 49130b0b 0c0b0d0b 380a0000 15010149 I.......8......I + 300: 130b0501 13000016 13010308 0b050113 ................ + 310: 00001717 010b0501 13000018 28000308 ............(... + 320: 1c060000 19010149 133c0c01 1300001a .......I.<...... + 330: 21000000 1b340003 08491302 0a3f0c00 !....4...I...?.. + 340: 001c3500 49130000 1d2e013a 0b3b0b03 ..5.I......:.;.. + 350: 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 360: 001e3400 03084913 020a0000 1f340003 ..4...I......4.. + 370: 08491300 00200500 3a0b3b0b 03084913 .I... ..:.;...I. + 380: 020a0000 212e013a 0b3b0b03 08491327 ....!..:.;...I.' + 390: 0c400a2a 0a110112 01011300 00222e01 .@.*.........".. + 3a0: 3a0b3b0b 03084913 3f0c270c 400a2a0a :.;...I.?.'.@.*. + 3b0: 11011201 01130000 232e013a 0b3b0503 ........#..:.;.. + 3c0: 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 3d0: 00240500 3a0b3b05 03084913 020a0000 .$..:.;...I..... + 3e0: 252e013a 0b3b0503 08270c40 0a2a0a11 %..:.;...'.@.*.. + 3f0: 01120101 13000026 2e013a0b 3b050308 .......&..:.;... + 400: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 410: 0000272e 003a0b3b 0503083f 0c400a2a ..'..:.;...?.@.* + 420: 0a110112 01000028 2e003a0b 3b050308 .......(..:.;... + 430: 49133f0c 270c400a 360b2a0a 11011201 I.?.'.@.6.*..... + 440: 00000001 11010308 1b082508 130b420b ..........%...B. + 450: 10060000 02150027 0c000003 0f004913 .......'......I. + 460: 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... + 470: 00052600 49130000 06150049 13270c00 ..&.I......I.'.. + 480: 00071301 03080b0b 01130000 080d0003 ................ + 490: 08491338 0a000009 16000308 49130000 .I.8........I... + 4a0: 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... + 4b0: 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... + 4c0: 01130000 0e280003 081c0b00 000f0101 .....(.......... + 4d0: 49130b0b 01130000 1021002f 0b000011 I........!./.... + 4e0: 17010b0b 01130000 1213010b 0b011300 ................ + 4f0: 00130d00 4913380a 00001404 010b0b01 ....I.8......... + 500: 13000015 0d000308 49130b0b 0c0b0d0b ........I....... + 510: 380a0000 16010149 130b0501 13000017 8......I........ + 520: 13010308 0b050113 00001817 010b0501 ................ + 530: 13000019 28000308 1c060000 1a340003 ....(........4.. + 540: 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. + 550: 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... + 560: 01130000 1c05003a 0b3b0b03 08491302 .......:.;...I.. + 570: 0a00001d 34000308 49130000 1e2e003a ....4...I......: + 580: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 590: 0100001f 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 5a0: 400a2a0a 11011201 00000001 11010308 @.*............. + 5b0: 1b082508 130b420b 10060000 02150027 ..%...B........' + 5c0: 0c000003 0f004913 0b0b330b 00000424 ......I...3....$ + 5d0: 0003083e 0b0b0b00 00052600 49130000 ...>......&.I... + 5e0: 06150049 13270c00 00071301 03080b0b ...I.'.......... + 5f0: 01130000 080d0003 08491338 0a000009 .........I.8.... + 600: 16000308 49130000 0a0f000b 0b330b00 ....I........3.. + 610: 000b2600 00000c13 0003080b 0b3c0c00 ..&..........<.. + 620: 000d0401 03080b0b 01130000 0e280003 .............(.. + 630: 081c0b00 000f0101 49130b0b 01130000 ........I....... + 640: 1021002f 0b000011 17010b0b 01130000 .!./............ + 650: 1213010b 0b011300 00130d00 4913380a ............I.8. + 660: 00001404 010b0b01 13000015 0d000308 ................ + 670: 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I + 680: 130b0501 13000017 13010308 0b050113 ................ + 690: 00001817 010b0501 13000019 28000308 ............(... + 6a0: 1c060000 1a340003 08491302 0a3f0c00 .....4...I...?.. + 6b0: 001b0101 49133c0c 01130000 1c210000 ....I.<......!.. + 6c0: 001d2e01 3a0b3b0b 03083f0c 270c400a ....:.;...?.'.@. + 6d0: 2a0a1101 12010113 00001e05 003a0b3b *............:.; + 6e0: 0b030849 13020a00 001f3400 03084913 ...I......4...I. + 6f0: 0000202e 003a0b3b 0b030849 133f0c27 .. ..:.;...I.?.' + 700: 0c400a2a 0a110112 01000021 2e003a0b .@.*.......!..:. + 710: 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 720: 00002234 00030849 13020a00 00232e01 .."4...I.....#.. + 730: 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 740: 12010000 2405003a 0b3b0503 08491302 ....$..:.;...I.. + 750: 0a000000 01110103 081b0825 08130b42 ...........%...B + 760: 0b100600 00021500 270c0000 030f0049 ........'......I + 770: 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 780: 00000526 00491300 00061500 4913270c ...&.I......I.'. + 790: 00000713 0103080b 0b011300 00080d00 ................ + 7a0: 03084913 380a0000 09160003 08491300 ..I.8........I.. + 7b0: 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 7c0: 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 7d0: 0b011300 000e2800 03081c0b 00000f01 ......(......... + 7e0: 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 7f0: 1117010b 0b011300 00121301 0b0b0113 ................ + 800: 0000130d 00491338 0a000014 04010b0b .....I.8........ + 810: 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 820: 0b380a00 00160101 49130b05 01130000 .8......I....... + 830: 17130103 080b0501 13000018 17010b05 ................ + 840: 01130000 19280003 081c0600 001a3400 .....(........4. + 850: 03084913 020a3f0c 00001b35 00491300 ..I...?....5.I.. + 860: 001c2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. + 870: 400a2a0a 11011201 01130000 1d05003a @.*............: + 880: 0b3b0b03 08491302 0a00001e 34000308 .;...I......4... + 890: 49130000 1f2e013a 0b3b0b03 083f0c27 I......:.;...?.' + 8a0: 0c400a2a 0a110112 01011300 00203400 .@.*......... 4. + 8b0: 03084913 020a0000 212e013a 0b3b0503 ..I.....!..:.;.. + 8c0: 083f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." + 8d0: 05003a0b 3b050308 4913020a 00000001 ..:.;...I....... + 8e0: 11010308 1b082508 130b420b 10060000 ......%...B..... + 8f0: 02150027 0c000003 0f004913 0b0b330b ...'......I...3. + 900: 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. + 910: 49130000 06150049 13270c00 00071301 I......I.'...... + 920: 03080b0b 01130000 080d0003 08491338 .............I.8 + 930: 0a000009 16000308 49130000 0a0f000b ........I....... + 940: 0b330b00 000b2600 00000c04 0103080b .3....&......... + 950: 0b011300 000d2800 03081c0b 00000e01 ......(......... + 960: 0149130b 0b011300 000f2100 2f0b0000 .I........!./... + 970: 1017010b 0b011300 00111301 0b0b0113 ................ + 980: 0000120d 00491338 0a000013 04010b0b .....I.8........ + 990: 01130000 140d0003 0849130b 0b0c0b0d .........I...... + 9a0: 0b380a00 00150101 49130b05 01130000 .8......I....... + 9b0: 16130103 080b0501 13000017 17010b05 ................ + 9c0: 01130000 18280003 081c0600 00193400 .....(........4. + 9d0: 03084913 020a3f0c 00001a35 00491300 ..I...?....5.I.. + 9e0: 001b2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. + 9f0: 400a2a0a 11011201 01130000 1c05003a @.*............: + a00: 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. + a10: 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + a20: 01130000 1e340003 08491300 001f2e01 .....4...I...... + a30: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + a40: 12010000 00011101 03081b08 2508130b ............%... + a50: 420b1006 00000215 00270c00 00030f00 B........'...... + a60: 49130b0b 330b0000 04240003 083e0b0b I...3....$...>.. + a70: 0b000005 26004913 00000615 00491327 ....&.I......I.' + a80: 0c000007 13010308 0b0b0113 0000080d ................ + a90: 00030849 13380a00 00091600 03084913 ...I.8........I. + aa0: 00000a0f 000b0b33 0b00000b 26000000 .......3....&... + ab0: 0c130003 080b0b3c 0c00000d 04010308 .......<........ + ac0: 0b0b0113 00000e28 0003081c 0b00000f .......(........ + ad0: 01014913 0b0b0113 00001021 002f0b00 ..I........!./.. + ae0: 00111701 0b0b0113 00001213 010b0b01 ................ + af0: 13000013 0d004913 380a0000 1404010b ......I.8....... + b00: 0b011300 00150d00 03084913 0b0b0c0b ..........I..... + b10: 0d0b380a 00001601 0149130b 05011300 ..8......I...... + b20: 00171301 03080b05 01130000 1817010b ................ + b30: 05011300 00192800 03081c06 00001a2e ......(......... + b40: 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + b50: 01120100 001b2e01 3a0b3b0b 03083f0c ........:.;...?. + b60: 270c400a 2a0a1101 12010000 1c05003a '.@.*..........: + b70: 0b3b0b03 08491302 0a000000 01110103 .;...I.......... + b80: 081b0825 08130b42 0b100600 00021500 ...%...B........ + b90: 270c0000 030f0049 130b0b33 0b000004 '......I...3.... + ba0: 24000308 3e0b0b0b 00000526 00491300 $...>......&.I.. + bb0: 00061500 4913270c 00000713 0103080b ....I.'......... + bc0: 0b011300 00080d00 03084913 380a0000 ..........I.8... + bd0: 09160003 08491300 000a0f00 0b0b330b .....I........3. + be0: 00000b26 0000000c 04010308 0b0b0113 ...&............ + bf0: 00000d28 0003081c 0b00000e 01014913 ...(..........I. + c00: 0b0b0113 00000f21 002f0b00 00101701 .......!./...... + c10: 0b0b0113 00001113 010b0b01 13000012 ................ + c20: 0d004913 380a0000 1304010b 0b011300 ..I.8........... + c30: 00140d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + c40: 00001501 0149130b 05011300 00161301 .....I.......... + c50: 03080b05 01130000 1717010b 05011300 ................ + c60: 00182800 03081c06 00001935 00491300 ..(........5.I.. + c70: 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... + c80: 0149133c 0c011300 001c2100 00001d2e .I.<......!..... + c90: 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + ca0: 01120100 001e2e01 3a0b3b0b 03083f0c ........:.;...?. + cb0: 270c400a 2a0a1101 12010113 00001f05 '.@.*........... + cc0: 003a0b3b 0b030849 13020a00 00203400 .:.;...I..... 4. + cd0: 03084913 0000212e 003a0b3b 0b030849 ..I...!..:.;...I + ce0: 133f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." + cf0: 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. + d00: 2a0a1101 12010113 00002334 00030849 *.........#4...I + d10: 13020a00 00242e01 3a0b3b0b 03083f0c .....$..:.;...?. + d20: 270c400a 2a0a1101 12010000 00011101 '.@.*........... + d30: 03081b08 2508130b 420b1006 00000215 ....%...B....... + d40: 00270c00 00030f00 49130b0b 330b0000 .'......I...3... + d50: 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. + d60: 00000615 00491327 0c000007 13010308 .....I.'........ + d70: 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. + d80: 00091600 03084913 00000a0f 000b0b33 ......I........3 + d90: 0b00000b 26000000 0c130003 080b0b3c ....&..........< + da0: 0c00000d 04010308 0b0b0113 00000e28 ...............( + db0: 0003081c 0b00000f 01014913 0b0b0113 ..........I..... + dc0: 00001021 002f0b00 00111701 0b0b0113 ...!./.......... + dd0: 00001213 010b0b01 13000013 0d004913 ..............I. + de0: 380a0000 1404010b 0b011300 00150d00 8............... + df0: 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... + e00: 0149130b 05011300 00171301 03080b05 .I.............. + e10: 01130000 1817010b 05011300 00192800 ..............(. + e20: 03081c06 00001a34 00030849 13020a3f .......4...I...? + e30: 0c00001b 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + e40: 400a2a0a 11011201 01130000 1c05003a @.*............: + e50: 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. + e60: 3b0b0308 4913270c 400a2a0a 11011201 ;...I.'.@.*..... + e70: 01130000 1e340003 08491300 001f3400 .....4...I....4. + e80: 03084913 020a0000 202e013a 0b3b0503 ..I..... ..:.;.. + e90: 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + ea0: 13000021 05003a0b 3b050308 4913020a ...!..:.;...I... + eb0: 0000222e 003a0b3b 0503083f 0c270c40 .."..:.;...?.'.@ + ec0: 0a2a0a11 01120100 00232e01 3a0b3b05 .*.......#..:.;. + ed0: 03083f0c 270c400a 2a0a1101 12010000 ..?.'.@.*....... + ee0: 00011101 03081b08 2508130b 420b1006 ........%...B... + ef0: 00000215 00270c00 00030f00 49130b0b .....'......I... + f00: 330b0000 04240003 083e0b0b 0b000005 3....$...>...... + f10: 26004913 00000615 00491327 0c000007 &.I......I.'.... + f20: 13010308 0b0b0113 0000080d 00030849 ...............I + f30: 13380a00 00091600 03084913 00000a0f .8........I..... + f40: 000b0b33 0b00000b 26000000 0c130003 ...3....&....... + f50: 080b0b3c 0c00000d 04010308 0b0b0113 ...<............ + f60: 00000e28 0003081c 0b00000f 01014913 ...(..........I. + f70: 0b0b0113 00001021 002f0b00 00111701 .......!./...... + f80: 0b0b0113 00001213 010b0b01 13000013 ................ + f90: 0d004913 380a0000 1404010b 0b011300 ..I.8........... + fa0: 00150d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + fb0: 00001601 0149130b 05011300 00171301 .....I.......... + fc0: 03080b05 01130000 1817010b 05011300 ................ + fd0: 00192800 03081c06 00001a34 00030849 ..(........4...I + fe0: 13020a3f 0c00001b 2e013a0b 3b0b0308 ...?......:.;... + ff0: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 1000: 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... + 1010: 001d3400 03084913 00001e2e 003a0b3b ..4...I......:.; + 1020: 0b030849 133f0c27 0c400a2a 0a110112 ...I.?.'.@.*.... + 1030: 0100001f 34000308 4913020a 0000202e ....4...I..... . + 1040: 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 1050: 01120100 00212e01 3a0b3b0b 03083f0c .....!..:.;...?. + 1060: 270c400a 2a0a1101 12010000 00011101 '.@.*........... + 1070: 03081b08 2508130b 420b1006 00000215 ....%...B....... + 1080: 00270c00 00030f00 49130b0b 330b0000 .'......I...3... + 1090: 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. + 10a0: 00000615 00491327 0c000007 13010308 .....I.'........ + 10b0: 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. + 10c0: 00091600 03084913 00000a0f 000b0b33 ......I........3 + 10d0: 0b00000b 26000000 0c130003 080b0b3c ....&..........< + 10e0: 0c00000d 04010308 0b0b0113 00000e28 ...............( + 10f0: 0003081c 0b00000f 01014913 0b0b0113 ..........I..... + 1100: 00001021 002f0b00 00111701 0b0b0113 ...!./.......... + 1110: 00001213 010b0b01 13000013 0d004913 ..............I. + 1120: 380a0000 1404010b 0b011300 00150d00 8............... + 1130: 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... + 1140: 0149130b 05011300 00171301 03080b05 .I.............. + 1150: 01130000 1817010b 05011300 00192800 ..............(. + 1160: 03081c06 00001a2e 003a0b3b 0b03083f .........:.;...? + 1170: 0c270c40 0a2a0a11 01120100 001b2e01 .'.@.*.......... + 1180: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1190: 12010000 1c05003a 0b3b0b03 08491302 .......:.;...I.. + 11a0: 0a000000 01110103 081b0825 08130b42 ...........%...B + 11b0: 0b100600 00021500 270c0000 030f0049 ........'......I + 11c0: 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 11d0: 00000526 00491300 00061500 4913270c ...&.I......I.'. + 11e0: 00000713 0103080b 0b011300 00080d00 ................ + 11f0: 03084913 380a0000 09160003 08491300 ..I.8........I.. + 1200: 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 1210: 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 1220: 0b011300 000e2800 03081c0b 00000f01 ......(......... + 1230: 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 1240: 1117010b 0b011300 00121301 0b0b0113 ................ + 1250: 0000130d 00491338 0a000014 04010b0b .....I.8........ + 1260: 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 1270: 0b380a00 00160101 49130b05 01130000 .8......I....... + 1280: 17130103 080b0501 13000018 17010b05 ................ + 1290: 01130000 19280003 081c0600 001a3400 .....(........4. + 12a0: 03084913 020a0000 1b2e003a 0b3b0b03 ..I........:.;.. + 12b0: 083f0c27 0c400a2a 0a110112 0100001c .?.'.@.*........ + 12c0: 2e013a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 12d0: 11011201 01130000 1d05003a 0b3b0b03 ...........:.;.. + 12e0: 08491302 0a00001e 34000308 49130000 .I......4...I... + 12f0: 1f2e013a 0b3b0b03 083f0c27 0c400a2a ...:.;...?.'.@.* + 1300: 0a110112 01000000 01110103 081b0825 ...............% + 1310: 08130b42 0b100600 00021500 270c0000 ...B........'... + 1320: 030f0049 130b0b33 0b000004 24000308 ...I...3....$... + 1330: 3e0b0b0b 00000526 00491300 00061500 >......&.I...... + 1340: 4913270c 00000713 0103080b 0b011300 I.'............. + 1350: 00080d00 03084913 380a0000 09160003 ......I.8....... + 1360: 08491300 000a0f00 0b0b330b 00000b26 .I........3....& + 1370: 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1380: 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1390: 00000f01 0149130b 0b011300 00102100 .....I........!. + 13a0: 2f0b0000 1117010b 0b011300 00121301 /............... + 13b0: 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 13c0: 04010b0b 01130000 150d0003 0849130b .............I.. + 13d0: 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 13e0: 01130000 17130103 080b0501 13000018 ................ + 13f0: 17010b05 01130000 19280003 081c0600 .........(...... + 1400: 001a3400 03084913 020a3f0c 00001b2e ..4...I...?..... + 1410: 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 1420: 01120101 1300001c 05003a0b 3b0b0308 ..........:.;... + 1430: 4913020a 00001d34 00030849 1300001e I......4...I.... + 1440: 2e003a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 1450: 11011201 00001f2e 013a0b3b 0b03083f .........:.;...? + 1460: 0c270c40 0a2a0a11 01120100 00000111 .'.@.*.......... + 1470: 0103081b 08250813 0b420b10 06000002 .....%...B...... + 1480: 1500270c 0000030f 0049130b 0b330b00 ..'......I...3.. + 1490: 00042400 03083e0b 0b0b0000 05260049 ..$...>......&.I + 14a0: 13000006 15004913 270c0000 07130103 ......I.'....... + 14b0: 080b0b01 13000008 0d000308 4913380a ............I.8. + 14c0: 00000916 00030849 1300000a 0f000b0b .......I........ + 14d0: 330b0000 0b260000 000c1300 03080b0b 3....&.......... + 14e0: 3c0c0000 0d040103 080b0b01 1300000e <............... + 14f0: 28000308 1c0b0000 0f010149 130b0b01 (..........I.... + 1500: 13000010 21002f0b 00001117 010b0b01 ....!./......... + 1510: 13000012 13010b0b 01130000 130d0049 ...............I + 1520: 13380a00 00140401 0b0b0113 0000150d .8.............. + 1530: 00030849 130b0b0c 0b0d0b38 0a000016 ...I.......8.... + 1540: 01014913 0b050113 00001713 0103080b ..I............. + 1550: 05011300 00181701 0b050113 00001928 ...............( + 1560: 0003081c 0600001a 34000308 4913020a ........4...I... + 1570: 3f0c0000 1b2e003a 0b3b0b03 0849133f ?......:.;...I.? + 1580: 0c270c40 0a2a0a11 01120100 001c2e00 .'.@.*.......... + 1590: 3a0b3b0b 03083f0c 400a2a0a 11011201 :.;...?.@.*..... + 15a0: 00001d2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ + 15b0: 0a2a0a11 01120101 1300001e 05003a0b .*............:. + 15c0: 3b0b0308 4913020a 00001f2e 013a0b3b ;...I........:.; + 15d0: 0b03083f 0c400a2a 0a110112 01011300 ...?.@.*........ + 15e0: 00203400 03084913 020a0000 212e013a . 4...I.....!..: + 15f0: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1600: 01000000 01110103 081b0825 08130b42 ...........%...B + 1610: 0b100600 00021500 270c0000 030f0049 ........'......I + 1620: 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 1630: 00000526 00491300 00061500 4913270c ...&.I......I.'. + 1640: 00000713 0103080b 0b011300 00080d00 ................ + 1650: 03084913 380a0000 09160003 08491300 ..I.8........I.. + 1660: 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 1670: 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 1680: 0b011300 000e2800 03081c0b 00000f01 ......(......... + 1690: 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 16a0: 1117010b 0b011300 00121301 0b0b0113 ................ + 16b0: 0000130d 00491338 0a000014 04010b0b .....I.8........ + 16c0: 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 16d0: 0b380a00 00160101 49130b05 01130000 .8......I....... + 16e0: 17130103 080b0501 13000018 17010b05 ................ + 16f0: 01130000 19280003 081c0600 001a3400 .....(........4. + 1700: 03084913 020a0000 1b2e013a 0b3b0b03 ..I........:.;.. + 1710: 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 1720: 1300001c 34000308 49130000 1d2e013a ....4...I......: + 1730: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1740: 01011300 001e0500 3a0b3b0b 03084913 ........:.;...I. + 1750: 020a0000 1f2e003a 0b3b0b03 083f0c27 .......:.;...?.' + 1760: 0c400a2a 0a110112 01000020 2e003a0b .@.*....... ..:. + 1770: 3b0b0308 49133f0c 400a2a0a 11011201 ;...I.?.@.*..... + 1780: 0000212e 013a0b3b 0503083f 0c270c40 ..!..:.;...?.'.@ + 1790: 0a2a0a11 01120101 13000022 05003a0b .*........."..:. + 17a0: 3b050308 4913020a 0000232e 013a0b3b ;...I.....#..:.; + 17b0: 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ + 17c0: 00242e01 3a0b3b05 03083f0c 270c400a .$..:.;...?.'.@. + 17d0: 2a0a1101 12010000 00011101 03081b08 *............... + 17e0: 2508130b 420b1006 00000213 0103080b %...B........... + 17f0: 0b011300 00030d00 03084913 380a0000 ..........I.8... + 1800: 040f0049 130b0b33 0b000005 24000308 ...I...3....$... + 1810: 3e0b0b0b 00000616 00030849 13000007 >..........I.... + 1820: 01014913 0b0b0113 00000821 002f0b00 ..I........!./.. + 1830: 00091500 270c0000 0a150049 13270c00 ....'......I.'.. + 1840: 000b0f00 0b0b330b 00000c13 010b0b01 ......3......... + 1850: 1300000d 04010b0b 01130000 0e280003 .............(.. + 1860: 081c0b00 000f1701 0b0b0113 00001004 ................ + 1870: 0103080b 0b011300 00110d00 03084913 ..............I. + 1880: 0b0b0c0b 0d0b380a 00001201 0149130b ......8......I.. + 1890: 05011300 00131301 03080b05 01130000 ................ + 18a0: 1417010b 05011300 00152600 49130000 ..........&.I... + 18b0: 16260000 00171300 03080b0b 3c0c0000 .&..........<... + 18c0: 180d0049 13380a00 00192800 03081c06 ...I.8....(..... + 18d0: 00001a34 00030849 13020a3f 0c00001b ...4...I...?.... + 18e0: 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. + 18f0: 2a0a1101 12010113 00001c05 003a0b3b *............:.; + 1900: 0b030849 13020a00 001d2e01 3a0b3b0b ...I........:.;. + 1910: 03083f0c 270c400a 2a0a1101 12010113 ..?.'.@.*....... + 1920: 00001e34 00030849 1300001f 34000308 ...4...I....4... + 1930: 4913020a 0000202e 003a0b3b 0b03083f I..... ..:.;...? + 1940: 0c270c40 0a2a0a11 01120100 00212e01 .'.@.*.......!.. + 1950: 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1960: 12010113 00002205 003a0b3b 05030849 ......"..:.;...I + 1970: 13020a00 00232e01 3a0b3b05 03084913 .....#..:.;...I. + 1980: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1990: 242e013a 0b3b0503 083f0c27 0c400a2a $..:.;...?.'.@.* + 19a0: 0a110112 01000000 01110103 081b0825 ...............% + 19b0: 08130b42 0b100600 00021500 270c0000 ...B........'... + 19c0: 030f0049 130b0b33 0b000004 24000308 ...I...3....$... + 19d0: 3e0b0b0b 00000526 00491300 00061500 >......&.I...... + 19e0: 4913270c 00000713 0103080b 0b011300 I.'............. + 19f0: 00080d00 03084913 380a0000 09160003 ......I.8....... + 1a00: 08491300 000a0f00 0b0b330b 00000b26 .I........3....& + 1a10: 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1a20: 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1a30: 00000f01 0149130b 0b011300 00102100 .....I........!. + 1a40: 2f0b0000 1117010b 0b011300 00121301 /............... + 1a50: 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 1a60: 04010b0b 01130000 150d0003 0849130b .............I.. + 1a70: 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 1a80: 01130000 17130103 080b0501 13000018 ................ + 1a90: 17010b05 01130000 19280003 081c0600 .........(...... + 1aa0: 001a3400 03084913 020a3f0c 00001b35 ..4...I...?....5 + 1ab0: 00491300 001c2e01 3a0b3b0b 03084913 .I......:.;...I. + 1ac0: 400a2a0a 11011201 01130000 1d340003 @.*..........4.. + 1ad0: 08491300 001e2e01 3a0b3b0b 0308270c .I......:.;...'. + 1ae0: 400a2a0a 11011201 01130000 1f05003a @.*............: + 1af0: 0b3b0b03 08491302 0a000020 2e013a0b .;...I..... ..:. + 1b00: 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... + 1b10: 12010113 00002134 00030849 13020a00 ......!4...I.... + 1b20: 00222e01 3a0b3b0b 03083f0c 270c400a ."..:.;...?.'.@. + 1b30: 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; + 1b40: 0b030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... + 1b50: 13000024 2e013a0b 3b050308 3f0c270c ...$..:.;...?.'. + 1b60: 8b400c40 0a2a0a11 01120101 13000025 .@.@.*.........% + 1b70: 05003a0b 3b050308 4913020a 0000262e ..:.;...I.....&. + 1b80: 013a0b3b 05030849 133f0c27 0c8b400c .:.;...I.?.'..@. + 1b90: 400a2a0a 11011201 01130000 272e013a @.*.........'..: + 1ba0: 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1bb0: 01011300 00282e01 3a0b3b05 03084913 .....(..:.;...I. + 1bc0: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1bd0: 292e003a 0b3b0503 083f0c27 0c400a2a )..:.;...?.'.@.* + 1be0: 0a110112 01000000 01110103 081b0825 ...............% + 1bf0: 08130b42 0b100600 00022400 03083e0b ...B......$...>. + 1c00: 0b0b0000 03160003 08491300 00041301 .........I...... + 1c10: 03080b0b 01130000 050d0003 08491338 .............I.8 + 1c20: 0a000006 1500270c 0000070f 0049130b ......'......I.. + 1c30: 0b330b00 00082600 49130000 09150049 .3....&.I......I + 1c40: 13270c00 000a0f00 0b0b330b 00000b26 .'........3....& + 1c50: 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1c60: 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1c70: 00000f01 0149130b 0b011300 00102100 .....I........!. + 1c80: 2f0b0000 1117010b 0b011300 00121301 /............... + 1c90: 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 1ca0: 04010b0b 01130000 150d0003 0849130b .............I.. + 1cb0: 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 1cc0: 01130000 17130103 080b0501 13000018 ................ + 1cd0: 17010b05 01130000 19280003 081c0600 .........(...... + 1ce0: 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... + 1cf0: 0149133c 0c011300 001c2100 00001d35 .I.<......!....5 + 1d00: 00491300 001e2e00 3a0b3b05 0308270c .I......:.;...'. + 1d10: 400a2a0a 11011201 00001f2e 013a0b3b @.*..........:.; + 1d20: 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ + 1d30: 00203400 03084913 0000212e 013a0b3b . 4...I...!..:.; + 1d40: 05030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... + 1d50: 13000022 2e003a0b 3b050308 4913270c ..."..:.;...I.'. + 1d60: 400a2a0a 11011201 00002334 00030849 @.*.......#4...I + 1d70: 13020a00 00240500 3a0b3b05 03084913 .....$..:.;...I. + 1d80: 020a0000 252e013a 0b3b0503 083f0c27 ....%..:.;...?.' + 1d90: 0c400a2a 0a110112 01011300 00262e01 .@.*.........&.. + 1da0: 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1db0: 12010000 00011101 03081b08 2508130b ............%... + 1dc0: 420b0000 02240003 083e0b0b 0b000003 B....$...>...... + 1dd0: 16000308 49130000 04130103 080b0b01 ....I........... + 1de0: 13000005 0d000308 4913380a 00000601 ........I.8..... + 1df0: 0149133c 0c011300 00072100 00000826 .I.<......!....& + 1e00: 00491300 00093400 03084913 020a3f0c .I....4...I...?. + 1e10: 00000a21 002f0b00 00000111 0103081b ...!./.......... + 1e20: 08250813 0b420b10 06000002 24000308 .%...B......$... + 1e30: 3e0b0b0b 00000316 00030849 13000004 >..........I.... + 1e40: 13010308 0b0b0113 0000050d 00030849 ...............I + 1e50: 13380a00 00061500 270c0000 070f0049 .8......'......I + 1e60: 130b0b33 0b000008 26004913 00000915 ...3....&.I..... + 1e70: 00491327 0c00000a 0f000b0b 330b0000 .I.'........3... + 1e80: 0b260000 000c1300 03080b0b 3c0c0000 .&..........<... + 1e90: 0d040103 080b0b01 1300000e 28000308 ............(... + 1ea0: 1c0b0000 0f010149 130b0b01 13000010 .......I........ + 1eb0: 21002f0b 00001117 010b0b01 13000012 !./............. + 1ec0: 13010b0b 01130000 130d0049 13380a00 ...........I.8.. + 1ed0: 00140401 0b0b0113 0000150d 00030849 ...............I + 1ee0: 130b0b0c 0b0d0b38 0a000016 01014913 .......8......I. + 1ef0: 0b050113 00001713 0103080b 05011300 ................ + 1f00: 00181701 0b050113 00001928 0003081c ...........(.... + 1f10: 0600001a 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 1f20: 400a2a0a 11011201 01130000 1b05003a @.*............: + 1f30: 0b3b0b03 08491302 0a00001c 34000308 .;...I......4... + 1f40: 49130000 1d2e013a 0b3b0503 083f0c27 I......:.;...?.' + 1f50: 0c400a2a 0a110112 01000000 01110103 .@.*............ + 1f60: 081b0825 08130b42 0b100600 00021301 ...%...B........ + 1f70: 03080b0b 01130000 030d0003 08491338 .............I.8 + 1f80: 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ + 1f90: 0003083e 0b0b0b00 00061600 03084913 ...>..........I. + 1fa0: 00000701 0149130b 0b011300 00082100 .....I........!. + 1fb0: 2f0b0000 09150027 0c00000a 15004913 /......'......I. + 1fc0: 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. + 1fd0: 49130000 0d260000 000e1300 03080b0b I....&.......... + 1fe0: 3c0c0000 0f040103 080b0b01 13000010 <............... + 1ff0: 28000308 1c0b0000 1117010b 0b011300 (............... + 2000: 00121301 0b0b0113 0000130d 00491338 .............I.8 + 2010: 0a000014 04010b0b 01130000 150d0003 ................ + 2020: 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... + 2030: 49130b05 01130000 17130103 080b0501 I............... + 2040: 13000018 17010b05 01130000 19280003 .............(.. + 2050: 081c0600 001a2800 03081c05 00001b34 ......(........4 + 2060: 00030849 13020a3f 0c00001c 2e013a0b ...I...?......:. + 2070: 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... + 2080: 12010113 00001d05 003a0b3b 0b030849 .........:.;...I + 2090: 13020a00 001e2e01 3a0b3b0b 03083f0c ........:.;...?. + 20a0: 270c400a 2a0a1101 12010113 00001f34 '.@.*..........4 + 20b0: 00030849 13000020 2e013a0b 3b050308 ...I... ..:.;... + 20c0: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 20d0: 00002105 003a0b3b 05030849 13020a00 ..!..:.;...I.... + 20e0: 00222e01 3a0b3b05 03083f0c 270c400a ."..:.;...?.'.@. + 20f0: 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; + 2100: 0503083f 0c270c40 0a2a0a11 01120100 ...?.'.@.*...... + 2110: 00000111 0103081b 08250813 0b420b10 .........%...B.. + 2120: 06000002 13010308 0b0b0113 0000030d ................ + 2130: 00030849 13380a00 00040f00 49130b0b ...I.8......I... + 2140: 330b0000 05240003 083e0b0b 0b000006 3....$...>...... + 2150: 16000308 49130000 07010149 130b0b01 ....I......I.... + 2160: 13000008 21002f0b 00000915 00270c00 ....!./......'.. + 2170: 000a1500 4913270c 00000b0f 000b0b33 ....I.'........3 + 2180: 0b00000c 26004913 00000d26 0000000e ....&.I....&.... + 2190: 13000308 0b0b3c0c 00000f04 0103080b ......<......... + 21a0: 0b011300 00102800 03081c0b 00001117 ......(......... + 21b0: 010b0b01 13000012 13010b0b 01130000 ................ + 21c0: 130d0049 13380a00 00140401 0b0b0113 ...I.8.......... + 21d0: 0000150d 00030849 130b0b0c 0b0d0b38 .......I.......8 + 21e0: 0a000016 01014913 0b050113 00001713 ......I......... + 21f0: 0103080b 05011300 00181701 0b050113 ................ + 2200: 00001928 0003081c 0600001a 34000308 ...(........4... + 2210: 4913020a 3f0c0000 1b2e013a 0b3b0b03 I...?......:.;.. + 2220: 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 2230: 001c0500 3a0b3b0b 03084913 020a0000 ....:.;...I..... + 2240: 1d340003 08491300 001e2e01 3a0b3b0b .4...I......:.;. + 2250: 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... + 2260: 01130000 1f2e013a 0b3b0b03 083f0c27 .......:.;...?.' + 2270: 0c400a2a 0a110112 01000000 01110103 .@.*............ + 2280: 081b0825 08130b42 0b100600 00021301 ...%...B........ + 2290: 03080b0b 01130000 030d0003 08491338 .............I.8 + 22a0: 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ + 22b0: 0003083e 0b0b0b00 00061600 03084913 ...>..........I. + 22c0: 00000701 0149130b 0b011300 00082100 .....I........!. + 22d0: 2f0b0000 09150027 0c00000a 15004913 /......'......I. + 22e0: 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. + 22f0: 49130000 0d260000 000e1300 03080b0b I....&.......... + 2300: 3c0c0000 0f040103 080b0b01 13000010 <............... + 2310: 28000308 1c0b0000 1117010b 0b011300 (............... + 2320: 00121301 0b0b0113 0000130d 00491338 .............I.8 + 2330: 0a000014 04010b0b 01130000 150d0003 ................ + 2340: 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... + 2350: 49130b05 01130000 17130103 080b0501 I............... + 2360: 13000018 17010b05 01130000 19280003 .............(.. + 2370: 081c0600 001a3400 03084913 020a3f0c ......4...I...?. + 2380: 00001b2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ + 2390: 0a2a0a11 01120101 1300001c 05003a0b .*............:. + 23a0: 3b0b0308 4913020a 00001d34 00030849 ;...I......4...I + 23b0: 1300001e 2e013a0b 3b0b0308 49133f0c ......:.;...I.?. + 23c0: 400a2a0a 11011201 01130000 1f2e013a @.*............: + 23d0: 0b3b0b03 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. + 23e0: 01120101 13000020 2e013a0b 3b0b0308 ....... ..:.;... + 23f0: 3f0c270c 400a2a0a 11011201 00000001 ?.'.@.*......... + 2400: 11010308 1b082508 130b420b 10060000 ......%...B..... + 2410: 02150027 0c000003 0f004913 0b0b330b ...'......I...3. + 2420: 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. + 2430: 49130000 06150049 13270c00 00071301 I......I.'...... + 2440: 03080b0b 01130000 080d0003 08491338 .............I.8 + 2450: 0a000009 16000308 49130000 0a0f000b ........I....... + 2460: 0b330b00 000b2600 00000c13 0003080b .3....&......... + 2470: 0b3c0c00 000d0401 03080b0b 01130000 .<.............. + 2480: 0e280003 081c0b00 000f0101 49130b0b .(..........I... + 2490: 01130000 1021002f 0b000011 17010b0b .....!./........ + 24a0: 01130000 1213010b 0b011300 00130d00 ................ + 24b0: 4913380a 00001404 010b0b01 13000015 I.8............. + 24c0: 0d000308 49130b0b 0c0b0d0b 380a0000 ....I.......8... + 24d0: 16010149 130b0501 13000017 13010308 ...I............ + 24e0: 0b050113 00001817 010b0501 13000019 ................ + 24f0: 28000308 1c060000 1a2e013a 0b3b0503 (..........:.;.. + 2500: 08491327 0c8b400c 400a2a0a 11011201 .I.'..@.@.*..... + 2510: 01130000 1b05003a 0b3b0503 08491302 .......:.;...I.. + 2520: 0a00001c 2e013a0b 3b050308 270c8b40 ......:.;...'..@ + 2530: 0c400a2a 0a110112 01011300 001d2e01 .@.*............ + 2540: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 2550: 12010113 00001e05 003a0b3b 0b030849 .........:.;...I + 2560: 13020a00 001f2e01 3a0b3b0b 03084913 ........:.;...I. + 2570: 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... + 2580: 13000020 34000308 49130000 21340003 ... 4...I...!4.. + 2590: 08491302 0a000022 2e013a0b 3b0b0308 .I....."..:.;... + 25a0: 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... + 25b0: 13000023 2e013a0b 3b050308 3f0c270c ...#..:.;...?.'. + 25c0: 8b400c40 0a2a0a11 01120101 13000024 .@.@.*.........$ + 25d0: 2e013a0b 3b050308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 25e0: 11011201 01130000 252e013a 0b3b0503 ........%..:.;.. + 25f0: 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 2600: 13000026 2e013a0b 3b050308 3f0c270c ...&..:.;...?.'. + 2610: 400a2a0a 11011201 00000001 11010308 @.*............. + 2620: 1b082508 130b420b 10060000 02130103 ..%...B......... + 2630: 080b0b01 13000003 0d000308 4913380a ............I.8. + 2640: 0000040f 0049130b 0b330b00 00052400 .....I...3....$. + 2650: 03083e0b 0b0b0000 06160003 08491300 ..>..........I.. + 2660: 00070101 49130b0b 01130000 0821002f ....I........!./ + 2670: 0b000009 1500270c 00000a15 00491327 ......'......I.' + 2680: 0c00000b 0f000b0b 330b0000 0c260049 ........3....&.I + 2690: 1300000d 26000000 0e130003 080b0b3c ....&..........< + 26a0: 0c00000f 04010308 0b0b0113 00001028 ...............( + 26b0: 0003081c 0b000011 17010b0b 01130000 ................ + 26c0: 1213010b 0b011300 00130d00 4913380a ............I.8. + 26d0: 00001404 010b0b01 13000015 0d000308 ................ + 26e0: 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I + 26f0: 130b0501 13000017 13010308 0b050113 ................ + 2700: 00001817 010b0501 13000019 28000308 ............(... + 2710: 1c060000 1a2e003a 0b3b0b03 083f0c40 .......:.;...?.@ + 2720: 0a2a0a11 01120100 001b2e01 3a0b3b0b .*..........:.;. + 2730: 03083f0c 270c8b40 0c400a2a 0a110112 ..?.'..@.@.*.... + 2740: 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. + 2750: 020a0000 1d340003 08491300 001e2e01 .....4...I...... + 2760: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 2770: 12010113 00001f2e 013a0b3b 0b030827 .........:.;...' + 2780: 0c400a2a 0a110112 01011300 00202e01 .@.*......... .. + 2790: 3a0b3b0b 0308270c 8b400c40 0a2a0a11 :.;...'..@.@.*.. + 27a0: 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... + 27b0: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 27c0: 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' + 27d0: 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. + 27e0: 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: + 27f0: 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 2800: 01011300 00252e01 3a0b3b05 0308270c .....%..:.;...'. + 2810: 400a2a0a 11011201 00000001 11010308 @.*............. + 2820: 1b082508 130b420b 10060000 02240003 ..%...B......$.. + 2830: 083e0b0b 0b000003 16000308 49130000 .>..........I... + 2840: 04010149 130b0b01 13000005 21002f0b ...I........!./. + 2850: 00000617 010b0b01 13000007 0d000308 ................ + 2860: 4913380a 00000813 010b0b01 13000009 I.8............. + 2870: 13010308 0b0b0113 00000a0f 0049130b .............I.. + 2880: 0b330b00 000b0f00 0b0b330b 00000c13 .3........3..... + 2890: 0103080b 05011300 000d1500 270c0000 ............'... + 28a0: 0e150049 13270c00 000f2600 49130000 ...I.'....&.I... + 28b0: 10010149 130b0501 13000011 26000000 ...I........&... + 28c0: 12130003 080b0b3c 0c000013 04010308 .......<........ + 28d0: 0b0b0113 00001428 0003081c 0b000015 .......(........ + 28e0: 0d004913 380a0000 1604010b 0b011300 ..I.8........... + 28f0: 00170d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + 2900: 00001817 010b0501 13000019 28000308 ............(... + 2910: 1c060000 1a2e013a 0b3b0b03 0849133f .......:.;...I.? + 2920: 0c270c40 0a2a0a11 01120101 1300001b .'.@.*.......... + 2930: 05003a0b 3b0b0308 4913020a 00001c34 ..:.;...I......4 + 2940: 00030849 1300001d 2e013a0b 3b0b0308 ...I......:.;... + 2950: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 2960: 1e340003 08491302 0a00001f 2e013a0b .4...I........:. + 2970: 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 2980: 00000001 11010308 1b082508 130b420b ..........%...B. + 2990: 10060000 02150027 0c000003 0f004913 .......'......I. + 29a0: 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... + 29b0: 00052600 49130000 06150049 13270c00 ..&.I......I.'.. + 29c0: 00071301 03080b0b 01130000 080d0003 ................ + 29d0: 08491338 0a000009 16000308 49130000 .I.8........I... + 29e0: 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... + 29f0: 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... + 2a00: 01130000 0e280003 081c0b00 000f0101 .....(.......... + 2a10: 49130b0b 01130000 1021002f 0b000011 I........!./.... + 2a20: 17010b0b 01130000 1213010b 0b011300 ................ + 2a30: 00130d00 4913380a 00001404 010b0b01 ....I.8......... + 2a40: 13000015 0d000308 49130b0b 0c0b0d0b ........I....... + 2a50: 380a0000 16010149 130b0501 13000017 8......I........ + 2a60: 13010308 0b050113 00001817 010b0501 ................ + 2a70: 13000019 28000308 1c060000 1a340003 ....(........4.. + 2a80: 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. + 2a90: 03084913 270c400a 2a0a1101 12010113 ..I.'.@.*....... + 2aa0: 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... + 2ab0: 001d2e01 3a0b3b0b 03084913 3f0c400a ....:.;...I.?.@. + 2ac0: 2a0a1101 12010113 00001e34 00030849 *..........4...I + 2ad0: 13020a00 001f3400 03084913 0000202e ......4...I... . + 2ae0: 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 2af0: 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... + 2b00: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 2b10: 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' + 2b20: 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. + 2b30: 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: + 2b40: 0b3b0503 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. + 2b50: 01120100 00000111 0103081b 08250813 .............%.. + 2b60: 0b420b10 06000002 1500270c 0000030f .B........'..... + 2b70: 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. + 2b80: 0b0b0000 05260049 13000006 15004913 .....&.I......I. + 2b90: 270c0000 07130103 080b0b01 13000008 '............... + 2ba0: 0d000308 4913380a 00000916 00030849 ....I.8........I + 2bb0: 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. + 2bc0: 000c1300 03080b0b 3c0c0000 0d040103 ........<....... + 2bd0: 080b0b01 1300000e 28000308 1c0b0000 ........(....... + 2be0: 0f010149 130b0b01 13000010 21002f0b ...I........!./. + 2bf0: 00001117 010b0b01 13000012 13010b0b ................ + 2c00: 01130000 130d0049 13380a00 00140401 .......I.8...... + 2c10: 0b0b0113 0000150d 00030849 130b0b0c ...........I.... + 2c20: 0b0d0b38 0a000016 01014913 0b050113 ...8......I..... + 2c30: 00001713 0103080b 05011300 00181701 ................ + 2c40: 0b050113 00001928 0003081c 0600001a .......(........ + 2c50: 34000308 4913020a 3f0c0000 1b2e013a 4...I...?......: + 2c60: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 2c70: 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. + 2c80: 020a0000 1d2e013a 0b3b0b03 0849133f .......:.;...I.? + 2c90: 0c270c40 0a2a0a11 01120101 1300001e .'.@.*.......... + 2ca0: 34000308 49130000 1f2e013a 0b3b0b03 4...I......:.;.. + 2cb0: 083f0c27 0c8b400c 400a2a0a 11011201 .?.'..@.@.*..... + 2cc0: 01130000 202e013a 0b3b0b03 083f0c27 .... ..:.;...?.' + 2cd0: 0c400a2a 0a110112 01000000 01110103 .@.*............ + 2ce0: 081b0825 08130b42 0b100600 00022400 ...%...B......$. + 2cf0: 03083e0b 0b0b0000 03010149 130b0b01 ..>........I.... + 2d00: 13000004 21002f0b 00000513 010b0b01 ....!./......... + 2d10: 13000006 0d000308 4913380a 00000715 ........I.8..... + 2d20: 00270c00 00081600 03084913 0000090f .'........I..... + 2d30: 0049130b 0b330b00 000a0f00 0b0b330b .I...3........3. + 2d40: 00000b13 0103080b 0b011300 000c1500 ................ + 2d50: 4913270c 00000d01 0149133c 0c011300 I.'......I.<.... + 2d60: 000e2100 00000f2e 013a0b3b 0b030849 ..!......:.;...I + 2d70: 133f0c27 0c400a2a 0a110112 01000010 .?.'.@.*........ + 2d80: 05003a0b 3b0b0308 4913020a 00001134 ..:.;...I......4 + 2d90: 00030849 13000000 01110010 06110112 ...I............ + 2da0: 0103081b 08250813 05000000 01110010 .....%.......... + 2db0: 06110112 0103081b 08250813 05000000 .........%...... + 2dc0: 01110010 06110112 0103081b 08250813 .............%.. + 2dd0: 05000000 01110103 081b0825 08130b42 ...........%...B + 2de0: 0b000002 24000308 3e0b0b0b 00000326 ....$...>......& + 2df0: 00491300 00043400 03084913 020a3f0c .I....4...I...?. + 2e00: 0000050f 0049130b 0b330b00 00060101 .....I...3...... + 2e10: 49130b0b 01130000 0721002f 0b000000 I........!./.... + 2e20: 01110010 06110112 0103081b 08250813 .............%.. + 2e30: 05000000 01110010 06110112 0103081b ................ + 2e40: 08250813 05000000 01110010 06110112 .%.............. + 2e50: 0103081b 08250813 05000000 01110010 .....%.......... + 2e60: 06110112 0103081b 08250813 05000000 .........%...... + 2e70: 01110103 081b0825 08130b42 0b000002 .......%...B.... + 2e80: 24000308 3e0b0b0b 00000301 0149130b $...>........I.. + 2e90: 0b011300 00042100 2f0b0000 05010149 ......!./......I + 2ea0: 130b0501 13000006 13010308 0b050113 ................ + 2eb0: 0000070d 00030849 13380a00 00082600 .......I.8....&. + 2ec0: 49130000 09340003 08491302 0a3f0c00 I....4...I...?.. + 2ed0: 00000111 00100611 01120103 081b0825 ...............% + 2ee0: 08130500 00000111 00100611 01120103 ................ + 2ef0: 081b0825 08130500 00000111 00100611 ...%............ + 2f00: 01120103 081b0825 08130500 00000111 .......%........ + 2f10: 00100611 01120103 081b0825 08130500 ...........%.... + 2f20: 00000111 0103081b 08250813 0b420b10 .........%...B.. + 2f30: 06000002 24000308 3e0b0b0b 00000316 ....$...>....... + 2f40: 00030849 13000004 01014913 0b0b0113 ...I......I..... + 2f50: 00000521 002f0b00 00061701 0b0b0113 ...!./.......... + 2f60: 0000070d 00030849 13380a00 00081301 .......I.8...... + 2f70: 0b0b0113 00000913 0103080b 0b011300 ................ + 2f80: 000a0f00 49130b0b 330b0000 0b0f000b ....I...3....... + 2f90: 0b330b00 000c1301 03080b05 01130000 .3.............. + 2fa0: 0d150027 0c00000e 15004913 270c0000 ...'......I.'... + 2fb0: 0f260049 13000010 01014913 0b050113 .&.I......I..... + 2fc0: 00001126 00000012 2e013a0b 3b0b0308 ...&......:.;... + 2fd0: 49133f0c 270c400a 2a0a1101 12010000 I.?.'.@.*....... + 2fe0: 1305003a 0b3b0b03 08491302 0a000014 ...:.;...I...... + 2ff0: 34000308 49130000 00011100 10061101 4...I........... + 3000: 12010308 1b082508 13050000 00011101 ......%......... + 3010: 03081b08 2508130b 420b1006 00000224 ....%...B......$ + 3020: 0003083e 0b0b0b00 00031600 03084913 ...>..........I. + 3030: 00000401 0149130b 0b011300 00052100 .....I........!. + 3040: 2f0b0000 0617010b 0b011300 00070d00 /............... + 3050: 03084913 380a0000 0813010b 0b011300 ..I.8........... + 3060: 00091301 03080b0b 01130000 0a0f0049 ...............I + 3070: 130b0b33 0b00000b 0f000b0b 330b0000 ...3........3... + 3080: 0c130103 080b0501 1300000d 1500270c ..............'. + 3090: 00000e15 00491327 0c00000f 26004913 .....I.'....&.I. + 30a0: 00001001 0149130b 05011300 00112600 .....I........&. + 30b0: 0000122e 013a0b3b 0b030849 133f0c27 .....:.;...I.?.' + 30c0: 0c400a2a 0a110112 01000013 05003a0b .@.*..........:. + 30d0: 3b0b0308 4913020a 00001434 00030849 ;...I......4...I + 30e0: 13000000 01110010 06110112 0103081b ................ + 30f0: 08250813 05000000 01110010 06110112 .%.............. + 3100: 0103081b 08250813 05000000 01110010 .....%.......... + 3110: 06110112 0103081b 08250813 05000000 .........%...... + 3120: 01110010 06110112 0103081b 08250813 .............%.. + 3130: 05000000 01110103 081b0825 08130b42 ...........%...B + 3140: 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... + 3150: 03160003 08491300 00040101 49130b0b .....I......I... + 3160: 01130000 0521002f 0b000006 17010b0b .....!./........ + 3170: 01130000 070d0003 08491338 0a000008 .........I.8.... + 3180: 13010b0b 01130000 09130103 080b0b01 ................ + 3190: 1300000a 0f004913 0b0b330b 00000b0f ......I...3..... + 31a0: 000b0b33 0b00000c 13010308 0b050113 ...3............ + 31b0: 00000d15 00270c00 000e1500 4913270c .....'......I.'. + 31c0: 00000f26 00491300 00100101 49130b05 ...&.I......I... + 31d0: 01130000 112e013a 0b3b0b03 0849133f .......:.;...I.? + 31e0: 0c270c40 0a2a0a11 01120100 00120500 .'.@.*.......... + 31f0: 3a0b3b0b 03084913 020a0000 13340003 :.;...I......4.. + 3200: 08491300 00000111 00100611 01120103 .I.............. + 3210: 081b0825 08130500 0000610c ...%...... +Disassembly of section .debug_line: + +00000000 <.debug_line>: + 0: 00000083 00020000 001f0101 fb0e0a00 ................ + 10: 01010101 00000001 00637274 312d7469 .........crt1-ti + 20: 6e792e53 00000000 00000502 008e1000 ny.S............ + 30: 03300103 01090003 01030609 00030103 .0.............. + 40: 02090003 01030109 00030103 09090003 ................ + 50: 01030109 00030103 01090005 01030109 ................ + 60: 00030103 01090002 01030109 00020103 ................ + 70: 07090003 01030a09 00030103 02090003 ................ + 80: 01090003 00010100 00003e00 02000000 ..........>..... + 90: 220101fb 0e0a0001 01010100 00000100 "............... + a0: 64656275 672d7665 63746f72 2e530000 debug-vector.S.. + b0: 00000000 0502008e 0c200329 01030209 ......... .).... + c0: 00030109 00030001 01000000 3f000200 ............?... + d0: 00002301 01fb0e0a 00010101 01000000 ..#............. + e0: 0100646f 75626c65 2d766563 746f722e ..double-vector. + f0: 53000000 00000005 02008e0f 20032e01 S........... ... + 100: 03080900 03010900 03000101 0000003f ...............? + 110: 00020000 00230101 fb0e0a00 01010101 .....#.......... + 120: 00000001 006b6572 6e656c2d 76656374 .....kernel-vect + 130: 6f722e53 00000000 00000502 008e0d40 or.S...........@ + 140: 032a0103 08090003 01090003 00010100 .*.............. + 150: 0001a600 02000000 a20101fb 0e0a0001 ................ + 160: 01010100 0000012f 6361642f 74656e73 ......./cad/tens + 170: 696c6963 612f746f 6f6c732f 52422d32 ilica/tools/RB-2 + 180: 3030372e 322f5874 44657654 6f6f6c73 007.2/XtDevTools + 190: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 1a0: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 1b0: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 1c0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 1d0: 656e7361 00007265 7365742d 76656374 ensa..reset-vect + 1e0: 6f722e53 00000000 63616368 65617474 or.S....cacheatt + 1f0: 7261736d 2e680001 00000000 0502008e rasm.h.......... + 200: 00000337 01031109 00200103 03090002 ...7..... ...... + 210: 01033409 00030103 01090003 01030409 ..4............. + 220: 00030104 02031c09 00030103 eb010900 ................ + 230: 0301038d 7f09001f 01031709 00130103 ................ + 240: dc000900 0f01034b 09001101 040103d5 .......K........ + 250: 7e09000c 01030109 00030103 01090002 ~............... + 260: 01030109 00020103 01090002 01030109 ................ + 270: 00020103 01090003 01030109 00030103 ................ + 280: 01090002 01030109 00020103 01090002 ................ + 290: 01030109 00020103 01090003 01030109 ................ + 2a0: 00050103 01090003 0103c400 09000301 ................ + 2b0: 03010900 03010301 09000301 030a0900 ................ + 2c0: 0301030f 09000301 03010900 02010304 ................ + 2d0: 09000301 03010900 03010301 09000301 ................ + 2e0: 03c10009 00020103 01090006 01031209 ................ + 2f0: 00060109 00030001 01000000 67000200 ............g... + 300: 00002101 01fb0e0a 00010101 01000000 ..!............. + 310: 01007573 65722d76 6563746f 722e5300 ..user-vector.S. + 320: 00000000 00050200 8e0e2003 18010301 .......... ..... + 330: 09000301 03010900 02010301 09000201 ................ + 340: 03010900 03010302 09000301 03010900 ................ + 350: 03010301 09000201 03010900 02010900 ................ + 360: 03000101 00000036 00020000 00200101 .......6..... .. + 370: fb0e0a00 01010101 00000001 006e6d69 .............nmi + 380: 2d766563 746f722e 53000000 00000005 -vector.S....... + 390: 02008e0c e4032701 09000300 01010000 ......'......... + 3a0: 01910002 00000024 0101fb0e 0a000101 .......$........ + 3b0: 01010000 00010077 696e646f 772d7665 .......window-ve + 3c0: 63746f72 732e5300 00000000 00050200 ctors.S......... + 3d0: 8e080003 e8000103 01090003 01030109 ................ + 3e0: 00030103 01090003 01030209 00030103 ................ + 3f0: 17090034 01030109 00030103 01090003 ...4............ + 400: 01030109 00030103 02090003 01031f09 ................ + 410: 00340103 01090003 01030109 00030103 .4.............. + 420: 01090003 01030109 00030103 01090003 ................ + 430: 01030109 00030103 01090003 01030109 ................ + 440: 00030103 02090003 01031f09 00250103 .............%.. + 450: 01090003 01030109 00030103 01090003 ................ + 460: 01030109 00030103 01090003 01030109 ................ + 470: 00030103 01090003 01030109 00030103 ................ + 480: 02090003 01032309 00250103 01090003 ......#..%...... + 490: 01030109 00030103 01090003 01030109 ................ + 4a0: 00030103 01090003 01030109 00030103 ................ + 4b0: 01090003 01030109 00030103 01090003 ................ + 4c0: 01030109 00030103 01090003 01030109 ................ + 4d0: 00030103 02090003 01032309 00190103 ..........#..... + 4e0: 01090003 01030109 00030103 01090003 ................ + 4f0: 01030109 00030103 01090003 01030109 ................ + 500: 00030103 01090003 01030109 00030103 ................ + 510: 01090003 01030109 00030103 01090003 ................ + 520: 01030109 00030103 02090003 01090003 ................ + 530: 00010100 00003c00 02000000 200101fb ......<..... ... + 540: 0e0a0001 01010100 00000100 696e742d ............int- + 550: 76656374 6f722e53 00000000 00000502 vector.S........ + 560: 008e0a20 03240103 01090003 01090003 ... .$.......... + 570: 00010100 00003c00 02000000 200101fb ......<..... ... + 580: 0e0a0001 01010100 00000100 696e742d ............int- + 590: 76656374 6f722e53 00000000 00000502 vector.S........ + 5a0: 008e0b20 03240103 01090003 01090003 ... .$.......... + 5b0: 00010100 0001e300 02000000 280101fb ............(... + 5c0: 0e0a0001 01010100 00000100 6578632d ............exc- + 5d0: 616c6c6f 63612d68 616e646c 65722e53 alloca-handler.S + 5e0: 00000000 00000502 008e102c 03e40001 ...........,.... + 5f0: 03010900 03010301 09000201 03070900 ................ + 600: 02010301 09000301 03010900 03010301 ................ + 610: 09000301 03010900 03010301 09000301 ................ + 620: 03010900 03010302 09000301 03080900 ................ + 630: 03010301 09000201 03010900 03010301 ................ + 640: 09000301 03010900 02010303 09000301 ................ + 650: 03010900 03010301 09000301 03060900 ................ + 660: 03010303 09000301 03010900 03010301 ................ + 670: 09000201 03030900 0301030d 09000301 ................ + 680: 03030900 05010301 09000401 03010900 ................ + 690: 04010301 09000401 03010900 04010301 ................ + 6a0: 09000401 03010900 04010301 09000401 ................ + 6b0: 03010900 04010301 09000401 03010900 ................ + 6c0: 04010301 09000401 03010900 04010301 ................ + 6d0: 09000401 03010900 04010301 09000401 ................ + 6e0: 03070900 02010301 09000301 03050900 ................ + 6f0: 02010302 09000301 03050900 03010305 ................ + 700: 09000301 03010900 03010301 09000301 ................ + 710: 03030900 03010301 09000301 03010900 ................ + 720: 03010301 09000301 03010900 02010301 ................ + 730: 09000201 03010900 03010301 09000301 ................ + 740: 03010900 02010305 09000201 03010900 ................ + 750: 03010301 09000301 03010900 03010301 ................ + 760: 09000301 03010900 03010301 09000301 ................ + 770: 03010900 03010310 09000301 03010900 ................ + 780: 02010301 09000201 03010900 02010301 ................ + 790: 09000201 09000300 01010000 01360002 .............6.. + 7a0: 00000029 0101fb0e 0a000101 01010000 ...)............ + 7b0: 00010065 78632d73 79736361 6c6c2d68 ...exc-syscall-h + 7c0: 616e646c 65722e53 00000000 00000502 andler.S........ + 7d0: 008e1104 03c90001 03060900 03010301 ................ + 7e0: 09000301 03010900 02010301 09000301 ................ + 7f0: 03010900 03010301 09000201 03010900 ................ + 800: 02010301 09000301 03010900 03010306 ................ + 810: 09000201 03010900 03010304 09000201 ................ + 820: 03070900 03010301 09000301 03010900 ................ + 830: 03010302 09000301 03010900 03010301 ................ + 840: 09000301 03020900 03010301 09000301 ................ + 850: 03010900 03010307 09000301 03060900 ................ + 860: 02010302 09000301 03010900 03010301 ................ + 870: 09000301 03010900 02010303 09000201 ................ + 880: 03010900 03010301 09000201 03010900 ................ + 890: 02010301 09000301 03010900 03010301 ................ + 8a0: 09000201 03010900 02010306 09000301 ................ + 8b0: 03020900 0301030b 09000201 03050900 ................ + 8c0: 02010301 09000201 03010900 03010900 ................ + 8d0: 03000101 00000100 00020000 002b0101 .............+.. + 8e0: fb0e0a00 01010101 00000001 00696e74 .............int + 8f0: 2d6c6f77 7072692d 64697370 61746368 -lowpri-dispatch + 900: 65722e53 00000000 00000502 008e1174 er.S...........t + 910: 03c40001 03100900 02010302 09000301 ................ + 920: 03010900 0301030a 09000301 03010900 ................ + 930: 020103c7 00090002 01030209 00030103 ................ + 940: 02090003 0103d300 09000301 03010900 ................ + 950: 03010308 09000301 03310900 0301031e .........1...... + 960: 09000301 03030900 02010302 09000301 ................ + 970: 03cc0109 00030103 0209000f 01030509 ................ + 980: 00030103 01090002 01030809 00020103 ................ + 990: 01090002 01030109 00020103 04090003 ................ + 9a0: 01030209 000f0103 ea000900 02010308 ................ + 9b0: 09000301 03010900 03010301 09000301 ................ + 9c0: 03010900 03010303 09000301 03030900 ................ + 9d0: 03010900 02000101 000002d4 00020000 ................ + 9e0: 02880101 fb0e0a00 01010101 00000001 ................ + 9f0: 2f666f6c 6b732f72 6873752f 70726f6a /folks/rhsu/proj + a00: 6563742f 7034762f 70657266 6f726365 ect/p4v/perforce + a10: 2f70305f 636f7265 2f70726f 6a656374 /p0_core/project + a20: 2f737263 2f726f6d 2f6f732f 7372632f /src/rom/os/src/ + a30: 78746f73 002f6361 642f7465 6e73696c xtos./cad/tensil + a40: 6963612f 746f6f6c 732f5242 2d323030 ica/tools/RB-200 + a50: 372e322f 58744465 76546f6f 6c732f69 7.2/XtDevTools/i + a60: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + a70: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + a80: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + a90: 656c662f 696e636c 7564652f 7874656e elf/include/xten + aa0: 73612f63 6f6e6669 67002f63 61642f74 sa/config./cad/t + ab0: 656e7369 6c696361 2f746f6f 6c732f52 ensilica/tools/R + ac0: 422d3230 30372e32 2f587444 6576546f B-2007.2/XtDevTo + ad0: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + ae0: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + af0: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + b00: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + b10: 2f787465 6e736100 2f747265 65732f62 /xtensa./trees/b + b20: 79616e67 2f4d6167 7069655f 50302f63 yang/Magpie_P0/c + b30: 61642f61 7468722f 69702f74 656e7369 ad/athr/ip/tensi + b40: 6c696361 2f6d6167 7069655f 76315f30 lica/magpie_v1_0 + b50: 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + b60: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + b70: 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + b80: 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + b90: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + ba0: 00696e74 2d736574 68616e64 6c65722e .int-sethandler. + bb0: 63000100 00636f72 652e6800 02000068 c....core.h....h + bc0: 616c2e68 00030000 636f7265 2d697361 al.h....core-isa + bd0: 2e680004 0000636f 72652d6d 61746d61 .h....core-matma + be0: 702e6800 04000074 69652e68 00040000 p.h....tie.h.... + bf0: 73706563 7265672e 68000400 00636f72 specreg.h....cor + c00: 65626974 732e6800 03000078 746f732d ebits.h....xtos- + c10: 696e7465 726e616c 2e680001 00007874 internal.h....xt + c20: 72756e74 696d652e 68000300 00787472 runtime.h....xtr + c30: 756e7469 6d652d66 72616d65 732e6800 untime-frames.h. + c40: 03000078 74656e73 612d7665 7273696f ...xtensa-versio + c50: 6e732e68 00030000 78746f73 2d706172 ns.h....xtos-par + c60: 616d732e 68000100 00000005 02008e11 ams.h........... + c70: e4031e01 03050900 03010302 09000501 ................ + c80: 03030900 0e010308 09000e01 03000900 ................ + c90: 10010300 09001001 03000900 04010308 ................ + ca0: 09000401 03010900 03010900 0d000101 ................ + cb0: 0000016d 00020000 002b0101 fb0e0a00 ...m.....+...... + cc0: 01010101 00000001 00696e74 2d6d6564 .........int-med + cd0: 7072692d 64697370 61746368 65722e53 pri-dispatcher.S + ce0: 00000000 00000502 008e1240 03340103 ...........@.4.. + cf0: 04090003 01030109 00030103 04090002 ................ + d00: 01030209 00030103 01090002 01030109 ................ + d10: 00020103 01090003 01031109 00030103 ................ + d20: 01090002 01030109 00030103 07090003 ................ + d30: 01032409 00030103 01090003 01030109 ..$............. + d40: 00030103 01090003 01030109 00030103 ................ + d50: 01090003 01030109 00030103 01090003 ................ + d60: 01030109 00020103 05090011 01030109 ................ + d70: 00030103 01090003 01030109 00030103 ................ + d80: 01090003 01030109 00080103 01090006 ................ + d90: 01030109 00030103 06090002 01030109 ................ + da0: 00030103 01090003 01030209 00030103 ................ + db0: 01090003 01030109 00030103 01090003 ................ + dc0: 01030109 00030103 01090003 01030609 ................ + dd0: 00030103 0109000f 01031909 00030103 ................ + de0: 01090003 01030109 00030103 01090003 ................ + df0: 01030109 00030103 06090003 01030209 ................ + e00: 00030103 02090002 01030109 00020103 ................ + e10: 01090002 01030109 00020109 00030001 ................ + e20: 01000001 67000200 00002b01 01fb0e0a ....g.....+..... + e30: 00010101 01000000 0100696e 742d6d65 ..........int-me + e40: 64707269 2d646973 70617463 6865722e dpri-dispatcher. + e50: 53000000 00000005 02008e12 f4033401 S.............4. + e60: 03040900 03010301 09000301 03040900 ................ + e70: 02010302 09000301 03010900 02010301 ................ + e80: 09000201 03010900 03010311 09000301 ................ + e90: 03010900 02010301 09000301 03070900 ................ + ea0: 03010324 09000301 03010900 03010301 ...$............ + eb0: 09000301 03010900 03010301 09000301 ................ + ec0: 03010900 03010301 09000301 03010900 ................ + ed0: 03010301 09000201 03050900 11010301 ................ + ee0: 09000301 03010900 03010301 09000301 ................ + ef0: 03010900 03010301 09000801 03010900 ................ + f00: 06010301 09000301 03060900 02010301 ................ + f10: 09000301 03010900 03010302 09000301 ................ + f20: 03010900 03010301 09000301 03010900 ................ + f30: 03010301 09000301 03010900 03010306 ................ + f40: 09000301 03010900 0f010319 09000301 ................ + f50: 03010900 03010301 09000301 03010900 ................ + f60: 03010301 09000301 03080900 03010302 ................ + f70: 09000201 03010900 02010301 09000201 ................ + f80: 03010900 02010900 03000101 00000048 ...............H + f90: 00020000 00250101 fb0e0a00 01010101 .....%.......... + fa0: 00000001 00696e74 65727275 70742d74 .....interrupt-t + fb0: 61626c65 2e530000 00000000 0502008e able.S.......... + fc0: 692003e5 00010306 09000301 03070900 i .............. + fd0: 03010900 02000101 00000051 00020000 ...........Q.... + fe0: 00230101 fb0e0a00 01010101 00000001 .#.............. + ff0: 00657863 2d756e68 616e646c 65642e53 .exc-unhandled.S + 1000: 00000000 00000502 008e6928 03300103 ..........i(.0.. + 1010: 01090002 01030209 00020103 02090003 ................ + 1020: 01030709 00030109 00030001 01000000 ................ + 1030: 6c000200 00002001 01fb0e0a 00010101 l..... ......... + 1040: 01000000 01006578 632d7265 7475726e ......exc-return + 1050: 2e530000 00000000 0502008e 6938033c .S..........i8.< + 1060: 01030109 00020103 01090002 01030909 ................ + 1070: 00030103 01090002 01030109 00020103 ................ + 1080: 0e090003 01030809 00030103 01090002 ................ + 1090: 01030209 00020109 00030001 01000015 ................ + 10a0: 5e000200 000da401 01fb0e0a 00010101 ^............... + 10b0: 01000000 012f726f 6f742f57 6f726b73 ...../root/Works + 10c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 10d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 10e0: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 10f0: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 1100: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1110: 6f6d2f61 74686f73 2f737263 002f726f om/athos/src./ro + 1120: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1130: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1140: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 1150: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1160: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1170: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 1180: 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ + 1190: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 11a0: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 11b0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 11c0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 11d0: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 11e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 11f0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 1200: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1210: 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o + 1220: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 1230: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 1240: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 1250: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 1260: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 1270: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 1280: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 1290: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 12a0: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 12b0: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 12c0: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 12d0: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 12e0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 12f0: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 1300: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 1310: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 1320: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 1330: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 1340: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1350: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 1360: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1370: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 1380: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1390: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 13a0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 13b0: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 13c0: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 13d0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 13e0: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 13f0: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 1400: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1410: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1420: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 1430: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 1440: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1450: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1460: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 1470: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 1480: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1490: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 14a0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 14b0: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 14c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 14d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 14e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14f0: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 1500: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1510: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1520: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 1530: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 1540: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 1550: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1560: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1570: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 1580: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 1590: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15a0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 15b0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 15c0: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 15d0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 15e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 15f0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1600: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 1610: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 1620: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1630: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1640: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 1650: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 1660: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1670: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1680: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 1690: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 16a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 16b0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 16c0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 16d0: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 16e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1700: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1710: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 1720: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1730: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 1740: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 1750: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1760: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 1770: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 1780: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1790: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 17a0: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 17b0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 17c0: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 17d0: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 17e0: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 17f0: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 1800: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1810: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1820: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 1830: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 1840: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1850: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 1860: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 1870: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1880: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1890: 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 18a0: 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 18b0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18c0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18d0: 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 18e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 18f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1900: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 1910: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 1920: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1930: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 1940: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 1950: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1960: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 1970: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 1980: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1990: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 19a0: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 19b0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 19c0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 19d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 19e0: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 19f0: 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + 1a00: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 1a10: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 1a20: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 1a30: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 1a40: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 1a50: 612f7469 65002f72 6f6f742f 576f726b a/tie./root/Work + 1a60: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1a70: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1a80: 5f315f31 2f726f6d 2f667764 00006174 _1_1/rom/fwd..at + 1a90: 686f735f 6d61696e 2e630001 00007379 hos_main.c....sy + 1aa0: 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c + 1ab0: 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m + 1ac0: 656d2e68 00020000 6174686f 735f6170 em.h....athos_ap + 1ad0: 692e6800 0200006f 73617069 2e680004 i.h....osapi.h.. + 1ae0: 00004f54 55535f73 6f632e68 00050000 ..OTUS_soc.h.... + 1af0: 64745f64 6566732e 68000400 00636d6e dt_defs.h....cmn + 1b00: 6f735f61 70692e68 00060000 636f7265 os_api.h....core + 1b10: 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 1b20: 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 1b30: 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 1b40: 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 1b50: 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 1b60: 09000063 6f726562 6974732e 68000800 ...corebits.h... + 1b70: 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 1b80: 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 1b90: 65675f64 6566732e 68000300 00646267 eg_defs.h....dbg + 1ba0: 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 1bb0: 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 1bc0: 68000e00 00737472 696e675f 6170692e h....string_api. + 1bd0: 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 1be0: 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 1bf0: 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 1c00: 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 1c10: 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 1c20: 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 1c30: 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 1c40: 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 1c50: 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 1c60: 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 1c70: 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 1c80: 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 1c90: 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 1ca0: 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 1cb0: 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 1cc0: 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 1cd0: 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 1ce0: 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 1cf0: 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 1d00: 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 1d10: 73746461 72672e68 00040000 76612d78 stdarg.h....va-x + 1d20: 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 1d30: 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 1d40: 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 1d50: 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 1d60: 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 1d70: 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 1d80: 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M + 1d90: 61677069 655f6170 692e6800 02000075 agpie_api.h....u + 1da0: 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 1db0: 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 1dc0: 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 1dd0: 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 1de0: 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 1df0: 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 1e00: 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 1e10: 25000072 65676475 6d702e68 00020000 %..regdump.h.... + 1e20: 6d616770 69655f72 65676475 6d702e68 magpie_regdump.h + 1e30: 00020000 78745f63 6f72652e 68002600 ....xt_core.h.&. + 1e40: 00667764 2e680027 00000000 0502008e .fwd.h.'........ + 1e50: 13a403f6 00010302 09000301 031b0900 ................ + 1e60: 0a010311 09001001 03010900 0b010301 ................ + 1e70: 09000601 03030900 03010301 09000901 ................ + 1e80: 03020900 0f010367 09000501 03200900 .......g..... .. + 1e90: 03010308 09000301 03030900 03010301 ................ + 1ea0: 09000b01 03010900 05010305 09000601 ................ + 1eb0: 037d0900 0201037e 09000501 03010900 .}.....~........ + 1ec0: 02010304 09000201 037c0900 03010301 .........|...... + 1ed0: 09000201 03030900 02010304 09000301 ................ + 1ee0: 03070900 02010301 09000301 03040900 ................ + 1ef0: 03010305 09000201 037b0900 05010305 .........{...... + 1f00: 09000301 03080900 08010301 09000901 ................ + 1f10: 037b0900 02010310 09000901 03080900 .{.............. + 1f20: 03010302 09000301 03050900 06010303 ................ + 1f30: 09000301 03010900 03010304 09000201 ................ + 1f40: 03000900 03010302 09000201 03020900 ................ + 1f50: 03010306 09000401 03000900 03010305 ................ + 1f60: 09000301 03050900 09010301 09000b01 ................ + 1f70: 03010900 05010302 09000401 03030900 ................ + 1f80: 02010308 09000301 03010900 0c010301 ................ + 1f90: 09000801 03010900 06010301 09000601 ................ + 1fa0: 03050900 0801037f 09000301 03040900 ................ + 1fb0: 02010302 09000701 03040900 04010304 ................ + 1fc0: 09000301 03010900 0b010301 09000501 ................ + 1fd0: 03060900 0601037c 09000201 037e0900 .......|.....~.. + 1fe0: 05010301 09000201 03050900 0201037b ...............{ + 1ff0: 09000301 03010900 02010304 09000201 ................ + 2000: 03010900 03010300 09000301 03000900 ................ + 2010: 03010300 09000301 03050900 2a010308 ............*... + 2020: 09000701 03030900 060103e6 00090006 ................ + 2030: 01030009 00030103 03090003 01031209 ................ + 2040: 00070103 77090009 01030a09 00050103 ....w........... + 2050: 71090002 01030f09 00050103 7c090002 q...........|... + 2060: 01030409 00050103 04090003 01030909 ................ + 2070: 00030103 01090011 01030109 00030103 ................ + 2080: 09090005 01030109 00040103 01090005 ................ + 2090: 01037d09 00020103 0c09001b 01030609 ..}............. + 20a0: 00020103 01090002 01030109 00020103 ................ + 20b0: 01090002 01030209 00030103 7f090002 ................ + 20c0: 01030509 00020103 0109000b 01030109 ................ + 20d0: 00070103 01090007 01030109 00070103 ................ + 20e0: 01090007 01030109 00070103 01090007 ................ + 20f0: 01030109 00070103 0e090003 01030009 ................ + 2100: 00030103 01090003 01037f09 00030103 ................ + 2110: 01090002 01030109 00030103 05090002 ................ + 2120: 01030309 00030103 7d090005 01030309 ........}....... + 2130: 00030103 0209000f 01037e09 00050103 ..........~..... + 2140: 02090003 01030109 00020103 01090007 ................ + 2150: 01037e09 00020103 04090003 01030109 ..~............. + 2160: 00140103 7f090003 01030109 00030103 ................ + 2170: 03090003 01030109 00140103 02090003 ................ + 2180: 0103ec02 09000401 03000900 03010304 ................ + 2190: 09000301 03030900 09010301 09000601 ................ + 21a0: 03060900 09010302 09000c01 03020900 ................ + 21b0: 06010304 09000401 03000900 03010305 ................ + 21c0: 09000301 03080900 0c01037d 09000201 ...........}.... + 21d0: 03030900 0301037d 09000501 03030900 .......}........ + 21e0: 0c010301 09000301 03020900 09010303 ................ + 21f0: 09000401 03010900 0301037f 09000701 ................ + 2200: 03030900 03010301 09001701 03020900 ................ + 2210: 10010302 09000501 03010900 17010304 ................ + 2220: 09001201 03010900 0b010301 09000b01 ................ + 2230: 03010900 0b010301 09000b01 03010900 ................ + 2240: 0b010302 09000801 03040900 03010300 ................ + 2250: 09000301 03050900 0b010301 09000601 ................ + 2260: 03030900 09010301 09000901 03020900 ................ + 2270: 09010302 09000601 03010900 06010303 ................ + 2280: 09000601 03020900 14010301 09000901 ................ + 2290: 03020900 03010303 09001101 03010900 ................ + 22a0: 08010301 09000d01 03010900 10010302 ................ + 22b0: 09000c01 03050900 0b010301 09000901 ................ + 22c0: 03060900 0901037c 09000501 03020900 .......|........ + 22d0: 03010302 09000801 03050900 04010300 ................ + 22e0: 09000301 03010900 06010301 09001a01 ................ + 22f0: 03010900 0301037f 09000301 03010900 ................ + 2300: 06010307 09000301 037a0900 0601030e .........z...... + 2310: 09000401 03000900 03010301 09000901 ................ + 2320: 03010900 0301037f 09000301 03010900 ................ + 2330: 06010302 09000301 03010900 0801037e ...............~ + 2340: 09000601 030f0900 03010300 09000301 ................ + 2350: 03070900 0601037d 09000301 03030900 .......}........ + 2360: 05010303 09000f01 03010900 11010304 ................ + 2370: 09000301 03080900 03010302 09000301 ................ + 2380: 03010900 1d010302 09000601 03020900 ................ + 2390: 03010302 09000601 03030900 06010324 ...............$ + 23a0: 09000801 031a0900 11010366 09000201 ...........f.... + 23b0: 031a0900 0301030f 09000301 03710900 .............q.. + 23c0: 0601030f 09000201 03010900 0f010306 ................ + 23d0: 09000601 03020900 0f010305 09001401 ................ + 23e0: 03080900 15010319 09001101 03010900 ................ + 23f0: 1101037f 09000601 03010900 03010301 ................ + 2400: 09000301 030b0900 03010301 09001101 ................ + 2410: 037f0900 06010301 09000301 03020900 ................ + 2420: 03010303 09000a01 03020900 1101037e ...............~ + 2430: 09000601 03020900 0301030b 09000801 ................ + 2440: 03060900 09010304 09000301 03030900 ................ + 2450: 09010301 09000b01 03690900 0901031b .........i...... + 2460: 09001201 03010900 03010302 09001101 ................ + 2470: 037e0900 06010302 09000301 03040900 .~.............. + 2480: 03010305 09001401 03050900 09010303 ................ + 2490: 09000601 03050900 06010304 09000901 ................ + 24a0: 03090900 03010301 09001101 037f0900 ................ + 24b0: 02010301 09000301 03020900 05010302 ................ + 24c0: 09000b01 03020900 02010304 09001601 ................ + 24d0: 03030900 06010303 09000301 03030900 ................ + 24e0: 03010302 09000601 03010900 140103c1 ................ + 24f0: 00090003 01034209 00020103 1209000c ......B......... + 2500: 01030209 00030103 0109000c 01030109 ................ + 2510: 00090103 02090009 01030609 00090103 ................ + 2520: 01090009 01030109 00030103 03090005 ................ + 2530: 01030909 00080103 02090005 01031009 ................ + 2540: 00030103 75090002 01030209 00030103 ....u........... + 2550: 01090011 01037f09 00060103 01090003 ................ + 2560: 01030309 00060103 01090008 01030209 ................ + 2570: 00060103 46090003 01030109 000c0103 ....F........... + 2580: 01090009 01030209 00090103 02090009 ................ + 2590: 01030209 00030103 02090003 01030109 ................ + 25a0: 00060103 31090003 0103f27e 09000201 ....1......~.... + 25b0: 03010900 03010301 09001101 037f0900 ................ + 25c0: 06010301 09000301 03770900 06010302 .........w...... + 25d0: 09000301 039a0109 000c0103 00090003 ................ + 25e0: 01030309 00030103 0109000b 01030309 ................ + 25f0: 00060103 04090003 01090004 00010100 ................ + 2600: 000d9200 0200000c e00101fb 0e0a0001 ................ + 2610: 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor + 2620: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 2630: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 2640: 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp + 2650: 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// + 2660: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 2670: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 2680: 72616d2f 73726300 2f726f6f 742f576f ram/src./root/Wo + 2690: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 26a0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 26b0: 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 26c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 26d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 26e0: 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma + 26f0: 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works + 2700: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 2710: 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 2720: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2730: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 2740: 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo + 2750: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 2760: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 2770: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 2780: 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt + 2790: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 27a0: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 27b0: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 27c0: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 27d0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 27e0: 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 27f0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 2800: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 2810: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 2820: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 2830: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 2840: 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 2850: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 2860: 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 2870: 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 2880: 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 2890: 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 28a0: 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 28b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 28c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 28d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 28e0: 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 28f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 2900: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 2910: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 2920: 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 2930: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 2940: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 2950: 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 2960: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 2970: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 2980: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 2990: 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 29a0: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 29b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 29c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 29d0: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 29e0: 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 29f0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 2a00: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 2a10: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 2a20: 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 2a30: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 2a40: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 2a50: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 2a60: 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 2a70: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 2a80: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 2a90: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 2aa0: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 2ab0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 2ac0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 2ad0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 2ae0: 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 2af0: 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 2b00: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 2b10: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 2b20: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 2b30: 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 2b40: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 2b50: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 2b60: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 2b70: 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 2b80: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 2b90: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 2ba0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 2bb0: 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 2bc0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 2bd0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 2be0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 2bf0: 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 2c00: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 2c10: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 2c20: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 2c30: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 2c40: 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 2c50: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 2c60: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 2c70: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 2c80: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 2c90: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 2ca0: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 2cb0: 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 2cc0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 2cd0: 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 2ce0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 2cf0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 2d00: 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 2d10: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 2d20: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 2d30: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 2d40: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 2d50: 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 2d60: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 2d70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 2d80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 2d90: 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 2da0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 2db0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 2dc0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 2dd0: 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 2de0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 2df0: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 2e00: 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 2e10: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 2e20: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 2e30: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 2e40: 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 2e50: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 2e60: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 2e70: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 2e80: 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 2e90: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 2ea0: 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 2eb0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 2ec0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 2ed0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 2ee0: 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 2ef0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 2f00: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 2f10: 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 2f20: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 2f30: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 2f40: 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 2f50: 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c + 2f60: 6d6e6f73 5f616c6c 6f637261 6d2e6300 mnos_allocram.c. + 2f70: 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + 2f80: 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag + 2f90: 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath + 2fa0: 6f735f61 70692e68 00020000 6f736170 os_api.h....osap + 2fb0: 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. + 2fc0: 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. + 2fd0: 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + 2fe0: 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h + 2ff0: 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. + 3000: 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + 3010: 09000074 69652e68 00090000 78747275 ...tie.h....xtru + 3020: 6e74696d 652e6800 08000073 70656372 ntime.h....specr + 3030: 65672e68 00090000 636f7265 62697473 eg.h....corebits + 3040: 2e680008 00007072 696e7466 5f617069 .h....printf_api + 3050: 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h + 3060: 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. + 3070: 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m + 3080: 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc + 3090: 5f617069 2e68000e 00007374 72696e67 _api.h....string + 30a0: 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ + 30b0: 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap + 30c0: 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ + 30d0: 6170692e 68001200 00746173 6b6c6574 api.h....tasklet + 30e0: 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ + 30f0: 6170692e 68001400 00696e74 725f6170 api.h....intr_ap + 3100: 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h + 3110: 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h + 3120: 00170000 7573625f 6170692e 68001800 ....usb_api.h... + 3130: 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi + 3140: 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n + 3150: 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ + 3160: 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os + 3170: 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a + 3180: 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... + 3190: 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes + 31a0: 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ + 31b0: 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd + 31c0: 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api + 31d0: 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + 31e0: 68001e00 00737464 6172672e 68000400 h....stdarg.h... + 31f0: 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + 3200: 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + 3210: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 3220: 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + 3230: 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + 3240: 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + 3250: 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + 3260: 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + 3270: 00020000 75736266 69666f5f 6170692e ....usbfifo_api. + 3280: 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + 3290: 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + 32a0: 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + 32b0: 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + 32c0: 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + 32d0: 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + 32e0: 70692e68 00250000 00000502 008e1c34 pi.h.%.........4 + 32f0: 031a0103 00090003 01031e09 00050103 ................ + 3300: 7e090002 01030909 00020103 0a090004 ~............... + 3310: 01030009 00030103 04090003 01037d09 ..............}. + 3320: 00070103 03090002 01030209 00060103 ................ + 3330: 7f090003 01030109 00050103 07090002 ................ + 3340: 01037b09 00050103 02090009 01030809 ..{............. + 3350: 00030103 00090003 01030109 00060103 ................ + 3360: 0109000b 01030109 000b0103 04090005 ................ + 3370: 01030009 00030103 03090009 01037f09 ................ + 3380: 00020103 7f090002 01030309 00020109 ................ + 3390: 00020001 0100000e 17000200 000cda01 ................ + 33a0: 01fb0e0a 00010101 01000000 012f726f ............./ro + 33b0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 33c0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 33d0: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 33e0: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 33f0: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 3400: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 3410: 2f636c6f 636b2f73 7263002f 726f6f74 /clock/src./root + 3420: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 3430: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 3440: 61677069 655f315f 312f696e 63002f72 agpie_1_1/inc./r + 3450: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 3460: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 3470: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 3480: 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo + 3490: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 34a0: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 34b0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 34c0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 34d0: 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ + 34e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 34f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 3500: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 3510: 6d2f636d 6e6f732f 696e6300 2f6f7074 m/cmnos/inc./opt + 3520: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 3530: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 3540: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 3550: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + 3560: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + 3570: 7874656e 73612f63 6f6e6669 67002f6f xtensa/config./o + 3580: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 3590: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 35a0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 35b0: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 35c0: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 35d0: 652f7874 656e7361 002f6f70 742f7874 e/xtensa./opt/xt + 35e0: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 35f0: 696e7374 616c6c2f 6275696c 64732f52 install/builds/R + 3600: 422d3230 30372e32 2d6c696e 75782f4d B-2007.2-linux/M + 3610: 61677069 655f5030 2f787465 6e73612d agpie_P0/xtensa- + 3620: 656c662f 61726368 2f696e63 6c756465 elf/arch/include + 3630: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 3640: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 3650: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 3660: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 3670: 6d2f636d 6e6f732f 7072696e 74662f69 m/cmnos/printf/i + 3680: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 3690: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 36a0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 36b0: 312f726f 6d2f636d 6e6f732f 75617274 1/rom/cmnos/uart + 36c0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 36d0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 36e0: 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ + 36f0: 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo + 3700: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 3710: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 3720: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 3730: 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W + 3740: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 3750: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 3760: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 3770: 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root + 3780: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 3790: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 37a0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 37b0: 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ + 37c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 37d0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 37e0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 37f0: 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in + 3800: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 3810: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 3820: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 3830: 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa + 3840: 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo + 3850: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 3860: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 3870: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 3880: 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r + 3890: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 38a0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 38b0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 38c0: 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i + 38d0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 38e0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 38f0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 3900: 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc + 3910: 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work + 3920: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 3930: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 3940: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 3950: 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo + 3960: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 3970: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 3980: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 3990: 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W + 39a0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 39b0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 39c0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 39d0: 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro + 39e0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 39f0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 3a00: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 3a10: 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo + 3a20: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 3a30: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 3a40: 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor + 3a50: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 3a60: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 3a70: 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp + 3a80: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 3a90: 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ + 3aa0: 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + 3ab0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 3ac0: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 3ad0: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 3ae0: 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib + 3af0: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 3b00: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 3b10: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 3b20: 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf + 3b30: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 3b40: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 3b50: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 3b60: 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in + 3b70: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 3b80: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 3b90: 6164662f 696e636c 7564652f 6e657400 adf/include/net. + 3ba0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 3bb0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 3bc0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 3bd0: 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + 3be0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 3bf0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 3c00: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 3c10: 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root + 3c20: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 3c30: 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ + 3c40: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 3c50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 3c60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 3c70: 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in + 3c80: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 3c90: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 3ca0: 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ + 3cb0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 3cc0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 3cd0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 3ce0: 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc + 3cf0: 0000636d 6e6f735f 636c6f63 6b2e6300 ..cmnos_clock.c. + 3d00: 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + 3d10: 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag + 3d20: 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath + 3d30: 6f735f61 70692e68 00020000 6f736170 os_api.h....osap + 3d40: 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. + 3d50: 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. + 3d60: 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + 3d70: 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h + 3d80: 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. + 3d90: 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + 3da0: 09000074 69652e68 00090000 78747275 ...tie.h....xtru + 3db0: 6e74696d 652e6800 08000073 70656372 ntime.h....specr + 3dc0: 65672e68 00090000 636f7265 62697473 eg.h....corebits + 3dd0: 2e680008 00007072 696e7466 5f617069 .h....printf_api + 3de0: 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h + 3df0: 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. + 3e00: 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m + 3e10: 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc + 3e20: 5f617069 2e68000e 00007374 72696e67 _api.h....string + 3e30: 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ + 3e40: 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap + 3e50: 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ + 3e60: 6170692e 68001200 00746173 6b6c6574 api.h....tasklet + 3e70: 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ + 3e80: 6170692e 68001400 00696e74 725f6170 api.h....intr_ap + 3e90: 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h + 3ea0: 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h + 3eb0: 00170000 7573625f 6170692e 68001800 ....usb_api.h... + 3ec0: 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi + 3ed0: 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n + 3ee0: 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ + 3ef0: 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os + 3f00: 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a + 3f10: 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... + 3f20: 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes + 3f30: 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ + 3f40: 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd + 3f50: 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api + 3f60: 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + 3f70: 68001e00 00737464 6172672e 68000400 h....stdarg.h... + 3f80: 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + 3f90: 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + 3fa0: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 3fb0: 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + 3fc0: 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + 3fd0: 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + 3fe0: 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + 3ff0: 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + 4000: 00020000 75736266 69666f5f 6170692e ....usbfifo_api. + 4010: 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + 4020: 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + 4030: 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + 4040: 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + 4050: 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + 4060: 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + 4070: 70692e68 00250000 00000502 008e1cac pi.h.%.......... + 4080: 03ec0001 03000900 03010304 09000301 ................ + 4090: 03010900 07010303 09000801 03030900 ................ + 40a0: 09010309 09000201 030e0900 03010302 ................ + 40b0: 09000601 03090900 07010300 09000301 ................ + 40c0: 03010900 03010300 09000201 03060900 ................ + 40d0: 0401030b 09000301 03090900 05010302 ................ + 40e0: 09000301 03050900 05010303 09000301 ................ + 40f0: 030a0900 05010303 09000301 03040900 ................ + 4100: 05010303 09000301 03040900 05010307 ................ + 4110: 09000301 03060900 12010304 09000201 ................ + 4120: 037c0900 02010304 09000a01 03760900 .|...........v.. + 4130: 0301030c 09000901 03040900 02010306 ................ + 4140: 09000301 03090900 03010310 09001601 ................ + 4150: 037d0900 02010303 09000501 03020900 .}.............. + 4160: 04010306 09000401 03000900 0301030a ................ + 4170: 09001b01 037e0900 0201037f 09000201 .....~.......... + 4180: 037f0900 0201037f 09000201 037f0900 ................ + 4190: 0201037f 09000201 037f0900 0201037f ................ + 41a0: 09000201 030a0900 02010900 02000101 ................ + 41b0: 00001028 00020000 0cdc0101 fb0e0a00 ...(............ + 41c0: 01010101 00000001 2f726f6f 742f576f ......../root/Wo + 41d0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 41e0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 41f0: 69655f31 5f312f69 6d616765 2f6d6167 ie_1_1/image/mag + 4200: 7069652f 2e2e2f2e 2e2f2e2e 2f2e2e2f pie/../../../../ + 4210: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 4220: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 4230: 6f6d2f73 7263002f 726f6f74 2f576f72 om/src./root/Wor + 4240: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 4250: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 4260: 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ + 4270: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 4280: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 4290: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 42a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 42b0: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 42c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 42d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 42e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 42f0: 726f6d2f 636d6e6f 732f696e 63002f72 rom/cmnos/inc./r + 4300: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 4310: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 4320: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 4330: 2f6d6167 70696500 2f6f7074 2f787465 /magpie./opt/xte + 4340: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 4350: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 4360: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 4370: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 4380: 656c662f 696e636c 7564652f 7874656e elf/include/xten + 4390: 73612f63 6f6e6669 67002f6f 70742f78 sa/config./opt/x + 43a0: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 43b0: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 43c0: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 43d0: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 43e0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 43f0: 656e7361 002f6f70 742f7874 656e7361 ensa./opt/xtensa + 4400: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 4410: 616c6c2f 6275696c 64732f52 422d3230 all/builds/RB-20 + 4420: 30372e32 2d6c696e 75782f4d 61677069 07.2-linux/Magpi + 4430: 655f5030 2f787465 6e73612d 656c662f e_P0/xtensa-elf/ + 4440: 61726368 2f696e63 6c756465 2f787465 arch/include/xte + 4450: 6e73612f 636f6e66 6967002f 726f6f74 nsa/config./root + 4460: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 4470: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 4480: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 4490: 6e6f732f 7072696e 74662f69 6e63002f nos/printf/inc./ + 44a0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 44b0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 44c0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 44d0: 6d2f636d 6e6f732f 75617274 2f696e63 m/cmnos/uart/inc + 44e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 44f0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 4500: 61726765 742f636d 6e6f732f 6462672f arget/cmnos/dbg/ + 4510: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 4520: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 4530: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 4540: 5f312f72 6f6d2f63 6d6e6f73 2f6d656d _1/rom/cmnos/mem + 4550: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 4560: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 4570: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 4580: 315f312f 726f6d2f 636d6e6f 732f6d69 1_1/rom/cmnos/mi + 4590: 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor + 45a0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 45b0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 45c0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 45d0: 73747269 6e672f69 6e63002f 726f6f74 string/inc./root + 45e0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 45f0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 4600: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 4610: 6e6f732f 74696d65 722f696e 63002f72 nos/timer/inc./r + 4620: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 4630: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 4640: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 4650: 2f636d6e 6f732f72 6f6d7061 7463682f /cmnos/rompatch/ + 4660: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 4670: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 4680: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 4690: 5f312f72 6f6d2f63 6d6e6f73 2f616c6c _1/rom/cmnos/all + 46a0: 6f637261 6d2f696e 63002f72 6f6f742f ocram/inc./root/ + 46b0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 46c0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 46d0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 46e0: 6f732f74 61736b6c 65742f69 6e63002f os/tasklet/inc./ + 46f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 4700: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 4710: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 4720: 6d2f636d 6e6f732f 636c6f63 6b2f696e m/cmnos/clock/in + 4730: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 4740: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 4750: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 4760: 2f726f6d 2f636d6e 6f732f69 6e74722f /rom/cmnos/intr/ + 4770: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 4780: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 4790: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 47a0: 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt + 47b0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 47c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 47d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 47e0: 315f312f 726f6d2f 636d6e6f 732f6565 1_1/rom/cmnos/ee + 47f0: 70726f6d 2f696e63 002f726f 6f742f57 prom/inc./root/W + 4800: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 4810: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 4820: 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ + 4830: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 4840: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 4850: 742f6164 662f696e 636c7564 652f6e62 t/adf/include/nb + 4860: 7566002f 726f6f74 2f576f72 6b737061 uf./root/Workspa + 4870: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 4880: 2f616466 2f696e63 6c756465 2f6f7300 /adf/include/os. + 4890: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 48a0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 48b0: 72676574 2f616466 2f6f732f 696e6300 rget/adf/os/inc. + 48c0: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 48d0: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 48e0: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 48f0: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 4900: 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc + 4910: 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works + 4920: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 4930: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 4940: 315f312f 726f6d2f 76627566 2f696e63 1_1/rom/vbuf/inc + 4950: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 4960: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 4970: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 4980: 726f6d2f 76646573 632f696e 63002f72 rom/vdesc/inc./r + 4990: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 49a0: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 49b0: 696e636c 7564652f 6e657400 2f726f6f include/net./roo + 49c0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 49d0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 49e0: 6d616770 69655f31 5f312f72 6f6d2f61 magpie_1_1/rom/a + 49f0: 64662f6e 6275662f 696e6300 2f726f6f df/nbuf/inc./roo + 4a00: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 4a10: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 4a20: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 4a30: 74632f69 6e63002f 726f6f74 2f576f72 tc/inc./root/Wor + 4a40: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 4a50: 2f776c61 6e2f696e 636c7564 65002f72 /wlan/include./r + 4a60: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 4a70: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 4a80: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 4a90: 2f627566 5f706f6f 6c2f696e 63002f72 /buf_pool/inc./r + 4aa0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 4ab0: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 4ac0: 65742f77 6d692f69 6e63002f 726f6f74 et/wmi/inc./root + 4ad0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 4ae0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 4af0: 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm + 4b00: 615f656e 67696e65 2f696e63 0000636d a_engine/inc..cm + 4b10: 6e6f735f 65657072 6f6d2e63 00010000 nos_eeprom.c.... + 4b20: 6174686f 735f6170 692e6800 0200006f athos_api.h....o + 4b30: 73617069 2e680003 00004f54 55535f73 sapi.h....OTUS_s + 4b40: 6f632e68 00040000 64745f64 6566732e oc.h....dt_defs. + 4b50: 68000300 00636d6e 6f735f61 70692e68 h....cmnos_api.h + 4b60: 00050000 7379735f 6366672e 68000200 ....sys_cfg.h... + 4b70: 00726f6d 5f636667 2e680006 00006d61 .rom_cfg.h....ma + 4b80: 67706965 5f6d656d 2e680002 0000636f gpie_mem.h....co + 4b90: 72652e68 00070000 68616c2e 68000800 re.h....hal.h... + 4ba0: 00636f72 652d6973 612e6800 09000063 .core-isa.h....c + 4bb0: 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... + 4bc0: 7469652e 68000900 00787472 756e7469 tie.h....xtrunti + 4bd0: 6d652e68 00080000 73706563 7265672e me.h....specreg. + 4be0: 68000900 00636f72 65626974 732e6800 h....corebits.h. + 4bf0: 08000070 72696e74 665f6170 692e6800 ...printf_api.h. + 4c00: 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... + 4c10: 00726567 5f646566 732e6800 06000064 .reg_defs.h....d + 4c20: 62675f61 70692e68 000c0000 6d656d5f bg_api.h....mem_ + 4c30: 6170692e 68000d00 006d6973 635f6170 api.h....misc_ap + 4c40: 692e6800 0e000073 7472696e 675f6170 i.h....string_ap + 4c50: 692e6800 0f000074 696d6572 5f617069 i.h....timer_api + 4c60: 2e680010 0000726f 6d705f61 70692e68 .h....romp_api.h + 4c70: 00110000 616c6c6f 6372616d 5f617069 ....allocram_api + 4c80: 2e680012 00007461 736b6c65 745f6170 .h....tasklet_ap + 4c90: 692e6800 13000063 6c6f636b 5f617069 i.h....clock_api + 4ca0: 2e680014 0000696e 74725f61 70692e68 .h....intr_api.h + 4cb0: 00150000 7764745f 6170692e 68001600 ....wdt_api.h... + 4cc0: 00656570 726f6d5f 6170692e 68001700 .eeprom_api.h... + 4cd0: 00757362 5f617069 2e680018 00006869 .usb_api.h....hi + 4ce0: 665f7063 692e6800 18000068 69665f61 f_pci.h....hif_a + 4cf0: 70692e68 00180000 6164665f 6e627566 pi.h....adf_nbuf + 4d00: 2e680019 00006164 665f6f73 5f757469 .h....adf_os_uti + 4d10: 6c2e6800 1a000061 64665f6f 735f7574 l.h....adf_os_ut + 4d20: 696c5f70 76742e68 001b0000 6164665f il_pvt.h....adf_ + 4d30: 6f735f74 79706573 2e68001a 00006164 os_types.h....ad + 4d40: 665f6f73 5f737464 74797065 732e6800 f_os_stdtypes.h. + 4d50: 1a000061 64665f6f 735f7479 7065735f ...adf_os_types_ + 4d60: 7076742e 68001b00 00737464 6465662e pvt.h....stddef. + 4d70: 68001c00 00766275 665f6170 692e6800 h....vbuf_api.h. + 4d80: 1d000076 64657363 5f617069 2e68001e ...vdesc_api.h.. + 4d90: 00007374 64617267 2e680003 00007661 ..stdarg.h....va + 4da0: 2d787465 6e73612e 68001c00 00616466 -xtensa.h....adf + 4db0: 5f6f735f 646d612e 68001a00 00616466 _os_dma.h....adf + 4dc0: 5f6f735f 646d615f 7076742e 68001b00 _os_dma_pvt.h... + 4dd0: 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h + 4de0: 001f0000 6164665f 6e627566 5f707674 ....adf_nbuf_pvt + 4df0: 2e680020 0000646d 615f6c69 622e6800 .h. ..dma_lib.h. + 4e00: 18000068 69665f67 6d61632e 68001800 ...hif_gmac.h... + 4e10: 004d6167 7069655f 6170692e 68000200 .Magpie_api.h... + 4e20: 00757362 6669666f 5f617069 2e680018 .usbfifo_api.h.. + 4e30: 00006874 635f6170 692e6800 21000068 ..htc_api.h.!..h + 4e40: 74632e68 00220000 6275665f 706f6f6c tc.h."..buf_pool + 4e50: 5f617069 2e680023 0000776d 695f7376 _api.h.#..wmi_sv + 4e60: 635f6170 692e6800 24000077 6d692e68 c_api.h.$..wmi.h + 4e70: 00220000 61746864 6566732e 68002200 ."..athdefs.h.". + 4e80: 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. + 4e90: 68002500 00000005 02008e1d a0032c01 h.%...........,. + 4ea0: 03000900 0301030c 09000801 03010900 ................ + 4eb0: 0f010301 09000601 03030900 06010303 ................ + 4ec0: 09000901 03030900 09010305 09000f01 ................ + 4ed0: 03020900 0c01030f 09000501 03000900 ................ + 4ee0: 03010309 09001501 03020900 06010302 ................ + 4ef0: 09000601 03010900 03010312 09000501 ................ + 4f00: 03000900 03010301 09000301 03020900 ................ + 4f10: 03010302 09000a01 03020900 09010301 ................ + 4f20: 09000301 030c0900 02010378 09000201 ...........x.... + 4f30: 03010900 05010307 09000201 03750900 .............u.. + 4f40: 06010301 09000301 030a0900 0201030b ................ + 4f50: 09000301 03000900 03010309 09000301 ................ + 4f60: 03020900 0b010307 09000801 03020900 ................ + 4f70: 05010305 09000e01 03010900 09010378 ...............x + 4f80: 09000a01 03110900 0501037c 09000201 ...........|.... + 4f90: 03010900 09010303 09000201 036a0900 .............j.. + 4fa0: 02010301 09000901 03150900 0201030b ................ + 4fb0: 09000201 03000900 03010308 09000301 ................ + 4fc0: 03020900 08010307 09000801 03020900 ................ + 4fd0: 03010304 09000701 037e0900 0201037e .........~.....~ + 4fe0: 09000201 03040900 0301037a 09000301 ...........z.... + 4ff0: 03080900 03010306 09000201 037e0900 .............~.. + 5000: 02010302 09000201 036d0900 05010301 .........m...... + 5010: 09000901 03120900 02010313 09000501 ................ + 5020: 03020900 0301037e 09000901 03020900 .......~........ + 5030: 02010301 09000501 03030900 0301037d ...............} + 5040: 09000301 03050900 07010325 09001d01 ...........%.... + 5050: 03010900 14010301 09000f01 037f0900 ................ + 5060: 05010301 09000301 03030900 03010301 ................ + 5070: 09001401 03010900 0f01037f 09000601 ................ + 5080: 03010900 0301030b 09000301 03010900 ................ + 5090: 14010302 09000e01 037e0900 05010302 .........~...... + 50a0: 09000301 03040900 03010305 09001701 ................ + 50b0: 03010900 17010304 09000c01 037c0900 .............|.. + 50c0: 03010304 09000301 03040900 06010301 ................ + 50d0: 09001701 03010900 0e01037f 09000301 ................ + 50e0: 03010900 03010303 09000501 03010900 ................ + 50f0: 0e01037f 09000501 03010900 03010303 ................ + 5100: 09000301 03010900 1701030a 09000f01 ................ + 5110: 03020900 12010301 09000b01 03020900 ................ + 5120: 0b01037b 09000801 030f0900 03010301 ...{............ + 5130: 09001701 03010900 0f01037f 09000501 ................ + 5140: 03010900 03010302 09000301 03010900 ................ + 5150: 17010301 09000f01 03080900 08010307 ................ + 5160: 09000c01 03030900 06010302 09000301 ................ + 5170: 03140900 03010370 09000201 03080900 .......p........ + 5180: 0501036d 09000901 030d0900 03010301 ...m............ + 5190: 09000501 030d0900 0701034c 09000201 ...........L.... + 51a0: 03020900 0e010332 09000f01 03020900 .......2........ + 51b0: 04010300 09000301 03040900 0c01037f ................ + 51c0: 09000201 037f0900 0201037f 09000201 ................ + 51d0: 03040900 02010900 02000101 00000e7d ...............} + 51e0: 00020000 0cf90101 fb0e0a00 01010101 ................ + 51f0: 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp + 5200: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 5210: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 5220: 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 5230: 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 5240: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 5250: 6d2f636d 6e6f732f 696e7472 2f737263 m/cmnos/intr/src + 5260: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 5270: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 5280: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 5290: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 52a0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 52b0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 52c0: 5f312f69 6e632f6d 61677069 65002f72 _1/inc/magpie./r + 52d0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 52e0: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 52f0: 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 5300: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 5310: 2f746774 2f746172 6765742f 696e632f /tgt/target/inc/ + 5320: 4f545553 002f726f 6f742f57 6f726b73 OTUS./root/Works + 5330: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 5340: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 5350: 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in + 5360: 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt + 5370: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 5380: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 5390: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 53a0: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 53b0: 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + 53c0: 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ + 53d0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 53e0: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 53f0: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 5400: 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + 5410: 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 5420: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 5430: 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu + 5440: 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l + 5450: 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x + 5460: 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i + 5470: 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 5480: 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works + 5490: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 54a0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 54b0: 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr + 54c0: 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W + 54d0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 54e0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 54f0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 5500: 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root + 5510: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 5520: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 5530: 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r + 5540: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 5550: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 5560: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 5570: 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ + 5580: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 5590: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 55a0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 55b0: 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc + 55c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 55d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 55e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 55f0: 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string + 5600: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 5610: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 5620: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 5630: 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti + 5640: 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo + 5650: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 5660: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 5670: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 5680: 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r + 5690: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 56a0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 56b0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 56c0: 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ + 56d0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 56e0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 56f0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 5700: 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas + 5710: 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W + 5720: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 5730: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 5740: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 5750: 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo + 5760: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5770: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5780: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 5790: 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r + 57a0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 57b0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 57c0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 57d0: 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ + 57e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 57f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 5800: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 5810: 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i + 5820: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 5830: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 5840: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 5850: 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r + 5860: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 5870: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 5880: 696e636c 7564652f 6e627566 002f726f include/nbuf./ro + 5890: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 58a0: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 58b0: 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ + 58c0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 58d0: 3230322f 7467742f 74617267 65742f61 202/tgt/target/a + 58e0: 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x + 58f0: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 5900: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 5910: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 5920: 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x + 5930: 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ + 5940: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 5950: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 5960: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 5970: 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root + 5980: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 5990: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 59a0: 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd + 59b0: 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo + 59c0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 59d0: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 59e0: 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work + 59f0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 5a00: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 5a10: 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu + 5a20: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 5a30: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 5a40: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 5a50: 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc + 5a60: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 5a70: 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ + 5a80: 696e636c 75646500 2f726f6f 742f576f include./root/Wo + 5a90: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 5aa0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 5ab0: 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p + 5ac0: 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo + 5ad0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 5ae0: 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi + 5af0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 5b00: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 5b10: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 5b20: 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi + 5b30: 6e652f69 6e630000 636d6e6f 735f696e ne/inc..cmnos_in + 5b40: 74722e63 00010000 7379735f 6366672e tr.c....sys_cfg. + 5b50: 68000200 00726f6d 5f636667 2e680003 h....rom_cfg.h.. + 5b60: 00006d61 67706965 5f6d656d 2e680002 ..magpie_mem.h.. + 5b70: 00006174 686f735f 6170692e 68000200 ..athos_api.h... + 5b80: 006f7361 70692e68 00040000 4f545553 .osapi.h....OTUS + 5b90: 5f736f63 2e680005 00006474 5f646566 _soc.h....dt_def + 5ba0: 732e6800 04000063 6d6e6f73 5f617069 s.h....cmnos_api + 5bb0: 2e680006 0000636f 72652e68 00070000 .h....core.h.... + 5bc0: 68616c2e 68000800 00636f72 652d6973 hal.h....core-is + 5bd0: 612e6800 09000063 6f72652d 6d61746d a.h....core-matm + 5be0: 61702e68 00090000 7469652e 68000900 ap.h....tie.h... + 5bf0: 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... + 5c00: 73706563 7265672e 68000900 00636f72 specreg.h....cor + 5c10: 65626974 732e6800 08000070 72696e74 ebits.h....print + 5c20: 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ + 5c30: 6170692e 68000b00 00726567 5f646566 api.h....reg_def + 5c40: 732e6800 03000064 62675f61 70692e68 s.h....dbg_api.h + 5c50: 000c0000 6d656d5f 6170692e 68000d00 ....mem_api.h... + 5c60: 006d6973 635f6170 692e6800 0e000073 .misc_api.h....s + 5c70: 7472696e 675f6170 692e6800 0f000074 tring_api.h....t + 5c80: 696d6572 5f617069 2e680010 0000726f imer_api.h....ro + 5c90: 6d705f61 70692e68 00110000 616c6c6f mp_api.h....allo + 5ca0: 6372616d 5f617069 2e680012 00007461 cram_api.h....ta + 5cb0: 736b6c65 745f6170 692e6800 13000063 sklet_api.h....c + 5cc0: 6c6f636b 5f617069 2e680014 0000696e lock_api.h....in + 5cd0: 74725f61 70692e68 00150000 7764745f tr_api.h....wdt_ + 5ce0: 6170692e 68001600 00656570 726f6d5f api.h....eeprom_ + 5cf0: 6170692e 68001700 00757362 5f617069 api.h....usb_api + 5d00: 2e680018 00006869 665f7063 692e6800 .h....hif_pci.h. + 5d10: 18000068 69665f61 70692e68 00180000 ...hif_api.h.... + 5d20: 6164665f 6e627566 2e680019 00006164 adf_nbuf.h....ad + 5d30: 665f6f73 5f757469 6c2e6800 1a000061 f_os_util.h....a + 5d40: 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h + 5d50: 001b0000 6164665f 6f735f74 79706573 ....adf_os_types + 5d60: 2e68001a 00006164 665f6f73 5f737464 .h....adf_os_std + 5d70: 74797065 732e6800 1a000061 64665f6f types.h....adf_o + 5d80: 735f7479 7065735f 7076742e 68001b00 s_types_pvt.h... + 5d90: 00737464 6465662e 68001c00 00766275 .stddef.h....vbu + 5da0: 665f6170 692e6800 1d000076 64657363 f_api.h....vdesc + 5db0: 5f617069 2e68001e 00007374 64617267 _api.h....stdarg + 5dc0: 2e680004 00007661 2d787465 6e73612e .h....va-xtensa. + 5dd0: 68001c00 00616466 5f6f735f 646d612e h....adf_os_dma. + 5de0: 68001a00 00616466 5f6f735f 646d615f h....adf_os_dma_ + 5df0: 7076742e 68001b00 00616466 5f6e6574 pvt.h....adf_net + 5e00: 5f747970 65732e68 001f0000 6164665f _types.h....adf_ + 5e10: 6e627566 5f707674 2e680020 0000646d nbuf_pvt.h. ..dm + 5e20: 615f6c69 622e6800 18000068 69665f67 a_lib.h....hif_g + 5e30: 6d61632e 68001800 004d6167 7069655f mac.h....Magpie_ + 5e40: 6170692e 68000200 00757362 6669666f api.h....usbfifo + 5e50: 5f617069 2e680018 00006874 635f6170 _api.h....htc_ap + 5e60: 692e6800 21000068 74632e68 00220000 i.h.!..htc.h.".. + 5e70: 6275665f 706f6f6c 5f617069 2e680023 buf_pool_api.h.# + 5e80: 0000776d 695f7376 635f6170 692e6800 ..wmi_svc_api.h. + 5e90: 24000077 6d692e68 00220000 61746864 $..wmi.h."..athd + 5ea0: 6566732e 68002200 00646d61 5f656e67 efs.h."..dma_eng + 5eb0: 696e655f 6170692e 68002500 00726567 ine_api.h.%..reg + 5ec0: 64756d70 2e680002 00006d61 67706965 dump.h....magpie + 5ed0: 5f726567 64756d70 2e680002 00000000 _regdump.h...... + 5ee0: 0502008e 2188031d 01030209 00030103 ....!........... + 5ef0: 0a090005 01030009 00030103 04090003 ................ + 5f00: 01030309 00060103 01090009 01030309 ................ + 5f10: 00070103 02090008 01030509 00040103 ................ + 5f20: 00090003 01030509 00030103 01090006 ................ + 5f30: 01037f09 00030103 01090002 01030309 ................ + 5f40: 00030103 7e090003 01030209 00120103 ....~........... + 5f50: 7e090003 01030209 00050103 01090003 ~............... + 5f60: 01030109 00080103 04090004 01030009 ................ + 5f70: 00030103 05090003 01030109 00060103 ................ + 5f80: 7f090003 01030109 00020103 05090003 ................ + 5f90: 01037c09 00060103 0409000a 01037c09 ..|...........|. + 5fa0: 00030103 04090005 01030109 00030103 ................ + 5fb0: 01090008 01030509 00050103 00090003 ................ + 5fc0: 01030309 00030103 00090020 01030109 ........... .... + 5fd0: 00050103 0009001b 01030209 00060103 ................ + 5fe0: 05090009 01037d09 00030103 01090005 ......}......... + 5ff0: 01030209 00020103 01090003 01030d09 ................ + 6000: 00020103 00090003 01030109 00030103 ................ + 6010: 00090020 01030109 00060103 00090027 ... ...........' + 6020: 01030409 00030103 0809000a 01030009 ................ + 6030: 00030103 0509000f 01037f09 00020103 ................ + 6040: 7f090002 01037f09 00020103 7f090002 ................ + 6050: 01030f09 00020109 00020001 0100000d ................ + 6060: 22000200 000cd601 01fb0e0a 00010101 "............... + 6070: 01000000 012f726f 6f742f57 6f726b73 ...../root/Works + 6080: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 6090: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 60a0: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 60b0: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 60c0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 60d0: 6f6d2f63 6d6e6f73 2f6d656d 2f737263 om/cmnos/mem/src + 60e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 60f0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 6100: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 6110: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 6120: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 6130: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 6140: 5f312f69 6e632f6d 61677069 65002f72 _1/inc/magpie./r + 6150: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 6160: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 6170: 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 6180: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 6190: 2f746774 2f746172 6765742f 696e632f /tgt/target/inc/ + 61a0: 4f545553 002f726f 6f742f57 6f726b73 OTUS./root/Works + 61b0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 61c0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 61d0: 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in + 61e0: 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt + 61f0: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 6200: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 6210: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 6220: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 6230: 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + 6240: 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ + 6250: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 6260: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 6270: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 6280: 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + 6290: 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 62a0: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 62b0: 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu + 62c0: 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l + 62d0: 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x + 62e0: 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i + 62f0: 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 6300: 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works + 6310: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 6320: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 6330: 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr + 6340: 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W + 6350: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 6360: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 6370: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 6380: 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root + 6390: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 63a0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 63b0: 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r + 63c0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 63d0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 63e0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 63f0: 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ + 6400: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 6410: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 6420: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 6430: 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc + 6440: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 6450: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 6460: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 6470: 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string + 6480: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 6490: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 64a0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 64b0: 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti + 64c0: 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo + 64d0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 64e0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 64f0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 6500: 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r + 6510: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 6520: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 6530: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 6540: 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ + 6550: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 6560: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 6570: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 6580: 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas + 6590: 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W + 65a0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 65b0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 65c0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 65d0: 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo + 65e0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 65f0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 6600: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 6610: 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r + 6620: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 6630: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 6640: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 6650: 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ + 6660: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 6670: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 6680: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 6690: 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i + 66a0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 66b0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 66c0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 66d0: 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r + 66e0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 66f0: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 6700: 696e636c 7564652f 6e627566 002f726f include/nbuf./ro + 6710: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 6720: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 6730: 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ + 6740: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 6750: 3230322f 7467742f 74617267 65742f61 202/tgt/target/a + 6760: 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x + 6770: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 6780: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 6790: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 67a0: 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x + 67b0: 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ + 67c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 67d0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 67e0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 67f0: 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root + 6800: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 6810: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 6820: 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd + 6830: 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo + 6840: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 6850: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 6860: 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work + 6870: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 6880: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 6890: 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu + 68a0: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 68b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 68c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 68d0: 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc + 68e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 68f0: 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ + 6900: 696e636c 75646500 2f726f6f 742f576f include./root/Wo + 6910: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 6920: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 6930: 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p + 6940: 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo + 6950: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 6960: 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi + 6970: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 6980: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 6990: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 69a0: 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi + 69b0: 6e652f69 6e630000 636d6e6f 735f6d65 ne/inc..cmnos_me + 69c0: 6d2e6300 01000073 79735f63 66672e68 m.c....sys_cfg.h + 69d0: 00020000 726f6d5f 6366672e 68000300 ....rom_cfg.h... + 69e0: 006d6167 7069655f 6d656d2e 68000200 .magpie_mem.h... + 69f0: 00617468 6f735f61 70692e68 00020000 .athos_api.h.... + 6a00: 6f736170 692e6800 0400004f 5455535f osapi.h....OTUS_ + 6a10: 736f632e 68000500 0064745f 64656673 soc.h....dt_defs + 6a20: 2e680004 0000636d 6e6f735f 6170692e .h....cmnos_api. + 6a30: 68000600 00636f72 652e6800 07000068 h....core.h....h + 6a40: 616c2e68 00080000 636f7265 2d697361 al.h....core-isa + 6a50: 2e680009 0000636f 72652d6d 61746d61 .h....core-matma + 6a60: 702e6800 09000074 69652e68 00090000 p.h....tie.h.... + 6a70: 78747275 6e74696d 652e6800 08000073 xtruntime.h....s + 6a80: 70656372 65672e68 00090000 636f7265 pecreg.h....core + 6a90: 62697473 2e680008 00007072 696e7466 bits.h....printf + 6aa0: 5f617069 2e68000a 00007561 72745f61 _api.h....uart_a + 6ab0: 70692e68 000b0000 7265675f 64656673 pi.h....reg_defs + 6ac0: 2e680003 00006462 675f6170 692e6800 .h....dbg_api.h. + 6ad0: 0c00006d 656d5f61 70692e68 000d0000 ...mem_api.h.... + 6ae0: 6d697363 5f617069 2e68000e 00007374 misc_api.h....st + 6af0: 72696e67 5f617069 2e68000f 00007469 ring_api.h....ti + 6b00: 6d65725f 6170692e 68001000 00726f6d mer_api.h....rom + 6b10: 705f6170 692e6800 11000061 6c6c6f63 p_api.h....alloc + 6b20: 72616d5f 6170692e 68001200 00746173 ram_api.h....tas + 6b30: 6b6c6574 5f617069 2e680013 0000636c klet_api.h....cl + 6b40: 6f636b5f 6170692e 68001400 00696e74 ock_api.h....int + 6b50: 725f6170 692e6800 15000077 64745f61 r_api.h....wdt_a + 6b60: 70692e68 00160000 65657072 6f6d5f61 pi.h....eeprom_a + 6b70: 70692e68 00170000 7573625f 6170692e pi.h....usb_api. + 6b80: 68001800 00686966 5f706369 2e680018 h....hif_pci.h.. + 6b90: 00006869 665f6170 692e6800 18000061 ..hif_api.h....a + 6ba0: 64665f6e 6275662e 68001900 00616466 df_nbuf.h....adf + 6bb0: 5f6f735f 7574696c 2e68001a 00006164 _os_util.h....ad + 6bc0: 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. + 6bd0: 1b000061 64665f6f 735f7479 7065732e ...adf_os_types. + 6be0: 68001a00 00616466 5f6f735f 73746474 h....adf_os_stdt + 6bf0: 79706573 2e68001a 00006164 665f6f73 ypes.h....adf_os + 6c00: 5f747970 65735f70 76742e68 001b0000 _types_pvt.h.... + 6c10: 73746464 65662e68 001c0000 76627566 stddef.h....vbuf + 6c20: 5f617069 2e68001d 00007664 6573635f _api.h....vdesc_ + 6c30: 6170692e 68001e00 00737464 6172672e api.h....stdarg. + 6c40: 68000400 0076612d 7874656e 73612e68 h....va-xtensa.h + 6c50: 001c0000 6164665f 6f735f64 6d612e68 ....adf_os_dma.h + 6c60: 001a0000 6164665f 6f735f64 6d615f70 ....adf_os_dma_p + 6c70: 76742e68 001b0000 6164665f 6e65745f vt.h....adf_net_ + 6c80: 74797065 732e6800 1f000061 64665f6e types.h....adf_n + 6c90: 6275665f 7076742e 68002000 00646d61 buf_pvt.h. ..dma + 6ca0: 5f6c6962 2e680018 00006869 665f676d _lib.h....hif_gm + 6cb0: 61632e68 00180000 4d616770 69655f61 ac.h....Magpie_a + 6cc0: 70692e68 00020000 75736266 69666f5f pi.h....usbfifo_ + 6cd0: 6170692e 68001800 00687463 5f617069 api.h....htc_api + 6ce0: 2e680021 00006874 632e6800 22000062 .h.!..htc.h."..b + 6cf0: 75665f70 6f6f6c5f 6170692e 68002300 uf_pool_api.h.#. + 6d00: 00776d69 5f737663 5f617069 2e680024 .wmi_svc_api.h.$ + 6d10: 0000776d 692e6800 22000061 74686465 ..wmi.h."..athde + 6d20: 66732e68 00220000 646d615f 656e6769 fs.h."..dma_engi + 6d30: 6e655f61 70692e68 00250000 00000502 ne_api.h.%...... + 6d40: 008e2318 03090103 01090003 01030b09 ..#............. + 6d50: 00050103 00090003 01030509 000f0103 ................ + 6d60: 7f090002 01037f09 00020103 7f090002 ................ + 6d70: 01037f09 00020103 05090002 01090002 ................ + 6d80: 00010100 000ea700 0200000c f90101fb ................ + 6d90: 0e0a0001 01010100 0000012f 726f6f74 .........../root + 6da0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 6db0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 6dc0: 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + 6dd0: 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + 6de0: 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + 6df0: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 6e00: 6973632f 73726300 2f726f6f 742f576f isc/src./root/Wo + 6e10: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 6e20: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 6e30: 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 6e40: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 6e50: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 6e60: 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma + 6e70: 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works + 6e80: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 6e90: 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 6ea0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 6eb0: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 6ec0: 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo + 6ed0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 6ee0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 6ef0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 6f00: 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt + 6f10: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 6f20: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 6f30: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 6f40: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 6f50: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 6f60: 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 6f70: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 6f80: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 6f90: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 6fa0: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 6fb0: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 6fc0: 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 6fd0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 6fe0: 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 6ff0: 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 7000: 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 7010: 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 7020: 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 7030: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 7040: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 7050: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 7060: 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 7070: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 7080: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 7090: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 70a0: 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 70b0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 70c0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 70d0: 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 70e0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 70f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 7100: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 7110: 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 7120: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 7130: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 7140: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 7150: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 7160: 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 7170: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 7180: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 7190: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 71a0: 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 71b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 71c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 71d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 71e0: 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 71f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 7200: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 7210: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 7220: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 7230: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 7240: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 7250: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 7260: 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 7270: 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 7280: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 7290: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 72a0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 72b0: 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 72c0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 72d0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 72e0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 72f0: 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 7300: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 7310: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 7320: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 7330: 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 7340: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 7350: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 7360: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 7370: 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 7380: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 7390: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 73a0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 73b0: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 73c0: 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 73d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 73e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 73f0: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 7400: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 7410: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 7420: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 7430: 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 7440: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 7450: 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 7460: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 7470: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 7480: 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 7490: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 74a0: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 74b0: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 74c0: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 74d0: 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 74e0: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 74f0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 7500: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 7510: 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 7520: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 7530: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 7540: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 7550: 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 7560: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 7570: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 7580: 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 7590: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 75a0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 75b0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 75c0: 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 75d0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 75e0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 75f0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 7600: 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 7610: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 7620: 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 7630: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 7640: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 7650: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 7660: 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 7670: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 7680: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 7690: 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 76a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 76b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 76c0: 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 76d0: 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c + 76e0: 6d6e6f73 5f6d6973 632e6300 01000073 mnos_misc.c....s + 76f0: 79735f63 66672e68 00020000 726f6d5f ys_cfg.h....rom_ + 7700: 6366672e 68000300 006d6167 7069655f cfg.h....magpie_ + 7710: 6d656d2e 68000200 00617468 6f735f61 mem.h....athos_a + 7720: 70692e68 00020000 6f736170 692e6800 pi.h....osapi.h. + 7730: 0400004f 5455535f 736f632e 68000500 ...OTUS_soc.h... + 7740: 0064745f 64656673 2e680004 0000636d .dt_defs.h....cm + 7750: 6e6f735f 6170692e 68000600 00636f72 nos_api.h....cor + 7760: 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... + 7770: 636f7265 2d697361 2e680009 0000636f core-isa.h....co + 7780: 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t + 7790: 69652e68 00090000 78747275 6e74696d ie.h....xtruntim + 77a0: 652e6800 08000073 70656372 65672e68 e.h....specreg.h + 77b0: 00090000 636f7265 62697473 2e680008 ....corebits.h.. + 77c0: 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. + 77d0: 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... + 77e0: 7265675f 64656673 2e680003 00006462 reg_defs.h....db + 77f0: 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + 7800: 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + 7810: 2e68000e 00007374 72696e67 5f617069 .h....string_api + 7820: 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + 7830: 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + 7840: 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 7850: 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + 7860: 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + 7870: 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + 7880: 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + 7890: 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + 78a0: 7573625f 6170692e 68001800 00686966 usb_api.h....hif + 78b0: 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + 78c0: 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + 78d0: 68001900 00616466 5f6f735f 7574696c h....adf_os_util + 78e0: 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + 78f0: 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + 7900: 735f7479 7065732e 68001a00 00616466 s_types.h....adf + 7910: 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + 7920: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 7930: 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + 7940: 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. + 7950: 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... + 7960: 00737464 6172672e 68000400 0076612d .stdarg.h....va- + 7970: 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ + 7980: 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ + 7990: 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... + 79a0: 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + 79b0: 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + 79c0: 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. + 79d0: 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... + 79e0: 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... + 79f0: 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... + 7a00: 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht + 7a10: 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ + 7a20: 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc + 7a30: 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. + 7a40: 22000061 74686465 66732e68 00220000 "..athdefs.h.".. + 7a50: 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h + 7a60: 00250000 72656764 756d702e 68000200 .%..regdump.h... + 7a70: 006d6167 7069655f 72656764 756d702e .magpie_regdump. + 7a80: 68000200 00000005 02008e23 40031401 h..........#@... + 7a90: 03000900 03010305 09000601 03010900 ................ + 7aa0: 06010311 09000501 03050900 03010309 ................ + 7ab0: 09000501 03000900 03010302 09000301 ................ + 7ac0: 03060900 0e01037f 09000401 03010900 ................ + 7ad0: 0501037f 09000301 03050900 06010302 ................ + 7ae0: 09000a01 037f0900 04010301 09000401 ................ + 7af0: 03040900 06010301 09000801 03020900 ................ + 7b00: 0801030b 09000601 03000900 03010301 ................ + 7b10: 09000301 03030900 08010302 09000201 ................ + 7b20: 03020900 02010304 09000b01 03050900 ................ + 7b30: 0c010303 09000b01 03010900 09010301 ................ + 7b40: 09000401 03010900 05010302 09000901 ................ + 7b50: 03020900 0b01037a 09000401 03070900 .......z........ + 7b60: 03010309 09000901 03070900 02010302 ................ + 7b70: 09000301 03070900 05010302 09000301 ................ + 7b80: 03070900 05010304 09000301 03060900 ................ + 7b90: 1101037d 09000901 03090900 0b010308 ...}............ + 7ba0: 09000801 03000900 0301030b 09000301 ................ + 7bb0: 030e0900 0601037e 09000401 03020900 .......~........ + 7bc0: 0601030e 09000301 03790900 02010307 .........y...... + 7bd0: 09000201 03090900 03010300 09000301 ................ + 7be0: 03030900 03010308 09000601 03000900 ................ + 7bf0: 03010309 09001801 037f0900 0201037f ................ + 7c00: 09000201 037e0900 0201037f 09000201 .....~.......... + 7c10: 037f0900 0201037f 09000201 037f0900 ................ + 7c20: 02010309 09000201 09000200 01010000 ................ + 7c30: 10c30002 00000cdc 0101fb0e 0a000101 ................ + 7c40: 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 7c50: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 7c60: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 7c70: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 7c80: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 7c90: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 7ca0: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 7cb0: 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + 7cc0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 7cd0: 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 7ce0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 7cf0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 7d00: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 7d10: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 7d20: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 7d30: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 7d40: 696e632f 6d616770 6965002f 726f6f74 inc/magpie./root + 7d50: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 7d60: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 7d70: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 7d80: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 7d90: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 7da0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 7db0: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 7dc0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 7dd0: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 7de0: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 7df0: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 7e00: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 7e10: 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + 7e20: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 7e30: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 7e40: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 7e50: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 7e60: 656c662f 696e636c 7564652f 7874656e elf/include/xten + 7e70: 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + 7e80: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 7e90: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 7ea0: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 7eb0: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 7ec0: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 7ed0: 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + 7ee0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 7ef0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 7f00: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 7f10: 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + 7f20: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 7f30: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 7f40: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 7f50: 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + 7f60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 7f70: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 7f80: 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + 7f90: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 7fa0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 7fb0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 7fc0: 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + 7fd0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 7fe0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 7ff0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 8000: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 8010: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 8020: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 8030: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 8040: 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + 8050: 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + 8060: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 8070: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 8080: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 8090: 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + 80a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 80b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 80c0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 80d0: 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + 80e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 80f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 8100: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 8110: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 8120: 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + 8130: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 8140: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 8150: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 8160: 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + 8170: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 8180: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 8190: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 81a0: 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + 81b0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 81c0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 81d0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 81e0: 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + 81f0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 8200: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 8210: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 8220: 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + 8230: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 8240: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 8250: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 8260: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 8270: 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + 8280: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 8290: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 82a0: 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + 82b0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 82c0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 82d0: 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + 82e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 82f0: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 8300: 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + 8310: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 8320: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 8330: 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o + 8340: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 8350: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 8360: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 8370: 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l + 8380: 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu + 8390: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 83a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 83b0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 83c0: 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ + 83d0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 83e0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 83f0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 8400: 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo + 8410: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 8420: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 8430: 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ + 8440: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 8450: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 8460: 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf + 8470: 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ + 8480: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 8490: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 84a0: 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + 84b0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 84c0: 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w + 84d0: 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo + 84e0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 84f0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 8500: 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b + 8510: 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo + 8520: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 8530: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 8540: 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W + 8550: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 8560: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 8570: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 8580: 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno + 8590: 735f7072 696e7466 2e630001 00006474 s_printf.c....dt + 85a0: 5f646566 732e6800 02000073 79735f63 _defs.h....sys_c + 85b0: 66672e68 00030000 726f6d5f 6366672e fg.h....rom_cfg. + 85c0: 68000400 006d6167 7069655f 6d656d2e h....magpie_mem. + 85d0: 68000300 00617468 6f735f61 70692e68 h....athos_api.h + 85e0: 00030000 6f736170 692e6800 0200004f ....osapi.h....O + 85f0: 5455535f 736f632e 68000500 00636d6e TUS_soc.h....cmn + 8600: 6f735f61 70692e68 00060000 636f7265 os_api.h....core + 8610: 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 8620: 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 8630: 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 8640: 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 8650: 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 8660: 09000063 6f726562 6974732e 68000800 ...corebits.h... + 8670: 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 8680: 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 8690: 65675f64 6566732e 68000400 00646267 eg_defs.h....dbg + 86a0: 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 86b0: 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 86c0: 68000e00 00737472 696e675f 6170692e h....string_api. + 86d0: 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 86e0: 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 86f0: 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 8700: 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 8710: 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 8720: 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 8730: 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 8740: 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 8750: 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 8760: 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 8770: 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 8780: 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 8790: 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 87a0: 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 87b0: 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 87c0: 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 87d0: 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 87e0: 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 87f0: 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 8800: 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 8810: 73746461 72672e68 00020000 76612d78 stdarg.h....va-x + 8820: 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 8830: 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 8840: 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 8850: 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 8860: 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 8870: 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 8880: 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M + 8890: 61677069 655f6170 692e6800 03000075 agpie_api.h....u + 88a0: 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 88b0: 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 88c0: 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 88d0: 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 88e0: 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 88f0: 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 8900: 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 8910: 25000000 00050200 8e24b803 3a010300 %........$..:... + 8920: 09000301 03010900 03010301 09000301 ................ + 8930: 03010900 08010305 09000801 037c0900 .............|.. + 8940: 02010302 09000501 03020900 08010305 ................ + 8950: 09000401 03000900 03010307 09000201 ................ + 8960: 03020900 0c010301 09000201 03010900 ................ + 8970: 0701037f 09000201 03010900 0501037f ................ + 8980: 09000201 03010900 0501037e 09000501 ...........~.... + 8990: 03090900 03010377 09000201 03050900 .......w........ + 89a0: 02010301 09000c01 037f0900 0d010304 ................ + 89b0: 09000201 03010900 03010305 09000201 ................ + 89c0: 03000900 03010306 09000201 037a0900 .............z.. + 89d0: 02010306 09000801 03020900 0f010301 ................ + 89e0: 09000801 037f0900 02010301 09000301 ................ + 89f0: 03010900 03010302 09000301 037e0900 .............~.. + 8a00: 02010302 09000201 03010900 030103af ................ + 8a10: 0109000c 01034009 00080103 0109000c ......@......... + 8a20: 01030109 000e0103 01090002 01038e7f ................ + 8a30: 09000301 03030900 04010302 09000501 ................ + 8a40: 03020900 0c010302 09000401 03010900 ................ + 8a50: 0d010301 09000b01 037f0900 0501037f ................ + 8a60: 09000201 030f0900 12010375 09000301 ...........u.... + 8a70: 03010900 05010301 09000301 037f0900 ................ + 8a80: 02010302 09000201 037f0900 03010301 ................ + 8a90: 09000401 03020900 0601037e 09000501 ...........~.... + 8aa0: 03350900 09010353 09000201 03020900 .5.....S........ + 8ab0: 03010304 09000301 037c0900 02010304 .........|...... + 8ac0: 09000801 03270900 0e01036a 09005301 .....'.....j..S. + 8ad0: 03060900 0f01037a 09000501 03060900 .......z........ + 8ae0: 08010301 09000601 03020900 030103d2 ................ + 8af0: 00090008 01035709 004e0103 7b090015 ......W..N..{... + 8b00: 01030109 000e0103 68090003 01036909 ........h.....i. + 8b10: 00020103 01090003 0103db00 09001701 ................ + 8b20: 036b0900 36010309 09000201 03780900 .k..6........x.. + 8b30: 0201037f 09000201 03010900 02010308 ................ + 8b40: 09000301 03010900 08010301 09000801 ................ + 8b50: 037f0900 02010301 09000901 03630900 .............c.. + 8b60: 02010328 09000401 03040900 0201037d ...(...........} + 8b70: 09000201 037f0900 03010302 09000301 ................ + 8b80: 037f0900 02010305 09000701 03010900 ................ + 8b90: 07010301 09000301 03040900 0c010302 ................ + 8ba0: 09000201 03020900 0b010301 09000701 ................ + 8bb0: 037e0900 02010305 09000d01 03010900 .~.............. + 8bc0: 09010301 09000301 03030900 07010301 ................ + 8bd0: 09000501 03010900 0601037d 09000201 ...........}.... + 8be0: 03050900 0a0103f6 7e090010 01031209 ........~....... + 8bf0: 00050103 01090003 0103d900 09001601 ................ + 8c00: 03bf7f09 00150103 01090005 01030209 ................ + 8c10: 00060103 3e09000b 01035c09 00050103 ....>.....\..... + 8c20: 24090014 01037d09 00060103 01090005 $.....}......... + 8c30: 01030109 00050103 01090005 01037a09 ..............z. + 8c40: 00050103 01090005 01032509 00030103 ..........%..... + 8c50: 01090005 01037e09 00020103 887f0900 ......~......... + 8c60: 0c010335 09000d01 03050900 2001031f ...5........ ... + 8c70: 09001901 03650900 050103c4 00090017 .....e.......... + 8c80: 0103a57f 09000201 035b0900 1a01032c .........[....., + 8c90: 09002301 03010900 0e01030b 09000301 ..#............. + 8ca0: 03cc0009 00080103 00090003 01030809 ................ + 8cb0: 000c0103 78090005 01030809 00100103 ....x........... + 8cc0: 0709000b 01030509 00050103 01090003 ................ + 8cd0: 01030309 00050103 00090003 01030209 ................ + 8ce0: 00060103 7f090002 01030209 00020109 ................ + 8cf0: 00020001 0100000e 76000200 000ce001 ........v....... + 8d00: 01fb0e0a 00010101 01000000 012f726f ............./ro + 8d10: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 8d20: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 8d30: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 8d40: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 8d50: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 8d60: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 8d70: 2f726f6d 70617463 682f7372 63002f72 /rompatch/src./r + 8d80: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 8d90: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 8da0: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 8db0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 8dc0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 8dd0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 8de0: 696e632f 6d616770 6965002f 726f6f74 inc/magpie./root + 8df0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 8e00: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 8e10: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 8e20: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 8e30: 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU + 8e40: 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac + 8e50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 8e60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 8e70: 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ + 8e80: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 8e90: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 8ea0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 8eb0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 8ec0: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 8ed0: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 8ee0: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 8ef0: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 8f00: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 8f10: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 8f20: 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc + 8f30: 6c756465 2f787465 6e736100 2f6f7074 lude/xtensa./opt + 8f40: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 8f50: 6c732f69 6e737461 6c6c2f62 75696c64 ls/install/build + 8f60: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 8f70: 782f4d61 67706965 5f50302f 7874656e x/Magpie_P0/xten + 8f80: 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl + 8f90: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 8fa0: 67002f72 6f6f742f 576f726b 73706163 g./root/Workspac + 8fb0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 8fc0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 8fd0: 2f726f6d 2f636d6e 6f732f70 72696e74 /rom/cmnos/print + 8fe0: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 8ff0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 9000: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 9010: 5f315f31 2f726f6d 2f636d6e 6f732f75 _1_1/rom/cmnos/u + 9020: 6172742f 696e6300 2f726f6f 742f576f art/inc./root/Wo + 9030: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 9040: 322f7467 742f7461 72676574 2f636d6e 2/tgt/target/cmn + 9050: 6f732f64 62672f69 6e63002f 726f6f74 os/dbg/inc./root + 9060: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 9070: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 9080: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 9090: 6e6f732f 6d656d2f 696e6300 2f726f6f nos/mem/inc./roo + 90a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 90b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 90c0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 90d0: 6d6e6f73 2f6d6973 632f696e 63002f72 mnos/misc/inc./r + 90e0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 90f0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 9100: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 9110: 2f636d6e 6f732f73 7472696e 672f696e /cmnos/string/in + 9120: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 9130: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 9140: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 9150: 2f726f6d 2f636d6e 6f732f74 696d6572 /rom/cmnos/timer + 9160: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 9170: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 9180: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 9190: 315f312f 726f6d2f 636d6e6f 732f726f 1_1/rom/cmnos/ro + 91a0: 6d706174 63682f69 6e63002f 726f6f74 mpatch/inc./root + 91b0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 91c0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 91d0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 91e0: 6e6f732f 616c6c6f 6372616d 2f696e63 nos/allocram/inc + 91f0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 9200: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 9210: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 9220: 726f6d2f 636d6e6f 732f7461 736b6c65 rom/cmnos/taskle + 9230: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 9240: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 9250: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 9260: 5f315f31 2f726f6d 2f636d6e 6f732f63 _1_1/rom/cmnos/c + 9270: 6c6f636b 2f696e63 002f726f 6f742f57 lock/inc./root/W + 9280: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 9290: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 92a0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 92b0: 732f696e 74722f69 6e63002f 726f6f74 s/intr/inc./root + 92c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 92d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 92e0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 92f0: 6e6f732f 7764742f 696e6300 2f726f6f nos/wdt/inc./roo + 9300: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 9310: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 9320: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 9330: 6d6e6f73 2f656570 726f6d2f 696e6300 mnos/eeprom/inc. + 9340: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 9350: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 9360: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 9370: 6f6d2f68 69662f69 6e63002f 726f6f74 om/hif/inc./root + 9380: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 9390: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 93a0: 6c756465 2f6e6275 66002f72 6f6f742f lude/nbuf./root/ + 93b0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 93c0: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 93d0: 7564652f 6f73002f 726f6f74 2f576f72 ude/os./root/Wor + 93e0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 93f0: 2f746774 2f746172 6765742f 6164662f /tgt/target/adf/ + 9400: 6f732f69 6e63002f 6f70742f 7874656e os/inc./opt/xten + 9410: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 9420: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 9430: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 9440: 7361546f 6f6c732f 6c69622f 7863632d saTools/lib/xcc- + 9450: 6c69622f 696e636c 75646500 2f726f6f lib/include./roo + 9460: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 9470: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 9480: 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 9490: 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo + 94a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 94b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 94c0: 69655f31 5f312f72 6f6d2f76 64657363 ie_1_1/rom/vdesc + 94d0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 94e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 94f0: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 9500: 6574002f 726f6f74 2f576f72 6b737061 et./root/Workspa + 9510: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 9520: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 9530: 312f726f 6d2f6164 662f6e62 75662f69 1/rom/adf/nbuf/i + 9540: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 9550: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 9560: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 9570: 312f726f 6d2f6874 632f696e 63002f72 1/rom/htc/inc./r + 9580: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 9590: 31325f76 3230322f 776c616e 2f696e63 12_v202/wlan/inc + 95a0: 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works + 95b0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 95c0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 95d0: 315f312f 726f6d2f 6275665f 706f6f6c 1_1/rom/buf_pool + 95e0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 95f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 9600: 67742f74 61726765 742f776d 692f696e gt/target/wmi/in + 9610: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 9620: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 9630: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 9640: 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ + 9650: 696e6300 00636d6e 6f735f72 6f6d7061 inc..cmnos_rompa + 9660: 7463682e 63000100 00737973 5f636667 tch.c....sys_cfg + 9670: 2e680002 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. + 9680: 0300006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. + 9690: 02000061 74686f73 5f617069 2e680002 ...athos_api.h.. + 96a0: 00006f73 6170692e 68000400 004f5455 ..osapi.h....OTU + 96b0: 535f736f 632e6800 05000064 745f6465 S_soc.h....dt_de + 96c0: 66732e68 00040000 636d6e6f 735f6170 fs.h....cmnos_ap + 96d0: 692e6800 06000063 6f72652e 68000700 i.h....core.h... + 96e0: 0068616c 2e680008 0000636f 72652d69 .hal.h....core-i + 96f0: 73612e68 00090000 636f7265 2d6d6174 sa.h....core-mat + 9700: 6d61702e 68000900 00746965 2e680009 map.h....tie.h.. + 9710: 00007874 72756e74 696d652e 68000800 ..xtruntime.h... + 9720: 00737065 63726567 2e680009 0000636f .specreg.h....co + 9730: 72656269 74732e68 00080000 7072696e rebits.h....prin + 9740: 74665f61 70692e68 000a0000 75617274 tf_api.h....uart + 9750: 5f617069 2e68000b 00007265 675f6465 _api.h....reg_de + 9760: 66732e68 00030000 6462675f 6170692e fs.h....dbg_api. + 9770: 68000c00 006d656d 5f617069 2e68000d h....mem_api.h.. + 9780: 00006d69 73635f61 70692e68 000e0000 ..misc_api.h.... + 9790: 73747269 6e675f61 70692e68 000f0000 string_api.h.... + 97a0: 74696d65 725f6170 692e6800 10000072 timer_api.h....r + 97b0: 6f6d705f 6170692e 68001100 00616c6c omp_api.h....all + 97c0: 6f637261 6d5f6170 692e6800 12000074 ocram_api.h....t + 97d0: 61736b6c 65745f61 70692e68 00130000 asklet_api.h.... + 97e0: 636c6f63 6b5f6170 692e6800 14000069 clock_api.h....i + 97f0: 6e74725f 6170692e 68001500 00776474 ntr_api.h....wdt + 9800: 5f617069 2e680016 00006565 70726f6d _api.h....eeprom + 9810: 5f617069 2e680017 00007573 625f6170 _api.h....usb_ap + 9820: 692e6800 18000068 69665f70 63692e68 i.h....hif_pci.h + 9830: 00180000 6869665f 6170692e 68001800 ....hif_api.h... + 9840: 00616466 5f6e6275 662e6800 19000061 .adf_nbuf.h....a + 9850: 64665f6f 735f7574 696c2e68 001a0000 df_os_util.h.... + 9860: 6164665f 6f735f75 74696c5f 7076742e adf_os_util_pvt. + 9870: 68001b00 00616466 5f6f735f 74797065 h....adf_os_type + 9880: 732e6800 1a000061 64665f6f 735f7374 s.h....adf_os_st + 9890: 64747970 65732e68 001a0000 6164665f dtypes.h....adf_ + 98a0: 6f735f74 79706573 5f707674 2e68001b os_types_pvt.h.. + 98b0: 00007374 64646566 2e68001c 00007662 ..stddef.h....vb + 98c0: 75665f61 70692e68 001d0000 76646573 uf_api.h....vdes + 98d0: 635f6170 692e6800 1e000073 74646172 c_api.h....stdar + 98e0: 672e6800 04000076 612d7874 656e7361 g.h....va-xtensa + 98f0: 2e68001c 00006164 665f6f73 5f646d61 .h....adf_os_dma + 9900: 2e68001a 00006164 665f6f73 5f646d61 .h....adf_os_dma + 9910: 5f707674 2e68001b 00006164 665f6e65 _pvt.h....adf_ne + 9920: 745f7479 7065732e 68001f00 00616466 t_types.h....adf + 9930: 5f6e6275 665f7076 742e6800 20000064 _nbuf_pvt.h. ..d + 9940: 6d615f6c 69622e68 00180000 6869665f ma_lib.h....hif_ + 9950: 676d6163 2e680018 00004d61 67706965 gmac.h....Magpie + 9960: 5f617069 2e680002 00007573 62666966 _api.h....usbfif + 9970: 6f5f6170 692e6800 18000068 74635f61 o_api.h....htc_a + 9980: 70692e68 00210000 6874632e 68002200 pi.h.!..htc.h.". + 9990: 00627566 5f706f6f 6c5f6170 692e6800 .buf_pool_api.h. + 99a0: 23000077 6d695f73 76635f61 70692e68 #..wmi_svc_api.h + 99b0: 00240000 776d692e 68002200 00617468 .$..wmi.h."..ath + 99c0: 64656673 2e680022 0000646d 615f656e defs.h."..dma_en + 99d0: 67696e65 5f617069 2e680025 00000000 gine_api.h.%.... + 99e0: 0502008e 29cc0323 01030009 00030103 ....)..#........ + 99f0: 06090003 01030109 00100103 06090002 ................ + 9a00: 01030009 00030103 0b090003 01030109 ................ + 9a10: 00080103 03090005 01030109 000b0103 ................ + 9a20: 10090002 01037309 00020103 02090008 ......s......... + 9a30: 01030109 000d0103 02090007 01030109 ................ + 9a40: 000a0103 01090006 01030109 00060103 ................ + 9a50: 7b090006 01030609 00030103 7a090005 {...........z... + 9a60: 01030809 00030103 02090002 01030c09 ................ + 9a70: 00050103 00090003 01030f09 00030103 ................ + 9a80: 7c090003 01030409 00030103 0109000c |............... + 9a90: 01037f09 000a0103 0e090004 01037e09 ..............~. + 9aa0: 00020103 02090002 01030509 00080103 ................ + 9ab0: 11090004 01036209 00020103 1e090002 ......b......... + 9ac0: 01030c09 00020103 00090003 01030b09 ................ + 9ad0: 00030103 02090005 01030909 00050103 ................ + 9ae0: 00090003 01031809 00050103 0309000f ................ + 9af0: 01030209 000f0103 01090003 01037f09 ................ + 9b00: 00030103 01090003 01030409 00080103 ................ + 9b10: 05090008 01030209 00090103 7009000b ............p... + 9b20: 01031a09 00020103 78090002 01030809 ........x....... + 9b30: 00080103 08090005 01030309 00030103 ................ + 9b40: 04090005 01030009 00030103 0409000c ................ + 9b50: 01037f09 00020103 7f090002 01037f09 ................ + 9b60: 00020103 05090002 01090002 00010100 ................ + 9b70: 000d2e00 0200000c dc0101fb 0e0a0001 ................ + 9b80: 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor + 9b90: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 9ba0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 9bb0: 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp + 9bc0: 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// + 9bd0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 9be0: 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin + 9bf0: 672f7372 63002f72 6f6f742f 576f726b g/src./root/Work + 9c00: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 9c10: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 9c20: 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 9c30: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 9c40: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 9c50: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 9c60: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 9c70: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 9c80: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 9c90: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 9ca0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 9cb0: 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 9cc0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 9cd0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 9ce0: 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 9cf0: 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten + 9d00: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 9d10: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 9d20: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 9d30: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 9d40: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 9d50: 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 9d60: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 9d70: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 9d80: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 9d90: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 9da0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 9db0: 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 9dc0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 9dd0: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 9de0: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 9df0: 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 9e00: 7263682f 696e636c 7564652f 7874656e rch/include/xten + 9e10: 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 9e20: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 9e30: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 9e40: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 9e50: 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 9e60: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 9e70: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 9e80: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 9e90: 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 9ea0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 9eb0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 9ec0: 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 9ed0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 9ee0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 9ef0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 9f00: 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 9f10: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 9f20: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 9f30: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 9f40: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 9f50: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 9f60: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 9f70: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 9f80: 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 9f90: 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 9fa0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 9fb0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 9fc0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 9fd0: 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 9fe0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 9ff0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + a000: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + a010: 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + a020: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + a030: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + a040: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + a050: 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + a060: 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + a070: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + a080: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + a090: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + a0a0: 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + a0b0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + a0c0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + a0d0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + a0e0: 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + a0f0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + a100: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + a110: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + a120: 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + a130: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + a140: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + a150: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + a160: 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + a170: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + a180: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + a190: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + a1a0: 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + a1b0: 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + a1c0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + a1d0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + a1e0: 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + a1f0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + a200: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + a210: 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + a220: 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + a230: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + a240: 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + a250: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + a260: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + a270: 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + a280: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + a290: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + a2a0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + a2b0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + a2c0: 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + a2d0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + a2e0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + a2f0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + a300: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + a310: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + a320: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + a330: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + a340: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + a350: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + a360: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + a370: 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root + a380: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + a390: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + a3a0: 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad + a3b0: 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root + a3c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + a3d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + a3e0: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + a3f0: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + a400: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + a410: 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + a420: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + a430: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + a440: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + a450: 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + a460: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + a470: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + a480: 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + a490: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + a4a0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + a4b0: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + a4c0: 5f656e67 696e652f 696e6300 00636d6e _engine/inc..cmn + a4d0: 6f735f73 7472696e 672e6300 01000061 os_string.c....a + a4e0: 74686f73 5f617069 2e680002 00006f73 thos_api.h....os + a4f0: 6170692e 68000300 004f5455 535f736f api.h....OTUS_so + a500: 632e6800 04000064 745f6465 66732e68 c.h....dt_defs.h + a510: 00030000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. + a520: 05000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + a530: 726f6d5f 6366672e 68000600 006d6167 rom_cfg.h....mag + a540: 7069655f 6d656d2e 68000200 00636f72 pie_mem.h....cor + a550: 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... + a560: 636f7265 2d697361 2e680009 0000636f core-isa.h....co + a570: 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t + a580: 69652e68 00090000 78747275 6e74696d ie.h....xtruntim + a590: 652e6800 08000073 70656372 65672e68 e.h....specreg.h + a5a0: 00090000 636f7265 62697473 2e680008 ....corebits.h.. + a5b0: 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. + a5c0: 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... + a5d0: 7265675f 64656673 2e680006 00006462 reg_defs.h....db + a5e0: 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + a5f0: 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + a600: 2e68000e 00007374 72696e67 5f617069 .h....string_api + a610: 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + a620: 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + a630: 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + a640: 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + a650: 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + a660: 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + a670: 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + a680: 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + a690: 7573625f 6170692e 68001800 00686966 usb_api.h....hif + a6a0: 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + a6b0: 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + a6c0: 68001900 00616466 5f6f735f 7574696c h....adf_os_util + a6d0: 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + a6e0: 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + a6f0: 735f7479 7065732e 68001a00 00616466 s_types.h....adf + a700: 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + a710: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + a720: 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + a730: 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. + a740: 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... + a750: 00737464 6172672e 68000300 0076612d .stdarg.h....va- + a760: 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ + a770: 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ + a780: 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... + a790: 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + a7a0: 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + a7b0: 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. + a7c0: 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... + a7d0: 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... + a7e0: 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... + a7f0: 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht + a800: 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ + a810: 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc + a820: 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. + a830: 22000061 74686465 66732e68 00220000 "..athdefs.h.".. + a840: 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h + a850: 00250000 00000502 008e2b24 030c0103 .%........+$.... + a860: 01090003 01030409 00050103 00090003 ................ + a870: 01030609 00120103 7f090002 01037f09 ................ + a880: 00020103 7f090002 01037f09 00020103 ................ + a890: 7f090002 01030609 00020109 00020001 ................ + a8a0: 0100000e 50000200 000cde01 01fb0e0a ....P........... + a8b0: 00010101 01000000 012f726f 6f742f57 ........./root/W + a8c0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + a8d0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + a8e0: 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + a8f0: 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + a900: 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + a910: 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas + a920: 6b6c6574 2f737263 002f726f 6f742f57 klet/src./root/W + a930: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + a940: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + a950: 7069655f 315f312f 696e6300 2f726f6f pie_1_1/inc./roo + a960: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + a970: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + a980: 6d616770 69655f31 5f312f69 6e632f6d magpie_1_1/inc/m + a990: 61677069 65002f72 6f6f742f 576f726b agpie./root/Work + a9a0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + a9b0: 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ + a9c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + a9d0: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + a9e0: 6765742f 696e632f 4f545553 002f726f get/inc/OTUS./ro + a9f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + aa00: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + aa10: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + aa20: 636d6e6f 732f696e 63002f6f 70742f78 cmnos/inc./opt/x + aa30: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + aa40: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + aa50: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + aa60: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + aa70: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + aa80: 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt + aa90: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + aaa0: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + aab0: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + aac0: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + aad0: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + aae0: 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten + aaf0: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + ab00: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + ab10: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + ab20: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + ab30: 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x + ab40: 74656e73 612f636f 6e666967 002f726f tensa/config./ro + ab50: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + ab60: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + ab70: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + ab80: 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc + ab90: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + aba0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + abb0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + abc0: 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i + abd0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + abe0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + abf0: 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db + ac00: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + ac10: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + ac20: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + ac30: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + ac40: 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor + ac50: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + ac60: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + ac70: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + ac80: 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W + ac90: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + aca0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + acb0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + acc0: 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro + acd0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + ace0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + acf0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + ad00: 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. + ad10: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + ad20: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + ad30: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + ad40: 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc + ad50: 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work + ad60: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + ad70: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + ad80: 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a + ad90: 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo + ada0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + adb0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + adc0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + add0: 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc + ade0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + adf0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + ae00: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + ae10: 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ + ae20: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + ae30: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + ae40: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + ae50: 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int + ae60: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + ae70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + ae80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + ae90: 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w + aea0: 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor + aeb0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + aec0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + aed0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + aee0: 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root + aef0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + af00: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + af10: 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi + af20: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + af30: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + af40: 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + af50: 6e627566 002f726f 6f742f57 6f726b73 nbuf./root/Works + af60: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + af70: 67742f61 64662f69 6e636c75 64652f6f gt/adf/include/o + af80: 73002f72 6f6f742f 576f726b 73706163 s./root/Workspac + af90: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + afa0: 74617267 65742f61 64662f6f 732f696e target/adf/os/in + afb0: 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt + afc0: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + afd0: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + afe0: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + aff0: 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i + b000: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + b010: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + b020: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + b030: 655f315f 312f726f 6d2f7662 75662f69 e_1_1/rom/vbuf/i + b040: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + b050: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + b060: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + b070: 312f726f 6d2f7664 6573632f 696e6300 1/rom/vdesc/inc. + b080: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + b090: 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + b0a0: 662f696e 636c7564 652f6e65 74002f72 f/include/net./r + b0b0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + b0c0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + b0d0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + b0e0: 2f616466 2f6e6275 662f696e 63002f72 /adf/nbuf/inc./r + b0f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + b100: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + b110: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + b120: 2f687463 2f696e63 002f726f 6f742f57 /htc/inc./root/W + b130: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + b140: 30322f77 6c616e2f 696e636c 75646500 02/wlan/include. + b150: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + b160: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + b170: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + b180: 6f6d2f62 75665f70 6f6f6c2f 696e6300 om/buf_pool/inc. + b190: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + b1a0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + b1b0: 72676574 2f776d69 2f696e63 002f726f rget/wmi/inc./ro + b1c0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + b1d0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + b1e0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + b1f0: 646d615f 656e6769 6e652f69 6e630000 dma_engine/inc.. + b200: 636d6e6f 735f7461 736b6c65 742e6300 cmnos_tasklet.c. + b210: 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + b220: 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag + b230: 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath + b240: 6f735f61 70692e68 00020000 6f736170 os_api.h....osap + b250: 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. + b260: 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. + b270: 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + b280: 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h + b290: 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. + b2a0: 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + b2b0: 09000074 69652e68 00090000 78747275 ...tie.h....xtru + b2c0: 6e74696d 652e6800 08000073 70656372 ntime.h....specr + b2d0: 65672e68 00090000 636f7265 62697473 eg.h....corebits + b2e0: 2e680008 00007072 696e7466 5f617069 .h....printf_api + b2f0: 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h + b300: 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. + b310: 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m + b320: 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc + b330: 5f617069 2e68000e 00007374 72696e67 _api.h....string + b340: 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ + b350: 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap + b360: 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ + b370: 6170692e 68001200 00746173 6b6c6574 api.h....tasklet + b380: 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ + b390: 6170692e 68001400 00696e74 725f6170 api.h....intr_ap + b3a0: 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h + b3b0: 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h + b3c0: 00170000 7573625f 6170692e 68001800 ....usb_api.h... + b3d0: 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi + b3e0: 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n + b3f0: 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ + b400: 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os + b410: 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a + b420: 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... + b430: 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes + b440: 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ + b450: 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd + b460: 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api + b470: 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + b480: 68001e00 00737464 6172672e 68000400 h....stdarg.h... + b490: 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + b4a0: 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + b4b0: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + b4c0: 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + b4d0: 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + b4e0: 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + b4f0: 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + b500: 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + b510: 00020000 75736266 69666f5f 6170692e ....usbfifo_api. + b520: 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + b530: 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + b540: 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + b550: 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + b560: 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + b570: 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + b580: 70692e68 00250000 00000502 008e2b50 pi.h.%........+P + b590: 03100103 00090003 01030209 00030103 ................ + b5a0: 00090002 01030109 00020103 04090002 ................ + b5b0: 01030109 00030103 7f090002 01030209 ................ + b5c0: 00020103 01090002 01030109 00020103 ................ + b5d0: 01090002 01030409 00030103 01090003 ................ + b5e0: 01030409 00050103 7c090002 01030509 ........|....... + b5f0: 00030103 7f090002 01030409 00020103 ................ + b600: 03090006 01030409 00050103 04090003 ................ + b610: 01030409 00080103 01090002 01030209 ................ + b620: 00020103 0d090004 01037209 00020103 ..........r..... + b630: 02090005 01030809 00050103 01090002 ................ + b640: 01037409 00020103 05090006 01030309 ..t............. + b650: 00040103 07090002 01030409 00050103 ................ + b660: 00090003 01030309 00030103 7d090002 ............}... + b670: 01030409 00020103 04090004 01030109 ................ + b680: 00020103 7c090002 01030409 00020103 ....|........... + b690: 7c090002 01030109 00020103 03090002 |............... + b6a0: 01030109 00030103 02090002 01037809 ..............x. + b6b0: 00020103 0b090003 01030109 00020103 ................ + b6c0: 04090002 01030009 00030103 0509000f ................ + b6d0: 01037f09 00020103 7f090002 01037f09 ................ + b6e0: 00020103 7f090002 01030509 00020109 ................ + b6f0: 00020001 0100000e 28000200 000cda01 ........(....... + b700: 01fb0e0a 00010101 01000000 012f726f ............./ro + b710: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + b720: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + b730: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + b740: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + b750: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + b760: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + b770: 2f74696d 65722f73 7263002f 726f6f74 /timer/src./root + b780: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + b790: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + b7a0: 61677069 655f315f 312f696e 63002f72 agpie_1_1/inc./r + b7b0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + b7c0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + b7d0: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + b7e0: 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo + b7f0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + b800: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + b810: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + b820: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + b830: 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ + b840: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + b850: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + b860: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + b870: 6d2f636d 6e6f732f 696e6300 2f6f7074 m/cmnos/inc./opt + b880: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + b890: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + b8a0: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + b8b0: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + b8c0: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + b8d0: 7874656e 73612f63 6f6e6669 67002f6f xtensa/config./o + b8e0: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + b8f0: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + b900: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + b910: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + b920: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + b930: 652f7874 656e7361 002f6f70 742f7874 e/xtensa./opt/xt + b940: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + b950: 696e7374 616c6c2f 6275696c 64732f52 install/builds/R + b960: 422d3230 30372e32 2d6c696e 75782f4d B-2007.2-linux/M + b970: 61677069 655f5030 2f787465 6e73612d agpie_P0/xtensa- + b980: 656c662f 61726368 2f696e63 6c756465 elf/arch/include + b990: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + b9a0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + b9b0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + b9c0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + b9d0: 6d2f636d 6e6f732f 7072696e 74662f69 m/cmnos/printf/i + b9e0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + b9f0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + ba00: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + ba10: 312f726f 6d2f636d 6e6f732f 75617274 1/rom/cmnos/uart + ba20: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + ba30: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + ba40: 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ + ba50: 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo + ba60: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + ba70: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + ba80: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + ba90: 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W + baa0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + bab0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + bac0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + bad0: 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root + bae0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + baf0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + bb00: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + bb10: 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ + bb20: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + bb30: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + bb40: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + bb50: 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in + bb60: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + bb70: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + bb80: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + bb90: 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa + bba0: 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo + bbb0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + bbc0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + bbd0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + bbe0: 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r + bbf0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + bc00: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + bc10: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + bc20: 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i + bc30: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + bc40: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + bc50: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + bc60: 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc + bc70: 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work + bc80: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + bc90: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + bca0: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + bcb0: 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo + bcc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + bcd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + bce0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + bcf0: 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W + bd00: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + bd10: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + bd20: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + bd30: 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro + bd40: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + bd50: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + bd60: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + bd70: 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo + bd80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + bd90: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + bda0: 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor + bdb0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + bdc0: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + bdd0: 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp + bde0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + bdf0: 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ + be00: 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + be10: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + be20: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + be30: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + be40: 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib + be50: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + be60: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + be70: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + be80: 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf + be90: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + bea0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + beb0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + bec0: 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in + bed0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + bee0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + bef0: 6164662f 696e636c 7564652f 6e657400 adf/include/net. + bf00: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + bf10: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + bf20: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + bf30: 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + bf40: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + bf50: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + bf60: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + bf70: 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root + bf80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + bf90: 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ + bfa0: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + bfb0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + bfc0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + bfd0: 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in + bfe0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + bff0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + c000: 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ + c010: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + c020: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + c030: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + c040: 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc + c050: 0000636d 6e6f735f 74696d65 722e6300 ..cmnos_timer.c. + c060: 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + c070: 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag + c080: 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath + c090: 6f735f61 70692e68 00020000 6f736170 os_api.h....osap + c0a0: 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. + c0b0: 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. + c0c0: 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + c0d0: 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h + c0e0: 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. + c0f0: 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + c100: 09000074 69652e68 00090000 78747275 ...tie.h....xtru + c110: 6e74696d 652e6800 08000073 70656372 ntime.h....specr + c120: 65672e68 00090000 636f7265 62697473 eg.h....corebits + c130: 2e680008 00007072 696e7466 5f617069 .h....printf_api + c140: 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h + c150: 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. + c160: 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m + c170: 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc + c180: 5f617069 2e68000e 00007374 72696e67 _api.h....string + c190: 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ + c1a0: 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap + c1b0: 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ + c1c0: 6170692e 68001200 00746173 6b6c6574 api.h....tasklet + c1d0: 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ + c1e0: 6170692e 68001400 00696e74 725f6170 api.h....intr_ap + c1f0: 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h + c200: 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h + c210: 00170000 7573625f 6170692e 68001800 ....usb_api.h... + c220: 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi + c230: 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n + c240: 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ + c250: 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os + c260: 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a + c270: 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... + c280: 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes + c290: 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ + c2a0: 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd + c2b0: 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api + c2c0: 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + c2d0: 68001e00 00737464 6172672e 68000400 h....stdarg.h... + c2e0: 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + c2f0: 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + c300: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + c310: 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + c320: 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + c330: 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + c340: 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + c350: 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + c360: 00020000 75736266 69666f5f 6170692e ....usbfifo_api. + c370: 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + c380: 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + c390: 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + c3a0: 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + c3b0: 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + c3c0: 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + c3d0: 70692e68 00250000 00000502 008e2c04 pi.h.%........,. + c3e0: 03200103 05090003 01037b09 00020103 . ........{..... + c3f0: 06090002 01037d09 00020103 01090002 ......}......... + c400: 01030309 00020103 06090003 01030a09 ................ + c410: 00030103 03090009 01030209 00020103 ................ + c420: 02090009 01030209 00080103 7c090004 ............|... + c430: 01030709 00100103 01090002 01030209 ................ + c440: 00040103 06090002 01030609 00090103 ................ + c450: 00090003 01030509 00030103 0e090002 ................ + c460: 01037409 00020103 02090004 01030309 ..t............. + c470: 00030103 7b090004 01030909 000a0103 ....{........... + c480: 01090004 01030709 00020103 01090002 ................ + c490: 01030109 00020103 05090007 01030009 ................ + c4a0: 00030103 01090003 01030009 00020103 ................ + c4b0: 01090002 01030509 00020103 00090003 ................ + c4c0: 01030409 00050103 05090011 01037e09 ..............~. + c4d0: 00040103 01090004 01030109 00020103 ................ + c4e0: 7b090005 01030709 00030103 05090005 {............... + c4f0: 01030009 00030103 0509000f 01037f09 ................ + c500: 00020103 7f090002 01037f09 00020103 ................ + c510: 7f090002 01030509 00020109 00020001 ................ + c520: 0100000e a2000200 000cd601 01fb0e0a ................ + c530: 00010101 01000000 012f726f 6f742f57 ........./root/W + c540: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + c550: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + c560: 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + c570: 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + c580: 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + c590: 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt + c5a0: 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + c5b0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + c5c0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + c5d0: 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo + c5e0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + c5f0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + c600: 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + c610: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + c620: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + c630: 74617267 65742f69 6e63002f 726f6f74 target/inc./root + c640: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + c650: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + c660: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + c670: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + c680: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + c690: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + c6a0: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + c6b0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + c6c0: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + c6d0: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + c6e0: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + c6f0: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + c700: 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + c710: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + c720: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + c730: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + c740: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + c750: 656c662f 696e636c 7564652f 7874656e elf/include/xten + c760: 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + c770: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + c780: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + c790: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + c7a0: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + c7b0: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + c7c0: 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + c7d0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + c7e0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + c7f0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + c800: 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + c810: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + c820: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + c830: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + c840: 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + c850: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + c860: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + c870: 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + c880: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + c890: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + c8a0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + c8b0: 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + c8c0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + c8d0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + c8e0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + c8f0: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + c900: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + c910: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + c920: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + c930: 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + c940: 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + c950: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + c960: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + c970: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + c980: 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + c990: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + c9a0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + c9b0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + c9c0: 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + c9d0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + c9e0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + c9f0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + ca00: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + ca10: 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + ca20: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + ca30: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + ca40: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + ca50: 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + ca60: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + ca70: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + ca80: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + ca90: 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + caa0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + cab0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + cac0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + cad0: 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + cae0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + caf0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + cb00: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + cb10: 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + cb20: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + cb30: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + cb40: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + cb50: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + cb60: 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + cb70: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + cb80: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + cb90: 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + cba0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + cbb0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + cbc0: 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + cbd0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + cbe0: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + cbf0: 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + cc00: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + cc10: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + cc20: 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o + cc30: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + cc40: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + cc50: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + cc60: 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l + cc70: 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu + cc80: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + cc90: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + cca0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + ccb0: 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ + ccc0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + ccd0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + cce0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + ccf0: 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo + cd00: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + cd10: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + cd20: 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ + cd30: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + cd40: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + cd50: 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf + cd60: 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ + cd70: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + cd80: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + cd90: 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + cda0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + cdb0: 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w + cdc0: 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo + cdd0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + cde0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + cdf0: 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b + ce00: 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo + ce10: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + ce20: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + ce30: 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W + ce40: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + ce50: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + ce60: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + ce70: 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno + ce80: 735f7764 742e6300 01000073 79735f63 s_wdt.c....sys_c + ce90: 66672e68 00020000 726f6d5f 6366672e fg.h....rom_cfg. + cea0: 68000300 006d6167 7069655f 6d656d2e h....magpie_mem. + ceb0: 68000200 00617468 6f735f61 70692e68 h....athos_api.h + cec0: 00020000 6f736170 692e6800 0400004f ....osapi.h....O + ced0: 5455535f 736f632e 68000500 0064745f TUS_soc.h....dt_ + cee0: 64656673 2e680004 0000636d 6e6f735f defs.h....cmnos_ + cef0: 6170692e 68000600 00636f72 652e6800 api.h....core.h. + cf00: 07000068 616c2e68 00080000 636f7265 ...hal.h....core + cf10: 2d697361 2e680009 0000636f 72652d6d -isa.h....core-m + cf20: 61746d61 702e6800 09000074 69652e68 atmap.h....tie.h + cf30: 00090000 78747275 6e74696d 652e6800 ....xtruntime.h. + cf40: 08000073 70656372 65672e68 00090000 ...specreg.h.... + cf50: 636f7265 62697473 2e680008 00007072 corebits.h....pr + cf60: 696e7466 5f617069 2e68000a 00007561 intf_api.h....ua + cf70: 72745f61 70692e68 000b0000 7265675f rt_api.h....reg_ + cf80: 64656673 2e680003 00006462 675f6170 defs.h....dbg_ap + cf90: 692e6800 0c00006d 656d5f61 70692e68 i.h....mem_api.h + cfa0: 000d0000 6d697363 5f617069 2e68000e ....misc_api.h.. + cfb0: 00007374 72696e67 5f617069 2e68000f ..string_api.h.. + cfc0: 00007469 6d65725f 6170692e 68001000 ..timer_api.h... + cfd0: 00726f6d 705f6170 692e6800 11000061 .romp_api.h....a + cfe0: 6c6c6f63 72616d5f 6170692e 68001200 llocram_api.h... + cff0: 00746173 6b6c6574 5f617069 2e680013 .tasklet_api.h.. + d000: 0000636c 6f636b5f 6170692e 68001400 ..clock_api.h... + d010: 00696e74 725f6170 692e6800 15000077 .intr_api.h....w + d020: 64745f61 70692e68 00160000 65657072 dt_api.h....eepr + d030: 6f6d5f61 70692e68 00170000 7573625f om_api.h....usb_ + d040: 6170692e 68001800 00686966 5f706369 api.h....hif_pci + d050: 2e680018 00006869 665f6170 692e6800 .h....hif_api.h. + d060: 18000061 64665f6e 6275662e 68001900 ...adf_nbuf.h... + d070: 00616466 5f6f735f 7574696c 2e68001a .adf_os_util.h.. + d080: 00006164 665f6f73 5f757469 6c5f7076 ..adf_os_util_pv + d090: 742e6800 1b000061 64665f6f 735f7479 t.h....adf_os_ty + d0a0: 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ + d0b0: 73746474 79706573 2e68001a 00006164 stdtypes.h....ad + d0c0: 665f6f73 5f747970 65735f70 76742e68 f_os_types_pvt.h + d0d0: 001b0000 73746464 65662e68 001c0000 ....stddef.h.... + d0e0: 76627566 5f617069 2e68001d 00007664 vbuf_api.h....vd + d0f0: 6573635f 6170692e 68001e00 00737464 esc_api.h....std + d100: 6172672e 68000400 0076612d 7874656e arg.h....va-xten + d110: 73612e68 001c0000 6164665f 6f735f64 sa.h....adf_os_d + d120: 6d612e68 001a0000 6164665f 6f735f64 ma.h....adf_os_d + d130: 6d615f70 76742e68 001b0000 6164665f ma_pvt.h....adf_ + d140: 6e65745f 74797065 732e6800 1f000061 net_types.h....a + d150: 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . + d160: 00646d61 5f6c6962 2e680018 00006869 .dma_lib.h....hi + d170: 665f676d 61632e68 00180000 4d616770 f_gmac.h....Magp + d180: 69655f61 70692e68 00020000 75736266 ie_api.h....usbf + d190: 69666f5f 6170692e 68001800 00687463 ifo_api.h....htc + d1a0: 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + d1b0: 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + d1c0: 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + d1d0: 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + d1e0: 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + d1f0: 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + d200: 00000502 008e2ce4 03160103 00090003 ......,......... + d210: 01030909 00060103 0209000c 01030309 ................ + d220: 00060103 7e090002 01037f09 00020103 ....~........... + d230: 03090006 01037c09 00020103 04090002 ......|......... + d240: 01031209 00030103 00090003 01030109 ................ + d250: 00030103 01090008 01030109 00090103 ................ + d260: 09090005 01030009 00030103 11090006 ................ + d270: 01037b09 00060103 02090003 01030109 ..{............. + d280: 00020103 03090006 01037409 00040103 ..........t..... + d290: 01090002 01030b09 00060103 08090002 ................ + d2a0: 01030009 00030103 02090003 01030409 ................ + d2b0: 00020103 7e090002 01030109 00020103 ....~........... + d2c0: 7f090004 01030209 00020103 7c090002 ............|... + d2d0: 01030409 00030103 02090005 01030709 ................ + d2e0: 00040103 00090003 01030709 00030103 ................ + d2f0: 7e090002 01037b09 00020103 06090002 ~.....{......... + d300: 01037f09 00020103 02090002 01037c09 ..............|. + d310: 00020103 04090003 01030309 00050103 ................ + d320: 08090002 01030009 00030103 04090008 ................ + d330: 01030109 00030103 01090002 01030309 ................ + d340: 00020103 04090003 01037e09 00020103 ..........~..... + d350: 01090002 01037f09 00040103 02090002 ................ + d360: 01030109 00070103 08090002 01030009 ................ + d370: 00030103 02090003 01030209 00060103 ................ + d380: 0309000a 01030409 00020103 00090003 ................ + d390: 01030709 00150103 7f090002 01037f09 ................ + d3a0: 00020103 7f090002 01037f09 00020103 ................ + d3b0: 7f090002 01037f09 00020103 07090002 ................ + d3c0: 01090002 00010100 000efc00 0200000c ................ + d3d0: d60101fb 0e0a0001 01010100 0000012f .............../ + d3e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + d3f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + d400: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + d410: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + d420: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + d430: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + d440: 6f732f75 6172742f 73726300 2f726f6f os/uart/src./roo + d450: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + d460: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + d470: 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + d480: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + d490: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + d4a0: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + d4b0: 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W + d4c0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + d4d0: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + d4e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + d4f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + d500: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + d510: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + d520: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + d530: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + d540: 6f6d2f63 6d6e6f73 2f696e63 002f6f70 om/cmnos/inc./op + d550: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + d560: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + d570: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + d580: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + d590: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + d5a0: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + d5b0: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + d5c0: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + d5d0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + d5e0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + d5f0: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + d600: 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x + d610: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + d620: 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + d630: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + d640: 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + d650: 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + d660: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + d670: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + d680: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + d690: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + d6a0: 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ + d6b0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + d6c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + d6d0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + d6e0: 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar + d6f0: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + d700: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + d710: 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos + d720: 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W + d730: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + d740: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + d750: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + d760: 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ + d770: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + d780: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + d790: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + d7a0: 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo + d7b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + d7c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + d7d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + d7e0: 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. + d7f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + d800: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + d810: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + d820: 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i + d830: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + d840: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + d850: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + d860: 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp + d870: 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W + d880: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + d890: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + d8a0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + d8b0: 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ + d8c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + d8d0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + d8e0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + d8f0: 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ + d900: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + d910: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + d920: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + d930: 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo + d940: 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor + d950: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + d960: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + d970: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + d980: 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W + d990: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + d9a0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + d9b0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + d9c0: 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ + d9d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + d9e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + d9f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + da00: 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r + da10: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + da20: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + da30: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + da40: 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W + da50: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + da60: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + da70: 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo + da80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + da90: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + daa0: 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works + dab0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + dac0: 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os + dad0: 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + dae0: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + daf0: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + db00: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + db10: 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li + db20: 622f696e 636c7564 65002f72 6f6f742f b/include./root/ + db30: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + db40: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + db50: 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + db60: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + db70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + db80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + db90: 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i + dba0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + dbb0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + dbc0: 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net + dbd0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + dbe0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + dbf0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + dc00: 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc + dc10: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + dc20: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + dc30: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + dc40: 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo + dc50: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + dc60: 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu + dc70: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + dc80: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + dc90: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + dca0: 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i + dcb0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + dcc0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + dcd0: 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. + dce0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + dcf0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + dd00: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + dd10: 6f6d2f64 6d615f65 6e67696e 652f696e om/dma_engine/in + dd20: 63000075 6172745f 6170692e 63000100 c..uart_api.c... + dd30: 00737973 5f636667 2e680002 0000726f .sys_cfg.h....ro + dd40: 6d5f6366 672e6800 0300006d 61677069 m_cfg.h....magpi + dd50: 655f6d65 6d2e6800 02000061 74686f73 e_mem.h....athos + dd60: 5f617069 2e680002 00006f73 6170692e _api.h....osapi. + dd70: 68000400 004f5455 535f736f 632e6800 h....OTUS_soc.h. + dd80: 05000064 745f6465 66732e68 00040000 ...dt_defs.h.... + dd90: 636d6e6f 735f6170 692e6800 06000063 cmnos_api.h....c + dda0: 6f72652e 68000700 0068616c 2e680008 ore.h....hal.h.. + ddb0: 0000636f 72652d69 73612e68 00090000 ..core-isa.h.... + ddc0: 636f7265 2d6d6174 6d61702e 68000900 core-matmap.h... + ddd0: 00746965 2e680009 00007874 72756e74 .tie.h....xtrunt + dde0: 696d652e 68000800 00737065 63726567 ime.h....specreg + ddf0: 2e680009 0000636f 72656269 74732e68 .h....corebits.h + de00: 00080000 7072696e 74665f61 70692e68 ....printf_api.h + de10: 000a0000 75617274 5f617069 2e68000b ....uart_api.h.. + de20: 00007265 675f6465 66732e68 00030000 ..reg_defs.h.... + de30: 6462675f 6170692e 68000c00 006d656d dbg_api.h....mem + de40: 5f617069 2e68000d 00006d69 73635f61 _api.h....misc_a + de50: 70692e68 000e0000 73747269 6e675f61 pi.h....string_a + de60: 70692e68 000f0000 74696d65 725f6170 pi.h....timer_ap + de70: 692e6800 10000072 6f6d705f 6170692e i.h....romp_api. + de80: 68001100 00616c6c 6f637261 6d5f6170 h....allocram_ap + de90: 692e6800 12000074 61736b6c 65745f61 i.h....tasklet_a + dea0: 70692e68 00130000 636c6f63 6b5f6170 pi.h....clock_ap + deb0: 692e6800 14000069 6e74725f 6170692e i.h....intr_api. + dec0: 68001500 00776474 5f617069 2e680016 h....wdt_api.h.. + ded0: 00006565 70726f6d 5f617069 2e680017 ..eeprom_api.h.. + dee0: 00007573 625f6170 692e6800 18000068 ..usb_api.h....h + def0: 69665f70 63692e68 00180000 6869665f if_pci.h....hif_ + df00: 6170692e 68001800 00616466 5f6e6275 api.h....adf_nbu + df10: 662e6800 19000061 64665f6f 735f7574 f.h....adf_os_ut + df20: 696c2e68 001a0000 6164665f 6f735f75 il.h....adf_os_u + df30: 74696c5f 7076742e 68001b00 00616466 til_pvt.h....adf + df40: 5f6f735f 74797065 732e6800 1a000061 _os_types.h....a + df50: 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h + df60: 001a0000 6164665f 6f735f74 79706573 ....adf_os_types + df70: 5f707674 2e68001b 00007374 64646566 _pvt.h....stddef + df80: 2e68001c 00007662 75665f61 70692e68 .h....vbuf_api.h + df90: 001d0000 76646573 635f6170 692e6800 ....vdesc_api.h. + dfa0: 1e000073 74646172 672e6800 04000076 ...stdarg.h....v + dfb0: 612d7874 656e7361 2e68001c 00006164 a-xtensa.h....ad + dfc0: 665f6f73 5f646d61 2e68001a 00006164 f_os_dma.h....ad + dfd0: 665f6f73 5f646d61 5f707674 2e68001b f_os_dma_pvt.h.. + dfe0: 00006164 665f6e65 745f7479 7065732e ..adf_net_types. + dff0: 68001f00 00616466 5f6e6275 665f7076 h....adf_nbuf_pv + e000: 742e6800 20000064 6d615f6c 69622e68 t.h. ..dma_lib.h + e010: 00180000 6869665f 676d6163 2e680018 ....hif_gmac.h.. + e020: 00004d61 67706965 5f617069 2e680002 ..Magpie_api.h.. + e030: 00007573 62666966 6f5f6170 692e6800 ..usbfifo_api.h. + e040: 18000068 74635f61 70692e68 00210000 ...htc_api.h.!.. + e050: 6874632e 68002200 00627566 5f706f6f htc.h."..buf_poo + e060: 6c5f6170 692e6800 23000077 6d695f73 l_api.h.#..wmi_s + e070: 76635f61 70692e68 00240000 776d692e vc_api.h.$..wmi. + e080: 68002200 00617468 64656673 2e680022 h."..athdefs.h." + e090: 0000646d 615f656e 67696e65 5f617069 ..dma_engine_api + e0a0: 2e680025 00000000 0502008e 2df80318 .h.%........-... + e0b0: 01030009 00030103 05090005 01030409 ................ + e0c0: 00060103 03090008 01037d09 00030103 ..........}..... + e0d0: 03090003 01030409 000c0103 07090006 ................ + e0e0: 01030609 00080103 01090003 01030109 ................ + e0f0: 00030103 08090004 01030209 00030103 ................ + e100: 08090005 01030109 00030103 00090002 ................ + e110: 01030909 00030103 00090003 01031c09 ................ + e120: 00090103 01090006 01030609 00030103 ................ + e130: 0909000c 01030109 00060103 06090003 ................ + e140: 01030709 00020103 00090003 01030709 ................ + e150: 00090103 01090006 01030609 00030103 ................ + e160: 0909000c 01030109 00060103 05090003 ................ + e170: 01030809 00020103 00090003 01030309 ................ + e180: 00030103 08090009 01037a09 00040103 ..........z..... + e190: 01090009 01030509 00020103 09090002 ................ + e1a0: 01031709 00030103 07090005 01030009 ................ + e1b0: 00030103 01090003 01030809 00060103 ................ + e1c0: 00090003 01030309 00030103 03090005 ................ + e1d0: 01030109 00050103 7f090003 01030209 ................ + e1e0: 00030103 7e090002 01030409 00030103 ....~........... + e1f0: 08090005 01030009 00030103 03090006 ................ + e200: 01037e09 00020103 02090003 01030309 ..~............. + e210: 00020103 7b090002 01030209 00060103 ....{........... + e220: 03090006 01030109 00030103 05090002 ................ + e230: 01030709 00050103 00090003 01030409 ................ + e240: 00050103 02090006 01030209 00090103 ................ + e250: 7e090003 01030209 00030103 0109000c ~............... + e260: 01030309 00090103 0109000c 01030809 ................ + e270: 00020103 00090003 01030c09 00030103 ................ + e280: 7d09001a 01030309 00020103 7f090003 }............... + e290: 01037d09 00020103 7f090002 01037f09 ..}............. + e2a0: 00020103 7f090002 01037f09 00020103 ................ + e2b0: 7f090002 01037f09 00020103 0b090002 ................ + e2c0: 01090002 00010100 00115000 0200000c ..........P..... + e2d0: c00101fb 0e0a0001 01010100 0000012f .............../ + e2e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + e2f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + e300: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + e310: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + e320: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + e330: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + e340: 2f706369 2f002f72 6f6f742f 576f726b /pci/./root/Work + e350: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + e360: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + e370: 5f315f31 2f726f6d 2f686966 2f696e63 _1_1/rom/hif/inc + e380: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + e390: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + e3a0: 64662f69 6e636c75 64652f6e 62756600 df/include/nbuf. + e3b0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + e3c0: 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + e3d0: 662f696e 636c7564 652f6f73 002f726f f/include/os./ro + e3e0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + e3f0: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + e400: 742f6164 662f6f73 2f696e63 002f6f70 t/adf/os/inc./op + e410: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + e420: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + e430: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + e440: 782f5874 656e7361 546f6f6c 732f6c69 x/XtensaTools/li + e450: 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ + e460: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + e470: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + e480: 74617267 65742f69 6e63002f 726f6f74 target/inc./root + e490: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + e4a0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + e4b0: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + e4c0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + e4d0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + e4e0: 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf + e4f0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + e500: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + e510: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + e520: 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in + e530: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + e540: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + e550: 6164662f 696e636c 7564652f 6e657400 adf/include/net. + e560: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + e570: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + e580: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + e590: 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + e5a0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + e5b0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + e5c0: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + e5d0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + e5e0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + e5f0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + e600: 312f726f 6d2f636d 6e6f732f 696e6300 1/rom/cmnos/inc. + e610: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + e620: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + e630: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + e640: 6e632f6d 61677069 65002f6f 70742f78 nc/magpie./opt/x + e650: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + e660: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + e670: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + e680: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + e690: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + e6a0: 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt + e6b0: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + e6c0: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + e6d0: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + e6e0: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + e6f0: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + e700: 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten + e710: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + e720: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + e730: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + e740: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + e750: 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x + e760: 74656e73 612f636f 6e666967 002f726f tensa/config./ro + e770: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + e780: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + e790: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + e7a0: 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc + e7b0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + e7c0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + e7d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + e7e0: 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i + e7f0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + e800: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + e810: 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db + e820: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + e830: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + e840: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + e850: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + e860: 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor + e870: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + e880: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + e890: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + e8a0: 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W + e8b0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + e8c0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + e8d0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + e8e0: 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro + e8f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + e900: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + e910: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + e920: 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. + e930: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + e940: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + e950: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + e960: 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc + e970: 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work + e980: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + e990: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + e9a0: 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a + e9b0: 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo + e9c0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + e9d0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + e9e0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + e9f0: 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc + ea00: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + ea10: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + ea20: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + ea30: 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ + ea40: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + ea50: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + ea60: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + ea70: 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int + ea80: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + ea90: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + eaa0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + eab0: 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w + eac0: 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor + ead0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + eae0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + eaf0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + eb00: 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root + eb10: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + eb20: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + eb30: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + eb40: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + eb50: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + eb60: 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + eb70: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + eb80: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + eb90: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + eba0: 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + ebb0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + ebc0: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + ebd0: 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + ebe0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + ebf0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + ec00: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + ec10: 5f656e67 696e652f 696e6300 00686966 _engine/inc..hif + ec20: 5f706369 2e630001 00006869 665f6170 _pci.c....hif_ap + ec30: 692e6800 02000061 64665f6e 6275662e i.h....adf_nbuf. + ec40: 68000300 00616466 5f6f735f 7574696c h....adf_os_util + ec50: 2e680004 00006164 665f6f73 5f757469 .h....adf_os_uti + ec60: 6c5f7076 742e6800 05000061 64665f6f l_pvt.h....adf_o + ec70: 735f7479 7065732e 68000400 00616466 s_types.h....adf + ec80: 5f6f735f 73746474 79706573 2e680004 _os_stdtypes.h.. + ec90: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + eca0: 76742e68 00050000 73746464 65662e68 vt.h....stddef.h + ecb0: 00060000 6f736170 692e6800 0700004f ....osapi.h....O + ecc0: 5455535f 736f632e 68000800 00766275 TUS_soc.h....vbu + ecd0: 665f6170 692e6800 09000076 64657363 f_api.h....vdesc + ece0: 5f617069 2e68000a 00007374 64617267 _api.h....stdarg + ecf0: 2e680007 00007661 2d787465 6e73612e .h....va-xtensa. + ed00: 68000600 00616466 5f6f735f 646d612e h....adf_os_dma. + ed10: 68000400 00616466 5f6f735f 646d615f h....adf_os_dma_ + ed20: 7076742e 68000500 00616466 5f6e6574 pvt.h....adf_net + ed30: 5f747970 65732e68 000b0000 6164665f _types.h....adf_ + ed40: 6e627566 5f707674 2e68000c 00004d61 nbuf_pvt.h....Ma + ed50: 67706965 5f617069 2e68000d 0000636d gpie_api.h....cm + ed60: 6e6f735f 6170692e 68000e00 00737973 nos_api.h....sys + ed70: 5f636667 2e68000d 0000726f 6d5f6366 _cfg.h....rom_cf + ed80: 672e6800 0f00006d 61677069 655f6d65 g.h....magpie_me + ed90: 6d2e6800 0d000063 6f72652e 68001000 m.h....core.h... + eda0: 0068616c 2e680011 0000636f 72652d69 .hal.h....core-i + edb0: 73612e68 00120000 636f7265 2d6d6174 sa.h....core-mat + edc0: 6d61702e 68001200 00746965 2e680012 map.h....tie.h.. + edd0: 00007874 72756e74 696d652e 68001100 ..xtruntime.h... + ede0: 00737065 63726567 2e680012 0000636f .specreg.h....co + edf0: 72656269 74732e68 00110000 7072696e rebits.h....prin + ee00: 74665f61 70692e68 00130000 75617274 tf_api.h....uart + ee10: 5f617069 2e680014 00007265 675f6465 _api.h....reg_de + ee20: 66732e68 000f0000 64745f64 6566732e fs.h....dt_defs. + ee30: 68000700 00646267 5f617069 2e680015 h....dbg_api.h.. + ee40: 00006d65 6d5f6170 692e6800 1600006d ..mem_api.h....m + ee50: 6973635f 6170692e 68001700 00737472 isc_api.h....str + ee60: 696e675f 6170692e 68001800 0074696d ing_api.h....tim + ee70: 65725f61 70692e68 00190000 726f6d70 er_api.h....romp + ee80: 5f617069 2e68001a 0000616c 6c6f6372 _api.h....allocr + ee90: 616d5f61 70692e68 001b0000 7461736b am_api.h....task + eea0: 6c65745f 6170692e 68001c00 00636c6f let_api.h....clo + eeb0: 636b5f61 70692e68 001d0000 696e7472 ck_api.h....intr + eec0: 5f617069 2e68001e 00007764 745f6170 _api.h....wdt_ap + eed0: 692e6800 1f000065 6570726f 6d5f6170 i.h....eeprom_ap + eee0: 692e6800 20000075 73625f61 70692e68 i.h. ..usb_api.h + eef0: 00020000 6869665f 7063692e 68000200 ....hif_pci.h... + ef00: 00646d61 5f6c6962 2e680002 00006869 .dma_lib.h....hi + ef10: 665f676d 61632e68 00020000 75736266 f_gmac.h....usbf + ef20: 69666f5f 6170692e 68000200 00687463 ifo_api.h....htc + ef30: 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + ef40: 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + ef50: 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + ef60: 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + ef70: 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + ef80: 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + ef90: 00000502 008e2f94 03300103 01090003 ....../..0...... + efa0: 01030509 00090103 01090003 01030109 ................ + efb0: 00050103 04090004 01030009 00030103 ................ + efc0: 10090002 01037f09 000f0103 7c090003 ............|... + efd0: 01037809 00020103 04090002 01037c09 ..x...........|. + efe0: 00020103 0a090002 01037609 00020103 ..........v..... + eff0: 06090002 01037a09 00020103 12090003 ......z......... + f000: 01030009 00030103 0a090002 01037c09 ..............|. + f010: 00020103 03090002 01030109 00020103 ................ + f020: 79090003 01030b09 00060103 00090003 y............... + f030: 01031009 00020103 7c090009 01030309 ........|....... + f040: 00020103 01090002 01037309 00030103 ..........s..... + f050: 03090006 01037d09 00020103 06090002 ......}......... + f060: 01037a09 00020103 13090003 01030009 ..z............. + f070: 00030103 06090003 01030209 00030103 ................ + f080: 0109000b 01030809 00040103 00090003 ................ + f090: 01030609 00030103 0209000c 01030209 ................ + f0a0: 00080103 03090008 01030609 000c0103 ................ + f0b0: 0109000b 01030109 00100103 02090008 ................ + f0c0: 01030609 000b0103 0109000b 01030109 ................ + f0d0: 00100103 02090008 01030609 000b0103 ................ + f0e0: 01090008 01030109 00100103 02090008 ................ + f0f0: 01030109 00080103 06090002 01030009 ................ + f100: 00030103 01090003 01030109 00060103 ................ + f110: 02090006 01030109 000a0103 0209000a ................ + f120: 01030309 000d0103 0a090005 01030009 ................ + f130: 00030103 01090003 01030109 00060103 ................ + f140: 05090006 01030109 000a0103 0509000a ................ + f150: 01030109 000a0103 0109000a 01030109 ................ + f160: 000a0103 0209000d 01030b09 00030103 ................ + f170: 00090003 01030409 00030103 0209000a ................ + f180: 01030109 00030103 07090009 01037d09 ..............}. + f190: 00020103 0209000a 01037e09 00030103 ..........~..... + f1a0: 02090002 01030109 000b0103 08090004 ................ + f1b0: 01030109 00030103 0a090005 01030009 ................ + f1c0: 00030103 03090003 01030009 00020103 ................ + f1d0: 01090002 01030109 00040103 01090004 ................ + f1e0: 01030a09 00020103 00090003 01030409 ................ + f1f0: 00030103 02090008 01030309 000a0103 ................ + f200: 05090007 01037d09 00020103 03090009 ......}......... + f210: 01030b09 00020103 00090003 01030309 ................ + f220: 00050103 0309000a 01030309 00090103 ................ + f230: 7f090002 01030109 00090103 08090002 ................ + f240: 01030009 00030103 05090009 01037f09 ................ + f250: 000a0103 0409000e 01037f09 000a0103 ................ + f260: 0409000e 01037f09 000a0103 0409000e ................ + f270: 01037f09 000a0103 0709000b 01030109 ................ + f280: 000a0103 04090011 01030109 000a0103 ................ + f290: 0409000c 01030209 00030103 0c090003 ................ + f2a0: 01030009 00030103 03090003 01037d09 ..............}. + f2b0: 00030103 03090002 01030209 00030103 ................ + f2c0: 01090003 01030109 00090103 03090002 ................ + f2d0: 01030b09 00100103 00090003 01030309 ................ + f2e0: 00030103 7d090003 01030309 00020103 ....}........... + f2f0: 02090003 01030309 00030103 01090008 ................ + f300: 01030b09 00030103 01090003 01030109 ................ + f310: 00030103 02090002 01030c09 00080103 ................ + f320: 04090003 01037e09 00030103 7f090003 ......~......... + f330: 01030309 00030103 0b090004 01030109 ................ + f340: 00030103 00090002 01030909 00030103 ................ + f350: 01090003 01030509 00050103 00090003 ................ + f360: 01030109 00020103 01090003 01030109 ................ + f370: 00030103 08090005 01030009 00030103 ................ + f380: 0309001b 01030709 00020103 7f090002 ................ + f390: 01037f09 00020103 7f090002 01037f09 ................ + f3a0: 00020103 7f090002 01037d09 00020103 ..........}..... + f3b0: 02090002 01030809 00050103 7f090008 ................ + f3c0: 01030209 00020103 01090002 01030409 ................ + f3d0: 00050103 00090003 01030909 001b0103 ................ + f3e0: 7f090002 01037f09 00020103 7f090002 ................ + f3f0: 01037f09 00020103 7f090002 01037f09 ................ + f400: 00020103 7f090002 01037f09 00020103 ................ + f410: 09090002 01090002 00010100 00129d00 ................ + f420: 0200000d 160101fb 0e0a0001 01010100 ................ + f430: 0000012f 726f6f74 2f576f72 6b737061 .../root/Workspa + f440: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + f450: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + f460: 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. + f470: 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil + f480: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + f490: 2f686966 2f757362 2f737263 002f726f /hif/usb/src./ro + f4a0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + f4b0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + f4c0: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + f4d0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + f4e0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + f4f0: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + f500: 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ + f510: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + f520: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + f530: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + f540: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + f550: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + f560: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + f570: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + f580: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + f590: 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o + f5a0: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + f5b0: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + f5c0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + f5d0: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + f5e0: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + f5f0: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + f600: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + f610: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + f620: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + f630: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + f640: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + f650: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + f660: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + f670: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + f680: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + f690: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + f6a0: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + f6b0: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + f6c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + f6d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + f6e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + f6f0: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + f700: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + f710: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + f720: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + f730: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + f740: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + f750: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + f760: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + f770: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + f780: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + f790: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + f7a0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + f7b0: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + f7c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + f7d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + f7e0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + f7f0: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + f800: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + f810: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + f820: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + f830: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + f840: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + f850: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + f860: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + f870: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + f880: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + f890: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + f8a0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + f8b0: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + f8c0: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + f8d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + f8e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + f8f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + f900: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + f910: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + f920: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + f930: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + f940: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + f950: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + f960: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + f970: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + f980: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + f990: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + f9a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + f9b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + f9c0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + f9d0: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + f9e0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + f9f0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + fa00: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + fa10: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + fa20: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + fa30: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + fa40: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + fa50: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + fa60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + fa70: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + fa80: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + fa90: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + faa0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + fab0: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + fac0: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + fad0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + fae0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + faf0: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + fb00: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + fb10: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + fb20: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + fb30: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + fb40: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + fb50: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + fb60: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + fb70: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + fb80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + fb90: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + fba0: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + fbb0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + fbc0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + fbd0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + fbe0: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + fbf0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + fc00: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + fc10: 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + fc20: 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + fc30: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + fc40: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + fc50: 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + fc60: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + fc70: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + fc80: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + fc90: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + fca0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + fcb0: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + fcc0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + fcd0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + fce0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + fcf0: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + fd00: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + fd10: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + fd20: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + fd30: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + fd40: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + fd50: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + fd60: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + fd70: 6e630000 4849465f 7573622e 63000100 nc..HIF_usb.c... + fd80: 00737973 5f636667 2e680002 0000726f .sys_cfg.h....ro + fd90: 6d5f6366 672e6800 0300006d 61677069 m_cfg.h....magpi + fda0: 655f6d65 6d2e6800 02000064 745f6465 e_mem.h....dt_de + fdb0: 66732e68 00040000 7265675f 64656673 fs.h....reg_defs + fdc0: 2e680003 00006f73 6170692e 68000400 .h....osapi.h... + fdd0: 004f5455 535f736f 632e6800 0500004d .OTUS_soc.h....M + fde0: 61677069 655f6170 692e6800 02000063 agpie_api.h....c + fdf0: 6d6e6f73 5f617069 2e680006 0000636f mnos_api.h....co + fe00: 72652e68 00070000 68616c2e 68000800 re.h....hal.h... + fe10: 00636f72 652d6973 612e6800 09000063 .core-isa.h....c + fe20: 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... + fe30: 7469652e 68000900 00787472 756e7469 tie.h....xtrunti + fe40: 6d652e68 00080000 73706563 7265672e me.h....specreg. + fe50: 68000900 00636f72 65626974 732e6800 h....corebits.h. + fe60: 08000070 72696e74 665f6170 692e6800 ...printf_api.h. + fe70: 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... + fe80: 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + fe90: 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + fea0: 6170692e 68000e00 00737472 696e675f api.h....string_ + feb0: 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + fec0: 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + fed0: 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + fee0: 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + fef0: 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + ff00: 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + ff10: 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + ff20: 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + ff30: 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + ff40: 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + ff50: 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + ff60: 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + ff70: 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + ff80: 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + ff90: 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + ffa0: 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + ffb0: 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + ffc0: 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + ffd0: 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + ffe0: 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + fff0: 001e0000 73746461 72672e68 00040000 ....stdarg.h.... + 10000: 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + 10010: 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + 10020: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 10030: 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + 10040: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 10050: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 10060: 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + 10070: 18000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 10080: 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + 10090: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 100a0: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 100b0: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 100c0: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 100d0: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 100e0: 692e6800 25000061 64665f6f 735f6d65 i.h.%..adf_os_me + 100f0: 6d2e6800 1a000061 64665f6f 735f6d65 m.h....adf_os_me + 10100: 6d5f7076 742e6800 1b000061 64665f6f m_pvt.h....adf_o + 10110: 735f696f 2e68001a 00006164 665f6f73 s_io.h....adf_os + 10120: 5f696f5f 7076742e 68001b00 00686966 _io_pvt.h....hif + 10130: 5f757362 2e680018 00000000 0502008e _usb.h.......... + 10140: 33b00326 01030009 00030103 03090003 3..&............ + 10150: 01030209 00020103 7f090002 01030109 ................ + 10160: 00040103 01090002 01030409 00040103 ................ + 10170: 0b090003 01030209 00020103 01090009 ................ + 10180: 01030209 000d0103 7e090003 01030209 ........~....... + 10190: 00020103 02090009 01030209 000b0103 ................ + 101a0: 03090004 01030009 00030103 03090003 ................ + 101b0: 01030109 00020103 7c090002 01030409 ........|....... + 101c0: 00020103 01090003 01030109 00020103 ................ + 101d0: 02090005 01030309 00040103 01090002 ................ + 101e0: 01030409 00040103 00090003 01030509 ................ + 101f0: 00030103 7b090002 01030509 00020103 ....{........... + 10200: 02090005 01030509 00050103 00090003 ................ + 10210: 01030409 000f0103 01090002 01030109 ................ + 10220: 00020103 02090002 01037f09 00030103 ................ + 10230: 01090002 01030409 00050103 01090009 ................ + 10240: 01030309 00090103 04090009 01030309 ................ + 10250: 000c0103 01090004 01030109 00020103 ................ + 10260: 02090002 01030409 00030103 02090003 ................ + 10270: 01030309 00050103 00090003 01030309 ................ + 10280: 00030103 00090002 01030109 00020103 ................ + 10290: 01090004 01030209 00040103 05090002 ................ + 102a0: 01030009 00030103 08090006 01030109 ................ + 102b0: 000b0103 02090011 01030109 00080103 ................ + 102c0: 02090008 01030109 000b0103 04090008 ................ + 102d0: 01030109 000b0103 0309000c 01030109 ................ + 102e0: 00080103 03090006 01030409 00060103 ................ + 102f0: 03090005 01030309 00040103 01090003 ................ + 10300: 01030009 00020103 1a090003 01030009 ................ + 10310: 00030103 01090005 01030409 00030103 ................ + 10320: 0d090006 01037709 00020103 01090003 ......w......... + 10330: 01030809 00060103 7c090002 01030109 ........|....... + 10340: 00060103 03090005 01030309 00030103 ................ + 10350: 03090003 01031309 00060103 71090002 ............q... + 10360: 01030f09 00060103 77090002 01030609 ........w....... + 10370: 00030103 03090006 01030809 00040103 ................ + 10380: 00090003 01030609 00050103 21090003 ............!... + 10390: 01030109 00070103 01090016 01037b09 ..............{. + 103a0: 00050103 67090002 01030209 00090103 ....g........... + 103b0: 0209000a 01030209 00030104 3e03f27d ............>..} + 103c0: 09000a01 0401038e 02090003 01043e03 ..............>. + 103d0: f27d0900 02010401 038e0209 00050103 .}.............. + 103e0: 01090002 01037909 000d0103 16090002 ......y......... + 103f0: 01037209 00020103 01090004 01030109 ..r............. + 10400: 00030103 01090003 01030809 00050103 ................ + 10410: 6d090004 0103b27f 09000d01 03040900 m............... + 10420: 0601037e 09000201 03040900 0401037d ...~...........} + 10430: 09000201 03010900 02010305 09000401 ................ + 10440: 03010900 02010375 09000201 03e80009 .......u........ + 10450: 00030103 24090005 01030009 00030103 ....$........... + 10460: 01090003 01036b09 00030103 02090002 ......k......... + 10470: 01030109 00060103 7f090002 01030109 ................ + 10480: 00030103 02090008 01037e09 00030103 ..........~..... + 10490: 02090002 01030309 00090103 04090002 ................ + 104a0: 01037d09 00040103 07090002 01037d09 ..}...........}. + 104b0: 00030103 03090002 01030609 00030103 ................ + 104c0: 02090003 01030409 000e0103 04090008 ................ + 104d0: 01036c09 00040103 19090007 01030309 ..l............. + 104e0: 00030103 01090006 01030309 00040103 ................ + 104f0: 08090004 01037c09 00020103 01090008 ......|......... + 10500: 01030109 00080103 02090005 01030309 ................ + 10510: 00040103 03090003 01030309 00050103 ................ + 10520: 02090003 01030309 00050103 02090003 ................ + 10530: 01030309 00050103 01090003 01030109 ................ + 10540: 00050103 02090002 01030509 00060103 ................ + 10550: 08090003 01037c09 00060103 03090002 ......|......... + 10560: 0103f400 09000901 03000900 03010307 ................ + 10570: 09000301 03070900 0f010354 09000c01 ...........T.... + 10580: 03010900 0a010331 09000901 03650900 .......1.....e.. + 10590: 11010301 09000a01 03290900 09010302 .........)...... + 105a0: 09000e01 030f0900 030103fd 7e09000a ............~... + 105b0: 01030109 00080103 84010900 07010305 ................ + 105c0: 09000701 03917f09 000a0103 0109000a ................ + 105d0: 0103f000 09000901 03040900 02010307 ................ + 105e0: 09000301 03030900 05010302 09000301 ................ + 105f0: 037f0900 02010301 09000501 03010900 ................ + 10600: 03010305 09000301 03000900 03010303 ................ + 10610: 09001b01 03070900 0201037f 09000201 ................ + 10620: 037f0900 0201037f 09000201 037f0900 ................ + 10630: 0201037f 09000201 037d0900 02010302 .........}...... + 10640: 09000201 03080900 0501037f 09000801 ................ + 10650: 03020900 02010304 09000201 03030900 ................ + 10660: 05010300 09000301 03020900 06010301 ................ + 10670: 09001101 03020900 0c010304 09000901 ................ + 10680: 03010900 11010302 09000b01 03030900 ................ + 10690: 09010301 09000e01 03010900 0c010302 ................ + 106a0: 09000901 03010900 0e010301 09000c01 ................ + 106b0: 03010900 09010900 02000101 00001cc9 ................ + 106c0: 00020000 0d640101 fb0e0a00 01010101 .....d.......... + 106d0: 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp + 106e0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 106f0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 10700: 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 10710: 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 10720: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 10730: 6d2f6869 662f7573 622f7372 63002f72 m/hif/usb/src./r + 10740: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 10750: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 10760: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 10770: 2f686966 2f757362 2f737263 002f726f /hif/usb/src./ro + 10780: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10790: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 107a0: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 107b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 107c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 107d0: 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + 107e0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 107f0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 10800: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 10810: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 10820: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 10830: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 10840: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10850: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10860: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10870: 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o + 10880: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 10890: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 108a0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 108b0: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 108c0: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 108d0: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 108e0: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 108f0: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 10900: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 10910: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 10920: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 10930: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 10940: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 10950: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 10960: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 10970: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 10980: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 10990: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 109a0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 109b0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 109c0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 109d0: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 109e0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 109f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 10a00: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 10a10: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 10a20: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 10a30: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 10a40: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 10a50: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 10a60: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 10a70: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 10a80: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 10a90: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 10aa0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10ab0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10ac0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 10ad0: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 10ae0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10af0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 10b00: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 10b10: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 10b20: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10b30: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10b40: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10b50: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 10b60: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10b70: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10b80: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 10b90: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 10ba0: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 10bb0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 10bc0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 10bd0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 10be0: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 10bf0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 10c00: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 10c10: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 10c20: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 10c30: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 10c40: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 10c50: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 10c60: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 10c70: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 10c80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10c90: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10ca0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 10cb0: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 10cc0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 10cd0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 10ce0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 10cf0: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 10d00: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10d10: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10d20: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 10d30: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 10d40: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 10d50: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 10d60: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 10d70: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 10d80: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 10d90: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 10da0: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 10db0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 10dc0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 10dd0: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 10de0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 10df0: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 10e00: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 10e10: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 10e20: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 10e30: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 10e40: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 10e50: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 10e60: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10e70: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10e80: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 10e90: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 10ea0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 10eb0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 10ec0: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 10ed0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10ee0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10ef0: 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 10f00: 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 10f10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10f20: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10f30: 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 10f40: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 10f50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10f60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10f70: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 10f80: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10f90: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 10fa0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 10fb0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10fc0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 10fd0: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 10fe0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10ff0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 11000: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 11010: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 11020: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 11030: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 11040: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 11050: 6e630000 7573625f 6170692e 63000100 nc..usb_api.c... + 11060: 00757362 5f646566 732e6800 02000075 .usb_defs.h....u + 11070: 73625f74 61626c65 2e680002 00006474 sb_table.h....dt + 11080: 5f646566 732e6800 03000072 65675f64 _defs.h....reg_d + 11090: 6566732e 68000400 00757362 5f747970 efs.h....usb_typ + 110a0: 652e6800 02000075 73625f70 72652e68 e.h....usb_pre.h + 110b0: 00020000 7573625f 65787472 2e680002 ....usb_extr.h.. + 110c0: 00007573 625f7374 642e6800 02000061 ..usb_std.h....a + 110d0: 74686f73 5f617069 2e680005 00006f73 thos_api.h....os + 110e0: 6170692e 68000300 004f5455 535f736f api.h....OTUS_so + 110f0: 632e6800 06000063 6d6e6f73 5f617069 c.h....cmnos_api + 11100: 2e680007 00007379 735f6366 672e6800 .h....sys_cfg.h. + 11110: 05000072 6f6d5f63 66672e68 00040000 ...rom_cfg.h.... + 11120: 6d616770 69655f6d 656d2e68 00050000 magpie_mem.h.... + 11130: 636f7265 2e680008 00006861 6c2e6800 core.h....hal.h. + 11140: 09000063 6f72652d 6973612e 68000a00 ...core-isa.h... + 11150: 00636f72 652d6d61 746d6170 2e68000a .core-matmap.h.. + 11160: 00007469 652e6800 0a000078 7472756e ..tie.h....xtrun + 11170: 74696d65 2e680009 00007370 65637265 time.h....specre + 11180: 672e6800 0a000063 6f726562 6974732e g.h....corebits. + 11190: 68000900 00707269 6e74665f 6170692e h....printf_api. + 111a0: 68000b00 00756172 745f6170 692e6800 h....uart_api.h. + 111b0: 0c000064 62675f61 70692e68 000d0000 ...dbg_api.h.... + 111c0: 6d656d5f 6170692e 68000e00 006d6973 mem_api.h....mis + 111d0: 635f6170 692e6800 0f000073 7472696e c_api.h....strin + 111e0: 675f6170 692e6800 10000074 696d6572 g_api.h....timer + 111f0: 5f617069 2e680011 0000726f 6d705f61 _api.h....romp_a + 11200: 70692e68 00120000 616c6c6f 6372616d pi.h....allocram + 11210: 5f617069 2e680013 00007461 736b6c65 _api.h....taskle + 11220: 745f6170 692e6800 14000063 6c6f636b t_api.h....clock + 11230: 5f617069 2e680015 0000696e 74725f61 _api.h....intr_a + 11240: 70692e68 00160000 7764745f 6170692e pi.h....wdt_api. + 11250: 68001700 00656570 726f6d5f 6170692e h....eeprom_api. + 11260: 68001800 00757362 5f617069 2e680019 h....usb_api.h.. + 11270: 00006869 665f7063 692e6800 19000068 ..hif_pci.h....h + 11280: 69665f61 70692e68 00190000 6164665f if_api.h....adf_ + 11290: 6e627566 2e68001a 00006164 665f6f73 nbuf.h....adf_os + 112a0: 5f757469 6c2e6800 1b000061 64665f6f _util.h....adf_o + 112b0: 735f7574 696c5f70 76742e68 001c0000 s_util_pvt.h.... + 112c0: 6164665f 6f735f74 79706573 2e68001b adf_os_types.h.. + 112d0: 00006164 665f6f73 5f737464 74797065 ..adf_os_stdtype + 112e0: 732e6800 1b000061 64665f6f 735f7479 s.h....adf_os_ty + 112f0: 7065735f 7076742e 68001c00 00737464 pes_pvt.h....std + 11300: 6465662e 68001d00 00766275 665f6170 def.h....vbuf_ap + 11310: 692e6800 1e000076 64657363 5f617069 i.h....vdesc_api + 11320: 2e68001f 00007374 64617267 2e680003 .h....stdarg.h.. + 11330: 00007661 2d787465 6e73612e 68001d00 ..va-xtensa.h... + 11340: 00616466 5f6f735f 646d612e 68001b00 .adf_os_dma.h... + 11350: 00616466 5f6f735f 646d615f 7076742e .adf_os_dma_pvt. + 11360: 68001c00 00616466 5f6e6574 5f747970 h....adf_net_typ + 11370: 65732e68 00200000 6164665f 6e627566 es.h. ..adf_nbuf + 11380: 5f707674 2e680021 0000646d 615f6c69 _pvt.h.!..dma_li + 11390: 622e6800 19000068 69665f67 6d61632e b.h....hif_gmac. + 113a0: 68001900 004d6167 7069655f 6170692e h....Magpie_api. + 113b0: 68000500 00757362 6669666f 5f617069 h....usbfifo_api + 113c0: 2e680019 00006874 635f6170 692e6800 .h....htc_api.h. + 113d0: 22000068 74632e68 00230000 6275665f "..htc.h.#..buf_ + 113e0: 706f6f6c 5f617069 2e680024 0000776d pool_api.h.$..wm + 113f0: 695f7376 635f6170 692e6800 25000077 i_svc_api.h.%..w + 11400: 6d692e68 00230000 61746864 6566732e mi.h.#..athdefs. + 11410: 68002300 00646d61 5f656e67 696e655f h.#..dma_engine_ + 11420: 6170692e 68002600 00000005 02008e38 api.h.&........8 + 11430: 8403be02 01030009 00030103 0c090003 ................ + 11440: 01037d09 00050103 03090002 01037d09 ..}...........}. + 11450: 00030103 7b09000c 01030a09 00060103 ....{........... + 11460: 01090004 01030b09 00020103 00090003 ................ + 11470: 01030a09 00030103 7b090008 01030709 ........{....... + 11480: 00060103 0409000c 01030409 00040103 ................ + 11490: 04090003 01030209 00110103 0109001e ................ + 114a0: 01030109 000f0103 01090005 01030209 ................ + 114b0: 00070103 0109001e 01030109 000f0103 ................ + 114c0: 01090005 01030b09 00050103 00090003 ................ + 114d0: 01030309 00060103 7d090003 01030309 ........}....... + 114e0: 00020103 05090009 01037b09 00020103 ..........{..... + 114f0: 05090003 01030109 00060103 04090006 ................ + 11500: 01030209 00060103 01090004 01030b09 ................ + 11510: 00020103 00090003 01030109 00030103 ................ + 11520: 03090008 01037e09 00060103 08090002 ......~......... + 11530: 01037e09 00020103 7f090002 01030109 ..~............. + 11540: 00050103 02090002 01031b09 00030103 ................ + 11550: 00090003 0103f100 09000501 03987f09 ................ + 11560: 00060103 e8000900 0501037b 09000601 ...........{.... + 11570: 039d7f09 00150103 e7000900 0301039f ................ + 11580: 7f090002 01030709 00020103 0209000f ................ + 11590: 0103de00 09000401 037d0900 02010301 .........}...... + 115a0: 09000301 039b7f09 00030103 18090005 ................ + 115b0: 01037f09 00020103 0109000c 01030109 ................ + 115c0: 00020103 07090005 01030109 00080103 ................ + 115d0: 07090006 01030b09 00060103 0809000c ................ + 115e0: 01030209 00080103 0309000a 01030109 ................ + 115f0: 000b0103 05090005 01030109 000b0103 ................ + 11600: 04090006 01030909 00060103 05090008 ................ + 11610: 01031a09 00050103 00090003 01030409 ................ + 11620: 00030103 01090009 01030809 00020103 ................ + 11630: 7d090002 01030209 00060103 01090004 }............... + 11640: 01030d09 00030103 00090003 0103d400 ................ + 11650: 09000301 03780900 18010301 09000201 .....x.......... + 11660: 03090900 06010304 09000301 037c0900 .............|.. + 11670: 03010304 09000601 03010900 03010346 ...............F + 11680: 09000401 03320900 05010308 09000201 .....2.......... + 11690: 03b57f09 00020103 01090005 01032d09 ..............-. + 116a0: 00060103 7b090010 01030609 00050103 ....{........... + 116b0: 05090009 01030109 00020103 56090006 ............V... + 116c0: 01030109 00040103 0e090006 01030509 ................ + 116d0: 00060103 05090006 01033309 00070103 ..........3..... + 116e0: 00090003 01030609 00080103 01090006 ................ + 116f0: 01030409 00090103 02090008 01030209 ................ + 11700: 00020103 0f090004 01030009 00030103 ................ + 11710: 05090006 01030509 00030103 7d090007 ............}... + 11720: 01030309 00050103 04090012 01030209 ................ + 11730: 00090103 01090003 01032609 00020103 ..........&..... + 11740: 67090002 01030309 00030103 01090003 g............... + 11750: 01030409 00030103 06090011 01030309 ................ + 11760: 00120103 02090003 01030109 000f0103 ................ + 11770: 0409000f 01030109 00040103 5c090002 ............\... + 11780: 01030109 00030103 04090003 01032b09 ..............+. + 11790: 00150103 00090003 01030309 00030103 ................ + 117a0: 17090009 01036a09 00030103 7f090002 ......j......... + 117b0: 01031709 00030103 73090003 01031a09 ........s....... + 117c0: 00090103 77090002 01030109 00080103 ....w........... + 117d0: 04090009 01030209 00080103 01090003 ................ + 117e0: 01030109 00020103 0e090005 01030009 ................ + 117f0: 00030103 05090003 01032a09 00090103 ..........*..... + 11800: 7e090002 01035809 00030103 09090005 ~.....X......... + 11810: 01030209 00080103 7e090005 01030209 ........~....... + 11820: 00030103 01090003 01030109 00060103 ................ + 11830: 02090009 01030309 00030103 18090012 ................ + 11840: 01036d09 00020103 03090003 01030309 ..m............. + 11850: 00110103 01090003 01030209 00040103 ................ + 11860: 0109000f 01030909 000f0103 0b090005 ................ + 11870: 01030009 00030103 05090006 01037b09 ..............{. + 11880: 00050103 0a090002 01037b09 00020103 ..........{..... + 11890: 05090008 01037b09 00030103 0b090003 ......{......... + 118a0: 01037a09 00030103 06090003 01037a09 ..z...........z. + 118b0: 00020103 7b090006 01031309 00060103 ....{........... + 118c0: 7f090003 01030109 00070103 05090004 ................ + 118d0: 01037309 000b0103 13090002 01036d09 ..s...........m. + 118e0: 00030103 13090002 01030709 00030103 ................ + 118f0: 01090002 01037f09 00030103 01090003 ................ + 11900: 01030409 00040103 01090008 01030209 ................ + 11910: 000e0103 02090003 01030109 00060103 ................ + 11920: 0209000e 01030209 00030103 01090006 ................ + 11930: 01030409 000b0103 0709000a 01037f09 ................ + 11940: 00020103 03090004 01030a09 00040103 ................ + 11950: 00090003 01030309 00030103 7d090002 ............}... + 11960: 01030309 00020103 05090003 01030509 ................ + 11970: 00020103 76090002 01030509 000b0103 ....v........... + 11980: 0709000c 01030409 00100103 0c090006 ................ + 11990: 01037909 00020103 01090003 01030109 ..y............. + 119a0: 00030103 01090003 01037f09 00060103 ................ + 119b0: 7f090003 01030409 00030103 02090002 ................ + 119c0: 01037c09 00030103 02090002 01037209 ..|...........r. + 119d0: 00050103 1209000a 01030709 00070103 ................ + 119e0: 7f090002 01030109 00040103 02090002 ................ + 119f0: 01030a09 00020103 00090003 01030709 ................ + 11a00: 00090103 0209000e 01030209 000c0103 ................ + 11a10: 04090003 01037f09 00030103 7f090003 ................ + 11a20: 01030109 00060103 7e090003 01030309 ........~....... + 11a30: 00060103 10090003 01037809 00050103 ..........x..... + 11a40: 7f090003 01037f09 00090103 7f090003 ................ + 11a50: 01031609 00070103 00090003 01038901 ................ + 11a60: 09000301 03010900 240103fa 7e090002 ........$...~... + 11a70: 01038301 09000c01 03010900 060103fc ................ + 11a80: 7e090002 01030309 00020103 7d090008 ~...........}... + 11a90: 01030609 00020103 7a090008 01030909 ........z....... + 11aa0: 00020103 77090008 01030c09 00020103 ....w........... + 11ab0: 74090008 01031509 00020103 6b090008 t...........k... + 11ac0: 0103fc00 09000201 03847f09 00080103 ................ + 11ad0: 1d090002 01030509 00060103 01090011 ................ + 11ae0: 01030309 00060103 04090006 01030709 ................ + 11af0: 00050103 07090011 01030109 00110103 ................ + 11b00: 0309000f 01030409 00120103 40090012 ............@... + 11b10: 01038b01 09000501 03000900 03010309 ................ + 11b20: 09000c01 033a0900 03010346 09000501 .....:.....F.... + 11b30: 033a0900 03010354 09000801 03010900 .:.....T........ + 11b40: 0601037f 09000301 03010900 03010302 ................ + 11b50: 09000501 03020900 11010301 09000b01 ................ + 11b60: 03020900 07010302 09000f01 03010900 ................ + 11b70: 08010301 09000601 03010900 06010320 ............... + 11b80: 09000601 034c0900 0501037f 09000301 .....L.......... + 11b90: 037f0900 03010301 09000601 03010900 ................ + 11ba0: 05010302 09000301 03320900 04010366 .........2.....f + 11bb0: 09000201 03010900 02010301 09000601 ................ + 11bc0: 03010900 06010301 09000601 03020900 ................ + 11bd0: 06010302 09000c01 03010900 0f010308 ................ + 11be0: 09001701 03090900 0601030f 09000401 ................ + 11bf0: 03000900 03010308 09000301 03060900 ................ + 11c00: 0e01037c 09000301 03040900 05010302 ...|............ + 11c10: 09000601 03020900 0f010305 09000601 ................ + 11c20: 037b0900 05010302 09000301 03030900 .{.............. + 11c30: 03010302 09000601 03010900 0601037f ................ + 11c40: 09000201 03010900 06010304 09000301 ................ + 11c50: 03030900 0301037d 09000401 03050900 .......}........ + 11c60: 09010301 09000c01 03150900 08010303 ................ + 11c70: 09000201 03020900 0a010301 09000c01 ................ + 11c80: 03040900 05010303 09000301 037d0900 .............}.. + 11c90: 06010305 09000901 03010900 0c010314 ................ + 11ca0: 09000501 03030900 06010306 09000b01 ................ + 11cb0: 03020900 0b010303 09000501 037f0900 ................ + 11cc0: 03010302 09000301 037e0900 06010301 .........~...... + 11cd0: 09000301 037f0900 06010304 09000301 ................ + 11ce0: 03010900 0b010303 09001801 03020900 ................ + 11cf0: 0b010301 09001801 03030900 18010303 ................ + 11d00: 09000301 03110900 0d010374 09000201 ...........t.... + 11d10: 03030900 03010309 09000601 03070900 ................ + 11d20: 09010300 09000301 03020900 0201037e ...............~ + 11d30: 09000601 03020900 03010304 09000f01 ................ + 11d40: 03010900 06010301 09000601 03030900 ................ + 11d50: 06010301 09000601 03070900 06010301 ................ + 11d60: 09000801 03030900 06010301 09000801 ................ + 11d70: 03030900 08010303 09000601 03030900 ................ + 11d80: 06010302 09000801 03010900 06010301 ................ + 11d90: 09000601 03040900 06010304 09000501 ................ + 11da0: 03000900 03010301 09000301 03000900 ................ + 11db0: 03010301 09000f01 03030900 04010300 ................ + 11dc0: 09000301 03010900 03010300 09000201 ................ + 11dd0: 03010900 02010301 09000401 03010900 ................ + 11de0: 04010301 09000401 03030900 02010300 ................ + 11df0: 09000301 030a0900 03010302 09000801 ................ + 11e00: 03010900 03010305 09000701 03040900 ................ + 11e10: 06010301 09000801 03020900 08010304 ................ + 11e20: 09000701 03010900 06010301 09000501 ................ + 11e30: 037c0900 02010312 09000201 036e0900 .|...........n.. + 11e40: 0201030e 09000201 037e0900 0301037f .........~...... + 11e50: 09000301 03020900 02010302 09000301 ................ + 11e60: 03010900 02010302 09000301 03110900 ................ + 11e70: 03010378 09000501 03080900 06010301 ...x............ + 11e80: 09000201 03000900 0301030b 09000301 ................ + 11e90: 03010900 05010304 09000301 03010900 ................ + 11ea0: 0201037f 09000301 030d0900 08010373 ...............s + 11eb0: 09000301 03100900 06010301 09000b01 ................ + 11ec0: 037c0900 02010309 09000201 030d0900 .|.............. + 11ed0: 05010378 09000901 03060900 0b010304 ...x............ + 11ee0: 09000801 03040900 0b010302 09000b01 ................ + 11ef0: 03040900 1101030f 09000501 03490900 .............I.. + 11f00: 05010337 09001201 03600900 02010321 ...7.....`.....! + 11f10: 09000b01 03000900 03010301 09000301 ................ + 11f20: 03020900 0901030a 09000501 03000900 ................ + 11f30: 03010301 09000301 030b0900 0c010302 ................ + 11f40: 09000e01 037e0900 06010302 09000301 .....~.......... + 11f50: 03020900 06010303 09000f01 03030900 ................ + 11f60: 12010303 09000f01 03040900 11010304 ................ + 11f70: 09000401 03000900 03010303 09000301 ................ + 11f80: 03000900 09010303 09000f01 03030900 ................ + 11f90: 0b010302 09000601 03010900 11010303 ................ + 11fa0: 09000401 03040900 03010301 09000301 ................ + 11fb0: 03030900 02010300 09000301 03050900 ................ + 11fc0: 06010302 09000601 03020900 03010301 ................ + 11fd0: 09000601 03030900 0e010302 09000301 ................ + 11fe0: 03030900 06010302 09000301 03030900 ................ + 11ff0: 0f010303 09000301 03020900 06010303 ................ + 12000: 09000301 03020900 06010303 09000301 ................ + 12010: 03030900 06010302 09000501 03030900 ................ + 12020: 0b010303 09000301 03020900 08010303 ................ + 12030: 09000201 03030900 03010304 09000601 ................ + 12040: 037e0900 03010302 09000301 03020900 .~.............. + 12050: 12010302 09000301 03020900 12010302 ................ + 12060: 09000301 03040900 12010304 09000401 ................ + 12070: 03000900 03010305 09000601 03080900 ................ + 12080: 06010302 09000301 03010900 06010301 ................ + 12090: 09000301 03030900 06010303 09000301 ................ + 120a0: 03010900 06010301 09000301 03030900 ................ + 120b0: 0b010303 09000301 03030900 06010303 ................ + 120c0: 09000301 03010900 0f010303 09000901 ................ + 120d0: 03030900 03010302 09000601 03030900 ................ + 120e0: 03010302 09000601 03030900 03010304 ................ + 120f0: 09000601 03020900 03010303 09000a01 ................ + 12100: 03020900 03010303 09000801 03030900 ................ + 12110: 05010303 09000b01 03030900 03010302 ................ + 12120: 09000801 03030900 02010303 09000601 ................ + 12130: 030f0900 06010302 09000301 03020900 ................ + 12140: 1201037e 09000601 03020900 03010303 ...~............ + 12150: 09000301 03080900 03010301 09001201 ................ + 12160: 03040900 0f010306 09000301 037a0900 .............z.. + 12170: 03010306 09000301 03030900 03010305 ................ + 12180: 09000601 03040900 06010302 09000301 ................ + 12190: 03010900 12010304 09000901 038b0109 ................ + 121a0: 00050103 03090003 01037d09 00050103 ..........}..... + 121b0: 03090006 01030209 000f0103 7e090003 ............~... + 121c0: 01030209 00030103 04090008 01030909 ................ + 121d0: 00030103 0109000f 01030209 00190103 ................ + 121e0: 02090003 01030409 00210103 0a090074 .........!.....t + 121f0: 01030309 00140103 04090002 01030009 ................ + 12200: 00030103 05090003 01030809 00080103 ................ + 12210: 78090005 01030809 00080103 1109000f x............... + 12220: 01036f09 00030103 05090003 01030109 ..o............. + 12230: 00030103 04090003 01030709 00030103 ................ + 12240: 1b090003 01030709 000c0103 06090009 ................ + 12250: 01030109 00110103 7f090006 01030109 ................ + 12260: 00030103 0c090006 01030609 00030103 ................ + 12270: 01090011 01037f09 00030103 01090003 ................ + 12280: 01030209 00030103 02090014 01030709 ................ + 12290: 00060103 03090009 01030209 00120103 ................ + 122a0: 7c090002 01030409 00120103 06090005 |............... + 122b0: 01030009 00030103 03090006 01037f09 ................ + 122c0: 00020103 02090002 01030409 00030103 ................ + 122d0: 00090003 01030b09 001b0103 7f090002 ................ + 122e0: 01037e09 00020103 7f090003 01037f09 ..~............. + 122f0: 00030103 7e090002 01037f09 00020103 ....~........... + 12300: 7e090002 01030109 00020103 16090005 ~............... + 12310: 01037e09 001b0103 7f090003 01037f09 ..~............. + 12320: 00020103 7f090002 01037e09 00020103 ..........~..... + 12330: 7f090002 01037d09 00020103 01090002 ......}......... + 12340: 01031609 00050103 7f09001a 01037e09 ..............~. + 12350: 00020103 7f090003 01037e09 00030103 ..........~..... + 12360: 7f090003 01037e09 00030103 7e090003 ......~.....~... + 12370: 01030109 00030103 0c090006 01030109 ................ + 12380: 00030109 00020001 01000001 b8000200 ................ + 12390: 0001b201 01fb0e0a 00010101 01000000 ................ + 123a0: 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 123b0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 123c0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 123d0: 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ + 123e0: 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ + 123f0: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 12400: 69662f75 73622f73 7263002f 726f6f74 if/usb/src./root + 12410: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 12420: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 12430: 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi + 12440: 662f7573 622f7372 63002f72 6f6f742f f/usb/src./root/ + 12450: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12460: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 12470: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12480: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12490: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 124a0: 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work + 124b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 124c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 124d0: 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + 124e0: 00757362 5f746162 6c652e63 00010000 .usb_table.c.... + 124f0: 7573625f 74797065 2e680002 00006474 usb_type.h....dt + 12500: 5f646566 732e6800 03000075 73625f74 _defs.h....usb_t + 12510: 61626c65 2e680002 00007379 735f6366 able.h....sys_cf + 12520: 672e6800 04000072 6f6d5f63 66672e68 g.h....rom_cfg.h + 12530: 00050000 6d616770 69655f6d 656d2e68 ....magpie_mem.h + 12540: 00040000 00000010 25000200 000d6501 ........%.....e. + 12550: 01fb0e0a 00010101 01000000 012f726f ............./ro + 12560: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12570: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12580: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 12590: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 125a0: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 125b0: 69655f31 5f312f72 6f6d2f68 69662f75 ie_1_1/rom/hif/u + 125c0: 73622f73 7263002f 726f6f74 2f576f72 sb/src./root/Wor + 125d0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 125e0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 125f0: 655f315f 312f726f 6d2f6869 662f7573 e_1_1/rom/hif/us + 12600: 622f7372 63002f72 6f6f742f 576f726b b/src./root/Work + 12610: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12620: 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ + 12630: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12640: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 12650: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 12660: 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W + 12670: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 12680: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 12690: 7069655f 315f312f 696e6300 2f726f6f pie_1_1/inc./roo + 126a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 126b0: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 126c0: 2f696e63 2f4f5455 53002f72 6f6f742f /inc/OTUS./root/ + 126d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 126e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 126f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 12700: 6f732f69 6e63002f 6f70742f 7874656e os/inc./opt/xten + 12710: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 12720: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 12730: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 12740: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 12750: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 12760: 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 12770: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 12780: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 12790: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 127a0: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 127b0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 127c0: 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 127d0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 127e0: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 127f0: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 12800: 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 12810: 7263682f 696e636c 7564652f 7874656e rch/include/xten + 12820: 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 12830: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12840: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 12850: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 12860: 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 12870: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 12880: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 12890: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 128a0: 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 128b0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 128c0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 128d0: 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 128e0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 128f0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12900: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12910: 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 12920: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 12930: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12940: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12950: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 12960: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 12970: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12980: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 12990: 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 129a0: 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 129b0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 129c0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 129d0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 129e0: 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 129f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12a00: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12a10: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 12a20: 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + 12a30: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12a40: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12a50: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12a60: 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + 12a70: 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + 12a80: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 12a90: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 12aa0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 12ab0: 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + 12ac0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 12ad0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 12ae0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 12af0: 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + 12b00: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 12b10: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 12b20: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 12b30: 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + 12b40: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12b50: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12b60: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12b70: 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + 12b80: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 12b90: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12ba0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12bb0: 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + 12bc0: 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + 12bd0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 12be0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 12bf0: 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + 12c00: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12c10: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12c20: 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + 12c30: 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + 12c40: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 12c50: 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + 12c60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12c70: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 12c80: 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + 12c90: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 12ca0: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 12cb0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 12cc0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 12cd0: 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + 12ce0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 12cf0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12d00: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12d10: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 12d20: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 12d30: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 12d40: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 12d50: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 12d60: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12d70: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 12d80: 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root + 12d90: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 12da0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 12db0: 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad + 12dc0: 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root + 12dd0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 12de0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 12df0: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 12e00: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 12e10: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12e20: 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + 12e30: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12e40: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12e50: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 12e60: 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + 12e70: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12e80: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 12e90: 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + 12ea0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12eb0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 12ec0: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 12ed0: 5f656e67 696e652f 696e6300 00757362 _engine/inc..usb + 12ee0: 5f666966 6f2e6300 01000075 73625f64 _fifo.c....usb_d + 12ef0: 6566732e 68000200 00757362 5f746162 efs.h....usb_tab + 12f00: 6c652e68 00020000 64745f64 6566732e le.h....dt_defs. + 12f10: 68000300 00726567 5f646566 732e6800 h....reg_defs.h. + 12f20: 04000075 73625f74 7970652e 68000200 ...usb_type.h... + 12f30: 00757362 5f707265 2e680002 00007573 .usb_pre.h....us + 12f40: 625f6578 74722e68 00020000 7573625f b_extr.h....usb_ + 12f50: 7374642e 68000200 00737973 5f636667 std.h....sys_cfg + 12f60: 2e680005 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. + 12f70: 0400006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. + 12f80: 05000061 74686f73 5f617069 2e680005 ...athos_api.h.. + 12f90: 00006f73 6170692e 68000300 004f5455 ..osapi.h....OTU + 12fa0: 535f736f 632e6800 06000063 6d6e6f73 S_soc.h....cmnos + 12fb0: 5f617069 2e680007 0000636f 72652e68 _api.h....core.h + 12fc0: 00080000 68616c2e 68000900 00636f72 ....hal.h....cor + 12fd0: 652d6973 612e6800 0a000063 6f72652d e-isa.h....core- + 12fe0: 6d61746d 61702e68 000a0000 7469652e matmap.h....tie. + 12ff0: 68000a00 00787472 756e7469 6d652e68 h....xtruntime.h + 13000: 00090000 73706563 7265672e 68000a00 ....specreg.h... + 13010: 00636f72 65626974 732e6800 09000070 .corebits.h....p + 13020: 72696e74 665f6170 692e6800 0b000075 rintf_api.h....u + 13030: 6172745f 6170692e 68000c00 00646267 art_api.h....dbg + 13040: 5f617069 2e68000d 00006d65 6d5f6170 _api.h....mem_ap + 13050: 692e6800 0e00006d 6973635f 6170692e i.h....misc_api. + 13060: 68000f00 00737472 696e675f 6170692e h....string_api. + 13070: 68001000 0074696d 65725f61 70692e68 h....timer_api.h + 13080: 00110000 726f6d70 5f617069 2e680012 ....romp_api.h.. + 13090: 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 130a0: 00130000 7461736b 6c65745f 6170692e ....tasklet_api. + 130b0: 68001400 00636c6f 636b5f61 70692e68 h....clock_api.h + 130c0: 00150000 696e7472 5f617069 2e680016 ....intr_api.h.. + 130d0: 00007764 745f6170 692e6800 17000065 ..wdt_api.h....e + 130e0: 6570726f 6d5f6170 692e6800 18000075 eprom_api.h....u + 130f0: 73625f61 70692e68 00190000 6869665f sb_api.h....hif_ + 13100: 7063692e 68001900 00686966 5f617069 pci.h....hif_api + 13110: 2e680019 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 13120: 001a0000 6164665f 6f735f75 74696c2e ....adf_os_util. + 13130: 68001b00 00616466 5f6f735f 7574696c h....adf_os_util + 13140: 5f707674 2e68001c 00006164 665f6f73 _pvt.h....adf_os + 13150: 5f747970 65732e68 001b0000 6164665f _types.h....adf_ + 13160: 6f735f73 74647479 7065732e 68001b00 os_stdtypes.h... + 13170: 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 13180: 742e6800 1c000073 74646465 662e6800 t.h....stddef.h. + 13190: 1d000076 6275665f 6170692e 68001e00 ...vbuf_api.h... + 131a0: 00766465 73635f61 70692e68 001f0000 .vdesc_api.h.... + 131b0: 73746461 72672e68 00030000 76612d78 stdarg.h....va-x + 131c0: 74656e73 612e6800 1d000061 64665f6f tensa.h....adf_o + 131d0: 735f646d 612e6800 1b000061 64665f6f s_dma.h....adf_o + 131e0: 735f646d 615f7076 742e6800 1c000061 s_dma_pvt.h....a + 131f0: 64665f6e 65745f74 79706573 2e680020 df_net_types.h. + 13200: 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 13210: 00210000 646d615f 6c69622e 68001900 .!..dma_lib.h... + 13220: 00686966 5f676d61 632e6800 1900004d .hif_gmac.h....M + 13230: 61677069 655f6170 692e6800 05000075 agpie_api.h....u + 13240: 73626669 666f5f61 70692e68 00190000 sbfifo_api.h.... + 13250: 6874635f 6170692e 68002200 00687463 htc_api.h."..htc + 13260: 2e680023 00006275 665f706f 6f6c5f61 .h.#..buf_pool_a + 13270: 70692e68 00240000 776d695f 7376635f pi.h.$..wmi_svc_ + 13280: 6170692e 68002500 00776d69 2e680023 api.h.%..wmi.h.# + 13290: 00006174 68646566 732e6800 23000064 ..athdefs.h.#..d + 132a0: 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 132b0: 26000000 00050200 8e48f803 13010306 &........H...... + 132c0: 09000301 03000900 11010307 09000601 ................ + 132d0: 03030900 02010309 09000301 03000900 ................ + 132e0: 13010306 09000601 03030900 04010306 ................ + 132f0: 09000301 03000900 13010306 09000601 ................ + 13300: 03030900 04010306 09000301 03000900 ................ + 13310: 19010304 09000901 03030900 03010306 ................ + 13320: 09000301 03000900 19010303 09000601 ................ + 13330: 03030900 0201030c 09000301 03000900 ................ + 13340: 14010301 09001201 03040900 1f010320 ............... + 13350: 09000401 03000900 0301039f 01090006 ................ + 13360: 01037b09 00030103 7b09000e 0103807f ..{.....{....... + 13370: 09000601 03010900 07010302 09000701 ................ + 13380: 03020900 07010306 09000801 03030900 ................ + 13390: 07010301 09000a01 03010900 0a010305 ................ + 133a0: 09000a01 03010900 08010302 09000701 ................ + 133b0: 03020900 07010306 09000801 03030900 ................ + 133c0: 07010301 09000a01 03010900 0a010305 ................ + 133d0: 09000a01 03010900 08010301 09000701 ................ + 133e0: 03090900 08010301 09000901 03010900 ................ + 133f0: 09010305 09000901 03010900 08010301 ................ + 13400: 09000701 03090900 08010301 09000901 ................ + 13410: 03010900 09010307 09000901 03010900 ................ + 13420: 07010302 09000701 03020900 07010306 ................ + 13430: 09000801 03030900 07010301 09000a01 ................ + 13440: 03010900 0a010308 09000a01 03010900 ................ + 13450: 08010302 09000701 03020900 07010306 ................ + 13460: 09000801 03030900 07010301 09000a01 ................ + 13470: 03010900 0a010315 09000a01 03030900 ................ + 13480: 02010300 09000301 03fb0009 00060103 ................ + 13490: 7b090003 01037b09 000e0103 a47f0900 {.....{......... + 134a0: 06010301 09000701 03010900 07010306 ................ + 134b0: 09000801 03030900 07010301 09000901 ................ + 134c0: 03020900 09010305 09000901 03010900 ................ + 134d0: 08010301 09000701 03060900 08010303 ................ + 134e0: 09000701 03010900 09010301 09000901 ................ + 134f0: 03050900 09010301 09000801 03010900 ................ + 13500: 07010309 09000801 03010900 09010301 ................ + 13510: 09000901 03050900 09010301 09000801 ................ + 13520: 03010900 07010309 09000801 03010900 ................ + 13530: 09010301 09000901 03050900 09010301 ................ + 13540: 09000701 03010900 07010306 09000801 ................ + 13550: 03030900 07010301 09000901 03010900 ................ + 13560: 09010312 09000901 09000200 01010000 ................ + 13570: 0f330002 00000cc4 0101fb0e 0a000101 .3.............. + 13580: 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 13590: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 135a0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 135b0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 135c0: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 135d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 135e0: 726f6d2f 6869662f 646d615f 6c69622f rom/hif/dma_lib/ + 135f0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 13600: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 13610: 61726765 742f696e 63002f72 6f6f742f arget/inc./root/ + 13620: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13630: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 13640: 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo + 13650: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13660: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 13670: 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e + 13680: 6e67696e 652f696e 63002f72 6f6f742f ngine/inc./root/ + 13690: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 136a0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 136b0: 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + 136c0: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 136d0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 136e0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 136f0: 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i + 13700: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13710: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13720: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13730: 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work + 13740: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 13750: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 13760: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 13770: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13780: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13790: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 137a0: 312f696e 632f6d61 67706965 002f6f70 1/inc/magpie./op + 137b0: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 137c0: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 137d0: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 137e0: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 137f0: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 13800: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 13810: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 13820: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 13830: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 13840: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 13850: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 13860: 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x + 13870: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 13880: 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + 13890: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 138a0: 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + 138b0: 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + 138c0: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 138d0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 138e0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 138f0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 13900: 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ + 13910: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 13920: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 13930: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 13940: 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar + 13950: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 13960: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 13970: 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos + 13980: 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W + 13990: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 139a0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 139b0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 139c0: 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ + 139d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 139e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 139f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 13a00: 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo + 13a10: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 13a20: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 13a30: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 13a40: 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. + 13a50: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 13a60: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 13a70: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 13a80: 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i + 13a90: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13aa0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13ab0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13ac0: 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp + 13ad0: 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W + 13ae0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 13af0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 13b00: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 13b10: 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ + 13b20: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 13b30: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 13b40: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 13b50: 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ + 13b60: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 13b70: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 13b80: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 13b90: 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo + 13ba0: 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor + 13bb0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 13bc0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 13bd0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 13be0: 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W + 13bf0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 13c00: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 13c10: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 13c20: 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ + 13c30: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13c40: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 13c50: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 13c60: 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r + 13c70: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 13c80: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 13c90: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 13ca0: 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W + 13cb0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 13cc0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 13cd0: 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo + 13ce0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13cf0: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 13d00: 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works + 13d10: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 13d20: 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os + 13d30: 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + 13d40: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 13d50: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 13d60: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 13d70: 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li + 13d80: 622f696e 636c7564 65002f72 6f6f742f b/include./root/ + 13d90: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13da0: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 13db0: 7564652f 6e657400 2f726f6f 742f576f ude/net./root/Wo + 13dc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13dd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 13de0: 69655f31 5f312f72 6f6d2f61 64662f6e ie_1_1/rom/adf/n + 13df0: 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo + 13e00: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13e10: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 13e20: 69655f31 5f312f72 6f6d2f68 74632f69 ie_1_1/rom/htc/i + 13e30: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13e40: 63652f30 3431325f 76323032 2f776c61 ce/0412_v202/wla + 13e50: 6e2f696e 636c7564 65002f72 6f6f742f n/include./root/ + 13e60: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13e70: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 13e80: 67706965 5f315f31 2f726f6d 2f627566 gpie_1_1/rom/buf + 13e90: 5f706f6f 6c2f696e 63002f72 6f6f742f _pool/inc./root/ + 13ea0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13eb0: 3230322f 7467742f 74617267 65742f77 202/tgt/target/w + 13ec0: 6d692f69 6e630000 646d615f 6c69622e mi/inc..dma_lib. + 13ed0: 63000100 0064745f 64656673 2e680002 c....dt_defs.h.. + 13ee0: 00006f73 6170692e 68000200 004f5455 ..osapi.h....OTU + 13ef0: 535f736f 632e6800 03000064 6d615f65 S_soc.h....dma_e + 13f00: 6e67696e 655f6170 692e6800 04000076 ngine_api.h....v + 13f10: 6275665f 6170692e 68000500 00766465 buf_api.h....vde + 13f20: 73635f61 70692e68 00060000 4d616770 sc_api.h....Magp + 13f30: 69655f61 70692e68 00070000 636d6e6f ie_api.h....cmno + 13f40: 735f6170 692e6800 08000073 79735f63 s_api.h....sys_c + 13f50: 66672e68 00070000 726f6d5f 6366672e fg.h....rom_cfg. + 13f60: 68000900 006d6167 7069655f 6d656d2e h....magpie_mem. + 13f70: 68000700 00636f72 652e6800 0a000068 h....core.h....h + 13f80: 616c2e68 000b0000 636f7265 2d697361 al.h....core-isa + 13f90: 2e68000c 0000636f 72652d6d 61746d61 .h....core-matma + 13fa0: 702e6800 0c000074 69652e68 000c0000 p.h....tie.h.... + 13fb0: 78747275 6e74696d 652e6800 0b000073 xtruntime.h....s + 13fc0: 70656372 65672e68 000c0000 636f7265 pecreg.h....core + 13fd0: 62697473 2e68000b 00007072 696e7466 bits.h....printf + 13fe0: 5f617069 2e68000d 00007561 72745f61 _api.h....uart_a + 13ff0: 70692e68 000e0000 7265675f 64656673 pi.h....reg_defs + 14000: 2e680009 00006462 675f6170 692e6800 .h....dbg_api.h. + 14010: 0f00006d 656d5f61 70692e68 00100000 ...mem_api.h.... + 14020: 6d697363 5f617069 2e680011 00007374 misc_api.h....st + 14030: 72696e67 5f617069 2e680012 00007469 ring_api.h....ti + 14040: 6d65725f 6170692e 68001300 00726f6d mer_api.h....rom + 14050: 705f6170 692e6800 14000061 6c6c6f63 p_api.h....alloc + 14060: 72616d5f 6170692e 68001500 00746173 ram_api.h....tas + 14070: 6b6c6574 5f617069 2e680016 0000636c klet_api.h....cl + 14080: 6f636b5f 6170692e 68001700 00696e74 ock_api.h....int + 14090: 725f6170 692e6800 18000077 64745f61 r_api.h....wdt_a + 140a0: 70692e68 00190000 65657072 6f6d5f61 pi.h....eeprom_a + 140b0: 70692e68 001a0000 7573625f 6170692e pi.h....usb_api. + 140c0: 68001b00 00686966 5f706369 2e68001b h....hif_pci.h.. + 140d0: 00006869 665f6170 692e6800 1b000061 ..hif_api.h....a + 140e0: 64665f6e 6275662e 68001c00 00616466 df_nbuf.h....adf + 140f0: 5f6f735f 7574696c 2e68001d 00006164 _os_util.h....ad + 14100: 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. + 14110: 1e000061 64665f6f 735f7479 7065732e ...adf_os_types. + 14120: 68001d00 00616466 5f6f735f 73746474 h....adf_os_stdt + 14130: 79706573 2e68001d 00006164 665f6f73 ypes.h....adf_os + 14140: 5f747970 65735f70 76742e68 001e0000 _types_pvt.h.... + 14150: 73746464 65662e68 001f0000 73746461 stddef.h....stda + 14160: 72672e68 00020000 76612d78 74656e73 rg.h....va-xtens + 14170: 612e6800 1f000061 64665f6f 735f646d a.h....adf_os_dm + 14180: 612e6800 1d000061 64665f6f 735f646d a.h....adf_os_dm + 14190: 615f7076 742e6800 1e000061 64665f6e a_pvt.h....adf_n + 141a0: 65745f74 79706573 2e680020 00006164 et_types.h. ..ad + 141b0: 665f6e62 75665f70 76742e68 00210000 f_nbuf_pvt.h.!.. + 141c0: 646d615f 6c69622e 68001b00 00686966 dma_lib.h....hif + 141d0: 5f676d61 632e6800 1b000075 73626669 _gmac.h....usbfi + 141e0: 666f5f61 70692e68 001b0000 6874635f fo_api.h....htc_ + 141f0: 6170692e 68002200 00687463 2e680023 api.h."..htc.h.# + 14200: 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h + 14210: 00240000 776d695f 7376635f 6170692e .$..wmi_svc_api. + 14220: 68002500 00776d69 2e680023 00006174 h.%..wmi.h.#..at + 14230: 68646566 732e6800 23000000 00050200 hdefs.h.#....... + 14240: 8e4cac03 a5010103 01090003 01030a09 .L.............. + 14250: 00090103 01090003 01030109 00050103 ................ + 14260: 09090004 01030009 00030103 0d090008 ................ + 14270: 01030109 00060103 7b090005 01030509 ........{....... + 14280: 00080103 0b090002 01030409 00030103 ................ + 14290: 01090006 01030e09 00020103 74090008 ............t... + 142a0: 01030209 000e0103 02090007 01030409 ................ + 142b0: 00040103 7c090002 01030409 00020103 ....|........... + 142c0: 01090005 01030109 00070103 02090008 ................ + 142d0: 01030609 00040103 00090003 01030809 ................ + 142e0: 000a0103 0509000e 01037e09 00040103 ..........~..... + 142f0: 08090004 01037809 00050103 08090002 ......x......... + 14300: 01037a09 00020103 06090006 01030109 ..z............. + 14310: 00030103 01090007 01030109 00080103 ................ + 14320: 01090007 01030b09 00050103 01090003 ................ + 14330: 01030109 00030103 0a090002 01037c09 ..............|. + 14340: 00080103 0209000e 01030209 00070103 ................ + 14350: 0b090007 01030009 00030103 03090008 ................ + 14360: 01030209 000a0103 7e090005 01030209 ........~....... + 14370: 00020103 04090003 01030109 00070103 ................ + 14380: 0a090002 01030009 00030103 01090006 ................ + 14390: 01030a09 00130103 00090003 01030d09 ................ + 143a0: 00030103 77090002 01030209 000a0103 ....w........... + 143b0: 01090002 01037d09 00020103 09090002 ......}......... + 143c0: 01030109 00050103 05090007 01030109 ................ + 143d0: 00050103 7f09000b 01030409 00030103 ................ + 143e0: 0b090005 01030109 00030103 01090006 ................ + 143f0: 01030209 00020103 0b09001d 01030009 ................ + 14400: 00030103 01090006 01030b09 00130103 ................ + 14410: 00090003 01030309 00080103 0209000a ................ + 14420: 01037e09 00050103 02090002 01030209 ..~............. + 14430: 00030103 01090007 01030a09 00020103 ................ + 14440: 01090003 01030109 00030103 02090002 ................ + 14450: 01030509 001c0103 00090003 01030909 ................ + 14460: 001b0103 7f090002 01037f09 00020103 ................ + 14470: 7f090002 01037f09 00020103 7f090002 ................ + 14480: 01037f09 00020103 7e090002 01030109 ........~....... + 14490: 00020103 08090005 01030109 00020109 ................ + 144a0: 00020001 0100000e 09000200 000d0301 ................ + 144b0: 01fb0e0a 00010101 01000000 012f726f ............./ro + 144c0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 144d0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 144e0: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 144f0: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 14500: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 14510: 69655f31 5f312f72 6f6d2f76 6275662f ie_1_1/rom/vbuf/ + 14520: 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 14530: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14540: 742f7461 72676574 2f696e63 002f726f t/target/inc./ro + 14550: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14560: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 14570: 742f696e 632f4f54 5553002f 726f6f74 t/inc/OTUS./root + 14580: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14590: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 145a0: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 145b0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 145c0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 145d0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 145e0: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 145f0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14600: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14610: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14620: 5f312f69 6e63002f 726f6f74 2f576f72 _1/inc./root/Wor + 14630: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 14640: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 14650: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 14660: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14670: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14680: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14690: 5f312f69 6e632f6d 61677069 65002f6f _1/inc/magpie./o + 146a0: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 146b0: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 146c0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 146d0: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 146e0: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 146f0: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 14700: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 14710: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 14720: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 14730: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 14740: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 14750: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 14760: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 14770: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 14780: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 14790: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 147a0: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 147b0: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 147c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 147d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 147e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 147f0: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 14800: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 14810: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 14820: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 14830: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 14840: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 14850: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 14860: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 14870: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 14880: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14890: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 148a0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 148b0: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 148c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 148d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 148e0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 148f0: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 14900: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14910: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 14920: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 14930: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 14940: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 14950: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 14960: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14970: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 14980: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14990: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 149a0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 149b0: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 149c0: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 149d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 149e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 149f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 14a00: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 14a10: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 14a20: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 14a30: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 14a40: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 14a50: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 14a60: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 14a70: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 14a80: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 14a90: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 14aa0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 14ab0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 14ac0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 14ad0: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 14ae0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14af0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 14b00: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 14b10: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 14b20: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14b30: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 14b40: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 14b50: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 14b60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 14b70: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 14b80: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 14b90: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 14ba0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14bb0: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 14bc0: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 14bd0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 14be0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 14bf0: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 14c00: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 14c10: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 14c20: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 14c30: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 14c40: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 14c50: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 14c60: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 14c70: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 14c80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14c90: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 14ca0: 6c756465 2f6e6574 002f726f 6f742f57 lude/net./root/W + 14cb0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 14cc0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 14cd0: 7069655f 315f312f 726f6d2f 6164662f pie_1_1/rom/adf/ + 14ce0: 6e627566 2f696e63 002f726f 6f742f57 nbuf/inc./root/W + 14cf0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 14d00: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 14d10: 7069655f 315f312f 726f6d2f 6874632f pie_1_1/rom/htc/ + 14d20: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14d30: 6163652f 30343132 5f763230 322f776c ace/0412_v202/wl + 14d40: 616e2f69 6e636c75 6465002f 726f6f74 an/include./root + 14d50: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14d60: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 14d70: 61677069 655f315f 312f726f 6d2f6275 agpie_1_1/rom/bu + 14d80: 665f706f 6f6c2f69 6e63002f 726f6f74 f_pool/inc./root + 14d90: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 14da0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 14db0: 776d692f 696e6300 2f726f6f 742f576f wmi/inc./root/Wo + 14dc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 14dd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 14de0: 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e + 14df0: 6e67696e 652f696e 63002f72 6f6f742f ngine/inc./root/ + 14e00: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14e10: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 14e20: 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + 14e30: 662f7372 63000076 6275662e 63000100 f/src..vbuf.c... + 14e40: 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS + 14e50: 5f736f63 2e680003 00007662 75665f61 _soc.h....vbuf_a + 14e60: 70692e68 00040000 76646573 635f6170 pi.h....vdesc_ap + 14e70: 692e6800 0500004d 61677069 655f6170 i.h....Magpie_ap + 14e80: 692e6800 06000063 6d6e6f73 5f617069 i.h....cmnos_api + 14e90: 2e680007 00007379 735f6366 672e6800 .h....sys_cfg.h. + 14ea0: 06000072 6f6d5f63 66672e68 00080000 ...rom_cfg.h.... + 14eb0: 6d616770 69655f6d 656d2e68 00060000 magpie_mem.h.... + 14ec0: 636f7265 2e680009 00006861 6c2e6800 core.h....hal.h. + 14ed0: 0a000063 6f72652d 6973612e 68000b00 ...core-isa.h... + 14ee0: 00636f72 652d6d61 746d6170 2e68000b .core-matmap.h.. + 14ef0: 00007469 652e6800 0b000078 7472756e ..tie.h....xtrun + 14f00: 74696d65 2e68000a 00007370 65637265 time.h....specre + 14f10: 672e6800 0b000063 6f726562 6974732e g.h....corebits. + 14f20: 68000a00 00707269 6e74665f 6170692e h....printf_api. + 14f30: 68000c00 00756172 745f6170 692e6800 h....uart_api.h. + 14f40: 0d000072 65675f64 6566732e 68000800 ...reg_defs.h... + 14f50: 0064745f 64656673 2e680002 00006462 .dt_defs.h....db + 14f60: 675f6170 692e6800 0e00006d 656d5f61 g_api.h....mem_a + 14f70: 70692e68 000f0000 6d697363 5f617069 pi.h....misc_api + 14f80: 2e680010 00007374 72696e67 5f617069 .h....string_api + 14f90: 2e680011 00007469 6d65725f 6170692e .h....timer_api. + 14fa0: 68001200 00726f6d 705f6170 692e6800 h....romp_api.h. + 14fb0: 13000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 14fc0: 68001400 00746173 6b6c6574 5f617069 h....tasklet_api + 14fd0: 2e680015 0000636c 6f636b5f 6170692e .h....clock_api. + 14fe0: 68001600 00696e74 725f6170 692e6800 h....intr_api.h. + 14ff0: 17000077 64745f61 70692e68 00180000 ...wdt_api.h.... + 15000: 65657072 6f6d5f61 70692e68 00190000 eeprom_api.h.... + 15010: 7573625f 6170692e 68001a00 00686966 usb_api.h....hif + 15020: 5f706369 2e68001a 00006869 665f6170 _pci.h....hif_ap + 15030: 692e6800 1a000061 64665f6e 6275662e i.h....adf_nbuf. + 15040: 68001b00 00616466 5f6f735f 7574696c h....adf_os_util + 15050: 2e68001c 00006164 665f6f73 5f757469 .h....adf_os_uti + 15060: 6c5f7076 742e6800 1d000061 64665f6f l_pvt.h....adf_o + 15070: 735f7479 7065732e 68001c00 00616466 s_types.h....adf + 15080: 5f6f735f 73746474 79706573 2e68001c _os_stdtypes.h.. + 15090: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 150a0: 76742e68 001d0000 73746464 65662e68 vt.h....stddef.h + 150b0: 001e0000 73746461 72672e68 00020000 ....stdarg.h.... + 150c0: 76612d78 74656e73 612e6800 1e000061 va-xtensa.h....a + 150d0: 64665f6f 735f646d 612e6800 1c000061 df_os_dma.h....a + 150e0: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 150f0: 1d000061 64665f6e 65745f74 79706573 ...adf_net_types + 15100: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 15110: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 15120: 68001a00 00686966 5f676d61 632e6800 h....hif_gmac.h. + 15130: 1a000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 15140: 001a0000 6874635f 6170692e 68002100 ....htc_api.h.!. + 15150: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 15160: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 15170: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 15180: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 15190: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 151a0: 692e6800 25000076 6275662e 68002600 i.h.%..vbuf.h.&. + 151b0: 00000005 02008e4e f0031c01 03000900 .......N........ + 151c0: 03010305 09000301 03020900 0f01037f ................ + 151d0: 09000201 03030900 02010302 09000201 ................ + 151e0: 03030900 05010305 09000a01 037e0900 .............~.. + 151f0: 0201037f 09000401 03020900 02010379 ...............y + 15200: 09000201 030a0900 03010302 09000201 ................ + 15210: 03040900 03010300 09000301 03030900 ................ + 15220: 03010306 09000201 037d0900 02010302 .........}...... + 15230: 09000401 037e0900 02010302 09000201 .....~.......... + 15240: 037e0900 02010303 09000201 03030900 .~.............. + 15250: 0201037d 09000201 03030900 02010304 ...}............ + 15260: 09000401 03000900 03010306 09000301 ................ + 15270: 037d0900 02010301 09000401 03020900 .}.............. + 15280: 02010301 09000401 03050900 02010300 ................ + 15290: 09000301 03040900 0901037f 09000201 ................ + 152a0: 037f0900 02010306 09000201 09000200 ................ + 152b0: 01010000 0e620002 00000d07 0101fb0e .....b.......... + 152c0: 0a000101 01010000 00012f72 6f6f742f ........../root/ + 152d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 152e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 152f0: 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m + 15300: 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. + 15310: 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ + 15320: 315f312f 726f6d2f 76646573 632f7372 1_1/rom/vdesc/sr + 15330: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15340: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15350: 74617267 65742f69 6e63002f 726f6f74 target/inc./root + 15360: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 15370: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 15380: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 15390: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 153a0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 153b0: 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 153c0: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 153d0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 153e0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 153f0: 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 15400: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15410: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 15420: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 15430: 732f696e 63002f72 6f6f742f 576f726b s/inc./root/Work + 15440: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15450: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15460: 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + 15470: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 15480: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 15490: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 154a0: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 154b0: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 154c0: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 154d0: 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt + 154e0: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 154f0: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 15500: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 15510: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 15520: 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op + 15530: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 15540: 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 15550: 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 15560: 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 15570: 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc + 15580: 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 15590: 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa + 155a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 155b0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 155c0: 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin + 155d0: 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor + 155e0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 155f0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 15600: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 15610: 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W + 15620: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15630: 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm + 15640: 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo + 15650: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 15660: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 15670: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 15680: 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro + 15690: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 156a0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 156b0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 156c0: 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ + 156d0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 156e0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 156f0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 15700: 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i + 15710: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 15720: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 15730: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 15740: 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time + 15750: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 15760: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15770: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15780: 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r + 15790: 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo + 157a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 157b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 157c0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 157d0: 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in + 157e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 157f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15800: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 15810: 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl + 15820: 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 15830: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 15840: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 15850: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 15860: 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ + 15870: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 15880: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 15890: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 158a0: 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo + 158b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 158c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 158d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 158e0: 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro + 158f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 15900: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 15910: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 15920: 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc + 15930: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 15940: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 15950: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 15960: 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo + 15970: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 15980: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 15990: 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root + 159a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 159b0: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 159c0: 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo + 159d0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 159e0: 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf + 159f0: 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte + 15a00: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 15a10: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 15a20: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 15a30: 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc + 15a40: 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro + 15a50: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 15a60: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 15a70: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 15a80: 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 15a90: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15aa0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 15ab0: 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor + 15ac0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 15ad0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 15ae0: 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb + 15af0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 15b00: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 15b10: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 15b20: 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in + 15b30: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15b40: 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan + 15b50: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 15b60: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15b70: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 15b80: 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + 15b90: 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W + 15ba0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 15bb0: 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm + 15bc0: 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work + 15bd0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15be0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15bf0: 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng + 15c00: 696e652f 696e6300 2f726f6f 742f576f ine/inc./root/Wo + 15c10: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 15c20: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 15c30: 69655f31 5f312f72 6f6d2f76 64657363 ie_1_1/rom/vdesc + 15c40: 2f737263 00007664 6573632e 63000100 /src..vdesc.c... + 15c50: 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS + 15c60: 5f736f63 2e680003 00007664 6573635f _soc.h....vdesc_ + 15c70: 6170692e 68000400 004d6167 7069655f api.h....Magpie_ + 15c80: 6170692e 68000500 00636d6e 6f735f61 api.h....cmnos_a + 15c90: 70692e68 00060000 7379735f 6366672e pi.h....sys_cfg. + 15ca0: 68000500 00726f6d 5f636667 2e680007 h....rom_cfg.h.. + 15cb0: 00006d61 67706965 5f6d656d 2e680005 ..magpie_mem.h.. + 15cc0: 0000636f 72652e68 00080000 68616c2e ..core.h....hal. + 15cd0: 68000900 00636f72 652d6973 612e6800 h....core-isa.h. + 15ce0: 0a000063 6f72652d 6d61746d 61702e68 ...core-matmap.h + 15cf0: 000a0000 7469652e 68000a00 00787472 ....tie.h....xtr + 15d00: 756e7469 6d652e68 00090000 73706563 untime.h....spec + 15d10: 7265672e 68000a00 00636f72 65626974 reg.h....corebit + 15d20: 732e6800 09000070 72696e74 665f6170 s.h....printf_ap + 15d30: 692e6800 0b000075 6172745f 6170692e i.h....uart_api. + 15d40: 68000c00 00726567 5f646566 732e6800 h....reg_defs.h. + 15d50: 07000064 745f6465 66732e68 00020000 ...dt_defs.h.... + 15d60: 6462675f 6170692e 68000d00 006d656d dbg_api.h....mem + 15d70: 5f617069 2e68000e 00006d69 73635f61 _api.h....misc_a + 15d80: 70692e68 000f0000 73747269 6e675f61 pi.h....string_a + 15d90: 70692e68 00100000 74696d65 725f6170 pi.h....timer_ap + 15da0: 692e6800 11000072 6f6d705f 6170692e i.h....romp_api. + 15db0: 68001200 00616c6c 6f637261 6d5f6170 h....allocram_ap + 15dc0: 692e6800 13000074 61736b6c 65745f61 i.h....tasklet_a + 15dd0: 70692e68 00140000 636c6f63 6b5f6170 pi.h....clock_ap + 15de0: 692e6800 15000069 6e74725f 6170692e i.h....intr_api. + 15df0: 68001600 00776474 5f617069 2e680017 h....wdt_api.h.. + 15e00: 00006565 70726f6d 5f617069 2e680018 ..eeprom_api.h.. + 15e10: 00007573 625f6170 692e6800 19000068 ..usb_api.h....h + 15e20: 69665f70 63692e68 00190000 6869665f if_pci.h....hif_ + 15e30: 6170692e 68001900 00616466 5f6e6275 api.h....adf_nbu + 15e40: 662e6800 1a000061 64665f6f 735f7574 f.h....adf_os_ut + 15e50: 696c2e68 001b0000 6164665f 6f735f75 il.h....adf_os_u + 15e60: 74696c5f 7076742e 68001c00 00616466 til_pvt.h....adf + 15e70: 5f6f735f 74797065 732e6800 1b000061 _os_types.h....a + 15e80: 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h + 15e90: 001b0000 6164665f 6f735f74 79706573 ....adf_os_types + 15ea0: 5f707674 2e68001c 00007374 64646566 _pvt.h....stddef + 15eb0: 2e68001d 00007662 75665f61 70692e68 .h....vbuf_api.h + 15ec0: 001e0000 73746461 72672e68 00020000 ....stdarg.h.... + 15ed0: 76612d78 74656e73 612e6800 1d000061 va-xtensa.h....a + 15ee0: 64665f6f 735f646d 612e6800 1b000061 df_os_dma.h....a + 15ef0: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 15f00: 1c000061 64665f6e 65745f74 79706573 ...adf_net_types + 15f10: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 15f20: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 15f30: 68001900 00686966 5f676d61 632e6800 h....hif_gmac.h. + 15f40: 19000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 15f50: 00190000 6874635f 6170692e 68002100 ....htc_api.h.!. + 15f60: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 15f70: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 15f80: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 15f90: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 15fa0: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 15fb0: 692e6800 25000076 64657363 2e680026 i.h.%..vdesc.h.& + 15fc0: 00000000 0502008e 4f740321 01030009 ........Ot.!.... + 15fd0: 00030103 08090003 01030209 000f0103 ................ + 15fe0: 7f090003 01030409 00020103 02090002 ................ + 15ff0: 01030409 00050103 0609000a 01037e09 ..............~. + 16000: 00020103 7e090004 01030309 00030103 ....~........... + 16010: 77090002 01030e09 00030103 c7000900 w............... + 16020: 03010300 09000301 03040900 03010306 ................ + 16030: 09000201 037f0900 04010301 09000401 ................ + 16040: 03030900 0201037d 09000201 03030900 .......}........ + 16050: 0201030e 09000201 03020900 03010300 ................ + 16060: 09000301 030e0900 02010314 09000301 ................ + 16070: 03740900 02010308 09000301 037c0900 .t...........|.. + 16080: 03010309 09000301 036f0900 0201030d .........o...... + 16090: 09000301 03780900 03010304 09000301 .....x.......... + 160a0: 03780900 03010300 09000301 03010900 .x.............. + 160b0: 03010303 09000301 03010900 03010303 ................ + 160c0: 09000301 03010900 03010303 09000301 ................ + 160d0: 03010900 03010303 09000301 03010900 ................ + 160e0: 02010301 09000201 03050900 05010300 ................ + 160f0: 09000301 03050900 0c01037f 09000201 ................ + 16100: 037f0900 0201037f 09000201 03090900 ................ + 16110: 02010900 02000101 0000180b 00020000 ................ + 16120: 0d4e0101 fb0e0a00 01010101 00000001 .N.............. + 16130: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 16140: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 16150: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 16160: 6d616765 2f6d6167 7069652f 2e2e2f2e mage/magpie/../. + 16170: 2e2f2e2e 2f2e2e2f 2f627569 6c642f6d ./../..//build/m + 16180: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 16190: 632f7372 63002f72 6f6f742f 576f726b c/src./root/Work + 161a0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 161b0: 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ + 161c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 161d0: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 161e0: 6765742f 696e632f 4f545553 002f726f get/inc/OTUS./ro + 161f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16200: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 16210: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 16220: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 16230: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 16240: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 16250: 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 16260: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16270: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 16280: 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 16290: 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten + 162a0: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 162b0: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 162c0: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 162d0: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 162e0: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 162f0: 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 16300: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 16310: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 16320: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 16330: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 16340: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 16350: 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 16360: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 16370: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 16380: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 16390: 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 163a0: 7263682f 696e636c 7564652f 7874656e rch/include/xten + 163b0: 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 163c0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 163d0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 163e0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 163f0: 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 16400: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 16410: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 16420: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 16430: 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 16440: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 16450: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 16460: 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 16470: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 16480: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 16490: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 164a0: 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 164b0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 164c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 164d0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 164e0: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 164f0: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 16500: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16510: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 16520: 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 16530: 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 16540: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 16550: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 16560: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 16570: 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 16580: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16590: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 165a0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 165b0: 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + 165c0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 165d0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 165e0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 165f0: 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + 16600: 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + 16610: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 16620: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 16630: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 16640: 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + 16650: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 16660: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 16670: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 16680: 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + 16690: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 166a0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 166b0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 166c0: 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + 166d0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 166e0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 166f0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 16700: 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + 16710: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 16720: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 16730: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 16740: 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + 16750: 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + 16760: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 16770: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 16780: 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + 16790: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 167a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 167b0: 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + 167c0: 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + 167d0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 167e0: 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + 167f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16800: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 16810: 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + 16820: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 16830: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 16840: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 16850: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 16860: 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + 16870: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 16880: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 16890: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 168a0: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 168b0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 168c0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 168d0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 168e0: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 168f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16900: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 16910: 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root + 16920: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 16930: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 16940: 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad + 16950: 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root + 16960: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 16970: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 16980: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 16990: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 169a0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 169b0: 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + 169c0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 169d0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 169e0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 169f0: 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + 16a00: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16a10: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 16a20: 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + 16a30: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 16a40: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 16a50: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 16a60: 5f656e67 696e652f 696e6300 2f726f6f _engine/inc./roo + 16a70: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 16a80: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 16a90: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 16aa0: 74632f73 72630000 6874632e 63000100 tc/src..htc.c... + 16ab0: 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS + 16ac0: 5f736f63 2e680003 00004d61 67706965 _soc.h....Magpie + 16ad0: 5f617069 2e680004 0000636d 6e6f735f _api.h....cmnos_ + 16ae0: 6170692e 68000500 00737973 5f636667 api.h....sys_cfg + 16af0: 2e680004 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. + 16b00: 0600006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. + 16b10: 04000063 6f72652e 68000700 0068616c ...core.h....hal + 16b20: 2e680008 0000636f 72652d69 73612e68 .h....core-isa.h + 16b30: 00090000 636f7265 2d6d6174 6d61702e ....core-matmap. + 16b40: 68000900 00746965 2e680009 00007874 h....tie.h....xt + 16b50: 72756e74 696d652e 68000800 00737065 runtime.h....spe + 16b60: 63726567 2e680009 0000636f 72656269 creg.h....corebi + 16b70: 74732e68 00080000 7072696e 74665f61 ts.h....printf_a + 16b80: 70692e68 000a0000 75617274 5f617069 pi.h....uart_api + 16b90: 2e68000b 00007265 675f6465 66732e68 .h....reg_defs.h + 16ba0: 00060000 64745f64 6566732e 68000200 ....dt_defs.h... + 16bb0: 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + 16bc0: 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + 16bd0: 6170692e 68000e00 00737472 696e675f api.h....string_ + 16be0: 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + 16bf0: 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + 16c00: 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + 16c10: 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + 16c20: 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + 16c30: 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + 16c40: 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + 16c50: 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + 16c60: 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + 16c70: 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + 16c80: 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + 16c90: 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + 16ca0: 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + 16cb0: 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + 16cc0: 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + 16cd0: 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + 16ce0: 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + 16cf0: 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + 16d00: 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + 16d10: 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + 16d20: 001e0000 73746461 72672e68 00020000 ....stdarg.h.... + 16d30: 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + 16d40: 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + 16d50: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 16d60: 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + 16d70: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 16d80: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 16d90: 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + 16da0: 18000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 16db0: 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + 16dc0: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 16dd0: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 16de0: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 16df0: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 16e00: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 16e10: 692e6800 25000061 64665f6f 735f6d65 i.h.%..adf_os_me + 16e20: 6d2e6800 1a000061 64665f6f 735f6d65 m.h....adf_os_me + 16e30: 6d5f7076 742e6800 1b000061 64665f6f m_pvt.h....adf_o + 16e40: 735f696f 2e68001a 00006164 665f6f73 s_io.h....adf_os + 16e50: 5f696f5f 7076742e 68001b00 00687463 _io_pvt.h....htc + 16e60: 5f696e74 65726e61 6c2e6800 26000000 _internal.h.&... + 16e70: 04240005 02008e50 2c03b902 01043203 .$.....P,.....2. + 16e80: 4b090003 01030409 00020103 02090004 K............... + 16e90: 01037e09 00020104 24033209 00050103 ..~.....$.2..... + 16ea0: 0f090014 01030009 00030104 32037f09 ............2... + 16eb0: 00020103 03090002 01037f09 00030103 ................ + 16ec0: 01090003 01037f09 00020103 01090006 ................ + 16ed0: 01030109 00030104 24037e09 00080103 ........$.~..... + 16ee0: 0f09000c 01043203 ab7f0900 03010304 ......2......... + 16ef0: 09000201 03020900 0401037e 09000201 ...........~.... + 16f00: 03d90009 00050103 01090003 01030109 ................ + 16f10: 00050103 7e090003 01030209 00050103 ....~........... + 16f20: 7e090005 01042403 79090004 01030f09 ~.....$.y....... + 16f30: 00030103 00090003 01043203 0b090002 ..........2..... + 16f40: 01030309 00020103 7f090003 01030109 ................ + 16f50: 00030103 7f090003 01030109 00050103 ................ + 16f60: 01090003 01042403 72090009 01031d09 ......$.r....... + 16f70: 000b0103 01090003 01030009 00030103 ................ + 16f80: 2c090002 01043203 c07e0900 03010302 ,.....2..~...... + 16f90: 09000201 03010900 0501037f 09000301 ................ + 16fa0: 03010900 04010424 03bf0109 00020103 .......$........ + 16fb0: 0e090005 01030109 00030103 00090002 ................ + 16fc0: 01040103 8a7d0900 03010300 09000301 .....}.......... + 16fd0: 03010900 07010301 09000b01 03050900 ................ + 16fe0: 03010300 09000301 043e03b3 7f090005 .........>...... + 16ff0: 01031e09 000d0104 01033c09 000a0103 ..........<..... + 17000: 01090002 01037f09 00050103 01090002 ................ + 17010: 01030109 00050103 05090005 01030309 ................ + 17020: 00020103 7c090003 01037f09 00030103 ....|........... + 17030: 01090005 01030409 00020103 04090005 ................ + 17040: 01030e09 00020103 7d090002 01037909 ........}.....y. + 17050: 00020103 18090002 01036409 00030103 ..........d..... + 17060: 04090005 01030a09 00030103 7a090003 ............z... + 17070: 01030309 00030103 03090003 01031009 ................ + 17080: 00030103 72090002 01030609 00060103 ....r........... + 17090: 0d090002 01037309 00050103 01090003 ......s......... + 170a0: 01030509 00020103 01090003 01030209 ................ + 170b0: 00030103 7e090003 01037c09 00030103 ....~.....|..... + 170c0: 05090003 01037909 00030103 0d090003 ......y......... + 170d0: 01037c09 00060103 04090003 01037f09 ..|............. + 170e0: 00060103 01090002 01030509 00030103 ................ + 170f0: 01090005 01030109 000c0103 0209000a ................ + 17100: 01030609 00070103 04090003 01037b09 ..............{. + 17110: 00060103 05090005 01030309 00030103 ................ + 17120: 02090009 01030409 00020103 04090003 ................ + 17130: 01030309 00050103 04090003 01030009 ................ + 17140: 00030103 01090002 01030109 00030103 ................ + 17150: 03090005 01030609 00030103 06090007 ................ + 17160: 01030209 000a0103 09090009 01037c09 ..............|. + 17170: 00060103 7c090002 01030109 00040103 ....|........... + 17180: 02090003 01037e09 00060103 7f090003 ......~......... + 17190: 01030109 00030103 02090003 01037d09 ..............}. + 171a0: 00030103 04090003 01030409 00030103 ................ + 171b0: 7b090003 01037e09 00030103 07090003 {.....~......... + 171c0: 01030209 00030103 03090004 01030709 ................ + 171d0: 00030103 04090007 01030309 00170103 ................ + 171e0: 17090003 01036909 00030103 02090003 ......i......... + 171f0: 01030109 000d0103 0609000d 01037f09 ................ + 17200: 00030103 7c090003 01037f09 00020103 ....|........... + 17210: 05090003 01030109 00020103 7f090006 ................ + 17220: 01030409 00030103 03090011 01030a09 ................ + 17230: 00090103 7e090002 01030209 00050103 ....~........... + 17240: 03090005 01030009 00030103 0c09000b ................ + 17250: 01037d09 00040104 24038c02 09000201 ..}.....$....... + 17260: 040103f7 7d090002 01037b09 00050103 ....}.....{..... + 17270: 08090003 01030109 00170103 03090003 ................ + 17280: 01030009 00030103 01090006 01030109 ................ + 17290: 00050103 03090002 01030609 00030103 ................ + 172a0: 03090007 01030709 00090103 05090010 ................ + 172b0: 01037e09 00030103 15090005 01036b09 ..~...........k. + 172c0: 00080103 08090006 01037f09 00030103 ................ + 172d0: 0e090003 01042403 6509000c 01040103 ......$.e....... + 172e0: 1b090002 01030109 00020104 24036409 ............$.d. + 172f0: 00020104 01031c09 00030103 05090005 ................ + 17300: 01030109 00150103 09090006 01033109 ..............1. + 17310: 00030103 54090002 01030209 00030103 ....T........... + 17320: 0b090009 01037509 00080103 04090002 ......u......... + 17330: 01030409 00020103 7d090003 01030609 ........}....... + 17340: 00020103 7a090002 01037f09 00030103 ....z........... + 17350: 23090003 01036809 000d0103 0209000b #.....h......... + 17360: 01030a09 00070103 79090002 01037d09 ........y.....}. + 17370: 00020103 05090002 01030109 00030103 ................ + 17380: 01090006 01037c09 00030103 04090005 ......|......... + 17390: 01030109 00050103 7f090003 01030109 ................ + 173a0: 00030103 04090006 01037709 00030103 ..........w..... + 173b0: 07090003 01030209 00030103 0209000a ................ + 173c0: 01030109 00040103 7f090002 01030209 ................ + 173d0: 00030103 7f090002 01037f09 00030103 ................ + 173e0: 04090005 01030409 00030103 0609000f ................ + 173f0: 01030f09 00030103 02090002 01037c09 ..............|. + 17400: 00020103 02090003 01030209 00030103 ................ + 17410: 7e090004 01030c09 00060103 7f090005 ~............... + 17420: 01030109 00020103 7f090003 01030109 ................ + 17430: 00060103 7f090005 01030109 00030103 ................ + 17440: 01090006 01036109 00020103 22090008 ......a....."... + 17450: 01030809 00030103 03090005 01031b09 ................ + 17460: 00030103 03090005 01030009 00030103 ................ + 17470: 03090003 01030409 000e0103 00090003 ................ + 17480: 01030209 001b0103 07090002 01037f09 ................ + 17490: 00020103 7f090002 01037f09 00020103 ................ + 174a0: 7f090002 01037f09 00020103 7d090002 ............}... + 174b0: 01030209 00020103 08090005 01037f09 ................ + 174c0: 00080103 02090002 01030709 00020103 ................ + 174d0: 08090005 01030009 00030103 01090005 ................ + 174e0: 01030109 000b0103 05090005 01030009 ................ + 174f0: 00030103 01090003 01030409 001a0103 ................ + 17500: 06090003 01030509 00030103 7b090003 ............{... + 17510: 01030509 00030103 05090003 01030209 ................ + 17520: 00040103 01090003 01030709 00030103 ................ + 17530: 79090004 01030709 00030103 0d090006 y............... + 17540: 01037809 00090103 1f090009 01037209 ..x...........r. + 17550: 00020103 03090005 01030209 00020103 ................ + 17560: 7e090005 01030209 00040103 06090006 ~............... + 17570: 01030309 000c0103 04090004 01030909 ................ + 17580: 00030103 7e090002 01037a09 00060103 ....~.....z..... + 17590: 06090003 01037f09 00060103 03090004 ................ + 175a0: 01030409 00050103 0209000a 01030309 ................ + 175b0: 00090103 7e090008 01030209 00080103 ....~........... + 175c0: 02090005 01030209 00050103 03090003 ................ + 175d0: 01030309 00050103 31090006 01034709 ........1.....G. + 175e0: 00020103 c0000900 0801037c 09000201 ...........|.... + 175f0: 03040900 03010301 09000a01 035c0900 .............\.. + 17600: 02010373 09000601 03030900 0301030a ...s............ + 17610: 09000201 03760900 0201037d 09000201 .....v.....}.... + 17620: 030d0900 03010303 09000501 03030900 ................ + 17630: 03010302 09000501 03020900 06010302 ................ + 17640: 09000601 037e0900 06010304 09000601 .....~.......... + 17650: 037e0900 06010302 09000201 03020900 .~.............. + 17660: 12010301 09000f01 03050900 0f01037e ...............~ + 17670: 09000301 03020900 0501037e 09000201 ...........~.... + 17680: 03020900 06010311 09000601 03060900 ................ + 17690: 03010305 09000701 03020900 0a010302 ................ + 176a0: 09000901 03020900 0a010302 09000601 ................ + 176b0: 03010900 0b010306 09000501 03030900 ................ + 176c0: 0901037d 09000201 03070900 0501037a ...}...........z + 176d0: 09000601 03060900 03010305 09000601 ................ + 176e0: 03010900 0c010376 09000201 03010900 .......v........ + 176f0: 03010378 09000301 03150900 08010300 ...x............ + 17700: 09000301 03070900 02010304 09000301 ................ + 17710: 03190900 09010373 09001701 037d0900 .......s.....}.. + 17720: 08010310 09000501 03020900 0201037e ...............~ + 17730: 09000301 03020900 05010302 09000301 ................ + 17740: 03040900 0f010303 09000701 03050900 ................ + 17750: 16010301 09000301 037f0900 03010302 ................ + 17760: 09000801 03030900 03010367 09000201 ...........g.... + 17770: 031d0900 07010305 09000301 03170900 ................ + 17780: 05010303 09000601 03040900 0f010303 ................ + 17790: 09000701 03050900 0601037e 09000401 ...........~.... + 177a0: 03020900 0201037e 09000201 03020900 .......~........ + 177b0: 06010302 09000501 03030900 03010305 ................ + 177c0: 09000301 03010900 05010305 09000301 ................ + 177d0: 03050900 07010301 09001301 033c0900 .............<.. + 177e0: 03010302 09000301 03100900 03010370 ...............p + 177f0: 09000301 03100900 03010370 09000301 ...........p.... + 17800: 03100900 0701037d 09000601 03050900 .......}........ + 17810: 06010302 09000301 03760900 02010301 .........v...... + 17820: 09000301 030c0900 06010302 09000301 ................ + 17830: 03040900 05010315 09000301 03040900 ................ + 17840: 0e01030d 09000401 03730900 02010302 .........s...... + 17850: 09000301 030b0900 03010373 09000501 ...........s.... + 17860: 03080900 06010378 09000901 030d0900 .......x........ + 17870: 0501037b 09000501 03050900 08010301 ...{............ + 17880: 09000501 03050900 07010302 09000501 ................ + 17890: 037a0900 0701037f 09000201 03070900 .z.............. + 178a0: 03010303 09000301 03c40009 00080103 ................ + 178b0: 40090002 01030309 000a0103 03090009 @............... + 178c0: 01037f09 00030103 01090006 01037f09 ................ + 178d0: 00030103 01090005 01030109 00030103 ................ + 178e0: 03090009 01030809 00110103 03090006 ................ + 178f0: 01030209 00060103 04090010 01037f09 ................ + 17900: 00040103 0109000b 01030509 00030103 ................ + 17910: 18090007 01030709 000f0103 0109000b ................ + 17920: 01090002 00010100 0011cb00 0200000d ................ + 17930: 5b0101fb 0e0a0001 01010100 0000012f [............../ + 17940: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 17950: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17960: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 17970: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 17980: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 17990: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 179a0: 5f656e67 696e652f 73726300 2f726f6f _engine/src./roo + 179b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 179c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 179d0: 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + 179e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 179f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17a00: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 17a10: 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W + 17a20: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 17a30: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 17a40: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 17a50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 17a60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 17a70: 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ + 17a80: 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 17a90: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 17aa0: 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU + 17ab0: 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac + 17ac0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 17ad0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 17ae0: 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ + 17af0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 17b00: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 17b10: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 17b20: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 17b30: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 17b40: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 17b50: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 17b60: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 17b70: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17b80: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17b90: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17ba0: 636d6e6f 732f696e 63002f6f 70742f78 cmnos/inc./opt/x + 17bb0: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 17bc0: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 17bd0: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 17be0: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 17bf0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 17c00: 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt + 17c10: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 17c20: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 17c30: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 17c40: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + 17c50: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + 17c60: 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten + 17c70: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 17c80: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 17c90: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 17ca0: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 17cb0: 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x + 17cc0: 74656e73 612f636f 6e666967 002f726f tensa/config./ro + 17cd0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17ce0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17cf0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17d00: 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc + 17d10: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 17d20: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 17d30: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 17d40: 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i + 17d50: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 17d60: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 17d70: 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db + 17d80: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + 17d90: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17da0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17db0: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 17dc0: 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor + 17dd0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 17de0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 17df0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 17e00: 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W + 17e10: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 17e20: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 17e30: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 17e40: 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro + 17e50: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17e60: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17e70: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17e80: 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. + 17e90: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 17ea0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 17eb0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 17ec0: 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc + 17ed0: 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work + 17ee0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17ef0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17f00: 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a + 17f10: 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo + 17f20: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 17f30: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 17f40: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 17f50: 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc + 17f60: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 17f70: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 17f80: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 17f90: 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ + 17fa0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 17fb0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 17fc0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 17fd0: 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int + 17fe0: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 17ff0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 18000: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 18010: 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w + 18020: 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor + 18030: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 18040: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 18050: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 18060: 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root + 18070: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 18080: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 18090: 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi + 180a0: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 180b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 180c0: 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 180d0: 6e627566 002f726f 6f742f57 6f726b73 nbuf./root/Works + 180e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 180f0: 67742f61 64662f69 6e636c75 64652f6f gt/adf/include/o + 18100: 73002f72 6f6f742f 576f726b 73706163 s./root/Workspac + 18110: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18120: 74617267 65742f61 64662f6f 732f696e target/adf/os/in + 18130: 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt + 18140: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 18150: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 18160: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 18170: 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i + 18180: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 18190: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 181a0: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 181b0: 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works + 181c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 181d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 181e0: 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf + 181f0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 18200: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 18210: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 18220: 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. + 18230: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 18240: 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i + 18250: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 18260: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 18270: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 18280: 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 18290: 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor + 182a0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 182b0: 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ + 182c0: 696e6300 00646d61 5f656e67 696e652e inc..dma_engine. + 182d0: 63000100 00737973 5f636667 2e680002 c....sys_cfg.h.. + 182e0: 0000726f 6d5f6366 672e6800 0300006d ..rom_cfg.h....m + 182f0: 61677069 655f6d65 6d2e6800 02000064 agpie_mem.h....d + 18300: 745f6465 66732e68 00040000 7265675f t_defs.h....reg_ + 18310: 64656673 2e680003 00006465 73632e68 defs.h....desc.h + 18320: 00050000 6f736170 692e6800 0400004f ....osapi.h....O + 18330: 5455535f 736f632e 68000600 00646d61 TUS_soc.h....dma + 18340: 5f656e67 696e655f 6170692e 68000700 _engine_api.h... + 18350: 00766275 665f6170 692e6800 08000076 .vbuf_api.h....v + 18360: 64657363 5f617069 2e680009 00004d61 desc_api.h....Ma + 18370: 67706965 5f617069 2e680002 0000636d gpie_api.h....cm + 18380: 6e6f735f 6170692e 68000a00 00636f72 nos_api.h....cor + 18390: 652e6800 0b000068 616c2e68 000c0000 e.h....hal.h.... + 183a0: 636f7265 2d697361 2e68000d 0000636f core-isa.h....co + 183b0: 72652d6d 61746d61 702e6800 0d000074 re-matmap.h....t + 183c0: 69652e68 000d0000 78747275 6e74696d ie.h....xtruntim + 183d0: 652e6800 0c000073 70656372 65672e68 e.h....specreg.h + 183e0: 000d0000 636f7265 62697473 2e68000c ....corebits.h.. + 183f0: 00007072 696e7466 5f617069 2e68000e ..printf_api.h.. + 18400: 00007561 72745f61 70692e68 000f0000 ..uart_api.h.... + 18410: 6462675f 6170692e 68001000 006d656d dbg_api.h....mem + 18420: 5f617069 2e680011 00006d69 73635f61 _api.h....misc_a + 18430: 70692e68 00120000 73747269 6e675f61 pi.h....string_a + 18440: 70692e68 00130000 74696d65 725f6170 pi.h....timer_ap + 18450: 692e6800 14000072 6f6d705f 6170692e i.h....romp_api. + 18460: 68001500 00616c6c 6f637261 6d5f6170 h....allocram_ap + 18470: 692e6800 16000074 61736b6c 65745f61 i.h....tasklet_a + 18480: 70692e68 00170000 636c6f63 6b5f6170 pi.h....clock_ap + 18490: 692e6800 18000069 6e74725f 6170692e i.h....intr_api. + 184a0: 68001900 00776474 5f617069 2e68001a h....wdt_api.h.. + 184b0: 00006565 70726f6d 5f617069 2e68001b ..eeprom_api.h.. + 184c0: 00007573 625f6170 692e6800 1c000068 ..usb_api.h....h + 184d0: 69665f70 63692e68 001c0000 6869665f if_pci.h....hif_ + 184e0: 6170692e 68001c00 00616466 5f6e6275 api.h....adf_nbu + 184f0: 662e6800 1d000061 64665f6f 735f7574 f.h....adf_os_ut + 18500: 696c2e68 001e0000 6164665f 6f735f75 il.h....adf_os_u + 18510: 74696c5f 7076742e 68001f00 00616466 til_pvt.h....adf + 18520: 5f6f735f 74797065 732e6800 1e000061 _os_types.h....a + 18530: 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h + 18540: 001e0000 6164665f 6f735f74 79706573 ....adf_os_types + 18550: 5f707674 2e68001f 00007374 64646566 _pvt.h....stddef + 18560: 2e680020 00007374 64617267 2e680004 .h. ..stdarg.h.. + 18570: 00007661 2d787465 6e73612e 68002000 ..va-xtensa.h. . + 18580: 00616466 5f6f735f 646d612e 68001e00 .adf_os_dma.h... + 18590: 00616466 5f6f735f 646d615f 7076742e .adf_os_dma_pvt. + 185a0: 68001f00 00616466 5f6e6574 5f747970 h....adf_net_typ + 185b0: 65732e68 00210000 6164665f 6e627566 es.h.!..adf_nbuf + 185c0: 5f707674 2e680022 0000646d 615f6c69 _pvt.h."..dma_li + 185d0: 622e6800 1c000068 69665f67 6d61632e b.h....hif_gmac. + 185e0: 68001c00 00757362 6669666f 5f617069 h....usbfifo_api + 185f0: 2e68001c 00006874 635f6170 692e6800 .h....htc_api.h. + 18600: 23000068 74632e68 00240000 6275665f #..htc.h.$..buf_ + 18610: 706f6f6c 5f617069 2e680025 0000776d pool_api.h.%..wm + 18620: 695f7376 635f6170 692e6800 26000077 i_svc_api.h.&..w + 18630: 6d692e68 00240000 61746864 6566732e mi.h.$..athdefs. + 18640: 68002400 00616466 5f6f735f 6d656d2e h.$..adf_os_mem. + 18650: 68001e00 00616466 5f6f735f 6d656d5f h....adf_os_mem_ + 18660: 7076742e 68001f00 00616466 5f6f735f pvt.h....adf_os_ + 18670: 696f2e68 001e0000 6164665f 6f735f69 io.h....adf_os_i + 18680: 6f5f7076 742e6800 1f000000 00050200 o_pvt.h......... + 18690: 8e591c03 31010302 09000301 03030900 .Y..1........... + 186a0: 05010300 09000301 03040900 03010303 ................ + 186b0: 09000801 036a0900 02010301 09000601 .....j.......... + 186c0: 03010900 06010301 09000601 03010900 ................ + 186d0: 06010301 09000501 03010900 05010312 ................ + 186e0: 09000501 03020900 07010303 09000201 ................ + 186f0: 03010900 03010301 09000501 03010900 ................ + 18700: 04010301 09000201 03050900 02010301 ................ + 18710: 09000301 03020900 09010303 09000501 ................ + 18720: 03040900 0801037c 09000301 03010900 .......|........ + 18730: 03010304 09000901 03020900 02010301 ................ + 18740: 09001d01 03010900 02010305 09000301 ................ + 18750: 03000900 03010304 09000201 03010900 ................ + 18760: 08010301 09000801 03030900 03010300 ................ + 18770: 09000301 03070900 03010301 09000701 ................ + 18780: 03b57f09 00080103 01090006 01030109 ................ + 18790: 00060103 01090006 01030109 00060103 ................ + 187a0: 01090005 01030109 00050103 c8000900 ................ + 187b0: 05010301 09000301 03010900 07010303 ................ + 187c0: 09000b01 03030900 05010304 09000501 ................ + 187d0: 037c0900 02010374 09000201 03140900 .|.....t........ + 187e0: 0801037f 09000401 03010900 0301037f ................ + 187f0: 09000301 03010900 02010302 09000301 ................ + 18800: 03070900 02010300 09000301 03050900 ................ + 18810: 06010302 09000c01 03020900 0a01043f ...............? + 18820: 03f27e09 00030104 01039001 09000a01 ..~............. + 18830: 03010900 0201030f 09000301 03720900 .............r.. + 18840: 02010301 09000401 03010900 03010301 ................ + 18850: 09000301 03080900 0501036d 09000401 ...........m.... + 18860: 03180900 0a010301 09000501 03030900 ................ + 18870: 03010309 09000301 03770900 0201030a .........w...... + 18880: 09000201 03020900 0a0103ec 7e090003 ............~... + 18890: 01030109 00060103 01090006 01030109 ................ + 188a0: 00060103 01090006 01030109 00050103 ................ + 188b0: 01090005 01039101 09000501 03010900 ................ + 188c0: 0601037f 09000201 03010900 03010301 ................ + 188d0: 09000a01 03010900 06010307 09000801 ................ + 188e0: 03020900 02010303 09000f01 03040900 ................ + 188f0: 0c010304 09000501 037c0900 02010368 .........|.....h + 18900: 09000201 031f0900 03010301 09001201 ................ + 18910: 03020900 0301037e 09000401 03040900 .......~........ + 18920: 0601037f 09000201 03020900 02010303 ................ + 18930: 09000501 03010900 02010303 09000501 ................ + 18940: 03010900 06010303 09000401 03010900 ................ + 18950: 03010303 09000501 03040900 03010304 ................ + 18960: 09001501 037c0900 02010304 09000201 .....|.......... + 18970: 03040900 04010300 09000301 03060900 ................ + 18980: 05010303 09000a01 03060900 05010301 ................ + 18990: 09000a01 03020900 03010301 09000501 ................ + 189a0: 03040900 07010300 09000301 03040900 ................ + 189b0: 03010303 09000c01 03040900 05010301 ................ + 189c0: 09000201 037f0900 02010301 09000201 ................ + 189d0: 03010900 03010301 09000201 03020900 ................ + 189e0: 05010303 09000401 03010900 02010301 ................ + 189f0: 09000501 03040900 06010304 09000301 ................ + 18a00: 03030900 0b010305 09000501 03120900 ................ + 18a10: 0b010301 09000901 03750900 02010303 .........u...... + 18a20: 09001901 03020900 0b010303 09000901 ................ + 18a30: 03080900 0a010300 09000301 03060900 ................ + 18a40: 1b010304 09000201 037f0900 0201037f ................ + 18a50: 09000201 037d0900 02010302 09000201 .....}.......... + 18a60: 037d0900 0201037f 09000201 037f0900 .}.............. + 18a70: 0201030d 09000501 037f0900 11010302 ................ + 18a80: 09000201 037d0900 0201037f 09000201 .....}.......... + 18a90: 037f0900 02010309 09000201 03030900 ................ + 18aa0: 02010306 09000301 03010900 05010302 ................ + 18ab0: 09000b01 03010900 02010303 09000301 ................ + 18ac0: 037d0900 03010306 09000b01 03040900 .}.............. + 18ad0: 04010305 09000901 03700900 05010314 .........p...... + 18ae0: 09000301 03780900 02010308 09000801 .....x.......... + 18af0: 09000200 01010000 0fad0002 00000e70 ...............p + 18b00: 0101fb0e 0a000101 01010000 00012f72 ............../r + 18b10: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18b20: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18b30: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 18b40: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 18b50: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 18b60: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 18b70: 656e6769 6e652f73 7263002f 726f6f74 engine/src./root + 18b80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 18b90: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 18ba0: 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + 18bb0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 18bc0: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 18bd0: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 18be0: 5f50302f 7874656e 73612d65 6c662f69 _P0/xtensa-elf/i + 18bf0: 6e636c75 6465002f 6f70742f 7874656e nclude./opt/xten + 18c00: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 18c10: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 18c20: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 18c30: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 18c40: 662f696e 636c7564 652f7379 73002f6f f/include/sys./o + 18c50: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 18c60: 6f6f6c73 2f696e73 74616c6c 2f627569 ools/install/bui + 18c70: 6c64732f 52422d32 3030372e 322d6c69 lds/RB-2007.2-li + 18c80: 6e75782f 4d616770 69655f50 302f7874 nux/Magpie_P0/xt + 18c90: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 18ca0: 2f6d6163 68696e65 002f6f70 742f7874 /machine./opt/xt + 18cb0: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 18cc0: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 18cd0: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 18ce0: 656e7361 546f6f6c 732f6c69 622f7863 ensaTools/lib/xc + 18cf0: 632d6c69 622f696e 636c7564 65002f72 c-lib/include./r + 18d00: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18d10: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18d20: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc + 18d30: 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo + 18d40: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 18d50: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 18d60: 2f4f5455 53002f72 6f6f742f 576f726b /OTUS./root/Work + 18d70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 18d80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 18d90: 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 18da0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 18db0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 18dc0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 18dd0: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 18de0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 18df0: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 18e00: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 18e10: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 18e20: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 18e30: 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + 18e40: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 18e50: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 18e60: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 18e70: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 18e80: 656c662f 696e636c 7564652f 7874656e elf/include/xten + 18e90: 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + 18ea0: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 18eb0: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 18ec0: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 18ed0: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 18ee0: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 18ef0: 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + 18f00: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 18f10: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 18f20: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 18f30: 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + 18f40: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 18f50: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 18f60: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 18f70: 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + 18f80: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 18f90: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 18fa0: 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + 18fb0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 18fc0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18fd0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18fe0: 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + 18ff0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19000: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19010: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19020: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 19030: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19040: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19050: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19060: 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + 19070: 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + 19080: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19090: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 190a0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 190b0: 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + 190c0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 190d0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 190e0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 190f0: 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + 19100: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 19110: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19120: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19130: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 19140: 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + 19150: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19160: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19170: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 19180: 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + 19190: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 191a0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 191b0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 191c0: 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + 191d0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 191e0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 191f0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19200: 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + 19210: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 19220: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19230: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19240: 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + 19250: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19260: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19270: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19280: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 19290: 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + 192a0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 192b0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 192c0: 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + 192d0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 192e0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 192f0: 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + 19300: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 19310: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 19320: 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + 19330: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 19340: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 19350: 65742f61 64662f6f 732f696e 63002f72 et/adf/os/inc./r + 19360: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 19370: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 19380: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 19390: 2f766275 662f696e 63002f72 6f6f742f /vbuf/inc./root/ + 193a0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 193b0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 193c0: 67706965 5f315f31 2f726f6d 2f766465 gpie_1_1/rom/vde + 193d0: 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor + 193e0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 193f0: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 19400: 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works + 19410: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19420: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19430: 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf + 19440: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19450: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19460: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19470: 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. + 19480: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19490: 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i + 194a0: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 194b0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 194c0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 194d0: 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 194e0: 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor + 194f0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 19500: 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ + 19510: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 19520: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 19530: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 19540: 5f312f72 6f6d2f64 6d615f65 6e67696e _1/rom/dma_engin + 19550: 652f696e 63002f72 6f6f742f 576f726b e/inc./root/Work + 19560: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19570: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19580: 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng + 19590: 696e652f 73726300 00646573 632e6300 ine/src..desc.c. + 195a0: 01000064 745f6465 66732e68 00020000 ...dt_defs.h.... + 195b0: 73747269 6e672e68 00030000 5f616e73 string.h...._ans + 195c0: 692e6800 0300006e 65776c69 622e6800 i.h....newlib.h. + 195d0: 03000063 6f6e6669 672e6800 04000069 ...config.h....i + 195e0: 65656566 702e6800 05000072 65656e74 eeefp.h....reent + 195f0: 2e680004 00005f74 79706573 2e680004 .h...._types.h.. + 19600: 00006c6f 636b2e68 00040000 73746464 ..lock.h....stdd + 19610: 65662e68 00060000 7265675f 64656673 ef.h....reg_defs + 19620: 2e680007 00006f73 6170692e 68000200 .h....osapi.h... + 19630: 004f5455 535f736f 632e6800 0800004d .OTUS_soc.h....M + 19640: 61677069 655f6170 692e6800 09000063 agpie_api.h....c + 19650: 6d6e6f73 5f617069 2e68000a 00007379 mnos_api.h....sy + 19660: 735f6366 672e6800 09000072 6f6d5f63 s_cfg.h....rom_c + 19670: 66672e68 00070000 6d616770 69655f6d fg.h....magpie_m + 19680: 656d2e68 00090000 636f7265 2e68000b em.h....core.h.. + 19690: 00006861 6c2e6800 0c000063 6f72652d ..hal.h....core- + 196a0: 6973612e 68000d00 00636f72 652d6d61 isa.h....core-ma + 196b0: 746d6170 2e68000d 00007469 652e6800 tmap.h....tie.h. + 196c0: 0d000078 7472756e 74696d65 2e68000c ...xtruntime.h.. + 196d0: 00007370 65637265 672e6800 0d000063 ..specreg.h....c + 196e0: 6f726562 6974732e 68000c00 00707269 orebits.h....pri + 196f0: 6e74665f 6170692e 68000e00 00756172 ntf_api.h....uar + 19700: 745f6170 692e6800 0f000064 62675f61 t_api.h....dbg_a + 19710: 70692e68 00100000 6d656d5f 6170692e pi.h....mem_api. + 19720: 68001100 006d6973 635f6170 692e6800 h....misc_api.h. + 19730: 12000073 7472696e 675f6170 692e6800 ...string_api.h. + 19740: 13000074 696d6572 5f617069 2e680014 ...timer_api.h.. + 19750: 0000726f 6d705f61 70692e68 00150000 ..romp_api.h.... + 19760: 616c6c6f 6372616d 5f617069 2e680016 allocram_api.h.. + 19770: 00007461 736b6c65 745f6170 692e6800 ..tasklet_api.h. + 19780: 17000063 6c6f636b 5f617069 2e680018 ...clock_api.h.. + 19790: 0000696e 74725f61 70692e68 00190000 ..intr_api.h.... + 197a0: 7764745f 6170692e 68001a00 00656570 wdt_api.h....eep + 197b0: 726f6d5f 6170692e 68001b00 00757362 rom_api.h....usb + 197c0: 5f617069 2e68001c 00006869 665f7063 _api.h....hif_pc + 197d0: 692e6800 1c000068 69665f61 70692e68 i.h....hif_api.h + 197e0: 001c0000 6164665f 6e627566 2e68001d ....adf_nbuf.h.. + 197f0: 00006164 665f6f73 5f757469 6c2e6800 ..adf_os_util.h. + 19800: 1e000061 64665f6f 735f7574 696c5f70 ...adf_os_util_p + 19810: 76742e68 001f0000 6164665f 6f735f74 vt.h....adf_os_t + 19820: 79706573 2e68001e 00006164 665f6f73 ypes.h....adf_os + 19830: 5f737464 74797065 732e6800 1e000061 _stdtypes.h....a + 19840: 64665f6f 735f7479 7065735f 7076742e df_os_types_pvt. + 19850: 68001f00 00766275 665f6170 692e6800 h....vbuf_api.h. + 19860: 20000076 64657363 5f617069 2e680021 ..vdesc_api.h.! + 19870: 00007374 64617267 2e680002 00007661 ..stdarg.h....va + 19880: 2d787465 6e73612e 68000600 00616466 -xtensa.h....adf + 19890: 5f6f735f 646d612e 68001e00 00616466 _os_dma.h....adf + 198a0: 5f6f735f 646d615f 7076742e 68001f00 _os_dma_pvt.h... + 198b0: 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h + 198c0: 00220000 6164665f 6e627566 5f707674 ."..adf_nbuf_pvt + 198d0: 2e680023 0000646d 615f6c69 622e6800 .h.#..dma_lib.h. + 198e0: 1c000068 69665f67 6d61632e 68001c00 ...hif_gmac.h... + 198f0: 00757362 6669666f 5f617069 2e68001c .usbfifo_api.h.. + 19900: 00006874 635f6170 692e6800 24000068 ..htc_api.h.$..h + 19910: 74632e68 00250000 6275665f 706f6f6c tc.h.%..buf_pool + 19920: 5f617069 2e680026 0000776d 695f7376 _api.h.&..wmi_sv + 19930: 635f6170 692e6800 27000077 6d692e68 c_api.h.'..wmi.h + 19940: 00250000 61746864 6566732e 68002500 .%..athdefs.h.%. + 19950: 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. + 19960: 68002800 00646573 632e6800 29000000 h.(..desc.h.)... + 19970: 00050200 8e5cf003 3a010300 09000301 .....\..:....... + 19980: 03030900 02010301 09000701 030d0900 ................ + 19990: 02010376 09000201 03080900 17010302 ...v............ + 199a0: 09000201 037e0900 02010302 09000c01 .....~.......... + 199b0: 03190900 05010309 09000301 03030900 ................ + 199c0: 06010301 09000601 03010900 0601030a ................ + 199d0: 09000601 03080900 0b010304 09000801 ................ + 199e0: 037c0900 08010304 09000201 03030900 .|.............. + 199f0: 06010303 09000f01 03050900 0c01037b ...............{ + 19a00: 09000201 03050900 03010303 09000c01 ................ + 19a10: 03040900 0b010303 09001101 03010900 ................ + 19a20: 02010362 09000201 036e0900 050103c8 ...b.....n...... + 19a30: 00090005 01030909 00030103 04090007 ................ + 19a40: 01030309 00120103 08090008 01030309 ................ + 19a50: 000a0103 02090008 01030409 000a0103 ................ + 19a60: 0509000b 01030309 000f0103 0509000c ................ + 19a70: 01037b09 00020103 05090003 01030209 ..{............. + 19a80: 000c0103 0109000b 01030209 00110103 ................ + 19a90: 01090002 01036009 00020103 76090005 ......`.....v... + 19aa0: 01090003 00010100 00114a00 0200000c ..........J..... + 19ab0: f80101fb 0e0a0001 01010100 0000012f .............../ + 19ac0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 19ad0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 19ae0: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 19af0: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 19b00: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 19b10: 67706965 5f315f31 2f726f6d 2f667764 gpie_1_1/rom/fwd + 19b20: 2f002f72 6f6f742f 576f726b 73706163 /./root/Workspac + 19b30: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19b40: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 19b50: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19b60: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19b70: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19b80: 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 19b90: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 19ba0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 19bb0: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 19bc0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19bd0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19be0: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 19bf0: 6e632f6d 61677069 65002f6f 70742f78 nc/magpie./opt/x + 19c00: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 19c10: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 19c20: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 19c30: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 19c40: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 19c50: 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt + 19c60: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 19c70: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 19c80: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 19c90: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte + 19ca0: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ + 19cb0: 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten + 19cc0: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 19cd0: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 19ce0: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 19cf0: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 19d00: 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x + 19d10: 74656e73 612f636f 6e666967 002f726f tensa/config./ro + 19d20: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 19d30: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 19d40: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 19d50: 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc + 19d60: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 19d70: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 19d80: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 19d90: 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i + 19da0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19db0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19dc0: 2f746172 6765742f 696e6300 2f726f6f /target/inc./roo + 19dd0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 19de0: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 19df0: 2f636d6e 6f732f64 62672f69 6e63002f /cmnos/dbg/inc./ + 19e00: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 19e10: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 19e20: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 19e30: 6d2f636d 6e6f732f 6d656d2f 696e6300 m/cmnos/mem/inc. + 19e40: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19e50: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19e60: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19e70: 6f6d2f63 6d6e6f73 2f6d6973 632f696e om/cmnos/misc/in + 19e80: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 19e90: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19ea0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19eb0: 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin + 19ec0: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + 19ed0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19ee0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19ef0: 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t + 19f00: 696d6572 2f696e63 002f726f 6f742f57 imer/inc./root/W + 19f10: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19f20: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19f30: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 19f40: 732f726f 6d706174 63682f69 6e63002f s/rompatch/inc./ + 19f50: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 19f60: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 19f70: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 19f80: 6d2f636d 6e6f732f 616c6c6f 6372616d m/cmnos/allocram + 19f90: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19fa0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19fb0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19fc0: 315f312f 726f6d2f 636d6e6f 732f7461 1_1/rom/cmnos/ta + 19fd0: 736b6c65 742f696e 63002f72 6f6f742f sklet/inc./root/ + 19fe0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 19ff0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1a000: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 1a010: 6f732f63 6c6f636b 2f696e63 002f726f os/clock/inc./ro + 1a020: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1a030: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1a040: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 1a050: 636d6e6f 732f696e 74722f69 6e63002f cmnos/intr/inc./ + 1a060: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1a070: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1a080: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1a090: 6d2f636d 6e6f732f 7764742f 696e6300 m/cmnos/wdt/inc. + 1a0a0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1a0b0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1a0c0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1a0d0: 6f6d2f63 6d6e6f73 2f656570 726f6d2f om/cmnos/eeprom/ + 1a0e0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1a0f0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1a100: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 1a110: 5f312f72 6f6d2f68 69662f69 6e63002f _1/rom/hif/inc./ + 1a120: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1a130: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 1a140: 2f696e63 6c756465 2f6e6275 66002f72 /include/nbuf./r + 1a150: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1a160: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 1a170: 696e636c 7564652f 6f73002f 726f6f74 include/os./root + 1a180: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1a190: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 1a1a0: 6164662f 6f732f69 6e63002f 6f70742f adf/os/inc./opt/ + 1a1b0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 1a1c0: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 1a1d0: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 1a1e0: 5874656e 7361546f 6f6c732f 6c69622f XtensaTools/lib/ + 1a1f0: 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. + 1a200: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1a210: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1a220: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1a230: 6f6d2f76 6275662f 696e6300 2f726f6f om/vbuf/inc./roo + 1a240: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1a250: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1a260: 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 1a270: 64657363 2f696e63 002f726f 6f742f57 desc/inc./root/W + 1a280: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a290: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 1a2a0: 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor + 1a2b0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1a2c0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 1a2d0: 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb + 1a2e0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 1a2f0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1a300: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 1a310: 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in + 1a320: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1a330: 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan + 1a340: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 1a350: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a360: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1a370: 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + 1a380: 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W + 1a390: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a3a0: 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm + 1a3b0: 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work + 1a3c0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1a3d0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1a3e0: 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng + 1a3f0: 696e652f 696e6300 2f726f6f 742f576f ine/inc./root/Wo + 1a400: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1a410: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1a420: 69655f31 5f312f72 6f6d2f66 77640000 ie_1_1/rom/fwd.. + 1a430: 6677642e 63000100 004f5455 535f736f fwd.c....OTUS_so + 1a440: 632e6800 02000063 6d6e6f73 5f617069 c.h....cmnos_api + 1a450: 2e680003 00007379 735f6366 672e6800 .h....sys_cfg.h. + 1a460: 04000072 6f6d5f63 66672e68 00050000 ...rom_cfg.h.... + 1a470: 6d616770 69655f6d 656d2e68 00040000 magpie_mem.h.... + 1a480: 636f7265 2e680006 00006861 6c2e6800 core.h....hal.h. + 1a490: 07000063 6f72652d 6973612e 68000800 ...core-isa.h... + 1a4a0: 00636f72 652d6d61 746d6170 2e680008 .core-matmap.h.. + 1a4b0: 00007469 652e6800 08000078 7472756e ..tie.h....xtrun + 1a4c0: 74696d65 2e680007 00007370 65637265 time.h....specre + 1a4d0: 672e6800 08000063 6f726562 6974732e g.h....corebits. + 1a4e0: 68000700 00707269 6e74665f 6170692e h....printf_api. + 1a4f0: 68000900 00756172 745f6170 692e6800 h....uart_api.h. + 1a500: 0a000072 65675f64 6566732e 68000500 ...reg_defs.h... + 1a510: 0064745f 64656673 2e68000b 00006462 .dt_defs.h....db + 1a520: 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + 1a530: 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + 1a540: 2e68000e 00007374 72696e67 5f617069 .h....string_api + 1a550: 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + 1a560: 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + 1a570: 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 1a580: 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + 1a590: 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + 1a5a0: 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + 1a5b0: 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + 1a5c0: 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + 1a5d0: 7573625f 6170692e 68001800 00686966 usb_api.h....hif + 1a5e0: 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + 1a5f0: 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + 1a600: 68001900 00616466 5f6f735f 7574696c h....adf_os_util + 1a610: 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + 1a620: 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + 1a630: 735f7479 7065732e 68001a00 00616466 s_types.h....adf + 1a640: 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + 1a650: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 1a660: 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + 1a670: 001c0000 6f736170 692e6800 0b000076 ....osapi.h....v + 1a680: 6275665f 6170692e 68001d00 00766465 buf_api.h....vde + 1a690: 73635f61 70692e68 001e0000 73746461 sc_api.h....stda + 1a6a0: 72672e68 000b0000 76612d78 74656e73 rg.h....va-xtens + 1a6b0: 612e6800 1c000061 64665f6f 735f646d a.h....adf_os_dm + 1a6c0: 612e6800 1a000061 64665f6f 735f646d a.h....adf_os_dm + 1a6d0: 615f7076 742e6800 1b000061 64665f6e a_pvt.h....adf_n + 1a6e0: 65745f74 79706573 2e68001f 00006164 et_types.h....ad + 1a6f0: 665f6e62 75665f70 76742e68 00200000 f_nbuf_pvt.h. .. + 1a700: 646d615f 6c69622e 68001800 00686966 dma_lib.h....hif + 1a710: 5f676d61 632e6800 1800004d 61677069 _gmac.h....Magpi + 1a720: 655f6170 692e6800 04000075 73626669 e_api.h....usbfi + 1a730: 666f5f61 70692e68 00180000 6874635f fo_api.h....htc_ + 1a740: 6170692e 68002100 00687463 2e680022 api.h.!..htc.h." + 1a750: 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h + 1a760: 00230000 776d695f 7376635f 6170692e .#..wmi_svc_api. + 1a770: 68002400 00776d69 2e680022 00006174 h.$..wmi.h."..at + 1a780: 68646566 732e6800 22000064 6d615f65 hdefs.h."..dma_e + 1a790: 6e67696e 655f6170 692e6800 25000066 ngine_api.h.%..f + 1a7a0: 77642e68 00260000 00043d00 0502008e wd.h.&....=..... + 1a7b0: 5e600335 01030109 00030103 01090006 ^`.5............ + 1a7c0: 01037f09 00050103 01090002 01030109 ................ + 1a7d0: 00030104 01036109 00050103 00090003 ......a......... + 1a7e0: 01030409 000c0103 01090002 01030309 ................ + 1a7f0: 00020103 7e090003 01030209 00020103 ....~........... + 1a800: 04090005 01030209 000d0103 0209000a ................ + 1a810: 01030509 00040103 00090003 01030109 ................ + 1a820: 00080103 0109000b 01030409 00020103 ................ + 1a830: 00090003 01030a09 00030103 7a090002 ............z... + 1a840: 01037e09 00020103 02090005 01030109 ..~............. + 1a850: 00090103 7f09000a 01030309 00020103 ................ + 1a860: 01090003 01037f09 00020103 03090003 ................ + 1a870: 01030709 00040103 0a090003 01030109 ................ + 1a880: 000a0103 7f090003 01030409 00020103 ................ + 1a890: 01090006 01030109 00050103 01090006 ................ + 1a8a0: 01030309 00040103 01090007 01030609 ................ + 1a8b0: 00080103 7d090003 01030209 00020103 ....}........... + 1a8c0: 04090005 01030109 00080103 0109000a ................ + 1a8d0: 01030109 00020103 7d090002 01030709 ........}....... + 1a8e0: 00020103 01090004 01037f09 00020103 ................ + 1a8f0: 02090005 01030109 000c0103 02090003 ................ + 1a900: 01030109 00020103 7d090002 01030409 ........}....... + 1a910: 00030103 7c090002 01030309 00030103 ....|........... + 1a920: 7f090003 01030209 00030103 7e090003 ............~... + 1a930: 01030409 00040103 0309000c 01030109 ................ + 1a940: 00070103 0c090003 01030409 000a0103 ................ + 1a950: 04090011 01030209 00030103 6d090002 ............m... + 1a960: 01030409 000c0103 02090005 01030109 ................ + 1a970: 00030103 1009000b 01030009 00030103 ................ + 1a980: 02090005 01030109 00020103 01090002 ................ + 1a990: 01030109 00020103 01090002 01030109 ................ + 1a9a0: 00020103 01090002 01030109 00020103 ................ + 1a9b0: 01090002 01030109 00020103 01090002 ................ + 1a9c0: 01030109 00020103 01090002 01030409 ................ + 1a9d0: 00040103 00090003 01030309 00030103 ................ + 1a9e0: 0209000c 01030209 00090103 0209000c ................ + 1a9f0: 01030309 00090103 02090009 01030309 ................ + 1aa00: 000c0103 0209000c 01030109 00090103 ................ + 1aa10: 03090009 01030209 000c0103 01090009 ................ + 1aa20: 01030309 00090103 02090009 01030209 ................ + 1aa30: 000e0103 01090005 01030209 000c0103 ................ + 1aa40: 01090005 01030109 00090103 01090009 ................ + 1aa50: 01030409 00040103 00090003 01030309 ................ + 1aa60: 00030103 0109000b 01030109 00090103 ................ + 1aa70: 7f09000b 01030409 00090103 0609000e ................ + 1aa80: 01030009 00030103 01090003 01030109 ................ + 1aa90: 000e0103 04090004 01030409 00030103 ................ + 1aaa0: 0109001a 01030609 00050103 04090002 ................ + 1aab0: 01037609 00020103 02090003 01030509 ..v............. + 1aac0: 00050103 7f090003 01037e09 00090103 ..........~..... + 1aad0: 05090005 01030309 00020103 05090007 ................ + 1aae0: 01030009 00030103 04090006 01030109 ................ + 1aaf0: 000a0103 01090005 01030209 00050103 ................ + 1ab00: 01090007 01030109 00020103 7b090005 ............{... + 1ab10: 01030709 00030103 0109000d 01030409 ................ + 1ab20: 00050103 00090003 01030409 00020103 ................ + 1ab30: 0109000b 01030209 00090103 7d090002 ............}... + 1ab40: 01030309 00020103 09090003 01030309 ................ + 1ab50: 00030103 01090008 01030209 000b0103 ................ + 1ab60: 01090007 01030209 000b0103 7f090002 ................ + 1ab70: 01030109 00040103 03090003 01030109 ................ + 1ab80: 00050103 01090005 01030109 000b0103 ................ + 1ab90: 02090005 01030209 00070103 02090007 ................ + 1aba0: 01030609 00030103 0109000f 01030109 ................ + 1abb0: 00090103 01090002 01037309 00050103 ..........s..... + 1abc0: 10090008 01030209 00090103 7f090002 ................ + 1abd0: 01030109 00040103 03090003 01030109 ................ + 1abe0: 00030103 01090003 01030609 00090109 ................ + 1abf0: 00040001 0100000e bd000200 000d4501 ..............E. + 1ac00: 01fb0e0a 00010101 01000000 012f726f ............./ro + 1ac10: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1ac20: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1ac30: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 1ac40: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 1ac50: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 1ac60: 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p + 1ac70: 6f6f6c2f 73726300 2f726f6f 742f576f ool/src./root/Wo + 1ac80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1ac90: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 1aca0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1acb0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 1acc0: 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ + 1acd0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1ace0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1acf0: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 1ad00: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1ad10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1ad20: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 1ad30: 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ + 1ad40: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1ad50: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1ad60: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 1ad70: 632f6d61 67706965 002f6f70 742f7874 c/magpie./opt/xt + 1ad80: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 1ad90: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 1ada0: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 1adb0: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 1adc0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 1add0: 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 1ade0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 1adf0: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 1ae00: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 1ae10: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 1ae20: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 1ae30: 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 1ae40: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 1ae50: 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 1ae60: 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 1ae70: 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 1ae80: 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 1ae90: 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 1aea0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1aeb0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1aec0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 1aed0: 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 1aee0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1aef0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1af00: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1af10: 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 1af20: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1af30: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1af40: 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 1af50: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1af60: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1af70: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1af80: 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 1af90: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 1afa0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1afb0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1afc0: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 1afd0: 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 1afe0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1aff0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1b000: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 1b010: 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 1b020: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1b030: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1b040: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 1b050: 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 1b060: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b070: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1b080: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1b090: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 1b0a0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1b0b0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1b0c0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1b0d0: 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 1b0e0: 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 1b0f0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1b100: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1b110: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 1b120: 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 1b130: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1b140: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1b150: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1b160: 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 1b170: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 1b180: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 1b190: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 1b1a0: 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 1b1b0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1b1c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1b1d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1b1e0: 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 1b1f0: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 1b200: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1b210: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1b220: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 1b230: 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 1b240: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1b250: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1b260: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 1b270: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1b280: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1b290: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 1b2a0: 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 1b2b0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1b2c0: 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 1b2d0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1b2e0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 1b2f0: 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 1b300: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 1b310: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 1b320: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 1b330: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 1b340: 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 1b350: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 1b360: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1b370: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1b380: 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 1b390: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1b3a0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1b3b0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 1b3c0: 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 1b3d0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b3e0: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 1b3f0: 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 1b400: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1b410: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1b420: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 1b430: 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 1b440: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1b450: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1b460: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 1b470: 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 1b480: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1b490: 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 1b4a0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b4b0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1b4c0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1b4d0: 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 1b4e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b4f0: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 1b500: 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 1b510: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1b520: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1b530: 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 1b540: 6d615f65 6e67696e 652f696e 63002f72 ma_engine/inc./r + 1b550: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1b560: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 1b570: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 1b580: 2f627566 5f706f6f 6c2f7372 63000062 /buf_pool/src..b + 1b590: 75665f70 6f6f6c5f 73746174 69632e63 uf_pool_static.c + 1b5a0: 00010000 6f736170 692e6800 0200004f ....osapi.h....O + 1b5b0: 5455535f 736f632e 68000300 004d6167 TUS_soc.h....Mag + 1b5c0: 7069655f 6170692e 68000400 00636d6e pie_api.h....cmn + 1b5d0: 6f735f61 70692e68 00050000 7379735f os_api.h....sys_ + 1b5e0: 6366672e 68000400 00726f6d 5f636667 cfg.h....rom_cfg + 1b5f0: 2e680006 00006d61 67706965 5f6d656d .h....magpie_mem + 1b600: 2e680004 0000636f 72652e68 00070000 .h....core.h.... + 1b610: 68616c2e 68000800 00636f72 652d6973 hal.h....core-is + 1b620: 612e6800 09000063 6f72652d 6d61746d a.h....core-matm + 1b630: 61702e68 00090000 7469652e 68000900 ap.h....tie.h... + 1b640: 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... + 1b650: 73706563 7265672e 68000900 00636f72 specreg.h....cor + 1b660: 65626974 732e6800 08000070 72696e74 ebits.h....print + 1b670: 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ + 1b680: 6170692e 68000b00 00726567 5f646566 api.h....reg_def + 1b690: 732e6800 06000064 745f6465 66732e68 s.h....dt_defs.h + 1b6a0: 00020000 6462675f 6170692e 68000c00 ....dbg_api.h... + 1b6b0: 006d656d 5f617069 2e68000d 00006d69 .mem_api.h....mi + 1b6c0: 73635f61 70692e68 000e0000 73747269 sc_api.h....stri + 1b6d0: 6e675f61 70692e68 000f0000 74696d65 ng_api.h....time + 1b6e0: 725f6170 692e6800 10000072 6f6d705f r_api.h....romp_ + 1b6f0: 6170692e 68001100 00616c6c 6f637261 api.h....allocra + 1b700: 6d5f6170 692e6800 12000074 61736b6c m_api.h....taskl + 1b710: 65745f61 70692e68 00130000 636c6f63 et_api.h....cloc + 1b720: 6b5f6170 692e6800 14000069 6e74725f k_api.h....intr_ + 1b730: 6170692e 68001500 00776474 5f617069 api.h....wdt_api + 1b740: 2e680016 00006565 70726f6d 5f617069 .h....eeprom_api + 1b750: 2e680017 00007573 625f6170 692e6800 .h....usb_api.h. + 1b760: 18000068 69665f70 63692e68 00180000 ...hif_pci.h.... + 1b770: 6869665f 6170692e 68001800 00616466 hif_api.h....adf + 1b780: 5f6e6275 662e6800 19000061 64665f6f _nbuf.h....adf_o + 1b790: 735f7574 696c2e68 001a0000 6164665f s_util.h....adf_ + 1b7a0: 6f735f75 74696c5f 7076742e 68001b00 os_util_pvt.h... + 1b7b0: 00616466 5f6f735f 74797065 732e6800 .adf_os_types.h. + 1b7c0: 1a000061 64665f6f 735f7374 64747970 ...adf_os_stdtyp + 1b7d0: 65732e68 001a0000 6164665f 6f735f74 es.h....adf_os_t + 1b7e0: 79706573 5f707674 2e68001b 00007374 ypes_pvt.h....st + 1b7f0: 64646566 2e68001c 00007662 75665f61 ddef.h....vbuf_a + 1b800: 70692e68 001d0000 76646573 635f6170 pi.h....vdesc_ap + 1b810: 692e6800 1e000073 74646172 672e6800 i.h....stdarg.h. + 1b820: 02000076 612d7874 656e7361 2e68001c ...va-xtensa.h.. + 1b830: 00006164 665f6f73 5f646d61 2e68001a ..adf_os_dma.h.. + 1b840: 00006164 665f6f73 5f646d61 5f707674 ..adf_os_dma_pvt + 1b850: 2e68001b 00006164 665f6e65 745f7479 .h....adf_net_ty + 1b860: 7065732e 68001f00 00616466 5f6e6275 pes.h....adf_nbu + 1b870: 665f7076 742e6800 20000064 6d615f6c f_pvt.h. ..dma_l + 1b880: 69622e68 00180000 6869665f 676d6163 ib.h....hif_gmac + 1b890: 2e680018 00007573 62666966 6f5f6170 .h....usbfifo_ap + 1b8a0: 692e6800 18000068 74635f61 70692e68 i.h....htc_api.h + 1b8b0: 00210000 6874632e 68002200 00627566 .!..htc.h."..buf + 1b8c0: 5f706f6f 6c5f6170 692e6800 23000077 _pool_api.h.#..w + 1b8d0: 6d695f73 76635f61 70692e68 00240000 mi_svc_api.h.$.. + 1b8e0: 776d692e 68002200 00617468 64656673 wmi.h."..athdefs + 1b8f0: 2e680022 0000646d 615f656e 67696e65 .h."..dma_engine + 1b900: 5f617069 2e680025 00006164 665f6f73 _api.h.%..adf_os + 1b910: 5f6d656d 2e68001a 00006164 665f6f73 _mem.h....adf_os + 1b920: 5f6d656d 5f707674 2e68001b 00006275 _mem_pvt.h....bu + 1b930: 665f706f 6f6c5f73 74617469 632e6800 f_pool_static.h. + 1b940: 26000000 00050200 8e626403 21010300 &........bd.!... + 1b950: 09000301 03060900 1201037f 09000201 ................ + 1b960: 037f0900 0201037f 09000201 037f0900 ................ + 1b970: 0201037f 09000201 03060900 02010303 ................ + 1b980: 09000301 03000900 03010304 09000301 ................ + 1b990: 03010900 0a010301 09000201 03020900 ................ + 1b9a0: 0201030d 09000401 03020900 03010303 ................ + 1b9b0: 09000501 03000900 03010307 09000201 ................ + 1b9c0: 03010900 0e010301 09000601 037f0900 ................ + 1b9d0: 03010301 09000201 043e03bb 7f090005 .........>...... + 1b9e0: 01040103 c3000900 0a010312 09000201 ................ + 1b9f0: 03730900 0201037f 09000301 03030900 .s.............. + 1ba00: 0201037f 09000501 03060900 0301037e ...............~ + 1ba10: 09000201 037f0900 02010304 09000501 ................ + 1ba20: 03730900 02010313 09000301 037c0900 .s...........|.. + 1ba30: 02010304 09000501 03030900 05010300 ................ + 1ba40: 09000301 03030900 03010302 09000501 ................ + 1ba50: 03030900 0401037d 09000201 03020900 .......}........ + 1ba60: 04010301 09000201 03010900 03010301 ................ + 1ba70: 09000301 03030900 03010304 09000401 ................ + 1ba80: 03000900 03010301 09000601 03040900 ................ + 1ba90: 07010300 09000301 03010900 03010303 ................ + 1baa0: 09000701 037e0900 02010305 09000201 .....~.......... + 1bab0: 09000200 01010000 02b60002 00000288 ................ + 1bac0: 0101fb0e 0a000101 01010000 00012f66 ............../f + 1bad0: 6f6c6b73 2f726873 752f7072 6f6a6563 olks/rhsu/projec + 1bae0: 742f7034 762f7065 72666f72 63652f70 t/p4v/perforce/p + 1baf0: 305f636f 72652f70 726f6a65 63742f73 0_core/project/s + 1bb00: 72632f72 6f6d2f6f 732f7372 632f7874 rc/rom/os/src/xt + 1bb10: 6f73002f 6361642f 74656e73 696c6963 os./cad/tensilic + 1bb20: 612f746f 6f6c732f 52422d32 3030372e a/tools/RB-2007. + 1bb30: 322f5874 44657654 6f6f6c73 2f696e73 2/XtDevTools/ins + 1bb40: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 1bb50: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 1bb60: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 1bb70: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 1bb80: 2f636f6e 66696700 2f636164 2f74656e /config./cad/ten + 1bb90: 73696c69 63612f74 6f6f6c73 2f52422d silica/tools/RB- + 1bba0: 32303037 2e322f58 74446576 546f6f6c 2007.2/XtDevTool + 1bbb0: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 1bbc0: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 1bbd0: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 1bbe0: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 1bbf0: 74656e73 61002f74 72656573 2f627961 tensa./trees/bya + 1bc00: 6e672f4d 61677069 655f5030 2f636164 ng/Magpie_P0/cad + 1bc10: 2f617468 722f6970 2f74656e 73696c69 /athr/ip/tensili + 1bc20: 63612f6d 61677069 655f7631 5f302f69 ca/magpie_v1_0/i + 1bc30: 6e737461 6c6c2f62 75696c64 732f5242 nstall/builds/RB + 1bc40: 2d323030 372e322d 6c696e75 782f4d61 -2007.2-linux/Ma + 1bc50: 67706965 5f50302f 7874656e 73612d65 gpie_P0/xtensa-e + 1bc60: 6c662f61 7263682f 696e636c 7564652f lf/arch/include/ + 1bc70: 7874656e 73612f63 6f6e6669 67000065 xtensa/config..e + 1bc80: 78632d73 65746861 6e646c65 722e6300 xc-sethandler.c. + 1bc90: 01000063 6f72652e 68000200 0068616c ...core.h....hal + 1bca0: 2e680003 0000636f 72652d69 73612e68 .h....core-isa.h + 1bcb0: 00040000 636f7265 2d6d6174 6d61702e ....core-matmap. + 1bcc0: 68000400 00746965 2e680004 00007370 h....tie.h....sp + 1bcd0: 65637265 672e6800 04000063 6f726562 ecreg.h....coreb + 1bce0: 6974732e 68000300 0078746f 732d696e its.h....xtos-in + 1bcf0: 7465726e 616c2e68 00010000 78747275 ternal.h....xtru + 1bd00: 6e74696d 652e6800 03000078 7472756e ntime.h....xtrun + 1bd10: 74696d65 2d667261 6d65732e 68000300 time-frames.h... + 1bd20: 00787465 6e73612d 76657273 696f6e73 .xtensa-versions + 1bd30: 2e680003 00007874 6f732d70 6172616d .h....xtos-param + 1bd40: 732e6800 01000000 00050200 8e634803 s.h..........cH. + 1bd50: 20010303 09000301 030c0900 08010300 ............... + 1bd60: 09002901 03000900 04010900 29000101 ..).........)... + 1bd70: 0000003b 00020000 001f0101 fb0e0a00 ...;............ + 1bd80: 01010101 00000001 00657863 2d746162 .........exc-tab + 1bd90: 6c652e53 00000000 00000502 008e6950 le.S..........iP + 1bda0: 03290103 02090003 01090002 00010100 .).............. + 1bdb0: 00010f00 02000000 2b0101fb 0e0a0001 ........+....... + 1bdc0: 01010100 00000100 6578632d 632d7772 ........exc-c-wr + 1bdd0: 61707065 722d6861 6e646c65 722e5300 apper-handler.S. + 1bde0: 00000000 00050200 8e63ac03 1d010301 .........c...... + 1bdf0: 09000201 030d0900 06010307 09000201 ................ + 1be00: 032b0900 02010302 09000301 03010900 .+.............. + 1be10: 03010307 09000301 03010900 02010315 ................ + 1be20: 09000201 03020900 03010302 09000301 ................ + 1be30: 03d30009 00030103 01090003 01030809 ................ + 1be40: 00030103 c1000900 03010301 09000301 ................ + 1be50: 03060900 03010301 09000301 03020900 ................ + 1be60: 02010301 09000201 03020900 0f010301 ................ + 1be70: 09000301 03070900 02010302 09000201 ................ + 1be80: 03010900 03010308 09000301 03010900 ................ + 1be90: 0f01031c 09000201 03030900 03010302 ................ + 1bea0: 09000301 03070900 0301030b 09000301 ................ + 1beb0: 03010900 03010301 09000301 09000200 ................ + 1bec0: 01010000 00c80002 00000064 0101fb0e ...........d.... + 1bed0: 0a000101 01010000 00012f68 6f6d652f ........../home/ + 1bee0: 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 1bef0: 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw + 1bf00: 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ + 1bf10: 7874656e 73612d65 6c662f73 72632f68 xtensa-elf/src/h + 1bf20: 616c0000 636c6f63 6b2e5300 01000000 al..clock.S..... + 1bf30: 00050200 8e695803 2a010302 09000301 .....iX.*....... + 1bf40: 030e0900 0301030d 09000201 03020900 ................ + 1bf50: 03010301 09000301 030a0900 03010304 ................ + 1bf60: 09000301 03090900 04010302 09000301 ................ + 1bf70: 03010900 02010301 09000301 030c0900 ................ + 1bf80: 02010302 09000201 09000200 01010000 ................ + 1bf90: 01c70002 000001c1 0101fb0e 0a000101 ................ + 1bfa0: 01010000 00012f68 6f6d652f 63757374 ....../home/cust + 1bfb0: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1bfc0: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 1bfd0: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 1bfe0: 73612d65 6c662f73 72632f68 616c002f sa-elf/src/hal./ + 1bff0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1c000: 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1c010: 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1c020: 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1c030: 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + 1c040: 6f6e6669 67002f68 6f6d652f 63757374 onfig./home/cust + 1c050: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1c060: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 1c070: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 1c080: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 1c090: 74656e73 61002f70 726f6a65 63742f63 tensa./project/c + 1c0a0: 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 + 1c0b0: 30372e32 2f627569 6c642f61 74686572 07.2/build/ather + 1c0c0: 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P + 1c0d0: 302f3833 3734332f 52422d32 3030372e 0/83743/RB-2007. + 1c0e0: 322f4d61 67706965 5f50302f 7874656e 2/Magpie_P0/xten + 1c0f0: 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl + 1c100: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 1c110: 6700006d 6973632e 63000100 00636f72 g..misc.c....cor + 1c120: 652e6800 02000068 616c2e68 00030000 e.h....hal.h.... + 1c130: 636f7265 2d697361 2e680004 0000636f core-isa.h....co + 1c140: 72652d6d 61746d61 702e6800 04000074 re-matmap.h....t + 1c150: 69652e68 00040000 00000003 31000200 ie.h........1... + 1c160: 00006e01 01fb0e0a 00010101 01000000 ..n............. + 1c170: 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1c180: 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 1c190: 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 1c1a0: 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 1c1b0: 662f7372 632f6861 6c000077 696e646f f/src/hal..windo + 1c1c0: 77737069 6c6c5f61 736d2e53 00010000 wspill_asm.S.... + 1c1d0: 00000502 008e6428 03f10001 03010900 ......d(........ + 1c1e0: 03010301 09000201 03010900 03010301 ................ + 1c1f0: 09000301 03010900 03010301 09000301 ................ + 1c200: 03020900 03010301 09000301 030f0900 ................ + 1c210: 03010301 09000301 03010900 03010301 ................ + 1c220: 09000301 030c0900 03010301 09000301 ................ + 1c230: 03090900 03010302 09000301 03010900 ................ + 1c240: 03010304 09000301 03010900 03010303 ................ + 1c250: 09000301 03180900 03010301 09000301 ................ + 1c260: 03010900 03010301 09000301 03010900 ................ + 1c270: 03010306 09000301 03010900 03010301 ................ + 1c280: 09000201 03010900 02010301 09000201 ................ + 1c290: 03020900 02010301 09000301 03020900 ................ + 1c2a0: 03010304 09000301 03010900 03010301 ................ + 1c2b0: 09000201 03010900 02010301 09000201 ................ + 1c2c0: 03020900 02010301 09000301 03020900 ................ + 1c2d0: 02010302 09000301 03010900 02010301 ................ + 1c2e0: 09000201 03010900 02010302 09000201 ................ + 1c2f0: 03010900 03010302 09000301 03040900 ................ + 1c300: 03010302 09000301 03010900 03010301 ................ + 1c310: 09000201 03010900 02010301 09000201 ................ + 1c320: 03020900 02010301 09000301 03010900 ................ + 1c330: 02010301 09000301 03020900 03010301 ................ + 1c340: 09000201 03010900 02010301 09000201 ................ + 1c350: 03010900 02010301 09000201 03010900 ................ + 1c360: 02010301 09000201 03020900 02010301 ................ + 1c370: 09000301 03010900 03010302 09000301 ................ + 1c380: 03050900 05010301 09000301 03010900 ................ + 1c390: 03010301 09000301 03010900 02010301 ................ + 1c3a0: 09000301 03010900 03010301 09000301 ................ + 1c3b0: 03010900 02010307 09000201 03010900 ................ + 1c3c0: 0201030f 09000401 03010900 03010301 ................ + 1c3d0: 09000201 03010900 03010301 09000301 ................ + 1c3e0: 03010900 02010301 09000301 03010900 ................ + 1c3f0: 03010304 09000301 03010900 03010301 ................ + 1c400: 09000301 03010900 03010301 09000301 ................ + 1c410: 03010900 03010301 09000301 03010900 ................ + 1c420: 02010301 09000301 03010900 03010302 ................ + 1c430: 09000301 03010900 0201031a 09000501 ................ + 1c440: 03020900 03010301 09000301 03010900 ................ + 1c450: 03010301 09000201 03010900 03010301 ................ + 1c460: 09000201 03010900 03010301 09000301 ................ + 1c470: 03010900 03010301 09000201 03010900 ................ + 1c480: 03010302 09000301 09000200 01010000 ................ + 1c490: 00880002 00000066 0101fb0e 0a000101 .......f........ + 1c4a0: 01010000 00012f68 6f6d652f 63757374 ....../home/cust + 1c4b0: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1c4c0: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 1c4d0: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 1c4e0: 73612d65 6c662f73 72632f68 616c0000 sa-elf/src/hal.. + 1c4f0: 696e745f 61736d2e 53000100 00000005 int_asm.S....... + 1c500: 02008e69 80033e01 03020900 03010304 ...i..>......... + 1c510: 09000301 09000200 01010000 00890002 ................ + 1c520: 00000066 0101fb0e 0a000101 01010000 ...f............ + 1c530: 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer + 1c540: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 1c550: 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 + 1c560: 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e + 1c570: 6c662f73 72632f68 616c0000 696e745f lf/src/hal..int_ + 1c580: 61736d2e 53000100 00000005 02008e69 asm.S..........i + 1c590: 8803e500 01030209 00030103 04090003 ................ + 1c5a0: 01090002 00010100 00008900 02000000 ................ + 1c5b0: 660101fb 0e0a0001 01010100 0000012f f............../ + 1c5c0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1c5d0: 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1c5e0: 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1c5f0: 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1c600: 7372632f 68616c00 00696e74 5f61736d src/hal..int_asm + 1c610: 2e530001 00000000 0502008e 699003cf .S..........i... + 1c620: 00010302 09000301 03020900 03010900 ................ + 1c630: 02000101 000001e8 00020000 01e20101 ................ + 1c640: fb0e0a00 01010101 00000001 2f686f6d ............/hom + 1c650: 652f6375 73746f6d 65722f74 7265652f e/customer/tree/ + 1c660: 52422d32 3030372e 322f746f 6f6c732f RB-2007.2/tools/ + 1c670: 7377746f 6f6c732d 7838362d 6c696e75 swtools-x86-linu + 1c680: 782f7874 656e7361 2d656c66 2f737263 x/xtensa-elf/src + 1c690: 2f68616c 002f686f 6d652f63 7573746f /hal./home/custo + 1c6a0: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 1c6b0: 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 1c6c0: 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 1c6d0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 1c6e0: 656e7361 2f636f6e 66696700 2f686f6d ensa/config./hom + 1c6f0: 652f6375 73746f6d 65722f74 7265652f e/customer/tree/ + 1c700: 52422d32 3030372e 322f746f 6f6c732f RB-2007.2/tools/ + 1c710: 7377746f 6f6c732d 7838362d 6c696e75 swtools-x86-linu + 1c720: 782f7874 656e7361 2d656c66 2f696e63 x/xtensa-elf/inc + 1c730: 6c756465 2f787465 6e736100 2f70726f lude/xtensa./pro + 1c740: 6a656374 2f637573 742f6765 6e617070 ject/cust/genapp + 1c750: 2f52422d 32303037 2e322f62 75696c64 /RB-2007.2/build + 1c760: 2f617468 65726f73 2f70726f 642f4d61 /atheros/prod/Ma + 1c770: 67706965 5f50302f 38333734 332f5242 gpie_P0/83743/RB + 1c780: 2d323030 372e322f 4d616770 69655f50 -2007.2/Magpie_P + 1c790: 302f7874 656e7361 2d656c66 2f617263 0/xtensa-elf/arc + 1c7a0: 682f696e 636c7564 652f7874 656e7361 h/include/xtensa + 1c7b0: 2f636f6e 66696700 00696e74 65727275 /config..interru + 1c7c0: 7074732e 63000100 00636f72 652e6800 pts.c....core.h. + 1c7d0: 02000068 616c2e68 00030000 636f7265 ...hal.h....core + 1c7e0: 2d697361 2e680004 0000636f 72652d6d -isa.h....core-m + 1c7f0: 61746d61 702e6800 04000074 69652e68 atmap.h....tie.h + 1c800: 00040000 73706563 7265672e 68000400 ....specreg.h... + 1c810: 00636f72 65626974 732e6800 03000000 .corebits.h..... + 1c820: 00000168 00020000 007f0101 fb0e0a00 ...h............ + 1c830: 01010101 00000001 2f686f6d 652f6375 ......../home/cu + 1c840: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1c850: 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1c860: 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt + 1c870: 656e7361 2d656c66 2f737263 2f6c6962 ensa-elf/src/lib + 1c880: 6763632d 7863632f 636f6e66 69672f78 gcc-xcc/config/x + 1c890: 74656e73 6100006c 69623166 756e6373 tensa..lib1funcs + 1c8a0: 2e61736d 00010000 00000502 008e6998 .asm..........i. + 1c8b0: 03ca0401 03040900 03010301 09000301 ................ + 1c8c0: 03010900 03010301 09000301 030a0900 ................ + 1c8d0: 03010301 09000301 03010900 03010301 ................ + 1c8e0: 09000301 03030900 03010301 09000301 ................ + 1c8f0: 03010900 03010301 09000301 03040900 ................ + 1c900: 02010303 09000301 03010900 03010301 ................ + 1c910: 09000301 03020900 02010301 09000301 ................ + 1c920: 03070900 03010301 09000301 03020900 ................ + 1c930: 02010301 09000301 03010900 03010303 ................ + 1c940: 09000201 03010900 02010301 09000301 ................ + 1c950: 03010900 03010303 09000401 03010900 ................ + 1c960: 03010301 09000201 03010900 02010301 ................ + 1c970: 09000301 03060900 03010304 09000701 ................ + 1c980: 03020900 02010900 02000101 00000120 ............... + 1c990: 00020000 007f0101 fb0e0a00 01010101 ................ + 1c9a0: 00000001 2f686f6d 652f6375 73746f6d ..../home/custom + 1c9b0: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1c9c0: 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- + 1c9d0: 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa + 1c9e0: 2d656c66 2f737263 2f6c6962 6763632d -elf/src/libgcc- + 1c9f0: 7863632f 636f6e66 69672f78 74656e73 xcc/config/xtens + 1ca00: 6100006c 69623166 756e6373 2e61736d a..lib1funcs.asm + 1ca10: 00010000 00000502 008e6a00 03da0501 ..........j..... + 1ca20: 03040900 03010301 09000301 03010900 ................ + 1ca30: 03010301 09000301 03010900 03010301 ................ + 1ca40: 09000301 03010900 03010302 09000301 ................ + 1ca50: 03010900 03010301 09000301 03040900 ................ + 1ca60: 03010303 09000301 03010900 03010302 ................ + 1ca70: 09000301 03080900 03010301 09000301 ................ + 1ca80: 03020900 03010301 09000301 03020900 ................ + 1ca90: 03010303 09000301 03050900 02010304 ................ + 1caa0: 09000701 03020900 02010900 02000101 ................ + 1cab0: 00000132 00020000 007f0101 fb0e0a00 ...2............ + 1cac0: 01010101 00000001 2f686f6d 652f6375 ......../home/cu + 1cad0: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1cae0: 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1caf0: 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt + 1cb00: 656e7361 2d656c66 2f737263 2f6c6962 ensa-elf/src/lib + 1cb10: 6763632d 7863632f 636f6e66 69672f78 gcc-xcc/config/x + 1cb20: 74656e73 6100006c 69623166 756e6373 tensa..lib1funcs + 1cb30: 2e61736d 00010000 00000502 008e6a4c .asm..........jL + 1cb40: 03850401 03040900 03010302 09000301 ................ + 1cb50: 03010900 02010301 09000301 03010900 ................ + 1cb60: 03010302 09000301 03010900 03010301 ................ + 1cb70: 09000301 03010900 03010304 09000301 ................ + 1cb80: 03030900 03010301 09000301 03010900 ................ + 1cb90: 03010302 09000201 03010900 03010307 ................ + 1cba0: 09000301 03010900 03010302 09000301 ................ + 1cbb0: 03030900 02010301 09000201 03040900 ................ + 1cbc0: 04010301 09000301 03010900 02010306 ................ + 1cbd0: 09000401 03040900 07010302 09000201 ................ + 1cbe0: 09000200 01010000 01020002 0000007f ................ + 1cbf0: 0101fb0e 0a000101 01010000 00012f68 ............../h + 1cc00: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 1cc10: 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool + 1cc20: 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li + 1cc30: 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s + 1cc40: 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co + 1cc50: 6e666967 2f787465 6e736100 006c6962 nfig/xtensa..lib + 1cc60: 3166756e 63732e61 736d0001 00000000 1funcs.asm...... + 1cc70: 0502008e 6a9c03a0 05010304 09000301 ....j........... + 1cc80: 03020900 03010301 09000301 03010900 ................ + 1cc90: 03010302 09000301 03010900 03010301 ................ + 1cca0: 09000301 03040900 05010303 09000301 ................ + 1ccb0: 03010900 03010302 09000301 03080900 ................ + 1ccc0: 03010301 09000301 03020900 03010303 ................ + 1ccd0: 09000401 03050900 02010304 09000701 ................ + 1cce0: 03020900 02010900 02000101 000002ec ................ + 1ccf0: 00020000 02a00101 fb0e0a00 01010101 ................ + 1cd00: 00000001 2f686f6d 652f6375 73746f6d ..../home/custom + 1cd10: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1cd20: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1cd30: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1cd40: 69622f6e 65776c69 622f6c69 62632f73 ib/newlib/libc/s + 1cd50: 7472696e 67002f68 6f6d652f 63757374 tring./home/cust + 1cd60: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1cd70: 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1cd80: 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1cd90: 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1cda0: 2f696e63 6c756465 002f7072 6f6a6563 /include./projec + 1cdb0: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 1cdc0: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 1cdd0: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 1cde0: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 1cdf0: 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne + 1ce00: 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ + 1ce10: 6e65776c 69622f74 6172672d 696e636c newlib/targ-incl + 1ce20: 75646500 2f686f6d 652f6375 73746f6d ude./home/custom + 1ce30: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1ce40: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1ce50: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1ce60: 69622f6e 65776c69 622f6c69 62632f69 ib/newlib/libc/i + 1ce70: 6e636c75 64652f73 7973002f 686f6d65 nclude/sys./home + 1ce80: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1ce90: 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 1cea0: 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 1ceb0: 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 1cec0: 2f6c6962 632f696e 636c7564 652f6d61 /libc/include/ma + 1ced0: 6368696e 65002f68 6f6d652f 63757374 chine./home/cust + 1cee0: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1cef0: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 1cf00: 732d7838 362d6c69 6e75782f 6c69622f s-x86-linux/lib/ + 1cf10: 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. + 1cf20: 006d656d 636d702e 63000100 00737472 .memcmp.c....str + 1cf30: 696e672e 68000200 005f616e 73692e68 ing.h...._ansi.h + 1cf40: 00020000 6e65776c 69622e68 00030000 ....newlib.h.... + 1cf50: 636f6e66 69672e68 00040000 69656565 config.h....ieee + 1cf60: 66702e68 00050000 7265656e 742e6800 fp.h....reent.h. + 1cf70: 0400005f 74797065 732e6800 0400006c ..._types.h....l + 1cf80: 6f636b2e 68000400 00737464 6465662e ock.h....stddef. + 1cf90: 68000600 00000005 02008e6a dc033801 h..........j..8. + 1cfa0: 03180900 03010305 09000b01 03030900 ................ + 1cfb0: 06010304 09000701 03090900 07010302 ................ + 1cfc0: 09000a01 037e0900 0d010308 09000201 .....~.......... + 1cfd0: 03000900 05010900 05000101 00000351 ...............Q + 1cfe0: 00020000 00760101 fb0e0a00 01010101 .....v.......... + 1cff0: 00000001 2f686f6d 652f6375 73746f6d ..../home/custom + 1d000: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1d010: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1d020: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1d030: 69622f6e 65776c69 622f6c69 62632f6d ib/newlib/libc/m + 1d040: 61636869 6e652f78 74656e73 6100006d achine/xtensa..m + 1d050: 656d6370 792e5300 01000000 00050200 emcpy.S......... + 1d060: 8e6b2503 c6000103 05090003 01030109 .k%............. + 1d070: 00030103 01090002 01030109 00030103 ................ + 1d080: 04090002 01030909 00020103 03090003 ................ + 1d090: 01030109 00030103 01090002 01030109 ................ + 1d0a0: 00030103 01090003 01030309 00030103 ................ + 1d0b0: 05090003 01030309 00030103 01090003 ................ + 1d0c0: 01030109 00030103 01090002 01030109 ................ + 1d0d0: 00030103 01090003 01030109 00030103 ................ + 1d0e0: 03090002 01030709 00060103 03090003 ................ + 1d0f0: 01030109 00030103 01090003 01030409 ................ + 1d100: 00030103 03090003 01030709 00030103 ................ + 1d110: 05090003 01030609 00030103 01090002 ................ + 1d120: 01030109 00020103 01090002 01030109 ................ + 1d130: 00020103 01090002 01030109 00020103 ................ + 1d140: 01090002 01030109 00030103 01090002 ................ + 1d150: 01030609 00030103 03090003 01030109 ................ + 1d160: 00020103 01090002 01030109 00020103 ................ + 1d170: 01090002 01030109 00020103 02090002 ................ + 1d180: 01030109 00030103 01090003 01030109 ................ + 1d190: 00030103 0b090002 01030109 00020103 ................ + 1d1a0: 01090002 01030109 00020103 01090002 ................ + 1d1b0: 01030109 00030103 01090003 01030309 ................ + 1d1c0: 00020103 01090003 01030109 00020103 ................ + 1d1d0: 01090003 01030109 00020103 01090003 ................ + 1d1e0: 01030309 00030103 01090003 01030309 ................ + 1d1f0: 00030103 08090002 01030409 00030103 ................ + 1d200: 02090003 01030109 00030103 02090003 ................ + 1d210: 01030209 00020103 06090003 01030109 ................ + 1d220: 00020103 01090002 01030109 00030103 ................ + 1d230: 01090002 01030109 00020103 01090003 ................ + 1d240: 01030109 00020103 01090002 01030109 ................ + 1d250: 00030103 01090002 01030109 00030103 ................ + 1d260: 01090003 01030109 00020103 05090003 ................ + 1d270: 01030309 00030103 01090002 01030109 ................ + 1d280: 00020103 01090003 01030109 00020103 ................ + 1d290: 01090002 01030109 00030103 01090002 ................ + 1d2a0: 01030109 00030103 02090003 01030309 ................ + 1d2b0: 00030103 01090002 01030109 00020103 ................ + 1d2c0: 01090003 01030109 00020103 01090002 ................ + 1d2d0: 01030309 00020103 02090002 01030109 ................ + 1d2e0: 00030103 01090003 01030309 00040103 ................ + 1d2f0: 01090003 01030109 00030103 01090002 ................ + 1d300: 01030109 00030103 01090003 01030109 ................ + 1d310: 00020103 01090003 01030309 00020103 ................ + 1d320: 01090003 01030109 00030109 00020001 ................ + 1d330: 01000003 29000200 0002ad01 01fb0e0a ....)........... + 1d340: 00010101 01000000 012f686f 6d652f63 ........./home/c + 1d350: 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- + 1d360: 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt + 1d370: 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs + 1d380: 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l + 1d390: 6962632f 73747269 6e67002f 686f6d65 ibc/string./home + 1d3a0: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1d3b0: 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 1d3c0: 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 1d3d0: 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 1d3e0: 2f6c6962 632f696e 636c7564 65002f70 /libc/include./p + 1d3f0: 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 1d400: 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 1d410: 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 1d420: 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 1d430: 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li + 1d440: 62732f6e 65776c69 622f7874 656e7361 bs/newlib/xtensa + 1d450: 2d656c66 2f6e6577 6c69622f 74617267 -elf/newlib/targ + 1d460: 2d696e63 6c756465 002f686f 6d652f63 -include./home/c + 1d470: 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- + 1d480: 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt + 1d490: 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs + 1d4a0: 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l + 1d4b0: 6962632f 696e636c 7564652f 73797300 ibc/include/sys. + 1d4c0: 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t + 1d4d0: 7265652f 52422d32 3030372e 322f7034 ree/RB-2007.2/p4 + 1d4e0: 726f6f74 2f587465 6e73612f 54617267 root/Xtensa/Targ + 1d4f0: 65742d6c 6962732f 6e65776c 69622f6e et-libs/newlib/n + 1d500: 65776c69 622f6c69 62632f69 6e636c75 ewlib/libc/inclu + 1d510: 64652f6d 61636869 6e65002f 686f6d65 de/machine./home + 1d520: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1d530: 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s + 1d540: 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux + 1d550: 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc + 1d560: 6c756465 00006d65 6d6d6f76 652e6300 lude..memmove.c. + 1d570: 01000073 7472696e 672e6800 0200005f ...string.h...._ + 1d580: 616e7369 2e680002 00006e65 776c6962 ansi.h....newlib + 1d590: 2e680003 0000636f 6e666967 2e680004 .h....config.h.. + 1d5a0: 00006965 65656670 2e680005 00007265 ..ieeefp.h....re + 1d5b0: 656e742e 68000400 005f7479 7065732e ent.h...._types. + 1d5c0: 68000400 006c6f63 6b2e6800 04000073 h....lock.h....s + 1d5d0: 74646465 662e6800 0600006c 696d6974 tddef.h....limit + 1d5e0: 732e6800 02000000 00050200 8e6c5c03 s.h..........l\. + 1d5f0: 3d01031f 09000301 03040900 12010303 =............... + 1d600: 09000801 03290900 0a01035f 09000501 .....)....._.... + 1d610: 031b0900 0c010302 09001101 03040900 ................ + 1d620: 75010365 09000401 03050900 2201037b u..e........"..{ + 1d630: 09001601 03050900 0b010305 09004801 ..............H. + 1d640: 03020900 1301037e 09000801 03020900 .......~........ + 1d650: 0c01037e 09004601 09000800 01010000 ...~..F......... + 1d660: 01880002 00000076 0101fb0e 0a000101 .......v........ + 1d670: 01010000 00012f68 6f6d652f 63757374 ....../home/cust + 1d680: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1d690: 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1d6a0: 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1d6b0: 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1d6c0: 2f6d6163 68696e65 2f787465 6e736100 /machine/xtensa. + 1d6d0: 006d656d 7365742e 53000100 00000005 .memset.S....... + 1d6e0: 02008e6e 21033a01 03050900 03010301 ...n!.:......... + 1d6f0: 09000301 03040900 0201030a 09000301 ................ + 1d700: 03030900 03010301 09000301 03010900 ................ + 1d710: 02010303 09000201 03050900 03010303 ................ + 1d720: 09000301 03010900 03010301 09000201 ................ + 1d730: 03030900 03010307 09000401 03040900 ................ + 1d740: 03010301 09000301 03010900 03010301 ................ + 1d750: 09000301 03010900 03010302 09000301 ................ + 1d760: 03030900 02010301 09000301 03040900 ................ + 1d770: 03010304 09000301 03070900 03010301 ................ + 1d780: 09000201 03010900 02010301 09000201 ................ + 1d790: 03010900 02010306 09000301 03030900 ................ + 1d7a0: 03010301 09000201 03010900 02010302 ................ + 1d7b0: 09000301 03030900 03010301 09000201 ................ + 1d7c0: 03020900 02010303 09000301 03010900 ................ + 1d7d0: 03010302 09000201 03030900 03010301 ................ + 1d7e0: 09000301 09000200 01010000 02de0002 ................ + 1d7f0: 00000076 0101fb0e 0a000101 01010000 ...v............ + 1d800: 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer + 1d810: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 1d820: 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta + 1d830: 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 1d840: 2f6e6577 6c69622f 6c696263 2f6d6163 /newlib/libc/mac + 1d850: 68696e65 2f787465 6e736100 00737472 hine/xtensa..str + 1d860: 636d702e 53000100 00000005 02008e65 cmp.S..........e + 1d870: 60032c01 03030900 03010301 09000301 `.,............. + 1d880: 03010900 03010301 09000201 03020900 ................ + 1d890: 03010301 09000301 03020900 03010301 ................ + 1d8a0: 09000301 03030900 03010301 09000201 ................ + 1d8b0: 03010900 03010301 09000201 03010900 ................ + 1d8c0: 03010301 09000301 03010900 03010301 ................ + 1d8d0: 09000201 03010900 03010301 09000301 ................ + 1d8e0: 03010900 02010301 09000301 03010900 ................ + 1d8f0: 03010301 09000301 03010900 02010301 ................ + 1d900: 09000301 03010900 03010301 09000201 ................ + 1d910: 031b0900 05010304 09000201 03030900 ................ + 1d920: 03010301 09000301 03010900 03010301 ................ + 1d930: 09000201 03010900 03010302 09000201 ................ + 1d940: 03050900 03010301 09000301 031d0900 ................ + 1d950: 04010301 09000301 03090900 03010303 ................ + 1d960: 09000301 03010900 03010301 09000301 ................ + 1d970: 03010900 03010301 09000301 03010900 ................ + 1d980: 03010303 09000301 03010900 03010301 ................ + 1d990: 09000301 03010900 03010301 09000301 ................ + 1d9a0: 03010900 03010302 09000301 03010900 ................ + 1d9b0: 03010302 09000301 03040900 03010301 ................ + 1d9c0: 09000301 03190900 03010302 09000301 ................ + 1d9d0: 03010900 03010301 09000301 03010900 ................ + 1d9e0: 03010301 09000301 03010900 0301030f ................ + 1d9f0: 09000301 03010900 0201030d 09000201 ................ + 1da00: 03030900 03010301 09000201 03010900 ................ + 1da10: 02010301 09000201 03010900 03010301 ................ + 1da20: 09000301 03010900 03010301 09000301 ................ + 1da30: 03010900 03010313 09000201 03010900 ................ + 1da40: 02010306 09000301 03010900 03010301 ................ + 1da50: 09000301 03010900 03010301 09000201 ................ + 1da60: 03020900 02010301 09000201 03040900 ................ + 1da70: 02010301 09000301 03010900 03010301 ................ + 1da80: 09000301 03010900 03010301 09000301 ................ + 1da90: 03010900 03010301 09000301 03010900 ................ + 1daa0: 03010308 09000301 03010900 03010304 ................ + 1dab0: 09000201 03010900 03010301 09000301 ................ + 1dac0: 03010900 03010900 02000101 000001d6 ................ + 1dad0: 00020000 00760101 fb0e0a00 01010101 .....v.......... + 1dae0: 00000001 2f686f6d 652f6375 73746f6d ..../home/custom + 1daf0: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1db00: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1db10: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1db20: 69622f6e 65776c69 622f6c69 62632f6d ib/newlib/libc/m + 1db30: 61636869 6e652f78 74656e73 61000073 achine/xtensa..s + 1db40: 74726370 792e5300 01000000 00050200 trcpy.S......... + 1db50: 8e667803 22010303 09000301 03010900 .fx."........... + 1db60: 03010301 09000301 03010900 03010301 ................ + 1db70: 09000301 03010900 03010301 09000301 ................ + 1db80: 03040900 03010301 09000201 03020900 ................ + 1db90: 03010303 09000401 03010900 03010301 ................ + 1dba0: 09000201 03010900 03010301 09000201 ................ + 1dbb0: 03010900 02010303 09000301 03020900 ................ + 1dbc0: 03010301 09000301 03010900 02010301 ................ + 1dbd0: 09000301 03010900 02010301 09000301 ................ + 1dbe0: 03010900 02010301 09000301 03100900 ................ + 1dbf0: 03010304 09000201 03010900 03010301 ................ + 1dc00: 09000201 03010900 02010301 09000301 ................ + 1dc10: 03010900 03010301 09000301 03010900 ................ + 1dc20: 02010301 09000301 03100900 02010304 ................ + 1dc30: 09000301 03020900 02010301 09000301 ................ + 1dc40: 03040900 03010302 09000301 03010900 ................ + 1dc50: 03010304 09000201 03020900 03010301 ................ + 1dc60: 09000301 03010900 02010301 09000301 ................ + 1dc70: 03130900 05010304 09000201 03020900 ................ + 1dc80: 03010301 09000301 03010900 02010301 ................ + 1dc90: 09000301 03020900 02010304 09000301 ................ + 1dca0: 09000200 01010000 01640002 00000076 .........d.....v + 1dcb0: 0101fb0e 0a000101 01010000 00012f68 ............../h + 1dcc0: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 1dcd0: 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro + 1dce0: 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target + 1dcf0: 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new + 1dd00: 6c69622f 6c696263 2f6d6163 68696e65 lib/libc/machine + 1dd10: 2f787465 6e736100 00737472 6c656e2e /xtensa..strlen. + 1dd20: 53000100 00000005 02008e67 10032201 S..........g..". + 1dd30: 03030900 03010301 09000301 03010900 ................ + 1dd40: 03010301 09000301 03010900 03010301 ................ + 1dd50: 09000301 03010900 03010301 09000301 ................ + 1dd60: 03030900 04010301 09000301 03010900 ................ + 1dd70: 02010301 09000201 03030900 03010301 ................ + 1dd80: 09000201 03010900 02010301 09000301 ................ + 1dd90: 03030900 03010301 09000201 03010900 ................ + 1dda0: 03010312 09000301 03040900 02010302 ................ + 1ddb0: 09000301 03010900 02010301 09000201 ................ + 1ddc0: 03010900 03010301 09000301 03020900 ................ + 1ddd0: 03010306 09000301 03040900 02010301 ................ + 1dde0: 09000301 03030900 02010301 09000201 ................ + 1ddf0: 03010900 03010303 09000301 03010900 ................ + 1de00: 02010301 09000301 09000200 01010000 ................ + 1de10: 031e0002 000002ad 0101fb0e 0a000101 ................ + 1de20: 01010000 00012f68 6f6d652f 63757374 ....../home/cust + 1de30: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1de40: 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1de50: 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1de60: 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1de70: 2f737472 696e6700 2f686f6d 652f6375 /string./home/cu + 1de80: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1de90: 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte + 1dea0: 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ + 1deb0: 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li + 1dec0: 62632f69 6e636c75 6465002f 70726f6a bc/include./proj + 1ded0: 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 1dee0: 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 1def0: 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 1df00: 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 1df10: 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ + 1df20: 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el + 1df30: 662f6e65 776c6962 2f746172 672d696e f/newlib/targ-in + 1df40: 636c7564 65002f68 6f6d652f 63757374 clude./home/cust + 1df50: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1df60: 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1df70: 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1df80: 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1df90: 2f696e63 6c756465 2f737973 002f686f /include/sys./ho + 1dfa0: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1dfb0: 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1dfc0: 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1dfd0: 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1dfe0: 69622f6c 6962632f 696e636c 7564652f ib/libc/include/ + 1dff0: 6d616368 696e6500 2f686f6d 652f6375 machine./home/cu + 1e000: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1e010: 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1e020: 6f6c732d 7838362d 6c696e75 782f6c69 ols-x86-linux/li + 1e030: 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ + 1e040: 65000073 74726e63 6d702e63 00010000 e..strncmp.c.... + 1e050: 73747269 6e672e68 00020000 5f616e73 string.h...._ans + 1e060: 692e6800 0200006e 65776c69 622e6800 i.h....newlib.h. + 1e070: 03000063 6f6e6669 672e6800 04000069 ...config.h....i + 1e080: 65656566 702e6800 05000072 65656e74 eeefp.h....reent + 1e090: 2e680004 00005f74 79706573 2e680004 .h...._types.h.. + 1e0a0: 00006c6f 636b2e68 00040000 73746464 ..lock.h....stdd + 1e0b0: 65662e68 00060000 6c696d69 74732e68 ef.h....limits.h + 1e0c0: 00020000 00000502 008e6774 03c10001 ..........gt.... + 1e0d0: 03120900 03010304 09000301 03170900 ................ + 1e0e0: 09010304 09001101 03020900 0601037a ...............z + 1e0f0: 09000801 03090900 0c010300 09000b01 ................ + 1e100: 03650900 0b01030a 09001501 03780900 .e...........x.. + 1e110: 07010304 09000501 03040900 0b010311 ................ + 1e120: 09000a01 03000900 04010900 04000101 ................ + 1e130: 000002fd 00020000 00770101 fb0e0a00 .........w...... + 1e140: 01010101 00000001 2f686f6d 652f6375 ......../home/cu + 1e150: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1e160: 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte + 1e170: 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ + 1e180: 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li + 1e190: 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens + 1e1a0: 61000073 74726e63 70792e53 00010000 a..strncpy.S.... + 1e1b0: 00000502 008e6804 03220103 01090003 ......h.."...... + 1e1c0: 01030109 00020103 01090003 01030109 ................ + 1e1d0: 00020103 01090002 01030109 00020103 ................ + 1e1e0: 01090003 01030309 00030103 01090003 ................ + 1e1f0: 01030109 00020103 01090003 01030109 ................ + 1e200: 00020103 01090002 01030109 00020103 ................ + 1e210: 01090003 01030109 00020103 01090003 ................ + 1e220: 01030109 00020103 01090002 01030109 ................ + 1e230: 00020103 01090002 01030309 00030103 ................ + 1e240: 07090003 01030309 00030103 01090002 ................ + 1e250: 01030209 00030103 01090003 01030109 ................ + 1e260: 00030103 01090003 01030109 00030103 ................ + 1e270: 01090003 01030409 00030103 01090002 ................ + 1e280: 01030209 00030103 06090003 01030109 ................ + 1e290: 00020103 01090003 01030209 00030103 ................ + 1e2a0: 05090003 01030109 00050103 01090003 ................ + 1e2b0: 01030109 00020103 02090002 01030109 ................ + 1e2c0: 00030103 0b090003 01030409 00020103 ................ + 1e2d0: 01090003 01030109 00020103 01090003 ................ + 1e2e0: 01030209 00030103 03090004 01030109 ................ + 1e2f0: 00030103 01090002 01030109 00030103 ................ + 1e300: 01090002 01030309 00030103 01090003 ................ + 1e310: 01030109 00020103 01090003 01030109 ................ + 1e320: 00030103 01090002 01030109 00030103 ................ + 1e330: 01090002 01031209 00050103 04090002 ................ + 1e340: 01030109 00030103 01090003 01030109 ................ + 1e350: 00020103 01090002 01030109 00030103 ................ + 1e360: 01090003 01030109 00030103 01090002 ................ + 1e370: 01030109 00030103 01090002 01031109 ................ + 1e380: 00030103 04090003 01030209 00020103 ................ + 1e390: 01090003 01030109 00020103 01090002 ................ + 1e3a0: 01030409 00050103 02090003 01030109 ................ + 1e3b0: 00030103 01090003 01030109 00020103 ................ + 1e3c0: 04090005 01030209 00030103 01090003 ................ + 1e3d0: 01030109 00020103 01090003 01030109 ................ + 1e3e0: 00030103 01090002 01031009 00040103 ................ + 1e3f0: 04090002 01030209 00030103 01090003 ................ + 1e400: 01030109 00020103 01090003 01030109 ................ + 1e410: 00020103 01090002 01030209 00020103 ................ + 1e420: 04090003 01030209 00030109 00020001 ................ + 1e430: 01000000 . +Disassembly of section .xt.prop: + +00000000 <.xt.prop>: + 0: 008e1000 0000001a 00020082 008e101a ................ + 10: 00000007 000200a2 008e1021 00000009 ...........!.... + 20: 000200a2 008e102a 00000000 00000008 .......*........ + 30: 004e8000 00000010 00002804 008e0c20 .N........(.... + 40: 00000006 00020082 008e0c26 00000000 ...........&.... + 50: 00000008 008e0f20 00000006 00020082 ....... ........ + 60: 008e0f26 00000000 00000008 008e0d40 ...&...........@ + 70: 00000006 00020082 008e0d46 00000000 ...........F.... + 80: 00000008 008e0000 00000003 00020082 ................ + 90: 008e0003 00000000 00002808 008e0004 ..........(..... + a0: 00000018 00002801 008e0020 00000021 ......(.... ...! + b0: 00000082 008e0041 00000000 00004808 .......A......H. + c0: 008e0050 00000008 000001a2 008e0058 ...P...........X + d0: 00000005 00000082 008e005d 00000015 ...........].... + e0: 000000a2 008e0072 0000000a 000000a2 .......r........ + f0: 008e007c 00000001 00000008 008e007d ...|...........} + 100: 00000017 000000a2 008e0094 0000000c ................ + 110: 000000a2 008e00a0 0000000e 000000a2 ................ + 120: 008e00ae 00000002 00000008 008e00b0 ................ + 130: 00000006 000000a2 008e00b6 00000009 ................ + 140: 000000a2 008e00bf 0000001f 00020082 ................ + 150: 004e8010 00000008 00002804 008e0e20 .N........(.... + 160: 00000017 00020082 008e0e37 00000000 ...........7.... + 170: 00000008 008e0ce4 00000003 00020082 ................ + 180: 008e0ce7 00000000 00000008 008e0800 ................ + 190: 0000000f 00020182 008e080f 00000000 ................ + 1a0: 00000108 008e0840 0000000f 00020182 .......@........ + 1b0: 008e084f 00000000 00000108 008e0880 ...O............ + 1c0: 0000001e 00020182 008e089e 00000000 ................ + 1d0: 00000108 008e08c0 0000001e 00020182 ................ + 1e0: 008e08de 00000000 00000108 008e0900 ................ + 1f0: 0000002a 00020182 008e092a 00000000 ...*.......*.... + 200: 00000108 008e0940 0000002a 00020082 .......@...*.... + 210: 008e096a 00000000 00000008 008e0a20 ...j........... + 220: 00000006 00020082 008e0a26 00000000 ...........&.... + 230: 00000008 008e0b20 00000006 00020082 ....... ........ + 240: 008e0b26 00000000 00000008 008e102c ...&..........., + 250: 0000001c 00020082 008e1048 0000002a ...........H...* + 260: 000200a2 008e1072 00000000 00002808 .......r......(. + 270: 008e1074 00000006 00022982 008e107c ...t......)....| + 280: 00000034 00022982 008e10b0 00000002 ...4..)......... + 290: 00020182 008e10b2 0000000b 000200a2 ................ + 2a0: 008e10bd 00000023 000200a2 008e10e0 .......#........ + 2b0: 00000023 000200a2 008e1103 00000000 ...#............ + 2c0: 00000008 008e1104 00000018 00020082 ................ + 2d0: 008e111c 00000027 000200a2 008e1143 .......'.......C + 2e0: 00000006 00020182 008e1149 00000007 ...........I.... + 2f0: 00020082 008e1150 00000000 00000008 .......P........ + 300: 008e1150 00000014 00020082 008e1164 ...P...........d + 310: 00000000 00002808 008e1164 00000007 ......(....d.... + 320: 00020082 008e116b 00000000 00000008 .......k........ + 330: 008e116b 00000008 000200a2 008e1173 ...k...........s + 340: 00000000 00000008 004e8020 00000004 .........N. .... + 350: 00002804 008e1174 0000001e 00020082 ..(....t........ + 360: 008e1192 00000003 00020182 008e1195 ................ + 370: 0000004d 00020082 008e11e2 00000000 ...M............ + 380: 00000008 004e8028 0000000c 00002804 .....N.(......(. + 390: 008e11e4 00000034 00020082 008e1218 .......4........ + 3a0: 00000000 00000008 008e1218 00000010 ................ + 3b0: 000200a2 008e1228 00000000 00000008 .......(........ + 3c0: 008e1228 00000004 000200a2 008e122c ...(..........., + 3d0: 00000000 00000008 008e122c 00000004 ...........,.... + 3e0: 000200a2 008e1230 00000000 00002808 .......0......(. + 3f0: 008e1230 00000010 00020082 008e1240 ...0...........@ + 400: 00000000 00000008 004e8034 0000000c .........N.4.... + 410: 00002804 008e1240 0000001a 00020082 ..(....@........ + 420: 008e125a 00000003 00020182 008e125d ...Z...........] + 430: 00000015 00020082 008e1272 00000003 ...........r.... + 440: 00020182 008e1275 00000013 00020082 .......u........ + 450: 008e1288 00000037 000200a2 008e12bf .......7........ + 460: 00000003 00020182 008e12c2 00000012 ................ + 470: 00020082 008e12d4 00000014 000200a2 ................ + 480: 008e12e8 00000000 00000008 008e12e8 ................ + 490: 00000009 00020082 008e12f1 00000000 ................ + 4a0: 00000008 004e8040 00000008 00002804 .....N.@......(. + 4b0: 008e12f4 0000001a 00020082 008e130e ................ + 4c0: 00000003 00020182 008e1311 00000015 ................ + 4d0: 00020082 008e1326 00000003 00020182 .......&........ + 4e0: 008e1329 00000013 00020082 008e133c ...)...........< + 4f0: 00000037 000200a2 008e1373 00000003 ...7.......s.... + 500: 00020182 008e1376 00000012 00020082 .......v........ + 510: 008e1388 00000011 000200a2 008e1399 ................ + 520: 00000000 00000008 008e1399 00000009 ................ + 530: 00020082 008e13a2 00000000 00000008 ................ + 540: 004e8048 00000008 00002804 008e6920 .N.H......(...i + 550: 00000008 00020082 008e6928 00000000 ..........i(.... + 560: 00000008 00500504 00000004 00003804 .....P........8. + 570: 008e6928 0000000d 00020082 008e6935 ..i(..........i5 + 580: 00000000 00000008 008e6938 00000018 ..........i8.... + 590: 00020082 008e6950 00000000 00000008 ......iP........ + 5a0: 008e13a4 0000001d 00020082 008e13c1 ................ + 5b0: 0000001a 000200a2 008e13db 0000001c ................ + 5c0: 000200a2 008e13f7 00000000 00002808 ..............(. + 5d0: 008e13f8 00000030 00020082 008e1428 .......0.......( + 5e0: 00000000 00002808 008e1428 00000015 ......(....(.... + 5f0: 00020082 008e143d 00000010 000200a2 .......=........ + 600: 008e144d 00000000 00000008 008e144d ...M...........M + 610: 00000004 000200a2 008e1451 00000000 ...........Q.... + 620: 00002808 008e1454 0000000b 00020082 ..(....T........ + 630: 008e145f 00000000 00002808 008e1460 ..._......(....` + 640: 00000008 00020082 008e1468 00000000 ...........h.... + 650: 00002808 008e1468 00000008 00020082 ..(....h........ + 660: 008e1470 00000002 000200a2 008e1472 ...p...........r + 670: 00000000 00002808 008e1474 00000055 ......(....t...U + 680: 00020082 008e14c9 00000009 000200b2 ................ + 690: 008e14d2 00000000 00002808 008e14d4 ..........(..... + 6a0: 00000071 00020082 008e1545 00000000 ...q.......E.... + 6b0: 00002808 008e1548 00000016 00020082 ..(....H........ + 6c0: 008e155e 00000007 000200a2 008e1565 ...^...........e + 6d0: 00000000 00000008 008e1565 00000005 ...........e.... + 6e0: 000200a2 008e156a 00000002 000200a2 .......j........ + 6f0: 008e156c 00000000 00000008 008e156c ...l...........l + 700: 00000007 000200a2 008e1573 00000000 ...........s.... + 710: 00002808 008e1574 00000019 00020082 ..(....t........ + 720: 008e158d 00000003 00020092 008e1590 ................ + 730: 00000006 000200a2 008e1596 0000006d ...............m + 740: 000200a2 008e1603 00000000 00002808 ..............(. + 750: 008e1604 00000010 00020082 008e1614 ................ + 760: 00000000 00002808 008e1614 00000024 ......(........$ + 770: 00020082 008e1638 00000042 000200a2 .......8...B.... + 780: 008e167a 00000000 00002808 008e167c ...z......(....| + 790: 00000032 00020082 008e16ae 00000000 ...2............ + 7a0: 00002808 008e16b0 00000036 00020082 ..(........6.... + 7b0: 008e16e6 00000000 00002808 008e16e8 ..........(..... + 7c0: 000000a1 00020082 008e1789 00000002 ................ + 7d0: 000200a2 008e178b 00000000 00002808 ..............(. + 7e0: 008e178c 000000c2 00020082 008e184e ...............N + 7f0: 00000000 00000008 008e184e 00000010 ...........N.... + 800: 000200a2 008e185e 00000000 00002808 .......^......(. + 810: 008e1860 0000003b 00020082 008e189b ...`...;........ + 820: 00000000 00002808 008e189c 0000002c ......(........, + 830: 00020082 008e18c8 00000000 00002808 ..............(. + 840: 008e18c8 00000033 00020082 008e18fb .......3........ + 850: 00000000 00002808 008e18fc 00000097 ......(......... + 860: 00020082 008e1993 00000000 00000008 ................ + 870: 008e1993 00000017 000200a2 008e19aa ................ + 880: 00000000 00000008 008e19aa 00000048 ...............H + 890: 000200a2 008e19f2 00000032 000200a2 ...........2.... + 8a0: 008e1a24 00000000 00000008 008e1a24 ...$...........$ + 8b0: 00000026 000200a2 008e1a4a 00000000 ...&.......J.... + 8c0: 00000008 008e1a4a 00000015 000200a2 .......J........ + 8d0: 008e1a5f 00000000 00000008 008e1a5f ..._..........._ + 8e0: 0000001a 00020082 008e1a79 00000029 ...........y...) + 8f0: 000200a2 008e1aa2 00000000 00000008 ................ + 900: 008e1aa2 0000000c 000200a2 008e1aae ................ + 910: 00000000 00000008 008e1aae 00000050 ...............P + 920: 000200a2 008e1afe 0000001f 000200a2 ................ + 930: 008e1b1d 00000000 00000008 008e1b1d ................ + 940: 00000059 000200a2 008e1b76 00000000 ...Y.......v.... + 950: 00000008 008e1b76 00000034 000200a2 .......v...4.... + 960: 008e1baa 00000000 00000008 008e1baa ................ + 970: 00000036 000200a2 008e1be0 00000002 ...6............ + 980: 000200a2 008e1be2 00000000 00000008 ................ + 990: 008e1be2 00000023 00020082 008e1c05 .......#........ + 9a0: 00000000 00000008 008e1c05 0000000f ................ + 9b0: 00020082 008e1c14 00000000 00002808 ..............(. + 9c0: 008e1c14 0000001e 00020082 008e1c32 ...............2 + 9d0: 00000000 00000008 004e8740 00000000 .........N.@.... + 9e0: 00004804 004e8050 00000110 00002804 ..H..N.P......(. + 9f0: 008e1c34 00000010 00020082 008e1c44 ...4...........D + a00: 00000000 00002808 008e1c44 00000021 ......(....D...! + a10: 00020082 008e1c65 00000000 00000008 .......e........ + a20: 008e1c65 0000000f 000200a2 008e1c74 ...e...........t + a30: 00000000 00002808 008e1c74 00000021 ......(....t...! + a40: 00020082 008e1c95 00000000 00002808 ..............(. + a50: 008e1c98 00000014 00020082 008e1cac ................ + a60: 00000000 00000008 004e8160 00000018 .........N.`.... + a70: 00002804 008e1cac 00000015 00020082 ..(............. + a80: 008e1cc1 0000000b 000200a2 008e1ccc ................ + a90: 00000000 00002808 008e1ccc 0000000d ......(......... + aa0: 00020082 008e1cd9 00000000 00002808 ..............(. + ab0: 008e1cdc 0000000c 00020082 008e1ce8 ................ + ac0: 00000000 00002808 008e1ce8 00000005 ......(......... + ad0: 00020082 008e1ced 00000000 00002808 ..............(. + ae0: 008e1cf0 00000005 00020082 008e1cf5 ................ + af0: 00000000 00002808 008e1cf8 00000005 ......(......... + b00: 00020082 008e1cfd 00000000 00002808 ..............(. + b10: 008e1d00 00000005 00020082 008e1d05 ................ + b20: 00000000 00002808 008e1d08 00000005 ......(......... + b30: 00020082 008e1d0d 00000000 00002808 ..............(. + b40: 008e1d10 00000023 00020082 008e1d33 .......#.......3 + b50: 00000003 000200a2 008e1d36 0000000d ...........6.... + b60: 000200b2 008e1d43 00000000 00002808 .......C......(. + b70: 008e1d44 00000026 00020082 008e1d6a ...D...&.......j + b80: 00000000 00002808 008e1d6c 00000032 ......(....l...2 + b90: 00020082 008e1d9e 00000000 00000008 ................ + ba0: 004e817c 00000034 00002804 008e1da0 .N.|...4..(..... + bb0: 00000047 00020082 008e1de7 00000010 ...G............ + bc0: 000200a2 008e1df7 00000000 00002808 ..............(. + bd0: 008e1df8 00000018 00020082 008e1e10 ................ + be0: 00000013 000200a2 008e1e23 00000000 ...........#.... + bf0: 00002808 008e1e24 00000023 00020082 ..(....$...#.... + c00: 008e1e47 00000000 00000008 008e1e47 ...G...........G + c10: 00000002 000200a2 008e1e49 00000007 ...........I.... + c20: 000200a2 008e1e50 00000000 00000008 .......P........ + c30: 008e1e50 00000004 000200a2 008e1e54 ...P...........T + c40: 00000000 00000008 008e1e54 00000007 ...........T.... + c50: 000200a2 008e1e5b 00000000 00002808 .......[......(. + c60: 008e1e5c 0000001e 00020082 008e1e7a ...\...........z + c70: 00000014 000200a2 008e1e8e 00000010 ................ + c80: 000200a2 008e1e9e 00000004 000200a2 ................ + c90: 008e1ea2 00000000 00000008 008e1ea2 ................ + ca0: 0000000d 000200a2 008e1eaf 00000000 ................ + cb0: 00000008 008e1eaf 0000000d 000200a2 ................ + cc0: 008e1ebc 00000000 00002808 008e1ebc ..........(..... + cd0: 00000019 00020082 008e1ed5 00000014 ................ + ce0: 000200a2 008e1ee9 00000004 000200a2 ................ + cf0: 008e1eed 00000000 00000008 008e1eed ................ + d00: 00000004 000200a2 008e1ef1 00000000 ................ + d10: 00000008 008e1ef1 00000010 000200a2 ................ + d20: 008e1f01 00000000 00002808 008e1f04 ..........(..... + d30: 000001af 00020082 008e20b3 00000000 .......... ..... + d40: 00000008 008e20b3 00000059 000200a2 ...... ....Y.... + d50: 008e210c 00000020 000200a2 008e212c ..!.... ......!, + d60: 00000000 00000008 008e212c 00000011 ..........!,.... + d70: 000200a2 008e213d 00000000 00000008 ......!=........ + d80: 008e213d 0000000e 000200a2 008e214b ..!=..........!K + d90: 00000000 00000008 008e214b 0000001f ..........!K.... + da0: 000200a2 008e216a 00000000 00000008 ......!j........ + db0: 008e216a 00000002 000200a2 008e216c ..!j..........!l + dc0: 00000000 00002808 008e216c 00000019 ......(...!l.... + dd0: 00020082 008e2185 00000000 00000008 ......!......... + de0: 004e81b4 00000050 00002804 008e2188 .N.....P..(...!. + df0: 00000005 00020082 008e218d 00000000 ..........!..... + e00: 00002808 008e2190 00000019 00020082 ..(...!......... + e10: 008e21a9 0000000d 00020092 008e21b6 ..!...........!. + e20: 00000000 00002808 008e21b8 0000003e ......(...!....> + e30: 00020082 008e21f6 00000000 00002808 ......!.......(. + e40: 008e21f8 00000039 00020082 008e2231 ..!....9......"1 + e50: 00000000 00002808 008e2234 0000000e ......(..."4.... + e60: 00020082 008e2242 0000001d 000200a2 ......"B........ + e70: 008e225f 00000039 000200a2 008e2298 .."_...9......". + e80: 00000000 00002808 008e2298 0000000e ......(..."..... + e90: 00020082 008e22a6 0000002d 000200a2 ......"....-.... + ea0: 008e22d3 00000024 000200a2 008e22f7 .."....$......". + eb0: 00000000 00002808 008e22f8 0000001e ......(..."..... + ec0: 00020082 008e2316 00000000 00000008 ......#......... + ed0: 004e8208 00000028 00002804 008e2318 .N.....(..(...#. + ee0: 00000005 00020082 008e231d 00000000 ..........#..... + ef0: 00002808 008e2320 0000001e 00020082 ..(...# ........ + f00: 008e233e 00000000 00000008 004e8234 ..#>.........N.4 + f10: 00000010 00002804 008e2340 00000011 ......(...#@.... + f20: 00020082 008e2351 00000000 00002808 ......#Q......(. + f30: 008e2354 00000005 00020082 008e2359 ..#T..........#Y + f40: 00000000 00002808 008e235c 00000023 ......(...#\...# + f50: 00020082 008e237f 00000000 00000008 ......#......... + f60: 008e237f 0000002e 000200a2 008e23ad ..#...........#. + f70: 00000000 00002808 008e23b0 00000041 ......(...#....A + f80: 00020082 008e23f1 0000000b 000200a2 ......#......... + f90: 008e23fc 0000001b 000200a2 008e2417 ..#...........$. + fa0: 00000005 000200a2 008e241c 00000000 ..........$..... + fb0: 00002808 008e241c 00000005 00020082 ..(...$......... + fc0: 008e2421 00000000 00002808 008e2424 ..$!......(...$$ + fd0: 00000005 00020082 008e2429 00000000 ..........$).... + fe0: 00002808 008e242c 00000014 00020082 ..(...$,........ + ff0: 008e2440 00000000 00000008 008e2440 ..$@..........$@ + 1000: 00000014 000200a2 008e2454 00000005 ..........$T.... + 1010: 000200a2 008e2459 00000000 00002808 ......$Y......(. + 1020: 008e245c 0000001b 00020082 008e2477 ..$\..........$w + 1030: 00000000 00000008 008e2477 00000004 ..........$w.... + 1040: 000200a2 008e247b 00000000 00002808 ......${......(. + 1050: 008e247c 0000000b 00020082 008e2487 ..$|..........$. + 1060: 00000000 00002808 008e2488 0000002d ......(...$....- + 1070: 00020082 008e24b5 00000000 00000008 ......$......... + 1080: 005006fc 00000000 00002804 004e8248 .P........(..N.H + 1090: 0000004c 00002804 008e24b8 0000001b ...L..(...$..... + 10a0: 00020082 008e24d3 00000000 00000008 ......$......... + 10b0: 008e24d3 0000000a 000200a2 008e24dd ..$...........$. + 10c0: 00000005 000200a2 008e24e2 00000000 ..........$..... + 10d0: 00002808 008e24e4 00000011 00020082 ..(...$......... + 10e0: 008e24f5 00000000 00000008 008e24f5 ..$...........$. + 10f0: 00000002 000200a2 008e24f7 00000037 ..........$....7 + 1100: 000200a2 008e252e 00000003 00020092 ......%......... + 1110: 008e2531 00000000 00000008 008e2531 ..%1..........%1 + 1120: 00000007 000200a2 008e2538 00000000 ..........%8.... + 1130: 00002808 008e2538 0000001b 00020082 ..(...%8........ + 1140: 008e2553 00000029 000200a2 008e257c ..%S...)......%| + 1150: 00000000 00000008 008e257c 00000008 ..........%|.... + 1160: 000200a2 008e2584 00000000 00000008 ......%......... + 1170: 008e2584 00000007 000200a2 008e258b ..%...........%. + 1180: 00000018 000200a2 008e25a3 00000000 ..........%..... + 1190: 00000008 008e25a3 00000015 000200a2 ......%......... + 11a0: 008e25b8 00000000 00000008 008e25b8 ..%...........%. + 11b0: 00000011 000200a2 008e25c9 00000020 ..........%.... + 11c0: 000200a2 008e25e9 00000000 00000008 ......%......... + 11d0: 008e25e9 00000020 000200a2 008e2609 ..%.... ......&. + 11e0: 0000000e 000200a2 008e2617 0000001c ..........&..... + 11f0: 000200a2 008e2633 00000000 00000008 ......&3........ + 1200: 008e2633 0000004f 000200a2 008e2682 ..&3...O......&. + 1210: 00000008 000200a2 008e268a 00000000 ..........&..... + 1220: 00000008 008e268a 00000007 000200a2 ......&......... + 1230: 008e2691 0000001b 000200a2 008e26ac ..&...........&. + 1240: 00000041 000200a2 008e26ed 0000002d ...A......&....- + 1250: 000200a2 008e271a 00000011 000200a2 ......'......... + 1260: 008e272b 00000000 00000008 008e272b ..'+..........'+ + 1270: 00000002 000200a2 008e272d 0000001a ..........'-.... + 1280: 000200a2 008e2747 00000000 00000008 ......'G........ + 1290: 008e2747 00000020 000200a2 008e2767 ..'G... ......'g + 12a0: 00000000 00000008 008e2767 00000010 ..........'g.... + 12b0: 000200a2 008e2777 0000002e 000200a2 ......'w........ + 12c0: 008e27a5 00000025 000200b2 008e27ca ..'....%......'. + 12d0: 00000008 000200a2 008e27d2 00000000 ..........'..... + 12e0: 00000008 008e27d2 0000000d 000200a2 ......'......... + 12f0: 008e27df 00000000 00000008 008e27df ..'...........'. + 1300: 00000016 000200a2 008e27f5 0000000e ..........'..... + 1310: 000200a2 008e2803 00000005 000200a2 ......(......... + 1320: 008e2808 00000000 00000008 008e2808 ..(...........(. + 1330: 00000027 000200a2 008e282f 00000000 ...'......(/.... + 1340: 00000008 008e282f 00000005 000200a2 ......(/........ + 1350: 008e2834 00000000 00000008 008e2834 ..(4..........(4 + 1360: 00000019 000200a2 008e284d 00000000 ..........(M.... + 1370: 00000008 008e284d 0000002b 000200a2 ......(M...+.... + 1380: 008e2878 00000000 00000008 008e2878 ..(x..........(x + 1390: 00000005 000200a2 008e287d 00000014 ..........(}.... + 13a0: 000200a2 008e2891 00000000 00000008 ......(......... + 13b0: 008e2891 00000006 000200a2 008e2897 ..(...........(. + 13c0: 0000000f 000200a2 008e28a6 00000000 ..........(..... + 13d0: 00000008 008e28a6 0000000d 000200a2 ......(......... + 13e0: 008e28b3 00000000 00000008 008e28b3 ..(...........(. + 13f0: 00000013 000200a2 008e28c6 00000000 ..........(..... + 1400: 00000008 008e28c6 00000005 000200a2 ......(......... + 1410: 008e28cb 00000008 000200a2 008e28d3 ..(...........(. + 1420: 00000000 00000008 008e28d3 00000007 ..........(..... + 1430: 000200a2 008e28da 00000019 000200a2 ......(......... + 1440: 008e28f3 0000000c 000200a2 008e28ff ..(...........(. + 1450: 0000000d 000200a2 008e290c 00000000 ..........)..... + 1460: 00000008 008e290c 00000005 000200a2 ......)......... + 1470: 008e2911 00000017 000200a2 008e2928 ..)...........)( + 1480: 00000000 00000008 008e2928 00000002 ..........)(.... + 1490: 000200a2 008e292a 00000000 00000008 ......)*........ + 14a0: 008e292a 00000011 000200a2 008e293b ..)*..........); + 14b0: 00000000 00000008 008e293b 00000009 ..........);.... + 14c0: 000200a2 008e2944 00000000 00000008 ......)D........ + 14d0: 008e2944 00000014 000200a2 008e2958 ..)D..........)X + 14e0: 00000000 00000008 008e2958 00000007 ..........)X.... + 14f0: 000200a2 008e295f 00000008 000200a2 ......)_........ + 1500: 008e2967 00000000 00000008 008e2967 ..)g..........)g + 1510: 00000011 000200a2 008e2978 00000000 ..........)x.... + 1520: 00000008 008e2978 00000005 000200a2 ......)x........ + 1530: 008e297d 00000000 00002808 008e2980 ..)}......(...). + 1540: 00000033 00020082 008e29b3 00000000 ...3......)..... + 1550: 00002808 008e29b4 00000005 00020082 ..(...)......... + 1560: 008e29b9 00000000 00002808 008e29bc ..).......(...). + 1570: 0000000f 00020082 008e29cb 00000000 ..........)..... + 1580: 00000008 004e8298 00000018 00002804 .....N........(. + 1590: 008e29cc 00000018 00020082 008e29e4 ..)...........). + 15a0: 00000000 00002808 008e29e4 00000022 ......(...)...." + 15b0: 00020082 008e2a06 00000000 00000008 ......*......... + 15c0: 008e2a06 0000001c 000200a2 008e2a22 ..*...........*" + 15d0: 00000027 000200a2 008e2a49 00000004 ...'......*I.... + 15e0: 000200a2 008e2a4d 00000000 00002808 ......*M......(. + 15f0: 008e2a50 0000001f 00020082 008e2a6f ..*P..........*o + 1600: 00000003 00020092 008e2a72 00000000 ..........*r.... + 1610: 00000008 008e2a72 00000016 000200a2 ......*r........ + 1620: 008e2a88 00000000 00000008 008e2a88 ..*...........*. + 1630: 00000004 000200a2 008e2a8c 00000000 ..........*..... + 1640: 00002808 008e2a8c 0000000d 00020082 ..(...*......... + 1650: 008e2a99 00000000 00002808 008e2a9c ..*.......(...*. + 1660: 00000053 00020082 008e2aef 00000004 ...S......*..... + 1670: 000200a2 008e2af3 00000000 00000008 ......*......... + 1680: 008e2af3 0000000a 000200a2 008e2afd ..*...........*. + 1690: 00000000 00002808 008e2b00 00000005 ......(...+..... + 16a0: 00020082 008e2b05 00000000 00002808 ......+.......(. + 16b0: 008e2b08 00000019 00020082 008e2b21 ..+...........+! + 16c0: 00000000 00000008 004e82b4 00000030 .........N.....0 + 16d0: 00002804 008e2b24 00000005 00020082 ..(...+$........ + 16e0: 008e2b29 00000000 00002808 008e2b2c ..+)......(...+, + 16f0: 00000023 00020082 008e2b4f 00000000 ...#......+O.... + 1700: 00000008 004e82e8 00000014 00002804 .....N........(. + 1710: 008e2b50 0000000c 00020082 008e2b5c ..+P..........+\ + 1720: 00000000 00002808 008e2b5c 0000000f ......(...+\.... + 1730: 00020082 008e2b6b 00000000 00002808 ......+k......(. + 1740: 008e2b6c 00000017 00020082 008e2b83 ..+l..........+. + 1750: 00000002 000200a2 008e2b85 00000000 ..........+..... + 1760: 00002808 008e2b88 00000013 00020082 ..(...+......... + 1770: 008e2b9b 00000002 000200a2 008e2b9d ..+...........+. + 1780: 00000000 00000008 008e2b9d 0000000a ..........+..... + 1790: 000200a2 008e2ba7 00000000 00000008 ......+......... + 17a0: 008e2ba7 0000000a 000200a2 008e2bb1 ..+...........+. + 17b0: 00000000 00000008 008e2bb1 00000008 ..........+..... + 17c0: 000200a2 008e2bb9 00000000 00002808 ......+.......(. + 17d0: 008e2bbc 0000000e 00020082 008e2bca ..+...........+. + 17e0: 00000016 000200a2 008e2be0 00000004 ..........+..... + 17f0: 000200a2 008e2be4 00000000 00002808 ......+.......(. + 1800: 008e2be4 0000001e 00020082 008e2c02 ..+...........,. + 1810: 00000000 00000008 004e8300 00000014 .........N...... + 1820: 00002804 008e2c04 0000000f 00020082 ..(...,......... + 1830: 008e2c13 00000000 00002808 008e2c14 ..,.......(...,. + 1840: 00000017 00020082 008e2c2b 00000012 ..........,+.... + 1850: 000200a2 008e2c3d 00000000 00000008 ......,=........ + 1860: 008e2c3d 0000000a 000200a2 008e2c47 ..,=..........,G + 1870: 0000000a 000200a2 008e2c51 00000000 ..........,Q.... + 1880: 00000008 008e2c51 00000005 000200a2 ......,Q........ + 1890: 008e2c56 00000000 00002808 008e2c58 ..,V......(...,X + 18a0: 0000000e 00020082 008e2c66 0000000d ..........,f.... + 18b0: 000200a2 008e2c73 00000000 00000008 ......,s........ + 18c0: 008e2c73 00000002 000200a2 008e2c75 ..,s..........,u + 18d0: 00000008 000200a2 008e2c7d 00000006 ..........,}.... + 18e0: 000200a2 008e2c83 00000000 00000008 ......,......... + 18f0: 008e2c83 00000005 000200a2 008e2c88 ..,...........,. + 1900: 00000000 00002808 008e2c88 0000000c ......(...,..... + 1910: 00020082 008e2c94 00000000 00002808 ......,.......(. + 1920: 008e2c94 0000002b 00020082 008e2cbf ..,....+......,. + 1930: 00000000 00000008 008e2cbf 00000002 ..........,..... + 1940: 000200a2 008e2cc1 00000000 00002808 ......,.......(. + 1950: 008e2cc4 0000001e 00020082 008e2ce2 ..,...........,. + 1960: 00000000 00000008 004e8318 00000018 .........N...... + 1970: 00002804 008e2ce4 00000027 00020082 ..(...,....'.... + 1980: 008e2d0b 00000000 00000008 008e2d0b ..-...........-. + 1990: 00000004 000200a2 008e2d0f 00000000 ..........-..... + 19a0: 00002808 008e2d10 0000001a 00020082 ..(...-......... + 19b0: 008e2d2a 00000000 00002808 008e2d2c ..-*......(...-, + 19c0: 0000001c 00020082 008e2d48 00000000 ..........-H.... + 19d0: 00000008 008e2d48 00000004 000200a2 ......-H........ + 19e0: 008e2d4c 00000008 000200a2 008e2d54 ..-L..........-T + 19f0: 00000000 00002808 008e2d54 0000001e ......(...-T.... + 1a00: 00020082 008e2d72 00000000 00002808 ......-r......(. + 1a10: 008e2d74 0000001c 00020082 008e2d90 ..-t..........-. + 1a20: 00000000 00002808 008e2d90 00000028 ......(...-....( + 1a30: 00020082 008e2db8 00000000 00002808 ......-.......(. + 1a40: 008e2db8 00000010 00020082 008e2dc8 ..-...........-. + 1a50: 00000008 000200a2 008e2dd0 00000000 ..........-..... + 1a60: 00002808 008e2dd0 00000028 00020082 ..(...-....(.... + 1a70: 008e2df8 00000000 00000008 004e8334 ..-..........N.4 + 1a80: 00000028 00002804 008e2df8 0000003e ...(..(...-....> + 1a90: 00020082 008e2e36 00000000 00002808 .......6......(. + 1aa0: 008e2e38 00000005 00020082 008e2e3d ...8...........= + 1ab0: 00000000 00002808 008e2e40 00000007 ......(....@.... + 1ac0: 00020082 008e2e47 00000000 00002808 .......G......(. + 1ad0: 008e2e48 00000015 00020082 008e2e5d ...H...........] + 1ae0: 00000015 000200b2 008e2e72 00000002 ...........r.... + 1af0: 000200b2 008e2e74 00000000 00002808 .......t......(. + 1b00: 008e2e74 00000015 00020082 008e2e89 ...t............ + 1b10: 00000015 000200b2 008e2e9e 00000002 ................ + 1b20: 000200b2 008e2ea0 00000000 00002808 ..............(. + 1b30: 008e2ea0 00000013 00020082 008e2eb3 ................ + 1b40: 00000000 00000008 008e2eb3 0000000d ................ + 1b50: 000200a2 008e2ec0 00000000 00002808 ..............(. + 1b60: 008e2ec0 00000005 00020082 008e2ec5 ................ + 1b70: 00000000 00002808 008e2ec8 0000000a ......(......... + 1b80: 00020082 008e2ed2 00000000 00002808 ..............(. + 1b90: 008e2ed4 00000010 00020082 008e2ee4 ................ + 1ba0: 0000000b 000200a2 008e2eef 00000002 ................ + 1bb0: 000200a2 008e2ef1 00000000 00002808 ..............(. + 1bc0: 008e2ef4 00000025 00020082 008e2f19 .......%....../. + 1bd0: 00000000 00000008 008e2f19 00000002 ........../..... + 1be0: 000200a2 008e2f1b 00000000 00002808 ....../.......(. + 1bf0: 008e2f1c 00000040 00020082 008e2f5c ../....@....../\ + 1c00: 00000000 00002808 008e2f5c 00000037 ......(.../\...7 + 1c10: 00020082 008e2f93 00000000 00000008 ....../......... + 1c20: 004e8360 00000030 00002804 008e2f94 .N.`...0..(.../. + 1c30: 0000000a 00020082 008e2f9e 00000000 ........../..... + 1c40: 00002808 008e2fa0 0000000a 00020082 ..(.../......... + 1c50: 008e2faa 00000000 00002808 008e2fac ../.......(.../. + 1c60: 00000017 00020082 008e2fc3 00000000 ........../..... + 1c70: 00000008 008e2fc3 00000004 000200a2 ....../......... + 1c80: 008e2fc7 00000000 00000008 008e2fc7 ../.........../. + 1c90: 00000004 000200a2 008e2fcb 00000000 ........../..... + 1ca0: 00000008 008e2fcb 00000004 000200a2 ....../......... + 1cb0: 008e2fcf 00000000 00000008 008e2fcf ../.........../. + 1cc0: 00000004 000200a2 008e2fd3 00000000 ........../..... + 1cd0: 00002808 008e2fd4 00000010 00020082 ..(.../......... + 1ce0: 008e2fe4 00000000 00000008 008e2fe4 ../.........../. + 1cf0: 00000004 000200a2 008e2fe8 00000000 ........../..... + 1d00: 00002808 008e2fe8 00000017 00020082 ..(.../......... + 1d10: 008e2fff 00000000 00000008 008e2fff ../.........../. + 1d20: 00000004 000200a2 008e3003 00000000 ..........0..... + 1d30: 00000008 008e3003 00000004 000200a2 ......0......... + 1d40: 008e3007 00000000 00000008 008e3007 ..0...........0. + 1d50: 00000004 000200a2 008e300b 00000000 ..........0..... + 1d60: 00002808 008e300c 00000016 00020082 ..(...0......... + 1d70: 008e3022 00000000 00002808 008e3024 ..0"......(...0$ + 1d80: 0000000c 00020082 008e3030 000000a8 ..........00.... + 1d90: 000200a2 008e30d8 00000000 00002808 ......0.......(. + 1da0: 008e30d8 00000035 00020082 008e310d ..0....5......1. + 1db0: 00000000 00002808 008e3110 00000053 ......(...1....S + 1dc0: 00020082 008e3163 00000000 00002808 ......1c......(. + 1dd0: 008e3164 0000001e 00020082 008e3182 ..1d..........1. + 1de0: 00000000 00000008 008e3182 0000001c ..........1..... + 1df0: 000200a2 008e319e 00000000 00002808 ......1.......(. + 1e00: 008e31a0 00000005 00020082 008e31a5 ..1...........1. + 1e10: 00000000 00002808 008e31a8 00000014 ......(...1..... + 1e20: 00020082 008e31bc 00000000 00002808 ......1.......(. + 1e30: 008e31bc 00000021 00020082 008e31dd ..1....!......1. + 1e40: 00000000 00000008 008e31dd 0000000b ..........1..... + 1e50: 000200a2 008e31e8 00000000 00002808 ......1.......(. + 1e60: 008e31e8 0000001d 00020082 008e3205 ..1...........2. + 1e70: 00000000 00000008 008e3205 0000000b ..........2..... + 1e80: 000200a2 008e3210 00000000 00002808 ......2.......(. + 1e90: 008e3210 0000000c 00020082 008e321c ..2...........2. + 1ea0: 00000000 00000008 008e321c 00000018 ..........2..... + 1eb0: 000200a2 008e3234 00000000 00000008 ......24........ + 1ec0: 008e3234 00000018 000200a2 008e324c ..24..........2L + 1ed0: 00000000 00000008 008e324c 00000018 ..........2L.... + 1ee0: 000200a2 008e3264 00000000 00000008 ......2d........ + 1ef0: 008e3264 00000015 000200a2 008e3279 ..2d..........2y + 1f00: 00000019 000200a2 008e3292 00000000 ..........2..... + 1f10: 00000008 008e3292 00000018 000200a2 ......2......... + 1f20: 008e32aa 00000005 000200a2 008e32af ..2...........2. + 1f30: 00000000 00002808 008e32b0 0000001e ......(...2..... + 1f40: 00020082 008e32ce 00000000 00000008 ......2......... + 1f50: 008e32ce 0000000c 000200a2 008e32da ..2...........2. + 1f60: 00000000 00002808 008e32dc 00000016 ......(...2..... + 1f70: 00020082 008e32f2 00000005 000200a2 ......2......... + 1f80: 008e32f7 00000000 00002808 008e32f8 ..2.......(...2. + 1f90: 0000000a 00020082 008e3302 00000000 ..........3..... + 1fa0: 00000008 008e3302 00000004 000200a2 ......3......... + 1fb0: 008e3306 00000000 00002808 008e3308 ..3.......(...3. + 1fc0: 0000000e 00020082 008e3316 00000000 ..........3..... + 1fd0: 00002808 008e3318 00000007 00020082 ..(...3......... + 1fe0: 008e331f 00000000 00002808 008e3320 ..3.......(...3 + 1ff0: 00000005 00020082 008e3325 00000000 ..........3%.... + 2000: 00002808 008e3328 0000000d 00020082 ..(...3(........ + 2010: 008e3335 00000000 00002808 008e3338 ..35......(...38 + 2020: 00000041 00020082 008e3379 00000000 ...A......3y.... + 2030: 00002808 008e337c 00000032 00020082 ..(...3|...2.... + 2040: 008e33ae 00000000 00000008 004e8394 ..3..........N.. + 2050: 00000078 00002804 008e33b0 00000012 ...x..(...3..... + 2060: 00020082 008e33c2 00000000 00002808 ......3.......(. + 2070: 008e33c4 00000036 00020082 008e33fa ..3....6......3. + 2080: 00000000 00002808 008e33fc 00000016 ......(...3..... + 2090: 00020082 008e3412 00000000 00000008 ......4......... + 20a0: 008e3412 00000008 000200a2 008e341a ..4...........4. + 20b0: 00000000 00002808 008e341c 00000011 ......(...4..... + 20c0: 00020082 008e342d 00000000 00002808 ......4-......(. + 20d0: 008e3430 00000053 00020082 008e3483 ..40...S......4. + 20e0: 00000000 00002808 008e3484 00000005 ......(...4..... + 20f0: 00020082 008e3489 00000000 00002808 ......4.......(. + 2100: 008e348c 00000014 00020082 008e34a0 ..4...........4. + 2110: 00000000 00002808 008e34a0 0000007a ......(...4....z + 2120: 00020082 008e351a 00000000 00002808 ......5.......(. + 2130: 008e351c 00000007 00020082 008e3523 ..5...........5# + 2140: 00000000 00002808 008e3524 00000013 ......(...5$.... + 2150: 00020082 008e3537 00000000 00000008 ......57........ + 2160: 008e3537 0000000b 000200a2 008e3542 ..57..........5B + 2170: 00000000 00000008 008e3542 0000000b ..........5B.... + 2180: 000200a2 008e354d 00000002 000200a2 ......5M........ + 2190: 008e354f 00000000 00002808 008e3550 ..5O......(...5P + 21a0: 0000000b 00020082 008e355b 00000000 ..........5[.... + 21b0: 00000008 008e355b 00000008 000200a2 ......5[........ + 21c0: 008e3563 00000000 00000008 008e3563 ..5c..........5c + 21d0: 00000006 000200a2 008e3569 00000000 ..........5i.... + 21e0: 00000008 008e3569 00000005 000200a2 ......5i........ + 21f0: 008e356e 00000000 00002808 008e3570 ..5n......(...5p + 2200: 0000002f 00020082 008e359f 00000000 .../......5..... + 2210: 00000008 008e359f 00000009 000200a2 ......5......... + 2220: 008e35a8 0000000d 000200a2 008e35b5 ..5...........5. + 2230: 00000000 00000008 008e35b5 00000038 ..........5....8 + 2240: 000200a2 008e35ed 00000008 000200a2 ......5......... + 2250: 008e35f5 00000000 00000008 008e35f5 ..5...........5. + 2260: 00000007 000200a2 008e35fc 00000016 ..........5..... + 2270: 000200a2 008e3612 00000007 000200a2 ......6......... + 2280: 008e3619 00000000 00000008 008e3619 ..6...........6. + 2290: 00000002 000200a2 008e361b 00000000 ..........6..... + 22a0: 00002808 008e361c 0000003f 00020082 ..(...6....?.... + 22b0: 008e365b 00000000 00000008 008e365b ..6[..........6[ + 22c0: 0000001a 000200a2 008e3675 00000000 ..........6u.... + 22d0: 00000008 008e3675 00000005 000200a2 ......6u........ + 22e0: 008e367a 00000000 00002808 008e367c ..6z......(...6| + 22f0: 0000000f 00020082 008e368b 00000004 ..........6..... + 2300: 000200a2 008e368f 00000000 00000008 ......6......... + 2310: 008e368f 00000017 000200a2 008e36a6 ..6...........6. + 2320: 00000000 00002808 008e36a8 00000005 ......(...6..... + 2330: 00020082 008e36ad 00000000 00002808 ......6.......(. + 2340: 008e36b0 00000005 00020082 008e36b5 ..6...........6. + 2350: 00000000 00002808 008e36b8 00000005 ......(...6..... + 2360: 00020082 008e36bd 00000000 00002808 ......6.......(. + 2370: 008e36c0 0000000c 00020082 008e36cc ..6...........6. + 2380: 00000000 00000008 008e36cc 00000004 ..........6..... + 2390: 000200a2 008e36d0 00000000 00002808 ......6.......(. + 23a0: 008e36d0 0000000d 00020082 008e36dd ..6...........6. + 23b0: 00000000 00000008 008e36dd 00000005 ..........6..... + 23c0: 000200a2 008e36e2 00000000 00002808 ......6.......(. + 23d0: 008e36e4 00000021 00020082 008e3705 ..6....!......7. + 23e0: 00000000 00000008 008e3705 00000024 ..........7....$ + 23f0: 000200a2 008e3729 00000000 00000008 ......7)........ + 2400: 008e3729 00000021 000200a2 008e374a ..7)...!......7J + 2410: 00000021 000200a2 008e376b 00000000 ...!......7k.... + 2420: 00000008 008e376b 00000021 000200a2 ......7k...!.... + 2430: 008e378c 00000003 000200a2 008e378f ..7...........7. + 2440: 00000002 000200a2 008e3791 00000000 ..........7..... + 2450: 00002808 008e3794 0000000f 00020082 ..(...7......... + 2460: 008e37a3 00000000 00002808 008e37a4 ..7.......(...7. + 2470: 00000041 00020082 008e37e5 00000000 ...A......7..... + 2480: 00002808 008e37e8 0000009c 00020082 ..(...7......... + 2490: 008e3884 00000000 00000008 004e8410 ..8..........N.. + 24a0: 00000088 00002804 008e3884 00000019 ......(...8..... + 24b0: 00020082 008e389d 00000000 00000008 ......8......... + 24c0: 008e389d 0000000f 000200a2 008e38ac ..8...........8. + 24d0: 00000000 00002808 008e38ac 0000000e ......(...8..... + 24e0: 00020082 008e38ba 00000014 000200a2 ......8......... + 24f0: 008e38ce 00000000 00002808 008e38d0 ..8.......(...8. + 2500: 00000046 00020082 008e3916 00000039 ...F......9....9 + 2510: 00020092 008e394f 00000002 00020092 ......9O........ + 2520: 008e3951 00000000 00002808 008e3954 ..9Q......(...9T + 2530: 00000034 00020082 008e3988 00000000 ...4......9..... + 2540: 00002808 008e3988 00000014 00020082 ..(...9......... + 2550: 008e399c 00000004 000200a2 008e39a0 ..9...........9. + 2560: 00000000 00000008 008e39a0 0000000b ..........9..... + 2570: 000200a2 008e39ab 00000000 00002808 ......9.......(. + 2580: 008e39ac 00000025 00020082 008e39d1 ..9....%......9. + 2590: 00000009 000200a2 008e39da 00000000 ..........9..... + 25a0: 00000008 008e39da 00000003 000200a2 ......9......... + 25b0: 008e39dd 00000004 000200a2 008e39e1 ..9...........9. + 25c0: 00000000 00000008 008e39e1 00000018 ..........9..... + 25d0: 000200a2 008e39f9 00000005 000200a2 ......9......... + 25e0: 008e39fe 00000000 00000008 008e39fe ..9...........9. + 25f0: 00000016 000200a2 008e3a14 00000002 ..........:..... + 2600: 00000008 008e3a16 00000002 000200a2 ......:......... + 2610: 008e3a18 00000040 000200a2 008e3a58 ..:....@......:X + 2620: 00000024 00020092 008e3a7c 00000000 ...$......:|.... + 2630: 00002808 008e3a7c 00000013 00020082 ..(...:|........ + 2640: 008e3a8f 00000000 00000008 008e3a8f ..:...........:. + 2650: 0000000c 000200a2 008e3a9b 00000000 ..........:..... + 2660: 00002808 008e3a9c 00000039 00020082 ..(...:....9.... + 2670: 008e3ad5 00000000 00000008 008e3ad5 ..:...........:. + 2680: 00000005 000200a2 008e3ada 00000004 ..........:..... + 2690: 000200a2 008e3ade 00000000 00000008 ......:......... + 26a0: 008e3ade 0000000b 000200a2 008e3ae9 ..:...........:. + 26b0: 00000000 00000008 008e3ae9 0000001b ..........:..... + 26c0: 000200a2 008e3b04 00000000 00000008 ......;......... + 26d0: 008e3b04 0000000b 000200a2 008e3b0f ..;...........;. + 26e0: 00000000 00000008 008e3b0f 0000000a ..........;..... + 26f0: 000200a2 008e3b19 00000000 00000008 ......;......... + 2700: 008e3b19 00000006 000200a2 008e3b1f ..;...........;. + 2710: 00000000 00000008 008e3b1f 00000006 ..........;..... + 2720: 000200a2 008e3b25 00000000 00000008 ......;%........ + 2730: 008e3b25 00000006 000200a2 008e3b2b ..;%..........;+ + 2740: 00000000 00002808 008e3b2c 00000026 ......(...;,...& + 2750: 00020082 008e3b52 00000000 00002808 ......;R......(. + 2760: 008e3b54 0000002a 00020082 008e3b7e ..;T...*......;~ + 2770: 00000000 00000008 008e3b7e 0000000c ..........;~.... + 2780: 000200a2 008e3b8a 00000004 000200a2 ......;......... + 2790: 008e3b8e 00000000 00000008 008e3b8e ..;...........;. + 27a0: 00000053 000200a2 008e3be1 00000000 ...S......;..... + 27b0: 00000008 008e3be1 00000018 000200a2 ......;......... + 27c0: 008e3bf9 00000000 00002808 008e3bfc ..;.......(...;. + 27d0: 00000023 00020082 008e3c1f 00000002 ...#......<..... + 27e0: 000200a2 008e3c21 00000000 00000008 ............... + 2910: 008e3e08 00000000 00002808 008e3e08 ..>.......(...>. + 2920: 00000043 00020082 008e3e4b 00000000 ...C......>K.... + 2930: 00000008 008e3e4b 00000018 000200a2 ......>K........ + 2940: 008e3e63 00000000 00002808 008e3e64 ..>c......(...>d + 2950: 0000002e 00020082 008e3e92 00000000 ..........>..... + 2960: 00000008 008e3e92 0000000a 000200a2 ......>......... + 2970: 008e3e9c 00000000 00000008 008e3e9c ..>...........>. + 2980: 0000000a 000200a2 008e3ea6 00000000 ..........>..... + 2990: 00000008 008e3ea6 0000000a 000200a2 ......>......... + 29a0: 008e3eb0 00000000 00000008 008e3eb0 ..>...........>. + 29b0: 0000000a 000200a2 008e3eba 00000000 ..........>..... + 29c0: 00000008 008e3eba 0000000a 000200a2 ......>......... + 29d0: 008e3ec4 00000000 00000008 008e3ec4 ..>...........>. + 29e0: 0000000a 000200a2 008e3ece 00000000 ..........>..... + 29f0: 00000008 008e3ece 0000000a 000200a2 ......>......... + 2a00: 008e3ed8 00000000 00000008 008e3ed8 ..>...........>. + 2a10: 0000000a 000200a2 008e3ee2 00000000 ..........>..... + 2a20: 00000008 008e3ee2 0000007f 000200a2 ......>......... + 2a30: 008e3f61 00000000 00002808 008e3f64 ..?a......(...?d + 2a40: 00000081 00020082 008e3fe5 00000000 ..........?..... + 2a50: 00000008 008e3fe5 0000001d 000200a2 ......?......... + 2a60: 008e4002 00000000 00000008 008e4002 ..@...........@. + 2a70: 00000054 000200a2 008e4056 00000000 ...T......@V.... + 2a80: 00002808 008e4058 00000059 00020082 ..(...@X...Y.... + 2a90: 008e40b1 00000021 00020092 008e40d2 ..@....!......@. + 2aa0: 00000003 00020092 008e40d5 00000000 ..........@..... + 2ab0: 00000008 008e40d5 0000001d 000200a2 ......@......... + 2ac0: 008e40f2 00000023 00020092 008e4115 ..@....#......A. + 2ad0: 000000a9 000200b2 008e41be 00000000 ..........A..... + 2ae0: 00000008 008e41be 0000000b 000200a2 ......A......... + 2af0: 008e41c9 00000000 00000008 008e41c9 ..A...........A. + 2b00: 00000005 000200a2 008e41ce 00000000 ..........A..... + 2b10: 00002808 008e41d0 00000081 00020082 ..(...A......... + 2b20: 008e4251 00000000 00002808 008e4254 ..BQ......(...BT + 2b30: 0000001a 00020082 008e426e 00000000 ..........Bn.... + 2b40: 00002808 008e4270 00000018 00020082 ..(...Bp........ + 2b50: 008e4288 00000000 00002808 008e4288 ..B.......(...B. + 2b60: 0000002e 00020082 008e42b6 00000000 ..........B..... + 2b70: 00000008 008e42b6 00000014 000200a2 ......B......... + 2b80: 008e42ca 00000002 00020092 008e42cc ..B...........B. + 2b90: 00000019 000200a2 008e42e5 00000000 ..........B..... + 2ba0: 00000008 008e42e5 00000009 00020082 ......B......... + 2bb0: 008e42ee 00000002 00020092 008e42f0 ..B...........B. + 2bc0: 00000000 00002808 008e42f0 00000031 ......(...B....1 + 2bd0: 00020082 008e4321 0000001b 00020092 ......C!........ + 2be0: 008e433c 00000000 00000008 008e433c ..C<..........C< + 2bf0: 00000002 000200a2 008e433e 0000000b ..........C>.... + 2c00: 000200a2 008e4349 00000029 000200a2 ......CI...).... + 2c10: 008e4372 00000000 00000008 008e4372 ..Cr..........Cr + 2c20: 00000017 000200a2 008e4389 00000000 ..........C..... + 2c30: 00000008 008e4389 00000009 000200a2 ......C......... + 2c40: 008e4392 00000000 00002808 008e4394 ..C.......(...C. + 2c50: 00000011 00020082 008e43a5 00000000 ..........C..... + 2c60: 00002808 008e43a8 00000072 00020082 ..(...C....r.... + 2c70: 008e441a 00000000 00002808 008e441c ..D.......(...D. + 2c80: 00000042 00020082 008e445e 00000000 ...B......D^.... + 2c90: 00002808 008e4460 00000008 00020082 ..(...D`........ + 2ca0: 008e4468 00000000 00002808 008e4468 ..Dh......(...Dh + 2cb0: 0000001e 00020082 008e4486 00000014 ..........D..... + 2cc0: 000200a2 008e449a 00000012 000200a2 ......D......... + 2cd0: 008e44ac 00000009 000200a2 008e44b5 ..D...........D. + 2ce0: 00000009 000200a2 008e44be 00000016 ..........D..... + 2cf0: 000200a2 008e44d4 00000000 00000008 ......D......... + 2d00: 008e44d4 00000008 000200a2 008e44dc ..D...........D. + 2d10: 00000005 000200a2 008e44e1 0000000f ..........D..... + 2d20: 000200a2 008e44f0 00000015 000200a2 ......D......... + 2d30: 008e4505 00000015 000200a2 008e451a ..E...........E. + 2d40: 00000014 000200a2 008e452e 00000000 ..........E..... + 2d50: 00002808 008e4530 0000001b 00020082 ..(...E0........ + 2d60: 008e454b 00000012 000200a2 008e455d ..EK..........E] + 2d70: 0000002c 000200a2 008e4589 00000006 ...,......E..... + 2d80: 000200a2 008e458f 00000009 000200a2 ......E......... + 2d90: 008e4598 00000009 000200a2 008e45a1 ..E...........E. + 2da0: 00000010 000200a2 008e45b1 0000000b ..........E..... + 2db0: 000200a2 008e45bc 00000013 000200a2 ......E......... + 2dc0: 008e45cf 00000000 00000008 008e45cf ..E...........E. + 2dd0: 00000008 000200a2 008e45d7 00000005 ..........E..... + 2de0: 000200a2 008e45dc 00000027 000200a2 ......E....'.... + 2df0: 008e4603 0000002a 000200a2 008e462d ..F....*......F- + 2e00: 00000033 000200a2 008e4660 00000005 ...3......F`.... + 2e10: 000200a2 008e4665 00000000 00002808 ......Fe......(. + 2e20: 008e4668 00000051 00020082 008e46b9 ..Fh...Q......F. + 2e30: 00000000 00000008 008e46b9 00000029 ..........F....) + 2e40: 000200a2 008e46e2 00000000 00000008 ......F......... + 2e50: 008e46e2 0000008a 000200a2 008e476c ..F...........Gl + 2e60: 00000000 00002808 008e476c 00000048 ......(...Gl...H + 2e70: 00020082 008e47b4 00000048 000200a2 ......G....H.... + 2e80: 008e47fc 00000035 000200a2 008e4831 ..G....5......H1 + 2e90: 00000000 00000008 008e4831 00000014 ..........H1.... + 2ea0: 000200a2 008e4845 00000000 00002808 ......HE......(. + 2eb0: 008e4848 0000000f 00020082 008e4857 ..HH..........HW + 2ec0: 00000000 00002808 008e4858 0000009d ......(...HX.... + 2ed0: 00020082 008e48f5 00000000 00000008 ......H......... + 2ee0: 00500730 00000000 00004804 004e8498 .P.0......H..N.. + 2ef0: 000000f8 00002804 00500780 00000000 ......(..P...... + 2f00: 00004804 004e0120 00000000 00004904 ..H..N. ......I. + 2f10: 004e0160 00000000 00004904 004e01a0 .N.`......I..N.. + 2f20: 00000000 00004904 004e01b0 00000000 ......I..N...... + 2f30: 00004904 004e01c0 00000000 00004904 ..I..N........I. + 2f40: 004e01e0 00000000 00004804 008e48f8 .N........H...H. + 2f50: 0000001c 00020082 008e4914 00000000 ..........I..... + 2f60: 00002808 008e4914 0000001e 00020082 ..(...I......... + 2f70: 008e4932 00000000 00002808 008e4934 ..I2......(...I4 + 2f80: 0000001e 00020082 008e4952 00000000 ..........IR.... + 2f90: 00002808 008e4954 00000027 00020082 ..(...IT...'.... + 2fa0: 008e497b 00000000 00002808 008e497c ..I{......(...I| + 2fb0: 00000024 00020082 008e49a0 00000000 ...$......I..... + 2fc0: 00002808 008e49a0 0000004a 00020082 ..(...I....J.... + 2fd0: 008e49ea 00000000 00002808 008e49ec ..I.......(...I. + 2fe0: 0000018e 00020082 008e4b7a 00000002 ..........Kz.... + 2ff0: 000200a2 008e4b7c 00000000 00002808 ......K|......(. + 3000: 008e4b7c 0000012d 00020082 008e4ca9 ..K|...-......L. + 3010: 00000002 000200a2 008e4cab 00000000 ..........L..... + 3020: 00000008 004e8594 0000000c 00002804 .....N........(. + 3030: 008e4cac 0000000a 00020082 008e4cb6 ..L...........L. + 3040: 00000000 00002808 008e4cb8 0000000a ......(...L..... + 3050: 00020082 008e4cc2 00000000 00002808 ......L.......(. + 3060: 008e4cc4 00000013 00020082 008e4cd7 ..L...........L. + 3070: 00000000 00000008 008e4cd7 00000003 ..........L..... + 3080: 000200a2 008e4cda 0000000a 000200a2 ......L......... + 3090: 008e4ce4 00000000 00002808 008e4ce4 ..L.......(...L. + 30a0: 0000000d 00020082 008e4cf1 00000000 ..........L..... + 30b0: 00000008 008e4cf1 0000003b 000200a2 ......L....;.... + 30c0: 008e4d2c 00000000 00002808 008e4d2c ..M,......(...M, + 30d0: 0000004d 00020082 008e4d79 00000000 ...M......My.... + 30e0: 00002808 008e4d7c 0000000a 00020082 ..(...M|........ + 30f0: 008e4d86 00000000 00000008 008e4d86 ..M...........M. + 3100: 0000001f 000200a2 008e4da5 00000000 ..........M..... + 3110: 00002808 008e4da8 00000028 00020082 ..(...M....(.... + 3120: 008e4dd0 00000000 00002808 008e4dd0 ..M.......(...M. + 3130: 0000001b 00020082 008e4deb 00000000 ..........M..... + 3140: 00002808 008e4dec 00000029 00020082 ..(...M....).... + 3150: 008e4e15 0000000e 000200a2 008e4e23 ..N...........N# + 3160: 00000002 000200a2 008e4e25 00000000 ..........N%.... + 3170: 00002808 008e4e28 0000000d 00020082 ..(...N(........ + 3180: 008e4e35 00000000 00000008 008e4e35 ..N5..........N5 + 3190: 00000019 000200a2 008e4e4e 00000000 ..........NN.... + 31a0: 00002808 008e4e50 0000001b 00020082 ..(...NP........ + 31b0: 008e4e6b 00000000 00002808 008e4e6c ..Nk......(...Nl + 31c0: 00000028 00020082 008e4e94 00000000 ...(......N..... + 31d0: 00002808 008e4e94 0000000a 00020082 ..(...N......... + 31e0: 008e4e9e 00000000 00000008 008e4e9e ..N...........N. + 31f0: 00000019 000200a2 008e4eb7 00000000 ..........N..... + 3200: 00002808 008e4eb8 00000037 00020082 ..(...N....7.... + 3210: 008e4eef 00000000 00000008 004e85a0 ..N..........N.. + 3220: 00000030 00002804 008e4ef0 00000020 ...0..(...N.... + 3230: 00020082 008e4f10 00000017 000200a2 ......O......... + 3240: 008e4f27 00000004 000200a2 008e4f2b ..O'..........O+ + 3250: 00000000 00002808 008e4f2c 0000001a ......(...O,.... + 3260: 00020082 008e4f46 00000000 00000008 ......OF........ + 3270: 008e4f46 00000004 000200a2 008e4f4a ..OF..........OJ + 3280: 00000000 00002808 008e4f4c 00000014 ......(...OL.... + 3290: 00020082 008e4f60 00000000 00002808 ......O`......(. + 32a0: 008e4f60 00000014 00020082 008e4f74 ..O`..........Ot + 32b0: 00000000 00000008 004e85d4 0000000c .........N...... + 32c0: 00002804 008e4f74 00000021 00020082 ..(...Ot...!.... + 32d0: 008e4f95 00000018 000200a2 008e4fad ..O...........O. + 32e0: 00000002 000200a2 008e4faf 00000000 ..........O..... + 32f0: 00002808 008e4fb0 00000014 00020082 ..(...O......... + 3300: 008e4fc4 00000000 00000008 008e4fc4 ..O...........O. + 3310: 00000004 000200a2 008e4fc8 00000000 ..........O..... + 3320: 00002808 008e4fc8 00000008 00020082 ..(...O......... + 3330: 008e4fd0 00000000 00002808 008e4fd0 ..O.......(...O. + 3340: 0000003d 00020082 008e500d 00000000 ...=......P..... + 3350: 00002808 008e5010 00000019 00020082 ..(...P......... + 3360: 008e5029 00000000 00000008 004e85e4 ..P).........N.. + 3370: 00000010 00002804 008e502c 00000009 ......(...P,.... + 3380: 00020082 008e5035 00000007 000200a2 ......P5........ + 3390: 008e503c 00000011 000200a2 008e504d ..P<..........PM + 33a0: 00000000 00002808 008e5050 00000029 ......(...PP...) + 33b0: 00020082 008e5079 00000000 00002808 ......Py......(. + 33c0: 008e507c 00000009 00020082 008e5085 ..P|..........P. + 33d0: 00000007 000200a2 008e508c 0000001b ..........P..... + 33e0: 000200a2 008e50a7 00000000 00002808 ......P.......(. + 33f0: 008e50a8 0000002a 00020082 008e50d2 ..P....*......P. + 3400: 00000000 00002808 008e50d4 00000008 ......(...P..... + 3410: 00020082 008e50dc 00000000 00002808 ......P.......(. + 3420: 008e50dc 00000015 00020082 008e50f1 ..P...........P. + 3430: 00000000 00002808 008e50f4 00000007 ......(...P..... + 3440: 00020082 008e50fb 00000000 00002808 ......P.......(. + 3450: 008e50fc 00000017 00020082 008e5113 ..P...........Q. + 3460: 00000000 00002808 008e5114 000000bd ......(...Q..... + 3470: 00020082 008e51d1 00000000 00000008 ......Q......... + 3480: 008e51d1 00000023 000200a2 008e51f4 ..Q....#......Q. + 3490: 00000000 00002808 008e51f4 00000005 ......(...Q..... + 34a0: 00020082 008e51f9 00000000 00002808 ......Q.......(. + 34b0: 008e51fc 0000000d 00020082 008e5209 ..Q...........R. + 34c0: 00000000 00002808 008e520c 00000052 ......(...R....R + 34d0: 00020082 008e525e 00000000 00002808 ......R^......(. + 34e0: 008e5260 00000076 00020082 008e52d6 ..R`...v......R. + 34f0: 00000000 00000008 008e52d6 00000002 ..........R..... + 3500: 000200a2 008e52d8 00000005 000200a2 ......R......... + 3510: 008e52dd 00000000 00002808 008e52e0 ..R.......(...R. + 3520: 0000000e 00020082 008e52ee 00000010 ..........R..... + 3530: 000200a2 008e52fe 00000019 000200a2 ......R......... + 3540: 008e5317 00000000 00002808 008e5318 ..S.......(...S. + 3550: 00000010 00020082 008e5328 00000000 ..........S(.... + 3560: 00002808 008e5328 0000006e 00020082 ..(...S(...n.... + 3570: 008e5396 00000088 000200a2 008e541e ..S...........T. + 3580: 00000018 000200a2 008e5436 0000000b ..........T6.... + 3590: 000200a2 008e5441 00000000 00000008 ......TA........ + 35a0: 008e5441 00000015 000200a2 008e5456 ..TA..........TV + 35b0: 00000026 000200a2 008e547c 00000000 ...&......T|.... + 35c0: 00000008 008e547c 00000006 000200a2 ......T|........ + 35d0: 008e5482 00000000 00002808 008e5484 ..T.......(...T. + 35e0: 00000005 00020082 008e5489 00000000 ..........T..... + 35f0: 00002808 008e548c 00000005 00020082 ..(...T......... + 3600: 008e5491 00000000 00002808 008e5494 ..T.......(...T. + 3610: 00000013 00020082 008e54a7 00000000 ..........T..... + 3620: 00002808 008e54a8 00000041 00020082 ..(...T....A.... + 3630: 008e54e9 00000000 00002808 008e54ec ..T.......(...T. + 3640: 00000015 00020082 008e5501 00000000 ..........U..... + 3650: 00002808 008e5504 0000001f 00020082 ..(...U......... + 3660: 008e5523 00000000 00002808 008e5524 ..U#......(...U$ + 3670: 00000020 00020082 008e5544 00000006 ... ......UD.... + 3680: 000200a2 008e554a 00000009 000200a2 ......UJ........ + 3690: 008e5553 0000000b 000200a2 008e555e ..US..........U^ + 36a0: 00000000 00000008 008e555e 00000010 ..........U^.... + 36b0: 000200a2 008e556e 0000000f 000200a2 ......Un........ + 36c0: 008e557d 00000005 000200a2 008e5582 ..U}..........U. + 36d0: 00000000 00002808 008e5584 0000004a ......(...U....J + 36e0: 00020082 008e55ce 00000008 000200a2 ......U......... + 36f0: 008e55d6 00000000 00000008 008e55d6 ..U...........U. + 3700: 0000000b 000200a2 008e55e1 00000002 ..........U..... + 3710: 000200a2 008e55e3 00000014 000200a2 ......U......... + 3720: 008e55f7 00000000 00000008 008e55f7 ..U...........U. + 3730: 00000085 000200a2 008e567c 00000000 ..........V|.... + 3740: 00002808 008e567c 0000006a 00020082 ..(...V|...j.... + 3750: 008e56e6 00000000 00000008 008e56e6 ..V...........V. + 3760: 00000006 000200a2 008e56ec 00000000 ..........V..... + 3770: 00000008 008e56ec 00000005 000200a2 ......V......... + 3780: 008e56f1 00000000 00002808 008e56f4 ..V.......(...V. + 3790: 00000008 00020082 008e56fc 00000000 ..........V..... + 37a0: 00000008 008e56fc 00000028 000200a2 ......V....(.... + 37b0: 008e5724 00000000 00000008 008e5724 ..W$..........W$ + 37c0: 00000005 000200a2 008e5729 0000001c ..........W).... + 37d0: 000200a2 008e5745 00000000 00000008 ......WE........ + 37e0: 008e5745 0000002b 000200a2 008e5770 ..WE...+......Wp + 37f0: 00000005 000200a2 008e5775 00000000 ..........Wu.... + 3800: 00000008 008e5775 00000005 000200a2 ......Wu........ + 3810: 008e577a 00000000 00002808 008e577c ..Wz......(...W| + 3820: 0000001d 00020082 008e5799 00000020 ..........W.... + 3830: 000200a2 008e57b9 00000002 000200a2 ......W......... + 3840: 008e57bb 00000000 00002808 008e57bc ..W.......(...W. + 3850: 00000027 00020082 008e57e3 00000000 ...'......W..... + 3860: 00002808 008e57e4 0000001c 00020082 ..(...W......... + 3870: 008e5800 0000000b 000200a2 008e580b ..X...........X. + 3880: 00000000 00000008 008e580b 00000006 ..........X..... + 3890: 000200a2 008e5811 00000000 00002808 ......X.......(. + 38a0: 008e5814 00000005 00020082 008e5819 ..X...........X. + 38b0: 00000000 00002808 008e581c 0000006d ......(...X....m + 38c0: 00020082 008e5889 00000000 00000008 ......X......... + 38d0: 008e5889 00000021 000200a2 008e58aa ..X....!......X. + 38e0: 0000001a 000200a2 008e58c4 00000000 ..........X..... + 38f0: 00000008 008e58c4 00000022 000200a2 ......X....".... + 3900: 008e58e6 00000000 00000008 008e58e6 ..X...........X. + 3910: 00000006 000200a2 008e58ec 0000002f ..........X..../ + 3920: 000200a2 008e591b 00000000 00000008 ......Y......... + 3930: 004e85f8 00000030 00002804 008e591c .N.....0..(...Y. + 3940: 00000005 00020082 008e5921 00000000 ..........Y!.... + 3950: 00002808 008e5924 0000003e 00020082 ..(...Y$...>.... + 3960: 008e5962 00000002 000200a2 008e5964 ..Yb..........Yd + 3970: 00000000 00002808 008e5964 00000010 ......(...Yd.... + 3980: 00020082 008e5974 00000000 00002808 ......Yt......(. + 3990: 008e5974 00000022 00020082 008e5996 ..Yt..."......Y. + 39a0: 00000027 000200a2 008e59bd 00000002 ...'......Y..... + 39b0: 00020092 008e59bf 00000000 00002808 ......Y.......(. + 39c0: 008e59c0 00000017 00020082 008e59d7 ..Y...........Y. + 39d0: 00000000 00002808 008e59d8 0000000d ......(...Y..... + 39e0: 00020082 008e59e5 00000049 000200a2 ......Y....I.... + 39f0: 008e5a2e 00000000 00000008 008e5a2e ..Z...........Z. + 3a00: 0000000f 000200a2 008e5a3d 00000000 ..........Z=.... + 3a10: 00000008 008e5a3d 00000013 000200a2 ......Z=........ + 3a20: 008e5a50 00000000 00002808 008e5a50 ..ZP......(...ZP + 3a30: 00000015 00020082 008e5a65 0000000d ..........Ze.... + 3a40: 000200a2 008e5a72 00000000 00000008 ......Zr........ + 3a50: 008e5a72 00000022 000200a2 008e5a94 ..Zr..."......Z. + 3a60: 0000000a 000200a2 008e5a9e 00000000 ..........Z..... + 3a70: 00000008 008e5a9e 00000009 000200a2 ......Z......... + 3a80: 008e5aa7 00000000 00002808 008e5aa8 ..Z.......(...Z. + 3a90: 0000000e 00020082 008e5ab6 0000006d ..........Z....m + 3aa0: 000200a2 008e5b23 00000000 00000008 ......[#........ + 3ab0: 008e5b23 0000000c 000200a2 008e5b2f ..[#..........[/ + 3ac0: 00000028 000200a2 008e5b57 00000000 ...(......[W.... + 3ad0: 00000008 008e5b57 0000000f 000200a2 ......[W........ + 3ae0: 008e5b66 00000000 00002808 008e5b68 ..[f......(...[h + 3af0: 00000005 00020082 008e5b6d 00000000 ..........[m.... + 3b00: 00002808 008e5b70 0000001a 00020082 ..(...[p........ + 3b10: 008e5b8a 00000000 00000008 008e5b8a ..[...........[. + 3b20: 00000004 000200a2 008e5b8e 00000000 ..........[..... + 3b30: 00002808 008e5b90 00000017 00020082 ..(...[......... + 3b40: 008e5ba7 00000000 00000008 008e5ba7 ..[...........[. + 3b50: 0000000d 000200a2 008e5bb4 00000000 ..........[..... + 3b60: 00000008 008e5bb4 0000000b 000200a2 ......[......... + 3b70: 008e5bbf 00000000 00002808 008e5bc0 ..[.......(...[. + 3b80: 00000017 00020082 008e5bd7 00000000 ..........[..... + 3b90: 00000008 008e5bd7 00000010 000200a2 ......[......... + 3ba0: 008e5be7 00000000 00000008 008e5be7 ..[...........[. + 3bb0: 0000000f 000200a2 008e5bf6 00000000 ..........[..... + 3bc0: 00002808 008e5bf8 00000029 00020082 ..(...[....).... + 3bd0: 008e5c21 00000000 00000008 008e5c21 ..\!..........\! + 3be0: 0000002a 000200a2 008e5c4b 0000000b ...*......\K.... + 3bf0: 000200a2 008e5c56 00000000 00002808 ......\V......(. + 3c00: 008e5c58 00000050 00020082 008e5ca8 ..\X...P......\. + 3c10: 00000000 00002808 008e5ca8 00000015 ......(...\..... + 3c20: 00020082 008e5cbd 00000013 000200a2 ......\......... + 3c30: 008e5cd0 00000013 000200a2 008e5ce3 ..\...........\. + 3c40: 00000002 000200a2 008e5ce5 00000000 ..........\..... + 3c50: 00000008 008e5ce5 0000000a 000200a2 ......\......... + 3c60: 008e5cef 00000000 00000008 004e8628 ..\..........N.( + 3c70: 0000004c 00002804 008e5cf0 00000010 ...L..(...\..... + 3c80: 00020082 008e5d00 00000000 00000008 ......]......... + 3c90: 008e5d00 0000001b 000200a2 008e5d1b ..]...........]. + 3ca0: 00000000 00000008 008e5d1b 0000000e ..........]..... + 3cb0: 000200a2 008e5d29 00000000 00002808 ......])......(. + 3cc0: 008e5d2c 0000008a 00020082 008e5db6 ..],..........]. + 3cd0: 00000000 00000008 008e5db6 00000008 ..........]..... + 3ce0: 000200a2 008e5dbe 00000000 00002808 ......].......(. + 3cf0: 008e5dc0 0000003d 00020082 008e5dfd ..]....=......]. + 3d00: 0000005a 000200a2 008e5e57 00000000 ...Z......^W.... + 3d10: 00000008 008e5e57 00000008 000200a2 ......^W........ + 3d20: 008e5e5f 00000000 00000008 004e8678 ..^_.........N.x + 3d30: 00000004 00002804 008e5e60 00000015 ......(...^`.... + 3d40: 00020082 008e5e75 00000000 00002808 ......^u......(. + 3d50: 008e5e78 00000038 00020082 008e5eb0 ..^x...8......^. + 3d60: 00000000 00002808 008e5eb0 00000018 ......(...^..... + 3d70: 00020082 008e5ec8 00000000 00002808 ......^.......(. + 3d80: 008e5ec8 0000001f 00020082 008e5ee7 ..^...........^. + 3d90: 00000003 00020092 008e5eea 00000000 ..........^..... + 3da0: 00000008 008e5eea 0000000c 000200a2 ......^......... + 3db0: 008e5ef6 00000000 00002808 008e5ef8 ..^.......(...^. + 3dc0: 00000033 00020082 008e5f2b 00000001 ...3......_+.... + 3dd0: 00000008 008e5f2c 00000022 000200a2 ......_,...".... + 3de0: 008e5f4e 00000002 00020092 008e5f50 .._N.........._P + 3df0: 00000004 000200a2 008e5f54 00000007 .........._T.... + 3e00: 000200a2 008e5f5b 0000003e 000200a2 ......_[...>.... + 3e10: 008e5f99 00000000 00000008 008e5f99 .._..........._. + 3e20: 0000001b 000200a2 008e5fb4 00000005 .........._..... + 3e30: 000200a2 008e5fb9 00000000 00000008 ......_......... + 3e40: 008e5fb9 0000001e 000200a2 008e5fd7 .._..........._. + 3e50: 00000000 00002808 008e5fd8 00000022 ......(..._...." + 3e60: 00020082 008e5ffa 00000000 00002808 ......_.......(. + 3e70: 008e5ffc 000000c2 00020082 008e60be .._...........`. + 3e80: 00000000 00002808 008e60c0 0000001a ......(...`..... + 3e90: 00020082 008e60da 00000014 000200a2 ......`......... + 3ea0: 008e60ee 0000000b 000200a2 008e60f9 ..`...........`. + 3eb0: 00000000 00002808 008e60fc 00000016 ......(...`..... + 3ec0: 00020082 008e6112 00000000 00002808 ......a.......(. + 3ed0: 008e6114 0000002e 00020082 008e6142 ..a...........aB + 3ee0: 0000000c 000200a2 008e614e 00000000 ..........aN.... + 3ef0: 00000008 008e614e 00000007 000200a2 ......aN........ + 3f00: 008e6155 00000004 000200b2 008e6159 ..aU..........aY + 3f10: 00000000 00002808 008e615c 00000018 ......(...a\.... + 3f20: 00020082 008e6174 00000016 000200a2 ......at........ + 3f30: 008e618a 0000000f 000200a2 008e6199 ..a...........a. + 3f40: 00000000 00002808 008e619c 00000019 ......(...a..... + 3f50: 00020082 008e61b5 00000002 00020092 ......a......... + 3f60: 008e61b7 00000000 00000008 008e61b7 ..a...........a. + 3f70: 00000004 000200a2 008e61bb 00000000 ..........a..... + 3f80: 00002808 008e61bc 00000052 00020082 ..(...a....R.... + 3f90: 008e620e 00000031 000200a2 008e623f ..b....1......b? + 3fa0: 00000000 00000008 008e623f 0000001e ..........b?.... + 3fb0: 000200a2 008e625d 00000007 000200a2 ......b]........ + 3fc0: 008e6264 00000000 00000008 004e867c ..bd.........N.| + 3fd0: 00000054 00002804 008e6264 00000023 ...T..(...bd...# + 3fe0: 00020082 008e6287 00000000 00002808 ......b.......(. + 3ff0: 008e6288 00000014 00020082 008e629c ..b...........b. + 4000: 00000002 00020092 008e629e 00000000 ..........b..... + 4010: 00002808 008e62a0 00000005 00020082 ..(...b......... + 4020: 008e62a5 00000000 00002808 008e62a8 ..b.......(...b. + 4030: 00000010 00020082 008e62b8 0000003e ..........b....> + 4040: 000200a2 008e62f6 00000000 00000008 ......b......... + 4050: 008e62f6 00000005 000200a2 008e62fb ..b...........b. + 4060: 00000000 00000008 008e62fb 00000002 ..........b..... + 4070: 000200a2 008e62fd 00000000 00002808 ......b.......(. + 4080: 008e6300 00000017 00020082 008e6317 ..c...........c. + 4090: 0000000b 000200a2 008e6322 00000000 ..........c".... + 40a0: 00002808 008e6324 00000010 00020082 ..(...c$........ + 40b0: 008e6334 00000000 00002808 008e6334 ..c4......(...c4 + 40c0: 0000000f 00020082 008e6343 00000004 ..........cC.... + 40d0: 000200a2 008e6347 00000000 00000008 ......cG........ + 40e0: 004e86d0 0000001c 00002804 008e6348 .N........(...cH + 40f0: 00000034 00020082 008e637c 00000000 ...4......c|.... + 4100: 00000008 008e637c 00000004 000200a2 ......c|........ + 4110: 008e6380 00000000 00000008 008e6380 ..c...........c. + 4120: 00000029 000200a2 008e63a9 00000000 ...)......c..... + 4130: 00000008 004e86ec 00000010 00002804 .....N........(. + 4140: 008e6950 00000005 00020082 008e6955 ..iP..........iU + 4150: 00000000 00000008 008e63ac 00000005 ..........c..... + 4160: 00020082 008e63b1 00000000 00002808 ......c.......(. + 4170: 008e63b4 00000020 00020082 008e63d4 ..c.... ......c. + 4180: 00000003 00020182 008e63d7 00000029 ..........c....) + 4190: 00020082 008e6400 00000025 000200a2 ......d....%.... + 41a0: 008e6425 00000000 00000008 004e86fc ..d%.........N.. + 41b0: 00000000 00002804 008e6958 00000008 ......(...iX.... + 41c0: 00020082 008e6960 00000000 00002808 ......i`......(. + 41d0: 008e6960 0000000c 00020082 008e696c ..i`..........il + 41e0: 00000002 000200a2 008e696e 00000000 ..........in.... + 41f0: 00002808 008e6970 0000000a 00020082 ..(...ip........ + 4200: 008e697a 00000000 00000008 008e697a ..iz..........iz + 4210: 00000004 000200a2 008e697e 00000000 ..........i~.... + 4220: 00000008 004e87a4 00000000 00002904 .....N........). + 4230: 004e87a8 00000000 00002904 004e87ac .N........)..N.. + 4240: 00000000 00002904 004e87b0 00000000 ......)..N...... + 4250: 00002904 004e87b2 00000000 00001904 ..)..N.......... + 4260: 004e87b4 00000000 00002904 004e87b6 .N........)..N.. + 4270: 00000000 00001904 004e87b8 00000000 .........N...... + 4280: 00002904 004e87ba 00000000 00001904 ..)..N.......... + 4290: 004e87bc 00000000 00002904 004e87be .N........)..N.. + 42a0: 00000000 00001904 004e87c0 00000000 .........N...... + 42b0: 00002904 004e87c2 00000000 00001904 ..)..N.......... + 42c0: 004e87c4 00000000 00002904 004e87c8 .N........)..N.. + 42d0: 00000000 00002904 004e87cc 00000000 ......)..N...... + 42e0: 00002904 004e87d0 00000000 00002904 ..)..N........). + 42f0: 004e87d4 00000000 00002904 004e87d8 .N........)..N.. + 4300: 00000000 00002904 004e87dc 00000000 ......)..N...... + 4310: 00002904 004e87e0 00000000 00002904 ..)..N........). + 4320: 004e87e4 00000000 00002904 004e87e6 .N........)..N.. + 4330: 00000000 00001904 004e87e8 00000000 .........N...... + 4340: 00002904 004e87ec 00000000 00002904 ..)..N........). + 4350: 004e87f0 00000000 00002904 004e87f4 .N........)..N.. + 4360: 00000000 00002904 004e87f6 00000000 ......)..N...... + 4370: 00001904 004e87f8 00000000 00002904 .....N........). + 4380: 004e87fc 00000000 00002904 004e8800 .N........)..N.. + 4390: 00000000 00002904 004e8804 00000000 ......)..N...... + 43a0: 00002904 004e8808 00000000 00002904 ..)..N........). + 43b0: 004e880c 00000000 00002904 004e8810 .N........)..N.. + 43c0: 00000000 00002904 004e8814 00000000 ......)..N...... + 43d0: 00002904 004e8818 00000000 00002904 ..)..N........). + 43e0: 004e881c 00000000 00002904 004e8820 .N........)..N. + 43f0: 00000000 00002904 004e8824 00000000 ......)..N.$.... + 4400: 00002904 004e8828 00000000 00002904 ..)..N.(......). + 4410: 004e882c 00000000 00002904 004e8830 .N.,......)..N.0 + 4420: 00000000 00002904 004e8834 00000000 ......)..N.4.... + 4430: 00002804 008e6428 0000004d 00020082 ..(...d(...M.... + 4440: 008e6475 00000000 00000008 008e6475 ..du..........du + 4450: 00000014 000200a2 008e6489 00000000 ..........d..... + 4460: 00000008 008e6489 00000024 000200a2 ......d....$.... + 4470: 008e64ad 00000000 00000008 008e64ad ..d...........d. + 4480: 00000035 000200a2 008e64e2 00000002 ...5......d..... + 4490: 00000008 008e64e4 00000018 000200a2 ......d......... + 44a0: 008e64fc 00000000 00000008 008e64fc ..d...........d. + 44b0: 00000004 000200a2 008e6500 00000002 ..........e..... + 44c0: 00000008 008e6502 0000000b 00020082 ......e......... + 44d0: 008e650d 0000000b 000200a2 008e6518 ..e...........e. + 44e0: 00000021 000200a2 008e6539 00000000 ...!......e9.... + 44f0: 00002808 008e653c 00000023 00020082 ..(...e<...#.... + 4500: 008e655f 00000000 00000008 004e86fc ..e_.........N.. + 4510: 00000004 00002804 008e6980 00000008 ......(...i..... + 4520: 00020082 008e6988 00000000 00000008 ......i......... + 4530: 008e6988 00000008 00020082 008e6990 ..i...........i. + 4540: 00000000 00000008 008e6990 00000008 ..........i..... + 4550: 00020082 008e6998 00000000 00000008 ......i......... + 4560: 008e6998 00000031 00020002 008e69c9 ..i....1......i. + 4570: 00000006 00020022 008e69cf 00000005 ......."..i..... + 4580: 00020012 008e69d4 00000008 00020022 ......i........" + 4590: 008e69dc 00000000 00000008 008e69dc ..i...........i. + 45a0: 0000000a 00020022 008e69e6 00000002 ......."..i..... + 45b0: 00000008 008e69e8 0000000c 00020022 ......i........" + 45c0: 008e69f4 00000001 00000008 008e69f5 ..i...........i. + 45d0: 00000003 00020022 008e69fc 00000004 ......."..i..... + 45e0: 00020022 008e6a00 00000000 00000008 ..."..j......... + 45f0: 008e6a00 0000002a 00020002 008e6a2a ..j....*......j* + 4600: 00000003 00020022 008e6a2d 00000006 ......."..j-.... + 4610: 00020022 008e6a33 00000006 00020022 ..."..j3......." + 4620: 008e6a39 00000002 00020022 008e6a3b ..j9......."..j; + 4630: 00000001 00000008 008e6a3c 00000005 ..........j<.... + 4640: 00020022 008e6a45 00000004 00020022 ..."..jE......." + 4650: 008e6a49 00000000 00000008 008e6a4c ..jI..........jL + 4660: 00000028 00020002 008e6a74 00000006 ...(......jt.... + 4670: 00020022 008e6a7a 00000006 00020012 ..."..jz........ + 4680: 008e6a80 00000002 00020022 008e6a82 ..j........"..j. + 4690: 00000000 00000008 008e6a82 00000004 ..........j..... + 46a0: 00020022 008e6a86 00000002 00000008 ..."..j......... + 46b0: 008e6a88 00000007 00020022 008e6a8f ..j........"..j. + 46c0: 00000002 00000008 008e6a91 00000003 ..........j..... + 46d0: 00020022 008e6a98 00000004 00020022 ..."..j........" + 46e0: 008e6a9c 00000000 00000008 008e6a9c ..j...........j. + 46f0: 00000023 00020002 008e6abf 00000003 ...#......j..... + 4700: 00020022 008e6ac2 00000006 00020022 ..."..j........" + 4710: 008e6ac8 00000002 00020022 008e6aca ..j........"..j. + 4720: 00000002 00000008 008e6acc 00000005 ..........j..... + 4730: 00020022 008e6ad5 00000004 00020022 ..."..j........" + 4740: 008e6ad9 00000000 00000008 008e6adc ..j...........j. + 4750: 0000003b 00020082 008e6b17 00000004 ...;......k..... + 4760: 000200a2 008e6b1b 00000001 00000008 ......k......... + 4770: 008e6b1c 00000005 000200a2 008e6b21 ..k...........k! + 4780: 00000000 00000008 008e6b25 0000000d ..........k%.... + 4790: 000200a2 008e6b32 00000002 00020092 ......k2........ + 47a0: 008e6b34 00000000 00002808 008e6b34 ..k4......(...k4 + 47b0: 00000003 000201a2 008e6b37 0000000e ..........k7.... + 47c0: 00020082 008e6b45 00000003 00020182 ......kE........ + 47d0: 008e6b48 00000003 000201a2 008e6b4b ..kH..........kK + 47e0: 00000016 00020082 008e6b61 00000000 ..........ka.... + 47f0: 00002808 008e6b64 00000006 00020082 ..(...kd........ + 4800: 008e6b6a 00000006 00020182 008e6b70 ..kj..........kp + 4810: 00000006 000200a2 008e6b76 00000003 ..........kv.... + 4820: 00020182 008e6b79 00000019 00020082 ......ky........ + 4830: 008e6b92 0000000f 00020092 008e6ba1 ..k...........k. + 4840: 0000000b 000200a2 008e6bac 00000000 ..........k..... + 4850: 00000008 008e6bac 00000010 000200a2 ......k......... + 4860: 008e6bbc 00000000 00000008 008e6bbc ..k...........k. + 4870: 0000000f 000200a2 008e6bcb 00000001 ..........k..... + 4880: 00000008 008e6bcc 00000006 000200a2 ......k......... + 4890: 008e6bd2 00000002 000200a2 008e6bd4 ..k...........k. + 48a0: 00000000 00002808 008e6bd4 00000003 ......(...k..... + 48b0: 000201a2 008e6bd7 00000030 00020082 ......k....0.... + 48c0: 008e6c07 00000019 00020092 008e6c20 ..l...........l + 48d0: 00000010 000200a2 008e6c30 0000000a ..........l0.... + 48e0: 000200a2 008e6c3a 00000002 00000008 ......l:........ + 48f0: 008e6c3c 00000015 000200a2 008e6c51 ..l<..........lQ + 4900: 00000000 00000008 008e6c51 00000008 ..........lQ.... + 4910: 000200a2 008e6c59 00000000 00000008 ......lY........ + 4920: 008e6c5c 00000027 00020082 008e6c83 ..l\...'......l. + 4930: 00000004 00020092 008e6c87 00000001 ..........l..... + 4940: 00000008 008e6c88 0000000c 00020082 ......l......... + 4950: 008e6c94 0000001b 000200a2 008e6caf ..l...........l. + 4960: 0000006f 00020092 008e6d1e 00000000 ...o......m..... + 4970: 00000008 008e6d1e 00000038 000200a2 ......m....8.... + 4980: 008e6d56 00000051 00020092 008e6da7 ..mV...Q......m. + 4990: 00000002 00020092 008e6da9 0000001b ..........m..... + 49a0: 000200a2 008e6dc4 00000052 00020092 ......m....R.... + 49b0: 008e6e16 00000008 00020092 008e6e1e ..n...........n. + 49c0: 00000000 00000008 008e6e21 00000008 ..........n!.... + 49d0: 000200a2 008e6e29 00000002 00020092 ......n)........ + 49e0: 008e6e2b 00000000 00002808 008e6e2c ..n+......(...n, + 49f0: 0000000a 000200a2 008e6e36 00000003 ..........n6.... + 4a00: 00020182 008e6e39 0000000e 000200a2 ......n9........ + 4a10: 008e6e47 00000000 00002808 008e6e48 ..nG......(...nH + 4a20: 00000014 00020082 008e6e5c 00000006 ..........n\.... + 4a30: 00020182 008e6e62 00000011 000200a2 ......nb........ + 4a40: 008e6e73 0000000a 00020092 008e6e7d ..ns..........n} + 4a50: 00000007 000200a2 008e6e84 00000008 ..........n..... + 4a60: 000200a2 008e6e8c 00000006 000200a2 ......n......... + 4a70: 008e6e92 00000002 000200a2 008e6e94 ..n...........n. + 4a80: 00000000 00000008 008e6560 0000004a ..........e`...J + 4a90: 00020082 008e65aa 00000000 00002808 ......e.......(. + 4aa0: 008e65ac 00000002 000201a2 008e65ae ..e...........e. + 4ab0: 00000013 00020082 008e65c1 00000005 ..........e..... + 4ac0: 000200b2 008e65c6 00000000 00002808 ......e.......(. + 4ad0: 008e65c8 00000033 000201a2 008e65fb ..e....3......e. + 4ae0: 00000027 000201b2 008e6622 00000015 ...'......f".... + 4af0: 00020082 008e6637 00000004 000200b2 ......f7........ + 4b00: 008e663b 00000001 00000008 008e663c ..f;..........f< + 4b10: 0000000d 000200a2 008e6649 00000000 ..........fI.... + 4b20: 00000008 008e6649 00000004 000200a2 ......fI........ + 4b30: 008e664d 00000000 00000008 008e664d ..fM..........fM + 4b40: 0000001b 000200a2 008e6668 00000005 ..........fh.... + 4b50: 000200a2 008e666d 00000000 00000008 ......fm........ + 4b60: 008e666d 0000000b 000200a2 008e6678 ..fm..........fx + 4b70: 00000000 00000008 004e8700 00000010 .........N...... + 4b80: 00002804 008e6678 00000018 00020082 ..(...fx........ + 4b90: 008e6690 00000008 000200a2 008e6698 ..f...........f. + 4ba0: 00000001 00000008 008e6699 0000000f ..........f..... + 4bb0: 000200a2 008e66a8 00000015 000200a2 ......f......... + 4bc0: 008e66bd 00000002 000200a2 008e66bf ..f...........f. + 4bd0: 00000000 00002808 008e66c0 00000002 ......(...f..... + 4be0: 000201a2 008e66c2 00000017 00020082 ......f......... + 4bf0: 008e66d9 00000002 000200b2 008e66db ..f...........f. + 4c00: 00000001 00000008 008e66dc 00000007 ..........f..... + 4c10: 000200a2 008e66e3 00000001 00000008 ......f......... + 4c20: 008e66e4 00000008 000200a2 008e66ec ..f...........f. + 4c30: 00000000 00000008 008e66ec 0000000d ..........f..... + 4c40: 000200a2 008e66f9 00000000 00002808 ......f.......(. + 4c50: 008e66fc 00000002 00020182 008e66fe ..f...........f. + 4c60: 00000010 00020082 008e670e 00000002 ..........g..... + 4c70: 000200b2 008e6710 00000000 00000008 ......g......... + 4c80: 004e8710 00000000 00002804 008e6710 .N........(...g. + 4c90: 0000001b 00020082 008e672b 00000001 ..........g+.... + 4ca0: 00000008 008e672c 0000000a 000200a2 ......g,........ + 4cb0: 008e6736 00000011 000200a2 008e6747 ..g6..........gG + 4cc0: 00000000 00002808 008e6748 00000002 ......(...gH.... + 4cd0: 000201a2 008e674a 00000013 00020082 ......gJ........ + 4ce0: 008e675d 00000002 000200b2 008e675f ..g]..........g_ + 4cf0: 00000005 000200a2 008e6764 00000000 ..........gd.... + 4d00: 00000008 008e6764 00000007 000200a2 ......gd........ + 4d10: 008e676b 00000001 00000008 008e676c ..gk..........gl + 4d20: 00000007 000200a2 008e6773 00000000 ..........gs.... + 4d30: 00000008 004e8710 00000000 00002804 .....N........(. + 4d40: 008e6774 0000000f 00020082 008e6783 ..gt..........g. + 4d50: 00000028 000200a2 008e67ab 00000003 ...(......g..... + 4d60: 00020092 008e67ae 00000000 00000008 ......g......... + 4d70: 008e67ae 00000004 000200a2 008e67b2 ..g...........g. + 4d80: 00000002 00000008 008e67b4 00000005 ..........g..... + 4d90: 00020082 008e67b9 00000000 00000008 ......g......... + 4da0: 008e67b9 0000000b 000200a2 008e67c4 ..g...........g. + 4db0: 00000000 00000008 008e67c4 00000015 ..........g..... + 4dc0: 000200a2 008e67d9 00000000 00000008 ......g......... + 4dd0: 008e67d9 00000021 000200a2 008e67fa ..g....!......g. + 4de0: 00000000 00000008 008e67fa 00000004 ..........g..... + 4df0: 000200a2 008e67fe 00000000 00000008 ......g......... + 4e00: 008e67fe 00000004 000200a2 008e6802 ..g...........h. + 4e10: 00000000 00000008 004e8710 00000004 .........N...... + 4e20: 00002804 008e6804 00000014 000200a2 ..(...h......... + 4e30: 008e6818 00000021 000200a2 008e6839 ..h....!......h9 + 4e40: 00000000 00000008 008e6839 00000002 ..........h9.... + 4e50: 000200a2 008e683b 00000000 00002808 ......h;......(. + 4e60: 008e683c 0000001a 00020082 008e6856 ..h<..........hV + 4e70: 00000008 000200a2 008e685e 00000000 ..........h^.... + 4e80: 00000008 008e685e 00000008 000200a2 ......h^........ + 4e90: 008e6866 0000000f 000200a2 008e6875 ..hf..........hu + 4ea0: 00000008 00020092 008e687d 0000000b ..........h}.... + 4eb0: 000200a2 008e6888 00000002 000200a2 ......h......... + 4ec0: 008e688a 00000002 00000008 008e688c ..h...........h. + 4ed0: 0000000d 000200a2 008e6899 00000015 ..........h..... + 4ee0: 000200a2 008e68ae 00000000 00002808 ......h.......(. + 4ef0: 008e68b0 00000002 000201a2 008e68b2 ..h...........h. + 4f00: 0000001d 00020082 008e68cf 00000003 ..........h..... + 4f10: 00020092 008e68d2 00000000 00000008 ......h......... + 4f20: 008e68d2 0000000c 000200a2 008e68de ..h...........h. + 4f30: 00000002 00000008 008e68e0 0000000e ..........h..... + 4f40: 000200a2 008e68ee 00000002 00000008 ......h......... + 4f50: 008e68f0 00000013 000200a2 008e6903 ..h...........i. + 4f60: 00000000 00002808 008e6904 00000002 ......(...i..... + 4f70: 000201a2 008e6906 00000014 00020082 ......i......... + 4f80: 008e691a 00000003 000200b2 008e691d ..i...........i. + 4f90: 00000000 00000008 008e691d 00000002 ..........i..... + 4fa0: 000200a2 008e691f 00000000 00000008 ......i......... + 4fb0: 004e8718 00000000 00002804 .N........(. +Disassembly of section .xt.lit: + +00000000 <.xt.lit>: + 0: 008e0004 00000018 ........ +Disassembly of section .xtensa.info: + +00000000 <.xtensa.info>: + 0: 0000000c 0000019c 00000001 5874656e ............Xten + 10: 73615f49 6e666f00 48575f43 4f4e4649 sa_Info.HW_CONFI + 20: 47494430 3d307863 32383064 6266660a GID0=0xc280dbff. + 30: 48575f43 4f4e4649 47494431 3d307830 HW_CONFIGID1=0x0 + 40: 64303232 3330660a 4255494c 445f554e d02230f.BUILD_UN + 50: 49515545 5f49443d 30783030 30323233 IQUE_ID=0x000223 + 60: 30660a41 42493d30 0a555345 5f414253 0f.ABI=0.USE_ABS + 70: 4f4c5554 455f4c49 54455241 4c533d31 OLUTE_LITERALS=1 + 80: 0a48575f 56455253 494f4e3d 224c5832 .HW_VERSION="LX2 + 90: 2e312e30 220a4857 5f4d494e 5f564552 .1.0".HW_MIN_VER + a0: 53494f4e 5f4d414a 4f523d32 3231300a SION_MAJOR=2210. + b0: 48575f4d 494e5f56 45525349 4f4e5f4d HW_MIN_VERSION_M + c0: 494e4f52 3d300a48 575f4d41 585f5645 INOR=0.HW_MAX_VE + d0: 5253494f 4e5f4d41 4a4f523d 32323130 RSION_MAJOR=2210 + e0: 0a48575f 4d41585f 56455253 494f4e5f .HW_MAX_VERSION_ + f0: 4d494e4f 523d300a 52454c45 4153455f MINOR=0.RELEASE_ + 100: 4e414d45 3d225242 2d323030 372e3222 NAME="RB-2007.2" + 110: 0a52454c 45415345 5f564552 53494f4e .RELEASE_VERSION + 120: 3d22372e 312e3022 0a52454c 45415345 ="7.1.0".RELEASE + 130: 5f4d414a 4f523d37 3031300a 52454c45 _MAJOR=7010.RELE + 140: 4153455f 4d494e4f 523d300a 434f5245 ASE_MINOR=0.CORE + 150: 5f4e414d 453d224d 61677069 655f5030 _NAME="Magpie_P0 + 160: 220a5449 455f4348 45434b53 554d5f30 ".TIE_CHECKSUM_0 + 170: 3d300a54 49455f43 4845434b 53554d5f =0.TIE_CHECKSUM_ + 180: 313d300a 5449455f 43484543 4b53554d 1=0.TIE_CHECKSUM + 190: 5f323d30 0a544945 5f434845 434b5355 _2=0.TIE_CHECKSU + 1a0: 4d5f333d 300a5444 4b5f5041 54483d22 M_3=0.TDK_PATH=" + 1b0: 220a0000 "... diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.out b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/asic/rom.asic.out new file mode 100755 index 0000000000000000000000000000000000000000..3cad1cfa31d7daa895e091423412f93d7c9d5d15 GIT binary patch literal 760281 zcmb?^30PD|)^^?AXqpC^W)T|D?n~3$UVvtCOH2Z!BbqphD8>w#1Z5d8YKS{3ih$ZI z5@b;}wZR=tqz!S*Hn|{)Xht41#G-oCwV#uAJh&%z9Aq_%ID)Eb2PKcP0RH7vTgd-*rC!`Y*5pdji zA0v)BsXGn%iRfd}AO{W{VV1ew-RY89q(Pw-93e6wQFm8HNz{LjdT*5i-H!?v}5bGz20Y?x*wDlLi$L9C@OJ z2<~qF`C2l!f(VYN781eToxZx1WPvhu#23%NZvapo(aM6`JVX}!^QPbsK?v3J_tGzs zxj>_ikUx2x1ilbKBk3=XL_tP5VOh2A290PS`DE@+Ey=DB@OVN#OH#Z3Df(TKD2%9G z{|I&cBh2+r-ui)7TEyfL9nEvsPclUc%XlyFy}-3eAwHQQ(iEkS5^`S_b#J~hagb!k7Cy`k+#FG#rkz7s+AyUbENm=3x#0Od=@)oIYi%ikt3#W})a-pa)m%w6;!oAz8v$r6TL9*?t9C^4P~+Qc;qKSVhhx zXNp*qE=o~WStVjCiII>LjriOGi5RBgb48_#DwU)v6loA`l)O9Z-I;`JTlj9;ww!n7 zY+LlstZk3JV;jwTCvCL!?Kz_rZ_gTSeA_nacsp&hZ)DCWKQe3d(ui&J#z@-eO-7tP zoC=Y|K}z=VQoW*78VsWiljJC4vbFkbUGu`0oS1A@pB>Y@sO3?yrBM>!D2{58M7M|& z8YPL1;)yMiNiCHNt8%IrZGV*ZP$-v(vA&S9+NczXtiy&rRwPOi6KiFN*qKxnTBxQ`QyZABJq8m9}N_Jp2Tabe~tv2_p$A{V6a>z z`{d?m;Q2)4p!`}VsEM31{XDE$)f9wSHBrzUdXYr$yId6z7)JQfDB|b;UrGKVYap@i zi|}Wx2Q>R41I5-|`~meRs)m8UOJr9>gXY;te^F8ZNeX0=>eVWuj3x@DILRN4^pdat z6fzn}z+WGkVkd9A!e>AQ6L zXdt&v&#sG=FH`bb1r76Bw*##)?YQ-tHrMrs%MO(Ha+qLZC{asT>jV^E&0=tAMSPfFpi&k%!YKbMDVhWfe5HI>qWnr3 z7${4;rS`w&w~J30WSB`J6!{1A3SDpfKnV$Ik%|OC3jtm&1W+whE~K^1#K8P$d-*pq zPr1WG{r_2J5550I=HR!uO3r4YA6=sT3jcYB6ip8NH z`hRKN7wq?iRYNo%tN6xn65bhAujMM$UF!BA&>0Oxy_awSc57{t@t!#))snLApyB6% z$5nz=Bv1qc(NS}w_9ikAk?28zk3=MM=O5AKx^7Vf@D4`KWVMDwA?J5;oKbvf?Fg>X zwyQ6z7Kd<5geZRO8?!>X;$`h~36@W{SoWw)pKdkn2}=C5B5{v8;nQsidxD408M{=M z9rk<2R3PS|{~gWl@5&ZU%LdLu*^<3w^K)92r>*`kSOfa4f=m-ZCYpq5$RudZe7PJ? z%jGS2A~pWR#PIXQ-O}P7RmnlWG3{cXwWERXmz5`HO9Y0kvI+EqKRQ5iJe6B zk%SE=F%iVrZf$$*z_0he9)CvF@lw}W{&*xYEe?KCP0akkaqZ&Z$9V_!a`_(WJ;ooS z-lP0U>gDjyQE%b6mOrvMINL3cP?MQsT8J;y^`JGBgc|-u4T#Mym$8hPr8YE>5<)EO zqHIKgN0`ho*I(CvFb>YXfcU|$S3i1=aGey@G&cwoyR+`(HbAKnuEwS zEh79PXZk%d#m{e^Ih4>$+4q_>Uw@a6cXX)KBsAtOja1(*+V&pC=f1;s$B1r-e&z1*Vr!nz{at#ri6&3touIob$Nj57g z2l!mq6%9!-E1LK>b6uA;B-N~F<41B`?`p_ov*Hj%cw0lJm=*o}aIWj3yB_${(PU}_ znXV?&D66JgJfp}xrltzzEe5bsq-fz$qb}KkmQNvKJ)1c#Q{om|IPk=QRIHbbsFfp#7HD(yZl}W zSD-%@kxu^A_Vdpw+9}-D$lrvQXsC!L4*-ZaY=bJiO4v3Us3B=!!w(?MVyO78jx{8d zeGE$|FcRkU2KYcx1DNWYB73qw&ml{e$)+$OsdOAP@csX%p`d zkS7SpUjjFnOpPQqmH%c`Z;Bq`~;p?96U8`cOE~%?(O#@7m_T02t*wY zlp@)TDnxNcGkx1T;O%47OVy61Aqv?WH8*+wTiEMMPw#uv%TroKE95=kBgAro8fY37FV zJTfWQ)iH4BNAw})BX0WqOYS_9JH3OU+?*U%GGDGCb6`q&B&cKo;GYSwR72(h82Dkq zy?Vdm5IXRA<5`gL-6#1IKi~|WBg@<+$i4kPxvte*G97^U=YEWr>zY3rSh7HrM|PCV z$Frg&dp4ImUqiAY$$aGDk{u>RfdCx#0vOM-g%|(}04xrQ;~k5GipErngIWt6t83K- zj+K56^kXGCMSSlMmg#ldl6mV3{! zI5<{(Ou4G+AA%f8S{^OhZZ{NpTeUWi%<8ND3#Bf?%?|nQMz{XFCj?v0eIoG5d7~ zghC*XhO7uBD|yzD}r&0+Jt92r=Xn!x2PG|E@hp}G+qhW9I|W>q`4u1I z0liSC;lxA#;V-Ciw?wPpS6pR&08pt}?)3X%S(K1W#1~p71 zeom{yxU||`SzN}w1BZ-7)!;ZZ^b^>4-nfK_9|#>u)>9%qJN<-l9W0GUcDlQAh|rPu%buMA=u@+MuyIZ3A30VDqRyMWZm{X#cZQWwJPdN?cqk$fKt%x97HDpUL+14D>#$Z9RP*$lN8$F!2&)m~e?QjK4$~ z)(&9$K({Su8Nx|j1gX`Knn+>~CiTs+ZTfaYhptno5TsKzx$zn!$g>*K5J`3glikhw zwixu&-{E22sU}UJ&Q7WJ=JERBq`4jowieWte3&V#2^iDb?@`X4)2>4)^T-4ZX{93{ zd!3GfG>vZ*k|HqypdE|lOyz(BzUd5|0(k9XkG)Yt_7+y;HZ^u?Yr6{^*`Ti>ZFMY& z)$0UefW~QrzUp~9lL;M5;km0)6S8>L-lO!9WVl8+JV(>70)NGh?N zbUDpk2Wqm9)ydp&I5`wS4r)kGBwV1F z2*n!`1t$4||ugH=|EUCnql1DJe#ixP^XnvG+ zT{V_w2n%Avg84jN%ct!N?}%*I#HxdxDRK9?;!0hE+Y43?Z72(kvy#Dm&XlsUWI%kk zGo>RskC?_v{jy*L5d*`U1|@^<$A<;gMViz>CwfiKxl9fh5}jonij8GVl5T;@mf zjyhBJP0S-={>J`^iJLD424+6}-!XheTU1SyZ>PfN6<_14mw@L?X^%2(zNAoOt~~x( zdlb#UcSRW$%LhL$FVg|x*@@1Sgw2M6{{v33bOQz6F)9km zDDZ|wQ7UA4IbN|=$n!#iVuO&Wm%o`9rh>Dd3gDu9epxQ{iI%ufctSIQU>3`lqNN z9ZMTm4e-(ANUp2&sw0n-@y>#7F{ch1_-3r4-_C^vZTJ`Z`pjBQzH9DKOW7*T(4qCc zoo~FjiHb4tjW^a`Y>3}{tZ+0r-?e?{Qz{BGW$3ShBrSvcdFQ!-T~Qya1|3%~`5&3a zU*eX#R@8=k(nv-nc2Zw^dqiJv$E2rr|K6-t z?F=7&ajZ|s>MY44N>eFuu1C~|%G1#SJb99pXt0&u%;|862`u%gO(CaNxsDmnDOrC4>dlM1sR{SK_y>#J@gp zAbjL+;pAvrcuhE(JfBz4xUnYO)W|rCob83D{+@rkYv_edW!vK+)A0DE{_ALt}#=X=8UMtqDBzqdIwG0GYrrP>#CK*gsvt7{$g zH+KD|;nUpPpL)lx@5YxC!Q^DKu8sDJ!k89r)-m-uY~Ai+HH3DILO&isp0Cn{lT+xo zk54bF3*a);KNW}v9)(buQ{<#nK&d)=<`n) z62m4*Z+#| z1u^YF8{cjm(^j#$uCd{x(c}eQ*Ni11l|qpJ1$%`cw4fUq#Bk6# z9Y0GD1H1_E3L@qp#?(s8t;~hjqDX1)Ya7pnl@A6ZTNHT_NL=!x8UYyT5f&85be;R1 zyx-~aYy|n0hMWl|Fa2+GvvBh32=bbSyc$Vfi6Sotlh=i5Lre$Tsq6C8K``NYZIe|f zPg5G7)tuh?U~7iEeyZ3|q;4xt@~zHlW1F&u(^}|CNzhvrJAv>eNj}?4F`A@}WQ_){ zHOfX-w4hP@U(MRSpzz5r^IgBUFKEke!HUbB2fQk+d>Ws9LEp;O>S|)_+IDM849eHS zR$2=af8XMkBb*FHkaHR`7)b_#$s0eK!!*b6SuOfjZL4Q(xHvE?io6+4&e!mKpL`m3 zq3KxfuHF96DVL1~k2lsd79O~nM|>xe^8xpBeBblE?b;6A@ad+$(ZF$@ZrxE^oIu`0 zQLKF4aPC^2A4-r__~hS_3lR&evxbXW@|v~HD9P2*eAi!rA57l7qc=5Rt6h6x*W$qV zdTq^sqFwpa?w~Q=ey)aZ*EaKYLnvat>zY>&;pD9da#2GrM3UiPGV-JHVF4FH-lkI# zc54KAXH62FmU8S?KAVs6wJYV>^oYw-cFgDaDw;f{5={sIJHNdeMJRE`b6@s zkb23T8vk1%^$Q`dn!FoMF7b`VSkkU*Lp%8sPzQFgZd6L;W5KpU$h&Mh2`5vlGKTe( z_YQ$K=CxNN2v%|LN0RrV$mM7<8caTDHnhdI>pNmP*)H8acUx1F4|yxiXV}KmjRRqY zai7sp1=U89j~0`(2r}kLr+b7ZLuE{>B&JpBF2kMqF>O<{zJtkl2>EcuJ#yf38QV8L z>jDr##@U$_D>`VTDPw!tDVHGo{|hdNB6Cc}_N@8^`OWQ_k!2>GvY@@WM5jfUKaBv_$ck0u`nlh2yt68=t_{?ZcLX1Kd*C|{6pZ(_OO zW~yQue?eQU3oB*=i(^8H_0r;41y%)AC&C^K?AN>RGHmSA^OJdlBzYPl^@7f6UUe}W zthap2r+AZSUYd{gD4?$)zs+^s;LqZa!410k%CHHK^B4{J9JZk$zsq%f00}$jHc5@@ znZ17!(!TJfT?!_@|1KGaEC{q?VEY~XI#sUgEsnicn5zqv=#EGSQvU$IM&(|--;MqH z_ps@Hv4`)E3BTw?70N5fJOZIO_HE($8h?m)3efAA!%~QMa4gTCwk*4A%yFMd{dB(9 z_`^S-z_G7m1HenQ=MHpGfYjuVP$2Y*?JsWW66kUnZwmhKQMC%)$g@orsQ;JfdNe+P7gk(hmhKkSa@0Mh-V;paG(Cies8)9L&mjdF9) zq+YiK!Yn1daGv|z+Fd`RLRm|WCTxje@2mi zM3cWyBwtS=m}LH?O^*qIv5VUmx8HEUi~%b)ocycJ6iogng8X;8uG!+H5zdGr7)HaO z!*xZG+tK9aMDpz zZW)?J{9(WPZgaQv#~=7-+4mdYaE#HC@)a zvh`^!6z#gUmBKWD(v4&!_P#M~9j$DS%(4hZ4z+3+pGZa)#YnqUok1OHj@{E9>^>D| zpVu(Hz~|Ug8b%=ii1G6RN&pcIwAr-3=|IyyPz4_kZAl0tsj;@F2{xYGl;O4UU`B#@ zsfO{dOQB>a7*IDEU?>x)W>mE)D6Pp*Q8O97aZ!bU5L7oE2sP7blz|tb)OGhFitpZa zFCzD4MKQtWUk7A)R-}AuIp0*>wDI@Vsm8J( zBu)Gj{W8BSJ&P}WpXZhyLF^UBNH=I93pOxlXTmMb9(M?hrDOhggiJY-I+fFgC4ZJB zM{3EDS#o@aOZR4dkhSKe7tzE%;4f@ZL#0b;8|C}Q?7H7WeilE<;R3$RkJO{?Y4`)J*O%)-GABt6G$0GmPm^s(-2#ogyVPkaM zVNa@07SzeHzY?MfPyHr@QT|_X!We~q`8PCE9twY(^+OcJMiC#GP z6CC;Zg-^cbEfXKZS99Lp24#w1LW7wJ8YViDiHc$(qZ!RaCSnp39?pdQL|?;9LSMsZ zBbbSI_cbUqZAUB`k4|VC8ioyJ=opSTbM~8%lVi_%TPm<(gysUQ5W&8IRX5X%L|d^y zN-s1?AbIKR@S?c;0CnAD4HJvF?@%(fdr@fb;2x_PgWaNG;)WWFx6!Gh@sJ(67lI+s zW{zD^^LKb9mF|=&NHOcwHD=V<@#z%9-DZ$d|7&QP=+0ZG6wz`{d}>SiQ}?F7iJfyPb|rppr0?1RL^_LCVDE}Qfx~vc}SW^x{52+ zp4qZdTjk@v0;aFpn|rmC*Oe?0#pNX6z6N8wTB>;1*VMfg!kF}Rdvo($pAa(8hoD(s zhi9Cgo}Rd2i`KsN-G78J9De|D#v-0i3ujFH^%Y}*@`n|*4n&%Ys+=~Q3-WY=7+=Ik zF=hakB3f9QfnJ#~>+L(hXFbXjB9u9piCdZIo!hgil~9SNyc)(}?iTyiWESsEE^aau zH%~3zGp}SX8_8HYkC~keEX3F??H;+D#&h~TZo?AjZ9|MHV^He0`I&?HuIJr-DKw8H)IyB4 zl1q=|wHv1Tm28t6(=qaME1MX)IsgKDhPR|g1F)Q_;!jaxXL$A@wNOi*@_OiS)=FM$ zN|$WdIqluUH4mH9e2k6$3ja)g;ih&&{6kUZhoaRCM=4pW%Fc%^7Uu#^UrW@X*u>nrFYb&t3Gg)s)`ollBe zq8`fnFw4g`b7eWhTg7eD#jVq&YzS_?Ru%jB%!?~jOBah)7{kp;j46qnp3zm@pVC}R zUcoLr>Nbd|*kIwoF6`q`#p{-0E+nLPP2=y`hJ6hE^H8~P>5bd-sm z$=4Jcchimq%fLJmT#_VovNQSGVpBJbreuYQ$4q`?P1{o#ru$UORJnIW*hOdhxqwC z>9_sSSerP`CgN;N?Oya}{WiZ|amQYet!|?ukyUb!qN8nO5$^==uFg)O{|P7PRtyou zYOjc9k|r|NvKq6{17j#iK+B3E$rgjkI8tC5v6)9KW`o*f2)2x9EruYYA!IZ#f=P}y z1Sc5O;bh+=CNa?v#2Hliu1{&ZK|3~ei*O_D0xm~diw{mMK74=ik%x+p&EYR>?#?Yf zK9?Ua@Aju{fIFQ8W^@M6oz{CAGIJQI2bMQ%$y zG8ivx4q1B8NGXEl$e`IIRQg>sy!IHuq~O{v)Qcq;a7{YVxe8;^<_+EX=bW34m&NJC z8YUIXF!}nR@lq=8SNtf?*Ttc?31Q+2`W{0Xzg5EsgCXA)7s*VaWR`j_TNRZf$nQ1^ zLyJ)uTH@TW%}%@dsdUp+C${LMmRPm?m7q?JG8yc`LZpkf+;{=mB4kVu;CP#zE(@7y zK#XSSEZVZP+|wNum7hChcHfwT1b1%C6_xvJpmT1wEz3|^PNzW+gH31Lqo{bE%SeWZ zu^OOg7)!!N--N>?lDQ{}xpyKHPld2n@w*ch;<69!vltZdtZK!-Q~?Kk{kwdf?Un&z z`8&Y?&W+0X-2>ms?U~$fB|uQ@^Y!cUrO8)>3u&2o!cc~H-dARQBADEt~7x|4d z0b`6w&zSWzOO496Ces*8EyAvn+aHzN=~m;js=&ZUkGT?K#RymgQeBi(oyxB+6ZHWQ z8GSQPv>RjT=Fd-Mm2UYE`}T3rUX5At*fcudDS6I^%b-;`8u(FGzUzARAC;T+9<$#g(tmAWK3-tsDRH2T`HSe#V zaK4>d1DH)cF=&IY_h3?btU!-V-Rre?u-7YS7spy#BrIjmrnb24f{yvM+KvZnbnP}f z+xAdZO!Le;b358-G4d2R9@*uX#LTE4Mb5;VGx+AfgpjR`KF;6c#vwYek8WIOT+yyX zV*F{!Wgp)^vddxDW0<|-W4t15zm#}I*4~|XSR&|V#c?T+ET><;!-sYg1&-A<4okPB zVYhL&6ik)z^8(uCD?XxRcTxSspZRrvJO7?inSSw&;sI6h;Ll6Wsrla_CE*O8M|}9d z08Bi?<7ub(+?ikVpF}bAhHd;A-rb+@@t*!od@bO=PRQ_m`_CG7nI8toQPZ0heD8VP@;S_f_gWbrsCaFe`XW%rVwbnvNDvaSpZc z&mw{=;zOA<0I@~C=4ZiYt?`~KwyE(R8o7V{ok#C+xbOiSz4l;PW&oFMhOAsR`j~V8 zd@084t(kNp6{GFhFf{;xQQPC|OJ_?)eb1JuQZ8Eu#BvJI{jIGOp!;SuPn9$&fW@~M z^;kA2N_P9uP`62M-}}^t%uEDgv?nZzZ?{Yh0&Lcv@Lb8&pNK2elur#xQ2Y<#i*w=uddcgNfapa%{?^a&p=uWji4Z-JC$l5M$IHD%*>gRK$2n zR*Gn$WD|t+?@A`9AOnd1rih!T`tDtheCR**_DxfT@*P6??i9Trlj`q^K41}wJ`YP= zTK6dZmZ{Mu#kT_I6Yk60M*Rta^MlXHUwHk98i6qx@1=O&7vNSsVVpuQPOEYB zVk_xpk#1pkNIZA!cDza3xQVUybYzBVEN>#o+Vd+*4r-B-aJpLNzD|9n8o~I}^)mP6 zw=?y5WHomWZT#GsssR_pWve|iXhZe+j}T#Dt39Kdp<2UGV>6wp-*@6~((tAOwvN*wfy2ock0J@hIV)yKhr)0}MLA8k}S4?eX^==lb00pi@3- z8z!K8Z$WeHRMV95xYnq+*66ras$%SHn=}-N5E`PX>EimM|2TJ$^1WM;Ec%B`w=Tn& zxRQ*NbBBI}GRd8O?hT}vk@?QW#VK?W5m!*>maw=x*d+8*#=I8yuDr1T{gg%M*3Ee> zo;F0|-1QsI%nD{^e|Hug!OYh%S&_`VC}wUn^RtP}oJq_h!OX+qOm>^8-Doms%!UZz zt}ENDJ)jF`e$E*}CNT?xnFSM>oMeF6B@xWh_E?i4+-#U&F@(h%LK6%@F^1qogF2Wg zh%rQZ<>eRXEs0W_IFw-doGG=@Av=a{@6vVN0==T*kp8Dq-D51$8~;suU|f*jlpx9W zkfTNXYQypee2T;q$b6YB)2E2Ci7lY50(=4uL4K2ij^yz9v5(&`%Mpi>`BG`7EQccL z7rJFJDGuS2&M=} zV_2W`*$cOo74BZAVSb5aNn!U29Avzn>w1ZvjpVw7V~t$bYrMm(*pcfxhr~0vt^s=3 zVKLE5yLX^>?m$=g9<$=Fxvt}U&%o(i*Yo^A>Yb#qAaR;MNJ;eZJ$#=);>;cBwL8!d zf6%PBo$G4jpC5Q3*R_v--mIv~bsa?Bz9F8H_5e;V@GJh1S>eic)$ynJ{#@5?{*;ho zFFov`Il8>SAun)(KRkqjZbkUTTvs*Dbl_D{GLW(cK}flip7aP(*583z?m!*<5wqgy zT-Qqe2t{7QAEh4NxDZHea0k~R(M@9W9jNLKR4dro59=emaCfM;K9tZa#LRKmN3N$L zmLL|qo}@~r#Vhmz%e}yAPc3A+YhjK@{n>8y&!?)U85enhC%izRNB7g+y1(C}dz)9& z4|@ShN}#dWqkD^6_sLZE*qE5+)%1N{;2|$C$E|y1wfAU;3j42+T9?FyyC;1d7<1`_ZStio^%4~kEX@Z_P%dM4_!f_8~RuN1w61mD# zzBa$J52H_|=Wn^LFYW1-X>}RLSQ_}^RTB@}{oG^;r>)^Lx-v!kxt2C=1qBT#7(t;= z(d2j51PVClPkL6j3kPo#nPsOgk7)z0EB((+%XM8Hx1KfSy52Wgq9~oq{U+*N^7cV1 z^I-uF`q7_hb{0-DF>J+Y|}+ z5_{20j4p&}`wwA?`94vMuO!9Ko>XnEOs+~fX6t_#jTASOu-D$ZS{LYk@gtU@y4J5Y z{>97RqeI98A-o)Z<>jCd&7+94kNGb31#haC|4)^n|9fR{w+pJ5f6EAbBA8Vg=9x&Q zG>UmTnpruKSuu%O9?U!y&a7@Tw;MZ5om^MkKEwVPj4o_2vqm^|Lq6dwHk)@0_CawW z%vwIH)zRABk+zHt=aX=t;z@C))TFg{w>mn~RvW))N_Xooj9H5}1g+IehE3abeYV!T zmL>EI&Rt2M9n7q)PTFp5)iqh2wiX-+QGGD$Bbar;%m!R4^1jD%M+SQA8N!tDS+R$G zD6eH~gjWcfBnaU^8kGXmXsX{(rmQlH$9wA4+LkPOGr(h;tVJd8tJYze&*^+jI@$K5 z1f9f~EKCL<`talvW9KmeTFdKuJ z@*m!Vs@7Jf4adH(t<)AKeiNH6Wz!`w=`ty+6tlwd7M1S`QaPQ>ppzc7i;I+oSSc4P z!xr^Hn?6TUtz&i4L-e+RU@JSc+w+EV+;@Y%(Jzr5oNX+WaXLxt66ww*2kl&}>{fOOdE^|WhN zuCmj_I(cdkLc9!H7FV=f25iA>8bR!3huua;EehL{4VwBRhBj?|s>R@}O)(kzn$%pz zDr3eDbH>h2ReR953TQ(&&K=@k;IAfT*m>SkG&|mK(ztZCrD%@Xa7xk64W0~WpKL68 z*lsnNHXk=~MYg)6#G?D+GinkFE8~mqw-n7X6;_#xGEHos*%HAT4s#isEtc?hy7aNn z#lx(PNMQToGuD>$_>SGEPx8BjQ#aYz4SoPntl{{WmhT_pv0^an&vm`QWvl@uWE1q_ z)q|cr(qfG<9Px(nrZRc;eFuLQBQO>@?>X!X`96La*{6t5nXurJb=m_P{ z(iq#Gpbs1<7~dGPkPKb2WHI7<14XYWIzzB6Xj#a_9KvB1!&R1<`@-E7us!W6h{Vp9 zVr+j;AZL;mD#ba@eeW2|(^Go^B4mDq8 zdHgot$Y)X7he(0-^ixB~a0u9(TkZB9=0dK7$GBlk^VxV574e@bdqi^*T>RL`pU(fSDqH<|t- z%gC+%FB86IRt`Pn%!%6^Wqvg(-&MUgY-iZACBb}UO^{)YB(an^UeX?lorc6UOkD`{ zQXh&^Th@q`u#n1i*V5wGh@c6<4r2^m`7U0t5wHDuePrY+smTEGY>&G>BA6|~OofKo z5y@|<0;Vzz}dTX6=;b;jXln_)kDAV#=v!Pw~;XiWsOv)$N?tujyF1`9-M z-+xzY7x)V|W3~&oaBvEr+~rO8j$0hAbFf{jE9R|koWn1@kZzhSG0!ITw&CuLr|*qt zPnBPk(^e16k$Rz-IpJ5_F{v{{E)B7us`2b zg#MQ*&4K==Klk=#jEN{@0SY+;Vfa0GjhmK@FuuyEVisRW6 zX114aI(fbPbQBV~rEB92CxDLZ*V4G9-|5)6P>kJCes{j>Yrzg=!%4VCK`&+ZN9EpL zSEn-e5mO%{Zx6ysx46l_xFxrwRXLW0dv19|LjAqG)s%gVe+EKsJsLo%SB_-~HJC>< zLJxO4{aTtSyH8}sjjr|5R}|(x+Pw`wV97pa%0BLc&S258;ch<%I$$2$``mq_HTU-8 zMhCNTZ-B4Drsxfn^eXI!`|NFpF(*Y|uplAh*p}a^!GJ&i+Q3!6KQiW-$IfF_kn3&} z(BJJjdIv#Y1Zb*cPM~;1Q9p=_K7(Uv^>0D|Hy&q{#_D8xBauqekJ;q5g6iC(Rb#c(MJ!C9wUKL`nWl2ZkJpcPG>HddN@+ft|68&Vs@^~nrS*U4`>!pwa> zumf3Ppd?3OobT^v7qx|&Gmdsnpm%EJkF*6ZmTdaKQ8%GBY_X)iF4Uakze`mgoN$S8 zmMQj5FaMoyZHW1jB-iy{qk)MT$N14E_11q{$r1^6?P8< zgH9|n&us_1q#J6t2a&WyknkV9lj>$+_&KLOkVqn9l1Bhv|-%;V?aoFdU|v4SRa% zgYGBoIb@hDtxE#bdC-_dB{d!vk39H+11$l84qKJ=eMjK~z4RP0ZM<}h?!a-`FSo1` zj7{jvnu9J|mnEh1S?E^z(he1uOjUQ)b9pHlvnt_oPsb8{p~ShK@9WGn7fQ{AGIOEN za8_&HmAv)Fw`x_wm6>t0y|>-vsli~)^LTB8zlnQ#@xu8@Vk@nO#8z4liLJCA5?g6K z9N0r2^myYy8@F2qzhO7m>c{PtK5s{$jiz{W`M&+u_$7oBcFR3yd#!SdP;g7D!d>Wn zLp6=pE)^cAF$!mX+$iyUSmFxRbrIO1CzNZuoQa8D1xsrx$Od2klyFY(k$X>=M3&oET0H@=$y*$95xl*{#8GRQHTA zt#@n^_`D>HUE>triXN$ot%>3J)$Ot_wkrnm)FySXT}flem~a_y1PFYpw0zpohBxwI zCwATHno#Tp4-U}j$g)7frmPUtYx8|y-wiJft?l^UBqNre9D;uM|+5NW*6 z#U+Wk)-A)@R_*01MB*fW{V8e~RjGv!e1tvA`&@jV`OO(_JEH58s`;y?doP^et#;JG za0Br1%8RdWdU2CCsg^}#?V*k=sxs7#92Zsl_;f_-g?3~X+L2jkLH6X0HAU`&ftqAs zjH$-0_MCyC<`yU>irJ5-_#{T@-kF|ETOnRsac`p5>dZLk%|2$Hanp42CeUkj_8h!@ zM#sTg9WagEjAw88Fhkdyh^jr5?^@@PDTLY2&-bD+p8FtzfK;eeNU5U|HTTne$*b`; z4kg-^jKOS7WiK4J3Y-vSNn#}KJ#Mdn65-<|ZUL8mPr$+-2zZC>T>>tn_6)j$iQdZ} zZl=dI9$n%dX}5-PL^20p?wzWRAdcPGuJ&BMTZJw{1>8smSLrtM4(F=~c-FZf7Rhwu zi$9g?Yn|pkxj+(pLYZ#9`vopGn_SSb3L@u1R@BI9@GV1MR!sX6t=NpOnfdj2@AuYP zg{!h*cvG-jCR~`cIBB))a^j(PlC!5r=q((4jw-L8&BF)PYVs0CZXN$fa%cir`ohKqd|Iux4j*Z|jD5g5U9Hy79Fpt$ zq&{Zjzytx`3G5a<-<|7n*60fkjOO9{Wt8t}N~MS2-LB7doyc`{mLHW1@$}6BZ<}S# zMliq9FlQo}7o(W|VCE&96M0`q(n9Gdi<)_v&*JY9=|wB<5x1uG+dOqaJ*4B_^s@Aa zBbk?>Q@+$M1@BPMF%cJ|FFW8v2e=EJCG;QlD(Cvxha?^8==C3xnIDqYSX;E_Sjk9h zlXd-tH%9~8b@{HpdFWEUq7(&Qqstmj+@ZzwcWmG33#GAzvRFDT7TO8j_oZ{Vuj!!c zmKAxnTQOZpr?1#i`JaxDk6>Q8crWh=V_pkkejQG5J^s~z*dX7j%9D>D%cd7pym zEm^*1rC$$yF9!wZ9lm>&z`uixjBe!i?;}G8d<^Y{==UR=@b#R3`vpm**y=CCy`5K| z4ewGbg^w+EMJO5Teoa@T|1Cv5|FNoo7X|V^{DfqKS~-Q_%ZV!CLz3>VND6uq&9XXK zqLqkeTg9RXeA@Gu*4M>VkK(g%BC$-A!iXP`U?W8&iV)3C0xoNrB#u#vg)hX4W?Kui z8@DFPwSMZYwpd$}_9X935ru^?atUr)#$3A-7xVbnRo0jpH`=W2Ngc_Z zDI!ydh~k_DX8g6AjhA+hHeGIhFD43T@z+!_GcI|lwWYRC?wHa!HBc@Q-5(;RnW_ju zHi$&OilHN{1_ClTNY`G%)y7Mx-9R?T$%_fN4n1BH>tsKjizNR){j zVzG5ld#6M^OEaR*MPbbA($B5$6InL%0_*m(rxdcQ6IJ5OG>!v=aVcSxvUs zEZW$&Kx`IoJe%ZX3o3r)OxdV?(2d|^%W9GeDh|;o>vvHT3o3Tg4-Z76{wr441HVg= zI8zFqb@^5b8?PY@KY=;7VwRC(aUx6tw+EHgJRz+St?$xuEMrV&gqS%(jGVQ|V`j*i zAQ5Yk#LSSasYncE2Ev%ywH1a5%;35T?fQyn3}-1TW`)_cHP(Je7PF>8v9`izU4>+Q z1=H{D;iR>q^(Pf&bz=JJ4ZU~kTPa?{MS#|titB4RKY`-=>p0Q6igyGtv4ruhd(^K7 z5<_<)lL%6~ImannTOaV-^1uYXYJEAS*3SOAFg)D^82uP{yv1hh0s(V7suY&*n^V zvKI>7$?I$6xJv0BSmdj~G1f|0Ey2$FXy8iQD?7D2tm{kqBbcFZ=8f~GFn`#IRpI)V zdh~N{UC30Fi;r){Er-o^|NfMI_G#O1@?CbJ_dyRt?x?!Yb}V1MlRQ(S* zd*9mO(K>`2M)>W9JfcTN)Zv)6VBXh}->b#GEe{OPT3nb%aP4{PDQ?TD+KT9P6{a=^irL@0zM>%?$H27Kp*-Mk#TUATy4TOSP4hqP4GT7) zpY!bti2S)wcPg(PpolXCTgZ2%xyy)e4dZjHAyuLHs4Wvh=ofqmshnSxh4xNAD~sNQ ze(N_!_?R$sLW@FmBl-gd2Ka?qsrY6RdTyG4@JNj4CQ{sWN%1~&qMj~;X zSQI7`2g_C_r^-S@Rxh|m`m{vyfJACjl63lYWnQ~tw*TsU2@YADEP5_+aLDR>yyq&C z%6zi#84bKJStgSCEWMZVU7fGub?4{#WFVf(Z=1cyN+qED<3u90n~z1BNI9&@4Y|W) z#ccWN7!i(lD0Kn&WvNIcqTg&8nS2)^cbQivCtlUM#axqXxZzge81ZkVntgM-`tY;fh048Q;0WA`}vBp&>hyDNqe$(B=4QS zGi6V9%na4u1+kB-HfQ?LgtIAovi8nDn^Qi|XUn{ht@EIq9kBU5m;-K^C)qkLXf)7( z3+pL{9CA8m>pW_85CDuv0}JN;i=;^8_cDGd${p4fWxAb7_GF);@I6^IDf0E#2g%HXu`B3{&+F05L*7vllHboxISaomMu?Gv%4nm=QY+s6Ai(91Ras`O3?3Bwl zVZo7J&{xxUvV<4qk#a?bTH*iTiZS1WQj&nr@2q#Gyl-7$Dr8IPYnW^)!`c|ORK(gu zY^j*FiP=&KYm>00Qr0GAOJ%H0#+Lf9HXpWB&f4T`sV{5uWlI&TO~ID>u{J-pRLRo|q`Fm|$+fFHQjiyFec!5F7NqDuCo&92*OaL*8#(@sdw{X_vKseSb#P6 z6GyfrUWLW4C{r|NHP3I(Zg$(6jD}!e<(cAUYR{Pxfz;Ma5$J=_0@qCXb*4x1Uf`}`CAKZ@r zt&m0*+$JPDfTSS{$%31U>ymTr%i)f}^}!91xixaQX(USqTC#6Q7K8jH6>yDkcG3`% z2e%Ne7>`0&if?twGtsk>3|O2)_k<7vlQi&QjfIferWzGPf3T z*M`G!aMOhUngG!O&w_(YwWYutfqRuSM1>$PjjM-4xobxdmkMWtTZ6R6iE=V={p~H} z-wFNuqKwfhq9 z+r;%Rmy0ma0dWneTr|%_LX-s6jbFng32B%J87Dptrw4E7US}tBWspV2Alyji`aFX; z)CUXQ;Dzi4-B~!u786byR?Z>~PZf}c<;cJ68dU*Q8%X971~Mo~mVy)Nb#9#v;SF%0 zS5pDMOZcB14KZn;vkfy2w){};!4WyxX25I=EiZm4F!ABh^tVpBj;BB_U zEr)v*@u`G#rI7{;;w&L>i{YR%OUsjw+7>>!AZGz^ruoES)Mhj2>7e*yO@ zVCW_BBpkwt??XrpTDH;EJtNxF!Txw|BAkb5r5 zN_>#V3I~}cmy(7lpTR}JZH9xqQ!XK`9HcZ0>oc~yCsKt!0#t>8|43VQ9EHko6$nP z3dC`6GXTSE-vnMm797$WV5bde0}bG*oO_|K88*^ze*tNDumukJZ78EHk2KuV1-F?rOg{-{hXeloa?l2xag#Jm zgFdDqZ6?+8J8+laE~BhGky@eWG(sBYd`2361{yyDzn_8j&;CRj=5laZfFaY|nG`sGeR$8>YG%hJzhF2ArQ~!9kYXVz@@c@$lguTLZroz8WxPL;7jSpzqL{$JigA}ee5|~BkY|SA0~Qcb4E!*lV5ds> z3E}S^6#%JAgS-2ehJe@I9q)k|tWWOxiN#{+_pq|lf2J*3QnFm(Psq$AYnCk67H-Hd zEL~Ib^hzxkTVT9LTbh5Lbwlh1?b0O$>y{K0ta676)~+bkE?HH$L;O` z{8kBm_ntHL%*>fHXJ)>4Z1l)Pb|^D8IWR=hY0@pW373=?htmcjn!Hz>of_OAd96+3 zabxhfDR|s$3WGdRB-|`_#_Z$+2Mh?vDviYk>qV#mp(o)I-4GzoK6o-9-m-k*WoXXv^Ijj3IC-x zt=o{Em`qO%%npu#Zr`)nsWhSuPEJqHP0g}ICT!pSzW(iLvPUPFHrgdSv`hkQMt(!H z>6zJqX^Fx2f$4FdfcL@4u_6Ec+;!=Z(P3#qDQ{nYQ*S>Dh_~MEeHUyW9~zzXOP)qU z4xMDcE$h-+ijm2gStQ^Vl6_@hY;1CHz;=)H;ghr3nbm$tJ+G;&tGk&2o9CvdvlFxF zCUhCL!ikcA*P9(5Kn*93q<1rZdgYLmv7vRt#s-uDzw6Dfj7q$3;`=_oZ>1^jr`t8r zwlmpjDY8oRLffa;rpHDnvO8A}txZQeT>rrIk?d@`vt_#!-WOy`_VC=1bmzqIg+3V`CS1Uh<=!Gc280xcf zYHfOWg1^!ymW@sv!(bXp4^2%@&!#!}vNJQbPiwy!oI-4E2JN)k;nTB|rt2fGsdbaX zvr>=sn=vG&*3Dd%wyzZY#puXv4EALoTq9b&)PJusARoj3xK zr6=ab4`-)AYaO4OJ(=!3lHglP=2nwkNqHK7m!cezBoG!fCruFW z2OZ&-ONb5W!MT}PD2ln6!x$(N!=pziPOtdV!2^A}Grg@BWm>u~-rv>T)N+~1n;L25 z`i&>F?TSmvccKb@;O6dqJ$^t089X{OH@eNFpY(*50OQRnHl&fa|&H}$rr zds~}ZI}f(DsBE^b+prE6sn>OAqdtj^Wb7pR5dQb?eg+73O!I`7JlUSi{n<>Xn+` z67ySZepBWbeijK=XnqUKFXhho3G<8dnDUNq9?LJw_7^$<D4jYw`XH{637|8@*FKm0nN$_3)2ej^As&Q#Bo+e-3`ItG%8C`ttre zK>t1b2}AfDLOH;XAA(;4<%xe3sj?1`^NKr|#cBWIkbWwc`#ulz@z@iO{qP@iV+WL?;^6te^hAGCz5)t8PvSxs(=?o&&`ZNKz6l+U z=;)u&#f%Jd=(qWQ`9DV*u>~A&yZ*E6cT?C+PFKd*RL)<#*xM0{UF#M9*-OH82A@@cR^P;@#QUEKwhEn7(bCr zhB`>1(rfbiy$-L{>-D<5zC@jO0DC68;lxQQd|L1FRlR3-mChyR#A<)5_tvNY(Zy8oyAKUjW68k`({Y})e6cje_jV)@|ex8UUg z|491rqn1A}4I00vZvT}36U#4q7z{brU;+p~ak=GFF~qL0k@2hi2Q0rN4O&k5lZPz7 zG(Z1amXCSZ^X|ca_D|9;=(l{#+nzURnfO)yJwAUHw23Ic@Yz29VepZ1kNV}q-j=6Fn&{yMES3})AF$=gRja* z`S<<1`Ir|;h2<-{>T{M4+sE_%Es}oG7d~kDgB{=vMEPHS zhvj1~M+c1Z?^EnDt9{#`j{cH4k@JFKQ-}gNmUsQW%lz;zMeSQ~sl#%@t`TyXvKL2fC z?6ge$3jcxIeE$8QwMO|r_uK#I3dqOKtqdmdfAOC-KKAov!`e?l_Zu#eh5 z;XjHkVEH?hy&UoDEEB)N|C8Upum|sXl#%@t{(t;~jgP%~4`)(?KjHuRqduQ`NA+W> z;0KmJf`{1RAUf<0*bjxM760PD&77E>oXNnKnHim!&B_)@W@KPuXe>LOfm0q~64@sS zBdH*VFEZ6KQ;yD0XRx7@fwOixo0%OrJeEbUJzqV{U7rlLLo(x&Lvv#RYv0Bx_`wlo z-_*W=BU7W<%rN)HGXCC}EfIq3Uot@xKw} zalZ+SixQThuUj(Q7|PJIJCvPaqhEB3Poc+~lpnLLrUpkdhS0nJ@Z{tycWA)vJxb1z z@qxjNOMT5OJky!U;o;0QQ|j?H>u#5wxQ`OhU!6<3q-l2*dQ5K;#JI z#oo-~-h3UOQK(O%ao`H(<2P8~%whCoymc(p#0J@E;Q94CWygg=LbDBX4(K?j;bxAG zPGzQ~Z%NB^9OKI%`WZ(GeaD%Nn~#iK#VBviVV!F@cWYup*|C9>XjBC5T(6|@(Xp}7 znJijxNXVBec{)1`hkgcLf9&UCKa4S6uBCxG2X5{$fG#jt|Z6T%% z2%q;CpO+b(@zd)#FCa@|NT%cdfW|aH>O3BhjFdXR5|Hv&>Q|@~>{CR?U&mD%d~{~o z??Z1eDNIoD4S{UbDqFhaJ0?8FUIv?>8 zQ{b=$W@iTmM<5iA);(7^9Bgw=9?e?LEeFhCLpU!f>7gNsY*c|7p$qaX88Q`!+!65()#>}W4 zlY34noy4PuM|5=kTxsZpjGdWc$)s9)HzW-kVVl4si_T&N?Un4GUSU z$g|h>rOedaEERbB35}@(1!JF$+-bC{$dgk=rx_edu+6n+rN%YXye;P4#e9dCnSAe* zBNZIG)09iwefn;ug7&eDzME!izfq?#R#+H_(oyIOVG1gKaB_%BpyOo@HBjswA9cv~ zvk4tW4T|si_!h{&e2YbpH5|rqh15 z#+b#>#ICSSsC{298I#QnHD>$GVcrb(EolakWqOZIZ3x|1t)`A0;YibSoT)pe!qof) zejf^qm8L21WwWE>u<0=GunpUvYf=y*W`bd++x`=miUTjq>!eF#$&~y(muy<2<1v?L z%t)lsx!I-XFYT9E+Lsj~H2&JJ#PJ)MaQSkZ#wKZO0E3Ix3+WF8bgKwDVgXI(H#-+F zc2;yUqIOIN!5m@V8c=PG9u7#R9Xm~5W`g;v@_Sb0TV_$y_HP-PyiJ1bHTudy%i)}v zn4BF!S7d!Ia#&O@4(l}z3$YmbyI~SS^N18Hmv%U+YB~-n$yA}^xV~~W7Q>|Dt(GvI znUv%^zGo7VgBD1&(-;L(nY{Im*P-5UJs>dt+Jk$Vc#Ae5Nl{pgC!44WloHb&dz3OVC;E?L&nnK7-ES>qi#r-9+E}OB-E^n35m-Uflan0eUH2+~W@K)b8W*H}6+sl7tg-^xcO}_ z5kqFOenMhijM_6fYPBa+FT&!`OY}=#v}6bz=XQMhn=Bo+0=s#r3HLo>xw(zFzt!@>eP{n0ES+)8@k!fW z-9>B^iZ-Xcy4#J=Td>&dpB@;@wy5ES(tGiFY_Lxl&u9CN=1G{WlVdm%1!j965^NhC zo&=R$wf*Su@n)XF=@T2Z{Z$8M4)Fw=0kd&=cC;A;E_EMM*5`^wIzFO z6jJo-O3riVw)Kgzv3`A+@ea$_5MlhIWo(Qv9<+>2VaA^Ne)u$c24*MLBgZ`#+P4jO z>&-~xn{n0qy1ALd!)UnN-q8DPo#_xDmx+Wt?+NsXf->XFi~TF)ny0?;g>&0F`l1cF zo<)gYJh!dwG_04fi%@tM&EX8@@Mv~A5SH|q_-E&~bT&uJ{mv1}_Xx_hU-?gX+(ot<9 zoamYDyQ*XK2qoDu=@PppkCXNlrHxK7&~9{YbU@HMHyks0WLkSpG-HzL=p%V3N^T>W zf3e*x@g?8aw~oV@KQr{@cRZ5G42=&!CHWI_=jwoDC*;m?rBUU&(^mh`d-qv~Sc`@qEc6BDGH_5$>C;PrH$6oF>1QF~46wX!3hE@*9FmF&X|+ z-`pI@RcD*^8@5lHP@EA)j{D^I8}iI?h*?raCLP7{l)o_XohS_UTeL9yR&?|?XPR)r zdmQVN-7<61ud(my3>ls3YTEaxy!8df#Qq&Z={56q9}EzTbwu3%X2ZaNc)mW-D^qWf za`)Rz0Jfnacj+3Yry-YxG_w&TX_fSnW#?9ea8k(bi=zpA5U^NCv=hP z!Q%)-S_{Te2UHFG{v`jbY3K@^w$?KCkHCZ(!F>mCE^g^Xv1c%&9iE%bDxc)nA|2#Q zSq8~~;l(az2YS_=e8yNP&ERY}^bn4<`(1clgqDF0fumC<>-HB!nNT~H*|ErB<{E7s zKXKTql-#5{vku!aygPsH&_kMM&vJ(|GB5*8heP4;att2L&T<^=x!MUJx{SKW*pPef zjxw1k4DrKi@2_>(GNg?|W$$ySo{Y@$YpA`H@qPa;BKEqEUp3q^K7fwgBLxGs`wk(Y zb;brp$9;0oCL#CC1tFOP_QSBpx%MrZT>Ec_j}PfWuJa>&v}(MNFOWKl@2q6@^pQM! zgg!9o%(7-jQhPpc8I%bKdv6%m-5#Du&(%JAA9C65vaM^8%i*dwgUb}~_{mzV>oS)+ zogK?!>hhU4N@n6jmQ5m*W8XYC!rEj2tp&_`4+ys#b7E5t*7y z{(eWnUG+JAX`hcJ$0TfKS>v>~P)>Z$t!n!V*$0$~)eBtgR>=>UxPzz~ z%F29DijH^X1kkBTyIg10>Dic2aIp+&biO7hkj!M7gj97MtNn!!YPI8U4)zQ4?cgy~ z(W8v<6)U$#56zBXzt6VS_`nsD)Iza^5&Gza(g(uyiGgvZ6nkZuES~p?0m>ovAnd8_U#Jvxy z$oQoWeJDFIGdjz;Eq;|lMt4TPoM5)`w>We<#)WvhL!6l50fP9q9MbqGT>o4;$A9Bc zXR;?al_bg>8bC!!&Ob*EkgHPYuqE$*nZ9-sQ0T z_GTRtcPi&ZcEEbRN&S%%KD0TKOgNkon7(7#V_DhX)qQEEnQU~zOpK3`w8tUAtIZ}z z4m&j4t!7S643hV9hc~ln->RvO zqGpt^pYEr^be1=Dk3$=n9%gu<8ZazCS|MuwM(PC&olalo;`vY%+4S8kvbKFaCY-o)h>O2u zO!z!LeX8=D!Rgrz4LH_ua$TR-I6OIabf$57WM-}r7VH&Rhc!-ZKGrw|XW``ZV775; zJv8?;ehqVGdax1Qv2k*yk&ir2<+blWkZ$Tr<3iw;bsM~Enb`@+xLPuPiFc|jjsMP; z(=H9ikjLcoGnn^D$$ORL{T1(21@f*qduVbFYxuub<~x{q43}8Scj3P_Rg%wq<|_3b z$-G<6$(`~Zz-l%-`^@KkT%=S4ht9@J^*X?^N*>Y8;!)XP&{1uJyiwxfg8mPT_LEsgkpyTb}uPYtA2) zoM*gKxOQ-=^skfiH6ro5Be7A5-(s@Vkh2fSD^8Dqv! zF;?<}|1*=yiP?q|gM)$_A$QKx4c$Hc+hv)q_S2l~jm_-bv=J=)cVv^?z$?BtJ~oN# z?kJU4kfa;?ZJ74FkKwUk6$)HkIK_35_qOI%o~ zG|zDLg=2>`9A46e*l*@Chny91vn}?a(YBf z^H^6nAm_CuZ}hT3`gIKt`MYIX;g)TcTeh`cQ7ol?3e!rN;W=3z#y(UGnc)0*n2ii# zUoA;`rH3%TcTUV2Cugf1qJ@@=FDWz$G2}NXN_c>%wqkOTuqDLT^h!-Lw_x`VG8jR7GmBq z6aY7BtKR+BF2r+0cm0`#sK6EAQOsYXtNd1NkW>86;1nVjYJ8q#e=oDff9G-vnVZmI zHS`Q-E^~MMEM{KWfQ+}{zaD0;TMExy&V6E@#O3%|KQsdf|Wv{?}nv`oGK6Ps#f) z%tSg_N#$fxs(gDq#;FJwZIb9_n3$4Ae-%I(RLDQz$Lf>3-5EtgG zh!L+P#l}+DXAp|nmWaimH7yYt#MV*&Cb547P^YjKju+1%=7ud9E?Hw^Ntq?XL6Q<= z(?$*Q>LNXdj{_8v`Cn3U)(ErjP?pZv*mcYsGhm1kPhoY6n>7;|{L4@`u|%2_tC6OP zP3dtvQ;Ebpsf6TaPYNPt;#|ZuA%%=R2$DN7LmM)dyXFL6qBp+0%uvm_Kgy=k4`|N* zF|TTysNK0wTpC|qXNV&(-{oLoVhGbcXN1^aZ21q59R(_E?1TX2!-*g9QfNdiGM2+;B#3q@R5@&1IxK5%r zV_K94pzr9zCHCR41dmlXJt;Ab3bgFb7>u7xUw`j`=6+6WiLc_G1h%`xdzd)NW*Eti z@!|rTj)bHHFxEoi1Zz+Wc!--eP2_v7q?U|Ay4}c?DLZP)V;qIH!h2tG9BH0{he(nSV1FXUvLd&U+@YQ z`PuUFW-Wtsv4ZzdV=P6k#QV-90BxOY&nKxKd0s|pN& zwm9v*go4E~iv3nF*9i(%$vDovfl%;T8O!#nLcu#RijjQIeT0HrVZd`VCXTZ5g>O_z z=*!?-PdbUXc(8 zdV00+<0247kj`0nrwBkIDB*5Yoq+dAj)kJjFw~1PO_~1AeXYHjz8>Jvp&^QT(Bygu zoq4tERylANwpo=-^{2y3sm%88{_k+v!-hXW2z}- zG78t&1d{XfMK6;|E6G1qUxcQDCRZwkuAIs*`hrwniFyG*6uDQtySuxqwP`qIW;gs+=E+x1&2G+;Ms>f77Z+Mh8UsrctbGArN)38hrh z!MYDJ+24p()%&+kFbf_F5dE5>)F*VKx1@s-L7SK0in{qhE}Uq1DTUp9(a%I+hgaxF#T}b{Z?0(hh7`!7YlCliG(3!@1@{j+2Mml||*3mPzvz zkFXn;)|jG}LiZQxHBA;2ink2f!rt2y!=zNrrJOsDM)#`G=3JgEg$Bhib8cpqu8^Wd zFGrFLgDKC&OeO)VDRPsORG3ZCOPMSORIZlUT%aUPv~5gmpE9%{nhq;n3`W>+Y`fS^ z7}RC+DUC8%j3T45_4eUB5tfO)TVnHEI3t#IXjq_-AcdqSDP(O#+CGv8#km&q=j&6N zXSmTNNa(U)S&1~cFoO0jTP?yADkeSNt!5E6ia>?xUQ#SOUnDB@@byJ)~vVRUWJlul$oK>RP=A zW9%Dy>RevE`B-_Bf0uTkv=YYZ0*VpR@rwHe55IX|3s~i!ly)e8IO$a(;d$H3TVaPt z0I2SM*emkh+}+iZ5kg!EK-2G0LP7}#_V3*fY*VF4CJdhGzodtARQa#a%Ja6fmFMk6 zkEAku4L5D*E|eK0qy>#iB1Q;_s#nW@q5}j3$BxC=U=Y{VK@*bXUeua* z5gJe=P;s!lx0PQDXl`!p>qE);wjVX=+}}xY*w@xWoqheV;rQO#+5e7*rgjfGWn^my?hd=Mzc`3b^8-REDo% zY3YPBz_zZKmJvXTJtR#MBqh;;8^{&UgSfE9!(n=+J>_`|UWJ|)+;E|Qu}mceGpH+5 zC^u4Zw9FASa5i;%g*Gh|(6At;)~(xzpp3K|!92xkV0 zUWPytoY*n0$TDLq#KO?BvGfGX9Iy{?tJTEh05dW3u~!-PEY6zp{I`xFX1P{50LlgQ zuA#G%>yC>lGhs*%Hh1Y>AbZQ&qbCTt zbRIG!tp)P~ZJ7nHk|~Xr+cwY>HK0|&6foAD98`;q%_FfI|6Ej2<;T)`FbT#1)D6^Q z)gVWI)fM{EC@-*qL_b%(5Nbfrz;m!NxyLyXsciuh00UJFMgPcrl^Q>6?cj8M)pJD? zgwEDiy_lnPs@I$-XGLJnVP%~;xcfjGT|d>&hU)~2N^>!{YLMB{zB2-;ezui0Y-YeL zQ$`|f_I%#s1ECs`N>YO|*eO7<39BAYa!FKm7B;1-rz&C;4{61cGoL}Ih72H`>gQ7+ z)h~5l-e9Ct{ccJ}T3>}z0}YT@INE~Mr$k2@fid3k(b*B(Q>x!8LUQjyRNr_y8KJ)o zqZ7(q0nDE@yHoH(2xdYIW-w$)+aIONXh58j=Akf{@ii}F@1$g&PjLv5W*%aH6^|6N zRABuYln_EggF=Hs4z;(ZCL}vNLPbG#Hh&PV4CSLvxvH~FHu_q7I~t|- zE@>28KeZ)aSe&wlrE2eSN{%d~m)1Uz225aWMZz!F%n=z8&_)Y8BSo_5f>q4;^D%WV zd~Hf+4z!*rxb_=;#R6*Xa40~E6w|jfOqr)1;5=^Nl+ij{HY53kuVpieXt!*Z_FH(X zk%4{xB+b3Ly7H!$woG>)u;~r#I}NtXzSe!PeDbn7yWt$SeFp=4ateRYF)uGM#9ny2DAacI(~+Zyh&Z z>z>23rU!ThjY>+s`GXH0TlV27TT&mIK4uR8OVWuaY@R{snf7Mp_&sz|)9Z|ZFEa*< zRQE0h_`R%RYtq`8%?Ofal*G@dvC*~Nlvoe_RrfjSuQNJ~$~pt^bvEb}j4Q2?1esJ* z{EQFU2H1U|PwZ%V5MhCuX7Q=*t@~ShJK<0C6FfXO6ST+~Cu}|>bH)y;bRCM6z$&&# zwG#v-gDi~?`n)1Vxv3CPxHI-swj>Q@3EM)_#pu~WGM@n;4dV~9EP_F}xKeC_l|k2O zkx2L;*Hrmd-~4+{}I!H*6%ERv&{H74mS`X{7Q1Hi%{4eAss9X8COpT}cmrdP-rT&$kq z1Ht%NJR_~qcgg^ zi+>~v1a!0awD{K$nDhEr(i|2TB(zHb9gxNhs$XoQs=BQ|M`N0|Eu6~g-w)LmpaQ5i z#OH+gRhFy7ULXk?kvD140`-_a(5>e1c?-^L^=&jJ2IgjQBaG)iYVV3KFQp|>dlxEJ z|4Ho4$=opkjo<|R=8Qb)#=kR%}m0?%e@jbeP& z>62;2`8q1nz*~}U*rR~`E$a=2D3tiKnj!^;AUFa#%XJ4uR7?U6p35C1Mkpa+#>j*c zFC$^dA;1h|r=uW`0hMb+y_ri6q-Ll5qQG>U9@RWx!J(klgTvI;wRgo5F}~vaNq9wu zNi-wQ#ri3N4$E)Ji>sk5G=j)fO0>gZ zf#DKT;s;ssv((h&7?7?IZ_#~>$Jfkpj%|siU1t}H@`s8Gz4>jPxAaV?AFGa*{ETrp zJ2~BFmv{@7_FHv~JA;{h@SSEhZQKnB)5V9NTOr zk-W?;b{=7y%YCw7Dp~q2x|Pz~QxLPgYPR%I(-1Df%xysutdvwVJ)d?*`gMMh`}?}1 z1tzJp=b&3mlJF%dw`{XBNYR=d>3&*RX}HGui5y&^prawZokc@9KEI9wf_*pyX2D^B zL7K+*Yp!Ljf_svF2Md#u3}sOS873wDl3%StE+mvO1W-$+rhG+K$uMD3HA&cPpPaVw z>KHFXF>{`y#YBYlpR8eVq29e^H&r|jnVJ#GUE%RT>7xkW|AO}V@jc%rP9 zy@@8N%qG%8h=#3EuC+}g)%S;(GFp7*lFx^jDsZ2h-X7x0Hk;2}^5PKF_I4csM?*a5 z46W>MLS!gA;z+WAwL9GsV$*j4I|DUN|1`uLy*p@l>x>5NeYgX z&7@dqkpOR{mr}KQ);OOXxsd-t(nJ`1`+L4=J#C7g4oEsymh&W^sI(RBDg!M3GrfoM zsFV<+<6^5!LgWMc_u~sheSJ;6m-u6r>uhv-t*k$Cm;Z)3WyMQUG#JO&bSv~Z;> zOkHV~sT|PO3Y6$9Q1$aM)@tt&%fEJj)+USzcx&))?STAykNFqjs#<(AGRR;0#ERRE zd8u^X9vAw`t%$a=Ta2?6e`HGdms;}dNtmnnuv0(m|L(o)hxV+ ze-sgYM>;DOu$*W6yE84V2Rpf!?vmRG=xZ3^`IlR?Y~i!tu2}^(Hb$HEIY@}wYtTq% z1CyCc4F-OtX{hMC%hP8Q!tW~P9daElDsQ7R1P0n;HKyjUs|YVMAqq8#)-q!Kyb!oN7|dRc|u&U3CK& zuo49(!tSQ#y&UeV{*apCF*nx6Ah4`@GZlvecd+W+9Q*Y4>Si^43K;%`qY4+_DGyRU zhH|eil5(&510}v1hoGcPtJh%ghf0Fr?`4S1HpD)Orw3D3+fDD)gDw^OKbji$ejpnY z_Bu<2*I5v==m>`2`JA8mzRuMvSiy{Q{eE==Ysgi94jS3&ZW=GLwK6+NENk4$2iga2 zj_C!t)$r^>RgI$|^pkk|E z>TigKVd2QYehuB&m>_ac;aJF3OwvWFV^OhHqZ=LarB=VZui<7*)YrY)E92y<@D=QE zYpyJ(I%(bC-U&pr-b3r5PeOzZP`x3-Mrhs;VG|UuL+D2u$uMC9G_Dh3U(+Epc5zS; z86)TXOo}!-EQ?AO?XwaI+1C6-I-8zsScAbHgoQ`me_2~BFY1k7TWcb(g*{os+=x)be!1E68qT3XYrODl zzQ>-4ciWxT{E!_J=71AGOlj?4P%CSG#{P#8Zj{Otd=S%SmSCViumid5)qeIZN~ z=2f3^v6$9Yu)7KR3CW60xVD~MO^62mgOpXExZq4{aUO83DiMWdVp{8IatN3tKCC)O z_0!OinxUI=HElOq6h2p)qTw7gLW6Y%Ho(zI37^HLX@J5nqY7dSLEpos30l74YxcZg zrl%7=T6QV_V4)?kV^aUXQ)o$8l^&uE7D-ZzaRS+{xl*!yD4H!cVUg{+k#K@K8bW#W z9?HqK_Yg-!BoxO)gd~N7&I}a}ZO%!#;Ss-Z>z-$H9%aJ*wZiinFQeO6_DXFh;wB^( z_n?|yMTN+to};2Xm?(qbxE3h>Aq|qS0VaX4tOz{i@ z!+_lQWo#3%QjITUy>YeIJkt}UPIm4dVUr)50U8TAt*mvdoUdWW7 zX#p&P#IQ5BJSMLr^yn}(_-`@_-_KH1BfX30_hQaauGpE^=Pz^LR4;yEKgh(z^_(EC zwDI~Ho7#+w9JK5Dx6+U=6AM=Y3#eNQD~a{r!7htn zSR{*M3z4i z@pGcg9F}FtdbE2oH890P**bk{zr*2Wlq0!|9ggR8@lt>T=INAP5MUW`e!eBe<6wOG zOMH2k^b5Q+UKU?ImcqdWJXbsvUp|$R!&-PNU6WY;U`$Q~QEo+FODumOyoFGdcq@BO zV)^xPGzGASaEcI=;uj>Ae<7aYsYtw~<`T>Aj;DAaMviZSH1817i>Gj21TPgYPAq>s zj>ROG@^~-2C$an$3CL6q@PSZrZDRS2*c9VQ=M3Qmt>sn;fxRQeL4xb`1i-Z0#n^o{ zW|(0h74EPmB`^?GCj6ac1jQ~G?KmYHOHTGYP5{ca;oIgwJ#Fdb z?&qdgrCKNOac;=IvjypJIqId_#s&mggKx1^&%jB#FuDZwmh0Desu>n2&Kt7fD^1Qx4PtYRtFx#v8sV)faBElekw+fW4*0|4S2 z$rL{Qa-eC>ZO$!+$FH-U`@CF6DkKF6OOYO!XfzfPCz^oI#|^sehe`9KiPZd`xCrUX$vX zCakS&4Y0N--sI%bCgY;n(jh|yL%k?Q`QC{mo^{e>wuBQF;i}7qs!VgUw zs|2Ur;WIMY(oR}3u^V>_{8Tfs2=Re>l)K};x%PQ8 z)_nH)TrBtO^A&%xYO*jSjM&RCP4N+O$PI}|04^w^Q`~lyc@)TiKU!H z#CabQ8Y`yFXS^w}*S4Q)#<$UPY9p!o!DMq-fa6F^rn>t&1aA}KTl5OH7p!-mvI#o`UMZIjWol$=z_7%%H2{_lo5@j3O)LaJ3Jq@& zKwoSB0Uoj4*MqAGt(oTCNFo*!mZ=!J&KJ;<+cEWHcx{&@&GwHOg|PkebjvuMm`%>m zU$X)J%|86nKKwxvQWJ6eU%320$uKf!xVGK#oRn0uQxD*6|C9_*$ss_L-Tnm`qNMib zpvrFlu?$sZDzYrYW2h?0!IDK?xBprOYYxl6%x=dX98S-Y&B3N)ka6IKA+bF#VC?xx zamO$KVsP+kL(%}EXAEcPY>Hv%Rr=B3lOfYsE>U1tj(@mi@`hjuBZ^>cj;VzOHFwq_ zaGZR`l3cIU?YJd%90*XdUKzyM4H)_;tL)Ty+^XO45En%I1_nXZU3#pEg4aUXLFk?i zOs_JXna~w>JW>%CGiv9BNJF*{byk9@MKhQ$vD6?ipv()d%uXL^*1M!T9>Q#`yZ`;V z?&7qk_S(+NQ`0juTs`sB0=D>dPe;;|iFM~o;DHqTxO0f1Xwbpr&6z%N^?T>*D`4wk zH0}Hp_CKtlqUFSUiyp1r`4SXW>T&_>emw$=Svck1`uztz-^Rl{tv5>gnfmFxrps#4QW4VoKC#rkIkZm3x0%Gyl$<|{6en(A~ z5(Ag(3Wr#xey(O``>~gW%3s)?nnE7ISh?V* zEDT7ZojK1WeUqPW+>c@gep3BSyK!+3wYuAwe%EJcpzZoaRF2wQYnPrbliQ57Pu@8O>1e){&;M1e@UfS&=g@ ztO9oNOw0H{3lIIkm}#!Tneyo|lpr?7P62m|US8m9JS7_&s_sd^X+@|=YxjUqnBpa% zCOvrFTtmSzgdxOUO_A}SyAwiA{_nnZQ*XXcx;7>bek%v=RG;y%bZ&9+>$<1;SJIb5 z`v6Q8-PiZ<8-G;upQZ0QZhpgP!C^M+SNYonJ`Q?IrSx05Mq$pdwwxh0Q9i`=mb1ke zk}DJjFP$YZJ5+kS2o2h@NiGsiD*(DViA4I2`0~v_3&DrDg0P@%%NZOy;9fng15@3t zkH}O<71-3%*@x7d4$)0zb{}(xu*ic zx>qEY-wfO4Nop?QYJHfe%Gx)l2G%2%xo1h2nBD>x6fi!fQi1};N3kf|V?Bu0RDs@q zs2}Ls7yu^*vs3a}Ucr@sIeRUj&sU~!hsFn@@Z^oNnI;dO0+4AIcr_Sgk`Dv>1*nv_ zO(tr!ykVIl3DwCP@yHkt`(QW|8$gngmL|{ayr2Gctl+Ru#~WcZPaz4h?SrfHCPW!h z{eeBpt3lYfI@co>m!`70Xm)34A>-Zwj`!&|+xTA0Yg$<7%bojJO3nhqZEJ3(bo@XM zE!)>$6bYdI;p3=ic95VEov+m^4ss?`FWG5HP&MPO9AGIJJ`yIClHCd%R5Ud#OYyq{ zoV(~6>3EE)%YJF}IKIIrj(lE9siw)HYtqh91cm4zpIlfes;^lW0C7jkeu@wwAPwdt54+(%k!cWIa>U9ad z10PFRR&hS5d#dGnOst{^&*xSY3T>64EdZ^vV!qIJNFpq^B+(4kP{nRxU2JF#pq;2# zE3~7AhA)Hfc}2w{piaX7(_Z2pu~jojtFEboP9; zqSjk{+E07$`3z*zQ@D#WR}!u|netlIf6|7<0mua(G31z7bP}S%&Y5M;G0dW`nTOIf z=Arln<{>p_9_HbypGJSNd1$;$vM9XAyq8>S9;#kx9;$CK538>?59?lG9@fI#l;pOK znTL&cnunHVJ{0E#5N!SgDz*2YVCaU}klfzyql4{zkh0jbz@&r|V@OHb3>H^conB+? zDmCqcj@Pvost!@QU)5f*w@*FeQ$iPRrvVR_qiwcZ8n znl!=DQ@dVWQ6a%0Q%M4Sz3avb@!xg5$$0x!dgGYSK!930mX>L&Ws(GFU1R{ z;CjInthy0sd5)Di%E@`G&_ah4_)p%c>QzYitLfOMUCg3ArLo>6#?(l@JMo&kukn_}zNx@!;SEz{53r`!8uUXX zcB=X!eB)?QI`&QZav-?9cxEL3=D_;z#QMCmVvlHghxttt`j@e*X1{U|rQ{^qk3=&_ z^pIQ6zi8}yKnHHz%Mo`3abIuZVqLmC7Aw@q*rq0wgP*Y*aRd=za__%q1%g}13QGH? zSg*G-7OQOP-LiiDMxbNN$SyT(J2_aabI7#kh`Aa_t<~Sc7iwCOR^^k+c_}Vus=D4| zS~tbq&NsOYV{tL&%$50a`;K?2rWLu}i`>qS=C+iFpm5{c0D;EBt-LflHK^tZpEgQ$ z`J{#cpVTOz3_pwO=h5+ViFevqk+4@I><18b z%L;^1I~WLEy&izMKE_o8KC1zk0dqeEN|6Fkl~4;L&)T0L>Me-+u_;;2VyivN@+Usl zZSSYYu8Z}NU{|cy#rl^{waAf=itLhcpA1#POz-mZIuhdh70WV}T^ zg)r?j=7a21Jm?aRsF@Vu+m7)y3p5YZs^Z`h z)y}jIihGvAPoRe~5>Mb+H_v0K(~y?{8UwZ>6Q)JCFA;yuuB8-&vE}xy@K(hNUQUop zu%TqN9#T*g@>D&?E-YsclVP&?na19fBQK4zMTiq30>T-Fi~6qtafXxqpFreX`JZC! z#A}u8_x5 zBXVB%)QFt#2_tgGaf(S!3i*hf*E4Udtxy<)(FsZpA}1UrsEFW1aep`sn96SeCWB{= zM2W%bT_Kea5^rVFWq`UQ_Hr3wK9x-}PUMgbk15=Q5*mklEF$NKI8uaav0We=Y!sV- z7LgNq!Ih$U`G}nG%EJjL!~l`=Dl9r_PQ|fK(DGYE&Lt55UP2-|xCcmR{I*nxsSs(!9c3Skz}{kqAH{DB-pAePAV*q|sNjw316# z3wi0IXD)z8MR#Ee^my?mDAQVw}Z7=$Rk{=$XBewt|_3&@;~oT!2gx`#i<~WBP2) z06nu7qEX#fybaQ@R*gsme#7GTMxiiG6Bb{_`^^*5u*1v$hRRvSB-DVvxvlCD5Q4W)6C0 z$xozcQS?lbVcg_F&twv?a3biLq{76>LC-`vpmM|LnV>0prr0T^+H}?xA)chzr_fnT zzfEbB{@65J>A%~D$5ii1Y=gb&qh|sQ1d+;M`>?y$%FDA%#4;EFVf4&{+;4-87uHsW zbZqh{Tqttvx%BHIPGJP?UHX4Sm_ju!7l^p@_aacCy6BmuPl!Z?9!Ae32ND%d7(FvB zLY2u5#q=*`l;Iv1q{_7^BL#FEs=&6f4ey|5BEkw7p!v}=(H^Vh#7+6tE_x<35JsXL zS4Op{p#aMUCv*9mXw+F!6$l3*9WRWYd9}1d`Fnus4Ch7pCiJj44K5Pmtjy*M*&r=b6?Jfv^bwoT9^+jZVaPms%flmSfHp>Vg#YfKs36dfS zrdRfae%ZaE3E~Q)XI_M!2Y*j^kdcBJ)Rid}7N`z-rnZHRY|%48MT3wULeJDzv1DBm zfyULC399Ispm8wQv}`QhX?M^w5t9SV#LUNDW!Mq)OhjC( z9ECWu=$RG(TzP?LS4$BEa}k;&@;j733!~w6+IL5em^k>Jrl*kx`HCaOlHwDL439eED4|& znGtxok|XGu2tO1sa1z4ou5!$dD8oh11T}=7x!?zi-)MZ33oxsB0+wm)v<1KAFu)$X z#Y9~2Ck_H;5};?27Dmq`#YNBLdk%VLAuU@B)CCubE#sqS^5)J0m;%O{Q}oR1VDm3{ z7$$dBRi&b5GM0~?c@g4NEs_CWwUVR13L9e3l?#3=FR+0`KUcLu4TRA%KkFYsp$Pz$ zDTZQzo~gzUTiZd;yihbj7(KItRdx@})12cWXdgY3ZVm@Mb0xEL(KBK2sjU5-W0f|0 zzD3VOBp*E!0u-CD>a$5Mi55HzCt>x*N=45E%}3AtECf;w89*S_%@j!W9`^;Ct|Fc4 zAxejhZI(CHz!G_CS$-`*&%~)dTk=|DA-%NrhBN}*TbUOoJGr=Ffy?3SJT{E_^JjwJWR=Ym>d9b#vTT23j6uq+v9*=)Og_#AD?~TMiE3w&%6zFT0AP#rFxSV-DY1DJ@Xw9+G)`<|6UXb^oK>y{E!|e zv#*~yEqdnSAK0j>ZWsSjW16==aVo2y45Md4wP6JtLeB&#K+jx^=>y%$N6$<}&@*37 z^i0ehwJ(Q2>aVUm4SMDc_QYNNBr*)9BU7mbe=<7KqG#T)U>?vjaRM%kp2^6D=A&nV z7Ddm5gRIP30L$;pFV+B*GPu)U`*KCkBvsKfUycvjGEoo=dM0Y*qGuv42R##SIp~?7 zx#*dQA4bmvA&j1h2x0V0NIZ<52?ESOc6>s_x#*b-ee_JE;?-F6Ow=oZg4P)H%$LUm zJ+sE3XWn)?^vsSLXkw^fUoMKAR<99YAEL)Bc_D#RU@@`tGb{>+slWlt1VNw;ee_Ha zQsM_$@;(PW^X-i1pl33gcAZ_w<@U-8y#;M}_#xDfkDmEH#&OXz(b~JjTd=fNMzKNf za?vw$=ue8Cx#Wkr=$V5d^h`Lo;WT#8Go|3vZ%aQRc?DRQik=xEhS4)m&wNt!%t5-9 zmOjpdr~-nAhFJOu(-1Df%x!`!C~%fOfa20`v&iWKegOjX%n(V@Gee{&MbE6Ig_VYD z+(FM=`Z$Yb&@&HkK;)ulVpw31raf=jZaH2%FnBa8x32sS=AdWVF6N_WvWrQ-wCI^2 zMA0)rilS$N6hY4fC4!y_%IVNEudZcrq29e^M=GD^Ewm$)JGcrH=_MfKW$(ZuZA{TK zk(z^^c?tWx7%CR~QI5y?jZ|M7V)}58G8-;=VTh>$_oFU-Jj9KlXLf{`oMVHC4I!S7 zo_Sk{?4U$SVbbFvHhmWcJ@dUGhKfa^EIT4|xvjaPN)kd6B0K9rzsEV~nGqVCflrN| zd3_z5VEJuf^h{Y1`Ql^)itjd%AxZbjfO60?m;I3xD=h>$i?**t&urwgBNy`9NE2c3 zEz38pC&f+DH35lL2jxLfM5V1L*81`WTLe8b4}<8LdC314=$W@sr>y8CMvprA7q3ok)%2YTKpl4z{pAJ3q4tqyQSKRitQ8VWai=K(>{3Wvw)L7k& zu~z$LvHWXM97WGuDUgH9<=;1(e-W;#&^IF$!Z~@4*^mg;Fs4E)x@<|TO^Iqin ztOm_f(KFxdAY97TF$uVGJ_FiIaV!4AiQ$(Md6^POIsAMQ|`^g`)ey%%_5jR{W%?9y z(KAter##4S8#DcilzY|fQi2C-@K)$H20atoP1v`|y^XjU?i=jdP9gecY^x`norJ<(yp2^*eO9HJj!+ zxR!z!7$yKZB}@Q*N|*o;6_+6BnPCFZGhM=I&@DEJ)W!?H=6Vi2yxZ=y=3VTVP%}<|2zuuG*#8j1jgo_&c{@8Gj!X^->LGf>nlG^T zg>KC}o=!j$m4#MA0+X04pJcp2@TT_Tk`T3VLRVLjA3x z?M6=n9h`y?D7tU$FVP4M)*09UM<*rxFq@_U3csA=n=yFZ58s@lZQAfkdtT7uVy?&L zIW?05mC247Aw4O2=5}PeW|L%lV>DZXo_S6T}YA$*v z{GR#HGtr0hp=Y-9U`-f3vn>yLCaXi=L}Cpj(bz|~RtP=wWdw^sKW%Jdy$yOMo&)qu z+0SGwTmXTU%zo5(k8!u4y9s(G+&B7#6%Zomnb?_w8w!dScWjUkQ_Drqtg`5tP_FRe zDSGD1qUf27n~R>=cn``Z7bXJq%*!l#CT3$VWzaJj-JoZ3NIeO9<{Mq~OiT|@I~F|? zZ(_u+$BjiuR43u}Zx>n;7jhP!{_?q?XKwgae)P=07xBxPc!Qpac8{QEO25NFV~8<% zkR8sVXUY!)ht;kzI^vt0=S-4PlCIf{)anUm+mt6Er$tQ}Q$?Po5 zT^KzR99XOtJri%mTC86_tzz}rgDq@_D0(JHQS?ld`84R6`O1N&ITtA?Kul)~x-^A> zrh&>mw<(w5pl2dE+bR~whDA(h+!Ie7H&io3V_DuALeE5UE_x?M8#YN9Vm^|p2$tp>W7R;7m zGwip_=nm?Z-_Em`mxAMF(KCIj!1jdEGjn(bJu{%Y=$Sq>KYFH~t=t-y*#;|d6mlze z8Bt>MV%L9LvHr_J&)oWDUsG)X(hoG1v#{HOX#)$pL0BfUSpnTdkK3A*0ix$x^mNHq ztn#pm^GN}ECMH9y=UntmS(3mW!T=mG)DhXAZHbx#*cESX@`7 zaN^HF&lF=K2R&15gwvpBk{LnIq)wOH_glZL9p=22Gkl;*2R#!9Tz&LR|CyGyrPJ26 z&opxFGte{rXP{^L&p^-gpMjp~KLb6}e|{45%=e3T;5_U9I1m00^sJa3rPf8ndDB8; z#dMkq&@<0_G&}?FxV{C<4bU@bDuvK9_p`lVy?0nV%~iLvslo_$umE{*EDFyCeYAa3 z2tAXAWf(nEOwAnhO!{7c^_CYsb72HM^TiOtc1-;kUfX3!v;DYH2-{ysw~VBt5U?03 zUuNi6+5k7%hY#3?+Z_{e`{%g)aM3e&Dl}xLLC<`y3{S}+zz*B~Vi}^O_U58z{(}rv zWg7HMgvU@-QUpEoLo!%%SQb4KdvG{COSXfai8ye>kl3CVJ#+h)#2v!`x#*b~p6MXP z2zn+)H+(XT*bLtpaKCfVGZ6xAnYuV5k$dL~CfUi3_< zPk^3@g|?ify|CESBNsiBr)V#{QYHim#bN2NOXTFKD668l8J#$%9>bdBdm`frZi#-vt+4UOH^}C)& z18vu>Q91hPnZ;y(!b}jm;QRCqxm`c9)L%Q(Mu4=L6sk_mP34@EbI>ynD2k++QFdY6 zz~Ezf?cC84LC@TU^OLXu8KlWY&)fwEC00pNRR=wjc$}DBNXB%K7d>;=6Ee%#n0e4M zp{p^^m^6&;Z{_weqhhj=sKE@_R46kHsrk_}F->5W(F~*Lndtvv^h}P3D0=4ZdwlfF z>nJh!iVb=uUc=~_AmFqj&j0tBo0lMj&@*@6L%}gblez2p9*WFC&twQi&%|CEI>T=K zMA0)B+Fj<|53oQX^h}Z@Mg%?cIZqYGMai_EfNW-Ii5F>5HJ$xzi=O!$EhU`uVlg)V zoxC^W=&8QH)jq)YtCaUkM^*2BGtRmy^-h=ibxZxe)76_Fl9$~Na0oR&VuL)6+6G%I z;I8u%cYZB+5b0Z2n6wdM0UM^h^$pr-7dNVP6GW^vp$cdOkUN<_&tO7!_}w zW}i0bnGd??nSbM;XKw#SKJ?7j=R?nYQ4V_Mx)6Hico;piI|n@zzRVo-%z_Ad=9*te z(KGiyP4vw7ERuyYFl90p&CuL0Dn3V0b^2pLi0LfRaCmOVo)0sD4#i+N#a1o{p5(&H zv#2=QvGXG|+<$CeQztlP4kBknE5Z!)aK*J&VJrhCgk+?#MC9Aw8v1f-<#(+3Hcf@mx4p6Q7H!O_}MgpE5 z+pGS1#JIISHmD$6?QOuW;@@6=v)3!QB^hhPM)7Rp#go%VXE4&Tjq5jW*qAxCas9@| z*(0-!hv!Deh8j)p-;mkRIEv@2AvCUA7yLgrDDFfyN@hHT(jGXX8!5c0y@qlx-(LnAWt2r+;gx)QSv zl|&q_!Z6%WO$2U81YzNZL293@+Rd2w}LP7qJFK zL=$3DVg;Hk3BwI(cXZ)~KshbkPzfuO1nx*FuNfuRNSTvLHT9LDKrq!1+|UQZa6_6a zHudxZdJ>KZSmZ<#Qn;b>q{rah!VQ6d&cXl+H`GRZ@-%QmA2cFP;-rztnz%!33N6}w zQMjS4vbX*}1vm6F&gO`N3)CzjF4ALD6G8B&sH`Fb_5+S%DBcp*qIlse! zMBM=~;j;(B`T_GKuE=3zoesznErbA&+v(wkz9SA)J0#1kIN$$0^Au+|cEW3O}^Qz`7$0H&pazix@dl!{@da6?!%pg$oeo12-gE z+<_b7EEa+r0wI7Kf~OB@EBZqSZfKbUHzdiMYcnyX&*lu^hRPug)qTZ{kcMyYQX+8i z6&vecw~%NF8_^V>OSK&~)gT0tPY*YQRfrkxeGV+8L&$#OkXXrm@~v3%7GjDB08Cg8txD- z)goh*td>q;SpiZBafsN5O-e4@5IF3}hU0c+8A&Byl+IebjNO>nLrR72kH8Im#zOa) zVwQYaOdByIariTU8&cgX7Dotf2pSYcbm4}6AVtf88v+@|O+L6GBmoO23O58QRBaC2 z5Xyn0pi(}J<3T}d0ZrkC#7-&IrVGOj-GAaN%<)YH$)QQhW0@^UKnm@MkHSTb^|x`JM4EJ z*q6Dur9T6txPIAF#ruC7Zsgdi9j48pU@cAi5Mk`#g)f(A2iLlpuJ^w)zMx*0Nwy-J2bMFj;^ zvC@5k!yyDW^wu!kkPZYFZfK2+fDqgeNRU(%ZfG0C6^0w?K+gk+Ot>WkR>pxFLXI$n zNOj=LMhiPJp?xd@@6GV*URw8o9U9V+%I~#)vXpxFJx(a6{iwz#9uU zgjp>NHv}JV7;fkh4uS~W5NHv&Ay7haLwJwE4J~*A{g)=qf)1I|e7K>rNP{V0tT}}n zItdrzg8N|eSCtsJA;$9IhB^?ZYQ7BkD*4h?6*M$-<$|A46;xU5#j2f917WzKk8vUr z+nor4m<`2H4B&>;_~FQL;D)w{CJ4g~0fHz3Hw0zKQzJgy5ZxRO+)zEUbK!zxR8t2Y~m;J_G zsJ@ia;Wa7R$dGmQ_fI1nJ%d%l@z82hG{o?|tb}GR3fr`?LxE5~coKQXnZs|-2O_#|szQ7Ibb>N1y;6B_C zGYP{Dff9im0_ADL4ej+sZQ+HoMh5nMhPO2re$!sd>}~DqKG56Tn(4o!r#186fE#*) zzzr={7cpjlg>V)6a6@z(+FQd5ar)%+a6@slQQcw#H}p3aPiQmKGI&BzQU-45q-Bfy z*kwx}C-{wf`LV?Ie5fY}Ziolf>tKgjZVueg-!K67WARgstyX8CIB-M%Y@@qyL*IqU zsyj*jbwkrnJw4pecWhJxF!XO4)4V+*a6`jkxFM)EtYAZMLm)YDLzq4sxS_@W8G##mzJ(il zJ_J%fb2_-8H_2f;v}pY>m4}p*kZcxi=n))rf`W=DjJOZ#D2M|xilTy}+~4nc z&N=Tn=Y9We@5Rg9`?-8Rr2q4rmWz_CN)7!w@$FL5v%MD8>yz6yb&-iEu-Z6vGYe zC2nW|hWFg$vk!(F`T!Pb1~-J%JlxQ_u!gYl8{vkkMP;x=9>>R#*>KXufoFos5#OfY z2EGw)Xi4B{Tf0VV3H%&xD8vSNSRIMgyg7(P+l9dm-4b~CxS_KTf*bm-YnQJPH}qb` z4ShVs4athg6(=iDY_~}nQuIyfP(E(xSCrV&LXauceJyTi8h?A`LjOgSNny}+CS4(J zXn!IyxFMXRgkFoj*#af0ciGUI*9$lFae)bS@lvzF4ds|Qodb0BB_Vd(g+nvCvcnC@ zREUezWJu#(>(QT!;fC(EhdS#znSec>2}gn(LO*d!W=FQE>qK9xze+U!dZ3{dH`FMM zmhtlUD)SfNYX0oh$QU=Y+o-59Zs^yDHnm)ovzEJ=68^F#rnM$Kp0y{6@@n8i|9{|y zW}_&kwX#5P#KNe*u2Nd=mLhtOG}hOQ8#-AZmFU>$ZPw->15G{8rBAy~DU9W*k$ubvqq zdr018x_?TG>>-t6?m-b+*n{H3WONQkA!X-LDMXP&t*CgW!f>KE&<~ zW)Z~=JwrVv#tlu9ZJP);gzYBm+oX?gPlx#iyEd7)p>DS!8sUcEf&Chqv8^>Zzzrdj z*sqCjL&z$&Y8YqpmJl~|0yk@d8?~tNG;Zi<9|ZK@iW|B$#tmIy0Ym>AxFPb}YW|Zg zw(WA$n%X{Se}Fp#Z#~)2hR&XZg+)Gb{10)cHNNeeHZu19Q@Ej@`M9C?%9QJ|gRW!O z!!Tp^Fxn6x!VTTZ_JWxMskJ5pL)aHaB;Vz~F|SWK(mO z5ui=9L6X7^;mSye8$w#R9q9puXryk>8{80eH(DAZ+|bWZ3GLPx*bYM{OZbPZ8nTBn zaYL7etR51qUNdg!I6SuPXnE|7@na2cXma@2*AX{#yUW}$^Uav&K2{V;_a`XS9w z7C8yd8@Aq>q4ZV1sWZU|lKAh@CT z__!fV57hKGZnp>1M2Vj{DE&<*;hFCjSpl~I4~ZN4c{Xn71}T0YH-vhRa6{7WaL^dl zRangiXK_OyN%*)S9J)+!LzO;msNTa3-5B78eqIvbhAu7faYJ)bxS@psZV2~#zBR0z z2V&&-Ch|98G}3dpp(PP+=&BeuwBR7Pp;NMOL)d*aYM6%`I*TR7&J*B<5Hu4vgwWZz zp`T*}opp+tmS%xFlEMvr)u~If_A?`yxFOV5gd0L*ELS@rHmhfSrwDFnMZ{!zE1%$S zLvY2oA*^51xS_A|=^<_i;S6qQLx`V&2WAe~3uix+_K0yq`m_Ky1W_6{gp7K)A-D`~ z=!}d<_-v3yeLxyFBu`1>hU7UhZitVw#E}p;1RrRu7B>W!!43WFu(CB^ucFdO5aWg* zig828a}nH7RzA=w=KNPWABqf`pZ4%@Lr5-#8-mQ>hISn~Zt!LXH}t^(H-zMT+z^}w zH*|kigY|Gj8WM}Jj{ISS%)kw?MQFcaA|otr=!5__q}lUvLwV^cK5htMvT#Ecm>N4y zpa#=%mg)8#Z!ox_XB`emz!$GOZb;8ocDyXJ4OZgFq-77O!><44Vf~lJ4J98G>)6El z3vff2HVQFrsN+x4LG)aU9(9?ARUTGxPL$w=Fg0R5=i`QCF&8h6vT#E;IZDF(o0zdQ zZU`&wL*RzaU{=$(pN9~WhJQcC?J}y6e+z^g-GQE`sH?&W>r#vBnEp+~^ zbWutzGQu=$=vbXMOIKA-gBwD4bX65axS@|pXH9uo+z__haC(;B9&QM6V1}V^c{Xn7 zU&I{40BPJ1x@U8eVuTw)?}kl=5qpZ@h7bZ~namI|ZU|3`a6{;pSWsu-hBjy7hG4XZ z3Pjw{ja(3|-mnP;EL;2@ZU|ep5pD=Glj4Tnil(sec7q#Q_(!CnxFMO9EN%#n1UCdn zfE&6Ivvr6YqVk|*pm7#A#8V3iZiwkAZm2874Iw7ha$Ejp4UY?pLZ#Cut`9F-jZ<-g z8`|gNh9sXJZm3I=hDx~TpDkTzl}U@IEaXh^3Y$1LTJ~?T{yuIAFnD`mO_1(7JAm%hBP6ILmf@ z(o7Ituzg~tQLT>bA(=?Jp7y4Vt{1HIPa@T+xx2tQIfWZqrPv)aqjbqAARWQZ9lbGb zi1aRv)E(f4V4%b*Nf|ynH%l?0m6Ae)*@a?E2idrx-^navV`kunFjixpF=?2wUvWc- zipfTzCNm%*L@@YMnvEO6G=W)09~k3?(EcOb5F{zw&|?labP*c`Y{dpQ1ZRjFf*`~V zK@j4G9%I4zxS^X^WIk>PArv=+y*4z4rT8bt4dJ!`zAu9UU-~376yk;;k{A(gXwRYI z_*fx}8^XSICT<9FiyPXbxrA|E8fVYj#NC6Vr|Q1O{($XQB_EN7s@DA;oOM;{=Y8pe zR{AZksrTSOEPBJzC)tI1p0PoGi?`*u1^tqP!wqe7CYT=8oVW=P;D#=hwk={GH}rmK z+Indx2ro4i;D-J|+H$ZD(1TRbe4T~g;)XsgZ8yDN)$;{uzHXa9xlX9sp07%~4RAwG zh)$G=8~U**Lji6GIhmn5R0(eAdAUfG#tprcfg6G>!VRHw90qRab~glC+|cMlGexQv=-4<`6fuEQK3_Ei=Xq_54?i8+tm% z4G~gw1a@VLgO+6DyYHs)xK)YMIjHmDlZ7vIW_~=uLqi*}y$xx8j7ZOs^BT+7j2k#@ zV5n!=@}d5|Wj(7-99qBT#5HSAS(AGe|BZQ>8sR;Cxf^#bo+UV>Tv94zo}Gy-&{br?YlOeuLmO$bG{V$W>mzEojfpc zK0eaP3~{tTK1`LImmlvk&#dwR$xBEQ+cSc=x0evR^O9-gW5qwq*je#&4s8?j;Dzwn z?s~QlO}Y~Zq(^hPl`|*hckE}=!9GKO6RHBYt9dJR_~a(p(o71jY3KeOa_O3(3)Q*i z{985s=&US_mW_<=?tP!AsPz@+?l{W?Jv@rxRT>L%&&LI222%>Pak zm7DQ?a-eTbd;|DajZ@@0vAH>K28(@77Ew z!%89%>+n%>nrK@h2FS+pwKmE+LZtSZJ<0~AWE0TxweTz`TbiijYn?BumIxER7IZ-6 zYe6v$`C1JTUrP$Y^0lN4IUVGqkY=w?Nd`X8k zjcX+8fYD@2aFIqs!LX`7RJqPKc`c~~3U(2`)(?EX*2Sy_pRWZ$$k)1zZxHacszbh( zHb^R@bgq!RG8Od0unEmlpy*OHay!T4GTfO0F&*CO|p zn3_H4szcj0n+d=0bLn##d@U$`Se`q+7S{1tmtz>WA3-+>l%9u$jlBHDeEB2#wItL5 zxETACG?XK@`;Loz$KRohFkDdI;xI(M7GA|j!qF5$!`C`hN(gSt*V-a22?E2{+9L(R z2#V9fl|dAML{PyMcy$^|3!|X=mW>+)D-P8A!Ii7~`iBPAb@%k4y_QuGJ+#g7wZ`Ec z%i2w|D?{6to?V7jJ;Eh?tx-7EYLi;FeoYTk+Qg_^Y>YSKoi$x^0lnP+}rqfj(D_6?tf(vYoDVzgKyuxSs!En;Zdq8UD)!?x;bHWCVf;^KTQ)RN_E-6qW{ z=4*X4hZ(_1{@@a;zRn14xwzUO5dDuD=zE*)Hf;u05zf52JhmG6-tYjH*KfEhy zOk1t6Jh=*h6;phzM>weLJ%2k#6|O%`?XSh_7|OWmTDMR(y@crTi+Kc24+ONZaZ*0bdIvD7u+j zz~N~>k*tMF6BT2m$yi=hAPHzV(Hf0X47K5s36+L?V8{*mT97GUOZ1dVt-6q}buSug zAK?+o)0blD6GIonR;%mVNB`Q84Ukh7&C{&s3 z0>0K!QmPmxC6WV1Rm~Iwoel5twGg2NT4^?43vX@sS_gc-7DgcSM90^nQ~6p~Q>kN* zq=rd*TU`dwLC@!pLK$>FOyU}J&M9YIS99b#B4CwJIHKA4N!Lp>Sf6unv7zm9Y##dX;Zi|X>23=wvUklkfRppq;EME)4GfiOG z<3cM!+U#!Us5s(l!DDmcfol|&uLY0u)Whd%K^pS4z8mtjFsp@pt>@SccvZ|OS~V}S z6GVJ1$RfTLBmrLw?wGGto1$A$& zdJ5*jx*3MA1)1Y(eHH~$hcZCv)GcCx)b;v~lT7K zj5GztcmZGQ6e*;DuXRqbGEy*2!q@ssaupDzf>k;+U#@A=e62pq*ILBl^!QqTVdfIP z7E4n3T9*WTEiuygd@V83_ILWZHQa72);qv3j;Jr<9-Bn>BQQv7+-5|0<*#pr8mzl zU#lI3C+{rj8ot(3<}rq^wHK9Af2mZ8n-ME-&<0T`C1B(K+DJQnq9KfA@al&qKqSa6&d;>rTAWgiSJCsx@i+oz|gzt?N)|MfqAc$pC_t zuH|ce0^^70ystQ4>$^6pA!Y#qhN>0@P<%Jtw_6 z;%iBh!Lq^Ow4}lKd@TqJU+W_tU+Y>nHIJ{wXxenT@RUzkzSj4BzSc(=$LDLIw!4(C zHOdasuaU3y{WM?etbnft12>KjdVDR(ILEi9PfH$N55Cq}!q@tJz}Ld*G|b5!Ukd^= zw`K9QzQHUvuXS1eEAh2P3117Qai6dCduGk>wbrsjr1@It7KX32Fyd>WeC547z7~s| zp&VZef{?ETLCn{JDCTQH6!EnniTGNO6ys|hAYW@ChWFg$4F}_EU6=5+kebKW8VGAB z&DRScLrjQBPFq#w+7y{T^PRB zZGnf+*V=FpzSa+1yL^p&t!tF8b!W)ek`+P9o021YEm1r5fUVN=Pg`U;JTl|Kvg*n9W zwa{>}dcyLo1)r3-Xg3wgW^`r8*OIBw<7=Tm7vpQ)V^1;HEn)(euLVcK*TUo6lG&l0 z>K37|)xS?P|N4p4Hlby}T7$p!6Xoyw%wL47%{etP=4~ok2-qGO}Cg?ueC)~4O1 zOAQ8IX)4OlvYgxZ)88h9YbwSKy&eAJuQh*VxWb}z@7C?G&&w;;KY?c+CEpveX}r&4 zV0nBkewFU1wPtDA@>|WNG*drcCI`}F-E)zLrcW~u@YNxcC2Z$&E$Yck{titw3FG)$ zJ@m$P6K2wlx;|ek!8IC4t0X(|ONiHs1u=_Bsu_QoMvAXB5E<2Eo!mNL-naEkE?@(y z6%@wSPo?=<>)G#pzSi07``81pd@YQt8GNnkh_5w^`F>FH-TEmm*;{{Lv+@gc{vapt z+`U=~rn7E;2ljk(*fdz%c! z({TtJ+cptj3)@ZDw@Keum=5y|c5O2GTD@*VG~#Q)qeXLRt;qpj3z@`zO~ltiRr{qbRGY__8?E8sGMWjf}nj6kqEZpRaX|Ot~H`>qa(A3^Qi4u?+ztzSc+B{t&{p zz&1ol@wGn11{m4h_Cf^HaB;1#_+Yi$EN0P-=GV$L6YKY{gjPM29e$8 zv!ApfEnElo2wK9|g7M-4__k7aqosic&b_g0B85e62ZnY+E~9MElM0V+~)cC4B7b$k)2VW$u{c&6vkhn1_}+%Eh2I`u3%f@# zU+Zzp*Lo`EYdw|XYdu9X6w1!7xT4n#qA>{7y$7l;h|+Km#y`# z#m_oDi?4;V(dHtUkgDq`C15_&DZ(`s&dxpW?Gts59_A*T8}z)Y1W0z zNG4wkwS{q`KiR*=M{J=QqA%88ip}a--!H<~Ix&I}UCJjoz7||DUkmHkG+*mcK0V}X zA)MiBZ4P-$@W9N01@i2N(;hKjOP?0-wIE9KwUAMduLYOkYn`3(2p@*is1HcE=4n*-M(Xo;cGqj-^|z2vy~nHCE6WU;>hGY>@p(9#$nfg^RWI)^R*suBUK0J zFc_)4gYsq3R z9`v&KTDLeX$oyNFu{2)`EA2z@wa#W%(|oNx%&tGA@Z46KuSI1d&DRngp%`Bao-tnw zW4iLS9x!~Z#s5$6wLT`+fd$t7u>kfDw5+@yrPf8ng6$%khZjrnwSE)vwM0jX`C3$! z%GVAkMFvf4{0i1rz}NZ|t14vNKm+8#u^8Zjv9Yr~<7*)f%rF!#&*p30FXk8qNb|MOJ)4shBfb`TH*7MD*i(eBg%B{y zWQK_OT6j{#*Fv|%f;x+@wKbEk1*1LM5BXX*b3tVITH9DBSQ91Ah_8j2N%>lrqA4uA z!}7K8g-pZOl3B^}wctqjT5tq>t(!4hhkPw64~oKSw0td|T1fa>Oiz@9MLi*33o(&z z%hyV#Pe2J4t;4A};cH#s^R*pS=!+JowF*6q${9~tBz!F&o*W#OC{1D(y z$k)0di}q2+7cfU$uOjQCm~D$3U?iTPT$F*A;@g?Yx~Yr%C8 zzSd?pkuKTHM0~y$dO;>%OWr5pYrUOsf(E>##JoqEuf0d;uQ*(D2=j0S$Yprso%#6~7eglP%?b6O2y)j=4 z=O>{7O8*S_S};&zl_Y%#ck&%y>px_6p%~LaHec%xGRxSQ8GJ2_)tF~Y8fNTQz80cl zvXQ9C4A`BM8HUn+7|&MYTi+YDZb`^=3ouP!meB{sd@Z#9medkF#n*b=@wMK>5`(SS z@U`F!`C1T!d@TqYaxX4wXoNQ#;_Fs#C)yMc9(hS_n4uOuLY6B zi1=Fj4;3eiuZ4Zq*gxGWlBnF3M2A*FsKa=nhrF*ZNPnNCYeM_6^&1;BNA!^TlG_^T!On7Gx1$ z3*F@~_*!?kA<*)*>S*-bv0>}(ZChY9$DQRZa*+_%y=XKo!L+{Y2E9~_a_-yCHf{J? zKk)flgFavD;Viz^m05hPOHzET)_||IHRNlpO!2i~%S`dLUXA%$&&GVM6^F^!x&>`o zudL_ql?D#Sc;2JqIK#6jWq%e9(}gOvSL=-Qjd>_c`6i^Xd^l|P=o!mk3Q*n(3{QZ+ z497pSXUUGx@=*X%J$ZUOFlkbPy-m|(UKagw8pB|)%roOpIk^m%4Iuc3#Wn%vHD9O`z z^lsR<0ht&%IX^II$H2b5#+|?-d79@TKAXW<|*`)H_w2*x`D7<0e~rkyOKq}!MWbxr7i!3||d3 z|8M6o*OY%b$r=aJoMda}u&aQug1PC+$#|ocUv1_KEpHrl^}1$W<`dX1CDVspJs4Fb z;9j>RNfzfoA>k`0zheqQD+g-%63c;lnjEO|AHO~vD0Hno9tDcd*TR5e{J#bP>ZBjZ zoSMfukPHIUxKgw$Vn6bF4KOb^g7dR5k46Nj0~YpV_d4^i^g(4zc9P~(*)RwE_wU@h zZR^f0o15_(xw2uyy{}5=4;-2Rbwfme!k&!mb~ysn6?`^(jW0VPKd2vA!@84zJYfZ7Q~faCYDa#V!)Z3HS0i{O%)hyW!8VF^%h4ATc7v%?;c zchKw3|ALHDVanf4g{cH~;DW27O?Ynr!K9A#(nLvHJDtQxLpm!;@=vJ@pUeh}?FxbDoXOIULO1@24046qG2`<^sYVZkA z5QGFM+}Vi;P-P(jN}HokfP$nb0ji8IQy6avP&fjG(kZCaysx}K0c8*npzaI_Q2JEt zv+A5zXqG}J;7JKk9nxapwge~$V02>uB|yP=0u!b?FtvIA4$SsB44S32*hn@!BNR~{ zjTzRIy?eo!q$WT&j~bYt<>ec3pM}O2Bd99hav`jp0J$*qiet@6qJT>|Qn{sgcm07v zV#|=%EuB%wRnZc*4HublvNTtESvAj>VXqZD1^!ysv$8Ljm!I;*yC28Pg(U%wpHX)@uw~EQZM$~b1h4>5s?>hI{1LZkNvPu=z1le9^SpL*l{tQL7=L^5%)`hFoHs)z99u55mbOv+jTiu zJ_{9fP)4_ogzX|tl{%fkoo$XPwH5DJcCl$~WmnrDHz2N0m8!sD&X_9oDMqyxkg`Yd z&ITBz*RIO}kWhgc&dr=;0x3W0ee%*OBF#gks4Bddo_DYmjq;yMJ4mQfS~C)4vqzPJy#o~_Vvj0yzT{N{JF3)$ z$ZMJ^H5?yL*t1DV05UZgolj;4k18dD$GQ7=Dlke%lY}a@Owy*Z8d0V8cvLA#o>v3W zCGt$4)tOMG_#Gl0Plvw+r6C)FK2_>B5jo1L6~q6IK-_8Ro~!{!IKUDZAg{sCsAt?lYK=-)jj+;VWEtVuq&;64NINI7lifV2WiM zHXc4zibmSR$8X9qQpB}I{i6cVa79z` zsZx~~L6Jp|DpiTYy2x5gm7*95QwCLvNkD6gs8W=|{+FUkAs-lWL#h;HN|h4br%J0X zq)Oe5##%LjrBP+^cU7IHSgLw-+|}5tq~aY_s$WX3>Rbs@sE`zP*@)DAsK(1EFaz@^ zSUTbkE8U1Zs#H~-6sLG3^<6bv3R9#wB4?;lRSTs+Me0+fs`{ivMIKV6=z|g!pO7kb zo)ju(Iq`G{7OS9)p;R$UM)Eg{S;~g@s8Wc~g65D-l|p^A=0-ucsD8+&N~wP1s8V$1 zMq~4*`o~lh(FsKZs$nsrbaKN3s?BQ7Dx@f&N}YiUloA+uPIZ6Z;LyPO zo}Rve0py&nHF%SiYgV#2th4Xbl>>vhVdC!VTL(S8zkmI@LFjS}LCM;a`}&uyT6+qV zI*Kg89eSPmLx_?Y@iNLJe}!~|k>{|0M&c|SO3OIjj?&_M!qUPrX5{lBRSK_zrKC^Z-hnB?cmt6h%H&>T(oUNR_%CEf2V*uqG(M4CkfK5; z4X9EObBSlfy3B%%D?B4psuW}xsVFlYB|%4>Fa3&Mrf&sa*r~9w)B^2Mr4W-H%*4#{ zZZ+N!RSFSjs82|hLM~^jJfKQJJV(XS^c+iS1%TcAS zXPk}F;cIuW_t)-I#{zLc2a@r*_DT!`0adCF$3CrY0Tlp)fSw$aKr9hee%Nz7suXrJ zFcO4RsjK+P!C^9}b37d6s8Z*KRH+?&oKKZH*OoPO=B;w7TuYlR-%_Oz$x)?HfT9!D z9Z}$tsCEX7jdgDv2_^ylh0Ic=>W-iQWq{$Z?%gbqx@&yL-<#5@yOX8E+i*rt9CLJ$ z!qKC4b=S!lX$p+-0;<$UrH}%u)Lq5OD56S@S(RLXgBpxR0Zo}}nlx4FeU>WqZWd=k zl^U~(nR8SrDaqVu*nP%)BcMu&;m4;+iQ&hmN>LBTDl?lZwZ~p#?%mTHA~7YEN#qg?F_^B8s3KY&W9e?lt7(x33iv9$?R3LEn-=Xiv)()wqc5vX}2 z4$vD+mtFwPwLm-&Wyz+?WEp=nrtYyH@~BdpaYvQnLqe()BoS2#lEbD-eTda4MQbTi zpD|@%-49XSYnW2q-MefEmvS?xQrTWB*XD5e&y)ZEY zjr|VG5(a1U+fk(&rf`(8De*#%Pn8-#9W`t(h_>5s2k+}3e#1MMmcd40kjmvop( zFn%?BjpNt2elui^8%myJsZwP!)QZh*w|+iAH^&9 zQX}Ku=8L&?B$FUl-VIkEOC?yvW>BR*7*VB8W!Z*QsSRwj6z$!Q?*d5;k3;{9sZt0Q zQl&me{f~JxQ~tPbQTg+!QqNKIOQ=$CW>clgkpKDzSfnt4xq;4&PK62<K7ZZwpq>uz?`y34bfK z5mKcB(JB2a2m7#0U^mlXu;}*pb@yT^5y&)PiL1lpL6XG@b;*r)_kQC)gN8NXx@@Y{ zD|n{~pOWcPtx4lwu@0q5J%d6kN|ky}1`w=tEmi7|D6quYSXz`S)i~8g)#0`gR5Qjj zt}aexjrWCADGY77h$;n9LX~R7^x;#ba4oB%e8c{IyLN7&{i*&5Ql&U|Jb?mfd}w5; zj?u)Tfc_-5eR@1AXDSh)@jeb7l231ct+vaIk(Mg;>}aGpaaBx}Vq_z8R4K?}suT=l zRk_j7{3cb`;lonKk}cU)suZQ?ZC62|z(gU~w@Yqw;EjB$6w>mjQgEfHQjqynDZ~${ zQV@hxDMSdVQYi6|Dg^=5KsJ09qyYl3*-sjBR4JsAtHVy_F7sX`$Y|ZBb2(bqKcQ5q zIuK&uY<#5{RqCobjKn5Bi=oa?r8EL;8E7$+9*w9{(qyn~a8xO2Fg{fZf^xBgOnT9y zNfrcI{{PkD9ZlHBNJ04*HlQ>9*H9G@zM+U}A;y6J4`#fECJ8n)A+*|V0y zP=Jv}Css$B>X<`$GIvt%60;LW;-;h0RH-`xsuT>|I5g)`r6l7V-kiB@V^5}Mhn+_fB$S&n7J)Uf_xkq z$C@WdmTz*oOQ=$TXyv+-{cJK+_o`KX-UMo4C%NXcsbMw4H11KQntPcwLzTLT9U@JY zLbpICZ3epMT@h6Zs8Wy=qe?wAhS|mN zo|}C4$V+ndwuf?8Sn)}@610oS&tQ?ZUGA~gBQ=jIb!%8dX{yxOqB2PJ!P8-oqy{HF z8h9o|B(2}xz&D~wT@`q;2e^jOv_J53RH;`2F_!MK6$uoQKJMPYo3;x>mHJKK;ZvpV zmbu)%oYIzvRzi^-+3FghN0o|XFa{2+UzamIIhBs&Ysyc?vI>rTC8SEpipUiwDsT$M zOYGT5qcsevDb3RGJgU@`#gy36Vk}rI9aZWA{`Sg+{#Q~ag+bRp(iKvrzLJQPDs^Nl zBr>G6oTTrYL6yqzSaFISOoH(1*R1KI{j|IPG}mXj&bH%3qMjc43dfX|t9f_bP^C^{ z=5!8V!^P@}As%p4DRgB=m6EB@qe`Ja7okc`r3+94>O^X2bP&0mD8UE|cqm@4&vQBfnR)YNW7n|hikXH#=b34b|C zPP+)|>cC+4;QE1~wI_=5YFx{@SFY+471H|mty$Z*hW2O|1CrH!t6`5$BrDgP+`S6s zXkW6ff9-NC{swZTo>-gsy4(?-46BgSa%G+X^W5@WxhLrE?d?a*?vs(b+;Goh&8b*5 zR(OJC-RoBk<|;i20^piB=+tUF$In&O{S?*zIa*x z+SNn7eJ8KPr<=n0mmmINV$(jRk4kiG^tO;Hbr~vY8V-dTzSA_JL7}2zHxsgc`rCx4 zw@t;kp|`_-{L|*I3|AplYHTx}c@&O@Gln#}`k#8_Cyy$18Ux#gQ}TR*`CEYHpKKt)*E-E$&ONwvP3rb^w$eor`v zIZxhtC;LA304!AsHiZnTR9QrodJFPBeYE7e^;MR5z=29pr9RCNi%n*y8=!@p8Jd2M zmF|$#^d!-A?ElCcPJg>E#TJoDVRa6uQZG7N=X5m$dzX(1a>8znJ0!IUHqVVXuZ~)s zej1e*rJ!U|rC`;??hQJmP^Fj>c5TdweoK|wCEGR;RSMfp*tbca(w`3V4R%8_sZ!Ut z4bg}y1rO}k(2R`^Ole*bt5-xVq5o|Toor*z4|~k zpzY#ns_lJimakmXHzXXLP;eY3y&%LajJ$zhHU?f#Km<@B6dZ>U*9)<_`&4v<;Ymhh zU^@Cm$=bGag{a7^JtC3N*yRja&bn~8%;d?QG=n)vR0fGEiM724J*~EjEw=3krZu(w z!v6TRG&Vii(1yWXkmrQNLuvggRjM zFxn6xqDsBU_Jno-5p7u+Uc#E>dA1B8}lj0DgkFWj+hpHih} zOk-0MA;$=G5lUjJ)Qn@`ZN@%yn zz;+lqS;8||HSHMitIoqILdIY}8^R7LYQFtRdtPv8-O6=+Lqe5`MQoVntf37x#FmA| z5G5%sqWx`nY@2+PvHkh@v4$$OCrnU#L-36LQ&W%aKb1Wq3dKGVi6nDSn1alq&N(T! zzw9!1%;jdxW0`P|rv)gbtwM1Ms8W?|Wej6l6$eBf_4KGx2!i8UkOZd-vZ+!#+2Ay` zN0ov!O_hS(Gm9#PHk?J3dM^*wgjA_3GpJI09oi;Jcr)eL8)?=WqCpG#F2%<_&P&uN zsbjC?dmE}0ekW8Z+0SGwz@8Wj?Pz9`F}I+(<#^E<=9^vnXupAA*bz(#BP~vRiiBn; zI?tm;hpDBhQfFAI6b4sV@suis`*$PC<@6}-M{PE7(^RRMO~~8U9XO0CeS`Hn^P`q3 zC99mRdp7Je(G69KUFslIsi%CZR1LG_s8Vo=5=jRiuRJl); z+UilIp7*Izm2*o1s??JuM_vkRA+JH;Sk^mIRH^p_R4H8S`qr>=IW@zRr-(9QBhqtJ zsjDKY)K6oo)VmHsmAXBPDuvxwqlS4@sk>NWoU#L|6oO__r4TxkDm7~^M$lOn4KeE> z3>!G=aqc!)pEzt_V4gkRsY|oI!i<=Kz&sb41WG^;9L0Jb{{Bz5O{XQfFTuL3*Cx6P6ip2F=tlRSN6Z6jf^Wc$P&-l|r~9a-;d^dqT<(JoL2J zoH1#Sm@1`D3#d{MrKwWLs7IB8t1LIV0@$QGGalh1U|{3b*V(Ce6YZN*UMNpfrQ|s= zRf>K>pNNPDo(ztdkvNZ z#4C!dJ_JzyfRkSOEJ6T2{WsTSP4QyvX=sI!zglMjcQ} zOCqXN4XZGwNr9Q~|g7)q>Q>|Ef#Z)P1fIK)BV@og|bzU4$rEug65A~^1l)yMg zQD{11VwqH_%7`lU7z&{iQ$GfTPFd1)e#vyN&PTDt&~z+SYCZ;{T<4QEz_09&-`gLr zNdVqSqw_K8qLlUFrUa5C>)$3YTY6rH&sV<`@P@Q>D;7o0AkH zsuX%RY%+}41KZi2UE2o^Y%*g2Qo`FUKnR#+GDE~vDLg5nN}*d~L7hdFx;K+5bv{Zz z$F2H>&vQX!FjDuiP7kx)QZ)f7B#wkCg}Er8 zNiN9sg^30OrN-$ zzUXG0isS6Q=*vD;3Q2K4WNYsRx!b?!YDpUE=;A61k;1HJQZ{FTR~Ug$mBO=ps+7Ef zP$&#l>dPo%B#A%Mm=7q^i_Z$FQj+(8D#iSlD^+SS3?WVI7<)3QQZ?*ot2bOIc%8lj`?x;i6(viRdKO~?9~e=k{-Y>W z>ZF(|^&4iUXUAq4ojK1WR4KX+LY2D5O{7ciVIn?N3cVncDkbleP^GZYR;tuV<~>qW zsU6i;&OCUsgvN{oi`Iice6xNt*(2zm3Da3M)wjcT_sYr?{)M3OPVTmlhT#U zjM61NNjid^J9;CkR5#8~LIY$GcKcMRZWt)BN}`-Yt))tJ&zISSVoV2_RH^PhnPqIu z45}2yYRoex4Kwx&Rf@1{s%L{zB<4;3eiD)nyWmey;99pQe?w(aOb+}yTQsRt#O zJuuFT#@O?(>K?N0pV=R<{c4Dpq@k*HzXxYsMcP~GNqg}zAC*q_ntJci;^>*iF4Q~E z2Ip)7-bHee2s;ZQRjPMcnkq$EM3qA4I1H-P%Wep?RH=Vt<#m3o1v%9bxK-!Ky|Z#WXinV+!l&N4sC%Qwt6KSiTlUbzm@PUPb2)WIz~ z_hN7H!cALt?<2@SxDp^lyoG3q@(cH2o5w`WiA&UzyV?BVhdcM~5pW*BK}-7_db+F~Ip8g~#;Jg>IN>_{ zdE>~M+&E)>M;LP&YQ+x0c3qV>Ub<^TdJRU_J^Od7I(N4OdP{yhRXh2EVQ2-GfB2^g zEWKg*3HeFZvX5)U=!QEkk`nOPujgsaR)d$-JhG7KiZ4TQ7Pxg zy9)6>gLvJ_oVpv~7kc57yTyK_&mz+A5$V>({K9QJ_j6w$e|Nrr5<~NM%_Mu#8m_7d zbm@_(LIu)4(M#XIqwGHc9Iz>9tS6K<=1=sWpy3bwjI+FFc(Iq4uHbqtm@DojUAV6u zUAg((U3;469dq0X&o&_)bzjd|-*^6ms3l2l&a_5{S zvw0qy{27$1`cgE-di0_^6c-p)@*_FH=a0q67E!MDTA-EPd(C6>3O37xay6IA&tXum z_8yvYb!|ksDmA-rj%jr{pN;Vfnb|BKqRvB-qFh0p#fu1gfvQO}&`)2))l(dJu`f|F zMqWZhn>@-D&;iiB6EQZfP?jZF;Y0NnF$&*OuG9o8QhPBSWy34be3DaH`7Ed>ny8~( zZ4~81gbC#e77wLdmD>DU%GJn-awP>}DOXYk@&IIZ*cas;^!hdCb){V0$@(q9%ym%8 zRim_-5`Z2U8u}%h#^n+f#%}e*K_~rTjoc;MBzV5wYe^*+;RUiOSK!6s>@kXw1-pmU z;8U(32q{;W@D0icv0_zX-YKAh=#=3a+0*9eQ?4K>O1UcVWeQ_0zS0#6 zD1(4<_3@B$rB6j)RYpN!q7*vZhH|B33b-xh3IZ4b89*slFd&S|Rk;IPhH{mY?0wu6 zap6Jx1BOo5OAD}WRtH3?O$}ydYtYSg9qUj+4FG zIAW9U*zG(17G;EX?h~m>cKw4sSu=?Q7i|_--BjGLRFYg zg-m%wDzV?$kQn5*b9G)0J`M7!fgO=*GV+=xQkDNQAyUaOZirMeihD#V&SIo8$t`Za z76b{Asz=gRKnRaJ%Vjv2_l8gKh*Xk1uN6wEV5VesCPXUkvFg}29EPqmk;-6)b(fK- zDpNGWkL9qf3YzRd!VgcNxHyptwPcA@H%POJiB#bI8*&q?WB8vOkqXu%oIldF1=jQ) zk!mDM#1N^5|A{4nIv;+Y%t!o(joiSXIFAp%58f5SO+dC3PfR0V@HXW<)C_o3xlcR5t~(v{UMR6 z@;*yNlayx_bRRZ%0a{k!OFb%u0uU0ZmeYm>9WShOBl6ZUmAX+c9!Y&y{zwW_q&Qw@ zh*XtNOM!|sSv4YSFGz`s++Xdf4@y*gyfqqDjH9Jcd7z4(?yzIkBq>!x&GF<%(GFli zJ9|7L6(Y1iE6pZSp*~t=7pr=QPo%;Kgr4Y#RCLOh@2W4eL@J7Ev7Npg9U>PJsdh+- zS6{1NdaC}_h=@p~ZjVT%0*^?gfgTrpCc zL`SA9sZL8(Wi(z>vb zEs+XR45pL@L@J0;D^{#aBFIoJR;ENM$S_h-W;#}aox;S9(TZNCZv|fHS~iwiuRS6a zVzPsom|5Pf#ycWXA>s`635it5cQ7P7vH+uzlYic6`mD|huM8L9;?)YWl8J!o^88zpM;UtC4O#&O!=To%p+1E zTc@fV3njDcX1_Yq1lCJsN~D7L92G}IDtK&8Jg~c9@DxnwJoWI2RFDQls+z|{!;m+@ z`_*7p3y4%T|ITi}%T-3vs`({50UweOsVEDHRFwEcD!OALRSh>gsM6Fdl_`yy+kE7q zq>(bH0!EutBGq2#{54NP<*qG^QX&;&IU?0k#HrOCpW1r%{#sn^!dO}J@8WaU?cD_~iE5sNRj}?zd^(oz51A!W z)!q$3oo;s3&0&Gm9WSM094k!e)U9Xfu(HkartV*h6pkL#tLv6A(i9lu1w^VfQb+-j z>Xc$-B)BJ~&u|;R?xp1N97+X^g32Otr??dE&f%gXPezYfXo*yFSeyxws_rFb&Jn4k zBy;?z*chPg@gV{%Dmk~Qk;W%diIK)9Qc(}bDpRtEyN-M~M+DKohEK3pAOrE317Uav zKMBJ-@fduVN)q0?1z#(K9jJa-LZo^xUrd)#W4?r!lDzl?Dkkc?>xZE=jQI|eISz{r zOMXHQ?d?#OT>Tpo{1b*Ky{j$HhhIzZ9HpStJZT=I&iXf?QtB_3O0nc9KJp6*k?LJZ z&g=0AX{Gg7HzUwju_m|qN{CeT{}pA)rpsg*zXq=}w$CF{X~rFqiVq2iRFFhODo75S zNY%$`42V=irVK2RY6q2_v0ooioJf`Jbtod$dutkiIvS%UVzkq-Fcmr?70rhBV)@vw zbCj{IkoSH(B31o=p^h5H-~bbH*)Yg!K}f6N7^Y>2R2ZaiEDGh?u-AHv`PlcC)MFx5 z!9Ekili_JGpZr301N1QKn<7#TGQh`V60el`5b z3|ZrF$k7J1M5=-e_2Z_fDn4$bt$>h7m69HcNY!SaLkJXSY?%b93^(xpMoA-k0;v`( zDA@>X#!cxZ!kW@TK+thG^oX8@5SzE`+py_8iYnM>BO(?0UreMzu#iXvBYQ}sx`@i3 zPo#Q3HNS*N1!p#qs(}30zkx*x6PO$5+~@>b8=BAfD@QmY6@FzAsrDhAI$SqT(l8^n zjFsa*G%R18O{AJ8wGk4j0+At7c``?&3T1~NQjPzYisf+U@>cnRJUUM@SJxQB~ndz%tqDWcEZ1DOyl|`r?SR# zLLwE0HmqPb1KP9?X0gp^Tr%vI19T>?379@SBGrVKBO=wsBvN7SsJ|Em(zpX3m2w~@ zpGbAJBT}8i!9()t5vgi^X~sxPq`I0PPi=fDCQ>nSOr(M=CQ`vbR+X!P<~Q*(V?rX; z#Y&{2REbm<=VKxj-pD6XAuW$c1y_nl1({EzLi~_O1wlxpLWGb=#rcIytj%Jy)GB}) zXn8k2kqU$O?rp55Mx>G(V~JFFuM%W*j3H9tSU5(FVPim-(V&GY8atB|A&2HSX~7tb z#H@2$E`~^Tn;@*9AEL!f+8+_Aq{(2};D}TZ2rkGMl#3l?(v2RG>Pl9gN2FpjZ8}|e z%I%|;ygx2F|J2I9wJ;W?GFLN14h*W_{iBy5;phT)NYFN!MjeA6@re85@hDe1oTv0gX zwqZF<5s_*^;AvaCMw}G*VayP5<86W1BQJ?}bI8u3?ZObLZV2M}M5cYfx7AusS=kZQY8{gqzWWLr1B(&NEJvDB9$lBCJrK% zCsQJoCr~1lCkTmDo`({tJb@und6Fz5RXd7e+7uQD4ni6A*Huc($EAqgDUB~pr1Hgw zL8Mxzk4kiG^fqgAkb$P2AH<&aQC(^Kh5I zpUbugm+ut%h7{R!WYtA5ySx9l16iB!X=yvWwdzDyz&%!k;$!7L&~DyD>8 z8{IGwQVxdO=?$`N6A`Jf-GqIc3?dcGH`ukwBvKvkHbf&L6+EzCLo>FuCI>_+WU`e> z`a~*b6+q&oEsyVrOM5>k%9xF;1JfQ&BkwXFQB!>cAO!fsrqzVNf zQu%@+M5-GlYaWs6ZzPf} zwjoN2NOe0KpiiV~yO*smxQW7uA(5)>f3Uf^t0qdMYWpUen!B%p=1oaVq-y&f8<$6< zVp_OB>oKQjq@M97B~rCgccZ0&2F`>?HRIn<2{WuQupNd@mhh9TnsyBM)d8W&5UJj5 z&kI`C)J(u*0>aZE(NMAIphT)RJhrVx9{Yj#v4%)BAxuzvL-1H3QpKUzBcf336Orhk zM5+(F%pEh!jCm|Y?(wt$!=$jNfJlX1!hlG{0Wl&{AxKQ5f+(9vHI@yIkMtWwJEUnM z73`i_L@Ko5EFu+d2R27Us(BeiD!vYF6D5r(iDP?d)(VJJZ{!7Rw9{kf@x2X^3cnK~ zmF#CSRzjpY_7P)lL30x#70fqEq=FzKQekJ#CsHxBG?A)ciBuR|VZ~D-)f;0X72~Ff zRL4GoyhTK+HI_()**I5jh*XSjh*a!S2O(0u(LlCKsdn%hq^?oUSPL!5Ng?f*ORMPHn&=}QKSk4B=bGmSpp39*qIk%5P zmkE)o)F)Dn@`zL)@QG9vPn85jsyCPTM5<#_L@Hd+dT3ZVPetPS<*jKVRVC7MM5+Z5 zkqQ8+D@stNd(Yo4Cu%BYAB0GCQWlX4yRSwK^N3WZu*5iJ2Sh3a%_LGGbS9DNxTi3J z&cd~8QJ`k+z_5X%9zKz3)`L!6nzf4=$s|&twlHq=C;Qj5&z7s55GKo6-@<0Kk~cyk z)zS#tb1|P_xlCM~#zZQtUsFV?Sr78*A(0By&E(X-D82}SV0%z*{+>@TK0Vj`73 zEg(`slqOOkqaKk8uCiPWiB#(|9^vC-xEz<)iHTJ5lr)h_o)Z(P_&5ut35itjfyQcy zRB#Q~Z2j9|Woy9RR+i5er7J2XQX$Vph*VkmK-J9oeL5dGaAIFbOyoBUC{OK@4W)P{^BDCKik&bd~ zpVwgM?dT1NRGK}HNHzCQ(p7vS6~bf@sS1)BHJFZ5Ot$Qq;k@1B9)%4?D(zBHdu)>lh|cMj@2>GuK(s?{g)zA&HJnysXESL z{RKoSOdD9(g+!{3m!yN}xfWgG&ciAXt2if0h*X#iv7YmZRI-?h2fZvJ)wK?!G5=a- zEKQ`sO8XE*s`bpOiP*DiCkG77MhmtvyZ(^Ei9bc85@mvz5uk9uz!}i9^r|BiAyUyZ zCQ@Nc7b4ZX&uW8NFn64TKY2td9B_3+D)*b3wv|)YwZEz4+TS2jx!)jCx!)jCx!)jC zx!)jCx!(sNQr#?*+X8FeG?5D3vpGpIB2uAu!zROs=((9hDujSp zCNo4#q{5RTA{DwN7Svfps5${3W*~jQeiF%h*TfIY#kD*khtn=i)t;Ail-J5A{COr6pT4> zQD;b`LQFi>5~<)a(;IwfhSgp2MCh*XlYITO4BFR0oY zHd^*?S!J9)kxE{{CsOUiQWQzz&o`M5SUDD>NcZpFzGcn+9X16lw~Qotgc7M1!BB#| z9K6Tk)*?hIz6r(;H!mkds;fgHRSRD^HTGl@sW6hIiBu3;BGuIx8~DJ8NcFyAM5@KF z#6+qan3*0!q~bi25UJ=o2$AXxH<2zmgNgV=D)fR(B9**PLZre%Th7uhe#N{;ib%DX zr)ZaKlnFsXv0H{jss+!6EGZsk?W!5aVsVtJgh(|alzT*~3?D=KjC_g_scy<9Qtb|j zRF|==LLya7TFwI zPLb<+!b*SaO&eX$TIow7)v3AbFPxJv*a36k0mC?)0l3jJB{P{BrAzv%bObwh^hQLg zE}Wl)2FSYT_K8$oFi>KZM7d9->iVh7E)-)r$RtvAy&$uUjhR8D!dQ)Y#-w4!ej!pZ zDkd9=n#_P*e~=l5(rh9XrU}e4`oNe-h4vp2sUV4oR7)RmM5?`P6tEQ=A{Cq=kqUy4 zNCiPaq+0q23(hA}UCSc#h*S)rL@MmHp)oAQKQWQ2-0m_j{U$RM5UD7V7!i?b`=R3a z0>$V9Tk*eoGX}3O+ zs^?MBiL!`PJ>M5)C?HZHCuWDr5vh8fk&8qrB2~|SrioOPMMNrej>8~Qeb^0wmPl1e zqvyeiR6u6#*eM^%?AydPZHQEl`$Vb}Jt9@-7qf^|AmiUzDEF;#u%m%L^*y~hvKtEt zC?WHj0wUGfA(5&pMWli)GbU2?{5~R5wf#6IQY|@5BGt8M&4uM`&1l?uigp>=e`O`) z%f2p6-bl6mt3`Pk+W+``h2F%5$yfKdJircComU< z$#gjJhp5Oi>Gto&^(bQLkc6_%%S zrsxeKwbyDtv{5Y>Am0&_G!qfS-&(qn@YVdhF5QIFPxA6@Eo=#cF)6wSW^K>97%F~hS^o8-3 zr_-t?bL3<93cogq(0pNb(&FHaYmrO=7X*-fBZp+i~>+?J;U0ZbSSpgf%( zDokbW_=(}^T!n3~T5KWh;eOAy%}6Pa#*Dqgy?eKB+bLUhY~0v-HD2ZA8*w|poJ(ie zs&Y{ElgKS>aAoTcvn+skTxS62@*Nih>8urHxwlMHxK|fVwEk=qbf99rR*X3p_8wmzX8} zID^siA?eGG(erUtj?#=CXiduK+0U0h;ub9lb^N1O8%KQJcRcJnzKt@%5acs@PUlgi zfYGCc1fgN{{DYJb+?LVvX(=HH45R0MDG)|bB+oab03?D6et=geBC$|W2Q$Q07*sPD zJ*N|2vduAiw&ESjaG6kATN#WA`r`(~^%*@CI9eDpdOpRdpSCd`#XD=d<`Qf9iKrcU zP&g-(~O?sT?wN{ zrUt|4k(t3`^vK}hGkPFM7(L4*ZAB&ojGjFnqeqhGMJtX#OrO=6FnTIbP6{m<{uY#m zY_AZ-!xDjAY&brRO_e##==nR2bDyd4 zP57U(K9*O4y~HtkD*9O>sPhV-n9M(HL6y3~Y^i&=rS&JDx6l18(VDvBvXiX8Lhf=Ia zQ;Z(u1Lr40Mh|4l=n>tg3N?tT3mH9kqcp07SX9++Dz2*2{^-$hS7Wb|*p|^#)i32) zb*=;{RB%|R+GQhB_fZlK+B_^+)i-lh?=gCiGbo=%q9=vsHZWMHj>W6$r4@RJz$LOI`K7djEV=9UqWGJd(F`{&GA)`mXY*hV%em7@C zFFsqde)Z5Py@Nx*s}0Jhap3kCJsQAc^r+wvjGjNBos8&ZEst1_$|WO*eYz3umlc^4 z_Gbo27(IA#)GB33rE(R89zvmNkk!A|4gvxDFW(zM&^H)_2Yl_?3sp!_!03UAyg|Mk zH1eG4fYBo^+*vS;9_KWS9&rbZ9w$i{Jt8W`=y@4slD|T_!N_x1KqC+Mj<=(pL zaOCqLqX%z@j-V6H2G;e+H%VDh;ut*;p`M?q>E^K7W=z$c2DWw6UM{C83b(sYjS9m65u@k)VvHVmtk4)N7qcISR#6U*RrawlqX&NL5^UBz z=bbVVgp8i6_{zc2oHD+k$LKjXWc2Ld<9tTXxwfnwqen}dE#ESF5XmxnSb(Av)*Vsc zk_aCR!}Y*7;zOKxf66SQr;gvdsY4l{bo5IWb=UX~d!VB3PL>WU+vtg7jxJI-dT^=k zIvFEPfiYgd==rD=Qo!iBt5_K++(KC^n3%_`O0E+@4aO>+$|7>70156_SVqtLETiY$ zEY5_{GiDVt=NLUwlJbeBG2aLnJ!1Ir89ie7@fkhT!?DVgET$Med+en!=7|G^T*n&o zjWE2!K@1PVJMox5Nr3hIx!(^gMt{seeK$#jjJ=@jnjG1TbcUYGC!3q9$jGl%m z9A#`PMxlt(BhOb%g?XkC{9y5CM0y^3i zhNpzl)3BIN{0SP5p|PYGJ$EnwHrVmcn4`6k0c^wenJ{|BHQDICa2m$1hOcozAJ=aN z^l?MUlPseLtYrqdNLBH1pR*MZGI~v12Uw&qfw_Us zjZTFMHuCXLS35=zeq}LwzK(Rp34WZ;^U}6uFf!eG=hGga?+y_qeq$ymJJTcBMk-zI($L7*g+<}=rMYpX61Q|9!Arq z(}kLPb>x!V=w-OJew5GXd698^Mh|McORNP=XG<^6VDzNq2W9j$9VO*VP0BHP?g$t? zFmU4tlE>(gjB|Wz&dal6Mo%OR89l{456bAdgP(zF?)4cx&3R@F6=4}Y6qva!gVEDG zL9%?4%Rs{D2}H{12}B2F^qfr%s~M(okI~cI%d8nj&rR$QY{Nr)_wU}lYmeT`Rv8;k4UkMpKvLbTDi3*&8@e+GBj$=hpvveqr(KBT+ zCAPE}L=N;CM$ZNG^vZ?)S5hX0K^M-T#f+Y>BqC+>9N7wq3~4PV>H7vK9%b}oc#zSP zA^u-r^t{3`rR8c|dpC@pmXnw{!{|Z7#p;P69&n5vbY;irk*UyQ^q@Z%Vf0MpF$pn| z^23@K7m}}RCX60D&Mlc84yNwi=xg;q70ti?d}^D}GGML2-}>|A?@!HNgsWZS)X138 z^MFxNBSz2EZbX}UnkZ*eb4&?;IZ95u2ZLfo(!vy)9{6Rm!m#q^mu}h(c^h2qsJ2%Mvo`SV)R^!qL_9O3j{|jjQZ;;WvVpR zX-`SxOEY?W@nJA}KBkXKbZqoCYjcneU4I!WX&Nrr8Nv>}7OWK&yP1&n)88h9YbwSK zy&eAJpEiGGFu`I{jSBM2vCVkqQMg~k7}DtKf9i2`JVwuH40sa*vMF_YG5oxk97vOO z&qW@Z^UMQ$bwHy%%+BYMkCt6b{$))y3F8<&SHrt?qVG+kqsQn;kZ(qblw>DWGaeSi zEGDUD{N;-p;7-EmxivDX$!oUWYTmaM$L38Gs0d5DdrqX4sP)w}qvtmEd!NyBCq@^a z(F2=82BW7eV)VQP`JO&n^4OME&GK}nvb&qe1ahQiT;kdaR_#9|u)7fJEHdS+<4 z-SnQm!GSx8uykZFdQPMAB3mojj2>8Z zv3rAAL>N6x343YgeDv;ZySddreV1(8M2sG6H)WoAo(}U3c0)56J=eGm(TLFl5A4^_ zjBTyS0iy?*#C}c4=wVi|Rl_)&w}gzI8@X8%+q&oEsyMlNjGl|DV@6LXzy-}vfLofO z09Q4AfiQYP0T?~Lpa`SqMai1S=y^mUIYy6l38TkzWHNf*gO*n-SB2YtU|Lh#FYJ$B zOJmcM4Q=S`F6<0gm5D1!waaF=X`27{})34&UoqV8%2yHFts^&6|># z(KF*%HZG6R!?bXv(4+8h_-XG~M$dHWZnQLnjGlI4|JtoFupNd@mhcP?ChZvTtFjn9 zPulZ>9-}7~v0(-noWWD&vM-JpMM=sK-2OH^whb&@Jofqcv4+vJCrnTnJ+UbD*#1-5 zBZ8dtpUOTFi6nCvGlR^b&bd|J{<6#5F_)V$&u8>(rP+5VVDwb7l~HP16$iwK(Ssl{ zqX(jFM$b+*I40*eigrlTj2_rMvlu;S!&!`;_wry(nXqZNV+CFB*ef#_J$xP7CQ6!6 z635<1vsS?9d7M{LQBucV$@eyl9{f%iJ+hz4SP7$NW|J|upt%X72j&}P^gs|XdayI+ zGkTa>n$dHHW%OWhg%wX3JwSerDA&)|?iQt+anp>RnN7%B#OV2`W%S4@$1r*r-7tFC zr4GXAdCF(>)G$kq(F2z#@iW0%M2YGoJo9Oh6)Fljen4H%&*8ZIHuQ1%&bLO-e>Rt! zH8-2l^PCjFoU`93=+K#{_lVIW?GA^RQC$TvauY@m4w(Cl9-N;~7(L}aqi3tf=y~2} z^i<9*2^c+3miUYw+>Nk31)4^zd;O(-AUy-~)}-GJ4<| zF4>wp=diLhVDE3z=vPQrRLtl>o{KPgvhsndnY%ij5BbQ*+{@D*9-{}zr5HVsm1x}i z4;?pnGel!vei1Nwketuxfio|`I!33?!Xxf~oadQyId z(UZu1Mvs$bGkWxF<-8MQw!umqnVdJ^JM8*z9@c*;M$i0_Zlvn?C)QuU=)tssg zzyfRkSOEJ6T2{WsTSP4QyvX=sI!z^vp3Wr^qo;;d7&Cex3>iHiWPL$Uj?9E%|k7>_zH4j4UDEMbrJ7(JqDrWrl3y?`i@$>^z!7(I`n5IQmSV?gMXB~9m- z%z)7OD9tjGj%D=B$3T?pe9{K^mHqL1`{PwfNL9q+%enmU89nb%0L@Cn==rjAPkBN@ zDCm4lx+tZVvtSxFDzWoFrK_r^Ve}w8x~hsIM$aFmv!=WZqvv>Rx#9FIy*)+`;=l|; z;qpvI&+#L~9K!%O@Dtcen5#OT4yRHZW$n!>`DM-G#HhD9rphBA6E;~<5^ zkuZAT6EJ$7$7~%kdZ;|e47=zJmeIpg3kjnKNni@bptA^9;CJoV0dwif&1e`@mGD%{ z=t-teGJ0;tsW{H=i@xkLdL*Aa_HOOnux|rWSah`{jXjgaRRN<%QZ{FTSC~f)<3@{N z^uXU|^uT$9;!3(t9%Q;-MiC=P{F%mlz{;`sEJx&7}bHb3Dc$Tz&@Td$U|ruJ&NB(4hpvM#dhT%(>5_Yxh|lOjFUVx{$onLW9xSxwEbWq$ z%zHStOy8Qp{?qWXOL&TQ$>(H3kWlQFA)}{rNfnF0@px#F=-Sp0$Pz|R$n%kcQ!Oc% z;bRy*kxwy3&+oDsJr9SBo*%QULPpQFxYRQkJ!KA1kuZ8LLD_VDU&i{bhpC`-{Vpy? z%jj8h61=H!@`l@sU zJ9qR(jGk_spM(a;I_mZrJ>4)+VwFU>&*7jW%h;G1j2?{Dm}g8H zX6zS652IqTk*LWG*u6$(7)txMY&x)d3kK7zTU3MCgO<4f(*$N2ePGP!f%PY1^q@z? zjGmq*$LKl0Mgd!~Vf4TmGI}5g89fjLjGmq*7M#!Mxq(IIF?tw689mr*Lt|Kqe_}?@ zklkhOf$g(zlKba#E2L@4<0H`7Nh6g%t0! z^gQ@K$vYD`ud8zZ&yU~0Yz)h6z_84qBMuJ8>L4S?zzmy;5TKc90}jKIzz~~~5Is0?YdHc8s3iN#mwAEO_TtY0JSmKnGGq^L55t6SNa|&N9!dIxD65x?=*z=;^GNcIz{G zIuDmMQ5K`8b0XR;pK{_|J|P9DB$mXSP&r0V=S+D>1gqovmFqTiCGvS`Mo%YX5u*p4 z;~*G4e{n;gW%Qgyqv!q^J-^aN#VGgOe`cFDjGiZaM$gARM$d7zS&W_^WifglO)+{v z?RgHX5Z%4j+!r!>u1PU^V9SgdJ)MgpM$b5aeE!(C)SNNkGmgIcAQ?TsVef@mjl^L5(to{d{@ws>xL&nB@ZuZ9t}2ZV?V ziIylmcPkEtOw=NA$@1hLHh=ix&ArP4&h5BpY4f4CE5IOe*)r6KYpMx3R9wdx7tX<8 zVm-IJd*!w*7KYJC+4}C@Z5w%*z|U+F$yq&XjJsLfdWoO@<4Vst3tsbKiJw?h*0JQ2 z)CV%9`6ps9$lX(1Gz|Z#swaV?x0lU-!JGQ#i;4q&m5&kWQRc3D3G=%!V zz*de|3oZcisC(OHJfFpZu6Cfl)eKzTtby^V3qC&(J8%5=F1gK_8;0MpTkz<>T9G|q zWLU8-cy?fg$bf;6jIf$s@F#tGv;a#%kzt9uuxwz7gu|5&7XLc=2N^7^EiM`k!3VI8 zT{vD?Gkw3r@or?UR?iObv^oK9_++Mbz?R-LGB-|xZEyq*Kg@E3dCRC=n+a2Zz~RR@ z12H~{vOLs~YjfHG$7xjVbWH?0Ud_3|kMyac%bM`^_JqL=xzoK!jgHuX3Mt#%$ktX| zj-=kJNfiKocqt>*%bG?b<+B=c@AXoK%K#Osa?6TF=RTwfy8L)rFO9%Ys|jh1JuJ6d z!}4&z5<&n!$>p!eP3&`d5yFha|FP0N;rWK#Zh0|}ln`QRqdf8LPFnWiN&G$^UQ%>e z?o*m{29e}_enFt)rt^x5PMp-ZVZpl9NWJg-8aJ5jR_-2r&QFbgQp6Ip6Be{l3%V2O zT+)<#K|>3vr7)bU>3s-&UqkK%g>x+&Z{S=fbQ8{1IsVYVxs+1n;ki`sdH`LT!W)6; z+Ih6BGjbSdGZ0-3ebG<(C^I)smnuWKI>{Z2VOfhH3lenp+)hj5vIobx!JOzkL>ET7 zgFqHC0V zgkrNiL>JcT*f=C&?Rt4wim`7HF}O7r(RG%rtVC*G)k8P19Gid^(S^LQw$el$q6?N8 ztgJ+sAiB0v^n~SE=UR@x+?iG#n_+hEr! zaX!G(r$~yo&uF&z5&H+MvDi`Pv}n)pC!>6vR-633li;-MFFgULC4VYT%Sz2JK?zPv zWEnWE;unxp-!>Mc?*hp--zpAl&RGU&4t>v}dVHMLQURwVvpYf>9l6O|MNpHSc2N^B z>{3q!CUXq{y%m^U;s2!cxOlQGeop#a#^i;4H~O8O?D}FWjeB>5IN(>%x8PjX%(i`j zEm547*vtFjJ`z4YuMX@IU2XJR?>lz*j`u=GXy-mo3!h>n+`w@}Qzb!Ya9XEHLxS7l zw0cBC5a=SI-)3n@jG*+a3q%1DK?NVcrxUPVJg5d1!(o7;WZ<;=lQgu>;j~C=EU}y6 z6108k>m?^4u8-3igj>KdPOFDDSkOTTdbP9zfIxzp zQ9_o7(;6fdB;s`&VN_9^)<`L<26i~Dqfpi~POJ3g1g9m#xLN(lDDL63IExV}B~Q@V zR3J!jS|>@`N;e5`T1_5KOOofCNJeM+7Qirt`?KOf(36gRWs{)|ISKV~S~o?=EUTI_ z7|gP8S`Zh;X`z-ZPU|LVRxwWN6CqAZzP%g8EQ4tcm!}k`1-k>@KEjkGpEQ&jp%n_j z%3-Szb8i{;G^l$1hmG9OuNb840m#d%Oj|97VMZEl`4l!<6V5c-@^2=ntFS@XfRtMD zOZhly6xJ&t@suAU?ZSHaI4$^KG_XE?Q`SO#QyOcT{G>+tW45Rm`$L>o#RC>iWr|t; zZCTo+aayPVySDLhTICo)(ak)ZR{2k*XfaNUVk~Yla9T_PE1U?YMJa~b6iy4}z>pi_ zv>;QQmaJ1MwCX~f)_rKK6_2u0SNz0iqvALA4>iu^G&YQLsCb9dDi(eA$J&R@eGpUV z4+EV_u>c5hT1#kv^Ke=y8CJVSR)yN&MC#+TDqaP)SxbkLW~H4FL}HPz%e2mWAUZcvWr}jaTl~ zAN{F(1;4oy;I!23;j~oX;j~n60G!tCsO8F6Sjz)SP`Sieu}>4Hb*Iv;!v4$vPK}(7 z(Mc#vDwRU?5DIm*SNU=6AP}(s^1BfPeS<-G7vIk8s6vVYoEAo~q4JCG1FHrEI4yA{ zI4$QiI4yApI4vhha9ScNgwrCWA$NszgMq@K9oXzUj)Ar~pP2b@Ai#3M#R{fFop4sN zt_L11x}wD4v><|}B-$1jzVPeE40s$zX5KcGv|;9|0%McTuqG(M4C?EY0~VwnPD|^; zMz%ODNHLgF8sN0FR;*aJM38YeW`ZhC3o>rTATu2$VP;`s$7n?_)3*XIbS)c8P46B~ z3o+TjOw26rX5$^T=r9=aR@A9DbElc6 zhGq_&1+CYCqZ)^bAx;YmKGikW%xmO2y=vP&fI>X) zhhGup_d{lJTGjkrvKr_B+NqgIh1B4HE^*)nfoP}ZZPX4c+pH67epEm?=9C8a*)fLc z9Ycv1;I!T>N(yjVD+=i-!fDmKmc0CfRYgvWUMgReM2z(-I?%kJAz(jgQmfIvl&qY@Ak;eZR>vB{;1M!tjpVQWk`F;@W%g zWlX{0v?>yu)^2{7Zlh|yiI0-9`1mX)>bvVI&>Cugf~8Ac8}@z{TZQ!P(^lH5>b4~8 zDhyHj&|LC|-}oROMYvQwW3o|a-4;|z-7cvVi@jo&-$-y;07=`D*C7jOrFGY#E7U!X z-|V#I@^D&xqaxXKnJnX1;d6$Z?BTSu;0~w7OhTL%BoR&vl7q%+oy=+sa9V4P4lGV< zI|iMiAx{h_jMK{YIuK54cNKA3qtrxil3@rI;A3+dGM4eC@!YI)aJ|A{`iN~6Q>mECJ`8opy=cM*~vK0#_D#AyX0gVXY4 z4yP5$4uI3De<*qC@4l~oEgIJF6S8qycj23ccgu9C)};EotV3~HyP>p#IITT0fM7Fg zaawm^{P3KgD~Qt?{-lkn!|m{2XiVdJnN!(_bst9rbmEXv()P^{%U5eA9RB>9nQaCMqk&n|tS{_adt`trSG9Raf_#sXU zf)J;L2q8`j8V_+=5MUW-nK3?2Yp}y)Xg4F>l#*`htpy- zZ9479<=#QFimK+}j~`(8>Dq{!FDTcjn;FN)X`!~;#ahrXNP2MwPAetfFHURJ57IcT zGXtC!4BWUa=;5@a;2hr?J}r60IITz+;ulP8vhEKC#s0fSGqQJ~; z891$mM_J^?#jXGePAd>8PAd@Y7pFCdE38JC#yy-?!z(PB!D%gKhe+eJ&@IqO8v&o1 z5#h9;U->Q%r$v=Bl*4I35aP5Th;dpF#W*d9BAgZ^5l#z|LO8AMxGFSy28Q>d(JKdC zP&CB$&={w+JHcroH4mq?EUck4PHT`X87y(i@t>4}a(>{MprFLJ;g!HQ!fDM3Jh^Y+ zdP5@~8TdJz)?Ii&7i2pxuT6b|wY3cx>!s4_rhd7)T z8ZLHE46(&-92(J;9ZpN8!nJ@wLj(BMI`roPIIX6A_R@0AOeSEjAH$L0w2+|tze>qByX~A;U!)YZhi_=OZ7N-?R1gGUm3{ESMBseWk>~LD1OmSMCKyg~0AjD~T z9*WcQ1O}(&NwRQStx(077Agc+EX?xPRZ7!7QPJhn_|iBnUwjZat+!}a$r{_UIY=ed zwV{&6+^t&;23}|?%7Ct%+xOGIEegvv730Rb9sc!#-on2!T!lETy2p{{kw3+6+d-e49HoEB5US(E3SMEf8~sQ=3ejR;N1oED3UqZ&Qv2yt4AcvutL zx~CRZa&q->TH^=AIIU2Cx748k52`}}?soeE!D)p8;Iw=}0i4#&QZx^z^-hW8a9Y+S zI4#eSiPM^e)>QQ}TWre*OlxYn*8cHvX_$Jmp#_~i2@8vS;`oL*)EeLNxQ&dn{}`t= z?iW5z>k652JvP?$Y?v5k%wb~-0z^2io7w&l!nVK`L`mVa?qvh?aat{3X6p-{(J*3& z(`xw+o11$lqd2XWr`XioV;ig{D2Z`eEzh!XNvqy;HqTL+7GD2)z%0RO!FX{Fe4Dv; zVn6G`2`N?s<1exI1wEWr zEMmh9h*bx`X-!48E#oEI8{%vYPOB+QP;gqYD3z_?wBk_g6G7pYD(eelX7IhJbLwdP zovv_4A7{oqYLRC=O<+h36Vg?H)50lXfYaiD7~!-KB*tk$l#SDBWP?lLv>;96v|#tl z!fBxmXW_JF^I}bi(`wJaY4LMto5&vlO-xusvsQr9dN*H>LsJvl`P~Mmg})P=mYioY zR)W)-@R%{Tpt%W73+5ZeX+aR-v~V)#|Qn=MWYvvE=%XX3Ob{SqVS99AnfB*bZ*7%_)-F$W8k;^s8QX<`4G z!f8!@gt><}Erjb=RE4aktP1f;@W9N04f2$S(;hKSOLGfwS`ejiS}3T8(}Js{sERnP zw`FAEvu{Rd25Fp@LT2E!*dnywFpRL4^Kn|TnTt0^Svak|jskM*UKT8k)51>s0649;v8ZXB)@ByhA5u8+ zr*K-bm`LHYbd68|r$x^gr-d;fM_yDKHg=L7- zlBH%Erv=*!;4hgtt-%pa>q01@4O2h5SDS2U+TLLXgtqf(mXUNUPHWm%8Tt|%;A8fW z+w33rN`TVaA<<`p7bB#$Si-~(UEjWFg7MvkY>l|!FktF_kisgWj_4t7yPD^qKa9Wau;4yqw^)t_yKm!Ss6 zTKO2KbrTEIv0>H1PBeYaGYL+MuKnP&R=J6E)+#3AWw3UOM)W36Z4v??7-Cc$Y23%T~Et@Kykw9)>OmA)oYotkHta86F)v=%E?%FHP3(vzek*tw%K!fCbR{v=j_ ztc%$`POBXTO6-y-_iwD2Pydgi^2+mRfcAx;Yd+*ZW> z|7GUoB?JLZYtCa-97D{;uM0Dcy;PZp(_#q4Y2mC5jbRS{6XUc7+f(K_Pq9D&PKzRm z5#hA99Vm{E&9c7&mnoEGF3r?pK>3FEx17(3o0?haf%Rrgi)57>THa;r2{weEM| zuB%Fci$PO2(ub_{U%jT@feWz+GUq9Fp^oQmkXP`v$IMDANn}0*qLp|oPOs(SHSbmVPi`*z z-|*aVYRi^qfL+}lv9_(AB-2XA1b9;wy5$FH#QB zb48aOfj3SIjvA3$ptmz~dvi*%!>K9^^s$$eSpuaY*;s2!+#;t$j z_d&XVq}aS}&05veDESlcogRtJ1XqKT=A7^B+1!f!jXn)ozo;Xg59 zlu>YEGf3+5hv#xLf?$OyQ)9n~2;V`zGZZs5zt>=<7Jj%0 zTcZKb7Uw2x?(N+=;my69&)$OJwP(V_sgovmZJ#`G@`SBxwoW)}+q(6uCYT{_QrDyj z>+pAv5llGx=;VLtpm+#7LCT3NHf&nCwR^1xnzEwTgPO8wyb-Xe4=8s%SDOi&I-)Nc z9iJ@bChJ5#igS1Fcud(^>oQ$qx0k!va;5BHeQpS+0S`9S$#so+OR#Mt+jq~ZMh=Z7 zeaf~auLg1#9~d@uO9Y$3p`RSAIoQ;0<{iMMAW6Zdz91_tfi>~GxDf=VNAarEhfOuf zM~H}nBL{ePy%S~Wk%)07MKLZ>VpT0-P+cr+YOO4)MQY!iLpQLint&EIg}kux&_o?< z>K(G~5MctFdMDN#9Mb#1f*r!9Mn$kGQG|s}i4G(K6t>T!@(ud3`dcVC*JimduzvHS z4gi~Kktr{~Q0JN%+B9}c)VG*rU4FIwqu2g%u1-9dwV0E%r2PBv0okyrpZc(=i&zal zYzl%9Hgy@lAb?F(hp;Jajy`M(l7g_QYJN;Hp4lpFYO0jESf%EBXT zjXD7&`k1(QoG6_vDTPhVkro5@hTiQwelCUp_B{rW8L$}lSPR0YaOXxUq8Qsu{R3M} zr`zJ^V%Su>+^l$`U{fz4r@r#LdwoxqZ1Xdyw7zfEGDvgiyO!$lVN<6GRwRH;Y0V_$ zNHh*s4Gts>;}L4XJ{CP|Kd>nTfZhtjrhY6vE(M#yh8KM<12(l>`kjMKt<+V18aA~N zt9rAgqom>V!!8>d5_Xgd z&K3`Im*t`D24!yVZeHnomXVPMi2yYS7Lu6d1Jnzy_tZs^bx%=}>jDOO5{T@?}X zp;A;8+J;Ke%@j8EUio|#8bS|L7{aD@quxsMthZ8ped56N?Zg4pPzam)D4H4O^{9)B zXq5+>s*?&bAu&BEZ0c|+s|I$ksfj3S25joj32aJ+ajn>#jN%?_inCY%n}Q&LO)ZtQ zmHiRGrjGJpQ<6Mi3{vk*pVdh`fx@QhpbZ`S%8rFLbwj_3iBT} zavwJJ5ai`UOuD?GwtHDW5Ej!seB5YRZq3c40ky*c5y) zuvs6!DN9c(|E@IFvZL6H%b&DG#n>OgrXI53CR5Dvf03n48aAb4udFx%*c3)kbTc0| z^$RIl3N{5X7B^Y2DI|f>Hik_>3bSAeHidHFB5(+sf=ppkvQDW$4WjBo*wh!$SS$XO z+Nk(Pr?5XW zfK#Jcpiq`nDuw7F6zXcP@;2=t5U~I9yAcF^gF$#x-Oh8ULW%;|6h<%un;I%;sAZ>g zbj)4048|U?R^WxMWn-yf+Jj9Y zCOepkndRMVyd&5YB92p^5H^KUPE>gSn}T?%ilyl}*c9Z)s@#K3K{!K&0c;B5b`?jk zDU_vCJ^1+^YziI=5)X5*jGj;mkCW7cx(s1c@LQ7jaT=HN8pc`f67yhFC>Hh=RG|l( zg76FzSQ0=hGCgpGiX+$*!mmm^aByH@Q}9@;9zJXe(hxTF;}AB5SuKQ3y~J(+Bcp>& z{h6I0f=xjd!KNSyU{i3XU{n3LvPG}0dXr3P4mQ<78I}TOHK(wti?QafdJb#;>S_a< zVk`%n;_m@f*Gq@5K7zwz^*C`!6OpXl%drF~vKPu6Y)ThD ztZffAHA_Z<5H^KtL=kKXgCXw>IoK4<93E`y2xiCiojI+k!GbS_O^LSI@;7hq;S^MZ zNDejy1uAUn%VIaLdJaayn#l$>1(}0QeHjX=fetWv)O1iGH7EEE+!+_`)U2X*SlMQK zQ}eR|%2BIx4Q_j543kB2R~j~TrYI?ZO|34ZqX;%ti}TEF8#d5joP$=dQN_xadm1I7 z@ZinDrcSi5sSc_$flbvGvv3J)ikei|6n-#}XBS(xa&F6uk;aEjiIK*KO>rI0Ll47A zVdfkW z>jtB<)c!jRoOSJ(>nzj>=?Ac>9SPY9LzF(lwy>!wK|TsNsd~<2qt3b=sFb=(rBW;u zidlXqflXbTl)MgENGq+|+lW9?i*c3AfVN;Mquqj9m z8aB0@)fm90HX0pR*wjuKGmD1k<9fW+T{?H!;!~D(%37GBha+QU*44vGo@7vF|Ny zJcdnG+m?^v$%9S3!W^*muYMyM*6=0np*ja*j+ET!)bGPL4PPhIrCO8f_gRO+rfz`J3c{vtk^uypQwy8= z6vhwF`K7|JsUO>@1~2s+jcHte7T8p82%Eyth8=7Gn}P@<;-(&1Jq*Y6;lrj%BiPiX z7B+P$6f$CGA=uPj2b;oGeN4=DjI^++KbtYq!lw4}2J6V;7&gVoF>DI57&Zk1nSo7x zBZ5s`8pEb8O~Iz{MLui_X?d_IxKgkw$b8ro;)k#)2twEtB80FhXgq{XL4akTMcMeU zsUZ$Fg;WyQ6uv8uf?C+rr6Fu;Um@7k0t=h6dNHsmjezAdVN<&z*c1wfslbCxK_Da` zN1uYgz^3l-U{iY-&x1`dnp`=)v>my8&cddC;=`uyU>qMdh1zac*i@Yzr1?61(d=a> zc1;0z6b#(BOXtC+q~ILi8a^*&I25p{Zh=kxEr3mZ-c*Ex zO+jGhwk+7xzp}`Ur??`&L9nShfla|Q?!%`3#-bV66z(-rGa^{ zDXKhxO+gUCrXYx6QxL_lDTpH26eJOB3X(#wshxyP&B5?qGleWHR1Hy3; z>%pep64p=}HdQA}2Fqh|bR?NrM(qkb6Ht=)HvBE{jbKv?0#8m?32bV7;OAgd`vS2C zRg&x)Hw50aT^QKZ?SY36n_9CU*wjy5yL_Fnsoe^j+84s6WJl!G$qE$PZK6Ypo|1;= z!=_%L#A*vc4&&O_!lowhZ%;4upGTP}gRUOBLfF*#iO9gFehP`grpi|j$e6?(8K^yfmbsr&7f-kJ_3U|~~mB(N#;6Srk{ zT%(!}^tHNcW#wOou}zjwu-0I$QFplfd#(8w;i~(&Wn>JS+F_QcDoRi;Aw6#`?NpQ(c-> zvc^Vlvo;4AXzJQgNn<{vTMY(YY%0p2qnz9K)4xp!*Hnxf>vs6p?=k<%a23I(o6?)HPs}HgH0`@_xF8o8XY~@l-1pT!LT_w>)LYr_aRt=UkD6Dp@8b->!lp2;X27PZBiPijEcdsh+|8fk zuDJDe?Y*s_C51V?CUr0MWekh=4b5}QPo@cG>rU7y6U@l(v1Dk^R5T`eoMHDuLlyH`2 zF8W*86n+~A$2Jjc3dc=2w@F{#9}DvhPHi$_Qzy6s(FisLk0vdpwI&C!DHIatH4$tI zMa5B#9(07TsbxH@3Eoqq%G0o^DLw{D@j3y0>d?Wa?ucPi7h0l}{9s{`bsZFJinraX z{>&EJa)oJ4E%uph3!d4+TW>bBptC1oVUbT9-w}se<6EAxso?BC1)KVf51ZO8Q?5sj zx{(bN!;Cq@XhDDoHgyNvA41p`*n%i2*wp9P00Y?6*V+1lM<$FI!KNN#b91jq3~cHd zHZ}JY1zn^Ck`!#}muy@zh#1%u(!$$V4<{wCsd59G;@XXth6pzG8&tx0YYZF@Lnk%- z9IIwL2K-Fe)CWUS6ZvIDu_#4-Isj~{4cWF#k!){{vo)}(qryB6A#CbiSGc2Rn=#La zO*N!pQ#d8W=DvHKZB-l)BiIyz#IPxdvSCwW*x*vIDM-_>sVQuA49Zal@1sDjLjxL* zHk<{Un$L?hA#7@125gF-L)%2Y1)7+!ECri-Ki^g(n+fyy-3B&=zdhI#PLFWN4@1}1 zwOA$d5$S{{Eo|z!7&i4>3O4l|%}^M4yVmkSLKRX=!=|b&Yzl)btau8WdVdNwg}528 zsV7mk2sU-5g-u~-PGD1rZedgCQu~2TUG2lBFgR79;576=qtRyc5F)?kNPYsmI-NY4Z6jNG5CwwH3jp&=?gq zbtw+3C;y}XZ0b!ByXR8o;9yg5#jq*tU(>Lu$C-Nwn?g7Pn|gZ)AA$!uCeAOXJeu~1 zVN;r00Gom+4VywiJ=hdn1~wIeu9$Z~h8dw5q+wH%OByyM`NXg(W@l3kVN>wIiq*oV z;4-kO-(-Bb53JE*EwdL}>JT$VCqWd$rcmYru&Jzapj8}$6nX%sSktqx6Fr5wqG~YY z9y2@b;lZYmTnaV?nSo86d*Haiml@d9#{$?ClJj9xa2nXux3U_n2bQwWmnAX*%+qZ5pu&I|E3`(#k zi%(v@bn!_T-zcKR~rhwaO#k z05*kb0~@;#HZ`qSI*8tD(W@@gu*<_P&WRG(6edIL=X}_dZ06$4Q5J0K7RSgq_7*m* zG;9hx?E}E3RzF-B&i(@g(1Y={{ln^$Bg=GYrf+UxQO~Lj8G)g9HYDff|dM}jFhN&Ojt4+2v zZReN)p=}q{_#0)iP-i4w;$jH<9gYaqNzX=%~X=oLIaz6 z7r#b6ds%|oXnU`8QRZubAs%e%R_UthX<$8vR)3!B1`8_Y2D_Fz+p z12YVT^Rr=74~aR30n)H3bkD{l#RxWq-VK`!BX$&kO(6u#GMOP_*c5V#U{mOp*idJ| zrZ!~4reL(kJWJTr&D;s7ZHU*)DP3^_l zzzieU)W-|LrpjX2)a@*cgH2(c@nBPM?FTmXb~lmEdOH*GVN>V@nXoDOo&+|9jkdz3 z%FK79VN<+CJL?@XA~tZ0fFT*wh6fZ0Z{7Duhjqj|054Z3Onu#ENX7jS{repjqV2b(IR_vg(7(GJ_ETgbIPYo)*Qrj7PjjdXUgNOfwS zUCB8)1)DlWp-W~)X_uZP9l_2WoiS_*_b0IeWYe7;z@}iJ#4btt5H973n$X$Xe=oBO z#h4DVVN-vXS;of9fK6en#yn%vFk`>MrVtgAjYLgmz}fv|hM{z6CTt4R1ZEk{FosQ` z{YS7VNK&w=Cmn3+Vm1ociuLhA4$crZ1p#g=dax-7LfF)kRGbf+x`it9VN(d9uqm9i zp)t(Ce`46w5PQlz=NT3#giS#tF(TO1c?XJ<1)J(%X^B@-1`^)qtRt<5huao5b)J?I z#(7yWcKnmLJ8<<>-PhYcVEa|cC#9jPb-x35T~+#^FMY&Hf8aIsj-QERj{ICg$BQ<| z-|)4(x1hh{A-D6}0h`+FHZ~o)a^fLC0Gs-tv~3alu&F)Lw9Oe5js_fTN@^;AP2D4H zIXDOCK&oiI&cbhDQ(u&}n?A4V_=YrJcTAALrXH7e8^ETXmNii(Z0c8Nw|vTpClq)b zunCpKl9&^!1UB_2c}Rqlg$OqFS_W(ivIsVX&T$a1se9cJXkk?ZRU!`>+Aje_HhK_ev`P4v5x@I^BkhyJR;X5!BFaXFN4xEJU59QVwF7cvp!*5 zfToVZbASc?M&u^h5R5w#J?7Mv9CJ$ZBh}iFUpmsL1b+#_Xg6{=Q{SXV&+z^79km2` zd;od8e?;y~lLFursuw(8I2m5lx-y6sLNXy1jsKf z8kPH~SA=yNF-s^PASlBJP=;%V=k|F)eZqi-ohbS|>Z1tzcfgjWjL7Z7-7E99bazx@ zzPLnQ_zjBQ)pAgi+dYypqH>(1K~*<@PM;4i0p{tqUiKXSio9xUDJr_GrSIt6AH6Vz zsZ1@U2t9g4?vDn_)NPe%E^x(ilGO6J80GZ5L#JO9?pWEHtxVm?9 zImn52((R}L~ofnWiq_*|20!Ih!CiXu%9ZrzE>u=94=)P zV)F;h1(>@{&Dx%)#sii$HR$b3AAMgTOKE zJTQ)FZ-iqSpl@xE^(y%(=G+y`n{CXOq;O34$y!9f1AH~t2u2YMj^dVfhn_P^K0-uP zi^Va`mB~2~TpbF%gijo z1jn=$voZ(1J_Aq@;&;~ivw7N-9~$A9L=hIp1V`=;N3mDTrgL_@!i~$tK1WQIi9Me9d z*T%2^o3zI#4#-u@J4`R zGCEq>jcM3SBdi*j>=PW5ynfjqjtK#vx579k?psJT*~|mhb*p$u0S&A8Iq7p5I3^f- zv72)^CT!DiZ;KFs?LC{ftOICK6X?4Z>tKE_Xp+!Gzon`Pb#!^P(Qm!)*x@_g3mxfz zF582lu>aswjKmB?W;BJ+;FwMm4Z*$92yn$2V-Exd$AopNrebhR7l;BRg5sDyfKTU& zs(4ThavYY8W9m;*%{qr;8isEy!R;LB&?RX5`o~Ey82C7*LAXU4e7*)X3>!465XW={>aFDOthZ9VgJ~St z9VR|aD5OZjF>$(!dVKbQH>(fn$0(!7<4& zZg5O8ihDRF&SC+M34#R2bdsdaB^S@U0d3)W9qZ|`CJ)CX$@5Jex&-IW2*(7RYmtt9 zWs{)|IZ5+zO!$HG4I6QB*Lj#KEIXFNcIby3C@zd+f;ugZ=_YAbF^=gIA&yDDy_?D2 z#oP>zsghaH6e6EAlp3)(rebOYbzb&>OkeyD8@Z2TdH~+#Ri>?$A7%dlhb)C-`euSk ziW+Vfj%l1U3Y%9#aw$JX+J*J-aZK<*Kej%8QDG;d1O2f8B<$S5_4Pj!A4o0ged|CQ!5#jtOE6wOKeOB!Lx9jAMcnLv0Gj zgoc12H^ebPrZ^^9r&MUwg*c}B&{!)TWv8zAiP1*IZ|om`(s5T~za|y$a7@Lb&;D5Z zu(=On3jIf$i2bnu2yskHXu$DsOgah}k&k0~NUB^slKQUrcd2iYV$!oXrr(J|MH=9k zUKNdsJi;--2O1Th5XV$6N|nhD#dI8@{y5==R>@3Zq(nYZ>^U~PhhstnoOBhyG2yEX zj%mA(W5Ni8p6GB)bSjSN!(7yHkfDe;rX|o$QHW#G->0hFYj8}j{1@SvZbvOwzQS4_ zP=dGk9;(TJ}!+|%1I3|1{h83M~R8HlC9FCTEf3DHCMdxS>g$vP7Nj1IN$bK!wm2q8 zF=9~~;Fz>ltXQ{1ka0I=f+~&)GK^G|nU0b$moTxTU(w6-t-z}>iKV7n566U<>|iEl zmUpx9j&MwfI8J>+91}`8QRM-S3F4_LmZs-$OpqU|au3G@;S3c9I3|eORUF}%P?k>h z;OBcdCU`7JJh=M6{V=qOQh1!C9@J%sV}c*XSF2}tqQN-JU1A=N3B@{1<(SFLwwqn; z3=>$6M9_*%4_u+*2*-r*s}c{G)_D$MVysmUAIF5?A&%)u1p@I)5UUEaT8Lu;fiJ`{ zz06L)OkfE!_A~x6PlRKFB)~Djox(8{ab=5+T6LmKX%5FkkaiW80$ATrrZ}dZSo2ps zgEfD3rNJ>Vmcucfh&a`FScc)Tx`DmFdW<-#UJwV?Kr&BOw__LxaZLBSGmUaA0Wb*2 zda%pkm~`>O+V*fv(`6(GaZGdg$-ylw42HZ_<8Vwgb9gu=+zSqIOjz*6I403HTfW6H zA(F!}L4k^6x?e2L_}MocBaJgSCdeF)iN9J@106s+`pY9VoxbBuMmsfcqjva=j3XIz zUGt*?%2Cs2%?UC_8ig_5IqSBrwcxIrH;a-29Mg(II#P~_Vs)_2uX!zbIR>p@qsp~E zN~f$49!*&s(_D*Vnn`sgIHnpLwfHzDYEp4b7X&yaG1B-rCNa|ZI3}*cg*joUG02=F zf;=xQYqIbESSBZ~y}&#f#r}dGj{FgZcjDT6@MRJZYuX~*)TpaSa7?@TVFt(aO?;G; z#m6r(QQuuxfzDF<6DHG!yhMbrb35fqS?^CsvhzL zM;Y4+`I3de3)qTiDdMqL(a=!_$8;tixD!l z!;^<&`aN^RI?Vc}a7<@1z^7yvTk|7r%xN6cV>Y^vV|ohX*U+6D(1#V9A#2#+Bqxhw zs+6IASc}0ioo(ypb>f(&+9IWKOvj^0d0qw9VGLv?;t^ly@EW|Ov$R6UDa4{mpG40{XFTpXvnT=zrMEUEsP^B<|W#E_w zv60tbb3h!^yO2(8-=I!)q?X25|A~S)rU_CyV&oXd1X+w@f`QE7n7$I>n0CcDrd=r<6TZmDF(EAv#{^dj#{`*= zV?z88#{@x$V?u-w#{`XsI3@_N49vnY4R$ytq>|v6@LhQn)Z&cXxb&!7<(J;h3&r<#{+JMw90vyV{YEB!8yJ)d|JwIC~!<*cJH=!Z8gJ91~3AK8}e`p)HPSF*^j2 zVt@y3+SI#QpJ3OaTNoVEj0neM8<>YLjfGq`GIGG5|X*H;g!HQ z!ZFPWJh^X3a7;%Aeh$ZUS0MJVLy}$Nn!ua33xi|2De&-dOe^<;V^TaRyVC2#F9uAi6R_7;;Ye^y$j)t<9r>qbCi+_4m9p}$!;i-(j;TQy zHY4TVE6u+MS6$?mkui>Gi&>(^IHo@!+7T79IBVL+l<+TWVob~bZ5&f8R57N73c(c% zv;1|H(zH)hbh$tOE??Z$Irr3s9dmK2FuQYJm!UMxTe^6W^}vfSk50`aS~j^cZ_%uh zH8y%%h+}F)C5^dTw;Bw*&{UKGB{{e6r+-_Nl5Hx+jdeTx>#s5Y+G1^4vmWFeDOKI$ z$n!{C&SVT}bahvH$RrQPM0oL$xSc5*$fiGdG5m7XX$jJTV?#_eNj2kNerO8Ev@9~J$!9h% zGvC{M1~;$)1PKeq=6lmPrc>DOeH_!<*!OV;U~xt9ORR+C%TL{w=MsIRB9^9E-D`B<%543j1+@W4hVd zI-AuH?Bkf8=NDo#o`GYk;NnH`A-%Yt%BQ`na4WvMcN;Dy0@nodAx>{Fizto>Dd8;5 zT!ptdCcJ{du}y?y!f_MMZPM4<$HIJrQ=3d2Q>Qx+jc`oxXxa~s35CRYO@w1YQE^nG z2OS}fX%P==Vq13_$29(b436pM7{~NZ3t;+B;FxBiHC4UL7TfXx)0$eYwSRnE8k^p1 zXhCOB!onh-IKCkcwZ^wRZX@IDKZRrZg^y#pLZ)1gt#mybCWaYv*w}&q5sv9*wm*ch zEwBYqQaGl2*#H9^)0f%$f@c_v7~z<{!{+ARSr{DCQ*3JPu?D(83nVEV)3a<`K8^`# z;q|BoToN1;j2Gu1iDs_dXlbBZwN0-CtBR>6h6!rahv5AIF4x zk8n)V?r_l4;hngTW5T7&1jjVM$1x4_a7;G@IHq6b102&Oc^}6F+|q8m zh~|q;+#*EwWwQbt)1)+xsRrpe9200u0gma)7{@eYKRBk-vv5o}eKpH45685E8sn55 z;Fu6J6UT(m**KfxB+GB~EUWn|&AQ<$23&PMG!_RBSwG>%E~iE&KK&JtNd920!7 zVzoFXxD1Zz7Y9|WW%j8qjRY}{38EOsgl{Q;W6CNATE*0Vq|2c=rsL8c9*zmg*;?r^ zi*FXu;FvZaIBxJ|2FG-5fMY^(K8^`agJXIytHF9WCJhN=L~Dk|Z2J;hC_`r8nAjrJ zzRpAjTO8A(0LP@o^KneY(p7vM6T)QSm?|+fwl3ldrgeqs_N^NXj_CylUJ@|KA;&T4 z-O5%xN=6~E6GtJZ;gm6P*!|x$?Elg@rmwn@s&zH%FTgQj+Q7yx#4)wLCLKiYwdhrs zY1rjq7w1F?jtP??_H#atNj7uw<|qrtwAWE2j@`?GrEyHyX&(T`^fneXjbqx(;`&1h z?`WlQOk7N)aZIvCD1>8zXN+UQn65acuNoZF@&7AuOt*@4V7j$`Oo#mgEi0#2sdW=E zeZ9!0;lolmrk5fdldO?q921vH0gh<_>np%9-OH*9ktkRJ^5R&``@q=PHa^5LVPT0U zX4nm_UcVAIhq(FSm{dklXgXqInK-7w5sv9XD4`8gKe|_&Y-!rwVFrY@^J$imbR3T9 zs|`Th5^zzCUnonB*h5F zgx(FC3?p_Fz%d~N%rcoFVjL54if~Nmme^2d;h5HB;+SBxNBbd;=>`wSw2pO>;F#cy za7>t)6vwm+O<~5J2FEnxuMWo~vy#Oz!I9vY;0SO`H(<67aZFr1C^>0_!!hC3LV{yr zda^i}*%9KH5EJF@dB@4U=oPY{(&;k?4AK(ZiW3~uIX;d_%IV>lIwWZ<31@yez%fb6 z=1%Yen>c^>Vq4Ei#_8jj&vJe8ChdopoM7|GH&CI~H#={k%J%rL?+eY7Bs zDIepQZen2^jtTROhhu_kKRBjUZX%tvii!9*CiH?#9Fu%cf@69&zXT09~(Bsiu?&`tY4 z$yndMgA26wTVg#r98;d&pEeUjJ8YkBA=m!2mHx_`HrijZ($_?)Q}gT+&dDhp(_+OE znHi;BdXjVmJ9l)(I40bm#0rp2cXohdf`JmdBxM|VIHq6A>_Rc7gKQkrt1`>jm>D=G zjMbQDOd4kFR~!?fVzQB_$qYEVNM;yHmuBLaFil{V(F|i86WV`M%2c1iF+JvROgq^q zU@JB_COAVJ69gfS34##E^cWTAAxAr!}?B$PS$PmE(4Y)_fzJjDWqI3|cB zMucP9cAz*uc4;l(DHx4;h@FXJg52Vmwn?9#1LM4`7(3o0?haf%Rrgi)57>THa;r2{ zweEM|uB%GFWT1URNk zq-~4X$1zx{V;$MjigyXo_)j;~1bb;kq= zj_DC;w*ijn`?4m=#4-I$7DEA!2_+e)CsYZJ=|y=+1gm3&WBPLjjtQ~|$As>35ICkg z-4JMTOf@umZdkcy)4Cp*(POJ;9t(qc*Xg5TG`hL#*`^JS>4!d!X}OPMdN>QmbXgXT z>4FrFsX4$gtqE~V3sX2I*fL`rQ^!AI9Mi9291{@pw*fV|pD&kFnz?(?n)_YJ)?A;f zRLd})^y}4}h?LU=_RZMgxvC)4epor<5PtE9T$QqN=6%Vqau$5D2)m`hHy;{Sj(O+o z!E%h`_0V!m5=CzSF6XuzWdO>-PLhGkIjk>sy@#QSauakY8qU!t$FrgcmxGrxM#3Ql z4LOG&I)FhX!R6pY=Advn7aSOub5n%N8LV$1*t-;$a~1Ora5<2qa5?wOTp~^AUL%Z152x>h^Fs*s5b;kceSb$0z5{kik>LXv7wm(<93Qk=j?Y&QBO4!Q@-2&0XvBX*SRuxnAei>m@f<9a(>L0 z)x>{LvFEcId|VC$Aui{i_yr}T;jk*PgDQrC=?t5rkIO+N6vXAoYx!dMSMcIb@gym; zzM(HR-z#0A7&-`WIroLQ9PI=+l+p*(#bZV3q~RzoXO^@WxGgRR0$3;*Kyf)IaXr-E z-7X;st_#K->bm$oquFA)8AQobo^Feujd3|M-%wo6@30!_JCgW zoUNBHTr_uS*RmzEdBqO}AxUJN!{v;?H47U>ALVD`zKCoxtre)S%1 zO={jLCwDNV)r`8<#<&RIY)ClxWE7wj!>kIz4r>A_7)OU)6%kXQQdAXoV=6^ATg_L| zCET=Ip<#@mQH8jitI%jmr7M*J;F~z=eFyG}gt(k*r5z-=9BsZz!0~W7)lxws_Ha3k zQdSM@a5*rwWZ`oDl;Cn?7&o{a8O1$Z4rj3dmjgk9%ULXGE4?7Vjr zrmdD+JXAS2X(?RJcQ~kQIeR^h)uV>%NiEr-e1bFz8zCWWlpibY!g~0)9Qd#yo7DWK zEPb#1JJMLoj^yxD{)80FgE(bs5jA^2Gn=Jh?0-+~5Tn?Rz%lQZw zb!a*{imJh@(RVV-yWZTnoaSM8Rql{_sJzMGa{lsPgv+@LwOsiZ*75+b(gIx0-Ab1(OTYSf{&=%(tu2pbIG4RF^mxIqiN6-mJ%xD8AiLNMdxEzR} zDT;ht&hb!Jh|4({Ee{--uqG(sO3c$KH)D``xE!qu8`x+Q{) zyD<|~aXFBoQ&DC*O2Wj!#E#L5UZ!saUX4jCHLZEL9K>V?GcmKgn~isb%R$6(>J#E} zP|Ara4{$jUPgSurJ%`JI{8*KHxEu&)s4&3gK-{k42$zGhbgBnG-^1m=V?p9!j>gav zO5t&mdQg`kE(d-~5=yvwe8_@X2?ho;&O07Gs5LyFyyTV zhs&Xv!^7nq#_V{CVC>B`Sn$QT9MLvgzQyGrlEdXdfr`udg5q+Xg@v$Yg2ClL=5RS* zfI@1Z156$@?Nmt3eBZI$Xs2c+wZqEhC<$HH{G@<#^bS$YA{ir%!Wb{W<(w``3UE2y zg>)3*a%%D0N82`R*uka`tzegql`r=+Xjt2+)cy?Sq`H~=!R2gE*fbcT^dYImGO%XyQB%h7^6Tn;k{ zaXFAgxEx3h8kh4Xr)rDGIos&Kx_9uk;gBcov%)tFmvg<~az?9(7~Nn9Ooa}YL$jfM z^*ZE9jxzR@ARi_8xSUed(a_Nbm$Sn1aN3xb;o)GAGPs-zthbnteQ!xU#^vZ+aI}03 zPaZCZk5-3b9cD|E!sV=B0GyWNKQ%ak^g4=Eqa3gdeZoffaXC+8{2D61jWn#p3|YgV zejC){a)3QX+QW`ExSWl)ZeAxYXPV6?jmw#h{PK96mx0SUF~a5GI1W7x9Gq1>TUU0k zMa>SYW22>L%eF1t!cd0(7vpjeEX3u&$R6Ty-owQoj+VMMu2jO#UatHSTn?PsxEyrG zqPp!=DNJB)pmU=WY;9=d_17H`mvbS~sVxoa)W_xARuGpnS?cIe;Bvl|Jmq!YSARAd z*6>ByxSV_NO~Y5qbg9;)`g^QHaXHsRX$5gPdu0H@X4c|zK8f+ebN+K-T+R<|R0GI) zUSk^9p9Gh)KE&l=Gzs#EwF^oIMVg!(-oM zny|Q>KbbMo;&S%z4&KOIjLVTTMRq{(30;OIEgV0>H-1O}ILtB1?E zj!n(OyLk&nx{m2rGr4r;qyaXHm?kba%GoFAoeIV%EO4h-D54dmf+ zq~ILi8a^v!I25>?6@tt8OMuJ4WfRQF9xew0Gq+{oa{h%yZd~e${08B2ss)z=)3}ez z`3sANSN-UqjZ4`f(zqOS3xms<72$HAU->Q%mqV2^l*8pf5aMzmh;ca(#kd@ZB3uq6 z5iSRkLb#kA#O2Jw@Ln`})&6if*C)6fq~_srP7P})jmxQ)B|`yR&P9P|f_jk!P{UsW z-w2m8H}JFo7>#&T;OB5T_XJ`O3nQ@_-yV3=c42Tiw*($OE@#z#a5+DA?ecZva;{Qb z&OIS6M|MO3E=O#)i4Iw(- ztIoS+WQ@z%ZkDJqF6R|QJ7Rz=&YJFHO8A$fNLlt9=rb6)Jd}jIUDy8W@ zQPGvsSPd8FKaa~fL$gZO*ywE`E{DjZG56|LgMm*n6=gs+&h7i@-zJ1>D#nd#HMkzhqUo0o4dGy4InjGFgAZCjmtTW{a#2HY6omy$-a*>0E^4PxSD~> z85H4ij$yeUl5#hHhFkXLAKRk*8l68V2|U*_#2-wEvHc|;8Z@M1?VXvzbYsHKwo=%S165iSSEO*pqnUq2oT^9@dIGI2Tc-GOL?%Yg^ZYgkHaP441_ z@y)%xeEL5Yg~WMHgv&uuaa5xR9U(4f2@h+67on)~G%jc2{}^1(tuZd=U9SU|GY74y z>Q8KWEgv$ispX^gk55Qr)0+(~=YSmYDOH^rgW_?GY6$T<5?;c}k$aXDAXl}wjfFhm-87mzyO!?Rkpt1nmi*$xSa2@xw(7r2AA_R zo0_{!kJi-!NeY+q92=JmBAd?UFxG;!@Vd_f;s`FM#NcwccB7>s!sR@VN*HgAf#YH5 zq=uhm)r`l0pNY%4H00L2A-J4r$hKvoWP4+rt-<9S8D@J3aXELp!X16Q8S{Kxj&f)M zTnh zEL=_}FV=*(oQ@1!4nK#siF`9OF=0sxm-Ak}4n;N-I{4iNmxI4OTn^6RVqDG>7MJsE zjLUg8h0A%CW+)822A6}>(zu*K7MFv;6;?dO<-9kA%R$@>T+S0|T+ZnhmxG}>!Q~*j z#pR$&?FW~0rH{+O^x)xg;F3lBM154P6YE60mqfQKu09A{&abm^IeSI@J}w9K9^rDN z-Ql7!s;hVz8=S@EZ01mu+}p>c%LJD**vI9J@NhXd2DqGG=L1~Mr2#IdErrVg0O#v{ zN_i_1g(;ny#^nq_dJdO^-vyOJOM5TK{IhV2%U|~`8BF?@|k8@nvCDcOyP3A=ax&8&tpL{aXF~12$zG# zsJNUCr9*=OPGU&#?-+T<8rWnP2+OD$J|3)4#F8+&YBRP0uRg_IKQ0o z?X*XX%hB8fi@40-av)0Ma!^nYmjjo<<*dxe!e?8I&k94$52rmy=Zvw2EW;q|2eWoa56T9xeyT zrEocr8C=e`1IG=%%;0i98sKt}oR7k_VDTDwiRZ{1{YIludF#^vbU%GQ6#>JB?` z6ml9)8Bt>6u=~Gh*#D(*IbU}pRV!c*;l{2N(*`znAueZHPCAI*Ytb$4H0<)Qi*up` zmxIX=`#B$%Bb&K+bCiY4xyilqKlUaTERD;-PWu43oRutU8ke(;#r202-hWBsa=4gC z<8ow;PzaX;&ls13FnYb`3$QnL{?x0$ctk!j{#$2+r$u;gN0>;%Yh^Vmjl}i z;0~F%oSF!i^KK}i4O2h5SDS2U+P0bjq3t4?Wh5Pk%lSG(Uupw<-2QQ={o^x|kS-D1 z@LoK`<+LdaW}(65yi>ZT)haC?^C9xexQV1}V^el{-WK{3ZLKpK~W?%9~67~yizyJ3@I#Et^E9E5;bCNo5g z%Rx>NE(hHb8|o}v&f7C_IWXE|2}E4Zjoc6|TG@?tEl=cSPn0+#Tn=U?#pPUrrZD4f zi_5_e-fdaQl`)qINFi|~xE%NdxSSjN93J6vxOh;qO@qVb;MPKd%Rv$bmoqQK^<~(vzek*tw%K#^vDtBvydZKLcD2 z43yX(JPj`xYX0|%$Fg6!CB|A6gRC3i?eRqK8S?z*b<0blwpEB!aGsdwN)EPBJ7 zr@6=J_>B$n7kn*FQe#|BuiMyk=*o$Q00A!NQfb>F_HjAaNz*oGP&gWJxE!ge0GD%@ zwB_I&paZF*`8s2+#pQfn+HU&1s^e?YeBCiYg3I}ywA%oe^OUTKGI2Toj&{qZoOnV( zpa41}OJYu_5?s#9@{lNv%lT^tE(fv*mxIo65V)MX-4JMTIYSPN%h{ukic#*ly=>D4 zm-AyEm-A*Hm-Fo`T+WBHa5)#Ja5*gjF6ZqbF6X2aE(f;E7?;!8C&uNx5aV)AJV;#5 zP44kC4?g9T7*>pVFP7RT!}2OlUgwU1W{S$}!(kg5fgh+}I=BTz-3{gVv- zIb2xCKoyPX3yTB!6ghpbmXoKexnnTkN2sD5mP%v~<#I4d!I+gCc^t=C(cDDewsFh4 zH5+?YHR7&$QAwY&ZONVU+>QgIiuOdPq6&S7f~*ay=tImqKovofLKW?kd0HOj^F>)B z_&qSjiCelRj^u{RM~JA~Llw=Di7OG~L<7w_j~ka*lZhBi5Q{46k|mi)*&Ps&x`9>B z1hl9kRU|r)3{ZwX zUzcytcexLs;GEfW`#7`bVX!QARmATuD#=M5>FxgfVbW&u?Xu9wPtg^^ha~C}vna^h z)0sRTCundrR^>)$aA`^T3-AG*b8wclXc0gsUCWj)J*8tgPuBBK@>M(0Dpc&btOg%d z1VM-@!sC(%Ra73LinKZUs3J%TqKeA-F~uV-st9*=pq*lsn(vhlD25IKRM9;lsz`Ii zfuO!iFFst9P7;x#il$48f!m^rAb@p<0c3zI#xB1gst7MVM6<N|~k>N{7m%})^rR?94dG>5)0T85~i#R8=WP(?;ZKB|aS6QGLZWytcJY3-@kUmFDpDmuXi!C`h=$;{s3Khd#n=OZ zK^66iLKs0BQa@lrp#X`Xg7@Rod1O;uSp#cKT{fzyj1(8nHFB4)qz>O$f}1PS>`Kt~ z^^b+vO#7%J{31NuA*yHvqn>4BoQH4Lbj@4dlK-$^Av5%v`NITKD3K1kD&opRrDC&= zQAO{P&)1-a?*bKusG<*{-b((1^;W9)6O98qr^IJ8N-Ag}78FBl>6OwB5>%1aj09Qb zp^7S{f<)}0it447G_XSz9f`6^CS99WZtY%+N|KCTOi)EKj2l#ujN%@uh_hILDuN(E z6)lvsl^hYEibi{=B1xX_%{VtPeO70JD&lWd=-5{_0ossLCj2IkLly0fa71OAioRsAKc<-F56jXQeDnb%i;l!vSNHNr=P(>&QhTITU z1ev0WWSvrh8bsBFsG@yntQFs;HY$E(v{CWA{o`dFcQy83CALEq;YuLp;b)F9O&C-WMj-S=hbp2|QAL+=QOAfB5mmGZ+9@gvP(@p$9xC@3RM8*) zi%>i^d^kD@Wl5z{8<>JA)YV?)$Fze$!2W9# zhQ7fdyywiphOtnE6a}avj9>;;H1H6kif)5WavzdzFmNChH1G)DF&Wz8d}8Lqf!Bwq zB76=yf=)OqS=R$wL|2qJR1rkb6h%I&=va=-yvZeLZ$g_{fR+bmSQC_B2K9By0Si(O zRit%cBU@Avq!_U%4Nyf8qgJd~w?vR}H)et=st7WSRFs*HlJJNyv7=wn%k-_lt1*eC zW=#)OgqZALCT5m*v+<5lMTj^~eL_?bN;y&G0jdb%sVbJH=TJqEAFFZ?RRrM-6$Ypx zh}%^hp^8wJPW9mDd#ECKm`bFFhbn@{NjBRURRq5!iJ!CfmqNbW<>H}=P^{Bbj+xA& ziXc401eW6hv?9~pR;V~a6(Rhp!~ zSC<=95o0-2(E`M&1_BwwWAzC3{%VZSa8&(D99RR%_*^{`!$62C0;SikEwBW@AfVTg z9I8kcKkPXks;E^)f)G_Si=Q0aP{Lry8z&A`L^FqnD#D%F5LJW)UyLdeZL{TDR1qRs zR1p=ZsG|E6RrEA$gEeCfst7WND!LB}seullkeW6sq-M77IN4~Y=B?BYpIDjgP0bGq zC`a#a)y$VM(kP7a0#wm*QBr^^I%M2WS&RHOro;U_H7)Gk{rRPc4rvgQ8B{s zPF#Cej4Hxjh^e7Z)?URAGpM3(;G?80KAMP$`tG_?be7s5;W9+sG;B8H^}ZI|J{zR1 zs%}%l1;P-ek9aL8=%^qcHK0{JZL(2k-6m8@-9=I<7AeFmzm}kiE>B8chb)w3a7`lu zeGhjnZMpPSVNnx!Jbj`f*>ssK;|r?jL=RP@1$U?-W)h-`Ac;^#kQ_9s=tNedsMey1 zdW;UNdkePRMMEC5FFoHdRMAy}DjKdPVzh!GFcmsf5zU77#qp5GILg>o$X6ads;Cd@ zXy|Z*DmvY=h^8_v!y>{UWl%*sthbnteQ!xUMirIYJTW|ZsG{F8N36rFZwgg(Is<%C zcCj@-(8j!Kbr;*GhbsE6jqVG-kMV1${BF>&oEfr)4M=jbsG@Qi>W4KORMA>nH?I>_ zG|3hzjVd}0pOin0J%K_)Nb^x??J;FK40Gln-QKPB{w?tx8s|JuaN0dtx5H_ zTZf{Gu7c7EqKdAQ0R%f;iz>Pm+`Okq^f6E>=W6a8Fc8rO@0Dq0<)iZHZc2OFS@ zAo5T}m_E>~wryH3zl|~c9}%kPB8w`z2nrdor4Xv<8iy)c&B4Qskrq|-q8TGCs^}Wt ze;o1G7*)i`M&?jOkj1DX7|0B&=!+4m=%N@^bWsXbgfH?@MM%p-6~UE46+z~siV#0U z6+sZ9iVz`06+z=6st5us1G7*?101RdsU)Z(d{-U?t?gdD4lS_mq7YSddm&WOEQ>0# zdNHUXjexZ0f6rAH*!>6PS zhXPe}x}b{w5TJ@a#e$(CgtvlfZ-BteZCR+IZ?njaC%GcOL8ziiK^4I??xTtX@nTR# zC$U4MQAOw$230gYLKQ*3@?9RPh$;_IMG%CjA_!ts5kxVn2%-p81WAM{f}{|tXbVwA z(=ogkjegtyP(@cIs3N51p^BD-HIzmbRmzf~0IKM`z%#+@$h6b&hrl;N70n7fZEM$v zO@W_772O_)JtU9BYFrg~({^D{MSBAeA64|W{h*2z-N~->I#ER*QdH6HA*x7rL;4Hvs742Mmd?8c!HUD=_EWGW>51RB7%)}cQa zLKWR(FND^#F#(Gzf+Il{Av?Eac2u02HuSZ+%Vp(XhaXl^RM80GyVT3Smz#ePuIle@ z85yIBHkl=Aj4Jv)q8(8xi?gPCm=gYFO^j*&zl|!I0#%G@rb2MV!cuT(t>OB%=^jzh z2mSf?KaVOpRkKRg*ywH6<{;VCO+zJ(xl^|q3_Qq+3$T+(OcQ~lWV2L7*{h; zMdcByXadXqfRwxWZdxUqpR`4J4xK+J2|UkYh~JtJWARo5->Y|q#@a*gvHmTsu{i&c zFC2@rpCs&YRto!ZfGWa|R~SQZvl@c8B##A}f59)rW;_E`RLaGRqBSy6MKB-Y^aitt zqKc3bPHptSq|2g;@InN~HW8`_$4xl5NniaQ3-b+5Z8A|sv)zGcgermu&TDAKw$|hT zRfIy~ye2{wp{O{jVVqSxA*$#E9@fOR?lh|CsQ)pjq8npW(K)XJRWt*wsp>_x*p~O3 z*3@#f{o`ZO*z{&Y3p#re78d!$@ilR%HNNG0HZsosQ>db!`>3K1$&~AHhjz1JVwf>a zw*>(rRMCxWe+Xe)U<;z8P(^pM0S2g|FR=9mPZSt2LKS_J&CR_*FsP#Mv#Gg<447kD zAW5N$o?+wiQAJ1#FDpG5k)Vol235qh8!ZhqaBk^cy|r70#Bo1IC5*Sm!0|A2Qp57l zV>|}@OjOaXkQwxbpo%6T+m@r)BF0}IXKPSJqr+?uA*u*JzH171G=H#X?Yh;XMV|3A zfzMMXXrKU9gj2#0RfGXCLKPuMj4FaC8&x!t4K9T$f;5dPg55IiY~OMqM7_|gDS$`9;yhZM=`4CyB1aSbc`x`I)y5Fnr0{r zyarW-)Y7P;a*Ha$;0i0AqKYm|p^6YU16B0hG^%L1MHOK-PEbXNZc#<(Qu{#_eb7f0 zVS4aTMR3U?e&TZJZ#oH2{D{bkD-Qxy^jtQo=sHoqk19gFN2nrccerSbVWoHx8=OTI z;YqcRD#E491XWbwql)T0RMGVTs_40VfGXORKYSOgg}8`>%=!aGw7XBKe<5&2fGRpV zjVc<9^c<=PB%%OSba{*_n!X=Y(VMeSML2ym%P%DLX(FA!sJ52%)o4MbBX< zoBU=oElu8nVFOn^R7pJytY6c!uoLw@f*vud z2r>)BS!Pg05T#K?D5!@jg3F+a-kOnx&lO>&@;Nc8NODP|iX@*HRmAKptt3Ph!3Qf= zizDrb$}{D7Cx#7PJ=3XAgjT8s3HvsV?=B2AVOxKir6C5zRpAj z;P|`>lUeHt0jfxg=c9^p(p7v^5yE7lipnK5u3%cvG~K@S?FLo!tN&(Hk>0Ir#e-cG z5*7j!avDw<6NlaZO~d{#jVk)G8>w2mS${r*wiVL`Hg+MZsP(VXLG)e=w()34)3D3K zF3yP(R1qda?B{$`k!?&I=&dYj8dcQG;`&2M6(;^P zs)&n;G^$9}2!&8Z@QhJK7}FJ1^ksu8I_`f3s_1614otW9kLj>~pk?LsDz$DRrmqv( zG<;YJRrI?ERU~Vq7*)ijQh+L&%lZmXMR&8RLR<(|fV?;svoJ6=wjC9simVhHrhVAjv=#!S({MMkcCgK!hrK7nIP3sUO{|O|~>`8_j^wb{@?#l8!?aeVL(m z*#IB4e|*CJargft?@ZwHs>=NTzPWFbH%k}NG)ZeA;31c+R6CV8C21*G*3w( z+Iwkzr!-MYEpEa=6@5gSsyZ4}5&Wa6swjpk`h+yrgp)-T;m8f!Fm!fMMF@j!7z)?q zM-|;E+cETzL=~ZVHbgPTP(^6n*pp$v+z6;5_`tSIULSE(5mJhwiqI^vpw5RX>dlKP z!lpg+hp3`!98}Q`)(O@`233T|7^(;(lcI|L397L4CW|WiLx?JpQOTl;@DQPj@Zh0} zt|>S)hAQIZL8(F$EvksO79vy;t}s z6#-U(s4*p6_7x9RBr%&i!E4PF(M1)(-9;7Q(MJ^x6IJvT#``Gqflb!sIPB!5j1BvC zuQw55xn(3#5(ZU-jS_<@nmq!lh;M@aL)axgs_04|RdhHDDbe@jMHQhZOQMP(w5Xyh z(Kj%`7^>()Bch5(6x!XpR`$2K!dm_@W(FdecXPaQP(^rp4OG##a3Ec=jgh#hBD8|M zs3Liv2vvlIwxWts<~@?ABHp51u}cPo74*es8ABDlkOx&%{dYhWfepdBaB~MWYuoRm ziY{VV`KY4mc&X<>6_tjZjtEsW8)egWuJrY7`#C{tyCGhVA*zU@Y};*SfM~2- zd)!KY;S3vXFIefTBGsXJWrkyN0#&qLkv(QaX_K}pWnssT_Bg5t_a`v}WO1$ZP(|3F z#41VJkc%pMMn)HkF&yMa6}>2ev2yloak=yyejuqQQz>GAVL-0FM8{tiXM?UQC?KhPh>LWp^A_b zb3zrNivC>;iIS+Im-3*BAd8`j&^QhPRdiF>1zJ?mA+L`rx>AjbG1PVRQKt>6=!Y(< z=vWt3bWc81(FOTXMQ0^YMbkV~QLm3GTAe@@VJ|a|D#{&*ql$hJM->6Tj>CnL?v>rU zx9uG4+m2HxSZi+=6G|Amooo)SJFYD@;F~_$a5z@@X!}ik$ z5ev$xWD50H&EW^P@9i7tHA38e7oR)!?XmWn#b^SUMu|8wr3Y!wXBLbk(VS>Duy6e4|z?Ze;~ImDlt86bOL9J=P0;1wDC z0OBuRlHLr#pqJBhCq*`w>8{Cxk~qAt*_x_J&vr9OT!5QQC6d_+u+SN`>DlpQX2*<_ zVGrEt#y#1PjMi+OtZzHqhdWbJrbgft{p6l~dpR$YEOokBqVZ@fSH~k)=OR~+)uucB zT#b-^6nR}5u!lXkvY}aGXXU*xz)UkQuSuWbdeU1Fdp&=b!{5KAQtKAFDW>Yv=eYq# zMlQ{~2jPEKn?BDVmxe8JX+;X_?#exH4srMdF1W5w6bgRrX1S`Pv(gqNSu$?H+qNuR@7%wj&q86I$HZVu znD-N{WNOl=qYGO?C5#AL%J5|}6D({Aw~e6v8I_v%m8OtE8F;Xzn|;`lHUb=Js%3p< zvJ^U5N(x(AAR2>b3tNH!`&;y&uqEtMj0jtTt%{Uv=5G7r+fLbL9*x76=Dne?rJtch z3g@w$3c(V@LoiDoFyCiSA?i?wZC4+*v<^G;XAF6;B~wN&Y>8Fl!Is3f<+Wf-@PKj~ z8Mee#NgmkJ|CKhE2eyQ*T&&eX*b)|DxRpf{{}^nk8?#(_`MrGkqIFsl`moihjiMg+ zVbFbeC&~zV?!uM=-YN57OIk<}8rafCDIq*t*b*)r4z3s{Q>o%cqiw~|ebK+-9KyP= zrDEI>wNb6!vLVNyvCGB=qWacS|RCe9tu%*-F z_0_8()F471wsao!wD?8VTUKvang^`?B9|KERNzR=D7N&n?-xCYU`v`$vZ4mQb7)wsf_q zRUEeTVGp)c@@fcMx`C;gd3T0MaHB(BX$(umtVK#*Wr?89OK@Oe{-Kh)u%$0TURq*w zwRDR80m@hcwscnnLh?r%8zH5Z3sQQhD1~*R3S$|CA}O6IdSM-0*b-dOu~`>am8I>K z-X+RfBA@jvz0YP9eSZwL^hFCUGTAKsSDD%*VN0k0^u02x@L)@_^W(vmU=D$-C16Vs zqpQsaTS63=;l$Q4rI4blO~96r4|KUcYzZ=jEy+Bk3^jIaC^pqEg@%^?HZ8_Te?$< zQyilDF8i_6w@7hw%)*v_Aq6T@54QAzl&HvKuqC*lM8(C2E#U)hC{*4RqGL!2D#!UX zO4R^i9Qk3gTVwq@uq6a&ggWGhE#a*VY-zWw&JAn{JrG)=UA(9HsIaATIjLhOLlI$1 zYfw5VAGWkx>S5HC2DbG4-w3w!G1T&?=UL06{)EaU?1(*lE#OPnEBnZA&-4%$BWIIn zB$P#!N+E_|3U#(O>Opn0GW`87ca zMo_m**t`z>aoB zC)2h(Cv4!`P`WYez?Kk_4a|hh=iFqRW3VLzoT)B8YzeuXt8x#v1o1)@i|U22CCC@6 z+<`4YxKxE6Yzg8v6~|yp$V4(4DLYM+I}dUaq~a^rVz-yk|dj*a%{fnKbxuRc!s^Cd)&`&*TAfAnBjSEkZZo!~@kwgX$5D?Nb^TUx?b_U>4rGvu9_5Vpi^4hOb`TfaVR2@}3J zY)ML+nr~rC2o%DWP=E?s`i#Ps9_6m!p$4`DSqNMD3<{(YWq`q>QudE4k8~f_n$oG< z!qTCUm|5Obet(3*(Hm%$E2WP#1;%h5Y-xiOk_THlZlp4b!I3ff5`Rl{fFZ5ACJS93L@Cj#mu3BE!~C8NnTvI z5+ik=s|pBPdJNY&s^+~0Z0VGUY=kaK&5$i@snJWvz?L30$r#wuDX5gHGo?~26p2ZG zHG(ajALYCXNhpos(gt|CKQC;l>Od?@HeN={@B&-va9~TC@esDeM10s1Br(_$BnJ&! z>R>f`u%&KO1{Suoo3^SG9<;WqZy0RpVu3A<*DYdb;RI|IhOi}W8(N#`2@kTDv04~| zEma*r9aWDvu%#|bQ<}}V3{450lz}Y`S!dZkcAX{mIBY3n(?s{=z?Pn2ikOF4*92^- ziyl59tJuo#t1>5HOZVB}E^O%`^k3CO?9i)UHC@)kY?P9PEoG#upE%XPmQJ>H^H;)_ znr)Vnu%#oArGOWGldz=~G1yX?MeoCwaK4*>EusCzVN3Al!DI%idC~RKWym+yi?6vWVqDbq)9i}2Zb$Nj6xd`wsg64AXv;=*wS_AKb*%; zj|^M-t_^DNN>6A=^Ykl$EuG}Ume93f1?$0VD2Tl$shBQ0#{Qh_bK6o)M_a2&P-Ssb>64Kf2;x;+M4Ix`MiIx_)V z!W+4;CB)^xmhhB-EkWkOmJr^DEkWSJmJq;)EuqAH*b)So2Ihk;p%WkMJU!j>Q~u%&Ap z*wRI;JO{SKU}6k%W*btu$-ER@7smp^cVS^iY z$sE{{WSsq5{VkG*Hv_iR#Vfq^&wH??TbMCagb-t(67>+6u`M5L=^kdeVRe}0Hwd;= zEU+bPjk~ZVfz%k-(rPw{By0)I!oZdm#9&J(UwJPFw!|X$U`r7Auq6oMuqBA%uqB9M zuq8-huq8-Ff-UVPY-s_y_tcc*UkkQ$aRggJYz}PcD8Gi1u%%*|GK>IQ+UGe&pdeXS z)IaaJ#$ZcJJV%QU(tvfITL@da!4o@BAqmy+51upkE(~nxYR|!iEgku+Hm;Hq6F_rvUXE}d*+HKV^?V~zZTuqCp{4*RC-%uPoJwxlcW1Z?SchQopwiEB0E zFJCkPTRJMXsV3{>rlZXJHg$3V>miOXVQji730qpvb}yV1-3M&i!nThy0Qur4Pu->Y zlj`ATUn1YQVfDH-=uewwAm2@2mV7te#8rLM_iR?4MC12z0>=~S;~C>)2CQB1zIwB7 zhCTG2;oj1kf%6}E!x=dHiToa6rPx3AU`zP?hS>;i(v4sjw)7O=kUNC9i;MfhJ=pU+ zd0P(;$7U38@*+no!+Bv#*gnMR4Mq`#Eg>eH+L(*(7PbT%7aZHfU`se|!nsZI^7;&H z-{90HFKp?^@IW*MTY^L5YrvL}Nu1ZjU`xmLXjAhg_Q!`r+4N>ZGa7s37d!IN!&l`&cjKGyw}El?pMWhr z;lh^AlOfk3CtXIxL^oqpw;3K{u%&CLfAC>7uo*!Tu%(-*fF5k=3)DW($btc5u%&NM z-9jr016z8Csur4Jpoug?l7KBePUVtLWbjm;C^sW6*oHbdCBl|wzG`4goV#(SAqHD| z0+le+ZU)Z6Mkh;HOjTx~!_Ny_dY4ZaHwp8OM`zFGct`9Re zo1Ywt!D=OAzIUElr@pC16XCCSgn1_sj=df)3|{ zEiLB78XvZ_C=YCjuOqOfT9m|`_5^I{9keZm3eH)?_cpL4{B~eVI6aEPmhQ8#rAOni zrAHI6rAN69g_*g5Eg`lfY$;=5OXys&i>I)qcO+m-2%87CbYBv-w86rbFd9d&B?Pyy zB{ZqmfGxexg)L!t;7nhyeepm{Ch>DONPE*kc{RQiroYTlFi zVM~`w@w>1k)O!rJBzlL7#;C5$8Y-NHEx`)BAsgM>$EC{%wp8fCmc}};r7Jwx(vyJ) zTY6XE!j=G2ioupzJlIlG61G%^_(IqcSV|sj>HIisX~Ap2mX6H_Tf*t9nT9#ArQ=v) z9I`#w61?VxEx~tw*wT~e%H|(yhNbzt(QV+WhX-4_JDe`fA7DoE!j@25G1wB6QDIAG z;;?%D!y~|!R>g=kXEKElwuGlRYzgbvBy8z!rtZU*;LpI8V!$IfVC2BI+=8!VJ=hW* z;;<#iEY_#fz?L9N!j_Ox2eyPK16$gXCkdB~!tLO^uq8<)30snM;;h*uB7XCBxRHA45-5lKtd z!j{@S*pgYplrel*WY>R-u>MQJmhK39s+PC0{yf+ch7Bz2Vz8x`q=D$Y7G2^l!YU7|xKI?q zmM|D%J?FxfWHA?C9OZ*8T^TYW7GKGXC1FcgX}=C^X$!NOge~_%{kmP|a zWk!ZAWn-|V(@+Sl82Zt?T4hPoy32G3t^2qw1H?#YM+jTGgTCKoJ$%sq_^ADHlQTtZ z{VbOsE^KMGl7!Y7*wP+po{~a@nrJ;unkc0fnBl;du9Bvzjs~^_|7fZziouqylg65G zvalr_xnUcI&JJt|VXzHD;hOxgr7y~M3_T=aOK6@AQH(Lz5?VL*WEe0v0&EFBuq~6< zM;x|AuntRoA#Ij5w>&%7et1xbQ0?%f-T`O23x|&q_Cwkp$bc{ zx3Hz(B93i?n5J`@fEc2O2(|JM$)pQgg1ZY_ z!lMsc+C$jV7ckXa_7L-dU5@1_QWv%)DOgFAgn=z#qr||Lnnr*v@lDWw2z|weEnVuv zmKs?|iM}Tu-#!--tmBUbtoXV_?a)=FOzsSeF;f8v;&fGw?7=#Uvv+N32(L$G5qdmP+Ola(FKb6siVhjiQVM{N_C}TtBfi0o0#yDf*Fnzzm zmJk$!jRcKGz_#DZ2t(=S?LGU=@-)JfEx|B>QAQJt!gI^TL)Ox3Hyt%_TPHWyY90OP+JMdaBPC+8@~aRmn$0QFV7ehr6yS z{k$u^(@Gz3R6U0av1kpe9%2*9J!QQ-kGJK$g&1t9CtTR%bmqiE01vkGF41ifyRfB; zM77Ns6pjW$*pk$g2V44>=(2YXkVC9c-*AWD!j?WIx|=+&%H1yN8y*uxu%)|2Z#~%3 zw`ES07q;{xnGAWbCFI1MP(`q%Uy30SP8RyN_3iGCPS$R8AJ=}O))SW`9nxc08@mAS5U8#i=s*a(wF=NX1Rn^S35vf-F@ zsRCDkyY(GislXL&*>LoRjmK>u`mn3piLL8|O&uub)t~3=YR$ILrn>k=7JO*qxdzrW z3Ui7~Kr!HHRJpFr>k-GAS(9kx*aJiXpSB5vX))Vp`c~-&M#Jn#+qd=;xx_y;=?S_W z9ygbSC1*OgEZtCWNeZN&pQkRGHKsPbDDq3gACQMaFSEwN%i)vLxyXxnaD2>!k1kM; zmei$ll5eqG9d$0yLG|gkMd6H5C_~Pb^*e|eZ}@Ua~IB=-@SkS-1&2ccMi`v zd0$_D?;L{?o7X*WP9J`6H-b5{XGi}_dWE&;9LeJxnxzXvN$k^MT=mx=lo-bw!BG1C zw?u2xIIzjXP?}H(1)o4I2RH*mQhKbY{9KGgF^1Bx#U5N|pP_VBjGY zfJBgI(NdUmpliTOh^WV5C@qr_H4@{f1>Hh$tc<842CT#~l-?q9MUh%lYLpGO3ynw1 zP(oT5P&Lw!p@cC4Q$Z0%45fYyrJOPq6xsY+hSJy=LrDt4GL)nYBrasO09F8)@v6b_ zSCDb3-%qiA1MpN{lc6+ObS5}bdcI(`s>b;e^k~x!2FKeU+w6~1#Cv*zqe;O#@B;Z6 zN{`Uoo=g}PtV~B;hLX%b>4Eq<`uIn_K`{|Yv|7ZnI#cK~lvEvEh7u$rGL#DWG8rHg z%F6g-y5u>dQuDsj6f!6SkD+vn&rs4-aZ<@18WGh=p%am$3?-R&5}9NfN}O=I3?-b| zjmS{4tEbF8rie4&amqG>nd&I+```lB5XQz-;rut0q4YHRgTnbNr@}T#Hkd6B$7miz z9SUJG<1>_w!p3`yp=8R)Whk*~JciOYrDfricU!-79Nql`+j`BtGHOT$9#C#0GnBAc zK#RkvGEYVOdU>CT!N9UWo6EycS}pA^WGHp&Oy3FAvSvTgwHiLNP#ebB9l&& z0>JYgBRB`IE)1n?CANtU$&PRn5zeJ_Y7D$Dd2i^NO54FgtFpt=;V5cs75!7s8cf?TAnh{a2!%)gf z1sR`MN%wQ&m>n;9RnH+qX)5w6iC~>F+_MXnC<#3mF_femHw-1|#T|wcM=_6~1VO}5 zYL~e4FqCQ?hLS{2LpW+0<7ai!>VCm|NHSTJllFZjhody)_-pUB-62Einiwa^P60|_ zAfJz+1o6lWB^0M+C|x6J6=x`6SIZEESV|=aLWa_fOwBNqaM#0ODB-e4#85iG5<#7p z;5f(pLnU_^N<7XeEj7AYI@SJYrqUXJ#x}n6>+Dp9PVHx}qURS@aUH36K}nW2ic;7B zb`lMTS)v!#!DT4H1s$7paaCE`Ug_6Gb4zNe#-;WOVJZ6l7()r?pUNXL*(}8dGNv|3 zh7u|OJ&VjZJcbf_P&BjN?fu)%0P%xwgS|0iEx}NN7+q~Xh7zJcZyRSQL5e9(f}w1$G);t)&B0AwVP4AwNS2 zZ*3S#dt8PRdLXpKkfFp!Whk9z8A=q9p|lpI6E3xnX@^#g+9UNa>MFxfdf{)xP`VDa zJn9A3@~A(fa=F@NnC!pFr&>LP=4Csn_U9c0gB6KDCMAefD8FGK?z1sw@le# zLFzD+v@UF5%TR(86+)@UP|{klVqFqJhH9}gWhg<$#TbH{h7y62FtDSyqLXP`o>M~< zO7~kGh7v-uftir`oSTeujG=^pGu6dsC?S_~RqipAAYQ0qQN56%1o>i>I}9ZVm#WZX zC_&t&;uu2-d1+S%zP`gyf&;or`*!BM6k5TV(0X-XS^5kmxNVBu!ea=A=?Wur7)r?2 z@hXRHhFNyAshwy%y9_0WPf~G=p#+EC$N~G|mZ1cPUFzU6lpysPN)P!AC5&o5L+NQY z1DXSwiB`pPYy>fe5@a!k5+oi&3C{_J(jPdpMMJH~$&eN@lqOS#seqZyDMN{0`Kfpe zQ|@tvhM~kzAwwyLFyqRl!H=83)<3RJ9x9%e2h4$_e;&6O-GI+fx{U*otX4QPKqsL0 zq(X+0PJUS14nt|4^aMUbsgg$yMWpfZ$hQ-;!G*cPm8Gz=xkLWa_9D3D5&0S1rCBUm7nOWlWcrgSQ|vUFJ4 zW}aC2gAocxFP2rVl0MQD7{hrCrHxWZ9z*H)k;+KnBg(?TqQCOx$lMF1f}Ik~e7UAc zGL)8ChSCu%PKTlNGBX!3lvt9=P&&Vuqpgb-YvrtynN$7PySmV<-h=DE$!INmcW) z*buCMX2jYv(mbW=)CgFFE=sM7EkkLVmyTg5J!XFG(%~1$Oo~^ zq?1e;*ylYw9-8oNYl8ZQF_bP5hEkPo5o6?;fUUxip~P)NYYII9r;Z~tl>Uf1s;)8& zr7aecG>35+ND?|J!%!Nw&a!>%I*X3S8A^p#^U*yy45eq8BIaS%HNjBYLJzoFhkq)6 zpvs(NDBW*^y9}j=(SKDBv;V3-V7jb{xISyWT82`gboCRVF07l0J+^NCN`}%*n@*CU z)PgJpfcE8KD7D2HN(C%>pP^JnrKMBDsO^bpe{qHqy!i|zY_j_dCENq@8A?}h z<`*%P@R*;WgmXc32P{%-f#qQ+Wm%AuE`D8x(mvMdAH6zt8A{iV$WS^=>gdg2D19xm z?+$z4Nhd+EYF6cEDBXy6s(Gsnm%5uY=|=mY45dp@Xd^O|u8$cuP};`MBkUtBL+LrwM_Pu`Wx`N;InGdG;5b7GvN%Ht8)Sx|^u-uM>8v8u1p32)>wln|H0P{LD!p#+)BP(pa0p#*`?P(lEop@b6m8A=df8kmovR1z|j5KF{R z!g~eCsAVXf?CW^hst66%jI&ATVQFK8Di0%yPq;Fw1Wc zLn$i^C2Wnm3?*Fb!!5R<0SrtHuv^T>P(rgX45fuJh7!tG-b*htaR*kWf*wPODkge) z#_)FQBhFBQpEyGaq8LL7k{Ck?l93ond&p2C*CjROgx6vyT@o>r5SznLI@+(HBtt1H zQ-%>3O8Y&>i2ox~`uZ0<*BC>o)pNAkt^w;kw~(Q9qbGJ)K@zF~Xi!YTY)-j%VHir+ zcn&T@>4eu{C_NJDljTDHpmgrc4ThPsj1eXDAIu zBEwL61QKN^y{hl~W-*j*6o%4~wA3~XrB|6b!%%|a8ivxTcHzLL95R$-D0CP~XwM@t zly0#%RV$BR1eT$MhlrtsEgOHWxm1Rd%_q__IS`c%(}leL zlcK)kow)6IF7MtrkFC&|nyizXjyCVx)Wrp?M=rvIvFYX{L+Kc{dzYcKm2Dqq0G6SIeih9>&QK~; zEK1M9#o2&^%6HF))45hcxhc%~|k(O|Jvu}ny^q%3~(wc$uA9=$W zIQv1_7{4u6n#1cdi`?s6oJt-3;c&0BNjHLBhSE>@hB*Do!%)Ih9j8LvAkNQF!uBCf zZ!n4|LkThAEKLtgx-CO#q8!`A7)m&9!nsZIPWlXN-{90HFGFc*cpw^MD8T{eHO!^m zP44Cwp>ctfIEop_B+hGM3?*b0M>TrT;WLyvcvutP>rOJ1ru~m$D1A82P}=)fFq9TS zn<}28#x|d0w5b^;WTI@%SBtXg&4y+)_Q)@G#LZEi{fW z45f#uYN3S%w5u7C1VafH5k5l+alz!%p(F`I>40GSO=KwD5N2-n0@LTQ6xr`J0vvRR z;Eg5$yh7vl&7()qO;tVB-@-vjGsc;E~5~N9n(llxvy-I(2I<1DFK(nC3`4~z| zc(KN3C@s#zP~z(dLuoQfVopbbp>!rqhLOyi#e8qWP{MDAp@h?;I78`v%TRhO&QN+R z!BBdP+fe9u4MPdBB^gSEmZ5~s6}x!KP=Yn==&alx#VxyD&e? z5>8*uG|XWr9nTVDI$h7v?ch7vOBFqH6Q7)o37B;f*2YBhm@J$tsxbu|0t zlxMO@hLWTcXDBf_i&60zN^rr9)iRXuWEe_M9#pnEt$l43LdF?N5XBiv$nyvcrF{8- zRxJE|G9SuNT99;b7)ppP!BB$CFqBSt{jlDf8HUoO9zzMyxeO&d8ivwW@+qvtP*R`R zj5y-JLHNwWP@+cY{yHLQDX|Qt4v(Rv*>f362c)UE3?=x<$51N7(Ad(!8BELZrrEb_ zHw>kx|IQ30y<6GxqKr1!Az&tP%7`56!y>!>TZHvrlA-jau%~MI2iBj*P{Oc*gIvs`3ilHCP zt5udXt$n6LXx-0k8Hp!kD1C{(-)%iyX@7jo{o9C4@x2Ekh|9KFLs8i(7HR zP#SO(7~jVa->+dYPo#OyMZ7&#AG_HAE}{OKf39@m;jpP@8>+j)o*e;#H& zu*tf-*k>q73LZmAk}wP!B9Fe97tE3$Vgm<5?VoChLXHb z#8ARQTNz5Pn)gUDlz5AFMUM;!%jt{FGR9E)RUU@YxW5BK=_C0WN^kcWO6Rexe1_7v zc&TF_pPVB97$T-vP+)!!q3vwx>)YPW30fO4GNKP*Ife|SSLysoGeERq?=zgpwE-a` zgL2zb&alz;D=U3jq&hUW{h4EOf}w=3#4~qhL}`#o_Ul~dWior&LMk8R`A7q4~ zG(STL!vsbdO)$<-g8s)CN{}QNO818hrC}-s_KFQd36DNQ2?C#?1cA>`x}OE-GL)`j zk+}>d_)vxt&f1_1tME^pp;Tf|nO8l`4EYQth$KXep|tz;!sKHp;oLeeLkV)rP};4z z#OAyxXYQTyoWs>qeSW|FfxTaqd{h)wclUF+>#EW(xYDm#>4T1{=YAj$s~%<(%Kg-O zc>!D{8+B6b-{7mI3}GbkJlgbXFADUYFao#?W64v<5v zP~UKe-!hawExMaLugZN<)HggPh!{%uh~9b(rSHg`C@(|l-=McN<%9`E?>;dPXHLus zRm4hqMhuCP45k0b!%%`O#!y1zI0%N)4GD%)`Rg;3E{hmSz0_&LPBx#e@v^ zca!`9n#VVVNYsu20M@P2NLHf-)TAe>B`*~h-r(jSbpDXKXm$%|M&oO{`+G=HNPj9d zIo+lqdJOKQd(Xh|F5LZ5W{*OOW-ms_We9m}UAnDj7ea#luo(WPQ_;!S!obGbM5AHLZAd}`=guy$kd9*Iw6{cCsd2D)YZU0c1|BL)M zkcZQ@S>%w33aFyl9|Llzp)P&V$Whg#Kj%b+*I`oLYkUmxd>!!|Uzh$|^cwZ)Z)rF& z`gC9W>+pYXL;4r$91RVyN50JPpJv|&KaWgKzhM0+M#hch2k`NqNcFM0^b6oQAXfoO zPfOxcsVV7n%4Az1GH%cpQy0ytNu}16Plc}?{o8mXgjd>}Iy9Xgr=bjGCd$#AS_C=~ zf%*|>98JO*=u_#9lv@#sEYD}k_l++}OJ2I*XDP1(@1Bki_ zr)s>xSa27z$N!FbmawJ?Kl)q4nsAe04$jTy%;A*={P^!tnoKNj1fuC@-zw-<^E@0z zrOyk*(2bop3MI{%XTZxZTt-oVu_Ge_UdpH7K@3rWZ)QkYsmdH*Ce-M_ z*y)*JDUEsD*c3KRQ`j;r3=vN3C{o4gA|*!P{zoc(2|T5*gQuZgxKZB_dc}2p(4LG> zwMP6xF}+se&o8s%!pP~dFjJCdJlw3b)`?6xmByb^C`Ojf1%P$nA^mB2sKr!Se#`*5 z2V=fxpjdEYU=R1xFSFQU28sn(wr2u4FO3Wo3#PL8x;+EMf@aKU0(W1sU_Ofm)r3_H zIZ{C;a19g-vP{k~Pz*A*mSByxQw}J#p6rzplwwfEO6pN-WE4G2t?;@qnUE4gQwXZF zxVEpdB1u_)rve<NZE{Fpcv$& zkX!@BVEssC6f;l^o(nJs*?k7}=6Mv8qgkRZ8KW+OA_<=38v)K+kT74&Y^2t++-9(_ zBlE=!3Ln)m)NS}FY!9hIqqT@GgR|FiY#n5-3dB}%XqW?PhIdp|fV)aY0PX1BzYRD1 zPdB**G%Gan;j}U|TMS+);$2*0v>1vrSNZ-!!x`UyD9+r67iGj^O^A(-!o~on*V586 zpJfj9r82l+gl2-gxYmn|)O{{&gz9Aez-T)0ry$Cqy;;bMB83+QdyMg7A!@s(4?<3$>?L@g(%d*Yo(5?)ghC7GT3i&UU+Mib0#4wUHG{Mc=~lV2=g_xv!7sq z##E}PE|w)5FQaAna%}$;?F`fo9(7|fZmk(5kzi=w$)1NSC2AFCf|REU`v>;yY>+>G zuaz}w*s>6Qi*|-ZZLAlI{>hYqeZF`UN}=d^dl~dEvu3oqgWkZ{0|JCDHy?{WTV9O~ zsYL-M4{{5x2+N98ptf%OoehQ+nqGv)G&W^p;zbbJi-3H^X-pApx)BTPO!)8q0M3vW zZ(>|(uE-t@$NDJO;w!B4qPVlf9G&MrII^l#b2qm+y_W5IkG8nW%URz$Do*t$7rYet(k z%@$e8Qk2%ChyW8M8B? z*&>Rp8MA1A7|an8g}ZMz$9H-wN7tuWqTn1GzVOS>X&jT!D>F0fvQDuuuoy9 zLuD-aT1k4W>5F={_3YXX77(C*2vfRN>gbKMX0(+RC8T-y3Et4;A$-4*e}ZC__Jj^z zrtf9`&eEC4eCl2aB=BD_ABBZ~GoQu3W&$MB8P0ov)RJjW>Fv^iKyEDiO7BL2Igbw) z8bGFuQ-z#eAuK0XrT<}rYIj@uXAP-OWn~=7%HD&nEwX0R-q5pVG(F~rNfA}OB`APxZLdU?LW)EU^wFn4gTJUTH#j%nhkw;qDdLIb2& z42P&C3v$Q+C_iY-s4D~PUSR@7<)>fd7DGW~&8Q0FSTjs(dwm{1)Ah?T$v>$uN#JBQ>B+I_c3fY$tut){M3lYhxWcRhsvx z-%2@CCOt56J$k=PY{=Qd`;L}Lqhrme8E5}CT9E{Apf#gpQ7pv7HZUAYM(<~Fju{i6 zn62^R=x>{fa0O;;i=yC5$%~HuC9^zcmlPYb{FhoYhItcX3OmS+nZX&>n6CzE7^W6l zW5zIRYRx!i7aPR>{%w0;d++o-XclOs4XM=FEqtrUbdlvN3g(M4^mVc^UKAA*y>tZS z^nu&<{qhY;eHk%mW2k;kNyCCQV1r;6rj3Nt*%(ELtS^-9k%vcwbD zF=ISe-qZSkw^H6$y*|1NkxR-s(xDx|T82dTCj;m$nbPS;4V)aBH7utmbn=;;Khz&=F z!pNGD!(5SFd`H%VTsDq-FrXO>0_g(WSTph=6TDr=S!vLA78n}N1=y@f72YZ{|H2l| zHaU&T@^4{_{Jquug}?NV!f9lbzUaib3(fR0W{Xw{m=$17GC3RfAY;N`_LAe<|Cd=a zV)~_f&Qe~L8&MSF+gTv#=Q+WbevVosTJoS2(arAodr;Pl5{=pS=Uv!$B~n&z*OW>uS} zDXA9T>Xd>U(3~oqz-<>|w{@STjyIjcuQs%0RQh1NRiLW5#`TKl>87 zwPu`fH1qUh$@hc@SmG0YXR=a_L8Qpb2^`-AAJy1hkX%7T z4XzYxb&a7HugfeNYsQIph8v?3{);c@UV5!A;2UBwp0sAHZsd$et#1Z^f9B0t+;WG# z;y!F{BXje(9tds87}MAwX3bdL%9T4d|Mv89ZoXqXclfJeZC=eZc_R=1^z1kL^VQhC zsYPao#2C_>hgH7~=fj#A-8?nfL^U zuOfGi`32p<^EdA@;>9rDCe0{NxN#r0VleVex>7gOkcfUgViK0WDQ>BGl7e6WOHA;W zIf+CyOj^#gH7cq~1Mbsp3iJ%kWVhxMl3hKjHOHw4S#zf-O(fQ%#hUMl!iX5hdIn=z zgR^?5iAW7ZmZbnyF&@qJ5~c-J(MavrBWj)&RS{wM^@y5(=XMXuf6|pU|K{rvHP>Mk zcJjWR-PkK)gVusDM|^xJ&;FV4h6J zPfMLosu7=10ChxHr9XaZe>~^tMh)73LEf)K)E*shdab9Rx@GTtC4vIbN& z47j-Sm5ADR1>Jn~70mfc1PfcTf_)w2zZShsRTY0+=t?hla$Ae{FMwT@ML$kPUGdRR z0uqG;*J8U4C5dMx``2DAYJg|+NeBv{0rVhOHEL1QV^b5tK8H6r@PUUEvo{%6uB9L+ zA8vmvaI!XeX(lz6TPo<@A z=mF(6;wK>{<6aV~CjLo?$(Zn?AtgTvG5J<$O!kuylfNXrEkVR)>xq3mGxkmNwS4)^ zaV&|t+vMfV1NuO?=s;BWfct>{FLL=g$_Pr$MGE^CZ28xp!m+~rB!np>2vu?FZWl$u zv-u=M-Q7|`5a{f??qM_Hm`_5~q4z`qNC45SI+`nRvnF`15-FaREpL2{H9|($;Th+Z;+Q(5mDSKMO9(!RVnmGJ_%8elOpJY3YDYJBE%WV zs*M}hcdXoiEKRCG9W=a^_11v-Ui5%DQ1tK~H#Fq~S%Vne#~qQ!^rRJ_*r) z`4J}z>Ju|@`6NWcnUYuaY(ELna4zyHiFlucn6fG8+1n#K$l5n+#cJ0aeG)>3rT~4O zy%az}HW-8i?^tt>#I1yG&d_B)5^p@^JzVE%bJOU}W}w8+_t!pf3g2H&f}M{*O!*x; z+C7-}hYqIFrr=eu1ozyK3yRq{dqHIMfg=kL*JW7^Q_%NQaaML74NHq|qdHSwDzKkK zU4*TP5s;Tj36qVC4D~hSA@kpt7VZ^vo&Y{ydMf~`w|16qd9h{Fq(1ogNg1E{n zg>-1OsAupBOYG1o$f15Vf%$7pr5cMdvFsn{;TDw4qYj-U6BChP92v55mOHX0^95e? z*|&X94-e)KJxp@ueEWeHD3GK0w;w1*my+k(4~zocYV6w&l%nwB-+o{|Fq73h5BJH2 zI%U$W>MWzNSoFgC_QRy*(9y<97DD56Q(ui3|D=o>G4{dPgzk{g_S+AQN2`}^Eym|0 z2D{#C_wi>rdq4NG69k=f>*5-}qe^9Du;4wLt zX=ZUi|IxP}7@!gAkoVgUeCsATKc04Hz)n>^p2)5S4bXo3K^|p;Y1-qQi6MI;!iYBQ zN|a8j$b4q;n07c#yHo06+B14YJAK(3^z8>Ef<7Lb;rcz7dEi@_5-D8V3fMwelWFS#j>EG4Ao*~^6dx8&{I)n8dms$2ae!q zS9CIM%X320vZ3^zc=YWDhGYXXA@ez7^)1f+w;vdArn-3Feqb)=s@(nd1H}tfEUIU} z{XqF*l}F!xpm3=Q-ETio+@@mx+Yii3yE^doqi;XZVQu8VX~uThRi?vwbzoWYrrh4X zL3D)B7`knW+;qRv$h*SGqHjMiTgR)McQ3RPqVPoHS?n*MMTmpqlT_?~`+*LqMLSLDFkX=EuodY$$&y1p%0L>p#7Z*o^g`^zzN$L1)6O z_xEX|UkCuv4|qyvntU@s?RgJ`Poll_|@ zn*7Y6&RMXtJ``qdHjb5KBbP70L8TE~qGH}<=T#G5-zWBCLx-Xs85 zfFX)0#H`UAlCd@$5OA{}u?Eh;M`1 zoijU-$HeQ%72!*8C1=i&ysOjATRJ!vNR5W%?YIidlA1G{t;-;q@SE3b^yVOMB4aGZ zWl{~rlEyT1u?h@k+#D+^L2CBqdR3g4t zd6|c~IFexvqUr5GGzBiAi8-lYLy*_B2OD$lvav;orjCFyGh)WKbMH3x_Y7VcHK}UB zDPf7qGh=+e+1}M*1DcBk0b7%t8_eyIMIkR6kHh|lE>f{O+=tp6#vLQfKFDuM-;qkq z`y4uw{&W5jVA6(%!_Fgs32(>U zC_LBwCjm@>S)D>K^+EwK6?AXuT-CjL-Rg}1C2Ux|ZVji5^QQ;YcEedRCl|b3ZcdIO z026PJXT-A$V4_!C+~w}PicRk<_svs9@f2A@sNVT@IXHh6It7%N2VlC2t0-9*&u?c& zOfxqL=%R|XgS82 znC;?JIU3Bu!}BEJ(o#$U2>_F(k^nHt1%n_4V3Onl6RQVcq6-!i2EfFp63y2CIjC%P zTH{=X%p@zSki`HLMWg%2k4k;1^8I-)q~5NyK09V!WQz^f@{G0?Ef zUq7t(W(bD79I-vvjQz#EyNS?rr|8fjfEfa%Hd$(^z_um^fll3lu3A@+nU=x~v>!&bPG{|el-gz?g zvSlKB^_H1tvedFfcNAK__$C9Gig?Xu6ZV(8rPNzi$<(*yJ{fIr$$^>tp8N2m&GE0% zQSmZ{ydDR9>`p9tf7nyCU~>~al|$BT!BC2|a1SOV!`meo%CY4EX&}C>#J&3-(MgZHaYy)ux~8>`-&jpZ`z0(;i^`PheWNG!0tm8V)et%p#F z(L*}u*%KNB;D*TFojJWavGf#-)@rcOrK>8LJje*U^x41wm}qDLs|4Bv>C8|E)@5UG zX=?XwG_-ZSa9~KJnjAjUsnoJs%=0+9FU#S|rk$v0KJnbH+gQtRQyWpk>$3C3Mg!Bu z^}TJ;OpXA``5u1^PTsz)XJC)vz}Wezyb1abA+l_Rc7+Rx}%cB*zK4k{d6>}Mh17Ong zOzn_2?&!c`u21Yb^kg#vFl|Jq!LEM!L*_lgWHiTcIS0-w7Ri7hzSu0??wMo4Smv-} zYgcz}{mRZxM!4dczd3+O$~{UHY3|jca&reYYwKX`V-BI?_KLT$tULhIif5?1?(_gx z4`To(*eb>pi<*cxZ97i-`nC@0L)+eXIfeixlyIu;-DZFws0kyD&g$AeVWppUhK)Ad z{}3G`tOrkUV7gmI85`1e(vhZf(uQ%y#9{h=1u!8f1{(<)jeu?6mJxcod( zqQQj1ZN$Ew?TFLN1lxYZK_)&MZAulqW2k-iwsry$Fqv6(ZP0@oFX&y;U!YQ8yTt&Q z@K_MYmnF2jr+Y>y2zK5BK~cbyxRq*Ry$b!kvJ;E|cLy;b^AdsMLzoDwaA*c);6Iw5 zWA|;}x1GJisweEl_*FMDLq32BA_)-#Fs*#OFtRnR{2#fniTCAI`>ZQ>uHc)lEw@s0 z`AMUOxnuSD3H!Xw{y4?{7!pO*z55)_|3rOr=eg3$tn{OfsuR`(4PSL5n^5ix*2_2W zw$6D47dCCFRLA0QVUx?TkYq!~12A=pZgZc8TcsgqsYg^B1ILcOou2=GQEu75$1)1V zliMe{?CtXB5G&MI_HePeXK&KxFg1YgI-B@r?UcJf)K~m7>IlGu4I1dJ2Vla47IUJ! z048krVKO9$2n%3BPH>{fy|Dz6jg$A^}VRVHJ1^ zItgG3>_^25jv#<3zL$AZp_ucS!#Py_g9I>%42MmKrTH-en3z+Zm_RVQMF32bxEn8E zm6^XKP^nm~Q1o66u@kt&_8Mg)1=`V%IZCN_(WuJsSDGD1NsrX@^OHMI5}wds>g*x42G z()%^6Is9?bn_G@BQxWFYI@mAkTswFL#q>T6p$AA3U~a)BDR^$g{~t$yr|O*m1($A0 zHKf0!VWPtvH=)N<7tI@0lm3qSF}u~Uj)>lU-dK1+TU)oRHvJvW8qp!5Xea!;yux!D zuxH0kJ$-Tm_97r^d)>{o>F0L!a^ytBoAF>u0f!=;c-pgXxAa)7ujkWUb+&5ilyoDT z*Xak9Kcy#^a?s(X1O=J*FG%SL;-{jd7^cjY*iIM=_V=H>4VS*$pf@4tS5v8@W+7-< z6qHYTW?GZ(tdo{ItB~>4*!Y~|S~tG0B0gx!Q5PbH8WT>CRyBApFvIYcP>;?!+wIJ# zv!!tzqhIJm&w<0sD>R8;i$Cf~#J$jsd*KLiGsX^$(KWSj@{9N`n*W(p>gdA}XGiq@ z_Lb{)5A2ne^dM1QZ`Zh-!4EYSsmlD@5ZS*WGQRxVqsaJV-x77s$ME%?3TJNLYu`UQ z=5`bKF%tMa68Lw-@m?>1_xcIE*YxT$-1zYZSKHD^+Oqhs0*2iJ>>jUQft0THQo7nn ziG^~DM#*l+w}J5@T#!YC_?+qbOAz5LUWB*AA~X$_5wfB0-H+LT^Cd7p+G<2wk7yr9 zv|oy%Wm(>>84I^^oq}A@fMC5Cwt{s~; z1$-CGm)Mv;Emf@&*#(89iy8ZYy-_eSu5AHGfD5oyb3U?z?m&+glug}|Riz+c*cs}PX?Z5&8pPh;XuZwBiP^N%^$6q-Z3GW`DU&_mnJu_O-a(t-=aF%#-;c9?gA!C!XwzoYK`KCvtb!`h znP8#tbc4fGHO`ix?O1Wi53Kd{$6M?V+;gDv;HG=1NdeY-#d&S5f(JoewYF9PmRI;( z!uEdNXW*7A1^9AhWNQ_i!8a&&Y^{PnfjJx5S{Ze8Y^^B4)Yg4fHh|&`au@uG?~pkJ zT)#5@sFyq&sd-;%3K^6^F>Y1Jl^^T}WNyg1=2s?Fc+h*gnF&(pw5}TDmV0KFXbhf> z`4t6F7J87|5t&wKN~#=lXTZsbt+D1;%+(F1WHZ?3k+RNw(<$2wwu?r&=2wNyf35jd ziDQ11`7z3=u$kpli1SVs+F|mrTJs?4Kttz^LJ;)J+_(r^6(|q}5Jf7&jUW_&1W>^{@#_3CQ6>$&EiOf~EJ>JO75x!a zt~N~U;EGG}j>UCG+lrz4qISj05Y{oj$^Z$B=dN|fbZoXZG{s#Ey48jlz&mTa?0K1i z7f?H{`IUKN<4FvyinZHS5pTn*6jcS?P$`<3m|qp+b{Ae>g=)dV2*;*MBJ->C*{Fl! z7g%q_SmcHe*{u73MQ*W}OEIIEXJ_9hdVu@ndiG}WDPA1&t87{-NW^wBg21CCuj<*F zUuAJFgM%OlJ@c#V(^+eNrQNtzEPoedBl9a6#j=k16$SXjqncj@%Oq~SB=4GEm5k>{ z)3sO9=#BZ6#Lw#7f&*W{U}pGvWbOM(&|?;3UL{w#L|d^tUUIntvJ=KwCDgwZT3rQ~u^tC%rX$p?$&K7K!jTvSKNOMLepMvLv!R920#fA1b-AcA}b>d=}27fzj1cZn82zrHxct`U@vFJiY_KdMql{Hge5@jtJFFE9Xr~E+QU#tdA%xEKy z^*)QQWw};arP%Jn)J9|&UP7xZ#%<>|u2ohkdQdbo$11B7CgjLk+$xJ=^fY;_vKR$s zI5Dd%N-@QWTV*jH=yEmB#wv?4>21?8Pbt%?^Q^McABVD*eT{`s_OL09vM211UuwUr zp?@P4Z>_S*UXqe4$J_@oWUz3+5o3i3SdIxmF)uWV&d*GxMy({nKeEbV&QO|029HROzdrS&bq*%=vtE{r0NP&vfwaO}cR!UUlzEu`oP@>{u5SMy^u)ItPRR%j` zbGx<5DzBDOrRf9%MRJ~m=r^M&kF2s7pb?YCyjEF!>!uWDNvTmg<@=jz5WyY@Ezw$K z$)kLuanyS_XG22}5jG=7twiahN?fa~Q9Gp`MqR4kBpdb28)TJ*L|m(^QCFjuM?J$@ z9`y%QF7KbRso;9iXnawekA8cmBrGnzI2s9MQKeFd7DA!+tD`=k4Fm$VU%ofIpl#5L z?$)UYRY;L*l{E@ISaqrrMLQ<=n_Fd}Y$B_y(H};cq|cUSFeYFDjhW~^Oh;*PJTc?p zn2YfVQJPnI!=Vm1ve`SPUdoCRYn4S2N=kwab#FhN;9Vp$6U8MTES0ziQ>luj&^(wc z`EgUi!O|&Hc36-`R#~PlY+z%RMJakLN?ofgQ!7@iOCosXYRq`my$i^=7=z3-tkCp| z13P*vI+?cRIiYFUPus_zt1P;0irm5# zGUZ)iWRX=Cvvs`6dB|WoAqY=2o*kGYX5GG48K7YWta*WnKJNqW6odk5T@J}e;PY33}u=36-yCj zT%k1habwx~$4!ujiXY1Z=0MUvkDG^XzyscoyO{%#Tx{nQAZ5S0X%ElF>EwqkCj#D& z!-+n60uOjUZUI}Vd-Bfd96zjRf%lc%<{0XMA%t`sy=|I zZE8MuPEk0O2vmx%Cvj7f1t@dEaW?}}&Emm+VC7_dhCS!uSY=8UB zL-F{J;msI>W!R5DkhKi^@#pcybQv}NOL!^Ci_5HMr0(`kvD4EqTySdCJ&hFL%11XBj~c_$}36YjMLz`u-PZ*>O^JjSq}a9#y= zRI1157BSRv0=5cebJ+aOW{oA<1l&Kw27#$#p3OT9`>K~wN7Z98GTW`*kky0y>L$je z410D`;B%r}t9M&x**l_EV;ad6;#LGwiE3(8G1IimilstJ6@V z8l{<3f5QfM81~ioqyMVj&Hk(UCDUb1EQnGv412s0y-cjtsrbYbZQUF+!``NCf$@^1 ziPKy$M{F4cIR&hqgY*IruZp!Ba~SrMmSjDKef6s>dXHg0F$2vOQN*yHi1vq3)@9h! zTRHl=kYPU&o9tsnV21pOL!A6M4Eu=}apo5??D<$5){CBY$*To_LjJ3IS)|wk3p={7 zcfwv9lzh^;`55+S4k5#S(jLT9`Lb81+EYt1lRj9G9%b~j$79&nG)Nu2kqmoVSy4io zhcDs{O&-Gcn{)yctLDhi!3-}NfY+RBx@5T2-K0s^+J^#eF^xYDg=P+jun0{R7N7^Q z4EvgkqyvH6@Z4*zL4i4sH)VW=y@us9sOCN!RJ+@nA8JVR^fbTZTKg7sZ4pUYdqa<5 zZ+b+-u&=@J0kyIW`hQ_93i#N^xfGu=d~z7}lod&Mxw6jiSw70; zc}WxIa}@L#hCKt6QeYYOGQLn?8TJ%l8c3yMK^puhuNu|iTbIhPXDq4lIGh|X?-d}U zRVvT?q6P2HSkvR+n z5yM^;23Lo0C@I%6D9Fk_NZl3LkYPXRT!xDn_7Y50rwyrGKXyf`Vl{p|fbPdK?CY*z z7>8lc+HRBGg8H=Wqz{^5Z(Ff8R>QEbdq7HsGRv^9-yjnk!?3T%1~)!9irXvZ^F5kz z_HXq!N*>-ohP`A_Am2X2zJ5amCQ9|sWKqn5qDDp3-)Jhr6_~LtiXvp#*ME&!ZfFa$ z{FgH9!@PM6`-U`USPj@3mmDjXyB@d1@lDhr>Slv{Q9i-2XFEY7ZGf5dEWT9)tY`U( zg88BheOZRRsF>)bG3BM8oIWC8Jw;>b#~0x{-43yyo~juxWY|+;#yqDNlb*eHC$6we znT75>HD&YIcc&)U7Rm!!g|!RAe#&`Rq$$Imv8A$tF=wcDPD#UpWU!F5t|{nwVv2vwM(dm<2`5YT`0r8 z;d0MGi$#J=*(_tZeK{!<%dnsNV5pY|!LW~~;4ti`o?RV4&kwye8h(eNSVKG_@}hXE5t$LkG5MSGKS2-rzZ`JuanPpfBFCVM9l*qqB1* zjA#mss&Rb|rPr6`ASu;x=(X%q8W(0U1}MY+&=;9G9RsMiSUu54uU$B>DdT;Fyuk4% zlih{YD@p+G%J$4Jpv!zVV#ssZ*m#5e?y;`8%>{ZsWU_`~&*Z`-bI7o-oQ<|tb&kyZ zt44FSiOB?ZYw)*fwER8C{Dr@Y{|u**QTpXV=QEEuGyPk7n`Pr`0Rht?y`O$y-UshK(=)N)>pLV>*sW1&(ChhOH~tr!t;o z)8>t9u=wjt6*}S#8@oEUY}%A6a%5PAoRBJZ1oKyc`jB-5E8E*QBjn1h$X%+$aoBMD zx{aw)N3eS3mi1k!GDiXrFk|o-_R|hSQB1F8fe>tN`Xp)**Dj4WND=*$=;X%EZjQeP z#juxF8)Mi{KT4B|=GbU$cF#eQt7=9iP5-DaHR!q3R1}vU?sM|D@e!(udB(gQekYx4 z{@QFg4Ew6Pk>+8*KQe@*Qgx0~3K7G;@c=!x(IZvq=T3+)pGeE(KvXtN7xMZWnFqLP zhvv6a7Ig)q|FFgy`LPW9#)WkLy6enMN4b~UR&{p8yi4hv!=uGNgce9__< z!@j9Kwy7qs+0<^{x9J!zU_Ej?ntRjrSpkXgZ45@FX*D|z#}uS#BilZAd)2(1dkSd& zyxHhyUm~{*`=&#Y@21a7zMHORi8noHv+_6^zn2p@Zl#Z(S|2bp!29aWz8M%J>v$4xl5NgCM9!1fJJZSpbfXB-h8 zi2C<;>45VZZewGBNZi9^CYunz3V=F>i`{Z zbKTzy_f`^RM4HawS4h?z+}o)Kk9(8IT-@7SXj8@0)Y#^C8f|Jm-~RZ3D4X7FXhvg? z{9;EwdbnL4bT_{FZW|b9|HaI@i+lSaC$#2>qWNq#Jv>`=YQB()iRpmjAsSE+8HrI*3I-A`2u&Bd4n zNSYvt?!iO$2$f4Z5rca}Tyr_)5c8se9k7W)_cQaQte7q|aqh;QhR{{&b><}E4o#qxvrhN9Ykwi`O?ovaO3B8oX-KxYPLjPio~*&W zjrWuNo8aF3%%RRX!q56}n7P?ty-1(O7cf_B=9F^V*TcQ>ln{%1NR3vpL(GVPod+YO z7hKnZ7w>if4!|IaDa5Qp*yV)|VhVz6L0TzNb}+_lU>5d0t3x3da*BI{4%dcayqAh+ zgGADhDi-h=-v+fiXI@qwBMTwTE6(Y;MT?S{vz*&n9`0=z$3%RoIrI452KR>F+=)^! z0Z)%`$dCDOgnRpj*=~WlrC4CtzERv81cG~mb{pIqPUf%;#Ye%tF}4E6CRxR-TX1iG z;=UJifzB28Q1tgO&rMz28^czl&=71`spC1{u(3tBx3!$lGd_&QsjR`hF?eOvq^eWp zxILK%_l5>EcdfK09b)J1kZF4chN=?~VgzeR#l78*jwBE64d-gIn8J;BgL}h%btdcL z-c+k_jTqU^;F3*w*5KX>Phv+C;@;A@QrVv6^>TPj|Cwjtf_p2@i+h`qWfL$s3ws!hvsE+6 zG7gA^=cgI*?Bd>d42v@^8V-24H#u!hmE^&_-Hz&=Kf??<^MUm%Mu~+6rs#I(UlUG- z=C5H!Of&azZ>%l!3Y(+TX`T3?rD6SuNN&PWG-j0GCiu9wN!bn;_r}F$Dr+eOd`f3M z+#6S?1=*b5CJ1qF*D!St_eOt3sR|^$U_sW!z0m>V0+zoEKA3dK`VcXbnu~j*D3b*^ z$>v+PS=mEat{SsloT`8|_rh6uk}wDxVO^L661X=_C4qZm%thH4?oE=*npi#D8(lCT zHMloEm1wrUa8TLmv{M3x%p@zSki`I8O{eZ3GtWf_pAS^c!tW;Yk;09|)DfjghwLVc zdt-K>10L>;vOvSGeEqQAn;{tTa>TCe<_P!3=u+AB_U;8A)9UTAWI3cvUaTg-C*R@w z2jMfDz`cn^=X)Rd$A(L2VGm}4XAMBd1N++4EOdOX&`!Q1<|2jZNW+hYqU@l;oh+Ijn$fqd;1TWw!}KUYSYhIQWkM< z16fO{SvfzpW1~3BdjgD0|9>vD6Pp{_vn?;wX!Ymo}KMwa+!Z9X>d)qH+wPdOp<(4$d1SVbL zED@F*DKfs84pR>9?dzs}n|>~h1+s1O5$+AbOxD4@OObb&ZUxVMd{$yN;gXlqLj zu&uSWnG$Nn{*Q@AnT4!!da&j%t$VCb*#1cAw*I3;q*KJ!^SHQhac>wMnXh$vy{=WZ zjYQHJ!ERbNN)x5O%}Lzbpfpu=G`KhTM^jZ%4EKgBGH9#`CyRS~4_mILkH)5LuoYpj z$-*#e^5fnvmdzG=KnONoty|6^x$o5w#pvVSxJ!l|7X~ylmjvz&?H`*id3~@uup}X* zv;-Z&%*0d+%jJByx0Y-k+?yO-rFam(bT^kfihI*D_z?G|$M0P8Slk;&rg9ybpbAUh zF}6UaQA-~{9D;k(QOV%mWXQ}K+#4TYyc*%&c4M^Gv;8hzRmtQ*M%bml&Kles?4!cA}#B#B;mByYIQ z%ib^784T`CW99`m2-c$LC2}64DDI7q8GW>_MX3_9nhfr3HKxCa5`R9yd|)!Y?8&T! zyRA17Vh@LxFwm3ONU-=&+}qWNKUIPESdNRh`EYOYCg?we8s+2O`m$?X+#7Z#G3;Br8*3 zjx(8X%FidBHZg%PstEVCARI_nEMO!K?u~;}CYuNMChrsB-Zt}1_#Tg#_Xv{-ac}Q~ z^NLm(5X2XorQ1ED5q^CXa~P4=Fne0@+}|AcCgmO_iZu6WQMtK;nzgNE?PCsMaBo;L zr?Nio?YVfVW0yXLd&5`~Q!HvC%BBq#(HJz^)>0qZaP3q4h2S(FEhTw;ywmi7&yMQpG;*?PItxuDCb!!Fr;- zj|-PH9&rbW#}-)j7y<4gqKmZi9{4EAy12KGvEb-qrC#z)U&6W4?(HdihP>(%%#e?JgGfTeaBuCe7e=I{Yk%yvKlY2F>fU|s-Bx;`E4{)>uXj{E_eptJ^$9ki+#S}-y?9&ayn;KKZ6JRg z87^#cI&^yvdy|y6o-p=MXE@H{8FsRJVT? z-E}tct;0p4zTuv4gnPpV4fNK-z1=8tqP)1b&&p)T!@VIV=KM)74?#h5UlTK-y$Rgg zx5Q4!$Gt(eIMFzkV)Jyw4NTcgo%@ck3$(bmr@86*+PJqqwGBodJFp*zdnPA~H36t< zcLZ6SL%?B12XSwl(Bq-?gT%ebRL#M?y^Xjx?q7zxao9)OK-`-c;c(@Pur0qQ?k#Jr zDvl@aEgM>_v=a9g-^;wIP;S1M!#Nb>cZquwnZdpNh`2ZAlqV)gWcCuly`f9Sy;qjm zNbfI&)-e#Cu46e+Ov{t*W4Cl{=^);5^#iu;Chv@JB?PJrcPX(->FPMXE7cA>&I!y( z?quXcj()Pedyd8LRiCB`?;DigPf<~K4Mr}F+1<|5Oj>PfmxG;CbOZ~tvOS6slEGJh zB%gM)>AAC;8)kpfPVC(8fKJLgfB7S0T-=A_z~&u7~OMWoaeY zEu4#BXCv5s_37RSGuL!adh-;MC=_u13^MJerqBL=FSTO_5DN99N(!q6p~7s36Fqs3R^Q!l>g8uDHxN z!hkEN1LElD%=|x}@AsU0&$-VNU`7Ui|JTgRDY@UXpYuK2_nh;7Y*g`m1Bptja>-vK zsyBj@mTk_wW`AN;KqjVV-e5!K-%D_#xDrv^h$v2L&b+~k0^d&Vo(6budgdA%rydu( z@qkRX6t#HDRn3`eym&wk`=kxVX28}d?}he?r4=`4zHQD55#s<-{y9_BJ3M;@MxnSwbdw~#euT#IX4B^8%+H+ zbZ;SsO9mmfrpcFmO`=t+3+` zr1B@kGLlYZ#4p8&2A6S9%5lC;;ONfd#{g+d;RQP|0(JZykZT(e`T6O{&vzncrM${U z2E{#os6cF=Ky3FSwrdgFtJ1N(%8jixleyf+R_KBbTQb(mj?W_AhY;_t5%1;ccrQ=I zdxedc3h&?U@nd}mu|66ETYC}f73o;7aATc`gK8VAd-uoFw#R}K%ifCU-aZSy_d|to zS!-Y_?~mZ`%v-10mk^m!)MfTm8y|D&T^n)=z6|ixW$y#Rt{8Ein#yAKRAzAq_7VI9 z8Ir&gUMQN83aciTQx&pja>>H3J2ruD+53^+<4A7aQJhWgJ_ol*V6({F7xma*j6?lqCSv6r z8S5ZFGSRkOuh8GP;b%4);16!gWsS@d?0%xtXqRJ1d=*6-ElCewB~g5mN5`_DC<7F5 z=02>JkZTYFv%7KMDJafheVTbt{-OIn!mxq=d{I3A445^a7?^F3(!{{~nP($pPJYGh zYqk&#P%6XF0JH5m!Q*kA&n0s9`bcJ6EGP^O5Sb1l*d{zlHu7nJSsVqC1xJn`H;ye> ztq(>@-s8p)845or85A!pvZVojl=Wx8eH5d^(l<6uB}Ruvrm4e*W z#>1S22)o#J;rp@ImOt*o_8QGHQ5$xN$nLj}U$=ieZ2!PIo8BLE^dS5VvRIJ!m+OnL z$-q52j)mdRSj1gItfv0T)z|_lI0XEv9B87+0l5qHZT^5%wBB@9&mBm-iPsyuav7R6=wM>nXyO zOV-Fl`S^SN5Os(iV)YyVnt@$tCP;Rljq-SZrjA_RADbp}cz@Aqt*0@0fAoNQ8ogVDBP=)S03 z@uv{h<^5e!l)<;p`}+oif-$cloEIU}kXQ8_^Zwq6yhyg!+z zB8T@!K}lqJf1i@L6~E;1{;rPjAW26hMj1rr-KxaT=1h2hm!O_>>?^$ywIP=|@YAhQ zBUoybO~gp-!TU_rl-|sEeK%AB#T>l7ATlw*kF}%Mr9GBt3Dg4iq`H25PD&|6=Slr6y z(fKl?t7Y%Bf8fX^XZ;zq9oGRRGb}z|AzZ0NQDEtd7IjpHozx8F8CwEIPcuaa$#8uROT(@X#*U8Vu!(Vk}<@@ctMDM%xtck5VjR@_2v92Zmgq_XnAb zwpm$;lxx#@yuWN0l(l>nYoi?3E~Jji|H}TcTPn+hJ}3=ud4J_2>KBGas{17p1FoE_ z6bk_2uoK?jXE1B<+i!Fua(I8`=v$~xaY)*`9LpY;<(z?)`jeg4Qa>D{@pSw~BO(uacL)U1}BmJ|&0E7E2fF-{Jisz)Yw^ zVcs9w!|?tdi#XOQYKtQfdZOk1$){Wh82?{fvmtvTf}wUi4js@69`Ellsqu;my%SZj z=LO>ZArY7NS1|>xT(O6(T!ACk94{cVtKfdwgt|!Zdw$c>LtKpLBC5{`NZZP38 zR?viZxF7FBZE-$fZD9be#ONg8KHU?sI2cxR!V$aNgm+0@Q4;h1AcFc!Fo*YdE2;}S zF5Q*~M`57&Bs347eoIh-8Pu&)4p@*nygy_EDcHc4_XjDmOR2~EgP0pGBUauYWM~#E zQ{EqB+-||2=_m=*k3Y?%PNr{pPUu=Tl$t>u-XB7;gCSCeEacp3oKw6%1Z-CqpZAAc zE>OA0`-6CiibeHe-XG-4RqpWqAY83NkM{?0r;1a&KjfuH9asp5_Xmf*#KGMDf!cE= zv{@Zkmo(Xq95{-B(75#{Zk)#DP@Q46$B{X_KV<6+mBU_dd4CYT%y^avphc#;ovq>& z?+^a>Bo25AW8gp3=>6*8^8O$_7~~S(UsbQHFr*|%rwX%L5W%=A=rRhP@c!tdBJz2ERfnO!T+&Pe{MW492)e-=0i=tifRQQhuL*1Z zs?At(S6zfNEE_83{e6;QE|(5pbrpMm)iwHYrhM?)iTrUVh5?WFSA7yEA~B3`34lRB zZ~exnr@HuIYdgF@TuDGb^?84|K~f&MS1-7n!|&WByua7Mkm2zDu3~a7@9%ZCuCZoT z-k;VsHQ)075U4Ct-XAMa)`Zn3$@yH>W*8%@ujeBR{1>v&@cyb#q5yS($)ox<)_xEJv^8Um~v z-QcC8Hr=YtCK>&#c?6A8^OQ7-;h*`5MZp_qTo-zAZGidegjql}G- zuPPnh-;`r$quMJC@9!&--sGsgiE$~=kAsxq{r$o^<6W=sEU~9}f4Pfnnn~`Fx7xFi zVqE|wHCmU)`}+z#@D3PQX{V?%n;w+#{_57+;12JvZav1Y+Fx+|sv9vwRvoTeS+9oo zm%B&?v%2eaDPH$|+cwXe_h%!ta6Rd{b?oUvsR-=Eeu1>t0QzrO4s^q5ol)^>}~qR*tbQ;r+pkUMT`I<=6d&i$90=S3iy` zznJ&OPyFDHX%{_ZlcMD=LjG$WVU@xJ77ujs=mc9El)Ql?ll5Jj2ZdNOw0!_~d4QI6 zd4IoTo8rfCk~qvrEy*-g6^+IFd$+XF3(5Pl#oUcb9>zBP@NUh+5|q%?KwQ51??SN} zJ`p>3nXX5f8onXZrCO63>g`7njyQuFR+>5N!lo6xKa3!j_t!X11`xqxh=6ue| z`n*34%VkhwuMMihZR2(gX}-=D-rvI*+8o{=hBoYA_W+4EiV25XR*rTU5e@IJ5z`0M z%JTjik8&~L@cy2%yuYV#rKs^SJdBnx+974}M()%Sq#;j<8z1K2A^CK8e^qCjG18QI zY6+hiOg$LkJu`p&hi?>EKn6ClnD+-+k&&74Z7K|8%KK}Yo8tXFmFE3DrM$lgv^~T7 zLy0o{)ajFPW%&t09&&`Q9KRgiA7w=nUU`2AU&2rOmb(UmQVQ%THv^PW5cB>}k$J-@WV*`Mf`L3&Z>SAWNn9 zb9t+R1CW%<7v<&7!%c%Z@0nPD*C5VUjwes8bZr;r&fNixOK~^uo1oWO#qq z@^7bJ=zb?e^8RM@K_Wxi%yYF4c=7oK;{9nwai49+i6Kss(tk=T|{|Vk7mS1Xpl=_^H9GyY{kbG&-VM-=NfZs2mW_5PGmO^VrizbS9)mubq8AYGd`uj}gG#O^+) zIkI<;W8UB2bKtPIcu}y{ud?sc+N-=j+7!_Jc@NXizLOt>x)J%FvrzIqrbKWe+HnyS-?+?dKIJZe(%tnkb-{91y&~@TDABhh{{Tsn_zvu2rBqA|GmZyYN~?lF|Jo-}|KP(7QW^1l-OLj71h!;#mZ`MKgP9yOAeYam0E`3 zXYNQOyuUeIyV24RyGpyx-Ht|>YmI?(Vd!KHZ)VfX#eiRs_xFqs-urLz{$x~RCgf5& zT7rFi?t77J+YL;1UU@oM!~471PxgO>_vdF0ZO+Wit4lI>s~PiH0CUA={_AP>^?85T z*kE%HsnIG9h&d5(@L;4oUc_}Rc=2u*-~bGwltRos!67epkhdAAGf1mN$^pi_LYND? zXKgIxMoxHt^Pt0xu^8nt;t%m+O-{&nEDh$k`FBS0>GA$p9C^S->(%^E)2!w3{{9um zL@d<&J6UeS`@`R~L@Akqr$;#ChiNqC{ViB)%q>v2Ad7%8?+*gu{Xx48?++()FhlWE zcz=v7%h)Wlk5>s7TtvGUbAiD%hz#%VUwLlo^8OeWuLP5@(#8wc+Sn4_-{-lWM|=w~ z8wZi${V{lT(xqyVivqkqSSJ?zCr(*LjvY86$Im>2i$-_uIw*JR@gT zVI;ELCC^O+T(kp2O+FED;W(xu!;~QcE=0TMB990tdWVa~C|C~HaLOY~1pFrsMF|n` z86NHPIv6}=uji?~B?7)4N8k}IF2JF(97Mp#5&_5U2tfpt8$qnM;%7n-0cD`XSNTmO z0-`ArBH$e)0!F+Q3HOrskO&y@LX2GBgs9?j#Fve5Uj!c$K1?DYN@s|G#TgO-QK!ew z;co!r=H3T|2$(BK1pH#ePGX2B=AkuDU(GViI3Sklud~MJ*?ap4uQ&zc;{g>LUMqcr zgON`JT(kp2*`hC+X=%}87&cI2@f&0e%!^mX%cVs>VMfeAU=q;#TpT4ZZVV*n*Sa+1 z@#Ir5SuWmy!)mNKf!6SefS-(dJtE*!Ou=%jXoJl}9ubiH*KE}769E^mWa=Ifkp2u2 z@SBlK1f&Bjq)_}NOY_`-A6_gQ^V+j z^VfhjuGi9 zE)fuZq97Dxp0_!}K;H3bu3$R8Zn}NP5A_6f*_kgg5iq39%pn5m-O6RJkl6-1ab$8C zP8pG7^I`XY%dr2;(LN@{zf>t7!ER4%Lld2x(+cA-uf8&}>SPXH|ukPAyZF>{E3 zvebm_#U}#7bfn6+eMdhmLRdmWTLbH6wxM8IF65>{#@tduRy%6~Qk!pbLT zmT{DrO-|p>7!Rw)+dpdTAI;7ZaaAj~A1)E_rbr68Q7_=Fd|bMxq>%8CR{l!5D5V2= zM8H)Oq^qi8yE9h6uQ7nwVke%+7{64E4h>FvC!|p&$`()jToB z&;vqXws47n=$Ow@o z??i=&fH2x)3528iHRaq886x1rY?Fith|eN9L9j$X&P+-Kd@4lHIzt3pa{zHD5m06& zO9aG6CbC38eBc3VOaxq0j@epI|F`SDi;?SkZOxk^Lj>flg#gQPB?2-&B?8_B3uHnB zWJu)O5CNU(6C}MgpTQxg5CI>@`G;Mo=;V&?9zz7YOQOb-@Z@&6@L-658nd|*+=r@{ z$a&DBL_mJ#^wYW)1tD==h6wmLmcJ*rGv4*g2Nu&Ozbdl)zs)8>Y_}XDpeCV2z>{Gp zF+{-kM7s(S0a@c1KLiox5dqg?>~V;ID{o*W<&8bEknK(p0Wp%5$O6|T0zz1>M%J|@ z7#oHzw{*()}E*vX~zqlSP5peCfbe?7=h%VSZs5CIt!lZ^yTX27n)GQ&{H>Ba0@ zo%k?KV3yGYQ$)Zn=>N>Td7(APc|^eOwYXERM8GC01&lEIcp-z&Y~&IFA;4`#hX@Ek zQREN-yVtVf=%Y(-iDlbaWeyRLKIG>AI-IpZ8TcQ~&#A|Ufp5U*(0!>rWfs_7NS9b?m!s-Er^rY5dUm0n)2$aE2jy76oM>=k(+RHJ58{nYx2~LM zuJwq3u!2FiMGRxAoOD9}dLmJ6JtA=Z_f&{-J4C>qDWc2XIY2jJh5E)8eq)d70hhAi zc~#F`QQz1q91{V1R*K%bM8KYISrZi^0`~OFVo07);Bf$rYRri_q0;L`EZocGA<;;h z2-ve%UJ`jkK+2Zqb&l0AuRc2waA93M1R5e>*X7Si1l&-fuZNMx-XE}&DG@N&Mi8V5_=lOzK2Aw;}|1x@fVtU`46TKydo z0i*a$%iSabrfr$GL~=Jqb&M5xh(th@;R__@IKH<&c_6el6uw(oA1Lq5u zYnUT*W{Ei61E=N8!-0K;!+usSmh_!e(bc_uXk*W2xe|>(d-!{v`1wfui65BUyczd0 z!!G-xbi;qt4~sg)-4!AkOf!eDr!))azEe$FMvSucT_wqUF~f@L-hW`^sOAGsz!-$!7k-t+rlXWR zLFtI&*Nx*wobr$C-MM=><^E9Gz3U)69)?*3Z9j@@SV{GM6J8f~tUoYv=#@K1hVA^A zxPRM3)guoAk`{mu({|sZk3q4eFIZ5>!#^e-78dmIUSbgc$0yPMB1CM6_D`Q z-E5juIYDH&@*^_bx!kk{2uu1~U}WR*_o=86%6g0po;M9wR*38jBg58o(*>2|MTVuI z#5W1DtAM0rSeOCvpG8laX|qzM+B&0m){QO zuQz8hhv?jWaHO~23k|B;ic^8Bo78fAxt#E1CI-_onb+;YvM@PV2b&|tyRF!cAa6jB z!4@pz4jeszg|`k^n~%H_I)DhKXEJ|_w1$CSa$cjlal8R>+=g_%unXO4EKkV#%8QZE z?JWlmFybYMINK*7%)S*;H*4^HV&x6+v`ezTCmt}<{@xXwSk(r9UlM=2WuS0g1v@!i z)ef%%@cL6p_gTH7VpjcOdM12{#EPp4$und(#ybWOwB6{iEG04%@wdIRgAYElJ*dsHL_YQ(*tcKmQogvn z#aFhgWn}o!PBOY=`gs1~0%Y(PCW8a%46Xs9^JJ`ox4zBGAeTJhr}Fa|X9iT&T$5P(ASEd1T^y9TaZe zVdU%Av2U)CT^~o`1ATSdA7;W=LPcHlPk;m>;J-}rFk7jn|tLcaHAf-*aT2?wu2FJ&o{o zRuG)J4VlIuh?>cEG4Tg3+h;L2);EF{;`{+>1We5(Cm{kCEroR5$ow$VnDa;dj_GuV?)0i zq4|H|!&vhMQ~xgaA|o;7a>`#AikTq!3`)`gVg~>DJb;*uIT^QIAm+V35EI882@n$> zE)Wx+9uRX8H~;Y}9bXO*6JIV66Q2NLqJu2L>NNAEVwzww_r`)B6ys+jkAbs&-hti2 z&a6VeShsG?1i~O^;B1iw{&yQVI|YG{FYg;n@23{9-(-s^;ZXZp8eWq+GgKgsz#X&xoOlMca z-6i2JOTs;)+5NfAZiw=i7TlR+pOLKI7>5lPO4yJ;7AzGXu8YH_HZ9>o3H#wB>>&-i zGY)%695%I|2@grw&n97S)v)i5!+t6bo7(Dxw@TO_qhWzBznWdUss6?8+MLb*!aY04 z%<6r%-kq^8fMX;sW}l!q>)~#j^LzJa{oXyv?cMlrdpAD4-o4c8-S~2PH@@87jZcfa z{cJ(p?L+MD4`J_=`6o3-eo6MWKZn^dg}a?=$+q@tXyyUt*}>iZnzq^J#@)_M;cjm* z7yn}1Ei#?L-9nOwyQT3yg}Wtdhxl8WHZdW=z>5s#;ch?1`g6p%Gso#mj)hc=yLuLP z`wg*+N*tDng>vKGhlnr%tF@eI!7{38$=--j!q^A9s0b6>?Z3b#%H3)fTuQA9i@Uuk zg}arCu((^P1Br_p=T*%W6cX~QlR#|NzAgZ7W_**_jp z?;xPUB9IY12>%sXER4I|NHQ80AY9yrzhFhXxLXK(-0igXu$Yx9^rb=TwUF#|6lt4sy6NDp#JXL4KX)<8C!oc)+?B1DK9euz3m-(dB)xZC@2N9uxO*cr=X5!Q+6=7(I|Et|%}-LB!V15n~Ixv&f~|G^_W z_n0R@)Q|`sP;X=7ZgH-IK9z^N1$Gd+;~eqe&&AQic1=I3sf^SUmutXK+04Sga&sDb~b7V-xha^`)8;j2z38f z^oUdl1BfCO;pz%1Kmw@Xk0?3~UAar4x5aNLjJv%E)Cgt9vZ;#SfYvU4m(jLj=)Rmj z6@MBBvM%oSQoPbj<8Hsnpx?G3U`R{hZV#e$08C5(lG=4tX27;Ou&RidLB-vosZes2 zqMIr17IOvkL4^i)iwG+N7k9f94N`KDN?US)G>G|lo%``N&AHY{*KkLtYEuZg(cQTN$X$#$Lv02Y0)U3Awmi z2ol`wrzLKs4|=%Uw>h|5iQZrvGK`O2INK zgu8`!Y}_qc$>MG?u~3atxLaI6uvgpB9A(F1+-(C>Gq~G}nFJ?K?szj_VvShb?J?E} z+Pn-m@63OYiiuL5Je2X~S=pS{ZfN#N^^`;X*|=F0Lv|-y63~l(qD2RO4~D@t{(S{VCinuBs|J)-=vI z-1WiYMPx7|9dom9S1-7Fn&56Rf+CA9?sl7GEf04KF-Dp~xLZVl(Kd~{g%pdJJlri5 z0Z$Qq+%04>+Gb@XQjQix)A_jDbx_vw)vS&3t)@20UuyrrX*Zlr=tB~k4-+}Il^>Iu zD}TLs$z3Wjyu}8@0>H=J-p8|V2X~8{p*D@k#oeMip*qDOY436@uTYsH#oHZ=yM4J- zs7O8B?Ov%-k*9FCaN+#LoERFGK94BJZ5vc7R-WP*zoaUEhtw*D$&~y(a$auzJGff} zn29B3VcacBZE&}bySUqR(i83BWR{=u0HT7U0Z(N`R0Z7aeW)EQHiu5{+0N@-a2o%( zv_r)Nz3^Kx{J(^|t%V{~46~Ih&O_t!bq)J8akuqKpY;cLdWfr$gFaf7vZPTdM59uu ztGx{3+zlc$Aw?eU79*I!-M;!o#@!N2m02p?V8W|eK@+ZZ zKi-ep;(TJ}!^-hK?iR&CN6-mpC);|$yQQuuiE+0Op{6Lp(rftq1M)_00)Ohk$K8Gk znkQCOcM(JhW>B|IIbcC*&8u3`NWliSxLafn4MHhT0MGz9F^F5miWPUO&0=MWyM>I~ zEd)0mB{@vO#E#L5PNr{pPAy5OywfU#WCt@L3puwM=M?T10o&CDmTsJ)3~Aq@jxA8R zhr5M%iHb$_V%#m{%T?~+ZXsN)LJxNfai@w?xLf3cG16 zj|Jh@pSZ<);1bBU$B{X>TV(4Dm18EexLXKcW<2ZZhT?7^K3m090bE@&=RmBsJ&6Ne zf;tZS)gd;rtIqhi+w}@uWl5k@RhZR$-0fen8{iZr#@+5@CtxBD?iR8X?iLaccZ=^l z-0cyrY|&9CeM+V@uG|E7%g$ScrGQz@DekrzYyPS&Si4kRY;d;>72|GUunVeQBOSi# zghcRm{rD^Sz#2%#=c*5381QkovpEqd?iNb`3h`|$X5#jooxw%>eu_Y+Y?OA#ogi|rq(sq%m#NWwN1^pxLX8@akr>I#of-9v;V3s zutrwjU~spP#kkwqsGVxm0Va>?+gTyiA9X)q1C!dR{u*nCjcwM6lQ)e~Ip$dHlQKq{ z3S&4Acl!mYBoBA{;8=B}oJcWI2RSek4<%#{EQ7HJ!xn~n8f7u=0TICBZa+rtgz8q` z&gyhaJlw4qXT1cqCp5gPr za};%(GKw~;y~^Njze-{N;;OxwaT(k#1}THP{iSsVQrmZy*a=^CA4pzo)5P#(V7-ic z2r1TOpgbl=dAQrJ(gR*W;Xji%sgmX6ZaZyo6b8puQkc387{6+N$?>c1m>II_E=y9f zxZ8_mFsr*>m*RClux;~a;%?t(vqa=9XKa}Sxe2Si16j(T%sCy#P*L(4rzHsL?@r-v zFJRsJxZBI2*=gJ@`d=D%3vWK|7G`uGcZ(+*KJK=hE58JHi_gNi+l!I^nnzisFoDGb zT|7F$)&?bSD0)uZ?GtR%QLjyPq?Yz;s2&q{`!~`?F9z;*X@cU4%UAy}6szHrim5UL zFOHOK+lB^|so|S4U8*&yp}~GA?zR|}HYV=2Tm}&AbS>_-4&#UOdD7Up+x0f64!4av zG^F|3DY)D3`M6sQZ9xim3z37n#q{CgZqMan!ol4>ZE?3x<0Q24@v(5Xr7`aIdmKFC zG18Q=YNr_^E$+5daJPr_AzOmGWnd$Vakr4Aakns#8Qkr>6z=xvH1784JlrixPSH-Kn_SOYI>2XX0-A@^QCc z^>DW^aN{+PgS(ZCb9`%=E_rw{aJOF--0d*ARWaRmIx~iba0O;=quL}WPZ^e4R!f#Y z6KDAa!rfjfxLcUUUED3+VBz)Uu_Mrzmd~(5=h3U>?1Sh(BAiMzcmM5(4d^lZ4>VrL`9{vpa}$l-m!>0jrUkGuV8A~Lw!jgTnrc0}udPflM9+--y4 zZa+e(mciYQFmpNwaF{o^+ehujfn7Pq-O5zx;BL{M$HLuCvyTdO$8Dbn-p# zyk(Bbs@42eh$&fF`PW>@)h3o*u-4$;nk)J5l&tv|{;H0|%g8kD_EED$P2+BNA=s=7 zWpOr3$fNj|qvT1~|KG;l-h`?>>3UWzuCJKoZ`>%erb!k3mniF>jl2D#CY7wQ(c7%e zK?a%{T(t{kPt~mkJqxTB4P`*I@we-ye;XgMs+e!A+u`0I%7lMqxWXFV#ocx>O@W`9 zFirA7ggS*uox3h@UiG)9M+KLS^t4W#}|FWRqrTDnp2U12g*(bL?V9MM2 z6>eZX$Sf9&b6TQfu+wwN7~^g~$AJUyNzXG6U0VSH_i(ouR}0{7FIIxB;&+kdzeT?1 zERuZBY2mJZj(zPu2d~}paJS#45Bu_cj{efG-p86_554D{kl2hA=RZ=yIXL@4-5BW| zRto#Ehr6wcZJl$}5bWY^&tM6;>&KxFZhP#8&2!hz-8>wdb0HTmasS?A?i3CC=|XJgiCEy7O_j@A`iRcUzUl-TwT~ zz}?;nZK~Qyjcxm*(WZ7h@e^fh$NNV(>&=FCboSV9J1p|a#}fHaYkYf`4UDt@JlyRL zE|tv@MSF%_58qau+RLbz7-k#~Dco%p^$$MWAbGgk7Al~JyTu#zH0~B@rEs?^sc!K* zc7wa!Kvjz$!$XnUA<4tt;(Df!yG2}}^Eg0T8mZ?VGi*+--Dqi`fm4v4GWN|SmT|5% z2F`_{lQq1BO*0n*enH&r?|km;3xd0SAChf*7n7YgKAo(=-M-CF_C>_q)+d?!pc(U6 zi#+3*3A*YVgd^+mVX?8n<{o~GR&hW~;cnq2jk|@YFz)uv9P${Qs}yq~&Bxus?pX+T z3mqcp)l|o+%00u$K75`TNrbJ!4+0K{rjmr+%3Wuz}xH-QJjwyL}Dfi*dJirEs^|H177c zXTjZmsSxfKr>|xiwp&pLsFUgkS!0~C7;SDOwMvCecUZvuwu2iTYMSZ?T+V_twH;^gYA&U-9nVc z-6GFL&p#i~ilvA1^P#xg59T{KxLc%cTSWjS=nl-H!QDRc++n?v8Qg7&hr2~|F76he zVC^Bx9g7MntZE2tN20P)hK^&;!)F29EmcnY4J;ZRms#BHJwTljJaS-CDKu$?wSTOD{R7__%zE)AV#N4|jVv+snhe#h2g?`G_wS5{RTcJ+DfW*R zXNkCK4!0jJ?)GM7xo$ML+n-4HloS%!-^yQ07o~I{A9q_RT~!?o?iT*hRaKP2-PTEG z&2zH2+v#G4p)+B!26u}vFvC!|p)l@tzL;a^As=^(?%AS&8Dk1}i{1^J3Lb%)S7R24cXpbcjakt~SAu_nz@3Bo1+$}zf zeB3Q&CdJ)89U^GG#ofLFaVYLqCQXaG<&+uYZt>yaZpUM`)*As9cgw|tl4-Aqaksd& zkl=0^pW<#m;^S@+68W~c+hqF0%k4Gy;Z~gBZhzw9ZY7@%?)D=RHLkRsJlDhBO3da? za3A`BuGVnSVsN)`cX7A)^l`U8!7=&Ca~baj<^zlAlP`(kwwq0a*lxMFTjoD9xLX)X z4DR;EF>tpm3C0iMU;4P)QXhBwE>@C{yT#a35O<4_EFX6Zp~c;nVr*c7DctS26r(o5 z-HxPjw|LO);BGO`IJjGUJqzykTk%A?_FIg^#oeM86vW+1c@o?$Hrk529WmvIF|9G~ zmbYlv{y-*#we-bq=>laj5T0ECcl)Nl0Pc2jVchM{Jlt((z`E)sa3;pxzA0Vn1#q_) z$E?Sgk$&=xsGH7zm$AN6IB;Dceu}@i9%J0?2>fgBxT4t zxZ5*jcA*&4L1EnOUYTWVNIOXP$w>|k>l~}`c*ewG#(u@!A}A&s37X7+T}Nbwp%k37 z0=QdD6PRT*!8Gm``k%txLXwBO?Tm4^%~T55iVf}-pFZvu0v~q^fsecGWX17vWS7C+ z&SjOkxLf#8+%3-9pbYEqpEU0FGJDG04dXEe!{L!b2f$7|!uq2~LZooFk3Cly7i(*O zvlZvo1#!2KTioqqlFM!w=S4ZYf2-e@neU#Q{R6gN@zGNwimKNA9^7?RX}c@MQzezI zb5y+t7h=&Hx;JoT+5^m=dKpG(d2bV zA<*J(U-R6!+tLJg`$Ouq!QF0jakqc(;%++%;cow<5bpL@dAQqaJ>2bgecbIQ@^H7X zW#-{-52tarr>AkZz~iXodlNZ0YLPkHJP9z{;ONeP$+OA(1T=58^nnaS`JAhlb2d zx+gKsEF4pZjR_+$0Jmt?cwrwAiB1_e z0<*XAB1CtjA=B%Yu@`1?Q%1O$yP27#neA?g2i&Mh$aG@{uX5v!i1|wmnE^NE0XOD> zF=9STV?J=08D58ZY7mb+-PmtL?7sl;XkkO=B=STF*6VRGH=Dm6Y?^Lxr3YeIHm{@ z*w(`ex+L@;_+=m~Q<>wdJj4vbZ7bUfJ}N@pbQGGNLdZ? z;_sdGbSpgJ9{9*;OY9XF<-v?HKJ^{oy_gs?w_=>g{HmlXj+`E&Txo{!{0XCseHX}- zgDn1m)jeBD=PWD}_{e-*K4xONl|L4OnFC6b_cSnjduR=Zng3+9rJe?6r?Tqgenj## zF#8f#-;nn-FuNGjYUo-7v)IE`252ViAA%MfY?p^JuN~Ak#aUQ20&O=nKZZCO(^iU~ z2C~LWLVKA5O%PVGk#_4lU{pQMD^c9rWv!CA6u&){f|nA|%3x#;6>V;gh9q)Lts#+^2!zCaEO%X&})}1?$NBS%%yI3aCHJ za4)MUS*PMN8@fNs06|Vvc2IA+XCNpFou6gM4Om4t>(m^V_EPIs03V{j;X}~PgGUbU zOQ|LnegWOGlF3u;Z#CpT5SrCx?l5!dEnX>-5dPvd8uwgbLN3ev-)aaKvIvgcT*jol zTs1p_S|gXa=-wUV(zMohyRb2c0Xuc#Wot3Z)mX5q1;kVEK#JsDsTnIkJkYa}LFMXXS{Y0YT zRh1U-j@}R|HI6y~FD3shIa9hEE-$fuD1Js1ClTW~9OBYaQ5+Ft9yJf{OJQ|_)`-;L zE?8iwjqzwU!b}T#qmf#YT`9~9&>9iOB)ig?&=~fyVjQGtRTz?8$@ekJ?>fGZ99cfT z(TXr6JFNqWi)Fwq12&wGR~e=d>(sN34U=&>RVreW!@>>tmJ9w*CjuZ<}Kb& zpQWMcgQb=Bk2?DY>@UnBMhDJ@(tk@AA-@H&cBO9$6Rcfn8*AOc+EI`b52RePrk69r zSyJoRa?pWNJf!8PX*i=&lsI+nE)qDQ7w3?*>`E!g*Kn%}yX&A72QrEDU)CZ(w@O=n+NDmM|G3Y_aW2M+@Rt6PV&gvCV zZb64|w#)35;aaM+LK%r%BDvhjTGU{TBu=pJ`{B<&eX)qX^Z6AH&+a^8gomWfy5Zjmfm52h`h`OuI5@ zGkRB=X;+4YDf(AF)2{4~(#I^*Zd{3u$9YV_~xgrR|NMyR;8;46I+wd67FOeI06ic^l?4nhs#+c53QF`{6CfEHW}M{)1>8 zmuY88Y&;3QuKXz}w2H_QQYo4WC08lBnK13f)B0zH3IbzI z#($9QHvVpD5cBal_XAYMtT5=9QJkDqbVJP?rk%;BV(N~(@-ShGEH4&*XdvSgQ;{(3 z#(!Dzs-7*=Zv4Z@t0aP{8>n_Pnk4j|aQDb=*?;JetqrRqcEYri87p*{b`+F^Mk z$5dM5&!}zXaSkdT)6OccBNZ=<`1`8B#wo#JO?XuF!p7t9HbGofmP)F`5*y7^ z@eS5ktfhRE9diwRl|_Y%va7_viQeU)?6@mM zj?ySQiZQ|#K-n=046-Sd9i>>sq)~Rv2Uflw%8oJ_WOXSragXSQhq5a^50xO2_!j%eby7zr^m`?=McGZfMXGV)J>sSGUWwuJHsCi=pI8*2+n_qzacufq?ido3 zooQ<$a!_^?Um?{g4oNdle4|vRNU?`DD7%SQONENmMcGZfL26XwKFW?RoVFxqqoX@9 zW+=*T;%B5%c@vY4F@tU5SEN?a-Ba>!%XY&0Pf&IYFca!f5M{?wE6T2_KIFK2L{`8Y zc+deY%1%B7WmmNny?qb#UqnFJP5LcrCn$1Jc2)J#4plvRnWyUd%3dr#gms4zdpnjX z^4+~{bI*_nvMLzdG1#wyPz5`-ZrZx-^sOqBMCg5J`gTe<2sG%itc zY+Io0s<%O@@#(J?DT%9*1xF{LENN5<(L*TI;gmQlb`S{IZCP%3LEoT+rW1}a6rl+z za#40w7@ZVlSN-wIfnNN6*^ch+-oZiiZGLSj zu%mxFmT*?G?X=#3^_#byjSf(-06ML)F_*C&l}v8&r#(s`{($$M;cNoJLq% z7`YtOzy@VUDH?=Q7iC8=cU(rSyB=*8D-)C*{c*nqf2O0vygJV3 z7_I1J`j+Q}ow^OBmV@Lsni!HD%!DlD+-jVClpO=MtBZ%SV=fn{+(p?@yhO#KdKP6z z`Er#fC_4&QtI$Q+QQWCwA7#h9^r!=ipP=mM(3d#S>WiOkhL+J`vpTRYX@1n71T=2_ ziCb)CW0>u6WC_ZS**Zhz*dLjlHH9xTo*k4O#b>M7N7>O~PvU@MNn=)_!+v#eP2^M{8LSCgiZ%iR>>i zIZO<#a!sf9YCJ$X50I`=4kfw|cF1A64|d66TY2I zt9}1m3l{Jni)$Z1$rytrht)NOM;RM(SbG}_ri<0uAId$c#ZY?4F!ikCH4_qy<~0fp5qkh-(!!wqNq80&31#zt|gNk%{GUWZ1hyH*;-626$^ z_rmi`&g*VYa?T{gh+TI_3p_nu62|$`N4{KL)z_x7WaDMD3{S2_{mw8Zhnb99a+o9% z9y`9v^H4&G5~xs}^iyu^zy*xKC1$1Gu>^kWcg9sF)LW2h`G)DT@sG!Z^_sH6r*k& z6W?c%&0@%5^{^8n7A1!@91Y=&@C~OhE+vO?Wv}G0hBsSh=}E4$8`a ztHYQ>4x9SYkoBgOfa+<4xfr7qM0KhsZ|4#SR>`5mJ2l9MInl=Cutt0~#)Wh46=zo>|Lo4C85kPkfi51M zV9|hwsUNKD8d~4Ac@XP9{Iz{x2aH{aZU~PK4@wnH#Reg$g~}yya7^Q%!zJ3(Pn2dR z7_HcivJYdkf}JM9G_8}?@X2AG==6b&+k3GpV>eT8u$v9^cJ*N8=E>A!7#A~1Nb~T0 zl+ffMF5lFPpjb^Wj~%>BW7`@u;g%Y_=$?HlB>GXB!GCLSL#3G`PwWwbh$o$Zpo*cP z>BBOBKyD~nP4}Y0oX;;u#}4c}JiMnxto#wpJO-fJ^a~qQhufw>Yg6Pf2y$3Y1mOWF+z~F1SfUI+b^2sn zS$@hx8-C^ZwRdDEmOFd7ilD4W!jB#_;Y;{=bmYh=$w`c`lme))fCCtyjDll!(&PL> z!5&9|Q(`Ke1AU;{Pn#Kz9*wgSlfzgpha85_FoS$gR>Tbw%;6k4>%@pCa4p)u3m8e&O?6%&=8P;%I`yF=1?M3bg|kl_+? zm;_VR=|n1@D_I*%T8}@T!0=;-qiJ_D3}+`teL7{3o<2i*u_2di!LKe1Enc(^`!b9) zIL_n!8I)H7wmZp3+QDm}Z>g+w?C;T4{1v zO1Qnde`rTf|Io(%^Ol&gCkf#=cG!7Dpi;u&il>t4M@jjC9-*2oC~W!{OhdQ=Gq)vC z#DJ*jKW3I^?22=jki$ID#{SdXY|@whezy#Qj99=Wc9NShgDb2V9}it}*bE%G;rLs~ zVKZ=ES`y}w!`Lm995!gumK-K3CVF|n=&*EK=|k|5V8ZC9l74(q zHAOgTJThX#)iP#JV&*&+){J7JE6r~NISko_R?HkNxipw!dngad6p@GVWU##q?&!BYIWHbbe%4Jw4m;_-Fs@lvyzGeL>ZIh0)Uu=wDH3COTyc=h!k~)r z$BqpUv{0bK>a5lL+o=}@7^F-pgI^>3(sIh+0?`$Th((9wNl0W!n_Z*jEkF({;DFyP z)rHJf&;O3CTYG7)>KZsR9#43E2THGnjmMOe?&Fv;`!wDqQgYbr8fH%C04lDM!)CwE zZXDQ^Q64cPa2Csj2|0}YxhOEZ?S$OLZR70E+bb@*<905q zPwUzY>z^y>AK115o4>&za>T0Q{XvlKMo(fdRv^T}bZ zc>Tb(EkixM@@CMVe|Zqb(PGX)O)6PqqqkX`gZQZ1!Unltw;J^PGSg7pdbr>De;XgM zs+e!A+u?WW2hG1ST>0d%y2p{`OR&db2uY>xW?k#2H3-TRykr+W;t%Rm93svq(lR*^ zm5tMdeEJud2e|5x>GxCA&g>VK1W}imqM_p&kSl%`*9*a2vv$wL+TX3in zv|&Sx#I>36FEgG^k;B^dri^M*%(lIzylqFgf#r>bZY&Yjb#-rIcW?V#Xn|Ii9M*<= zKA6%Ia#-8B96flA6hD`a9MPr#i`jlG{rv1p@dIf;UJ- zUQXb6K76!eLY7>&H%d4?{%@aUrMUZoS}@X8R@&!Eu~*j^&O&jSMH=9jgEVxvUS31~ z+n#0t-3R7ve_{!7*pN>SYj5I;NG#a}$zknn+z+rr3OS51!ANc{z8yVql&kP|VDWKy zVJlk6VeMUFCeOdj)(-OxjO2yLVeO~K=TAO4j1Dl5(?*O5LVkzBC5JJSFpvA>FlH5& zakVRZr4U1#7IpNo==NFSMdw`A?WUfEPKYgb7iD7)O$(R}F@9+M|~ zp9kh3Su#jaiEQ2gb?jTz*m>_U+B6S0bVaS^{hcVAo*&OcXHWco#r^o6eCTD}c^IHH z@Kex9riR<-qL)hm68ZxdwC3n$-c8g@d|P#zcPAAS%K^s&?h|8qU~jk0yPNt4i5sLc z{Bh=pDr7>_uUa|vcut%hPu}`E#k~xf-Ugpr|tk?ygi8HqlkKbg> zW1Vo^n;8U)+G+Ns5ifOAOR3Q+4v0DN#=(P;^4tcOV&KI)%*EYrh*Ao%U@?ch*ntxV zvju6jNIAfmfp7urp0%-%8@a>#=odQN7>iLZ6))Tl^$W5>%wlQKx{C%w`OGU0Z4;Sy z!g{snY?`%(xD~_<8o)BO=p8sFVxbldvUe#tjDOP-rABt19^p(Crcn*WlpZtY7N}d0 zMZn3{Mg&2&h%uqE@LREn7#H_phT`Xbc@l%zV81b}FXo~e6r~p0y_gFOu0g2euto3S zgA9E)g7Y9og|L&@5u})Q4Y24j8(T~cTl@;H=MiFY3**~2vXl3h7`!^^Qnf(^?xA2eZ$tQMNMA(3hhmDt90=jWZ7PN?{jm(mV6Hb$-#7t!D3u=md#Ym1zQYzbuQd_ z6t*)nIjUCSvLR!%BL|w~@-j{+dP3fj%2F^bYm)4DcFp$Lg^6@SdYbQ1=^q5Q>}4AhcXCer3Y`ofq)p z-{xT|EqABcup8@3JX2a{Y(z`tLZHV5qd(WgX07A;3+K-v%qUWCvXvMPMI$ud0iJbZ@R_l;^n1`XImG(xTCh-5_;hvSnlRjoKVbhu#553=WQ!ItA@ z0=kOB1*0F_LpsV6IdAKQCBTZ4IjXO)`=1rq|8VQS;vXyfafNqiV~-MQwr$-$ux&HO zODh#1!D*n|Z0W)AcK_xxu{KJ(^`53ylUTmFYb$yAd>9wTzPW-b!;N9E^o>V!?iKrF z>AM1ZKV%a7Z06~A&c{l-=UZ8cfr`6oDIO<$+%jAFk$9w9aXKr=-L|d3RElleZY)Sf zhb0&j{Izrt8SBkpy8=5M?9pOT%mrHs(>Hc&4i{|YBw4nk2EAG{&JFe|QVAJb_15s{ zR^1P;dMh)Q#|2xxp1Ct(f`HZ?-;1qZHy<4h%a2E|-o~sN9~l1*1Hy?CtlrJ+x_!UaSB%Z1wp z`-BOChMD?Owinjsv7`+ft8#SFBL`#A3QX60HJS#`V4zvkBoC@&YD9EgHsXyAP+NNs z?%cCS;c}|84w&wlpxtcyY8+rsPlwoiekzqCz3q~s$EG`UaRxW8S82i7eqC6iQ4pIXF_Gzh62ixTW zT(GrggyiYSZe%y+0o(>aUghXYxL|AF%9y%)-E|^T2;qJrn**TsAj_m8!cXDgrjM-Yx_P6VCKrw@p8Vi3u{bH+nxJy zx?vY8nooT9=qt}onA|XD!)xb<)^(L;Bc?;eT(GX+hDVV`(pS2!VP+tb(V6p1E?)BUi>FOY zAdX5wQz+HC9q~lEZU-ZAxL}-|a-j~$+xGTigK$6&khMH97i`@q1`Q7XUB5Nuh?B8g zur7cLf^~QiZGExha;1Xm-QIf!n|Af16PUx^)9`cgo4at-1FzlXV{ySMJ-&E?enKrs{_Z@3J zHPd%LYNfagNa?MT!0$OHr@3IgouMd+nNhmm%_54#&K*4|E?D>HS!D6j<#NHg_3FG7 z6+^956RNiRhcdfRjOm~t7p(hdGRxSIc92dqor^ZiGbRo*vI`fCK{44#&}0Ve{#Th{ zDCP8G_N`8Qm?kjGXo4v&SoeQ&l1a}Ct*{Sujm2)4R$lzBxRnk1s3&?(^wIjmp*%7} zJ@vv)hokgeoI>%ec*ZBf5T-|bwC^MOh-(&ntbvK-_o2*2$Ija=21f+lMf4F(G_k<} zrh=nHABA>tkFYE2h&~DloxoT4QlgJS`*YseHAEk!%{8|~a=35KAA%ryJJClXgFPLw z%=;tJN6aaW!VoM(v_KzC(7V^Wb{-p+Yhcje^*^fPp~AYZ!QP>5>(>KugApKF5cpC( zJBT_{V!Q(`;8|V=GY(qsXymu)NsFvMY;u9@+e~b@sNS4xNFHc1E(ubig&>WkM2pak zQliDdIA27ocX?X0Fc=?a&SXRL_FGsNnPWZ+kh@!$**4r4vH+;X^*^FAAOQZ}a1r{O zE#S!c=Suk8aZ}<^EBL@f4D5X{uYp>|P%@pv+$$dsA-XsUlcL14;VmW~%-p$r*xR?x zUPbJq2{t^=$Usez`})kW_>{nXwV0Uu*1ZM)?1BZjLF2&JjwlTJi$BY=TRpYmLj#_l znoJAQg;O&bAwl13S-dV>YTbAAz%YztIQw>g8bQ+P9fi*g@Xr4{BLC@IXNI{AxIPN; zyg!=nKAeCyW^PU@7@O5SNDX;Ouv(jM2OsInpoM8CUdm)Hp5OLh2aq!KFw5c1#=McqXh~nc@GxxC04s*qYvyK6Lqazsu z`Mj$TQDf#i8WE4Ll0z#Tl{qDOEI6^HJqS*zpPKnjD!%Xd@iiO#O5e53ao&;HUnOZk zeXu@C(Y_G-i7j|Ja>_fVW`31Q;a7)`ACgBhCdYfQLpl%4yI=^>oo@F5YP<^%-7ag2>VY6 zyWR_%SLUs)hZ+N4}&{s<27=AV9K&0nx&;i94Q7A;sbe{|pI{9VTn9NaVCj9Uwb7S2C_ zzlV)r{=9j~|MI=!7-T-nJ|9~}`Qv|vNR#S&0oZ6gH@R%I*C=Dlbl%KsI1z9qVFr!N zEnEUpVD3C;uqMo7qd}Hpqe0@a(eRzeMx)t{qj~UeF80I_d(2BB+${+*Z-S@H4e<2b zY_tzyp32-%jemZuY&3}+hb1u^?N^wBEE|oEK?eU|`pRaxbk2g|%yslF@=;@^8BWXN zu%`CeXnzwMPcy$^wWZl;6J+(w(9|wi2%Ds{vly3Hxxlu7X+&BZrNKs+TQY_HUGpb^|&=ILWZlAdA^(_&W&e zq)x&atdsC1?#D7yK_M)KJ{#?UF)Bwh9P&zKTwzo%kBzoQD#>G`VI5znj$}&|KH!m_ z!mnUM7k-7A)r%q-0mFokA&)-kDF+LZPkIVrazsnUho#{!*!CXj=|crEXdeEl!^c16 z-mfpwxZshuBe_^KJh6n$B$ zHxWY#p#l-snovFwS%8XMd<%3LAR%hKu_4=MMa8=k>7&&r=lTj-}d0Fo#sH8 zThM$C{)qw)|5OB?n~#4g!oyhy|77&c!9P*rj)NSt6#vxDk`%qy;Gc>CG zKabqw{9pEp7y^rzc=#uiBs}OXlp-106Z})rXGA;TZtzbOKrtXtYERKuI4Tv!KNT-A z)mn^OGE%?Ar#o3IKARVN9Q;%9J#q`@1;RfSA3_rr-@|$;{<0(+eOf*qmJij1V)lO* z|5WmA48%VE$<~pBf0A~=P!;2!iu<*m#>79-1L|!|{8KTs8NDlwe=6Q9{VN~;RQ!Vc=3l$&%R8WDU z^9Z--YUpk04ah}4{;Bi?)&vSxVrfgUAP7o7WVEdmx-V*1`gMeL@J~foV=tP*Kb2xI z2ugoyLX=?`@bOP&ms(hp*`t^eYvKxeD*Xo?o`e!b{)Q~jOVLy)xsQJ;!*mUOP@yt& z7@>!M%C({m$}VNQm0c+fVm@$Ml(^vfzlVPshoP63Y%q<;bYSu+qCE-zsSGDUm~D+u zT^%Ex_efsVv&BD^-HyCUA|C!}+-PX=Pda2{oq;yc5j(*@$&BUVpD2j&Pti9dZpCuA z_@{9dtbTw*2$G&kNLv6^Zvx9#spQUEDgym$aX56PZX8W8clz`$f z@lUKygMTWYC2EzzKb6n+@K5Ehv-qd-c}&gVpRky7@K4e=xOPM8<*#Fnpv}u+;4uH8 zk{iB~c!5h$evQ%9a(h#@95-dt_^0uwa8U8^PgZdqsYRBQ<3cKw!UjkXGUa!x4x2Cz z{)sMBWaHwhvh=<2r--tSyP0Y{9<)>+|5UNUqLfUVjNdG)7rA5x>)0_@jgd83RJi!3 z@mvP@_^01Uj`HwN5MzKTfPZ2XSj438Pn4o7rSVU!J=_)d@K2PhH1EpVf)8nsUs8ml@i+GpDJD@)mZUX@ltfP#BjY0_yN=>Z`{ei3?tBl zFL8;T;Gaxe8-M;zp@e+RJ=74(ws7xBmH|u0|FdorJQaQ7J?Zp->ljl?~cKAYivex#5h z|9J zfbHty;h&hx1uA#(PZTdvv8bNKKT*D1c4m4~j{)rBo)q!>C;h*T%pSWSoq(25k`Sv)n z1pmZrouP7`^C*Lk!j~D(`lRpxS4|Y3tzsYlM29_z0}S*APC|$M>fqp?C_M-(5o~pP zk^A!w;KvWpRdAg;#y?@PfsTY_4p)xGBMx>9{%P`DTK1Tu8SF6${;B#W>@Nxa3D!GM z3}986ywAly=|0%OKj}W$#Xlhfa-3wSAvD#p0py@(+|BYNFHMD-ybotbj(@HN3;2)4 zlX0T&;h!cy9pj%SU&eyzVs-L|WuQmgF7An$aK9%%jcv%}f4~`C&2;=T2L7q$r7>%% z26i>n9SUZ6P&K%Og9*i^V{8;Rm}K;`=A~$qnuF3P7SqHe?}+hFH8=~jIcE}Lr>nWV z1)e@t5dT#3WGYKGUPjCCgcD5ZNbpZ4;}-uUiFo)YN__kiCC?ZCG^Hc10)u;+(r@a( zexJqJb;>>Vnd}RNf0}X`@lUlyG5%=^mM8(uZBTXo9pj&-;J(n9_@^3Nszoe{f2!@} z3mSy4ZDw4C?}U}T;-6~wSm&a&v*>sl|I}_ZA48FWS21s}Rt2>eLV1k(r}0m?^Q@gBu>Z)|*Q#WYa=Fh`F z)iv5Ik+{SGk^`G`>e`c#C0h8iI*d8^r}_mx{;BpU)~$zss{JE0JB5F$L;p+RpXjX| zV_l4YsvG2VOMw|->t4aR*1 zEB>h-8-$=5D(4S=7XMU#)mZqahAGlUF9QCl{?6oSdR)HxekfMMB7L@PhVnSm*tP}@ zn`Gstd-i%r;2$6Ilzj4K`0rTwr-s+c0D?V&K_N9pL8_z@K0t$H29|mOdk&ZsR70|7yndq(BPkH4&w1a<5^?ipBgW>cP<)F z<=_#Ikrw~-c{4_u@5alCe`@@RUj2;mPZHS3EdGhI6#l948jjb*KTZ9BkAJE;n8H6n zkitK)L=OImaV7XCe&q;ia_~=-Irt}r_wY{?c=#s<@bFJEzqt4(3UCm{PDRFvdAZLO z%~ZVXRs0iUiSbV?SBQ*G-o2NjH9lSZ6TBA2KQ+C?yhn`dMXz|60D2X*X)mwbV8uk` zXXMBnrh;z`)I)i^|y5GS+HC@Rt z4*rR)-6`ACrr+B^n)jXl=lG|l`}6Qm&Aqa)p&xrTi{)r+V|3rz8f1+dz{8Qsuz&|0osQhUgp9TLk?XnpE z#MlBQ05Q}mE=groVBtkEq)65U4ZrssV_uOsHtqEsmFAPQ?~gp!m|~p63j$1+BW|e-er<>w zU#gp;vlG#7c?~iB0Z3#>oAFDn176a`LpU8E+#$tt__Og(Gp^y7GP5njYFzP8Gk(d; z=^Q}C#qNndUTX1A?8;DP!9Q{Saq&;=&)5j-9yY-*kq0yL`WXKtJ8p}A!bgmMVsi18 zIVKH-$U;zak*xe{BCa;MjLP!gnn?b=$ova`nQz6*$RvHy)tN6f%gYqJXXXzPZ06Il zIGcGrW5T~2B~PkK_(=Z;@lUgwP!%Utu|jY|z$|~`MwxlNRMGjOtbZ>4>7*`ADp_M= zZ1V6=HPhK3@6fFVJufs3#jS_?o&UG-5vz*%#=0GT>n}C`%5df5pK3mZG-prHG$oaq ziyTCg{A#jl7L+HLy^J352la_*BTW6F(OJG_#G)ce~D5F1jx>bjR&1A|=;Tai|i^#)g8~o^F$Tw_e8@Z+*aKJr18#V9VbNFzC}=)L61__kN;7g<2}9dYY7SVHdl^;g4o8(m>6ap4?g~> z?Mmt&e7Hf<_@}n(sDSPb^tPL+ea_8q6c%au_@}myQr%J)%-cRoRTH7PnoUU>|I~&f z%XS?^^tv?T!h2Q+@WjlfExiECHx^H09%*B9T z2>&$qh|f-XA@EOg80}lEG_TxG_Qk_L&3#*(xp`QDrSVVmGs0DJc}YB! z!R9`}KXE|x@lW)U!aq@j>#h0tr@18@@?r<06qM%SpXS2uSpfeu7dl)3|1@t7?=g7z zr}?e<_$Mij;Gf;uTR~qy;Z91IWnWydro(9CcK@Afm{q z!;BZ^6)!X11{g0q<=9&;2yXCCY*H_Te_FXoTa%nBU8$smvbVlC z{^|7hm&8A0e5n)pOB9wYoy=G_kd zX$6kuS^U$~lU)2$)kUF;f2t@C9sE=Ey-EDjqz7F5Q^kji@J}_@BR-3Nn(|2>|5QFb zfq$y}^oj9Ls~Sq-pH{NCWRhj>y`xM*nP_o9R?d^z`GnV!_$T-V3$_^lbUFr~U{!S3?fo42|6CyI*j zPqIdiI7@s|{L`96C0^mOTFeWI@K5@dBK(uSCxL&G*I7=Bhkv3A=A#Dx#3#i+t$E)| zN>;Z$CBTpg{1Zh9{1ek$8vj%>9ngw34;80F&`E1k#SSt4iP0tTPn0SCY3;ff59{Sj z@lR`eUHlWH17FGBKH(z(5lHgdYfC9?K{SLnv5ZeNsT!m#J?P)_zDN>;X)ZUT826cFouHBXm?;RlE47Gu|BaR831+f9|ra2}3ECZT*;x z#`vcunYzTRClqZHRytUt$w6E$vhWKZWuXI1-&n0V_@^eE2EnwYE54MJ#%oL9pVsY< zs1@t>Ghs#er{>vA-3#HLnisJx7vZ0p*D<+nm%^J;#rP*q6^ijsG6Ogf{t1pr{F7u4 zm2$?LRbiSl|1aR5)*TYHYR36T{josoj;VilmI%$OM8=FI@lVYkGowPc?vtf>3dx9K z{1b#8{%Mws#4i4+5eusX{;6pi>dL&(C3v8tY5t{LsEY7UvL{5MQiOlvM6wwFwDg4d zr||PW{;7F0YO)1GKiXRJFWJ^wti7uR_MZg)i6s-X!0;kP*n;hS{eknp`U7Ww5s}(k zT3&}@WMW}Z84uO~fcCu7kGAT;{uVJCDSj!2U$n@SMxN5Bz`bSe$ zk&l0B8I#6Zicny1~4ZyaE5`Ag<;MtiGON&lNej*0U^3P{1fe7u(r^R zxF#R}L@OCAE_5s?xH5@G1-nLNk{yZ-wY|HLy1fyF;bd@?!MP!9WIjDKRt82{u9pTH(-_{L_XT5x?M{HvFnI{z-Cz{zG^$dtCg}#)~}sQ!^}+7~pOjgh$cCk##{@lTSU2>-NcD|3RrY~z(@BQBxj?ILHd>B9f$fl@G)SL#oKQV`*DvEomuLGEHPMu^&~hS)1hozVA*5bGa=6t z+IbIrl!d%e(T0tG_yBthJq3LjL8ggC#>-%i4`Cv-PnXuje>6Sk@KZemK({|;_mJCf zXM%_Z85-%s{T;pmA_>uR6nW4v=mrWed$BO`WdiJLZ~|}0F+3EWcjeA*wdvXl*#Cm| zwg0VA&H~Q*@%b_Pe1ZKjV1FDEMOE8<;W8_|%9XywO8?4H^}^rCL;LM)LIr#6vv5Ch zOQSy)o=$q+9v^y29Mn8}~nf6~K4lzl{QWxy^r+&6T{gs1$|axG)*2)-nM zeL1-jMA^Ec#<3o|2yHLOMq7Dl)CC$gTI;u7oQ-x7?qrR~Hzzw+QKyxShCBB$8|~Ef z4jZin_QPKnvC(=;u+dg^CfR6BKlRvX+kH0LiXOkM1gUiz zg|7D_cPFx)KuGO83z3b?5lc`#|FHeehhw_xUE* z>QKG_M+~w@M%-`p=6EN6xA|Ca>Uwxefi&|H_&)bxg^;=(<8tZ;hVR43aVR|E``~ax z%=dv~kis9#jnY|;9O+6OQ|^%mYv4%3ur~=KiO=`BBN{|fvKlZpu85;JRfZ7mC^&o{ zoG*X{+2{K-vaq~~#tIgVm;ut5*kuj#2pvTFZu!HMVs6JN-BXg%2a!6TT@&UkrpE3z zr0-xYeK9xkYu^S%|jv$ zL6G5XK8IbCd7C6xL=L+q^Ij>|Sd0RO4rMU^L&1s|;0SZWE`#|WEE*y;_gh&CFgqHL zX0pb2VPMusExRW3k1{NaFk;tau(K!ZnhBNM00EmG%UPGoj+pTXo_eSO9L0QQh7a<`)*nI9v) zCD}FEjTl}nyC(BJmb$~Pp}=F;WPZW~r-`Ov&0)%!z0_mZ7(H{?HI%q*ob6HBH7l5t z?CTA?CVN~GoL1@0PU^GoM(VM7DX&EHE}Q1DYs@R*L3^a^o27t>rWpgRFvz}Bv;)tE zT|)sBgC1l)l)alRt~9%*Y?>+7vSs#1lasVE?B#mwnzCE}l&M z3k$96qw=7-Q1&2;X9)8>l;UOtRY#%I^qGIH28QZFvMrVJeqS`lIrD?R3${7{TJ zX(nhRctE+GkX=&-ZAR-#vTMpZrF|8%Ysx+-ZOpQ3%5dQh$FF#G+Gp34p|4V`!uOc@ z+=sO!^x?BkZRF4fqHZzwE%yQD=>)qbe+Z@Iv1?2r5k(`4ll!=P7X`mQLK=~_BJMto~ZSXFWW8+CEHTnM~nN|_u z0V+jRA?GSZGuzKaZ60P1WM72}{9uG0yC%$`LdsvkdMm#~D#Sb-bsw%qN`*B;4aS`?v`4e(nadphD(df-YO5*p}HQ7s1PTKcX z;BZ?JyJk`mh{jZ51vWwgT_WimM8C2{aZ!+g!F@+)oM&+wcFm-Ws8xbpGpWpD*Gw9; z?3zhce4Am{pgVBbHBYfb47+C1AWH-#FloLFM*JtiuAwNHG;VZt(qGsgZ>Q24hzzv> zYFl|KI~9*zV-?qtTHeB>>s5h`Q_R1ZgipRvhmC{7uAvJR*|@l>EN!pyR8iK7tEtA7 z*xd5jHC3=ZDF?>XN#zomy%e!)m}(f!WKw~34h#z;{T!hxISugHHJDT)MMdlyh|$BA zVAn7TOkxu38cLD4B)f*`Ku_zjYbcXWR;MCWby8ycrfRMfr#Qsb zT(v<8Q=~WauI9c1nqg+-KL&1tlsj9=oOr)=?BH=k|1rpet3cl~N^O z!k1qo_8RLyX4fzPtTv_DHO#fLYpQWj6`i)hMxf(C1GMZK_CtCAr}{}wN@OLh#KGQQnZ6Dmr^AAjf}Dn@1; zjfAqeQYl0Wp-?Az)xXsS0s)(?>D16R=!GY-?eK;wq{wC0Oh)gd?3$X3|Gey)nh<3Y z=A;?aT+9L@+y|v)9f*6$n97wZciA-* zuTin6o@LiizE0&ayM{upc9AHTT|;rJihXts)6$_1%zn(Sp~IHgfs+np*U({`IFHUIyZJ} zIvi97hh0PIFm|ad;kY)$;(ELvhxg?)aXQN`P%Kw!3aky;3TUUo?9C94VROQ9{rE6Z zZG}TPhVe;eC)4g!!tw2}1lFFUgk#Dq;h4XJ+PTs~YlRtGyTX0I0Z-{rYqz4^iXqa> zSZcp`0`rhbupXa^&7>aTxVBwdaBK)g8qQ|pDz*}ti-Zo}=gIgg5+-CY9&i?l)ai{w z?uJ;t&q|gU`psHcpaPsauvWj?Cs~>?--jise4mS5zK_}p9KMg*3S7Pq5)fThOoDtW zuC^D-m?rA3+&h?lvAKh2{Byo64!-|LJpC5rj4=pb2j+4f79p`q#ZP3RVuRx{D7?nz~ z93H;%-k9%$#%NPM1FulN&(#g^^r_N(pQjT^vhgxnh8Mn1v%~k%ghzZIzQpJIK$775 zK=P9LKFtxk!t#8&O&QqdKAw?0=`;3v)XVnD2AH4es)N9>ffz?f|D7bw4v*R^5NaZ?b%! z71Ei_oT0PBnR{&A{E>X0c{WKz>TnQY=P#vPhjmpz3x5f|&*}ukTi;_c;h}wNSY@4%1UhG0nQ+gnXY_Qb&IdzR!o^yT?)fW_3fcX0I*H z_kjgBn7u=WOSNmvQkyOwxtDLwY_Z%)kj`J4@Eh(7zv*QE?oB=43bh{zcN}gt8_;C3?Fzb1IpHa*A871GR??il` zt0TTo4?7P@=aD#%sfSG;Y56`^3*YC-B;SXDlYAe@l6)T=C^3AWyAyn$(InpooY4#; z1+!;Dw%TVPM=sw7aXEY+JY|x6AIMz355oI=9|(ND4+8jnAC4~`-vH+6teyS-#KbUB1t8hH?2msO?te`~1#!(tjl1=kvvU zpDiBW=XXql%lDClvwxd+yQCpT`M5BSPJGfaiSYUF^L@6kIOqKvi&?J!qoK~boe4ul zxB@e_mE!ySJ(Jwf5+(Uh#P|7~@O_T?d>`1y1IzbmVS^~<`=D7EzRzOj%7OV<1@lFs zViDg5ez3}MfIko<`92UO`92UO_&$&%_&$)Fi0{)!zR%(ig+G7W3-NvU`JiFBbI9H_ zi1|Jnsq-=`I04`1cb;Peq><5Z?vUpw)ebAh{!wwA_ivtSgsIV>%REPpv2nnuo?FEC zx!Dst92<$Tq04jTa@z2Hj(H9)-)Gwk@O>VN^zx77`@CBDJ~#V(A6XGa#mNeM7b_+% zLyEAOjs^w1wiFH}k5ghxi-KQMVE8_@{Oyzr+!u)bTMC0uXVC{rV4Q|g`TX#L{#a!A zJ`X{ne4n3c8F&~Uw@dLH{&>F6%|h>4PGf=L`}~xNGkhN?E>=(Ibr!uM;`^W}BR|Ub zk)iM)R<9@l|K|J5M^T(I zg9U`u`50ZDh`Z7lJ|hip>*8I9jd2^@FP2doR4>SrXJo*Zj@ z-bhcaaHOZ&BQK{mxKgauC5BU*kX@JWb3C$jHvR)M=w1|U{5o^URev$x=Q&PZ#M)n) z?}Mp4HWV<5$S1!T6E+gmh9B{LDrF}j!S|UdTM5M{s!xUa1{(<_`93IlY$VWJp5Xhy z0b2-ku-0Uc?}J2Q3n9VxK~k}Mptg6P?}Po=l|^>kV!lt^|22G{<4L~H;2**F;r?;z zVQTD>%Z)ZId87RSGZ370k9`Rmd+hg4_u*c7P;2}WbWoy`OCEtva)RRWeg26PYO}qy z{IB0Fd3W*iSPl~x7AP`>0i^$$MWAVqwi+o*sZ-{-y5KJVl|-<9C|e3a@I z9qq^2e!lQQs#ZlRRu1&ty@O>uxul+OfeQt^pxBL{- z=dl#I$Fm4H83p&ad>?LHVQ~*XMyuE%syB8Xc1t?J_hG2ge4k0|@)(_~6w4qj=KH|z zS&Hui9WKT9!R@|=z~}ocDdzh~c4(VOT@SO?igiVNpAlYog`Tch!h9RP4}Lp*pNEk* zDraBJ_qpHleQrh0FfxyYaykf6RBj4gzEA#% z(Bb=39Z&LoD&FPteahcj#P^vzjQA|yr{)%)?~{8b!S|W;&KKbOEG)tIsm0!^nPkO$ zAMT%K#Iwuyq1RG;AK7g!$@jtF6Rg65PzIeJ6&~N`9noZHRT~phitoePN?hW> zEJOJ|qu7dG^*1Nr`_v{ND5HEs#P`8dlJA4nX))jD9elgb_klmd_gUuiUT9ubzRwNC z4hGv0@qP4d9^VI|V!jU&S{8$J@MQQti%Y!1g>lsD3yS$Z@|I%0kGvB_*rd-u?9rTF)8Dw=Cah2G}k!-$#?@@_oJ{O~vK=z)vZ@&k9Tdnr5&gZ?fy3 zCaizB^l$pR|HFKrP0|ZBVeN-RV$IJqebs%iYrdu@&{1(!?eTrCi+ZZ26|6sZ+17-i z6w9`L%sU*u&ljbE=&2Q5z&2r}gEd+tiupb;ePgv|?=wOzn{XN=!S}&yOYwb%A}qnW zAyMVSqy5ltxx+1Nk>@od&;rAYlw}LH_w@&6 zf%*e?JP?suVOp+2F}i%8^=OPt*H**#5wnrfaALYai`b4tY8eeiv61?gi>0YDk}k{l zfqyhr6(#sSI2MD(TI6K;K3B5k>icoZ!ti|%2In;y=FHN3pKHa~LJ!4!AEX7=7P^(- z`yjipxX`h10=^I0Ka4IVeI)rl=m-*gA2drWmrL<|R+i-ZTR=&?@2)@sR<@=^Cq2c?y9q}vQ=eH-|`!FZyKLq%($K(6-`+Og)f0BG3^gSi{KIqAc`92U@zE3~; z2EH)C_Zdt;d1AiLmy>*-VJ47cvT5y+zP`1cgGTFUvK%A64@x*_z0wR2tvKfw z&FWg;ZKWS_hK*L)@n9ev2IOEO*1Oh6IVKnJeb7}?3(bhqdW2aNi5)vSl6)WBk;M#< zf!aL2&)qVzNE^anAjJf|X~h94j4l*oI4I5c`K*jGHe?CD5Bh41GbRqx_bcBAK}`<2 z;}Nj+OESXHe`&rCh6#)^`objN=bId4k_W1b_&&FUmhW>POAMA^!}r0X&-Z~KyKv;Pz`d;VT-t`zfq9w@>0fh@uI!Eo^s_&yU+7ijrD-+6JqPk$Kkeb!Q^ zmG1*Cfy4KK3G%aHh&eL4nwA?%@qNxM#rN4##P|8R%lE16^7%fil6)W9GK=^=4=4FP zUrX|RRvW%gM`yRpGI~1q_7Ih@`EL~Pqa+d2()2bM2yOF@x7{Y3gq%De=m z&k)*3dX;G-M4HS_EzqcRSHi{WOaPAaK}Gv480jEmMiwPt`|#CxNM{x9^AzAdZ!)py zYCrOj3g4P)NZ$}3s(bPO!-#HF;XWq9bQYYRJ4|41ipE5g)IDx$9!Bs-5&Zr2sXOB6 zyO4nona~b8#SQpqaBNpq5Ns*0PkjghWuGOR>cW%mN#LwZ_nZm2kza8&f0!;fbx+Wc z`ifS^i4j7(Y7qUY^{KC55COi+L zOu&lxrA`07;Y)}sVghAHuA+2%2Dg8d=KHn=nQR*%vob{W!h4q`p z5t2+)MMfQ@0`$CnSRpzSHfETJaJHyM>T(7R*J1pYAD#9GrVuJQSG?m?daOwyrV+W4 z44d#X`Iuo7ViXVZ4#S3mjCk08WTc-(kL@#Twm1x%@E7@BKAM^|>gX_RD8UrO&8lc) z2IUa`f_cbfL5Z5gA2>Y|OEtgR6f!7-9QNpB1GyGHZ_blJlXxp6x>KB)B!!M=rIBRj zi^kyDU~DLWve1KcZJFhqKUPL(ZAkm;MTaHH!`%xh*$kEt`UA^{sFh|O1cZks5q!35 zoU^#>ylNgJ!Y3|pk(|GF6}EN;DfxNk_O?Qhw$dP!Upsbh4>J0TUw6$016mQDTV?wgaw0LIALyJ79I%Hu+%efevvNQ5YjE$+8Lk`A9swUstH$J|%Q%aLX0N`WwdC{o#g6o3R!0XQqQ!DQ(Av(VeJ-`8Mv0kmMx*6p2Lz1_Ro z3izBa5`rpM77RPMvfm?*Ih-XCZOcLT^~dQ5>tJlcXVWP>YgC(e?ZVQ8+Wkd8M z&l)eYj0EJqkJ@oDHYUf$lK^XSr%9$&M2>(;QB}|lm7`fV|k0Q(QaHTR(tUnVq|jCKL!c%7@jV1 zD_+3G*p!#&W89w%m%ITcrGim{)k#|4>Q#*6S(JwMh~+1tG-R#_B8_M(OnT+lpeF{+ zGeXta-miQPyKUbM@lnjq+Y4ebHY`rS$XF@m*N9prFgE4aG$tQCOA*he0zD|2*}&j%-xUBIFgG~fkEA7e zHWZ_)Ey1&46zFXeJR3?e#YysPm=1Kg9?yod2DVL|r%XZ(qUt=JP3jgnPr8q#G3oQB zG$#F%{Q)~h9Qp?m+VX5BJt^fm33DH+`?HLpvdjdm!~`IR*+Im!salIs!{OPON;e{h zXEO;)c@(EO#PvPt5h+ZO;v|&e*-ZMH6sSmDp3S6hONoly=h@JOWCBYsDEz$C9sjDcMs+(@?6-tt)o{2X%%$u?%t(>PzAepoV{b`xjR%QFQNC|p7VBb zWUD%cTCRGWwOsWRR4#ckY%1W{RNnxl#-l%Tq$DavW*oIjSzM_UqJ>bXv%RV}YXgCR z?H6yQ7qks}v4;mKLKRZv@@%TmgDKCZ`d8ImojtwXy9(5>iz)5p4=JWa}qlFJVRd2K`}DT*-l8a%2D zkjV`wF097@QDNL;*f3fN&BLQ#6O>>Cb<30;7NjxH#?*xkYbX~u`=P=P{zd=f}4gFL2Ed$qg~O-v@OpGP0NN-Q)JAuVMsPG6S9r@`|Y$#l>LYHSlajS}bo(@-7WHHZ%$=a)OjAUlnP2q*cvzYjyMR+g87pd6i z+0bDic7UnakOb&(P#qke4W+|Du6GX&f*fF{-Y+u@$q8OF6{A{^=K&q&nSw{z3@9)Y zt*MW(yAWGx$~?4U;_xWDT>7Za8*7`fI#2x(^p|s)sVikjvz+Cyf-+16 z%xv!TCPa|x!<>KWgP3wp{YA~RD3s=dQ83JJq`^^F(C?ecMm!$x#0w7yY$A$irrEh(tn8gE6zg)Rt?S zVtCML)J~Sn0v4wO5BdQU7r}$1Bo!Wn_R@P9I6V^_+tf(oz=PCCMwo@cl>P>2F8Q7()aPdOAO5n&9+TGh@1pn*M%dO48!O zf*7g$JpE~C!}QO?#yDdh)_%D-h4vyJ?`6!`n;((a;U~H%l%0J)1XI2Dr~z&2gXT5* zIAbp=Wd>~gNVIh7lKl9QeV&IrB}TV22o4g6wYG%GHg9^JrZtHEq}8lODOw8) z+HT6gK3~8Cm?wR_x~*e#FYaEJfCrU!+PX7H1y*;^zzu%qiZRublU^XPw1- z>^e*A33yQW3;WJE^-Rt;_(sgbtg8W5%7`(+m$%cyJ7g7G`+zEQF+Av_Hn`TCw4J(7 zq06f4V^3B0r0KHio{QgP;6ca{z08DZh;=h_m#qLF9#kYfvvWsh*H+jQqoi9>kGy^6 zOq(Q%Ibz3IT8Q_Bu*v4M8v_SOPCqr^QtPrj%S{V`x&Il9-iHU_atAspA0CAEhfx+X z6z-8jtmis-t3+QH!-HUCuMvS6@@F34-rNdJtzEK-=j zqK+==onUK2LC(6ex~+F}+qP~jMDVMpYd6fWh^`m+j)tX(X6-{fwNH65KZY=o`f988#3^J-b$F!-ofXqI0{p_H<&Iz-Ff2VA1XBZ0o>M!jq}TFv@29 zB2B|R$e~F?l)qWqp;)s41ByG!IMFvEPqVkkaH-a$SvT5;bPD07zZ!*RHi)nY4YFzU zATUD7v}N`iqyvH6(t?hoz?{e1GVb>#vNS-N&rQs}-v%|fpnuSi=IJqk2kk)DHa3R6 zDVZE-Z|K8=v`4h?APgT+t0QB>gGW&zv;UowiM}Hfqa%ZaG0(v1f=Qf7%osw(>My9t zY9Gz(%J^`(^y+kYZQgY7^*h*kM17>mhQ_9(tI2mU;^-z;nV)ZeVBg> zHG#4+2|vMkD@!EL$Faks6Qf2@P65=npYsO>sGwln4tgl@N(u%X0j7b}E$7}h)qc)% z`H8V8DKR_<`9c>Q!Gnf;c+iao9u&ujC@}MzyV$~mY`GYCkOsi~5QF~Q{yf$Qm@!fL znIEJULxIBz5{1E8ln5RKfq@5InqtzW>YYAGk$y;-B0gG zpY&jJua&+Jqm!dPtpr`8^{RFl3eeN&z#2h?n$}PrkDZ*gh}nrc zHTTm}DwIhNjQPmCcA41F3q8QV9pqhjkR+V_+q}P&cO~IL31LrRSMTnQUAFCX>F}wrz9MChUSJWzPyc2&QpKu>w)%@#1bC{!o4mYuF%);X!C81|Bq@xpGKB zC|^-9UzDM*2p&Wg6TQ4*Le73tD=X<^;PB|!Sk$-G(2p;wr3f42qlanRWw<(q^CV`> zb9yl;!uksc51NneJ($0_=C#2|wuN#BS1CCGW=_HUS7VW8;6aiZ;m0u4I_kU(8d5_D zHas+PiD;g@VfM40V??_V$GQEUV+_90`hDDUjTkr@bg}1XwOs>NdTtRs=tfVB&Q89l zfeMyT4ck0t+Aa({=o-(#g$HexvE1fdp*mC;6xo8$L`vwugAy_r1G{(cvMsqN9!b}N z%M~7UV?HWb7QC#8qT-}PY`1Y4QuHxVJQp7H4N7cj(F^Ckc>@os=5ME5;J)Bw%A_#( z)WIjsr#ald;N`JM+sXo)^%RgX1UIW z(rad;K4syJf(B~LbHX^xzHs3aOq_uSL2(T{Xs=y3uqh)y3J;Q@@L&uNLVGT=tL+%x z!)4>5n{lK;Or*Nvw(y_@9853OahB~6Uvj@?~5_rI& zSQ<_oh$vq%JjfMq?%KJ%x1)2p zsdA@m)K|rGY_v9Oa}Xah=An{Kd7CaZ=y|!RC@wwR=i=YSN2Ds|8S{4dopq)8E8SHB z9`rH1b1{w|GK5t$?bg_q91VJ!9@o$#Rq0=x5K%huE|UU@DS9vD^Zt@)fNLCg+)hzC zi^>@N4H|3gCxQnx()sUPXBr**Mh1p;+F(}P>?t__v$e9&=e(QYupq|EwVLsl8Od*e-c%e3hoz&ZghY;0l2;lhIoZ2PqK;v0VO zK$`-Ze*zwaVX>Ti_(FToY(Z@HekDp37 zJnU2VEQ4IvdpxJRTqLZ~Q8A$W?za zJm_goUSw;fBs{1Q_Dk&Epg}4;2r*&TMmJ0%cu<*a+t`BMKSNUO?KfyOk^XYJnVdAim*NXf$Drr=ScRU~6RbwZ>j+4C$@qfP(c?Nkwzus? zWtYbZkv4MLwUV@<{j#WJ(t0Hjj+4n5vcNv!aG6PyJ!uAW5F^u|64{bP(59)6QBjv% zYP4y|YwV9Vi?Zp-h9zk1v0qr^d2i-~S^R5-}UG;gndtiEk zCHGR@qI&}d9`q@yT6Fn~xHkLk_AHN)~ zjhwr2MiIG6RV@7nRKikg3|xlkI!pLLR?RYW_*HD*3^4`<0S}F$=9dlI^Mbv*w(jce z6?jlmM8z~`4Xv+UY8I3s5v3$!*-X54$y9mmRms;Hcu=_?p|%F^9bJ2iUfZ>oEh6EI zZ6YC(#G%jh5{Eix!7jTYO5E}W)90~FxB<2(2$uhzW?vfd5@!b}HCn|EF(cmCc`#CL zB;vRhym+SzumJ{9LLruA+2utJtaBy{(pr(SgJA_x2)k!pB;-PFgodeQ(Bb+>jC`qh z`6*DpASciq<_5L9;^e$M7G+1y3|62dR;;2~tCt2XjG#d^BnPog#7wO?nXSvfgYcV{ zC^fQk_XwN(n9#=XppP1J3)C&(x^TL+5kZhfht45#93L)3#MqgG8H$ewX@6&Ixa?|h zKTJg}Uj7T(y_gDgt~iIHzYlWXR6c@;HT8fAJ2gN1IB*tF%KURSQqGiFB2 z_zXOVuda=oR9#Ss)03Dkad`!7hc#cL} zA)Qd~K3R0EguObG?;C^d%nXjIRX9eBWM^>5rZR8fL0Q~tZpcUQpcIZ&cI0{33m*B^ z+igY;fmsECIhKUj=wj-ok?_z8nG`Bgg-pS8xZ^pzQ`*W-2gs&w$iUbr%3d%rG_nTy2p)u| zRDM_A6*N$68|3K+HQN?2zr<;Rc4H%2b)0YC0=scH?vTqT$;Egp_r_N*&U+vlEN{{5 z`0ne99rB8SiQqx-oHnvFUzazr`tTsQU_NT$L3lFop!dF{WOd8J5rxiL$rM*qmc;;!f>SbO zFFhU5iZ!1rPKWRT*8INMAs@qokQ`epwx*aw0}opJ;$gj<8FPVjlFu4UH>#;{lley(+8?|;c$8H)(*v3 z?A+1QwR0P+BvvZ7mI*1?-hu7yUE9vX+$ibRxks%gk$hX*4ovuY`yP`OE9DHT0%zHG z;}VCy5=m}qmgQIz)_zFjDa$3154#U`&DZpObW~iid+?w)Mm<&2sVpE59)zJ3%eDkO z2zN@+K=jlKqGKAc(!m-n65-d-0rosiFnwdS=E8%1DASfir&nkCx$e#`F^}Lp=DPm; z*bZF}uj^;R3kOCJbK@geR>_w-<F(D7Bl;M9gJo6x6FHIz*c}UCXz{G zUU#vmRr3rp$~DiE2~6<3vqWfKAu?u6hbc2AV>Z_Ocm4<AuK&re;U5l8FMB<#-d9v%&k8yPw2)NcdYwyG6T0qkyhF0Rd`SzmpcX?w1XNJ!-McxCi?>s zJP0$NN*$S?3hPH|(lU)&|7pab@E{qL!2IJhScc4e|46iVj?1fu4;1uP=lZ_%!ch12 zdvsOBVb8#WzL!5dKF+lf&m;txmdnQ(%n*!GYC{?9iw-;pA(8Il;gj&7X_!+BJg5b` z8+M|?sJPp4paa|syk`SeXa*kidO6Mjt{X-@8H%y`!a+U=wirR0+bBl{t$Rivtt-q; z93u@ps0GvC4X?*kbHlAn2W%J{{yA?^K{f{1aCis?Ah69DM^bnSclNYBCZi9%O#w7xH6xN8B7X?q^~klHQr)OeUK0^NG7n zd_feI(r8eGn-)g{>88bu#DNEKaLVM1;X#_87#_5lTFiWW!Q>-)jpB~r@+vrQS}p^E z_+qnkyJwCGRZL;$9$fm^)`qPf=;fyGo(LXP?fI30(^*nb!o|+3{4mDi$#vps9IL z5;LN-jxviPv13O^5*~Cjvn*cPJb2K%Wn_`8qN|PIK{z0V(S>3R2c_XbpO8_;hP0h@ ztm&MzVVp5>n7&`(K?sV$MuNtB3#|{z2t%pN4Hcm3#D{4jMj3r!5+3v@2bts&yfMhV za=hbEUkAw!$5}*lk!F2IL0O(xeA@Iv zK>OQSaP(mWsjFFJE<6Z6*{-fzR=g8oZL0SOXzi#)C+izupe0UH<5+VT) zTK8gM#56rPKm&^GZ6LqgqRzW=XSdpPZ3XOqF_nK~l(T@detiDAeco$-^w}R5i=wLS zzA$d3uXCl>TIo%Wsu%uR9@=kZ6DqvVdigkVOQXMToQPevH3&LaL<^gO&YWnB_25Bg ziEfJ+rqYNJbiSxI29Eth2R#4%qTDV#XjpXFv+@@ZE7Ui#-y3^W;R?}R$B1to-XQ85 z*?hGEQ1%5FXrQ+qJP3!JFefSr5Bgi~5y(B1Ug8260a9Z2pY-q$W%tQ7p~Hjy!+k@C z%sC^7&)MmniIHAWw%XNeY$y9CpGMO|p z=sAQ3(S8|O<6uW?Cp<_l;c(>(Z%zL}cu<~KHSkosjqsp+bYo>X;Xz4T=3QAi`Jy_8 zK!wi{9wahsHbJoDD})CzrQ9)rVEHnE2cb*GxmT9ip}qrSLxb28C{<{k{oHbvJ#j1t zbU9^LWW1hu=8e{1(lgp6J3)BGY^Vsvb7#VT0aaR!BkHT?ASPl558}40@t?Qxpl9XL zXe+PCLy)kjabkhh7dm*DWo@iHE^FsJHTfcQ8<$wM`GmQR!s+>K=msNWqb`-X!jEh@ zNFk+l{(2PB&2Pm~vomi@AH?4e)l3gg(n-Hv_bN>^5jk5=`m4AcF#6 z7Iz3!;EBNkwXY=&`*=3rGdj^XthvxCBK69XwqcoYU_@%2FL+;;vp;?B_~y~W8n$c9 z)Nr`)-fX^ru}^)-6u?Oy8o*fW%IbR*%~edConyY*#4+A4jYP+11ZlhpjXIm0W@GaJ zaeG#xaN)x+&BDXc)b0*-tFCI;w`KBk;AS3j9FJ3 zuLf^}2yjBDw%$T$_cIy<)Z z(7?B|tEcz$-qY#hP4uye@yi=puG6136F8fO8@_7tvgy2zLB{B>q%Rzi+O=~>cc<~b zWJv*8^+;Q_VM6(ICkQRuNF;|J|T14q}K;JXkU z#)`B59>LFwgY#)zcNB1HshhE#@5ILY*20bPBfAojy(b8^WfA;*FS7F!kzMRWh8yqZ zn4TZoU5M?U5nDH6yV#5E;zVrMM6v0iJ|x-6;@1(+w-L`<5YIJnJVE`U)JLL#xOQ-f zBm*W0H}JO+_~!`xV+8(49GFjBd84!!y*I@aenelr=-yNhOY5O1X6}JuJCGa6e*T_8 z)V~XY?TZllp*ZSbPHGqR0^P^pAq@2GVrm`tQuSZKv2&&&=#_I*yT;%dac{jp)rBX! z^v1~6jmM}5L8|7Z&XvyGEJtu4Ba`ki1Hak`K!69bG=Rvakr!y_qklnVxmUD zvQ&3N^y2v#i3{zKBMv~^xT`_TJu;Wb&I=Z#?vqzX)--*!67cZaW9R%P2zIQWo4OA( zj;Oyv3cKRBpjWU{qtq(FSy^Y7N}_BfR6gI#Vc7rCk@{HQxz> zowv?p9L6Bu`jgadCy|3@+}*BM49d$J zc)F4i%sw70Or_Ms=Ot2xPE=Rst*OrjqAFeg8XW6BDF}8gn~f03v{F`-SPJo?EqWSv z@tRbRlPH`Lgqs!|FoQenL$D~7nr$k@HJ>__(_M$8G$JWC;SIA(BxQD~q|8nf9CO~F z`ib(R#na0#UU01E4MA|u$MKSe5-({e^%AQPwsxEh>z(Mc!Uim7$6twz2hWS^7U@sU zn$y4|CfE$og)SV~@ngoo9mYtEx-;VeJjl8jRx->N?WQxN{rb(2#3YL<*>7I8u7T5M zdc_O}cG*}jjG|zA0{64dXI5e| za>_EK+^8@D^*o8F9!6A;k70$y$~*^;ng-WAf|Y! zbkzknMY|Uv5@>Pvzs*J}}gP`X=MBCvfrDKeqQOeh|d$wIXyKCsEESjRt@u?5a zKQuVeM=SZxBNMil(MOxW8eH=Mfme)*bZvXe>aC zB4LJZ^D=}HP#U6i6A{K0`8l=+6NTON$kT0po^G=*{5JFC7F(Q{vvYjY7g?Elc*Ng= z5v|nH+k@fqT=W{dzl}G2(bkh47ocG2FY>HatYnlVdOV6O$P3kpgL3gA2ie~GlenGC z?;F`i8ydv8B!A;BKI>Em`!qMAAJ_Uidm+*vW=f^s+aPk9*SRRNb3YjbdoBmpFjFEU zVa883G&9UZ$##bjtA3`-L$76{L$aGwOxhYGjVW=rzTCvzkHq`{iFpDqSrZi=iZfgT z#fy%MyOV~7__ew;?H!aRGW9{e@bzs27atinOK!Cd&@|w_^M}E)y;FkV+z!0u^@%3e zWxH=^;yA%HdRzF`3&t6A`}^5#Qov=9Y<3IjF*> z!8u}ZN-BI8iUzgFUfJ4m-SK{JeQ@l&*@)>~o?fwN-i5gJ2skDnte$syW#v{8cEARP z^mE_o2JbA?jC2Er{a(&Qkfu|XCp50r*SHRUsI)^UnzJL|6AwgYRKXO-kN-VdaUA|n0WH2_aXJR?q~0OGeGA@6 zy#to85S3-`#-^}WkP(4dmZe}>Qffc1D(fj+$|{89Z=oxc@H;COyu;_}&8vBbM>jV30lGNvtI8)mZfM6_fP6F#5B^&@945_{buQ0J1WJ?BVYqTq0!>u4Pe#IF5?~ zG7no#0fl>5I-)m<>k?^2ErMqob9(K zvN)f_=X*@WW^p!2nm`u&Q~IOLQGo1OR(h^0y~s)ri8S>aYEBlrvCy2%VR^X9dbt6) zjXOBI?Ucj!Fu9|I=AWG+jVrnBl$|dsl-zd8o-VqBt|zhWl*R59KFH#1J7o)~KYWsJ zm>Tl~$6>@L{TOMSBE;?I>qWBYN@Cdj2K@iXJee?Ip$Hq*nr^`ZRv9CiZ( z`Ffoz!S}yB{(SD0(oQ5QUb<+&>G-#V9)CXn14)^9VP1Q&Er%-3|3(xi7Q=`Kae0*} zj)*b)G9bM28KN~JH5f`}7;0lYnhu8Vg5GGP){<5}Pqapa5r4kC5gNlbmirnsU)&6T zKK~u`^81b)u>5%~2*aP}Lt0Lo+WdD+=_{cnb(>Up9(NPz z_>@#{ZkRsHbEXZJ*V-RAktg2EPZ94q^bQ;irTvySA-yGW^X13FeozNcYAi=?JEF51 z=6wn)$cP8a8eY!?rybmU`2*1X7&mWJ%E8T3;!YAcpyx73T6&}8 zm$>}591NoBqR&gB25}H&kYrf(?N$3Ocpt>*#jNY`% zny(Ded0jC+ffC~+f-S_Bk;9sodcnjeV$E0J<35<*oR~FF4=A@2vgRwG&1hXo)_esf zrf6Tqtoe#RNE@@P`AL=99~ZIaC(T5E%>0(GU`bSll6mt`=A^}w&K0LUCZP`b?-9OrME# zifABa%~xUKhn8-9VkjCOMgdlRRnn@SEo;8&aimpVg3}!n{Xk4k$cyd_Eo)x8Y+Z+H zj~%n-WyA^{);tCI(6Hvq1BqMFzbYo4`)b9=0m$vvW03D*4NJ!v#!p}Vt`CVzx^ z??<$gF_8{Jwe@hNlaYBk?_H)aH0NcCO>ZAzi7qx~5-~Am`VB#v@QoR z&s8Z>ltj!^j2^ZGVxCc;lT9GzDaG73iI`_PF!S{g^OQ*^t5cCF2SqPD#C-0RD1<3T zSO`;aM_r0$%G>RacS;$V(Em$9Tg3d7_o?5{ikI>SB!(~9fZs%U@)D&C?@M9s{WaGQ zc=kIfBXSV)Q%0mX#UZZdDc4J3iWI}2LCjCNNeWb?hfvRmTrT`g`D-ark^6{wx^UQ% zl(~rcDW8)<<^C}pqua|<{!vO5y<NbKRGT3KU{O&TG0*x?#Qe0mA-mX7 znE|uoK?Agid3luc^wXNr+6SOaBAUixMCk-&E@FP#T&ag?Tl5;vwA*VEh5c4W< z5c4XqXCs6|FE4Qs^V80Oo=lT3cuxBuDwlU&*_3gHtF{|TjYq#~DT#{FG!n|)6hF9V!rkhH3Y&(h713s@g~0hwq@YIE0@2jep6ZiB^EJH5lV_82QgoZH4cV9oC=hld zVFMe)Jf)}*N?pXfsTC{MRS&$PTC7YE^Yn+FiZatsB91)AbM#hpGHuIq!b;tSQp-V% zm}f{fFcY$rbE9$g5%UbVR9!s8JX5(+!T}ZPxN`lt103Rv0Ch@xIY%dYfTMVso!KUt}&>?#3I@lq4 zQ-F3r=J&_|xu-JQ!CYRFm?U~vf1`}2jFRHIn|%MA3(8c;_aBMtzJQ$3yk?2sGZ%!G z=w0_gW=tomb>EYY9%*q2-i*|Jp1A+!Ph(bdzfZI|ixtZ66mgqfm zjg*~zKiojCLtk&-V{8=fH?Pshnb)CGX5K25V#(Wl<+t(ebUl_e^X@q1e1#aXXMU&w zo}SEyQMzKH_pJIvl5D(;mf^{poHZCmz;2UpOZ1kPgf7vWk~}35(VLP=V+Sr_49=UG zx)8l*4Ms&^2;Q?^Ys$brU(a>etpBJvG10rUlMubF?w~Ozh~Be4NTT=bwQ6*O7S6&H zMa*sHcRn<*ky-yC%P>>NXEkDuvP`L-wWk2DM}K(XHU?>7iFz*(l1rXiiqB`pRmClqWA2d zVXiUzZJbfoWB*6yH}#G2n+(xAy-{7-b>>t523r9>(Yr_*6TR)bEk0XXZvEM=m>ncL zHgTH08%g5!S6aI<6qGbFDEgeFRUDP|lq5%(cK2Q@8z46Nsz0n*ZqW2su5P*yK>QsAbDW*C1m!~Eht>{PIW1{!m z%~Bga(c2RlqPHW9h~B=;61{C@#V^t{dIuOVW-Fof=C@|;ot687utzo&H zI`^kGsCKt=pVyH3G_Ra@Md!T^U0Y1_*51$~dYc~65WVMO_<&kjqW3%)+bY7C=sojR z61_2Y%)GS>kzb$BY9CF8phNVY_o2{q@bkd<0=~u$6DE(T$4nn-p67jtMDK>_p-=Rd zz(!_?-jtOYnd#r=J;?rgDok1p-}H&zGjGL4C=x*F!C@2`1Q`lK2qs23Jz|bheAMBS zai#eU3JNUITgDd(EYX_+>=IMy z92g^KH1iunL-b}W5z(9Z3X#z1{rh<|5RYMskBXj!*9@$uX(vYH1{=fk&ohXrs9coh zY64)D2#uNlju2Ba%$TVBj2wx>P!I#WRbg;J%o4pRP@?zzPlgfEd(HeDJ%kp+vT6_+4-7s)Nr+qPnDtXSoY<~;Udm;8q^I;Luo9#r2-ix}Ks~F$S@)ZU1MH%|CL~l_s(Mv;XqM(vKVthA6 zHT2_)YAK5N-Skw)aGu1Bc}_1TML6$zT(d%QQTU6F=1)xYUi3kjI+f_n_=8ZO&J1-i zr=(Fqa&Il-PU{;MdyWx;T2=KOo}(6cRKE+WJXfFSJ^wt<(Q3OYhEB^wWso3C^q%*i z7sMe~OQ?mf@tnC-R2=ZaPk0W%k7JlbWMeUUY`8(NaGx$cR~i+ixRz) zZvdkL@yJU~ey$v=lgy0pc!G{3xe54KU^>~4JFQHkCq97yyo zA@+&hT2MT`1Etr@a*&jgI^{w3DT~h!Ibjr{_u^ShoQ?rhTqSxhzRoTj*p!hUF(YvN z$%H&xh!Q|v*`CWVot62l(4Q}rvoIJz7JtbeanTjGxrM}sOlXMSd>y{E?gVG&9AX_3 z%)DD>{xjEbw#jK!E&tA3BY*EUf8j5MyIF1o@_xzb;_Ey)Z;6Y%u>!#w7s%vn@s}7A z{<4=mwH?z{hv*$YS)z9=u|#iAB1CUTVu;?JBqn-0VpVYvy&ahny&ZuPy&Zv1^mZJS z==V638I9e; z61`6y(^tiFY_v9ObCAT$JckwXkS;ao`65$MTza_A#lMY@NL9=;=I!u1=L6=iO_mxJ z^qo)Qou_TocgkC4-mPT`>(`Dvd~W6f^nZ7=&z!dnwE}Fp`%d zdM~{o+J8FKceM8qCc3)vp~E6gB?gEhqBj!>^SDp+W>R4pSG%%D^jb04|VJ@YV7hmjW#XE30zUD<=}I`S@(~Zqp`<+|IvN; zwmj(h+~w$?H1KoKNhaMPdarn%6I!!%v;4i(Ogvk4T7DlD6Vn05L!Qs7V9>4QpQQdl z;s&V+xt(c733_^%3g{BOmw$uW=N-D_yXxiqs%85x|31|%f_W&>d->0(Y7r5Hu|v`b ziA(fejxEcj+KDL9n{ln=l!GSBi0Hj?b|^&erJTEQLJ+x1y{^DXnP8egT?)R zR?SLu_>&Ev!XI!GCx9X4cL69}iJNAy%HjE-!N6z`h16F~Ax=x}``M!r;h`d+ACkamgQtIiFJh~APNIX{0J%v!4kXx4Ix z-m7lGHW4$m>RjeqiQfE8OO&$bxO-G7^Wli-z3K^LZh^W5X#|Xj-Vg}U8`^D%-Z;1q zGZY_%=*`$*zlo{bJg~KT5$#?~1v=LtRHFB)TX-SEC3-XLR5k>U=)LL*8(T#5UOmG3 zJmOm|s~ki0X7Jj$N!10_rHS5XK&wZzHOZ;c)o+n$d-($|PV`>$ZFD5XMDNu&=q!sV zoFP`C_iEUyGoefLR;|KeO(eSlHm}Oi5WOcgu%n3&FJrOM5%O#eJm$W}El?H*3lGQg zhC}QE1kqcLSFlv7)`cK?OE-w8Dc>W}8wDK^y{n%l(L3bHGWz~L ziQb_cLEvdQh^F0-_|hRZ-|h~DW^MDMjbLu$Jr z7TUWa?JO>tWElsGovC*FrBAd<&U7|M~0y#RNZ(R~7?QPk zm_Q84>p~N&NA#u(=A%xsl<0lN<1Z;$-S#dH4*HFk$9CJ`~Onz1N?`)ERL%y6^TR(R+P2lj>jOM-J8>U~=6qg~NJ{ zmDrwCrV4DX9?@H804E@N({YB4rywV|*r(UgsN3>URhadwOA@_tS}-DdN546bwsOv7 z?Qc$D?Qamhqu(HUN54Vzj(&sa9sLH;JNj*i-euHW8z0#8D5IC@g_`v^|0w#k9)>4m zBBfU=bcwLOPh`xP4pTAFd;O11`!@ZYoI)}p1<2wZ6lOw)=sl;G^@X|l7C9#YFH5kn zszeiYh~CW@u6b*eX?CHk=#MrmkPB6o=*?*(?&vr~Z<$EKvf>lHaTJ4*l_GlQ^5PT` zz1JT>A#A|VkG8g90o&RJYwy|s`;Upo61`b6!3G#!qzE^>-TuJ&UzOnOFCtQV%LbnR zWXjMoh3LHr#sj8nt6mV?AZ8if~yl$pRVIE%y4^BD=7- zFrb;a_&5nPW3+!5T}t|3cVLlsZ1Ly_mKII5uv`|zlTY;CbYWP6=q+1ULiFDBHZFIR z=&gJ35z$+>-?`?oL~o8v_>>XH-!KR^y(_Oo?@do54xtI^sAP!VGGvB^=*eVI*i>7J5kYe;<-aX8m%z7Va$ft)(^{Z21E4Ln7PYkh~5%8w^5Yn&Bu&BZb8NH zu`DR#7^y_>)?pM@>xUWdSC|e=rrWUDY53jSOc2;`9HO_rLW$n3pGEva^lm#PEJ^g1 zoS^@R#^vbKKyAmvEe^YD!vYz0l6{X%WP#RpiQaO?Q6{(!(VIeZ|G4cq`UbwxCwjNt zsL6=9&dSF|zT18s`b6)xzhq+YTIroR&Sat~KcBeU#1}+SMMUrR^P+*Y{X9nE5WP7# zWx`^jx8^4zdbb~CPSBUN{o3RsdW|J|w*g!bv|l6xg7{*ybh~FX!XHm&3O%BCq2@$H z?;6jqOY|<`Vu;=e7bSX2xyLU>DsR=Ia#II2D~z!AF^AA`yZucpE05@15dMHWJ%E)y zLG;F0!i0N7@3vD=Hig$oUtbvEpi#iFPw^L(qa}K??1I8wW`HQ*oL@AnE8zUQ3>yWU zeUFBXg6w!O5Do)UD%QKge{oDs61_V+LQxVkq7?367DZymj*bM;yYM9{h5?^ z5;P`y7oL?7hEkauCW+o0CNRqA3ll`|jx-0E-1`-oMXRuzT5jj~sE%O|HW4FXyGp z+58zJ!-8(}zryxNe_t~)jH#QS!I(QiT2ipt5>rMl+uz5srwYv-UoI`koPIGCI2OhyV@ ztRll5coO0}b{bR2^lN4CCZ6UMd6gGyW96SF#Ac76nr;f+TIgU$ha^FKrDb)=}HXn1Y4+`2N zFO{@LMQN)WufNxMeMH-EHRgPv_bx!2-uh&H>RwyB_!$>saRKxL!nLQgQWY1$+|*M} z8l0^rrzS5rh(y2~eAdGH)KfO|!Ti)uosgvf@yLIydl!r$l4FSE%KFq#Z6tG?V3!C0 zI`tbw{97mDXb;QUWY@Zp-hfD<&}ThbpZaYSsmXih@EluA0I#N|BqQ}0B+y4Etx(+y zo&ybdDTtU;Y_Z#hig;n?ooF{VUcezso#w4~w77w$!zcOlMCBhDSN;6o4gJG6e#6bBCv^0XH0&WyQdR23r5 zA_5+}@Mj1JiU!9F#y>kQ44M1C0Tg!K zbLoi6#RKTMOn5o|mA$`I7`qvc42GH%=s`hhLpBV`_@fVBgS}j?BBZ8aSl6e0C|Gnr z3o$q_(rhM=S$*m_9Q#L)K)`V7S(Zk81^@wLS8w~!0fxZ!mDGRcaO7D&@}Bxn@yyGc z4427as6Bf50IjEd&gal^$v5=)REcl7L{2ri-lOo9rrk~EhggcFOI+N+EU$O>wo%zH zOg)2q5f}!$UKU$PcnLz`Gq@9nf<+L16WN$Jgv(YO{A@lTI3#!5OakfSAg<-aNu=b^ zKp(Ghn`DY)l;8fN07<3bia`K-R8p&w%i}yqzNBa*gwJ*J&QUG zp#JO9IUXJ9KT4b=1#e6vcGyP|d62pR@xCppwGc~o=mP*h@N0@?{|I9W@03dO5{2k~ z94@>j#qth2fO_F^sPGoaNqF1q@cUlm#5l4}poXZEarOet&l9=ceSPCR4->9kR1M)qumpJh(TF|Z6+UihL`_^kYaYi5S)y1=TAsx%C{V>rP^WzMWX z9GRCf#Tl3k;|O6cM@6LOVWyTD&B{zIH4j#X%#~2AN^EtbJEo7IEX?uP@d&@r$)ZBJ zjZfhAHy(4y4m2K*=3+OVsqqs72w>cy^FxANFp4yYT}E6a6=pyMPHIAv*a_loI2=1M zcwqFfRl}9B3wAI2gny8kja#bFtMP#$Btei_jCUPG{lkNOBS*MtSpoCa`kdS_k^`eK z*DP$c&%L&(Ld(Y1it@mD3$zJYHj|(V;IOvg?UzlM85~}*OP)=~{yZ+4QknQo_KH^A zF3nj*Lml0VPaHrORr6NDM~F?+FGGT!pw}=cD&7(<{C1WZIRN_$iwYlN{#OxjLWUAv|sNBlvPmSHgDb58C=N7kTX zE5~$#?I=@$298%828N7}jab4V(}3Ue31_<+5p;M|8bStJ8cTD%N7x&Ocn#`Ws*Wi} zWk#J7s_}@Hd1jwfq9J)^M$-!mx!z$L9+e4-mD8?JeG#^V$wu{=+hk>-R33{CFE5*q z+CyuVYJjmJ_wJ~Z$h}*XePn2KpY6_3g64^;uhc8tghvl8MUUuKpw4nwE%6_?n8xjo zV`((2+(Tvf^8^}RdGBEu)z_?J+svc`XIolo&m*A#`XTPDo*!NXE8y9C(^v6JzDA?s z&T0b&H*~WSwHZJvFVuozC-Zh zxR}_i6jqLRkZl7POdAjeBYC@!RleFwhS8Uy;YGoc4FI9B2g9?(t>ps#8*y6=4l61wC7 z`dHYKl=L^51}==wx*SU;Lb6K>LQWMG;LLV?VXr1@cWE#L(me`D__EKt8Dl4h-Y_^&>u2|EA>xf)zg|j&Wspv~R%7<*5$z zfO0z_kgw_~X+}vPU)8UqAr%Aps{5odSs-8ah)z+8fPB@j;&KG}4WDP`Cl5;I&BI}* zHYUH;eZXaM2qTzpk^LQ$piA4LV{2gXYwVYNO(3tzRB3bL5Bi?PBtfB zEd{~=azt-3&KIH|kpL>d)^4p13DDb`rCCluEJd$-S6iVI#ac5DRjyP!_F6Sdk;j@= zqir?NebK*~UW9dke5tT1g=Y`QS92MI96-9Ch?xh-XGTUy9w6TYm;>0`n3Py^1dwk6Tn1>X zCbocl6W|%ZK_Z9&AYW~1&I0nOZq|WS)tmtG$fP641vRvt952vPzakbPXg+go68L_SVcLrxpu5gs5PV<^Zb#usIodv*UV!dknMVq6Evz;ea1WBXch^Y%+(Ey2Dst*iSMxl{_=v8I~|{ zp2;|I75Itmrvv0`IGcWm1dxv{12+xH1LUj!im+26l3}iYNSG;9T%a(3eD%MSAr`6+ z$Tu+-jtV^lY zURmc<0U+O`Pr$xHFr3DuIChyyLRvDYBw~h;sGGco>tsAh;IuUi!`vVR!!8B@b72Tc z@&WlKK|3`H2O68|13*3r;l(V#Q@VF!*TzT&4IrO{13*4UNdWnTlmPO9Hwhr$q)&h+ z6+e(^(AY!%lE9BW4+FycYgEBI~L9%uEDbXT*=wxJqUKDb|-m5;!H1H|Y|NFIV=*`#od^ms~z1!@AwXU4*2Hh_GjLWh#-1M-oKQL$t( zZ%CtMWs(XYA89nKKr<7?q3&@#$Gl>cnOi{={4h3`9$_Yce9XxSW^xvbZZpv#ARjZ% z*O&m1kF8v!bRUq9?31BlX#9vECoP{ z%naPCGvfOIAS&qK1Q6c5gs5Pse^E~>9<#~z)tyYPCj8`PUoh4mpTwWAq(O% z1=m-yLNNQQDZl0d8w*$kP5!(K>L}ZIv0L=rm2D(T1->|Y?%$)Dx{5@ zNrNe9X!1>&+!vr#r#yh2cGINNI+x3SLuSgE$kQ}UCVbOe&iSPG`JA~+xQ(+kxU7R+Tn(9x zt6X%sOq~Ij#Yi8Xe~RL0n#<~h`|5<7iW_~(YiyPE12W2OO1}Ak%v5Bm$o0u&Cj(_G z*mSELV@!FV1eL5Pl_MI`GUfv^O?)Fb6+FP=F?A~$GIhHjfc-10*HqZP<^Bg`rrtjW zb4-JG3vUd=C^h~EWTvWNBe(0#MW3lJ8;eH*MPX3$Z2O#Iw3Yw;T4T?2}0zwJR9c_B88I?F6~6q{sJcsz<(SWm9S5k@Su{?K9{Z3$_T6%@cDLA#&P#*)g@A zrhOHilD7DWkZgn>Zk|XM{wKCB&6`T$8ckYg(He*l+5F0!MTl&kBfPWqBW`6-k9x!ZJGt=*YmYP0DEj1kn{i2xo zl))yoIFMi0m?^s9p7E!4074wd0&0Q-X$!QVi&FcHR-epuS(ZAQ)zcT2tCsQrAZISl z1ptuKA16}-0LU3QI)WZTrUU?T##C8EeE>)%tAZ+X0FX0qI9MYDv!c&{E51JG0YLJY zurcQVAQ^0OZ1UfSeI2}PekFMc$ClG$PWi+cO83l5>Kyf63~3es(vDJ6k+$LQ&_mM(*T0!B zhD5b4brC_MuR@<%akzja>LZ$Yl?{xGL7etpGA+S;%se2<0%oYeKWu$Hc;p4IED13m zH7_?dtvA@Lsz6(B)tn~u&s>~lZHBT+FdtRF1(=UU#~IAWRvgcAtvoy^hd-^~=Jp`L zd~7~J%twrF^9h`^&e~a8s#@1WON$tBVU8;r+Bgf=47^xYADTW+`L)r9COqrHJXka9 z-*O@5qhvNTi}^@enV}h7HtRBK;5;^8v)?fZP>T4Z)X0EbvuQq|n2)3i<|7D#`IrMi zF(28Zm_c0-Syl;y15}v|Wf}4?A4w~d{DS$&{CNf~<|7H^Bv{NxW~d;+Vm``>L4w76 zBmo;c${87H;CjgB*$?In<|9jqCgGruH%8bm%%*Uie^agvm zInyRV>ze#omZx}MD4QUWIL(B_%sD%UT|f3fly|08wVyyds=(leuf=>MAupbdIhW)d z<|D2SL3JgVkCIJArvtTIUb;TYZ^4gGK;u}<$2pRRE0HHY9b*5_`LRsgBAAZ_bc^|D zC$7#lt+Y#ATPBFJn2)nA3&Aha`QJB*!>X?j*%>i-i9qLDlNKQvUY%g7|q&qzN+^I)xz_d{r(W!KAe$oh$P` z35uw+`QOq0@?};E^RY+-F&~SNL(E5I6fI|~ClZ}9|1#>71~h% zu!or93~MBCDx>k@sp9&R%_W$RoX=&}ehx7o7o5OF1aW$*4Q((V8OY`g<|C_fMzDhy zoVt}e%Xi5xzj+QfnAjG;|AN2GbL8*4%wMEys&G5Uq<#^+1!tHYrP3W@--5f4Z2?}+ zpu7bqSQ7qHOSb2*L-jBplaR%HOehxfF`x+Mqen5Aj{zmYeDugF;=p|LXo~sh5ft;$ zBZQcbUW8&kdIW>{=urgo5!)~ICknq75^=jdM~2{Htl9CpL0ND@STrcYSBUxOlS9l$ z5ylkeWBYQgD%n7zb-O)BgerHEUspy@0NBizFb=HN!yg$F!?31MV~~<4NQKgO`&HudeeLHPb~FUQkqhi z;QqICYzfZ3FffKX*;1i_%GpGo@xb6(dWrL1F20!^^ua?Ge}O&3c~&9jZ`!xkqo>8EYL zwW^bIm|~cZOK_jGMH_=R6&~gzBX9yk$21m*0?bD?5+^Vr<|CVm!x!e+*B@X$E`eWp zQGxe*Syacx)x5cc;$2o=pT>L)34jC%3BUyj2>=K234-|;5`g*W6BP5&d;BSCXXz!< zv;^~U*-Ipo!+f+M!F==r#C%kk=>2Z{zHADlY09rDvCH5C7a3cIyI=a_RU&M9MPV5x zdy*EA8A;$h5>Ws8vX9!#H$f)JLl5(D`K{atn?r|XLzGN}tvD?^O2Nc-z)O&4SOpAP zXBn)nDpLF`H90v>*HwavE~fzcn2*cePU-U=;vo_0YRbv`K%)tkT|;q`r*R}J=Hs%D zQ`FphIhGDe8z?^JpNX&nlKf z_X+QpKdu~pfJ3ta3ct=g@3jDp+?%fGvlss?z~drZqoA@0F(1<;gZXG*4BH~587pcq zu*(D-c*RBOY8CTwWvULrd<^Rl%*Ql|Ga_i*&OMwHDU!i_3>$|rrzdj7rLJ)+CmEeb zE}Ba-D}PQGZ-Dv8b3g2_K{ZlEg_sorl?MxD65P8&lHk4ojYWQ{}k`jyXyo zwO*)HFh&usD5umhDT$DCC=+%8GTh|I=$DdL!ZF$smHU{FtD15Jn2*vO4t?AV@71cg zbZhyTkE?oc@WW26YGS_?^O3*liBfbDo(JLB9*!=D`MByM#@#aI0mXcT`{vLn{Wl;8 z<|CxrU_Rok4Q?m~1@n=m@ezZ=ZRDN6RsV_F&Sxv2Tye8RfA{bt)W>{e-dawC0P}Ix zM{H>h^Ktc3?&n#aSUCpsk=g5$Db*O&7RP+V1X_&?!H^tXHdnt`w(T({Rn^#d0#W>F zU_-qJilh+parK`FbLBp|Vm_`umr~_pKB`pVf-ssru8(@lU_MsAhKeS+2oKwCQ;yfS zkg#$aPuUIT<4T-B59V-qkBG)=f%%xTn2&W2XMp)AH+aaEnzv{0+D+6TLK6WZ@YWJA z8W(_Y*$T{HKgK1;lSWMkbc8Pv85h#TxqFG6-;Ev`j6G?Gu#cTaa zHriRvPoqpB=Hr_8V0cfdH(K))oPF~`ii2Q)oA8v^yUoxkOV|)I%>&Fwjuy1S&g8tA zkDKzGX|Nwr$dx!;#*Px;DO+}KIDK|f`Oji~GLLoYJTF%FOWCZ-5tozl45xEda(A4H*K9ZEp0X@yO zTivYG5EfjK&CW%Deu&mK7OBDqXfqWQU_NRs1(=U4xh$8$e3a^PrqlrQkulhh8q7zA z%C%WnKc!~vvKLX9Gg~-NrDOxpuXd^bm~Ae5>g~YLto=-3J0e_DjMo0VFe2wLAK4tp zK!Ev3T1N7&oB!l_gPtK9+Olp}ZfAn|$l{{hHhY_b!B~^MvXBpvX^WKvq(mRqeeNlw z%oSihibUwVVIlv7tX-U^k4t}`l6VRjw)a?A?9O2oWXod=sxD7qblZOh90p5^Ktzm*}JWW?T1Ff z&SoS3!4FuQZ~Z+`RJ22V%*T#DbDC;BY@E<+TaTp_W?P8)xc<{JLG&JqYS8ttbYP=7 zlEZvl57#%WHMx~ylHye%wk;{8*J$*dhxxeS?3~4X+<+UP5r*O zA@73v-k8RG+}OybniSA~L;ZbR@ixw9bA6Syox50eOaE-C$DdyvbUJ#J!%0uyMA~*fCwE63oYqA2##b=sDi1 zw^KgBd;~F@^DrN0!ZVW3VQ;PoP#CJkFs!ODMLo>N^*M}{snCb*fQIw7v?&}Z6p*A^RZKWM?&3Mfce;o zTMbytIJ!Fx<|ET%swyeOeC*sOleHkqU_N#pe3YZBhzIeT_t4x?%tt+gcbJcQ{7#$4Vm@+Z66mVt6F4Z_+>bY`+(vEw z1j>-ZL0y#$=A$f`IfMDg0G^gQ%*V}puv*i`=^x&$R+VfXWQE=Q^_;tjBGSf!qot-GNcSYe3yxb-F442S&ZFdw&mHWy+(Zha{mgKE1s zp_g1|vTn=I5Ko&}fh)>kK6XuW3u)Ih7UE+*VsXmmieNrUe;npx*IM=jx@_xbO+Q>U z7V~lIFrvHg49ceBwDi?8CgF$c*un&U5hLC8wXrZC>w~mD=3|iQOrl-dr~Rt z+^ekeTL&@gUdqwO9zxgcE<8Moax$Kscyz&iYS-7&T!)uFjrsU>sV~5M-0~wZrF*mJ z`tGG%G`jbu`Dig8w|wfy5+=!nLaI4cuyGkJlEtj z=HqGQIS~@GqI3fb1sgsx+O8dG%*SphA?yH|WP^|S*!>P!SxBd<6*hrG-MAoy)rDj% z2SqU-yFVtYjLm74bh0W?S7V(qWftEC6v zw12W^$fsSw295R)18%7oPsGp$k|f9OW9WnC!A*^A?N63RT+?_hjg5MbcSN1{>E3Cz zQEl6B{>4_}VX_G4HoW~|_@8X}4Etk`{h@nE_1$lKnWY{T@io*-EEVtnvF7RyY1`jO z;Iu0!;oJU?O>#YYn;e{oU$!HPw$FBk%{JXR(HWZnI--OPBHKcSt5nefL4L7_cAuUw z!CC4e&KBWz0Dnjd9~;e^!6PH*_mB?uvs8$$^WPhP)V9MSyPgx@M&QvcUIjUyuMPkU zf`bNfE6*OxrPOVIC3_+dZLhcQf_?$q+xAY`49SxRL9Nr4nDZySJj6mjC=Y*z`}+oa z2l#}T`APqKdHHkr2wcfNZB@bKI5pzSZ+)i=6pR5BHH!O$cxeUL6mgiZ?sqEC0HSvP zGo7Ae0fI&uEbC|N&RIaz?XxM<3Wz!`A|PrG=MZQlKCc8oRBq@I=zKK+QDv)^0HSX1 zA|NXLm(CjpKiX;nqRO)xTE57;9-pB3K6W8$l1_a&0a0`AX~`}EqNaVB*Oto77jrlV zhJA*Bs6taf)Md955S1-8fT$~51rU|o8ms5RuI*<}j!(I0Kjtb`re!{a+(Y^MX>{VH zbHSufPl-<<>fp9j@y;gmis-Z}qN#De6af9lVKs%sarTP%mZ;>fBjlcs|2_wNzok>+ zep>>5x-tNInZOsGcEvQ{X$hR^Y2_tv>S%JjncH+Bm5qsPnhS)|Pf67~j@Y&b)dGR> z+@2}%r;;i^WedLI_pK8BkG9X?mCd<-o{igw4;>n9|C2+*7mPr1``Z^STfC&_!X=BA zw2vMbZ9nhGz~H`i!~exSi`xhAyWbGn7cNZxUzilW>-POe_qFrS?*~qZjM1^t1;_gO zTJ|3rZdti-@xnzd3(nZFdyO7R3=F~JeMIKZ$l9e#5QYB^EY&2^od_G*`0dw}#IPQs zhw-EX=Z*jn%o@x#?2zMF{vbZ)n*=0#oQY%jsf@#4G$K}w_}qL7ASdD@TuVgG7#<+h zq3Cca0I6~hHYYweTLkwhD@iPt&THg+L0=HE@}K7A02N1KikuUVvTp1h0!m3f#L|i1 z!WL;!JaB8l#qkg3_2oGgHyWLZq!Ws7lVIs&<{+m@>0#+)a0@MO$Y8#G2)GHV-B8Vw zQSzq7B{Z)KSy#O+)MDvmPKRnYM2Dr5c?r}y+J=tX_8TmnlE?D_mX0#QVCgVWqGh3L zCD7LBgS{cQ(59NJ~ z9V3n&#ttdiPWWBr1juE#3cF*eQAU0V?2i6Y*qw3@b|?E~@Ts(kd@4Oz z+0!TiJno|;i8z#QB6|k#RMMPimo3YuVRtN#JlGu>n!E?Qlf7Q~G$!m06M%1H!tP{0 zBQq`yyOaIDGUp0mcS`XD81v4;?v%nvZ9?${usfwM!bXby&AgPIFI%RZ&;Xosh*3c) z+wKSc)DPgEiaDJWF5w+n*-yZw0CvYP2}Bi~GPt)edl5FUJ7rsiLm=pLiZUELf+5Tx zf>Z{p8!AUKC}9X)7ZA=zAh%_|f{2%6JE2%P7IvrXCm3?YuAw?C`xW|_8*ij72iX^~ z%PmA+4|XT>NJ)%v0K1dh%&c2&4%n!A+-oOJ?mN&$f~R9tuj#SUdIex7r)pP8#63_d zh6-~?shDO0yORUFBD$|c#VTQe@a-nBJMkz+C-)tWTkgj)ASUpb7s%r!o}4sGLt*RW zkBJ;OzyZ@v9!BF5-q9iAL4j4dM`VD6%%vFT7o`4H+Ny~y>`uN4ZIwy_*q!{{c?-Lv zYFr0awRi%%Bdb{6gWVw^pEs~OnT1le!XfyuJLP402fL%in^z}NK8Mr6?qnXJe2Ydb zuLn2eD8_@`DSsU_@erjJwIJx-1o*q!qG$q|fsIXoTa9}2m_wV{}oznAn! zjjUEw*&h=rv?d+(8RkvJhahBzloDKzYM~-3szoSlh6K8!Vv@*(jqqT17(+oerTL;P zbFbn&3-yp`|G8!Q{s%!=z|Ya>@Mu)K`e`$QZ7ySKsF8Vpne zZVL^HY3Aea+$v2gz~2EGnx+W;P6dj<4kv}bLn>5l8h?lFfXWTMZK@44h zzZ1U&!dm%v*f1L?q)~3EvQyDO5PK4gOB$SA?NL_3?Kq?-7m) zJ;dK(3^*z=0sc-U+_qrq0o+|-q`L@KRW4j5NJdDn1!t4=3H}Z1&&-?g0W@vf8$gz<$ zOcK(PK_wA`N}}%e#=TZ22nd|N=r@yKZZHXkUA!YCVF*d`@pr~SgEa~VsvoTm@OLDH z*9!)J#{~`kj)VjJ9Y;y5d=OaiyKo{(Em zz~e8;`}jNT4km&wII#4gLVb`fgWPg1^IN?NK^bGGlg=c#cUd1we|-4BV^a5Pyg1_azbV%^JrjBhJ?d4}XW$ zK^$;6{GHm*$PPn#f_iGPszrIayV++FzRYPrg4t-*-pxtCN)r4X(khhZrK-w9Dh&P( zQ&i_e{GHnGL4LWHsa+sT8h37drk=@>hOL0v%?bWaeC~J>v3IF`sHV>4viLi-3z+8@ zGU4msCdBnfobh7z*M3<7*aL}vuA2chAg()^tSGMT?Occye+OFtC;{0II{ckF-TZL0 z6a1aJDWVAi{GGa1&Qky2gxk3qG8@Ngbko$)&5_{m)WxjM!{4dHhEI8oJ+tEPD7Pv3 z27jj>nJV&%ze5Jfp0MuiS(-$(x4;2dUtNQ@-s~3`Xz+LH-VQ=NcmRgfHCU%Uk>%Zi68d*xJ&jQS=C&Z5aHWiE}8O5S#jDvNOToslShnbND;LN%>&n#NND* zzavf>AAd)jG(s5KcMJu~J{(r2G|@ayZo%-f&Ic;_o!z6A-TDs6wQ) z;T4z)4Ii(`xOOG@I}JZfHOZEX9M>>^ExI#lQQqP2n1);Y9R^`Q9XT&ZkSE3A?=TJS zpNO}%h|4x_w2mP2Oj^WY^pSZcy~yyuAoEPx!|mRrPgakO%u_r{ka?`^V1lQRc_v*{ z3y(@;q%L9z;Uu^U#W`$#=kw+v!K6=8%h;0m(7{9IY4{<=h~HP{ZntrL9?{6(coNG} z=p8C4+(rjq8_%`T;y(7H6#@ZpG3O_52Qv@ZI+ND3ZC_=L*oWEJG%`=)dM3C+tl0X` zsW2BH^EBRIvwO%qjWus z2|&+Dn15JheSjV&tAeg`06izc$zCG_v*e$&kDEUapy#BEx$|=XJq$LvaS4VJ?Qb}f zEQJfqDLSW}GLMjvlaE(BfFArZ06mxv4xndp56Y?kVKAnusfB}+FD;438C}#D0Q5|m zAfpii^aLaW(Bsh@Ku<`s06liFl8Uqq*P@4}4X%HaUj)ILGT%j*F^c>zRV(17c;-dZv7zn~4Oir(r+Qda!mh z>_^9%_SBTBj`naaxNB&7Mc(WVn|LEAS&mE}YwtEX(uA8{!S~`#_vJ&h9?5KI7OjW0 zGD9=^tqBgYs;Cybk*V*Q2oRhEt*2qXqVkA1Mt%n3`11WUgLu=^dXzI`M z2CavsL=z2K5BrrtLnkU)4}yZ$Gf`nBkd)v%C0>c>4R(IhS|>siqhF3Miqv2-V1EdS znYK3%8v#2e3O~}S$be+Qc~oF<`NJpV#Sb#=^?8TZGx<36Sc29g*;I5oP|KAy>!aE& z_;C}|k45X5_IlBM30ePn;aq=+F}&)AVf>yai3oJok*)4ZNv259Wu#YD3=?P*|hq28nEoi!Inlk5!T39g6MGyNhMX^Pgv z@}s;(>zTfsTT;hJW^ zi`LV0A|QLHG?J^OJBX(5LeY9!UKd1oXg$+AWi1!kOr!P8xYUjaA7?$#hKP{;8aF`zi?XC z#iI2D6hZ6pCl8xP4@r=SXadU{)<3%W1k4G?QJsw5S zda(Uc@1yW#RwGz3dmI^pLw&RTb%WCSW?|8=2wx#uk53NKdPEpgXg#w}(W;U?HfEdk zIY?s~nlMPS|5{BACZ1;oil&DjF8pm$I8iZS?Awuc@^SOmHp@fnY4|wmoP#^V%ptWv z(2}|2Nzj8#i02k!K(>C(%i-FIy0iuQ*VPMp^HppE=tmx#@4)IvTK& z#bV@Oy0=}KAK{fWY8~?mylo{F2X47uXfEuwb#U&}+pB0j^eJHa^WtaNe6KwyxgPCq zd%v{1?Mj;LZ8zJdd=ZmBXbB>>GR0SIiXTZny`|FjsG-jFZ)wfN`H%E)F3x_y8%;a6 z#ZuvQmbzUim!tX141t?BzB-N86B6*4GbG?e zXGp-aPM;uXJs|;TJw8Fvdi>k(^w6CAdTCmM)-&%M$>h*_Y)H_0ya3U9q%h4YI&9u_ zNK@_Il-PMgMw;f~#it0{yw{4b>CJ|DnCwYfc;u77H4;#7{Jf9b%sBhE7pUccVi_>L z?%;;j98t_0<k=oDo4w z1g$4cGH5+v<1psZxXWGR7EU!fj~$@iYmK6XzoOeWK;STgyl5Y467|5j)jBgZ);t9{#2$O5c_8^azLi z*w8w(p7tAzy9MGFp)YjbC|VB)g4P4+HfTLKnS&dOK|$+bY4G19h&w!MYk!D-FSY{8 z757l|cR$ZfeY76tt&K1d0<@m?8*FI~t!L3{?&nz^R^upd(0Z7?KABRDg1v)X9L*~{ z1F&u_O7f!BGMjYST=XZhZO=TCjV6u#*hSEK7GDEJQi#^GNDkUW3H2yi&m#D%vw0t_ zN2Lnah|%mUF4L}E0vq_2CXOlDbM5$TF=5?;eb1jYY8Y}{3Sr^ z$y>CZiZ5n>)+6_Q$d%kc253E^1`(<{iD*4wv_tDDpH8%%JnuXrK3_+)o;_71cC8*+4AcWn2H9ir|byPdh+J}8xm9i+vj~a@+yYbvt)9fQ^34NHhU_b zt(r}ii9jhlKg~*Fh2=3PpGb-`F7DElZ1!n#+8UJ?qV+7k2E)5#vRQPNtbkGg$K3lM z?E03x(QSs7tYSmVG!M{vI9kvOJCoCC-S~+E84k%MZ^KcvzD5twdX`q^H~VNkG&X_l zZN7xgP@E6YdT5=NrxaU4Z$!^wPqJe24*K zYV*;0NXq84O2k@T94LJfYu|ncs_;JBh}?i zsR3FKW3V4JXgv&-YqLJ^l$y26URz<#Y~etak`2Hu*ronswz=%7w*y17>>mo-5!FI< zFZ)$tMBbtGusM){0Ii3#jO1OurdlzZHRuXhIsdXKtuti48Q+*j>sc)iZA=R2KZDk@T9!s%rEpoVvD46MQrRkSx&~-H zx&s&kt%s2fwj6!+Po3V#`!_3Jtpc;^H$~BUaDCOG^|;^M&{{fovi3L4I{O=FJ?=Nq zdfacI^|;?a>v6w<*5iH~w4QQ8E7EvW2V@>vk8C6r6DOG7!hm9EJ<-o3%AxhF?!ZW{!P1Ypw)#QNwKdk? zwFdqlQ;tRJS@~)vu+LxC^cY^Q!P_66NLfk-hu)IX0%pR8>-l*0TmzWH4C^q6}Kkni0-itsj#O zYZ!||9ynQ;XKPWko;8<4EeMzeECqxEq9!|75q z1=WECfQ3p!J+OCtn1uM~kw|F3lZ9>(MiKht{LV@3eU=S`SyI zDqWc%3a4IBQzF}_Q*S~Ug4Uy}l0oZ{B{Of(dKkdtRfpDd>bY30^=yB)T2-=nkQMgS zd-DdZhj$VpY|90$N6M3hb!`Uz#RRQ~Ibo?8v>tEy#N*(#H8{fL>b`a*PB-jEMcaw+ zCPnL6n~~KWTf()klIsixtw&4d{RV^9BZc!AMbUZ~%xbU;1H&MIX1GQwTF=^**#4qO z{CO+efz9;VyYd#BXPe0a9}X{JK$F-)V0;K#&)PSj{HT`wcsO4atw(wS{o&SSht{+1 z>^$&VobFi-&m@)|AFYSFM>evZAzF{zag+_Nht@-4l}_|^XG1rz!Vs-z9X=IGY6|-X zonLobK1AzT*T=@7+U`x@0oR$V+wwES)25&(ht{*c)h(p!TUm&Q*2Bdqn=eG`(f&BJ zp7ovV2?TiEZKfZo79-{uqSw!p1wm4ATKejlYr;6TFagfN?rHtMkA>D#9i;WqdWyst zw4PLqqV)*hlS)zNer1*4I*3`vYK}hk5V~%!hshk}1GJv?|DNVLy!0uw9$f2V!vnOQ zwGV?g9e5FqMWbUi7mW^F`;>H!j~1F$+Y;6_QDJbsg`p)N8$EqeD(Sm*;up ztSr)1s9KBG(}4?8SY1fQa!?elr{hzy%GjJ%NhhlUbv4!*Q-;y~g4V;VSZpL~vI2J8 zA}b83vNuel^>CTMDx(#q(0V$)%ta<`g11Gv0Ig@^n{Z=X(0XF%U_H^6rwI&}>2XL zx3WP2S`SH*BSh=zT>fNv#5EnF_3%_W@6)~0YNOgZasI_tzS{_AC+_+&{4X|qru}iQ z{c%79RekrJhbgz4_N>9`~-zkBOZ{-x~{Gd(pN%S^3I1#^W2k`0UJHw_^ zcTRN1`e;3!TSc~o3|Fa~V?yLR|3pN)uK=y5bFT=uht|_MAhH~I`B^H&*ZJ>_KdSRW zkzLP;ZzC=i@pV35ht|^x2My%bN9*alO7=v>(0V%GE1MyCAYssY*b*>7+`|?Grt|OQ znb2?=t*7(T@=ho~>mhAb!Q?m<&eJDG>sfSxQ-KDpr{iuqJ;%bV5wxByXY1Qw48Ugi z<8aU9Jnk$A(thtnEJ+f6x(0Vp+Ct45vm(CjpKiYbt^~fU} zTE57;;sK)d1wuT;86*~vwdE!Sg9N8hOIJd%b} zMh?{2x~22?R@yZ#M5OXUTx>(Ni%Y zZn=K4R7XMD%5NF(sI21U1DvCaVb*2OzPn)mLfLG+S2PbU1|YyU8&YN;?rrhhW6Rl~ zb}f>W<{v(`ku(@XO~ZT8UAr|6{waSMkORyc_ULDbn+rE`NsP^J98W>Yt7;~*;;zf& z(MKGggx?>m5l2E7)Sz6$02d@ZaGbinP}3&q0ofbF$Fxl*Z$6bGbi)(yXnm ztmJ;HWF>1eQ1|L?)^2F?LEBL?PiUQnHVd?U zHLXI!G02p)D-I6ukn4cH4DB)Emw;29yB8QwN094=rnM`~RcXB5-ieT2#{$gAE40r?Lig=MgQ=NMZ`LBx9TV(s@e^F>PZINH9& zRtN2VVbJPdMkji{0R+9Po8m3Jq~^DLiwmGnTl8fdF6!@YHmF*4akF_J(KWXjkCaqx zfo*-LwrhQ}3p*W#IBGjY-U=CE8yd#QtG-m-iZ?4=a+y-7kms4 z+3_>~Iy$izm}c81Hyc5F3x@G7Ki|<_Y$5=?)q{4*+wR9DzY5-7fRpWg4EbL=%?c_+ z4po24FJc(CVS7jVwFIyBy{Nsn7P;To6n_ghfrfj51K4|jJ;>zHgX!^qNawLtz%`)n-?N8LY);2D|slI$~#5=Z^R%}P6bOH?%Kvu6Vb`WE&@ zzavB)PGMakPX~P5hkq`sWQPVv3kUFWL=5txN62ru}#+#XxXA;TNEF) zNOAU}L|i2nX>w4FH?U6$KJMs~!^gcNgpVsJH=7qLn(^hV8^$aeV*uqqDS(f=UaTv@ zhT{=R3xLyZ#XE7_PP3iJ$n!uY^?C4d@OHwKPEh3_nvkf>ZZS86jPq{`ABWR^7}7#D zhzH~i+_NU3g^xpBur{<%2Oo#M9qeQwCh&2GU}ta*F2ThfWrcx{%haXdlYy6ls?0nhj$_Wj?Q|u(YOg$wk z_GP1f4A0#3x{Sy!_Tsr5xD>$0876^f;Ny0QAR%nwJ+(p6w z$)JQwX@J2>$X4QzFIQiq23QCmm&?JdR17vMxE${(=h}?4h|H*MQY zsjkGO?lgSdxy-uP<~WW%Yq`|CY``xq*jqSZO(F$~Q?;uk!uBW?Lxr9z6(XhZaepS= zSE6EWk%b|A9B%{We#vpmm&$;c0In}2G0kYHz`e1dIL64o31UX9xUii<^5En0l`=pk zC02WZkIOeoTQ#wRkDG99eiB265LSTSB{$!h468Io5q4OtSMhgwH@NbK|Dwv6F!ckWZ~of zR>Ud|A9r;KANN}aA9oFFQ}{TA>9m``xQ-mL@NsyMqwsMz$$C_de<33Lhu?lu8}C5I*jD z2y5jXoYa;7X1G!LL;K^Ws&_T_V>0j#KJK@|xhm{^DDIUkp$a!8l!^^N2p@MEUD64B z99sr%8j=qm_jws|iAct~@;+gvP_YhL__+TRh6*)+k9$NoD)bb59Adyxi3#B2s^E14 zQ)x0W(%nq1nju_;noQB>iHF*z_u%7@0cZEc;p5nA10VNtA3hEm2s6>a$1x~p<>TJS zO&vrhCaDfLM^6KHq7Xjr<-+lC@6anY;~vHhmorcA`IAiw|0cI+5dMSVlyN6iGgO+zPNOgpb<-$pfxhI1;2_ z1@(DKV+_;;KF*AV&1~S~NQK5C)rXHWqhiTw5+NzAF_To_ zGIJ}4!r_n2r9R9AK8`s#!A#C#(QPI=gpXs!`5F_z$FY@*lqLgN)F-U*p^Ki!R{yUag69nBIq{M(*;Is(+Ki1fRAI`jwFuDxGXrN z?{UEADJDYiqa2 zlIGy!T1dlI!0hG}KJF;?{I&OB%Uzc@@NvxL;N!L+PaV#wG2!bbQ$5yAmq6|P62Klv z^mE-QPy-=++zsxOmOBF|0lh@(4&!w5!_oHOgne2 z;NvEs)ZPQnVEr5e9|xL)kGla3sRs|h zo%&T|Nd0<0u+4C%{w#8bgKhSS_1_qSIYV~T z@Ntj1m#*9f!%D~gmNt#PNata@g^%l?bh0t4$W9MF?lCqlfsZ366+Z5w06tEfG(LQs zIB7iiIN68u!Hz*4V&&8ba(q?ZY~L#fUr%UOj;X~R`5Nc82R@NttcA8ZSxNw!=T%lrZ#*PXz} znTA{VIH@Fnk0T|7k0a$N!^d^Yku*OOV&UTY3=eGh<-CGF=`Q=se&^<0XPmjSb92w` zvvzFmA?`p_JnG5dSD`qE&F=(0?k;K>D}{Wd=fTIx*=XZQ20m^N zU*I8s;}Vvo_;6HG20renjTZN@A1$R5%uG)tMUu05TPM_$0l#D0egvs##6HZ%7Qn~t zVS*2f6nejBqz<*t@ug%?Ew6N z@Nw<7NrZ6YiY<#EE$_zFs4s(e8AagZIt2tynSi7xmC8&5EBEz}_V%4mQZ*!7QhD%k zn13nwI3^3>m*#d>rzJ@Npo7@Nvixz{kn@;={+0fNh`!r!vSNm?hCPKx7>T_8WMC zQWE$$^ecmgTKKq&L-@FB#)6MqYvJQ8U-UQ~WfN}>+h<(ans%H>0@yK8_))Qn3`iC& zP6Y+2onQ#T}^SItw3nH`I@Vk9#-saCI{GFem{X@`kOs z(kf}dT?iky$A^z=hJzdTXA}51Z8-H?^GBr(&jx(l z9^T1oewer6?45(=kFsGH2%liqwqo#ccd*GVFLF(Odf?+)Dg{0cu5lke?qN2~z{kCa z6QU444%5QG$E}n*ehxm)30MLj=S0lH$B_`g$B~eNk0U7sA4gIMA4f_EA4keq@Nq9E zeB4T?_h|Y#PXr%#C5$wMk7H>beB5?!No7}HLDU?`nJjosl|iJ#JCn$1$Ad^^cmfaj za1fh~h%20m`c!N*}LJNP(R z3VAaR96(ZN+V|7K~<5pp=HJp&0e*?Z|C)+1@Yp~a7 zXq3Mv%wMFd{gvBBrs3m;%obJYe3BqC-1-w_n^h*8v(}HWB>bh8oIN|iS3Bc0*}NJs zx#tIb++wg|_G~f)=lB6|8AiJGBf_FLh_L>_@Nv7fs$`Fi*%rdbEyp0umX{MU{IfTi zfil2uF3f^#oc=Z`oT!*E_U(wDe1-Wd>I!%$K78DlQRkc)YTih#4JSPKwgf(IRv8n% z$e5O`Kk;(-Z80qnk#+T=4b2~A8xX51lm0Nfo~wWoI&aPgwA3VxgO6Ls=r8%vbUG&R zamL~XhO5y<;Nx(`o>$V?8w($|gNlQ9 ze9b-HwzD|*aRy-E1rbhPJK{DpKl#!2Icay>bu`)A1h9`kzK6*#i7k*F zXnPs)Bh%QqS;?mtQ|8)3@45aht+~*6(!;qp`vGrE+SQf{|8W2x_ipFwY*RQ%c^>vSm_T#OxOtJI6?un6u!4O!JnuVn1YqKbhyN0%H&{g!J`N?} zEKLtg>|2PrO>%5w8ExR>aNLA*o5BY>bK$P%nI=2o;~=ucc1BfG7?fl;wtSj!_=xLyXQy{WL zrOy8@24TK+1}=c3lN`Q>L$d%1zbJg%YeMWbA`(VvQUQ+J?mbTkAJ>j*=e0?-Z%bEe z;NzOZI-W)NxDU9-EhJJDUultxJmYBvx|m|PCC?G`ydTCr(io|tLJZ;Kc#xQak0Yr# zeB2bOJQn8*#R5ANcPcN|gz#~v6vD@`VK~sIix!+{?<#I$Xf(H?oPB#0Ob<+xSa+*ZV^`F1U?SgEqolN)DyzT?UdQ1%jTlP zvTe^+J_Y!=TZ+TS$w8YaAqyV|e{~2Sr&5J$#2Ae1X%r_59|x3=77soSS1KKRTuHeH zAJ<;*!NDfqY(Y52I6PXHgc zv>1FG&Q{GP%iv~!C-r|yj&VT_;Ny_AD101JR~3biy9N4j$x^fEEI9*80T(&kgH@>A zCGU5ep(O%CPz*kfqZPu(>BbK;4fZ1n`TH^8<62V~TNksd7W9awXBs{Z)@dPp-1}L3 z2p@-Z20m_W2q#AOs=~)zT^Nx9C8ICa0+sDDAZZ{K!pEVZ9()`^20m_Okt%$wCsRQo ze4Nx$2p=c)q~YUOoyB{?<^*;3T4MDr6RK0hi^TFlttj6zWoZwbGgYa=@h>^Ae zwjUY^JDZLCwjZ!I--=&CQPB?NyEJ?4ab@!P#}(^2egS+OmQt8)DfqZ=$OO?_D<}>F zxB`|AY&1to;N#%>hPCFy$2~6FmYvC!94ftH@Nvf->dmU-Y*-KaJA7Sd6zm$S>i@4@W8W$dX+^Lv3Y*)8FkY6KiBcbd{z;o7|B~z5? zb{4|NT_jUgBMp2U(qpPBDFq+*=Q3FfqAYye8#!~eesI~q#~}}#EX=dDIDFjO#M#0G zh467`OG{E>3O)|qg~x>%I~Dl006q@$A5NE|Dbnz9Py``-9H%AB0EKlL%nnL(EqHzwp_ALQ?oRn;C{C2r*5spy|d>rC^_&5YZ__!U|{-Q|y`54=Q&Ggy_99SII zRXyu>l%xs+ANM|#FYs~eD#n11V^5$z+`62=$BhK=ackh2OvA@P_Y{MVlRJ)FDg;Ms z^M#|_){to7<3^wxSYZl2?nnyrZ8J6xXGQ4zx_i^`aj#%wIxT!0))@~z4xuN2k6Y^& z()DXuhzB3XSx^iF*UB|~Q_4D4c(IF=u%!JE;s6f4U9rtlfE`X1Nx@7Cjiqi2qc2OvH z?bwutkNXI_EJ-#5@NqZF$|7CGV&LH8Zj;r8WGn~8;p4s{tBlQA1U?SB8taTH!{~m6 zk3&{0Hj*`20Xy!I6^7KEgcdGJ@LY=qTBzK{=yp^EPUSimE0EBF_bHQ}#&WX<006uQ7 z$hMGiB;erV4vT1;6DBzRUBqz_ZXZ7GH6qJ_m%kIGLVTU8)|~Nm{*}mX;b~OoTSa`` zAwU8j2L}!0Hh_=&sO*V~!pGetn<051Vd3M@5_A5fmxr(>b>1P*gm7*!*gJ60e5R80 ze<=bV2U-Xp$K~QFz{kDTsXz-K_u!Mm$BpQdVYFp4{BgKvqVREF^Wo#p@ZsaGE(RZW zpcs7Io-};i$~b_JJ1>Nf+f)D_2VZ6ZeB3wF@NxfG-^Pb(&e581k?>Alywr#nVY%A1d3EX@t zH;bQqK)eBpdbQv*TMCNs-5s$Mqu@~IU5jG=2gSUH zC|nlcoW&r_-gNInyH?yD6NhW48)z503ygO&Y+Ruj+%rx4r>XO#e$_PddaQ0TnA2C# z9_Lm;>DUP*9O{dr6MZv)X;jw~_oW)tmue6?C6AzlbMbChH)QPa%)q3JXPKCRCULdr)Vzj zzT$r+0{1lk-fY}|tZxBcDQf;+y3;tB-=nCtm6Kw+4O#&GZQDKTGmAwZl=uBx)$CFW;MS?^Nwv%ahsLLkL9mh~<-Kby+H>Av10BfK8XDp9}R zwpPDwZGPM4N4YrPL$+GvK8vpyUtf+!|9uvlRX-Z#$6u-dTF)Nj=^h&Gk~ulN8BgDj z(}*gWR%V8qDY2SG;2+r+!(R#Yc4WwWd!D0^%i3a2$E)q6j0=PjcrGVTxl5+8ltCOH z4f-S$rXvY+HU5`47o)u;aQBRpAbww7o&0`Vbu>Pnu07$qS@5g>@aVvyA=_Dql?#`&k3w%_ zJ|C5T7aUu)Vgc?TA34^3Y_xx9q<2C8;C`9x_@Gz2fKrf!Rw1y=c08X(_q-C%J2Ei1 zuN~*l{lm}{NV~87^xgx92l{(c8)0_dq!hV&kmfiU)gNc1YCQBiNK|zx3)j9X~LX#P6uw6qjHL z9EJ)(VKHx|$16+mM?Dg8w;EoOQD@DK*g zq~B55l{D8G#@yJZ{4M8+6v|u2H zWDCREcT{c*;s*~Ml6A>s{&*0HsgsoZi6H9Wz!0w1C9$6jVn_Oqi8|B%ekzEA$#O8M za!2LqLF~caK7Hw~joltb9vMs`w_p0{Aaeg<+nODfX9O`Lhx_}E3}T0RNI(R%?poWheR*#`}`=%hp`2z>e*9E6o+M9#4 zLkDGRti^mbh{9^_iNfcCI6LP?jt})&UTnYgAA&R^OPBO5_Da7ch#fs22JAjX_cRjm z`5?hz0RT5qx5g!Su{J!~60X1{n55b7dvidLL$IU6g-%%afJW;lm$Q${WVqdyFUk~H zH3Klzd!&WYg4kZ(pEIiJ7k(_=wy*$g?2gJq1+jkK|Mp{#4JNvIN9AE| zQ=;!-mr;Iy7Jq)3Q;ZJ2{#WwE;Wc}~6wy}}7U)os8Dk2Xa#@5ja-1bW!qpcN1chNpr z*g`|+^Jl@*YKuXT{`+{!zNLj9t3@1njm;@ZV)mTbHm- zJ=%t($E3z4c%l5#hHu#bq!vnnh*&mhcK-9N$)-(73 zd|^3$#z4#yWQ#zFh2kYC$w4{Fwi~gToT4ex6p0>#7fwC`weL}@0HWn7d;?<(7pISTJY!R*=CaCg#0+jB~>7otN9Op*mlQ1=URGM zhbq^filBUzGJi)4{p|A>-^l*rzwYNnpC4zRzjQtNyAA)n^ZC%{_GjS*8jOg@hb zfrQRuunR$ZAd;sNz6OzQpNm@*Oe{A7nfgqg#gzAK95}!g3OM*BrMVsh+g(yBi3LO# z`La3q2#y`yC$=BL`5Y`+`A&I^P!%pbxu}|451ou9xcpNeRj>^>qmd)G(C3uR^z@v$ z4M%ZI3^25)WIF$xf~C>q!lTL2gHbDpKS8rc4+3MbkL@=700ABtLqG$W;(Xw_848+6 z%E5i=|IlU%MSY4Ops6Gr@0a5|mRqlsqe-SllO~}wDP>?p-`+7>ON8fTZ2eCz!Jprh zHb+%GI92LDhI=nq2}YtAgOR}}YxfUH5Q!cZ{_Yz&WX_(@>XL_X9RiQv_Yd(q$YgcN zbWloid@a#^&2vR?exNsuXM`v3F9zQ~o>__ZNmqf?$HRalhgt09xCE3#7Yt5H$tfi>J8Zbe zPSs7hp2N#Uco5x%@kf^j@%WzwycrJ;QTv71f7yw%L&AD^p9PBfZVJ;;Cf);-U*H0& zpivkyGw*;bDuLU|%sH8vcY*>Lm}|owBo~=nLjS2 zNM-TtJkzu7jLy?~c5d!GbLXz^mjHSaN#f%eWo~U{n9F8R;I?$*I~V7$F!YTTtGL;j zj-g_M$uNwP{qPq=hl8{qAI(A}L)DO1wj%R&8L`3hES4B^VxITmh0*{Mm;3}L`DAP_ z=TR1r>@BEbctAdJmF>V`K04jsPmX8zko6 zF?yJ-G7LBy&)w{lMDAu0_Mw48>TE?R;GhYKsB?gFujK&s2|$(~2w!7y?&_cN*ephEpHR7UVgiNdQe0Gxsg<0d1|=F*eQ z!ck=P$Y**Ep2uq|3ZWBUBH5so@~PUEh7aLt1U^xSR-qkO zX?4mEj~=?feqN9h0KDiO!QGLA{k$K8lzCpo#F%iddgPLgA_oV0@ojin4DpNQf}NOk zti`USd0eZ*I1PgrC=G&$X>|~n0)~ieATpKjDVcdbiKB;(_65|#5WGH|p9AOIOM48> zS+NXWXKmmiT{pNQ;~duzUqRKLBM1frq@SuzT9=u5HK+&A<9BPkGV#b)$*C6LR-e#E zz^0>pK&Nr7z%4w)9PL9y(7Mdbn}yCC7@{D@?Z7?c^u-3DqP27?1Mw6F79)`VDSm)8 z+<}nq6_Zp>56U$x5?V`U9*fGD>{Xnd)Z`pvNv5OARErT-2{;L%Hk;@21b4y&l5d3gbKaS4nOm{ARjG|vyDvsz9ZT+oz3krT z#0Asq5KTk6;-+{fe=pJByYQP@x8l}#cl`(xWbUdTVI=+%XOcMyFmnN%4Prj z7;T2TSTb&Z4W9MHHpB0s4Y+ zT+8ihkRe@?}w53@N&uEd&n2+hW}-&hl7vnCMTh4J^SiK|l91d{LYtqFLI6KmqElr{0i0&C)>h1SIT)7Hf60&C(r zXH8r+mNjuvU`@;ntclkdYeL0Mwnyj!hDxjn{KP0ZYa*6GvepDb&YJi}+L}O4%=5&W zcqp(YW`@?phQOLQ5Ly$Xo;87LFxF~Kpv4$WwI)!TXHEQBU`<@+Srf>Q!St*NWZdRk z69{AYtToXTSQBef*2HI>lE{5lgxy&aC;tQ5O>FsHtch1+(r2q_O}x@r6Vv6Ki6YvnKE?)mamNDajIRf?u3aDWWy;MrTdnp(up7#p>dU#aU7DU78o`uoX97s71QOz8E|wIx#e@E?x)M zW6y<$!dPND#E~`g8cX=1p%cBWc z#+sz!YmT5vEAB@!Bou38(f4VMeEnRs11EGtZ+=Ft5!}&#j#?vz8NVbmzVTnU1F|~oqKi>t_tf4h^6h)x`+d1jgMoV8O`G8W4Xp?g0-M&Q4ZXOtP3$j?#9 zsFbT8i*dK;X=H#o0mMH;_}20trP2QF>clXA!D!bbz!!{mEV3mp80{|@?a2`!cK@*R zUNG9dBfuAo_7{wHdAyxV9|68#w8JeD909&yv{(OrM!R?NM_XO}$0gXIOo%s{eJ3sA zi#*f(z-V;h{E}M4^CU03EZ)iAJl(_ZUHDC7yW+-pcby*f-C3tceRqP4qAGa0wWN$D z)OQ!5q%5w#Hb0G<=J0%tx9EnRt7m=jgs7%$LR=eX@>BA&@^$dEN6$Z+?S19O${IL9 zOIo8$eqCi{CC*XexVx^h5-==Lc6!tfN@-oJ;j(c^)m^uW?$wFW9NhcJHwXm}oyG&L zq_T1;l2?q6^haeKYSElk6A{e}<7VRrKy(+zYbsBvWNGWG=2Uf5oLs>nVWs0sBO6tM zifWdb8YUFa@~n+snq$g&P6@^mz5iVi|a#d-{3({dTYsdhZl131kl#+QHW;I)KBxtCWq1?m7z0ESoMYdA21NO5B`jkuUCNLD#P*I=OnfYp}aregj3})Tr>-m zx!LW8jGH!=WHyH!HTnTxb+zLXDjnztTT&Q7DW7R44-~ph6*l8p9k4%wosQ2Paxf*| zZe>nyYZHBvu)IZBF5A|0vC~7HK10T~K=Y5WHoq`tx)@uDE|mN9sOgwM>yI^u%ub5d zMT<@2j~S<*v1dc_^LQ+Z^XWObPCPf&4$Z5gD}&oz`jSRn^gHb>pVwO$tAhh0HqFi_ zRT6%NF?R4Ta2XyM<+AhXg=}j|ggkM*xMkE&E8FsL^W(VY-Rt6 z-8XhceCi`nR9Cshl54OXBD+|o_A?y0lr8HvIH4y`_o}JvMW`Lq3uCg9Bc;?j2o%rRf7p^9;ktd|RZt!=bCE|Il zOT2FN*6TJ=oyZOJ2~SE^sYdJ0`-8o5#r7;R&Ft_$)qPKDES_j63wL8reK?;vk9)%1 z=bV$Zz^7#A5xML+R?Yr1oGNK+(T;fGC`?ZjgR>aZ>R0EZ9NL7{1Vi|S4mZ`=#95&& zlXAnS63>wa77?d58&TNuxw3?$@)T7E$!yQq*`7^QL}{WTaBjnio=Y@EUgsiIf$D(N ziOq9Ze$xI1l_xcIz_er_?H(o|as)je1BDFnY4g?@sD4D~UkIhZozbL4%!!8|ksi$O zxbjJcGUCc7}`zTm0MxnzDlGfS|} zOPng>j5L{rz*nm>VWgh$*f==}h&P7%EXWQxknCdrQ0S6^yy_s22{O8Ym*I z>1yzice_QUAV0ZqB+V(h5Ek12CJus9-8hz6V?URe5P9nxC{Hvzj0Wp@@O=ol-y=SN zacXr`TN`7%?rmxkFTn(Eb83@q4la7xn_~5Ln}fSOdJC+wtRFu!pOVi$R$G4h_Z8(y2i5D%+X}dEoy6iFW(>tF+IeB47ouAt* zd%nOw*^nkG$Qx8oW3sH2`Yk%jMMuF?V2R#(Chqknc6K%G?D4+PCOyP`-q56+U{gVioH#q;lceR*oK2_RtoK0g1Lv1Jw zbx$Y^nEZdvcQW{RZ2T+WJK-C#F%?1t5W>X^MhAunUMK$p@Wu&z%6n0q!F&8noGFvP zCGuA(<=$^lN^MHM-cP|2>k|9ks`)*WKK>M5r05h@!qf%?R~xb z+6l%zFgVcHYd+MNe7JGX=%)S)cgxos`RN;=Gp3W6FF7ipx2E)-x-f>}P{wTU!(cSYi-}eOrG74p^l3H|FeO4$-MOShT z6y^d2>LXQ-E)glZOF2%`BUYz4v2PaeklK*n4-C~w8HdSFF5V$axL*G%6p~Yul7hwtCYNs>o%9;9PA+o{=5|DI6(&w z9mUr}7wj9r*BnRj1;$`kF<~@wJ9vlDL5Y65b*0f({-dra8m)i-)nA)*mMoSLo+f{_ zr<(LmWgG%nHl6sPI%LysxWBrXY0Cf7O5F_nf9$;roL*N|_y0^LrD>bAX`9}YG}kt1 zE|YujC2f;7fpn6#NiVc?GMP&<&15ppOwtsKmU63z5fFVrL2F-7z#L`$TWbhRE@NxXRw_sHd^$nJ?%sMu#^ZxJ(htK`xRh*zPV(x-{9m5 z5%z2JODN8N6B-f)9T*ze$IqGWvCPg9mrJ?__?_vL&wpJ!evdT~5ysE`weK-Pdfhzp zx#pQgx2Iz>^3!Ke_s-9mK0Y-&^DLmh>xp2`hAA2q_l$aP@9F9s{oX!nZ8L8^`3s^3 zINg@R2O!>w^8pB~L2X_^Z@2|@Uypd^j^|Gj={ZKZ8Y1Cm zY`I`eJ@dg7$!W|XZ-L1#gX=wcq``D-gMYg+c=<-8k$W6d*EV?Ho?ky^+Zez`$o#JM zlA(5d+5C0CXIArHrKi1j&|>_r-RiO$-C=u4+}Ee!q_a-ag7EEWf2pY+7ed2l>UE*B z8Wc3416@P=25i^7^v2n9Me{Y@pNk&VoFW8?a=Q1@^jIs(F8ba%_gQ&U-dA5EhODHx@&LRKxJ9|hRGGoi;t=n!NhkKZ<%m5vG`Y?bOwYPt$_$h-I zH%3uB-&$U>5^Cd!evux~huPqzeR)##4Rs$vi;mGo``QPF4nab69<^)dj-7{)WsE}E zNcSiO^bB?!orKu0a{RktjB40x4exQ|-cVzRpfTNvI65irvh$*JbW(=e2l?sJL+K4; zREXbP>ezjBdeEtl(y9-19%U`My87)lJHn>j)pIB}WqYS{dDPW^Xlm?nAZOn@IL@FP zx2xrOvBqKh$wz*v)qXwI>|$zibpqFh@)@%}^5FnYrSdwLFmdBj%h&Q@ z%_C{E?gu(+HGlPL+tc3D#~#EujfA<{I=anUnVIxcWUNcO$++=&vX%i zR(jcyE4*xy^w^I=S*~S|@VH_o9@o6kk4o~WjwELAscKwI;etnKT(Oy+9*1$ww)g&M zi%Wip%N|p4btX3MWsv7_6O^-H*P|l{tef%2KwR<@UN)r1)j72q!*g7mTdQfPjqCHM z&ESr>;Kv;pN!$86tVQBNmga&R)PJ6jBz6%&O_+^QV(AK|y2;xPF=)dDx z$HuR|3@19J%nT3BPPXZ_nhj#1~CM9AdT{cR@_Z>#oOIg zrzC?`TcOa!WnF`57R^cy24bXyU3RjSpspf3l=?CD?C$B_o7r@zPfG8yb52CS9Aq!gH#4FN{K}Dn_b03i^P@ zJuB{@y1WX)c4Jl{nEh)kU??89FkR${7im1By~*CUp_r4O2W-x>2JfAAZ?~u7=LY zA+Pj-Cs|2lalX*ysP3FaS6KHot`FTJ&xL$=8u(QTbabP!(O)YNRClC7p)JTo-PLL6 zF7Q($St%b^pwDofWe0L0A531(^SqP`J#V*nEHFGOk(&)3ux=7c!5WeS#sXNCvd-~f zu$drb8s%nZRjN)MOs=lI=3k%5ps#j`jTEE9zlP7@skLuMRLAj(S zXRNJSgVB&wDEW|~8(uo2gIb)jz`EtmEhHd^5_Kk3^~D;t8ia%!JOiVbZYot?w+cm) zfwL+`VPF-BIEq9estpfV)42Ow8WhQCFtMtH1m!}Nn+nc`5>>9`Bx&$#D)CzySfmQ) zhGH0mVO6%DCK=hPtBe2zLrE7mJ00Dl`%~Uw@79x_J(dwx1)_=<(JIt>2wvHcFAhs9 z#tvsWSQTomLaj&Qk?St4LajYrZ8nQ!Aw`*_3biIvRH4?MzI_K;@@2VIsP$0iW$ljo zuN`VFB8(AotAd(UP}8EE_3=VNpGCN^jD1!?%@;SQsp#bNRn3F|n0Y z43`KeNzR!j?B#?(fhW0HOuK<`#h9|gfzxazJUCmL4`V(Ntcfr!QxKLzmSfEYBZpZ_ zQjwV!F)#}gwMOL|F6rv+ZW-g##j#gJkGN7*^DC8aSowzj z2~t`iaD+?wtLeIinaj#ItbD`DHyqD5)LkOyaFp)~@SC#l3Ro*%epf(}k2|rTnr{w9 z`HF!r4jR8=II+G!K*8z@gyT0^M@RZT{Q^PG;f%+c7Z+Y0J{SHVzM)pqGO>o&rZc`6 zm@{4;sd#)WebfSU`CNEstbmp0s55f#*I53UrsG7Bx5!!RU6Cm|rQ-=6@G}&0Y3h%K zpPJ%Bn)_(sd!}-sBaMZZ@TWzi=*eCe$U#0bDT}QpkSR}7bZSJ2_ZKC?d5&Z4dn5U2 z6)}>Nd`iSK#XFXdsWTMltZ?S6T==BOS1KBU_qYx!9m_yD+bOaMoai7_ds4YiY=c`9~RyPzj^M>p|IbFKQ_ z!tkI8mdCc*4GRfSz}Rz>^Npd1xo{j4PA(>rkw#hLN>eE~ zwUh!sfq~@UHb{dr)j~Q@qgn3kiq0f6`XC2R>LoW_&Lwm-2ct8NCRo-GCCMQ#_)^yd zPFz*=5hpU`{*rgN1L~h67Y^u@Iqrb|$+34p?G3x~KdV*DzBg?(Q{6BTSoxoo|2g^+ z;%X1Sk@l4}Uy@j(Z~0g4;WuWaH8)kYhu=`kOXYtuj92bw<$hM~=W%gA)k8$)A%ZI{5ictUgkOM*?k0`nH|C6)9QD*#{5w+Zv-kPF&+#$f_tEpQ0+{Vz4%sNpEgW7S6`o2U!PWApO!Jkk#{E2dyd@I@~cv| z{3t&o#gk*qjUU6}J?Ws4GpcGjGnfSiS`z6dphC5HG zwfcvhd>BkJ_1onN^<<{_b6EK*boVK>HQp*zUta)AYqCMXuU4H93MIESK75DsEbuz1 z<+MAL>MD&Sjkcs`AVFtj!Y{j03Y>~Kkg7I=UzVm6a=}lVD6gzbja3$$L_q$TZ2WwZ zK6zG#Kcjx^SM^&cAS;lOg2;HC7k96laGrn8izw*ilkjwwnMI#pn1WO(`6!~P11*TI z=)nTzi%+Rt(A3=Jf<7k$zh4%($A6t^@hP>rUJy;9c*hsjVw|ZY=0+gRKp9|a#7%~2 z5H6i$2(b879~A&D!x*RU_b|qB_|+R8-1^>a{6NNWicgHQ`A`{NsR9{QAY(<#(9X2J zO&)Lc1LNWOS3fX5EE-b%z&Jm7QvJZVjTyt9ZWczYeqek>+x`{HR@! z)yzANY!%8lej8~KNjl!445BH=FO;$Hi_JJy@`!MW@saS@@SPMi5M9m|bX-_mNqJLv zR%~jF13KtuJSe%jxN~5+n>d%`l6T0N&5}5)6nTeok=^@hW+ScSn`uL(^o6Um!n?y; zL#BR+SAL}O;^R>|ivb7_>~MW%`dOQE<+XsHQV67^vd(u*y$B7Es=x>(QkKf)tWbhV zfd|K$O`5R4g^pOIO5o>P_{uL<;+oO?<9&eAD7rrzV2 zA0Z8U!N}+8t4*1z)!yYyj2yP=quA=B*y^L$>?_wk*6xlop66eBRv*QV`8>)^Recnj zf`$+5={ba@;lm#07A+Wg0$uMp@;dXGaNfa-nQ$DkY$m+gzF8)V9jni%)rKr|8JAOi zu|a=aoms0Dd+i0~EZExzclUM;1>tNK7WD4z*d3SCYBl>%Ca%t@)!3UZF3zphv?eI7 z&vP#+F3zvjh?KSUcl0dXRf~)E{?YO~Raqzll9D}F`QmUlxae?;}TuJ@*oeP4T> zi{>@s&EXq1cuDw%Oa|xv(QxdZDPEH{vEAYx(^rrE9zw+ry}u+b)36aOcTdKAkQ^sx zt8$qtm#K1@st;samaSSIvlOGwrJ_ zyG-$-Q_a*>Gj+$+XZn7hsav$AnR&Uib`j4B%={Z(6ldm_grUo4VxB6ciYIZxllxv; zF3U_*$}4HiVliI_W)_Pxshl;-hh)iTmssZ92D~gNaB2ojWeqtU$;d$(oLcxSnW~HC z%CsOAy6OU_B&4Oh(1k@OmuiPr(nPaxX|7UoII9$NU}kT)Hz`WpEZph(5!Ejr2cObc zg;gG9Uq`K_@+d2hvhpY^kFxS88!S-g_f(T`^-fUbQH~dVIRUm@@)@McpRD}J%AY)r zD?b-cZ04god3~(R#8c9mPkCawm!%b({5lH07Je72ALVgz7RD#UHoOs-qi&XiUP}n+&^`c0F zUuXC!3x5@l6bbO8wVL2V_7xxZ`N!k%8z%NEZk4B4d5YEMyzM)OZ@*eC*Q}OnR?9W3 z<(fk+hqc#PwK;G0RqhGkDe{t2`H7XESow*^(@%V^nXO>tZQ++OlZU^?>P`GLu)f06 zESf0!j2(N*$UEaKPmQx?5b+1|ukiSG4{7>B5c%TAN0Uta#N@Rx!v`m`jHF5{@oVl- z`cMj~Xhkkk!AUD5Ly_M{swBtc)_Yy(v$soq}AAGpJ%1!S|$6!@$yplwGUKosunX<6Pne8W;LN%O=vbu=Z!dH zRV|Sn-Eqt3KdY|_$NU&1H&yjj;Y;yVp}pVuH)$QUrhFG(z9(cYbL_&416Q{TFNvdk zd&nBc?At?j;Vn1$CCNvH_yX>P+mg5PQY$a@IC`l|zSGPPm&i-fTpoUtd?@@f<}~rb z@TWLK$9u&;B9(c`+-oBhUrauuFkYVgRwU!i;juzjDbsyUlT^upPO83YOceLRN*@!S zcQk%$7Ih<7&&UlWLg^$Tuo~gW4 zawR$NBSER+ghGk(j+1{1k4ltQxhBX&nm9S5Inq+OT`V z;&XDHCq0S%R%|+3I-bInePkS$r{cH|b+!-eL;~6Jjad*Fnz5ZwonE%RQ2+iRXrVpv zm9|3D)0E9Np$VqRwc0IOrz91o)O+)%=dlCQ%Gd!L?$pH&EaTpRF3M%^on7s=rw!Zg z7->n*psC1~JASpNeP~a6zX(G;mVuN5Lj(KzZ27ga4fc0+@B^G>t{pu?W_~2S+NApYT1TzWmWV zs#;2IL5);8XL8BHU|C*qBT2v-d2dmRNKeiUF_?>rFQ#SN(ax!FAWahBL_=vyxzN!z zm5V+|9!=V4baIt3m9yS!gW{BPk9ACifwx-s?yh|0Q&&E9U_@?vh8s z$X6E$D>qUuBVm+dJb5IHaEp`5NEiW^GOQ9?H%C~7tNO5tCBO7xm8ci}i#SYKFY9lL z@I}3_YR}#}mY%&OhXs!8#`XQE6{%VIWb-BU-lqI9`B!*l@)G5nf#q!`pAD}SOmrak z_MwQ5hm5C6uH2iIr>95_{$B1|g2^k7y`fx5z%RxB)cig;@#p?3GWGj7k}Mw1)JPsI zSbip&$m`||MOZPKRJv+PDtW=2sZsJ#<%i3ER=s2~IFTR?r8yq2;w;#NRK*MA{cV-L6pQTIq|yYZxIwYwFxl? zbIOE*LSCfNUPSTeW9K^7K5o#H1;DBo{Ck}KQ5u^JG8tV{O-=>{AtaRMkqA=xZD-D3 zS8e8HEiBo)y##cG2@3W|_Xj0IrHU`UU;$p!t}7=_BnG*p9R z&fH>Ki!MroE_a@;qGLl@=oE^lV*079?_wbmC=-3(z#qB^g>rs7)>I1T=T#oYpm3F! ztDg*y;;IBP&`J8i`J#lQRJzKQWNLy*D3Mzi%*_c8c1aWscA`*c=n4cjP@tc}e|_{a z{Rikai%tt!6N zU;!K!3xV|R>+EW&euk^TIK!49+Jm*)*WpCj*MZj?kJI?FA-jkr55%ABYN&ljuPsjD zvJ7bv#L7Zi1SXu5r9xt~tA1Y+6GFOJ=29jR(a=Ty%?*oointikv$&XjSF@)NS6}ON zSkN-~;9z{mLnb;?T%bnX!WbVIe>g&4%y0uIgu+C z=8#mkFo%Uk*}@!xWM#z6f@Ep+4KcDTVn&3lE@H+?qI~rYtAD6wvHFHpLk+8MSPfMF zqh2fs%2(g8?kD?EFBblkKkCK0pSmCQQp6{0&8nYr^-Wx;72;>S;zzy85RH9tL)(_| znd}psGGJA#$|~9D62pmk#Ei@-VrDV2a_tx)vOHo&d@DuF=tz0QjC>JUBHmS}Wf?IG zCG&_G@v(-88S9D4h*{VrB4%9GMRDnb+zs75-Fq{erU=@cVqwzUVGEPyH7-n=Uo1?5 zw_KRSg{jijx3j0OD~h88neGTG9wkp(v5^Kk@<~lDEHb5uJa8OboX8aQLOu?p z%H^CK(*`}^ffEVniRTR`zCE>2I3#zYkpTT7=a&xO{diPI9U{XNME_o(5Z(a1i0DQ zL;Q-F!O5x*&d}i`5=N@jQ)?g@`>FO)E4LXDGISIFGy204G(OalauO{;ghgNlQy8h> zo4l?oyhs)BYpH%{vBX(194mShBU4*S^c{sD%*9@lszExh^w5YDA}=)~7pW9aZKweh zwH)CUB#0CFl7Mp5mENWx2D$jvI`|ciAoUWr{Z2o_14UI*RVk4k3|+aDO6!uU-b{)2 zdfq(OBJUg0L^ApMixTg5`Lc|@2h(ah+KSW^ouo#2?If8qeFXcYR;7;UbD8H=Z@$YZ zG!;Cu40PdCFXUhuSwOncAE_M?L*$FXS@$iu;G~Y^qP|GxoZqFgGc8Lgj0i{obB0bW zz(|0f^K1N!J%z=ICW^7@&Y%>DT_?JsAvTeQNJBUA$lB5q9^DJ7qclOXO3_(%pg%yTF6h7tP%t++ zWhGAJ2oq(dT*?2DRE>E}&Htvp_IhXxQK~c{FBHZ|ny}le2b~%x z9&`|f6UaK=HnjS{b$wj#-7n1W_(~4B+Xe)bihM!!rymX@@Nh>sj4wgQYE`FX! zaDt(Lk)SjDY)r*$2VIN0NE6x}3A#Ph6Ua-dN>Pc(SDUI_&g4y)yuzw=juS=vNNea7 zNQPgQQR(Q6o(WSfd9iS!C{=BtGpXcCXZWFupCJJLR4y2s(@Rw@xzI8`f4EF{}uyT+XT0pi>uQB0up2|h z_@O8@l>%qdvjHc5>I+66&f>w6U`iJSt&psCmwe9YbtlJgLeJ|i&D95#m$p`|DGT|k z1-OBp^l9LcwPneM8X-SPlg=s;35-Gst9E188aebF&dSA^dgZMz%V=~(bJZH%=#6NV zzsiVHcF46#xu{D#ip0v0D&LUYq2f(mdO$Yi9V+y#ZAq|WwEJmkim=8cheBXXfM z64K2H=5|HSUYCiYlFS)toEhLaUsPaj1}cftRDh1FAs7sg-fLunlnPy`$&_fNL6iC- zN5<9dO^KKi3F#(;2Rl#-wSbeew9+lg&6(D|VFw#;cc3MLid&Y2m}(-rnux9@ zq7P-Ju~@${G;kyjC!4F31p9fH@yEGgLt`mG^DIXygNc|!$XZQA?;U-g$`RY9nutD; zCZcVkOkY~q``xjdi7sCsd1EYJA4ix{KEgTcY9_kBhqw4@Cfc_I`M#Tp-te8~vx~S2 zPCp@s6goHJn;VNwZm92UPy!4l*JD>TCy znZlwYb2tyS6i+HqrH`g3!K1^{li2|HWlOAxE&rWA4c2;JZY|ZOp?q{iuMz7soKrS9 zl-eH_k6MiLXeAOWFITLevC;wslRtN?0|l|VWW22^OQ(7qhrMJx;$`6FDw9Ka4ITMS zi#0n(oY!`TI+Dys^~Qb#naPr3DAjWLDoRmBDXJ(%6{Tpfz<>EDMO_8EhQ@h1kNC7S z5w^VcNFI6?b2^cCWk{=MeUhh~*5O^NSOX5s)_}8+w|xJIRj&Bv*(zY%_IwpEi^l7g z;j(HxUqsG=@Y3Tat@QX=&s{fRWEr))BkR7kh@2(f*&=e5c-Jo?hoD8|fP4`-*~&%a zkt-IFlT^2eoR#9)B660BXN&Douv}7Zdr_t(&CMs<*XVnmz1*vx^781tHUjrqlM!v`7W1~$3;q^&$q>r z@^Z0wEGaKt=4?qhYric|vAY5RhLSB?QeG1CCFR;%ffy6n@>Sz>y?oVpY?we9biuk3 ztV?P?g3#kIR*gs1W7RlU^{d1!`Ndr&t_=`2J<S!@X$uGcDWOwbqIDMY(AE5vv0OE zI56Ix_@yU2&O^CmJYtvGRXPG6(jMQ3IxR8m-Qft!D`v^Yv)@SNRSs`8E#EVmo5%af zk-oyJPue@p(MiyI(9y~8cc-HhVeeb*Lmj)0PD=5fc63tW-R|gw`1|0|iLiIbqmxig z%d<~@*HDZ3i+cxU%cBiEvcr1IfsPKA9_=uJ_F4NlPfII3I9qrN9*29_L&TnVCgQ)U z!+V&&(_`i+{oNzIKhhhK`^lPBAJx6iTxoNxvmax?v#xWc$NC!$3es=Cx<7V49AKorrb}?QD1y8%)ug1~N{c7Co%&*4FF8ykp z>^i?1KfCm+ak5LlIxgf_$H&>>SL0ZQ#~gljy`vreY=gs$*ITk>esxLA{c19&PqpP^ z>z6zl{OZzomtS29EB)%wBloMv*}pKV9)2}f^?tP_C%^h7`&kIPnXuU<#dp}P&o?J0 z3x`Y27mM}fUTI!c?ig6^p`1{;V7S0a)yIaooXLHYbDA7j=(xt@lFLah^2%A01Ywn^ zvp9w2uuB?pkd~ItCmnG5z|?|L#7Qo~2)V21I+zdG#80rEzQditlaHx0=+lKKm^WBW zVfy|!S_a+HvA3_gXXkjUp@;VF?H#@t`YvBdz17ns&L4l$yc z*S7KoUxHt`KLUSJ0cCq7l6N*3Pi*Oim0b<3xjJgxHpEu%cZ z~(7Sy$mFx@(6wQ+ke>2Urqw4-i)_d4RZZS!`hpf3Wm9inLdTWBq3)$Ge<>D zcrrZ;1w}avOO7TRrRX7IWWvcA|B`A;4w%o?bN^Da%M zA%;mSA9LBNmAgO3Y-O>cxBNA)x>DlN z1hclkll!i-c9O+5)Qr#qtw#U=%r=jymS`fAs7*M_RMJXSq+I9<9zaJ9nTjSA3F1L>S%6fvVX_+(r6Ln7$@C*iA+&%T>Bd<# zq7@V-p+=OOEUa=lt6^1c(h#~VpdI)mfpU>13Y^pk3R}OP=bH5RS)6FgW~b=JStZJn z;M_`JSufR^PwZ(&YC-9wA`LsJzOn-!#nb7~**ol8VeszxFX|iE7JdbO&;i}ZOI?x; z)l!m)eAy5l>Y~rlX%}`$R^9o49M0W-k0Uc#kKWj9w@a7b_r5N`yhj#VMC*>Mg73s|_wvr}o6CIhNw0n)_6s-YW{o*1oS6J-~CKY}7B106)eNldL4$Gh? zeF2?QpOXF>3Uj)2V8=%FGFk)*J(RPs&gh0Us3UY)Ue5tx#v9s&03|)-Vn1snQ+>&c zKG>6TC5N)$CzY`W3m}oHs*xH} z5oPU1t&T!ow3THp@Di~J0~C_68xJYfSIwF9M=LP7s27$&XG-KpJ5`Eq7DG`b=XEcGr$2`{*)`nA$+J2`rVECyi zlF2Li@aGoOaFFUtSy&GqbmlguhoC1GlZMb4G&$=buEAM137q8BgMhNIOwwE}PAV-3 z#(GGjU63Q|eNLTtttUWAcY_R#AXHBnK~R*LE`k!;Oj*_AjOsi~;74^hHA2A8y9>or zFpcVT%JW5MXa2rV2i$WkrvozodHh*{VIKGqgzw3cKD{}_%{Rwcc`!^Xi{>0wy&?=@ zs@eCuM_Jwx80A>SpGGuQu>^*%sE&3G{;2EPs+Dt!#vEyoN^Mk4i5$tTSxt$I_L*BX zB~nd^L?phNnHY0sBHPJBa|C6qpD#qpSU-Dy)W!NqEJN!yE6}yG*dWxl2hj=}rVffv zoM6dz5V62~n%{_pYs?vBkz~UBc_f)RfVw#fiqGdL;>#uCq)Y&4<{|dw{F@z>8h zK+wzsAfI_4TRHO(xnkylq`H|0CJM5d2fj-xzgV)(KhhUVe3O(-FYrlH-4{#tYmq&B zx(3QGk}!Jl#S)(*HGHwe7fJPBEb&oN{>2gz@a&5v7F3mAED>U_`(lakdili?@%ZwK zrMS=+OA)D$FP6%6Ve!RM`QcEu6G*vBN3mY5WXsm8mBf6#ns)!tP9U?)TAli0i7u4y z1VR$`w(T}TSEA)hVb-;@6G-uSQrA#hp$MNDSvIRqFwIeC%=-drJ=O zCvx}n=H>3=%6Y?)laG$WCJJ8T{q6+H`V!|thH2j<@ z^LcXXLN!pGa9xw8q$IgsWEPgODk)LV-4>FSlz))ldFmli^>84aD$e~_I zS_+SHw}6pA|0d)OKY8^dLh5A-rJ!NbpU^FG;FJzJDs=*Z(d)xJ5yR|B^X?(Su` zm7}`nt60$q8Y?>dL8e;i8cR?g=xy(_yW$Y`3L0^=cITK6`VZmGIuTa7ma!!N0!ZPf z;k(&t1jUCYLe{FxEg&$I76Bu^RTt2*MXr1LaPk#&u|0Jj9E^3gq?LgMKHwekXQ zl@%!RkBLS=2jlm%J_Wy4F% zoOz04e0OP#?Mw8&iF<~KI#01Iv|KguB7ApQ7u%q#)~}+l&ot92ikEEPSG(Qf!^vyi zb-ZtT^J;g@aMUVsdrHo!v|#ELjgX_V$P1^Yf1!YxmPfuMkP0WM(B+~+kuxi4 zU~<6^9bc1aUi8tFZ>~S7(i3T5^whMo7B@gaf^;C4Bx4gW<>vh807cXF(pI{OQ+9&~ zX{5@kXvOCd(x1{v)wDJg7C^|tDof=;=kp8fDN81O;FKmubCzzBEd7zM(rFiA=)#;; z3(+Z8l*HLU5uFb{pi`HWOZ6gE{KIvCPJNY%J=K@sr!~`8V5DLh&QK(QvncvXi&CIq zC51;Qom8R=(A7q?4l+fdUT}hGopcwdU#W*ke`yX6<-)HIQ|L1)mpg(I;YkwIM(9uJ zl%+nVvQj`sdFhStsMg3ARu7~s^&fFc2c0Du48L-5PRk$kMT+c(4DCd1q5Nt8nq~d5jHVPJwQ7eee6FKk&rpS5M)2F@)gSxtOBGegckHdADpgda zimJ5Sxp!dqVE^!~o2#hGSicGPeKzl3_f}L+I}Q%D5A{@AUhT5i)G7+o8(&F8RHN9- ziqJqA`#9Vv%%O!|F#mA~y&R8FOWj8-rCH05STKHZv$n04yHxM3H1>i7(NrgW-q$#)|mmK$&vLu0hcdl#xA6&9`Ra5hC zBOjTp?w8xhS)6iRoh{r<1#_UlBj;E9I-Kp2({~K$kZYn^prPm#Dc2YZSk5(P>84t6 zMn0*kJE`ao1&23L#0f=O;m(&o2s`29HZn|jw84Sx-rKu-uw`J^;J%jrfxY*4bquxi zuiD?z-!;&^cYxne=wH@m0p*m)whI1B6Z|TI!2?6~QwkmJoh|lzFg?9J9qla*6X7j) z4Xx|iuV0Gk>7yU?_BOKcD|dbS4#0?vc5#mHtR2fjYb`@81{-YI@nC!3&X$OCvU1vV zU@;57pV*%nAVT*0m$xlrEuCuYuP}%B&A}LpuxnihI+hG}4ee_0>+J0s(9A+i3DCTn z67VH$16}bIWXu^XN&7EC(3Ak6oC659m;*qBHSLmYGdg}pDxW}bj)8rB=DIBD9%$dw zHOT1dRBOd0`@04Pd-nDvBEtIHVKV}vw63RPL-VZSo+cR*wRG$o9OCbQ_xP5ypLg7{ zgAe%Kz2v|ZYvQKOZd4l(=z&Z@`4Y+7%XaR$tNF6RD!$=ZtlW}?q@e=bjA&?1aFCHM zrOGDI=_9gCIj%MRuGOXKGcQqHj@l@(AeWKSZi}>Y$kE!mUTiIm&(?e`JG=Vm)>-4p zfnucU8ergNpzq8Eu`5Cv8s200D(%sGeShP-*LFZB1lM9K5!xpF)rk4vD{ zHI_f>H%<3Tb<^+$(0H@-JluR;(VlLbq|1*SdtDxF{wr==8TGg;^S0(cOv==?Qq$MX zGEHB5iCU?8O11mXPmcAJ@>kh`ef>j&NByiCSv$$ihMIYT2e<1PWT|)ysef$RTnEudVNes{EMR17@$ZZ;- z2AN|Nb+V5Ih?d01moIv4v{}T9FE(u!F{Wrm^oINXv|tO*B5U0A;i>I`)v>2v&)D?w zC7r1F_!9V{e|)Ln&;4I%zQQ-8rPtG=SsX;~PEk_)Fx}%sc8QO&Sc$uVaf4WleKd8F zkCn~0`dz>OCF}2D@bug^YxO^vyP~`2H~$VVkyIevyr`E3IBsn)mEo*`Ki&9=M--(> zP6MYTH}I!i&>2QC-0)oa5UinA@{@}ynTK*tIw)@{YmB`9Sc^wrsx@*5bd8ZK=cE*I zrqWYtq9;w@5mrZ0-SgpBSXzx}fxMI?pAvIza~|PDX=uCAat)V^1nHLQGDcq6M72oP zKmzpqVVShbc~V`(pKIb_6x~z{{UP$IE(NPi?x+H%LCF-0Hl zLuAk1{awfQDbm}srw8v)?wc z&9<+tHTdXer(WFJ@)pyOk_H3~AmSe}6;2)&de2s_5zlGJO2deTT%FUKy;2*_qdi2D z%%rUbx?Im;6l&{jG2yGn_-%lJ%-)@{z;xI4=EcQhX!yXZ+KHayRJ&wJcygTEe>i=C0l`G^v7Y2ZWQ$*m!*UL^h56973mPeZ*@i7CQQ z?X=rKDqU8}&nee%X{-lGoat3zI6pkH5)#0=?}keoo05BZqev)vc+;O8haO)4zT17E zdCsA=scN0tQMST{v`GG<0zKJBn6p}~p~Z)DsznlAzx&Z(!z446lDA`?7c6a4o5GnW zDVOArrW-vc-Cv{eNWM!>E!%nQTei2WFCV*hPCdMql|4snO)NR$eTNMj=ulXVk`4|0!%8Xa+&t6lJfV8It&j0k5fWe0G-8B=r+nWr50MOix!pO$ zLX_-o;jZBKmG~@*J3*i15dz?oMcz|+HuIiJq=dKFET zSKzeuJNk5L!gqZndf+8<{~BW|Zr}Qc%&v(x6r}+>9|rOpJ<-$cuAw5)kuV~EqUZb# zoRX>6*d!mGG(@FgDe0LgKD4_>UGS%uh@_k3q}MB&B*{@JiBk)M@&PZ`UvkiJjE8E{ zKd*1nHkT&MkenW3Nvk|>(rv`l#7Xx8%NppJ=Mv_u?l_`VM@!A+q_TMU%Vi<8L0Zl) zEFR8DH*YO-BM$Pz05#SfPpCZtW}$5DWbor)zJlgX5x&frI40FvAGW__S}?J8aPlnh z%anIA`^oz;sdn&;S)ibwk?i%?+IXasIOVfaBld@5Qcv>%(SH^fCLKJ_~%F>UH|% zU^^&x@^k!+`cD2Er#hW%wHhk|o2cU?e!jtuCio|<1r+qnMh*JI03Pwq|FcF7`cr^{ z{#B!jZtB)96aOjErY8TCwW6EdPPyNhIG~?8i@(v1r)KSVT1G!DqfcE7|8&)N>IN`n znSG|3{W+{nrw##-xsbA^T3f6NY_?@u%jz=qqu|pb@2A0Y13z2hGozoFo@c6`cppBK z{RC>4ivP?T!IWh(&)f*MzHQk0j2)KO@U)QJ>)YVa?QFY8L;l(P zs0sJG=RMo{WI<5Q#XPf?KO1HD$4YmW_9EWaaKQ6M{ZG)$~ zZauRcyAC}I63>>C=oi*EuApx?eTww#S)F(e_FMrzKj>RZ`q}RT&xm?`25fc5ZfE~F z*zPsM{~~_7zs~*+c$xU8g$_n{9QK)ZEj-berrn~vR!7ItXQtg+%AB?pZ1*GWGOaD6 zZwK4GVDw!X-Ecwg2itTtdQQv6foVg~w?*DBfX%iah389P4%gZG$q$Iga6Gfl=@O6C#qmk-Q0_T4riBjY^kzJUi@b3!Te;_$ZWo079|O}*YVUylHn5F{ zCiADjdxX!;o>}KwTgUx%?ldrm$#gtVGS9WPz9I6y##zK;Z(b|BVIt2L#*?P0v?Jrs^i|NKe@;D|iZ`kg4qyHZ#i~f8@|EG*@<7C1A-IDIj8}u1rf0I9BQprDKO2&^}i}sqa z5^Q4ywwkd9Z2B{%&9L_n4wqv#w?2%XGwye?=)K^$_huzJOFWTxq6&pz~-ITy@fI?S|tD*D*Wo1N@^%yIO|nZ^5(<=v9;7>;Me z%&i&SaEzrh?-AY0x)&V%bEb`T@!X$z5FGl?wE7k@?S8bw^fYWXG#x(TRM8C=^iPTI zWf_ifd*&a5i?aR}T*!~U;qna^^zwb<;7r4z+r{Q9aG3myCvqQsME0NctD>76$1x7f zo(z4Kbe_GqwT9?d*Tf=+@t^6n)O} zl0Jt%ix1`eb8Z0JI}GhO$Hr&lH~g-Q-*CbI3*aTe^GD!$fwO)-$I6X|}3W$4jOE+z*TH{7-_f5Bb*LVho)70{9~F&pQunWnrs%)4?2;*Kz1DZ+1qv z`?{bn%;?q+V@#g6R&?u2j^iGgSJcbO%IY=G>Sg+1hj|ZVc@4+CGw%mQcikQW$GhUZ zH)nL~d)B5V=hs9>hb=F&`f|`eTOZi~*d+VU`vkZs*ZP1RrnBKw!zTY5T-fJp#t`;- z4iIfOFUEY&YdG$mdDfT07nr{kY~wb4etz^}<98fm+Wafv;jlV74&CP84l&*<=3CwE zFdoC_MT{QsWu7>@Uu`DO<@Os3%&L*{=09OKM<8{h0O9>YPu z

m>Etgnd3V-2}m0&yIcf46TT=Iz1T%Swc;vC{J96Dd}c5w91OP&B*A4dO6Y%Gm? z_EL)@g`Sr#0^31Zj>G zd*HD7rQt_-xrS{_L(fb9Kd>F9k74s8jBb4+Y_*^n9Q}&+)&alcOU1vSC8HZI_-zca z!}tx`xQhG*w>VXF!;6A`Afp>DINk>q{7yzUT$KC!;IQF>KgxIvM;}=5WpGii{~sLh&kMc>j&c`H2HSx?jzj*! zGoi)%|3b!TKa9t4^o@m=Wpu;Qmlj@~(G8o=h;0|H%jkx~ZVT_q=!Qf8g>B%d7X4FTYcHdlo$ava&b#<~ zK6!aYFZ`lo@jPb?A=B_2<-P1>PIlWmj{EwuInbkjUbYb&Z3Us(^ zFF3}%%Lc%97?0t&FD`r3siGS$WWH5&mucAA-DJKyqrV4yd(i(8T;#PrV~3SxIL7nK zzUx%Cl@A+X!$sM9_@YVhSl^?pMe*!&8=B3R$%c#Ofs4EgGntFQ@r+)yS#;0)b?~&v zYxW8MV$r?gu{t`Awp+A2qxXWXKbtrk#`9jV9VXv!*kREx zI#qPT1^rhty5Z=^hv8qVUxuZ z!BO|cXIp~$e{7xr}ZrH|Z z?78^2ME7zH7v+8?W>#hC|OKaql~Q2znv&Z5hAe1)=jNGP+@V zE?Zqb4=&1jI^!{18~1oXy z5SYX1PXJo7u3%Y-oq+X}IA3 zx>Kd6;X+S)hq1%>4F~_SW~VycaX~*hqZ=;habKH0%O*p&aTwb!vu8Ai)8_$V%vpww zYaf8;ad`gJID*IUYUN!%#mSy``BZQ`TbFla^iFWx*UKLf-Rj~v-V>H*ZMXa}c%pwU zw|8j{({1?&0O2z(w`U56(+x-5Z~6arvfIRQ^ws5=Z5_w_#fo^2n?8<%e}&bB!{j>- zo2-~Fy7LVHj zE-OA_458z{7??(u`w|2uay&=>@pok zzgijZe#XCY5SNwOODfLfupTg&d=xz!14aJa&<<(0vs`lm1Zjr zm-7%HVwEd@*~zA7>+9bMJ^&A6#Y(e}y${gmSAJGJp7(R$H9`NkjQ#>R?6c}D(XA~U z$1`P>`IQ_dXO;B_dsj#PswGYqeHl3Ji&gR5cm6j(kGik2ah}8JZv{j@U-g(#gZ>!c zHIlzND|fY(8@63N7tCRDR?h>(b7A%6PWHBQ9Q}Ot4H?~VLAUqw7^_!r7LUu^5!^Xbi?t^v$`kaHyr(Kb)V=izaQKZb^N)E-*EU=t8E;J=i2ID%Xkc5 zL0i+{S^!cZZ$FSMTc#8G~ud((G`kL&Se*Fg<@kbkA#ci2k$Y!x`QBc|kXua5(=b z00sTiP8R(saExzjz9_o2o#R5Er!#)Tac{24##_fl9lw_GKL?KS`SNVMbv#e?x_qJd ztz5^(Pkk?cMdUTSJL552@YwxgKBMuNt)jgyf4lgt?w8v*5O%)&zi0IKfnz+m{I4?l z*TL^W(j&ElSJ-`O2jj^brt4swrx$-^WXvZrb z1KVMFALDP_?^phk5hJhZJU?XqPjK9KSAN+zI4rN>u=$nN2MYOrVGJC`V>q5!SNW@V?eH@3LS6LmSURQlJ<1rj|yXtQSY4t`ubFKe9O&tImSkRYy~vjL znswkU((@X-f9){3;X=+&IaPGSSBD(yw;V3#89?}|*Zc!`rFgD29TtP>OV`@-&JN@| zj`8-|PLZ8%INI^rz2JCHyVmZt(C1pS8;6y9t&K}DK3w~L@P;V2cs5zN_B^t~_zg#_ z?>c*@3YphUG6u|i=KI##7-I)?$6F<5?HwYUoV7O2+$}k4e+6vM8ro~^m%uje z8P3KH$A$cF!DD?Mp6ky6e-Qjp@Na_cK)&PXOV@uvWTzXB@#p%mkLh#0Jr|;0H)P}g z4R$X_-@c(wa*Y3mez5fi`pFI1bHQ=+hZ{aFek<2;JdbYpJ?Pf=(Eo-nf}@|`klE9* zJr_*=KVi0I*V*$lbX)gp8Mgiq&%SjwM%jVRj^o{R-B+MRe^~by;CQcC zXSNNWas5ddwz`B4>z9H#tiJ1)0nGmBzuv};&~5#z!8QhB=k;d8c#f>MXK3iW{#_aV zd2q~mt+)Fk+H3u%!1nw#Ie!SY!^$-rby@$vz)_d=RC{ja6!Kx*=yC7A(&TWMZibtdh-cFWAqLN; zct^#Ct#5oM^vQ0wgPWcJ+cN?kHpQ4`ayES;qkk66;dFZjSzk4N>yvgEzhN8O9|wOK zY%&c$XAF_oaQHr(zUgG;H5~6Aw=5Rj%5r>*%DUyjjBfP}oo}&m!w%y&9BXWDdB0O# z=UYAiUJ!Dg&gh2YzP{z}Gdgzm@sm2X+B3-f4(if67aaC%onP{|E&xZpT33s1`Z$j9 zzqK{v-vW+uTX$#lUU2ByYHehfCdY8pxAkY8?DchA$p3ZGo!{E-a@Du>9}|D;8y^EN zLneK@^?C3t@ob(7j_1PWOTqE(x_J?p!(?u@c8O=@=9`@CIyf%)Um?2lZvxx>jtw_& z&*+8=`jF_}C!YaNmTsGkZto11_b0*eZnoL{^sxWtkAOM6tS145OzWR^n9ha^-9GPB z@f)5L@}JJ=hOZTU%aoG7#q48tMxQMUMR%R8Ka*zm++y~zG2eKs4@5j<%QfJ5FWqtr zn8S45vISt{t?_4ldyDn$Le3k(g`Bs7tr_s&hGrT(HCmBiT}3jUE;Rw(Dw)ZPsDHK-ex%Z#%+HJj%VL(5o0kO zZhIEG-OK23o4t3%v-r0E0LOiF`*~mvw$%cYJDMFFhyAzp!4vOh+g=Nfw%eA~eVf(&6`{i)fUR$vd~0_* zOs3(YtiJ$9f7tey;CT1g_Ag*NjNkAZCFhQ?ztzj}{VMB@zjkitcN}%R!)#@T@f!}E z@A#%u-9C3%|Brj{4(sQ37{B2KD)&yap&ig2hnzcYoQe6JJL7%Hc?>U#y#3&Ue;?Qm zlViA`+j|0s)$2~Hqxo61}6ZkTT9aMuMHeL8qg(4%j=9K-f3K+n5A1djOdU7u54 z=P^7dc(V4o%W$ECwNZsL+Ez*Z1I@RcUv1>C*AJe0S;T; z-I?(ijQ-_r4OI(Ba-Y z%Dng54Y?)qn*BMft?xA)ZGErxz3313KAmB^$D?oGYkkZP%WF8={od?-@=Xh%Z_>SU z@AKg3|E~u6L0-r4-ty}5zB}kR-m712V;zU-`Rc`hw@Ck2Tc5GR=!Rp=fAw!VRs2tZ z_dN;baJu1$ z5#9HBCyQ=4o|X4m`$oL$zP}TX)x~l2`TMeVzt7rzrOIlvckd?t!{25$iF>fE6&&M6 z+ZJ&2=eDijcvoz@2W;aN{iMytc{@yw;b@CC>%;MkXtVL(4&yOwKCI=9K5X@E`%~zq z1AVgX-;7yyXnO(hS<$y=?XvwT=y4BjH-9jmzuW%-9Q}6tzk)eT{&tfS^Tq9FIoav$ z)4)q5zx@Vq*sa~{U60 zc;|2bHE`Ix{ny3g@b}}&mA#7JI`a#W1QUaelUmAKLCh(cE=~c z(Pwr*c;6 zZ0*}~7ud!q^7d>GI^{aHK5z71=wb7ozKo|IY~!=>#QUD<>^SVu^F!jda^L(u;f2J< z-fVqPs{N68J?cuSs9*};RP99oZ)2|UL|aOuhaN%QUB?TXP@;S$1!eq zX7A*V=fVFtJQkC&!}txa4*J)?6M}9w55KrG?Bo1~qknb*3;r(4XX6I_xob*b%IY#Z zgfGx_C78p;oUUsCF*bJP&v(i9@w4Y)c#8Y0Xc)Uk3>Wmbf$cE5;e!4yr;2Xa#`6jA zd;x6!#T@V-f^B>_3v7MT@*4dsU^`5vVY^R_ZhbH8)AMz(-Qz~LJ{HgYo__+{`-9O{ z7svNQ_5+!YFN0?S_%f)$fBzD2=yw0g44d7I8=3cC4UV$zzXojj8+|?4+QsljupL&` zCjKt)+z0O;0vGaa%rQ*e?|&Fv$bSTEhvhX~$b1tx>VCi3-=2L|){kd&i(?dZF`E~8 z-vhS8WE!@1p8&S^@Phxd;6k_0gA09r&$04;5nR;wkHLlfKLgug^1s60tI7RH?ci>E zmKo3E;ETXvx82jhCdcTr!FG^$w~h1RJM3NoHXZQwb{F@Z@fb$uCd+#{IDDnuW`Cnw zxf?Pbd*_dLq}}ac4(tEBI{{W-%rEh zR+ha}h5o(PC*zsh`%ZAYGxvT3yjT2tW`gaYEXU>}P}ZJ1pxOKyGWYxEn^ zJ)Z;H`yo7i=Yma7!9?iKI=cxzI|pZJJ79bJAYq6 z3U==LkP)Rrm-Qw1o2XaUFM}gK+4UK)-A5mV{ySg})49v;Uwk6xw|7q3t_hy*IpFZu zy6xVvb~Ji6rgdB2h1srYHZGL@0w`Xy*WA`_}c9?v_g{}V8sVescu$4b|oVT+pqb+tH}=5HxES)7i#{1z_54=P!Y|zwEx)Y5mp? zE6Z^7rJWxI$GEifV_?(GwIv?-*u%ib0EjD*_pcUvU`fd`r$6Sr^2t_ zWn*3RrCs+K2Z!+(F6iCh=;yoa-O}jTaF>lOVTWChf{U`=;#hLt3XVRx>)qh6&#th) z>+_`Y+W4@`>>u&%UG_c}?X}CEL3WsKh9ics>#N{suU*fAW6a$34;lS=u=PFU_cVh! ztlS>6Vf4?Qmw{tk?YR(a<#J#2%mCYA{Dz~BJr_IG=&f%y`*T>k&~EmgZ2Hxj-oxJ} z{jN>h#=h>owGDT+-MG2+rj0jmT(|7nWd}M|T(PWkM|bzB8GSkQWu41btXR3M`_vn@ zZQQi3ZCmTcTeq!m+qlk>mPOL_H*CCi*{R!ZU*C594YzOJv~BD9woMziuD|X2O}AgW z+yvgS{-D#+zjjXgG1xh$770nI%cW95moAqtLzhGfnKy2}tq_=gX>`u1 zTQ{z6yK%$%8((?*wp-e;Wjz5S))Q7V5>^^v-7DL+T)%b0wR7g2N=q#rd~gpx5X|49 z0sY-opx&OLRkfwJb+PgN9sK0=-hri1x(3>NYfC%(_YMp#BTswB?sUb^tGD&^wGHgu zlSrNIL+$Be$KW8CUpHR1l;2iWieHst{ZdJJxkFtChH6Xi^j{{GDuYW!du7)^Usvz? z107xcL;R3(qn;xtE9-O&B=afgyIC8SGZtB|K)w^P2A4asF*lSdF zt%CWN!OAdv*cI?|Zy1pZ&Dr4&{8%NDHLYgx5coPbDn(Q`y&$@4Cmo>d#_V3%# zXTO78T=&=z5ozr^b_{gwFRu8#^RC*`14B#sDc*hubQJq*zY)CD^U;A1^|%N|dz?9SyR8FsOb z|52{}dFW|Q-{607Obu`+e~qEpHp$^P$9bF=McH+d*9o?An`&Du{k5OslpiL;j(+|d zZc;r)<=Y>8*yYW$YPI{1|eZ*2X3BKWAU)#Cx`dy?0j z3plL-8`wON> zvrBu0RecXm0gh@vDe9guF9=qsqf(g??wuLf#v=9znk*D<|aHb753@KN@`Kcj_$UZQyz&dFDcfywiZA z%KM$*L*8k#kZ1aiB=2j%#}>T2WsEA%+uh1V-sD-xyUQ`BIKqAtit)hYJv)XxbI}TU z&mnI+*YP?9g5c-cgu>3TmGNlxonWt?AgdZ%irGDspwMj4gdqZ&)eC7|9@+SSt-|>Z|y&4ur z!S|C8GQQ{7#{4K$xgA; zY3Kbb;y;$I%d*adR<_e=7kn67**#qxg--U@JB=rtHs^&}?fR#|gf5!C!(VF;Yp7HB zpULa|A`xR77>c8iS6r8WTOW2zX7R+UGuJBDpC2w<@>|8mh5CZ^EDo+ua^T~oU+X?8 z<;gBSj(fW7*J|UnUt1lRWS3avo!W41H!A5i&N{!{Xf=(eey#c*lvwiHKt{UAT-!io z*K6$$*Jd}a#m2R@iCxdKKU|+>f7zzn|8RYFT+gzGEEjSo@ycW2q0vwd(8{ zJ>7IrhY|l`uH(M+bbAkyot6G_u0w{Wzmn@&{O9oNZGfnkU$=1`diwQVqaVOW;#+Owf_B`=zHh>E?EV@i*B$kkzkg0r%U-#cyrXw36$0X4hA7{bY81J=c$A z*Bb&O)b**_^Z2&s9aVdrQ1{pFsy$Aq_IMrb5$|(89v-aP=Y(pXU*M-B{vg_f_noF+ zt=i{=YM;;W6BW;7?ehoOPgzv$g`t8R z$iR=5h zej5JQ#q~b68`;YBs0ihyVvfC>lUs%x&Cfk zV-Mf%;9GIsTJvoSn)b)_y~VZxaoxkG`ljE7as7I(`?)?puHVkJ*~8{s>0c9nmh0!a zemt%}TzpO+*Pr701Edec_3!iPy0za8aZUeTx1H-raZUaG)A`?t>+kY;_%izWYF(dn zsy^**ni$vg2md5-c3fY^^%mqs|3B$kt{>t$`o~F|xi)<+3;rEkn|-7FllF4`6|T>T z^fz$*ZLXugo%B-b~p~no1~Z&w79kyjURDs@s<4g=KAa6*=AI?zm1)tk6&AC!p0L0zczV!{G*E3 z996t#Qq>+O)ce(>hWoj?Ke*p#@t?!*|EB;pMsWD`7Xb_WQrCY1wlRal)1L+Kytc!y z4>O)Q-uOGITe6GsuWB#sF-tZ%9_=-0f7KpEA9VX4=l7XOudmwUL~4(5$Fm==Z?AFr zpRMPgbePwtFrThN?~CJ=_mcBJTCdM3hkI?lnIEdpsdw`EMLe&}KRvaxA^mq*?msJN zmi`R!icfJpfyw5{T>m9M!)N~AWG31yJ-v_1DL8E!KdW^w>9;EVv=wX{`*p706W2Er zkNFtaO~{+Xf`hwQPJV!Eo4iKeX~Z9VJB6uneSn|LwD<4gn)t%Xhqzu5>F;An?c1{a zpFsX!b6v>)Bd)Fd;`%SSwwP;i{m->6ruOm`dX|XnCj2}$?qV|57}?>2bq5? zu9>f#{PFKSf5!7h2>xf-HpJTWO(E|atn=}CYo$+_O#Nn&e!lEG1^rL!=Q`>)WpVsG zTbuGvxdHwUkUlZ^H*@_f!B6{4!TApR4t$uO4duF7tyAH($=TXAtI4M__5QmTrTjb#o zLx4?!;*csQ-fpNl-4}G*4<5gPUwGB$6xnmJ@1sZ zR~YYk+GYJR9`&pW^82c%t^ZG=50BAq^k4V0;3NDt`C0cncKx&;pZd*tk{jH4mMcR%2>-!n+2C&Kd`XAQwm45#9Z-zdy=VtU-|ISW%TK_KS zeGl!u%Fp!=u|APUli&4jEx@*(^}mLG3BIOJ>p8Cewikeh6yNpFaHXDf7yQ;D=G4Rc zrTf=^CHhb0eqXa{{;FZUB-ztE2@KLtNNX3wty{vh=K9I)AwEx+G|5B{l7 z_-pd9j2}6KAco}+4_U_x~Ng>kNxg>oOZJh zThWgJVaS-Z|Eu7-do}jw4E|~21@QLrw)L0!?EXP7{%!rZKaZyL+WLpAN9b+xwe`Pp zMdBxc&A)B^8vNY?T-NcoaV~cF9^ls+yc>Hb@J56AIcwe@Z3BO~Lhe;RYVE{hYkTQ$ z>)&=2{dyA6^m`ll>#NbM|F6Q&n0Djmw%>(5F8~i%JMweyH1IBizkvV#0I=Mpf_!ZI z2l#sf_z~srHu10D1~z@W6M4G*d0@lm&cnPC{S2Uuf9KT8Umy8;u04ca6=0*+o#=yJ zpQZENi9Wbjzxhc3?!^DNt9~A}_D^@p!=2dQyJUU8VeP~_a~}b|PvPyi z`tyK=q>NAfIi!+nZAlW*^WHv6{yy3 z(V3^u_FrLr^1S)~?H|kZPxNQ|pJw<;{<|Ig^%Xxh-nV#F>ODOFN#)0mbzG|>{>tKy z9mE5}LVvSAJ0{@&GO+Py#~a!2dW5+w}8V4Z;>)G)x_OI{J{tjlpYVHYO^T#`W zk@2VPnpm3ea9amFS1^fmmTouyzs;9)sC+O(}aa853GL& z@wJ0xJm8)D4&>p(*1iUKhh4MRR(kBj9v9{LK7+Bpw>~IeD8F`=un!*=Q1RW_V7z`{ zv&TE%OZz7c#?J11EaQjJe<$ZJhHubr>)-j?=8pxxSJVCs>wChU7yQ1+{PzGGJ$B;n zCj|dH6u(`!^zl#IW&B;eobPB^f9St!68w6B4ZmGy82|YkTm=5#z(&tq?N0gM^|RRb z2JPmrc7gxwV}P4&{?CB_4dCt21N?V=&R!?}Hf#So_%}_v(XZzR;CBRQ_M>MP^MBJ| z*4u-=>=SvqTc7VafxTc{hd=!5InVfjo_;+A=>3efL+_rtG|E5d$-kbTf}g@40zZ+z zo{!pf4L@Y?$HAvcyT|8GSkIHvU)MvfY3Hkz|2@$E$P2W`_B{T?U0-MG-MtfhUZnj8 zcpiLq_cLBEu=q39u^au5F991pcOzf<_;WY=z504-9e?)~{XLRf51Z|~vCr=Hh$pO_ z{6Mc-GZ=eN{LeBz>u0^Y@h?Xn0<`#l_tV5XVvqM)|NjKN#eVKE_^Wr^vVT3W@rxn! zS{576`t5lO@%!%qAJXymVBg-+05*HO=g0gjz^wf`_W0ej_Z$2{ zvhxz4s^4#~xtQ$Gsn5y)vGycQ5<%yI%q}f4BFK{q^5A-hYJNm+g7vYp=x8?w?X+ z?{^vR24C2Cz3cJkg8v$QzPFE`W{Z8eZtzk3KcMG#?|Gj82B3eQdcy8Cz-F&{EBLcP zV2f9KABG+RoBi$mdH?$X)tBB+k)Mk^oU-}EzC8zQ>+k&n`>k&THh%Q}b>{zspS|DK z-z7PIZ{ru@uOA_7-hTi#eD@7PAL!!wzi)#6LQlhg-x>1XUSN~&eGj59 zlYnN=_Pv+$Hw(bV?|r}U^4Ir*N7^5G-2NR-=Ntd`-GGupFO$c8p97!I0D64>C*z+1 zw)m${euwyZU|WA*kG-l~U>MPN0Qq~s+F3{6AoQ*Pn|}69L2p^l59|8-?#<*|eoxX@ z;5>wgg6~f}L+xKsw$FsDX|L7whm^cP}+{Q!R+;ua?ufAu=F9aWpKl}a%`tSs> z(ZBDT(EAdw;19n0H+0(j{hWVs*OHq)>_^@<4AS4|wV!-VC0FHTKlPu78OPISzxb!^ z+F#^h|GTj#!XM-3{ztKgp9MDivi~>8A4UIcz573lKYRi3oUU&_^@8_34Q%|`|G$7g zk-`11Vf;scN3?(c9_;Ijz~+zohp=BI;MZF_@sGRe-uJ(NJ(2m09{t1%uMz!`^}^r& zYtZWgpv=!Y`_UhFP2WdsykCId^1Q95pZI#<_kc~m`u~LZ>0#h)*8fZ7gPg#GA{Q>M<&lX^t{{a4X?EjYa%a4A;M2snpQXRa6R)42e$5lWMy~_ppZy{) zRu4MB`flshc9DmJ+p>IHe*be2{lD!iv>W{oQXk!20k- z;6up!uhZZB*TMHQp5W)l`w;RX?EywLB^hw+Dx125S8_@BJJI0XJ1WjvV=`8b6BZ+tWdW1sJO z4tSG3e|RHTcz+VP5`W}7{ z^F0Y{`hWQ6f|-|J)c*35sl)%?UUT|7n;-x4x(4kZHTciaU(qL{*Wu^8=KH_c*A2Aq z)c!}-GT%plZN4MaH`d+@Z2E8n|AG#u_#Zjnncp3$1^3=^nRcNcbUgCo{yKLXpZd(! z7R~QB_$mCsOTa=O_(yI3di)Tu(euc2;QMJnqsNiILOu@zOaI&HPkegr1>iF}-ck9z zxX7E)`{-`+#V-O|etvWW`|<#=?H?R{6Z!z?>3@`Z%&m_AKCa^*y+*t?3vBvv^rwLt z|5cCJd>`!0-;d%S_p^ z{Ab`v>Zd&0W|wO@O9`R`fB!b;Qw{{qe0@a+vIUu-=NgT z%7BLN;A!HCuW7sJ+u)Cq4?GE6QTzuNi07XLw)kVP1^xcOo`-&e=(oN~#rjMA`9<1| z|AW6pzWyO#!+-FPSl@dAZT*AP&jv-FZ@1^ajD30z*yLsKCF(gs4~rjowYa^OQTq>( z?>xMLcAIZV@`D<%t#9b;(+_>&Wnf$X5b>qH2Ed+gWbusX|Im+j>h}=&PQUQq@E!Vh zXCL32gNnUg>>(%|pPlo^^ylgUI8kfYzV*`RzA=?=%?yudih=xQ)O64(%o%Bh+sn z9t1Xd7$N?=OZaE;!N_mHpQo%H`i*=V`4-sdHS#Rui+sLheUrc??LUe6PC0{4XJI zU(EG?ihOStc&)V~Zx^2jwtQ#&ujw!J@ZFw6_Gp6mXOHN^Wqp1U`=0k#>?Ka$dYN{k$K>mA z4RgRY{^SMXC!we5RHwNzDVm9| zMZtYGr2cIxECabO78^;dp95FGOzHQeU+yqvtb77Ny4BCyFT-w!c zRO(#b1TINNInWy_R4VmiVQ8UHD^;Qd>dKeRtIZ+}xo?Nhm7+?k5W1n`T5G6MUxExn zrMTHBw2BMv(PpEa#PxQQ+s9ggbjn8cP2)C(=fS=bo+_ z_e{mD@b0m2JSeml>dlZ_sjd{}qma3aSAydg@8WK{uo^cDm3Y1umBLEBPzntJ-(2Rh zvx-cqx0I#L@$gtUHaQwj29-jy6}IBy6~4K9A{-0C;$kZ(R%^^Ce6O|2!F<>%G_O>m zR#oU9nQ7O>Gw%gT=Wg; zm(rPQ%4)5Su1$o+zIL1l&zwCi*S$$^QHx}el`lkvQc~ykx2RU&inK6U4y65E?Wi4v zj;@Wk5e19)@nF;#Z7ruC=_%h%RgzQF%fZ~6c#5m#TJ2`QcTph;%Mnl#1#>erbpVA@ z2?XdU50#>3F^L;oRi|*VUMt7*?W7=g!|B6aU&&JtH%iKdq79#cg43z#(b?sba#@{0 z7c(dXn|9-5Zqk_+L@p$yDJ6GsKXj`n9`Y;4jIFR_*%(X|+71s{p=`bQpsKR2mjDXIEO9@vOh7;q?%oK-~l0u__Ny-ex$U?mujTGC>7JrkGRuV-c=~a#+t$MxE z9BD4OzeCH%?iymQ_VS3{MK=^x$|KFBII>WvjG%j^`cktIv%*5Nie(!Xo7TJ*3}f;5 z^U5h|Vdk<*?$*Z)zT)nk%-~uz&HxnwgJm#G zO4jP^i`@S>#C@BUh-Ptp?V8GB$zb(W2KbJzO!R+(kQNceD-8a<8xTp1Oy0j|gF>nA z<&db%e6cu0Y&4>V!|M$VW94?O*c?V-{}Gz}bM&(sRlU^dM<;ZzOs;?Ce7G9e9EQxt zM>k)4?5^46i_23Im115s#z>;F824r)!jWTg0<)O7CFfPcRy~X&F5;}(12>C!EHH33 zIKii$#KQ!aT3AM+p2djpsNQIDyJZ3;g7D<|<56uM6Xx7XNLb^d1q-!OO-Tc$g}6+} z9fyTR%oD^2l}fPe2A9=Ie;0b>C#=TRxEK~iK8ffOYpPb;AyL(QGvBqu#iDWRYW}NY zrBDT5C6WuS%1H!{wc^#FeT?|vtPdXUFI3uiP={U;6&kpm;-WpM0bE$Em)gWd9&zm} zUX67_2J6f!lCVmoN5^(G2)Q;F?qF*5W1{3`@yk5IWbdH_8UW?J5|g ziw@g0BJh$MH8?XnHC1PhdSzy@IGxmsgiq(2^FiqDpY6UxHk7Meb!G_+aF0o(=op#6 zF$%l6tkn$wyLxgptS6;R{OZ*Pa#nOf2o5w(!q!~HhD7cd zitw4`rsEM7HieWDqZcanwcrspC6fvlB|3puk^2+~)WC^%^FYyyTa~$Rsc&`ZS4AZD0r)In#$xRa|~v@0{oh01QS_OY3k zh~(I4E~1nP>Wj>jvLUBS3-SCyMeY<O*f4-#qn31N}M_hGZ$klUdnWYrN;$(JK^At?*7 zLDgLb-9ZHFR%^L+1=y<7aZ+6(ttN}^dK?ZR1%fq z*6aeQ!9u+PCXIHhXiO0?_A79ft`iGIcc~fh$WMM+Pl z$bpp=E0w2Om~X^USS`hMl5_&pxvMR1&CX$i(L(ZW#xijh&O@zWN~jm9UqA`UlvBni z8?0)aoXJtnH%Mkg9YR!qYS?`Ey7`4`InP_9zR*BPZ8hT4SgZK7Fl?9N#b!J~I_atv z7(>xU+`&=-sp*ti6DzMT8ibJ9QBsjeM8dYf&{w|W8pS^;mQIi_()p^XdMhTE6P(L6 zZ;?^0E?qF+&hT9g&hy&H&gNu7m8!nCVO`Q9LRM(TrBL&P;G(NMooJWKm`<}`u0l7F z3VQq4g%;(k8HvE~&(tk4JvwzM&v#8Pu&AFl+hWGC?#A=sa>rSpE;IcX~H_?hE=@XT!;cJQu-=Uogz3R`xcotRdCc7 z6;FKBnA(FbQg`Z(w+b-MNrJN7eH6*67M8b<$ zWdi5ygHX3#bOE^06r11ZmQz(B5xHifc1_7CZp76U=cp(k4YK6!$kdHTy`m;%OA2@M z;k!)KB(BTUdKD{^k6q@YT9goGOMKo%(Ul~bYe>=^7hAy!voby@cBRW~no%K|OqHdI zvymc~Dhh?Hlhwk-h+sXgPE&}M{AIP!tVP+U)+T329anBliIOx%gawph!y?3@Xe41{ z5U%s_kFROL>|ZlT*Vc&cGWsZf#Z zl`1t0Fx!HC^0cyGef0%&SWl;54tFG$^G%@r;j6z)6WFR?%urbO> zv+T`Kzpu~Z@SHN>ib|nS&Qr}Lk3@^k+G7L|(>7wN3MM9ALKzoV5i(ioq#`&?L4+X<6uapXo z#_e51lQJ&)<*JT z2)w%LrctskDpgZ0Wmt`4VTn|fwXfnWPu+VG zk7?LTn3#o#`en&7M_(dv&a++1(sxZE^I@;#19P$$gL?}i4A~U57`HnUyzTs1zD*>E z_L`R#3vMb{aD$yE@NZ*zCD6)YmZn-tiH_7V)R*|EkmL=LNRC50Z-~VQ$MX`^X#@-2 zGrC37K6YkCl^_$-(J>1SjZd-xnuh2I!F=qqG0e1?5l|>nfg(#tI^#D9AH;WArKsD# zu&p6Ebea&DCALj7_AewhZDYubSDMsQQUU0uuoJ9ih>+@9nQXlrkoOjZznys-g~g`{L|j zgTP1jcctp3{MM3}5map_yd(;>N`ys^ZX-{7Nl2o0N>p}Q_NZ~&zDy?jpT-~ZAvRlO zzXzR0c#NWAf{{*Vg7qtxiC5c|vAZ(PN*Cu^RkMw3g|rTtW!(;2sUK-sjk*F#*{0kC z9XiN6F;j`hcAX+5%#qvZ!shWa!yw%#CQ^FKs_u68GWQ$up(z?B|_GnGXcwlcn(^I@gLCTd2krkM*T za=3eU*t}XJ_;NBX8AqDib$K*6?w^nsSOtY<)7hAyD01uB>GS_4^=7H|S`MXD5Mg%C zId#k`P+i=vjQLwrC7Vebh=llX8no-)M5?$g#diH03tcJ#k4F;+j#{ zZ?|ZA>Zf%(B;C{%E>rOn&CfMCyGv05Lo1$R#^(UeTy@5~W=~v%FZ!(Mx5F_L02iQX zR-?oc;$E3skX%4b-&ya-)CP8BN~@L2Qsz#q3^z4R>|&DRsdH0vvKzZvCC`EY!Oe-3 zMvJH6U31|$W`{#9s!|d@yYwb6&Fo2QW<1^Q6j2#3HAU&E9q4+R{Sva66Rw`Z_wER@l}KraS7N&R8R{WQB+FM5Dn!ti>_JCWucfxVQdc< zyZz9rb^8wPWHBcpk$aJ-m2_pRN~N-yZuYQA$R3dFL+S3Q&y?`X?#$t`D=-KHMeXzZ z!pl*?C!vAgm{8l2N<2HV&O++)#C(YqG^89PhyQes(dg;+(Hv(w?XC=9(e7#nV^w-f zk;|2n^0EFI_W9glue>`sGqak-Sandhfht=x?PD6aXNek>WkuSVD2Y7PD6Yz@T&Z1h zfQ2||s4bF=1G{k%vG9IFvZD6NntD!L5LW6~ytX|v1*uWW1i?&TTJ%{p?psmU^SN4< zJE`W|rf7P|Q%ziMtJLLKLgw?Ld)d~z>cmZ4Ltch6fpPVJ-B4DKVx&1&n2Y?rBC=YR zgK?T~hfWA{B*G|4VL}VHB;Q#m?<5i(>5@n_oE;OTdh1sog7(3fODe?L zb0t$zD5^t+HXCNnDJi~Iyve6#X-$ViBg>+U9m(}*u+Um*BJwo}wQJ7CnUE$fhYf{f zoCcLMDUu{wBU&!HlX~zKCmB4Ol$UJ5VZpK;ILWjffja`yoXGn!loM(qPU#9Jf zIr0Iyu0vumHyA36ap*i2;;IJ-f!lXinQ#%ltI}!t&{wOEdEaXG*3|$q51S96Q+w%x zJmx(vyBxW=gRfwM?v~GJIn4Zw+k3Y7!jlrZ%0TP@v41=zb&r{}%%er1bb(pPwub9q z#$1(3oPPkz(%R;b+Q08+KAEFFZSw>X>C_6fDnJ6r)k>9POnzG zTWMr92Jjn2RyZwJ3V!;I%y{MF)QmG5y{a?J!nv-pG=lXC0l6rZh|BS2GpZ6i`XHf; zQfd}du7nQasXBSDs=vyfjdws!W0g5VYYTRzo+c+hbUwcwgbgA^lKshC3gdERxMOFN z97_6F^Ge(ZSFZ!PUU`M~gmyN?=aZxPltb$!E~k(}J;HAl6J35TlsYE|sx+0Rh=8$K zk?X-XO`pV-D=v8cQ`2!xVv-ZoF=q%3XI#yy1Bsgi?VTprb~18jEqqN{9Ix7^PVZu> zZ-JMDrMlX7ZRL6|as)^c)j4XvS^}l0>E2uK{JKa^7dzQ|@aA{SI4Y{*)aqtZ*tsH! zCS7sgJ3P;>?&Ccck1FLlGDh!>iLe`2;K__8D_MCvSFO==6uKKNyF{{a@YXi_0p&PK nI)Xg2DpKTVaVn}%YEa;`kz8fp*EZ#Bz4{n$iR784$oT&UZ!}BL literal 0 HcmV?d00001 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.addrs.ld b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.addrs.ld new file mode 100755 index 0000000..bd322c6 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.addrs.ld @@ -0,0 +1,62 @@ +PROVIDE ( _indir_tbl = 0x00500000 ); +PROVIDE ( memcpy = 0x008e6a28 ); +PROVIDE ( memset = 0x008e6d0c ); +PROVIDE ( __divsi3 = 0x008e685c ); +PROVIDE ( __udivsi3 = 0x008e6910 ); +PROVIDE ( __umodsi3 = 0x008e6960 ); +PROVIDE ( __modsi3 = 0x008e68c4 ); +PROVIDE ( athos_interrupt_init = 0x008e1614 ); +PROVIDE ( athos_unblock_all_intrlvl = 0x008e1460 ); +PROVIDE ( athos_interrupt_handler = 0x008e1604 ); +PROVIDE ( _xtos_set_interrupt_handler = 0x008e1230 ); +PROVIDE ( generic_hif_module_install = 0x008e1548 ); +PROVIDE ( htc_module_install = 0x008e536c ); +PROVIDE ( buf_pool_module_install = 0x008e6128 ); +PROVIDE ( vbuf_module_install = 0x008e4e24 ); +PROVIDE ( vdesc_module_install = 0x008e4ed4 ); +PROVIDE ( strcmp = 0x008e6424 ); +PROVIDE ( strlen = 0x008e65d4 ); +PROVIDE ( strcpy = 0x008e653c ); +PROVIDE ( strncpy = 0x008e6700 ); +PROVIDE ( Xthal_num_ccompare = 0x004e87e9 ); +PROVIDE ( cticks = 0x0050095c ); +PROVIDE ( UsbDeviceDescriptor = 0x004e0100 ); +PROVIDE ( String00Descriptor = 0x004e01a0 ); +PROVIDE ( String10Descriptor = 0x004e01b0 ); +PROVIDE ( String20Descriptor = 0x004e01c0 ); +PROVIDE ( String30Descriptor = 0x004e01e0 ); +PROVIDE ( HIFusb_DescTraceDump = 0x008e3780 ); +PROVIDE ( xthal_get_intenable = 0x008e6844 ); +PROVIDE ( xthal_set_intenable = 0x008e6854 ); +PROVIDE ( xthal_get_interrupt = 0x008e684c ); +PROVIDE ( xthal_get_ccompare = 0x008e6834 ); +PROVIDE ( xthal_set_ccompare = 0x008e6824 ); +PROVIDE ( xthal_get_ccount = 0x008e681c ); +PROVIDE ( Xthal_num_ccompare = 0x004e87e9 ); +PROVIDE ( zfDmaReclaimPacket = 0x008e5bf0 ); +PROVIDE ( zfDmaPutPacket = 0x008e5c84 ); +PROVIDE ( zfDmaGetPacket = 0x008e5bb4 ); +PROVIDE ( fwd_init = 0x008e5d3c ); +PROVIDE ( usbFifoConf = 0x00500aa4 ); +PROVIDE ( _HIFusb_isr_handler = 0x008e367c ); +PROVIDE ( mUsbFIFOConfig = 0x008e47f8 ); +PROVIDE ( mUsbEPMxPtSzHigh = 0x008e4818 ); +PROVIDE ( mUsbEPMxPtSzLow = 0x008e4840 ); +PROVIDE ( mUsbEPinHighBandSet = 0x008e4864 ); +PROVIDE ( mUsbFIFOConfig = 0x008e47f8 ); +PROVIDE ( mUsbFIFOMap = 0x008e47d8 ); +PROVIDE ( mUsbEPMap = 0x008e47bc ); +PROVIDE ( u8UsbInterfaceValue = 0x00500ad0 ); +PROVIDE ( u8UsbConfigValue = 0x00500ace ); +PROVIDE ( u8UsbInterfaceAlternateSetting = 0x00500ad2 ); +PROVIDE ( ControlCmd = 0x00500a98 ); +PROVIDE ( vUsbFIFO_EPxCfg_HS = 0x008e48b0 ); +PROVIDE ( vUsbClrEPx = 0x008e3868 ); +PROVIDE ( bSet_configuration = 0x008e3aec ); +PROVIDE ( eUsbCxFinishAction = 0x00500ac4 ); +PROVIDE ( pci_sc = 0x00500708 ); +PROVIDE ( __pci_reap_recv = 0x008e3180 ); +PROVIDE ( __pci_reap_xmitted = 0x008e3154 ); +PROVIDE ( _HIFusb_start = 0x008e3438 ); +PROVIDE ( g_hifUSBCtx = 0x00500a54 ); +PROVIDE ( _HIFusb_return_recv_buf = 0x008e3614 ); diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.bin b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.bin new file mode 100755 index 0000000000000000000000000000000000000000..866161ead2dc9ef09fc336d865f621e544e05297 GIT binary patch literal 36932 zcmeFa30zcHwlBO-O%z1|MKK5kXw{)8P7OdYI3*@22oX(eMHFKiJ3#>hCK}=pMMV)% zi$O#NWl{^A(L~A++jNr70ZBwd647W9)Q+8-?oJOku{-JR+-_ri|9z@T&Cvb&zVE&F zyZ61I`|N4$wbovH?RlM3c_fOEdO2ZPweC8>Up>huGqk!RL~Gjf_>3 z{~dXuu3yvAc|=BT=S%KK<_)n31b%r=TdA$mZDv`Ea^<~&TeA9cc3S&VR;GNJ@A?%X zg%4aWeBzqg^?^v5#E1y+@j=Y=Br=W0coIS+k_$;8L@K$MlqEiU7i0e*$f!lc<+8by zUzDgSL|PSN{U)HumrP-pa^m|pB9Rf|B1GyV0x2I-K`Sbf`iLuu&4-alZDNMzNok%; z$fKCPzdTByybb){RbGgRq%=<^;H~8hMChlUZbrIGp5=IV7DV zlLTTVTJk8F0f?Em;guu_c_}27jQ6JfANA{>`~#^Ek`Tcc!YfI=%5o=8)9!YQN=W_P z?(V$*hG7WXg+J8s69m;BKgi>&Jbs|Z5AgW@9$)G4{XD+H$MS9yH7$Cr3~+LwTjFdm z@wFab1PmwGFIq0?UwWQuS7k&n*Pxuo43{syN1s^)YHjpeP zoYY$uz(>8KB}l(c>Z7cP1K#9-pGE59i{R&xdL8na^#YA7@-*@X5w9cl=JoLRllqzO zkt|U&d_DYfQXe`E={%`V2_acxj@17MaH20s{X!0Y0r*hDpHJ#@!H=j9cxfFVXML7H z;~zalkiPu8nLPym@b?*Y_`3xM*$96d@%-!OAHBZe=GTA!`tKjT{@v1dQhdHKdfZ9> zmqSuIAbt;nLi+b07t$5qMK7fL{eyJnKS=lgZhC!D2>E~6eODs?|56q-Ge2n-J>D#S zqM1DSKY?mN1M`yx(c=x`CmJvh{pbHWn@My7v%6Wer&+wWSt4(i`ZmiH%|3qrB0HTZ z+#uT1;1k^--rFEq(;zKvkgaX-S@$opb5)>!ty%PoX7NYO5=paE+ANbb`}j1=<;}jn z%?d@c-#_E!Y6EkvLG+6TKhXcELDJVCeW^iqvcc!44f0bBzArZ@e%9dk%D>3Ve_ne3 z+e?TpgekQD+o}B5B_O)^|91UJCdqgG$A8`S`Byk&{%6Lee+4mx3Hk3YpMO?WT~tG3 zNKOFienU&*5)w9xAsW?to(oXJjws%?WNr? zC1NXyk&qOP`1Bl!7~655ODbJdi6m8_ut6b4$h*_tol3~2x$oLG<-9Xv)4X@4ZF=;b zjFG%|Y$GLa&lo9xd)i3D+ZiK{w{0W6!!t(s;b|l1hcia558Fm=FygeKRInrtQnCw5 z^okN`Fb)dBBst2MY^^?9*EF{|CnlTKXU8h@+Y%(aqw721#Orcxfrqex1Z?tA30OTK2KcxghYgO7_u>k-#&F zC_(A9j8PLgCHm{ICRJk)Qq@F3OXx)sz3^xo$!irf&THKcxJI>CtXH+UuHRj7puQKvgdqYoY7T1|gX#-1L7iwl3?%SltMU_T zG*KyCjK7Ns5L*jwJibSbY6Wy2*-AwextkswGRz$=9SDus%LVre8ktC#_pC7u1*M^bM_f)|oER>8HL&7DT!d|Wv5pDu~ z8k5UQH;v(zhiOQJUpb*Ei^`;JA{tm+=7eI;D%QURrFdEuW{Of>(OMMc^FyosKeO%* z_WRVTA(~&P_=a#2-Vs%&b4-@83{zY7jpr2YfX~zo*BhelG3iAp%(zhRe)3^ zKm-NRQFWvGCNdC_=s|&wL?m;UAJ*l%Zc+fy4o1mjwT48YsV}JJ z2Y<*!h~h`TGApD@f2Mst!SeA2%TAT)n_=H4;-ZakhmJU9EQL#TuPoFFD1oH2yJ)#Ayf@O$=j6>^P#2By2c| zi6F){YwK%!f4=AS_>-#kmpf1KM2G9+WEo$yn}j5zMFaq{xJ3A{4wgu z_~)r7y`tq0&JPy5`4L(&b5slVg|;5BhLTXj->3qy*<~`85wlc>`f>PSVds_c+AFqE zZSJj`PIiUyi-9g=83ESDC`Q&NutFh5)z-l(_KauO2S92Ty`Gsyh>Yq)M^eQSG7p+i=68B z$OJ#XS>{ke3uWJH(tLTR9Pem{ghFHfM)+ftVYWqMy%oUDA*g zv!aoIGuL%NLsHF(R(?3w^{$4DH!Jp2fVVYdf?3hW59PYfx!Zw15ltpWkjZK?iIQrp z!83~7V`?l{-eeHA?5y!SN@<#R3Z1@j6T&7ToVc^5|2hS^uq9xaf<%&V%jGTR6Y33H z7i-A9(5W-WBFPlwM3CgMa>ZVXbkB{x-BILz#Wfn~JkLlZr#k&!30Gh|7LgAA z)wVO+6>T)!+Q8p{muM)DCJ!JGZ`cG;c!jWOBv3NZe`HE19gJlsU4Zs{5W<0oFL(*xpvC(+uyZ%DQ5fp@qM34u+1GJ8J2*6_m;LiXX zOeRK>4CC5z-eG!)YA%;t)-z!xQZZlCGKcFDn|W2B#XB?}C``viaVTa*Dcyu{6Zt}86p zwT(-svjYG8cj0nfPmcte7JOacuour3<&lcw?CD(kYz>(eNho$M-C6LauB2NMNpO4uAOjsLgd#%fGcc*Y&VKKL=&C>K%}pnmJYJbxnIwKNcfR^hVwSt;n6JJeKCE0``4@qY`6OCR9uA?Oc4QYWX9QBem;duonrD6)F@tig5CHO9ik2 z_k8ucp=6Qyo=+>XE;{rJJ{9#S=Lh@PZHnZ{jafbRRvRTM)M-fsDb|ppNb+P9SsYCY z$C83^BtMuu)x@>NwXyAnj@VB9?wCEgy+S2WMnj$sB}@4d+KAaL?wQXxuLaS#d9A{; zjZ^TnjpjAs8Ag`H&1($M2OZ2f^Rz63j;BpFJj~g9{rqMZzWxPIS5E>j%K&va<4m1g zfU2^o$x;BuvU{bzvkUir5j&oEVbvrfMn^aub9TzxTS5ddefn03WY+3T70+VHzKM%v zyi2p^GC${IyfiYnO_*h$2_xZeRW9Fm|GwB&-IG_DVtMPRR&uYe*$FK@Q`9Br7GYc{ zLF+qN?C-?0uz+0t*cFOV$axkylouhgoJRCQn}!n){&Sm_?~B zr4~AP9qE{kCD$15WKp$7+%Y6j@-Ne!SqbaoU#DPk^} zE<}v;s!+ATv)={hy9MYiZp47@-*23^1;A&1A4*nf$aBb!&)yOs%+K-jwhUfE!ne5N zPf{G+oBV_Y7;qR5ZbEI)Ai_wW{axgPzouxvEwt50G-sE4=I43kh}NrmKZmzhwd9x4 z@QvAYMbs9AhdpTHc7?z%2<5vjAgNmQ)Q;dtvIfDsXPuG2!84)4+T+`z(u4ujn7!#6 zmES}qWk&`UUXpJtyh@Q6pIzl?=6O|ozoif=uolTIy9pg7gsel@#O_^dlf)I0kwArf z_D~IN0%2yzcU@Qb&Klaqck#Wsu7Ht1Z-3YjL9mKjA51nj#k8_*x^|(P)BXquVT_0% zSXuN}wl`qEp&^@=)`;61PPGYoJ5yMUP(Z*7dz)#f0hj!v_k=}C^2{?IMd314C*gOH zHcc(>-zHYHGkzUR2QR=)AGN{%C*{4RYk8Dcw2S;YMBgb&X=_MDf5r0J=m=6t1=T?C zv@}p9G&j(dkg-9G=i5^fj?wlrUZ?rob()`eo#rQ8r}oR^0Orn9f{EuT!G!aaVElPXu%;jVjvgnt z$G_?bV%LzZkz`9SscDLB)wdbibsa*3+|?eq>y04WG^8$))CQC7P5RatjMI1EupU&C z24H6=R8i61-In2GM;$g&jc6z>A=Uv?9RU2Ifk9 z(}s5mDQ(`Yc3}qYW1ShRrhjxh>-*+R+5Di;a1wvffi+s7VOl10QqI?oyo(l0Caj z+8zPJrVmu7^9)tQ8J0)JURKc=Vt!D{6TRJYq>D&XhcYNiIGWM1b?dtZS-Kn;|TS4GZS;cr9<+9o`<xcwEnz8k-6;1Cv8!*0N)v9R6ITKi?UK30Je{HrX;LC z?{w`Q8xWBB%y0dLLfrcEa(U*m>OT$+l$M53dQNAGX?=5Hx0FV}cpmdL@8EmamttA` z`QUqH)c?&JzuG|kkKXudBlX|s6pPl-z&l1oK`9NqVNsL_MSd2qSS6HsF+s6LDAdE> zNDNa!zWw&K6_SBwV^~m4q+T5~SY=ie^knFN|0HnIJg|p%p6=fk^$XR2?0wb$t;rqZ&hEFURYT`Sc|lM3^YLM-(@ z>Tz%X*4c*cDX3U=gp;iq*W1<>(c9BL?x`KWHLF!y!-rlP?G=hTi}Q%mR6?Aq5jCpS zPPTV(Z<{ep{nU<6>!Nps7rdRgZJhJk?g$8lcjVs^6*!fN5W}hdPe*U`xe~*IHhx?N zDcqXIDGJsszYmSRx>1yWtHGowSzDTV+5xmC#Tq>MQ+$8t*p8_DTPxqVSXLTAv3z>P zi(_iFCXf7xQ{=CCwshbCzy;<{pbLlwT1rcQFQnz)`ttxqh#Jw_L_F2?dH&7qYfnf9 z1}#)`^1mMF|6tt($-pNGVL{cApm4;M__ZtXPY>)3AO0eo9B2)%4o8>g^9mZ)R)?D! z80TVVTjB9P=illad~sdr=6LWlbme^CHFU?abE5p4d9|ASn@{3Y4f?>JizWwiZ~fsi z#88~DzP9l5ocym3e?uq!B!MWtzOTP^ZKGshzsb#0f>)Ui<=(nV3tRZS{99&WJXc)N zHipnM?VaJfB7T7x|8P@iVw5#LO0_#^uZmA?Qr9@@u5bHg{l~etKK9OC-gzdR90?{p zO}bV(Dq_yUD#5H{>U20#-NtIjQDHztdIULEsS791W86M6xwJL_TjeV_g?$0}bYYA$ zHrEXeIgYZ(dDU{wiVUNU;T`8%dZzT46ML13gW`l<|AawNd~ZPfAY<+gG!GKM_w^_g zv)1kVK-eh=bDxIvnz|T~S!7fYg=W^0F6GiAvbx^BSA5Tk=>XdBcEhN)lFfCE4jqan zPF~l9Eh6PY;NNL47nl}gBg4Els^yZshh^_RuOTNeL*XRO62t&50ST@A8vmLrlPa6c2pYz&At5Bat8=uvb*79IWy1RWU*-+$e zDoXOL%4%gBvxaQVbf+Z9t&$x>eqNm9v$+JbiETJ*Byg2cHoUYMo!b9$*5)~dPkff| z`mKFVYko6!T<$WURbl0AeD+y=3tOYBjQw-BTmLRmE#4kvH( z4aQi~rfWq%`2#@vx3O+eiscuAZiSFxHjRXniIwR?dP;kXKpSJg#RzgiLq;OW`6%*k zG@5!fU zgeF5pOp7F@Me44@?fnYvQ?$K<$p<0i($ah6faTIRuifrKAcB0rPAy;BP7_V(n@f+o z1m6EMut18!G3lE(J|L#F1oY*cUg*N0>(v1@dA~yFOh^mIB4iU8syAuL&=DM#o3xCR zEj<3E7j_JJKZJb9Z;E{uGePCEt8{^CGAi9N$*_vZ(kC@0dG~9eeMOK_dL^eQmrtTw z3DLtL+|j7A;H5(GdmsHRR)5 z*LD6B4*%UjH(ePH$8k@hA^!&5(2!4ZT~smJ=`l&Q>e*d?5b}QI%{w1V=$_w`jmr;2 z+Ay(w0>4(3>w1f0?-kbS0;WL$R6~9Pzgp$qp5G1q`Zu8Ik?}u7{E`<`sIS2DFqq=l zw}t0x{C?gkgkHxQmWFr-$MXCM%aXh19QR$(_m_K(KkzLK9Q!)9_+G5tw?q4ckeZ-N zQ@P^!i(7PIluoOc9K{C}+#(3`w}{artGq`7v;0)qd979L! zx;oZ#oFMG^e(!|~CZ9rd7^pe+-BBwq%%JR9ejoA+kN@s=`U%82q<4QS-7Sl=eAiv+ z?*PwB0{k2N0e3pbzQNOTqoL^xVC>{} z$L%rfHDkhx4JUtXH3gHeBFJCbbWIj7j&S0NAh$H+>qv4liu^sA{QFq)w{he@f*H~j z*UI5Mg6+_E#$wwWbNf6voM9@q@zyF`^R^~BWl`R0wDDasbdC4}esx{uF6psX5D^CS zlO2al$5ejhB#L3KXzl&Hqiz5(Pq{GQT2}cCpS66ltYultGuSBFb*;;UWdOw+$uRcb zQEe@4Y!A<}2u2FAY8YgSqZm=Asw1df&9OV%g59^(>zH08-@MfG+|O z4EE8Ddm8sP?gm!S@!*z(Fif?z%_iu0a$~wz$AcNVF4ZuK+7yb02K{QsBN)mk)r@~l z3TkUIlvj_3Z=6>y00h)d20-;>nq=UGq`=yHk;Hdxqvy4|v!WQ)8G15nesdF^CWHIg zk8t~Jk9@p35W@KLvx{{;$cvr233oWL?qENH2A^O?iHsJ^8niCOI*FRWnMQFeeECRV zkpbJpn`*|_jZ@aF!e~&G8Z&Cmb$y9jtK0pbcK+U4)o2!&q?sRMT;`XgW$`8N@!X<= zNWJ73?gB0p!2t#xOt?kaR~$lTX`g)ukts(~t8!*w%b#V*ky>(OmK>j?aTf6GIpkH3? z;@I1&rD2%*7iy2c^Q-0;ICfX_abV3Op&aYHVsmSNhEekLU>t|VRHDt!eAgvGZ;Mlv zC|vzK2&XkakBtbbeO%DnKB1@5xWm&H)Vo_(yz$=DWmAQP0qxC@|5M{-OH#yxpu;Y) zdCEpGbgl|#f{cyjA&eZ=Dz#&izddHg)jR^_eNi|Vz2dMZ)g=q!AN|u`(L#Bs{Ml}feMtz}t+mNi#JrI zaiNSqFL1b=_+VL8O zPDgh@(l>iS=;+{{tC<+PMZ@R^8;Um3rJ`}a9j6z9BG7J*Q&ICBxZ(vt(PPgO%Ea)`>Sv49jGoI9 zot<8kHnuo@jDEI6QZ?(T4AE0*mZFTb;)kSpq_e0(P5T;%7`2r?ZqqD%<*wYzCA_Y9 zp(rjV0d^FO;c|)MVP8|%M!=c$wYzfjT^|wB-;1bOUyEm)p5BkR!xpW5p}91NVYFT_DCU=A#%60n1`qSz3UaGMM%DEuga+ zdL1IvIhfHeOZ2Yo+0+V%#8Y1l6JzcY`&DNa?MN{jQyE*rap^IhlZ*u(44tY}=+f}O{6Ns$O} z^D6mS@X?mEB?TP>2%5-b_2K7+FtKkRz;1550IRK#%cs&p`ieZV00FbMvh=62W!>{A zzt41kWf~tjUp#o`3bHKe1O9?-jAiOTqM{rr3EGOl&xHFEeMPS8A*7&fJ!W@$Vb7}F zDVNi9PQTNwSOUHoNHL`kNZmR=bs*pMf_p55$!zCimE7 zjSSr#0DwKoThi#IoaJOCf1Dya$+Hisg;w&^*Mo<%R`6O=nq)H(Q4@H?DidHkR6qB{G^i0@%u>s)(c0lT`mSF%B$4KCOan%{Be2MIU+(r9L zqG2G{cTvo>LR&ncO zam!>W8v={e$|4`1S#gDG>3q>rW4JkqF(r``Q#y#Lxl%`hlbL8SQep7LZ zuA(SG$HePMAKO^O|CKT|l_#GCy+ALV;-^;PK)*OS7~$Bde07m=2OU_j4a_6K#YuGX z;imF6MW!yAOwqm$-#nEcUfKE-=4sfQ2y%=-|4tgri`}7qKd-7)inI8iP`0;B7H^&G zlcSVMXT`RtUwqOhPQB#WNwgzcm#(E9%)F@5nPSc$e)bSQpC|k_KN_1Mj>`~n8BEPC zjAwlrem&y$T|isaN@pUg+n3@3EG_<9m4n%PB5(CvdU^Nk7g3aGV!I=W?=-z zRA5HWiXzDtgUUEuU>eRa4_nLzwaE}{8P-}1K}JK!NMHn$7;gwpFsQ>x$2i84Xb9pA zs(jbSwBMi~o4Q1>6gvx}MQhQ%iA4wQFFN>8(cu~V+4WtyMMq}xSIWBlX&>NDB!L)R z!E-0{2+i3tW0KSd7&GM8g;p*7_zK zAd$?(C}z@F#zdL0R`NR%72?wO@3R;b@vLg;-&6s6ef>Lqoo$wWV)-+{1kR1f`5paV z%k7!muOt9a?DqBR^rhLC1e>(XJn}$?35EF`{1+Ys9n{Xm{AYJQ5EvCqq9Xck6iPRU z2Zd#c!tyKHlzes+6Kk~68IT*GV@)gV$=g&3iaeNM`Uj>Z>P3E6n1E5nq-V@}TBKU# zTb*f)r5a&Z$?cEI?ewT|yDBj7(ZjC9STQ0N0aYhORjcx=%|v@3h=RVEN860C5=6eQ zsC2^zIJb|3^s3E@$0pJBPVw_TTsm#ak-!hL@?F0d#t>d>R(uVy8x<~KGFgxM_0S>I zlzJ3TW2&$YPi+vwyM!k-B{HTq_m{)xgdvqpt>hOXW=P#Mm<2yJwGmG?wOV){9ms&M z_drs7tbmVA-R0GHkk>2d5XV}ZB`hV+rZ&6vg3kFh+V%&lb!{1Tw)LUPn5L<>*LJki zV&*AuJhII(j+tCHf|7|hrtnRH2_YLBe4M|9(IEz~53iqXSlUK6UGXOPQpn(kNEI^L@@CrkEfl&b0>e! ze-y>g9cTPW-aVf1@t*NyFy2nbp^?CGSh@-3S_wCrZghu6@cQKje0EW6~#MzXspYmx9@stO=cz{G1{XR z#n&4q1|e+L9#z7~2?71lxI#_Y#GnMl-w}=19`%?e>5s0T7{n=rIaqttvqI1x_0$Io zuiN!lmr42LvvfSMu2sNG+>3n{5c@zksY$wJM>2>EwvCWzpE0REFIuAk_; z>uHq3_@=k7n<&(8Kk9e8>U~)B-l=-O#a(r3PK2s&m>6wRd@YbY>b8J3>W>O!AAkTr zxol#xNsxh2`z-)^+Jy@Z*e|0vmu)g0q%RI|*+x9qPt=$MA$_M*8ghj;KkYF}#t==( z-({)}~?)Oeyw>9!wBr{+?|lUu;gX*$Hfa!!1g<($ByaB4{{p-=bX8V8nmbdf|yB^Z85 zye83H@cwrQ@5$OW=;eH!(LT;Vn=*u!fwkRb5q=2E}VJ1)i92EG?pR~oA4B=+O7>gk+-VmB#2#PTTCmL|v@I;Iu(mT%ZbM%%( zX@)qIWJx5M(hNGi#n7Wrx+j{WSCsG9e_yV9K0g1sWelTLxJ>j-Mp9-d-)Xr z{4N?JdY^C;0aG7-ljNr%&edYO(r-0hPK%~gFrEvT+~g>|a3(K;S%_;StmS*{g_}wX zcRZ(I7GVir*tHag{;%h{UZ(d5xh~-fAlLO8?=UO2jcIchk zp-X(XS@EY_*Ac$E|3t3q1%4m(j?q-0IKl6uD0=yBzE?nT@^#D;22fRv(23%Gn3NE+OTM>cFy4#`V+o5*;pjq)uu4@^8kOHsd z4^eM9O$CZI?&x#KbfZ{*J5+f)RO9J0-)xWY!X2UB_E18uU^BJx9pRt?6HZT>-x-|R$;46Kg`m|r>~lL=oTqw@H3Ha;_7%5Ik#H}vm%Pa6 zrjhpl5GJ4R6~*{UQvB>mRo05+%9O(yeGj9P!kP!?(mgA5f$o&;Pm{}aoENeBl8QV=ATxZ;F!=4z-E^ILKjBrDRa>Bi3Ht!hdh2TP%5jZux~VC3wrtTD5SA98f{bUr4XY;#hAPGU^* ziBFQ6lVpiWlEhd^!gxu1tkg1IYL1ne#>R@}Nii9E8`WI;a%1`y zbNbc}Ra?*%6~G3spWe^E$X`xOxAVMZ@$`7ZG2^1?mc=v7hU1DhZs1rz+j!&RhwWCQ zY5fr+w>YCVDRJ?A@#)nGg%$CO@3$SFU~Zvy=F@UYdFBAueVsj+vqmLJ`)eK zHX?!TjZa@y-0eGhy)Mb`JZ`9Dqu2R4K95pFrketM57ZQ<#CV;kf9q&*gjhAr!lcmsa`S{$KN(_!~y{P(0fcpDH${ zN)uCwZ*_?Owh%6rNl2A(*;VnWB4et1lPa|FsEwjq3+j;eRhxK1AXl+kv{+%zivV6D zdzfQ;Htu?4)P~91%pRIA{p@`QjnwmLLiCoU*vDKfa+WFHpM2;QpJAn?(8pXTGO?W` zNkSgcD1Ig~89Ij^AJz6fea$+bU^R+U2}6&kPV(3=u^~*N4-*Z|q&JLLZB=Rf`CQkB zg|j}thJhbQc&B(uplDbDE4aSl`J_tF0b#61ok#H6DV3R=^7QN_fwdB{0j`6THFtC`w{UWZ=uIgReMZ_Y+I0$g-b_e>UI@ zR8aiY>H&c zqnM4+%!aW{**Io>II|Y_g7Av^1PVV&Pd*>|42SWe;;ml|&9G+-+z5O^JTgoUo_8UOmm5G zuITJkGrOn%z`7m@e-W0?mNfZu{hBIU;jBOKBa~uE{9MW1L`Q8ud;B9+yj9LO*dP4a z6#vdiz?k!8LYF9{25Z5a+KwQVZY7aB_`aln4 zg@KYBg>kmOpIy`%YED1YF^1Zo${%SBo-bMVzN26GdLx~?}IAi|TL+#3$i!-k#R^g&OOcJ4Qf zm)0gB)UnT)L^(Ac5D!22z5_i0k@k#A>wAvE2YTq8o9#-;s1}J__RpG^3(6*pWlcdB ztV@#8_$&;od`Y{COQxc`>@oC7#;Qs%glS)>FO)b}^SvE;=0d5tP-ZUl8OmzOyOg)u z_*Mo>Dqz`%( zu(y@lA%kDPgKP2Qc1T~aBhpH9yrq2Ke(TD4#1nSNJ@;TOa*I%LON+u?>D`0X4Oh<> z?yWWo_hZ}$@w^CoiOO+%clEyACx-uHcvsWDrtYRB7@RfjXxb;}%qxOazA?vb)>i6P zCDFriZZ^Z~%b&*VM6jKw;G>&C+DNc9^P8-hb zYK@O#nj)EI4bu|Lv_>#H+bm59?PhA-E%ce#DK}cEvgwmV7_ZJv;AsSj#`|1cl9+4R zFr;nKUJwpZlgR4hR52=33m^D!B+zo7i|;kRImN9)10Ju3 z`1+<5HF~pZSR~f$Z_lD4L)*w<)Y;3YAyF^%BeT$t%t8;cC$Ftu>^`KgP6ouN>WbB# z(?8hM48cS(ZAgkwVwCO|k;l_sh*vz^mjX39b11`QA2v_9VLEmL;59mX4&KzF^I(k* zkj5^?ZRBG-z|j3Bl4|znyPos#6vDLev%O%9$96(skTSI#Ikl9draoFOc?I5Fp-4NE zF`133?1fjX0wp9_k{G8sf&Brv$>8w?hHi^1Du?_zKX)n}9!RP z@yHT3mz^4>Ba&%{x_7ABgE)3=o7!Wjwj4u*3b2vPZjN2gJDjf~;@Rf{StQemuhvwo zu5p@ssS&22AE8Vq-}NFFn@!H@SOt-DAxo=e)%XUwFDs^FiB@dJ7rFepy|%D5R>7<^ zjOk41k_pD87ALLjHYXl>CpmRum|CphlS+AgY#u%eR-KnPeDlbMlADvxzWL&ifS+2L z)lfZbZ|GzD+G?!Tj-i%O;boGq;<{u3tCiFsRakHA-)%B=2mS8nuX>(YM(az;P7leEh_x{IY8E8 zTIs*!K&V1jc>FEC5urA`dNM@i&X)%>dz!SZ`qtQ1bE}~hwzsWD%s{$UQ>$RC3m9SD zi`%fhK&>VdQQXW{!Gs+rpIE8kb3nPS?ex;&o=*XACwJ5Z#T9btXd1;h+@-ulD(@~5 z#dLXd-9^^jooi;dz$hPIz41_6sbRYNaU8q+HjswdN6%-M-A)j$@b?R?PW4|F%p3p{ zb}JrSC}oljA{!H_)k+ObGYM%%r0x2>J5yAV>Cr*ha2((V@CTl2c_>06A3T~W;px5w>gd}>3?`?2|!bWe#JBqvhb zLpjBR9;RUq!7~1e_No;uFG(81V|8)ilB4@!I<@_3|6JaY>$Wp_#s-VlV3^6@D5hx%Z%NsP|d)Ts|5swJ7s_=Cw*SkVWk6<*)OhsG}89I#ebqxCa~kIad&Ah~C@%M=IqNVqUTWoz9p0@C`6>N-`q&*zTyabu@ zC4MP*-+<1EFe1L-fDakKZa7OAKk8J@)v*sr+S4%VKO{3hB(1hKYt6Be;g&}0>a%Z- z1h(n&U0-@AQSz*g{z>T^0-aK+k>Y8tzLv>AHDEnax%# zSJLR~Xq5kF;^QNjljrW`9bwF=5a#7@B8y^v8W0=gJ5hOT!Qq?=zKQoKnB1J@YgYPo z(|1@iHt%s>4KqEc-2m%+y6r`y9j)k@)m zft?Xb#=1w-8R>shQOEy6Rlth^`EP#)v0km5K=AcHmGCh{_m>a_IfZElw#qRB}CJ$1=_V66XhEc zBgzu*$s=_!oj01TElE3*ccqBJV7e@URbtH5+i5WizO1yyOu61_ZA)rT?nn`tLPQki z6d>cT-e@?#W2Esy)5Vx5fW==`#Y{Qx#nzhIHokpA$HYLnM09_Mm=>xe1jWD-{epy! zuo^JP;8I(A9%g{&(Yk?bkdqZpv#v>0^rj%PCho6daXKm^k5P%)aFHkzCB$OufcAEc zc$OAKn~TDjpGkjheUHepnHO322OQ_PUOI1+3g2=R^g_gGiP1{h)uPp%T8?Fm$&8RPLr9Uc7J1ARITIveEs~fi zl9lC&q0Fmc%;r_)hB3@*&y{Oemq%kdOIbQC%&x7r_JOmQmF0?6Hd+b9|@!e+c6%ND1lmF>+avc;|`WtYmsF5Av#oL%0V;Y@L|XA9lg ztE=TOJ9JMh^5viyYbC6f;N*QIa9PGHTeVxPtBd<0nAgJ@{>*W#AGTswxccR8{fwJu zGZkgxBkOTlzuxZOm(s^Rlkv-Zmt7crFanX=qVCN&mkBYHPuno@K7k`D{)e1BZ*B2N z9ZU`({&syH(W4;Ra8z3`>&wV*v|{gu2l{C%&dnn*5#D&5+i*O2Nk*`QQ(p{RY8SUT z`g#KFLGldc&~)Q|U^E?L3TJ&u`4FN7v%Wxs9~$;VevJdp-i(4-zc|s8@A|2`%_5dO z^ayS8b>-?+<&ZkmDkaE#~%a@=i6;XZN0i9YuDp9uFxB5|Bp6ebe~ z%a$dl%0fd{%(+MUj70K)M4F)_Y4i)hymsky{}uTXT(US>j9lX2kQMoOCsZVr`DEWS z5_opJOeFJJbT6g5B45Sp&dl;jM>^#{WBNQR<$%(U6N%JrIu>anrLZzLNH zvUVFKcw3fi^s*Fo8yocKJ514{-{nWLEF;q0&)&|K(EWfvR_NNbZ8AE#L1!-8XtxVm zS{x9E@kJ|qsB3k#tT#hw93S`4O2E6XfeuH8xx|@+{+9XgLR3G)g_PI@%!WtfmQDQ- zX>oLPg0dkwZZ2~oCyxZUNw{^rIQ4Qg&wTntdv#Y?jZ4Ss@!|K{#8W^EjHgwlOEmrIVOY?vk6I4hjW+go98Z8y$B zb9$K&>Mi*|`=M>r_P+I^cKN!AOBgnTVM|18hKMZ@ zvl(KxM8alB*b*t5A!SQsY=(?2@nJK3*b+ILA!kc`*$iK{M8Re#*b+ZB!;dXdvKdOY z#GlRZXG;Rui~zPIkj)5;Td&g3P*JunmOs-0PAd2=Lua7x&U-s{(g|a4-)u60zXLL z2MPQjfgdFBg9Lt%zz-7mK>|NW;0Fo(Ab}qw@PhX#x z^=cd3mw2C$;LHCjVVM|HPVi1OWi?CMir)>tNoLydo7z&(Z;x-h1^7>i7*O@WZDi(F zE183z0M8B}HlYk2GwoS$3*cJe9B_SbUy+&B`0Z?&4el%awl>Na;di===EC83y+iWg z5DqDV!|!c}tb}`y)Q3q#i+&88)fsT})8= zw?6+I@Oi=bdGZ4&PQ-b5pv=r_;Kf((wbqr5L<5RN)l?}q~}-z+U45&i%UJlDwK z!r?gK_m06f!js^@PYuQMD%>$rA9)OA=OPU>Y8-H{B5fG%Dt?n(iM$0wIi9$_cnjsX zLI%FzBkC|*103WK1zAVYH2k)BR5={@i@HiL&sDDb;V4&uF5vwG+*PNHbCG|^{q<#tVp3;)~Vh620L>fqD5(e~dk}L&nQ^=_{6|M-b9P%iEUq@!r zHfBG7`va+unFb#`#Pq>Ye~{GcLjdQ18-imyk{C}W%f2btHNr#8n#>_WY3QSUkx z^6@+F3Jb!iWDXBl6Xa$}h0~+Vr|=>7!YR;OI;y*coNE>ZYG)!+GZ`B1a2shn_cYvmxH`BzxIXkV)UR$Xd=>l>m+K?6nie>Gpl@~1p*pln z9b{A2OX{tllLS20oA41%o(8uP4)~KDNVCB|1qaw<9&jb3K5;+N%i-oC44EWmz#*Ra zH26eX3UH(>fNO?>+!c9nMR052(1vwrle+6fiq0dQM-cv!%-p6V(wV3$@j;m(QlAQ* z#>+|lg!S!kkvn@Asq`-AW+^)t8aNBFD1x~PsYd2m|rS59WuL%#JE zLf$0sZE&Dl5B;oP180Y8CH3jxJq>L-74>+~LFzNWcN*LSH%R>ywAp>A!##s=fVmfP zy7wy5ZUWWvy2R!$r!iA9f$&m46EvcUb`1^n_9pQ94;*i56XMI5GXM@+-`$>J)c{mgUWS_4BU7m6Q4$#OHxHRBvYeG4xw1r&Dl4 zr2bLBJQe~6UVdzWn@j5FSHXvyKM8&+U_M2dL-EkdEh-yaPQ)B{mMn8X@p=1LfRjQG#xTXmyns;q5Io0j%?3^D~IcZ>w~)v2b>FM zz=5BIgK(g|@GH0i;19lhcF$IZ!VFosiYKv-~a)8f2KH_-`fQ2Qlfqb=TiFaNJIhTtsFz z=<%d~S}EiG6L|v!^EH0NG$wGM{EEvTJ}d&dQ3kpVA5H+IFoas%z=BHn6XJIsj|3*YA>#G|pKPuchG2L@`dP z-}r6p9XDHzx4u#F-o4WhS=hI+I)KZ;>+OvEhQMP8(7#{O&%puY$1@)BR&n=@)M65^*RC}ayE?jtGv4Kcw;Oa?Iu`WJh$JWP44>dXNL$)CyalM7`Q8XzM9Q#2xrQq`4{dI9?E3vd z7jwXOuX@{CiSjAQvl?4K?fz3}IxNqHwkek#{dbS)4kWP@mu=pG_kde4y%q+hC((jr;{s zhzTTEPdn>CBk+hp*IG3k+oe$h8u z@60>kjAtG!0&nD3;hHVL=K=G0=K^g}ciO^QL0_VPhK6w`)5*N_{}L?#yZN_Z0PBF``n((Lz(R9FM#4eY{l6DB`FBb89F z5{e%dCy({I9iDU%^)!EMKfcpA?piA9meG)oEyoe@{?8spx0LKyBf;?y;gis7sfcio zoSq1|Hk&=KgjJ*d#Cj6z6p0moL%!DxB8NY zuvQEt#afvdDHUrt@KMK)b66`yWg|Xj-pv>mbFg#{lW8!!_W~aRf8 (.) + *(*.lit4) + .lit4 0x004e8000 0x10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .ResetVector.lit4 + 0x004e8010 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .UserExceptionVector.lit4 + 0x004e8018 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .lit4 0x004e801c 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .lit4 0x004e8020 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .lit4 0x004e8028 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .lit4 0x004e8034 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .lit4 0x004e8040 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .lit4 0x004e8048 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .lit4 0x004e8050 0x10c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .lit4 0x004e815c 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .lit4 0x004e8178 0x38 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .lit4 0x004e81b0 0x50 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .lit4 0x004e8200 0x2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .lit4 0x004e822c 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .lit4 0x004e8240 0x50 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .lit4 0x004e8290 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .lit4 0x004e82ac 0x34 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .lit4 0x004e82e0 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .lit4 0x004e82f8 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .lit4 0x004e8310 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .lit4 0x004e832c 0x28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .lit4 0x004e8354 0x34 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .lit4 0x004e8388 0x7c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .lit4 0x004e8404 0x88 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .lit4 0x004e848c 0xf4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .lit4 0x004e8580 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .lit4 0x004e858c 0x34 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .lit4 0x004e85c0 0x10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .lit4 0x004e85d0 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .lit4 0x004e85e4 0x30 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .lit4 0x004e8614 0x50 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .lit4 0x004e8664 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .lit4 0x004e8668 0x54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .lit4 0x004e86bc 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .lit4 0x004e86d8 0x10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .lit4 0x004e86e8 0x4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .lit4 0x004e86ec 0x10 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .lit4 0x004e86fc 0x8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + *(.gnu.linkonce.lit4.*) + 0x004e8704 _lit4_end = (.) + +.ResetVector.literal + 0x004e8704 0x0 + 0x004e8704 _ResetVector_literal_start = (.) + *(.ResetVector.literal) + 0x004e8704 _ResetVector_literal_end = (.) + +.dport0.stack 0x0053f7f0 0x800 + 0x0053f7f0 _reserved_stack_start = . + 0x0053f7f0 _stack_sentry = ALIGN (0x8) + 0x0053fff0 . = (. + 0x800) + *fill* 0x0053f7f0 0x800 00 + *fill* 0x0053fff0 0x0 00 + *fill* 0x0053fff0 0x0 00 + *fill* 0x0053fff0 0x0 00 + *fill* 0x0053fff0 0x0 00 + 0x0053fff0 __stack = . + 0x0053fff0 _reserved_stack_end = . + +.dport0.usb_in_rom + 0x004e0100 0xec + 0x004e0100 _reserved_rom_data_start = . + 0x004e0100 _reserved_rom_data_end = . + 0x004e0260 _data_start_in_rom = 0x160 + .dport0.usb_in_rom + 0x004e0100 0xec /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + 0x004e0100 UsbDeviceDescriptor + 0x004e01c0 String20Descriptor + 0x004e01b0 String10Descriptor + 0x004e0120 u8HSConfigDescriptor01 + 0x004e0160 u8FSConfigDescriptor01 + 0x004e01a0 String00Descriptor + 0x004e01e0 String30Descriptor + +.dport0.data 0x00500000 0x400 + 0x00500000 _reserved_data_start = 0x0 + 0x00500000 _indir_tbl = 0x0 + 0x00500400 . = 0x400 + *fill* 0x00500000 0x400 00 + *fill* 0x00500400 0x0 00 + *fill* 0x00500400 0x0 00 + *fill* 0x00500400 0x0 00 + *fill* 0x00500400 0x0 00 + 0x00500400 _reserved_data_end = . + 0x00500400 _dport0_data_start = (.) + *(.dport0.data) + *(.dport.data) + 0x00500400 _dport0_data_end = (.) + +.dport0.bss 0x00500400 0x0 + 0x00500400 . = ALIGN (0x8) + 0x00500400 _dport0_bss_start = (.) + *(.dport0.bss) + 0x00500400 . = ALIGN (0x8) + 0x00500400 _dport0_bss_end = (.) + +.dram0.rodata 0x004e8704 0x0 + 0x004e8704 _dram0_rodata_start = (.) + *(.dram0.rodata) + *(.dram.rodata) + 0x004e8704 _dram0_rodata_end = (.) + +.rodata 0x004e8710 0x934 + 0x004e8710 _rodata_start = (.) + *(.rodata) + .rodata 0x004e8710 0x30 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + 0x004e8710 _rom_store_table + .rodata 0x004e8740 0x50 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + 0x004e8740 cmnos_clocking_table + .rodata 0x004e8790 0x98 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + 0x004e87a4 Xthal_have_loops + 0x004e87d6 Xthal_have_mimic_cacheattr + 0x004e87d8 Xthal_mmu_rings + 0x004e87a3 Xthal_have_density + 0x004e8798 Xthal_rev_no + 0x004e87a1 Xthal_memory_order + 0x004e8824 Xthal_xlmi_size + 0x004e87ac Xthal_have_highlevel_interrupts + 0x004e87cc Xthal_hw_min_version_major + 0x004e87a9 Xthal_have_exceptions + 0x004e87c4 Xthal_hw_release_major + 0x004e87ec Xthal_instrom_vaddr + 0x004e87f4 Xthal_instrom_size + 0x004e8820 Xthal_xlmi_paddr + 0x004e87d9 Xthal_mmu_ca_bits + 0x004e87d7 Xthal_have_tlbs + 0x004e87f0 Xthal_instrom_paddr + 0x004e87a2 Xthal_have_windowed + 0x004e87e9 Xthal_num_ccompare + 0x004e87a0 Xthal_num_aregs_log2 + 0x004e8818 Xthal_dataram_size + 0x004e8814 Xthal_dataram_paddr + 0x004e8790 Xthal_release_major + 0x004e8810 Xthal_dataram_vaddr + 0x004e87d0 Xthal_hw_max_version_major + 0x004e87e6 Xthal_num_instram + 0x004e87e5 Xthal_dtlb_ways + 0x004e87d4 Xthal_have_spanning_way + 0x004e880c Xthal_datarom_size + 0x004e87a8 Xthal_have_mul16 + 0x004e87b0 Xthal_have_s32c1i + 0x004e87e4 Xthal_itlb_ways + 0x004e87c0 Xthal_hw_configid1 + 0x004e87ab Xthal_have_interrupts + 0x004e87af Xthal_have_release_sync + 0x004e87c8 Xthal_hw_release_name + 0x004e87fc Xthal_instram_paddr + 0x004e87b2 Xthal_have_pif + 0x004e87a6 Xthal_have_minmax + 0x004e879c Xthal_num_aregs + 0x004e87ad Xthal_have_nmi + 0x004e87b8 Xthal_build_unique_id + 0x004e8804 Xthal_datarom_vaddr + 0x004e8808 Xthal_datarom_paddr + 0x004e87d5 Xthal_have_identity_map + 0x004e87aa Xthal_xea_version + 0x004e87dc Xthal_mmu_max_pte_page_size + 0x004e87ae Xthal_have_prid + 0x004e87e0 Xthal_mmu_min_pte_page_size + 0x004e8800 Xthal_instram_size + 0x004e881c Xthal_xlmi_vaddr + 0x004e87a5 Xthal_have_nsa + 0x004e87e8 Xthal_have_ccount + 0x004e87bc Xthal_hw_configid0 + 0x004e87b4 Xthal_num_writebuffer_entries + 0x004e8794 Xthal_release_name + 0x004e87f8 Xthal_instram_vaddr + 0x004e87e7 Xthal_num_dataram + 0x004e87b1 Xthal_have_threadptr + 0x004e87a7 Xthal_have_sext + *fill* 0x004e8828 0x8 00 + .rodata 0x004e8830 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + 0x004e8830 Xthal_intlevel + *(.rodata.*) + .rodata.str1.4 + 0x004e8850 0x22a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + *fill* 0x004e8a7a 0x2 00 + .rodata.str1.4 + 0x004e8a7c 0x5d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + *fill* 0x004e8ad9 0x3 00 + .rodata.str1.4 + 0x004e8adc 0x1e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + *fill* 0x004e8afa 0x2 00 + .rodata.str1.4 + 0x004e8afc 0x98 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + 0x9c (size before relaxing) + .rodata.str1.4 + 0x004e8b94 0x3b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + *fill* 0x004e8bcf 0x1 00 + .rodata.str1.4 + 0x004e8bd0 0x82 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + *fill* 0x004e8c52 0x2 00 + .rodata.str1.4 + 0x004e8c54 0x71 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + *fill* 0x004e8cc5 0x3 00 + .rodata.str1.4 + 0x004e8cc8 0xc2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + *fill* 0x004e8d8a 0x2 00 + .rodata.str1.4 + 0x004e8d8c 0x11e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + *fill* 0x004e8eaa 0x2 00 + .rodata.str1.4 + 0x004e8eac 0x22 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + 0x27 (size before relaxing) + *fill* 0x004e8ece 0x2 00 + .rodata.str1.4 + 0x004e8ed0 0x151 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + *fill* 0x004e9021 0x3 00 + .rodata.str1.4 + 0x004e9024 0x10 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + *(.gnu.linkonce.r.*) + *(.rodata1) + 0x004e9034 __XT_EXCEPTION_TABLE__ = (.) + *(.xt_except_table) + *(.gcc_except_table) + *(.gnu.linkonce.e.*) + *(.gnu.version_r) + 0x004e9034 . = ALIGN (0x4) + 0x004e9034 _bss_table_start = (.) + 0x004e9034 0x4 LONG 0x500400 _dport0_bss_start + 0x004e9038 0x4 LONG 0x500400 _dport0_bss_end + 0x004e903c 0x4 LONG 0x500950 _bss_start + 0x004e9040 0x4 LONG 0x500b78 _bss_end + 0x004e9044 _bss_table_end = (.) + 0x004e9044 _rodata_end = (.) + +.dram0.literal 0x004e9044 0x0 + 0x004e9044 _dram0_literal_start = (.) + *(.dram0.literal) + *(.dram.literal) + 0x004e9044 _dram0_literal_end = (.) + +.dram0.data 0x00500400 0x0 + 0x00500400 _dram0_data_start = (.) + *(.dram0.data) + *(.dram.data) + 0x00500400 _dram0_data_end = (.) + +.data 0x00500400 0x550 + 0x00500400 _data_start = (.) + *(.data) + .data 0x00500400 0x100 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + 0x00500400 _xtos_exc_handler_table + .data 0x00500500 0x138 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + 0x00500500 _xtos_enabled + 0x00500500 _xtos_intstruct + 0x00500508 _xtos_interrupt_table + 0x005005a0 _xtos_interrupt_mask_table + 0x00500504 _xtos_vpri_enabled + *fill* 0x00500638 0x8 00 + .data 0x00500640 0xb8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + 0x00500640 basic_ROM_module_table + .data 0x005006f8 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + 0x005006fc assprint + 0x005006f8 assloop + .data 0x00500700 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + 0x00500700 _putc + .data 0x00500704 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + 0x00500704 patch_addr + .data 0x00500708 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + 0x00500708 pci_sc + *fill* 0x00500714 0xc 00 + .data 0x00500720 0x44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + 0x00500720 TestPatn0 + 0x00500730 TestPatn1 + *fill* 0x00500764 0xc 00 + .data 0x00500770 0x4c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + 0x00500780 u8OtherSpeedConfigDescriptorEX + 0x00500770 u8DeviceQualifierDescriptorEX + *fill* 0x005007bc 0x4 00 + .data 0x005007c0 0x90 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + 0x005007c0 eng_q + .data 0x00500850 0x100 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + 0x00500850 _xtos_c_handler_table + *(.data.*) + *(.gnu.linkonce.d.*) + *(.data1) + *(.sdata) + *(.sdata.*) + *(.gnu.linkonce.s.*) + *(.sdata2) + *(.sdata2.*) + *(.gnu.linkonce.s2.*) + *(.jcr) + *(.eh_frame) + *crtbegin.o(.ctors) + *(EXCLUDE_FILE(*crtend.o) .ctors) + *(SORT(.ctors.*)) + *(.ctors) + *crtbegin.o(.dtors) + *(EXCLUDE_FILE(*crtend.o) .dtors) + *(SORT(.dtors.*)) + *(.dtors) + 0x00500950 __XT_EXCEPTION_DESCS__ = (.) + *(.xt_except_desc) + *(.gnu.linkonce.h.*) + 0x00500950 __XT_EXCEPTION_DESCS_END__ = (.) + *(.xt_except_desc_end) + *(.dynamic) + *(.gnu.version_d) + 0x00500950 _data_end = (.) + +.bss 0x00500950 0x2a8 + 0x00500950 . = ALIGN (0x8) + 0x00500950 _bss_start = (.) + *(.dynsbss) + *(.sbss) + *(.sbss.*) + *(.gnu.linkonce.sb.*) + *(.scommon) + *(.sbss2) + *(.sbss2.*) + *(.gnu.linkonce.sb2.*) + *(.dynbss) + *(.bss) + .bss 0x00500950 0x2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + *fill* 0x00500952 0x2 00 + .bss 0x00500954 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + 0x00500954 allocram_current_addr + 0x00500958 allocram_remaining_bytes + .bss 0x0050095c 0x1c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + 0x00500970 pll_ctrl_setting_5ghz + 0x0050096c pll_ctrl_setting_24ghz + 0x00500964 clock_info + 0x0050095c cticks + 0x00500968 cmnos_skip_pll_init + 0x00500960 curr_band + .bss 0x00500978 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + 0x00500978 eep_state + 0x0050097a eep_exist + *fill* 0x0050097c 0x4 00 + .bss 0x00500980 0xa8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + 0x00500980 cmnos_enabled_interrupts + 0x00500990 cmnos_isr_info + .bss 0x00500a28 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + 0x00500a28 current_dump + .bss 0x00500a2c 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + 0x00500a2c patch_start + .bss 0x00500a30 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .bss 0x00500a34 0x4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + 0x00500a34 timer_list + .bss 0x00500a38 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + 0x00500a38 wdt_ctrl + .bss 0x00500a44 0x10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .bss 0x00500a54 0x44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + 0x00500a54 g_hifUSBCtx + .bss 0x00500a98 0x5e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + 0x00500abc u16TxRxCounter + 0x00500ad0 u8UsbInterfaceValue + 0x00500ae0 u8String10Descriptor + 0x00500ad4 u16FirmwareComplete + 0x00500ad8 u8UsbDeviceDescriptor + 0x00500ad2 u8UsbInterfaceAlternateSetting + 0x00500aa4 usbFifoConf + 0x00500ab8 pu8DescriptorEX + 0x00500ac8 eUsbCxCommand + 0x00500af0 UsbStatus + 0x00500a98 ControlCmd + 0x00500ace u8UsbConfigValue + 0x00500ae4 u8String20Descriptor + 0x00500ae8 u8String30Descriptor + 0x00500ac4 eUsbCxFinishAction + 0x00500ac0 u8ConfigDescriptorEX + 0x00500acc UsbChirpFinish + 0x00500ab4 fwCheckSum + 0x00500adc u8String00Descriptor + *fill* 0x00500af6 0x2 00 + .bss 0x00500af8 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + 0x00500af8 g_vbufCtx + .bss 0x00500b04 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + 0x00500b04 g_vdescCtx + .bss 0x00500b0c 0xc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + 0x00500b0c fwd_sc + .bss 0x00500b18 0x2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + 0x00500b18 g_poolCtx + .bss 0x00500b44 0x2d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + 0x00500b4d Xthal_have_clamps + 0x00500b70 Xthal_num_xlmi + 0x00500b48 Xthal_release_internal + 0x00500b6a Xthal_itlb_way_bits + 0x00500b6b Xthal_itlb_arf_ways + 0x00500b44 Xthal_release_minor + 0x00500b64 Xthal_have_xlt_cacheattr + 0x00500b50 Xthal_have_speculation + 0x00500b67 Xthal_mmu_asid_kernel + 0x00500b69 Xthal_mmu_sr_bits + 0x00500b65 Xthal_have_cacheattr + 0x00500b6d Xthal_dtlb_arf_ways + 0x00500b6c Xthal_dtlb_way_bits + 0x00500b60 Xthal_hw_release_internal + 0x00500b58 Xthal_hw_min_version_minor + 0x00500b4f Xthal_have_fp + 0x00500b68 Xthal_mmu_ring_bits + 0x00500b4e Xthal_have_mac16 + 0x00500b4c Xthal_have_booleans + 0x00500b66 Xthal_mmu_asid_bits + 0x00500b6e Xthal_num_instrom + 0x00500b54 Xthal_hw_release_minor + 0x00500b5c Xthal_hw_max_version_minor + 0x00500b6f Xthal_num_datarom + *(.bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + *(.dram0.bss) + 0x00500b78 . = ALIGN (0x8) + *fill* 0x00500b71 0x7 00 + *fill* 0x00500b78 0x0 00 + *fill* 0x00500b78 0x0 00 + *fill* 0x00500b78 0x0 00 + *fill* 0x00500b78 0x0 00 + 0x00500b78 _bss_end = (.) + 0x00500bf8 . = (. + 0x80) + *fill* 0x00500b78 0x80 00 + *fill* 0x00500bf8 0x0 00 + *fill* 0x00500bf8 0x0 00 + *fill* 0x00500bf8 0x0 00 + *fill* 0x00500bf8 0x0 00 + 0x00500bf8 _end = ALIGN (0x8) + 0x00500bf8 PROVIDE (end, ALIGN (0x8)) + +.ResetVector.text + 0x008e0000 0xde + 0x008e0000 _ResetVector_text_start = (.) + *(.ResetVector.text) + .ResetVector.text + 0x008e0000 0xde /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + 0x008e0000 _ResetVector + 0x008e0020 _ResetHandler + 0x008e00de _ResetVector_text_end = (.) + +.WindowVectors.text + 0x008e0800 0x16a + 0x008e0800 _WindowVectors_text_start = (.) + *(.WindowVectors.text) + .WindowVectors.text + 0x008e0800 0x16a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + 0x008e0900 _WindowOverflow12 + 0x008e0800 _WindowOverflow4 + 0x008e0840 _WindowUnderflow4 + 0x008e0940 _WindowUnderflow12 + 0x008e0880 _WindowOverflow8 + 0x008e08c0 _WindowUnderflow8 + 0x008e096a _WindowVectors_text_end = (.) + +.KernelExceptionVector.literal + 0x008e0d00 0x0 + 0x008e0d00 _KernelExceptionVector_literal_start = (.) + *(.KernelExceptionVector.literal) + 0x008e0d00 _KernelExceptionVector_literal_end = (.) + +.KernelExceptionVector.text + 0x008e0d40 0x6 + 0x008e0d40 _KernelExceptionVector_text_start = (.) + *(.KernelExceptionVector.text) + .KernelExceptionVector.text + 0x008e0d40 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + 0x008e0d40 _KernelExceptionVector + 0x008e0d46 _KernelExceptionVector_text_end = (.) + +.UserExceptionVector.literal + 0x008e0e00 0x0 + 0x008e0e00 _UserExceptionVector_literal_start = (.) + *(.UserExceptionVector.literal) + 0x008e0e00 _UserExceptionVector_literal_end = (.) + +.UserExceptionVector.text + 0x008e0e20 0x17 + 0x008e0e20 _UserExceptionVector_text_start = (.) + *(.UserExceptionVector.text) + .UserExceptionVector.text + 0x008e0e20 0x17 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + 0x008e0e20 _UserExceptionVector + 0x008e0e37 _UserExceptionVector_text_end = (.) + +.DoubleExceptionVector.literal + 0x008e0f00 0x0 + 0x008e0f00 _DoubleExceptionVector_literal_start = (.) + *(.DoubleExceptionVector.literal) + 0x008e0f00 _DoubleExceptionVector_literal_end = (.) + +.DoubleExceptionVector.text + 0x008e0f20 0x6 + 0x008e0f20 _DoubleExceptionVector_text_start = (.) + *(.DoubleExceptionVector.text) + .DoubleExceptionVector.text + 0x008e0f20 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + 0x008e0f20 _DoubleExceptionVector + 0x008e0f26 _DoubleExceptionVector_text_end = (.) + +.Level2InterruptVector.literal + 0x008e0a00 0x0 + 0x008e0a00 _Level2InterruptVector_literal_start = (.) + *(.Level2InterruptVector.literal) + 0x008e0a00 _Level2InterruptVector_literal_end = (.) + +.Level2InterruptVector.text + 0x008e0a20 0x6 + 0x008e0a20 _Level2InterruptVector_text_start = (.) + *(.Level2InterruptVector.text) + .Level2InterruptVector.text + 0x008e0a20 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + 0x008e0a20 _Level2Vector + 0x008e0a26 _Level2InterruptVector_text_end = (.) + +.Level3InterruptVector.literal + 0x008e0b00 0x0 + 0x008e0b00 _Level3InterruptVector_literal_start = (.) + *(.Level3InterruptVector.literal) + 0x008e0b00 _Level3InterruptVector_literal_end = (.) + +.Level3InterruptVector.text + 0x008e0b20 0x6 + 0x008e0b20 _Level3InterruptVector_text_start = (.) + *(.Level3InterruptVector.text) + .Level3InterruptVector.text + 0x008e0b20 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + 0x008e0b20 _Level3Vector + 0x008e0b26 _Level3InterruptVector_text_end = (.) + +.DebugExceptionVector.literal + 0x008e0c00 0x0 + 0x008e0c00 _DebugExceptionVector_literal_start = (.) + *(.DebugExceptionVector.literal) + 0x008e0c00 _DebugExceptionVector_literal_end = (.) + +.DebugExceptionVector.text + 0x008e0c20 0x6 + 0x008e0c20 _DebugExceptionVector_text_start = (.) + *(.DebugExceptionVector.text) + .DebugExceptionVector.text + 0x008e0c20 0x6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + 0x008e0c20 _DebugExceptionVector + 0x008e0c26 _DebugExceptionVector_text_end = (.) + +.NMIExceptionVector.literal + 0x008e0ce0 0x0 + 0x008e0ce0 _NMIExceptionVector_literal_start = (.) + *(.NMIExceptionVector.literal) + 0x008e0ce0 _NMIExceptionVector_literal_end = (.) + +.NMIExceptionVector.text + 0x008e0ce4 0x3 + 0x008e0ce4 _NMIExceptionVector_text_start = (.) + *(.NMIExceptionVector.text) + .NMIExceptionVector.text + 0x008e0ce4 0x3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + 0x008e0ce4 _NMIExceptionVector + 0x008e0ce7 _NMIExceptionVector_text_end = (.) + +.iram0.text 0x008e1000 0x0 + 0x008e1000 _iram0_text_start = (.) + *(.iram0.literal .iram.literal .iram.text.literal .iram0.text .iram.text) + 0x008e1000 _iram0_text_end = (.) + +.text 0x008e1000 0x5d58 + 0x008e1000 _stext = . + 0x008e1000 _text_start = (.) + *(.entry.text) + *(.init) + *(.init) + *(.literal .text .literal.* .text.* .stub .gnu.warning .gnu.linkonce.literal.* .gnu.linkonce.t.*.literal .gnu.linkonce.t.*) + .text 0x008e1000 0x2a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + 0x008e1000 _start + *fill* 0x008e102a 0x2 00 + .text 0x008e102c 0xd7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + 0x008e102c _xtos_alloca_handler + *fill* 0x008e1103 0x1 00 + .text 0x008e1104 0x6f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + 0x008e1104 _xtos_syscall_handler + 0x008e1143 _SyscallException + *fill* 0x008e1173 0x1 00 + .text 0x008e1174 0x6e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + 0x008e1174 _xtos_l1int_handler + 0x008e1192 _LevelOneInterrupt + *fill* 0x008e11e2 0x2 00 + .text 0x008e11e4 0x5c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + 0x008e11e4 _xtos_set_interrupt_handler_arg + 0x008e1230 _xtos_set_interrupt_handler + .text 0x008e1240 0xb1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + 0x008e1240 _Level2FromVector + 0x008e12f1 _Level2HandlerLabel + *fill* 0x008e12f1 0x3 00 + .text 0x008e12f4 0xae /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + 0x008e12f4 _Level3FromVector + 0x008e13a2 _Level3HandlerLabel + *fill* 0x008e13a2 0x2 00 + .text 0x008e13a4 0x826 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + 0x008e1604 athos_interrupt_handler + 0x008e18c8 turn_off_rc + 0x008e167c athos_init + 0x008e16e8 read_usb_conf + 0x008e178c set_pci_conf + 0x008e1614 athos_interrupt_init + 0x008e1548 generic_hif_module_install + 0x008e16b0 _read_usb_desc + 0x008e13f8 Magpie_fatal_exception_handler + 0x008e1468 athos_restore_intrlvl + 0x008e18fc bootentry + 0x008e1bac main + 0x008e189c pci_gmac_bootload + 0x008e1574 athos_indirection_table_install + 0x008e1860 bootload + 0x008e13a4 post + 0x008e1454 athos_block_all_intrlvl + 0x008e1460 athos_unblock_all_intrlvl + *fill* 0x008e1bca 0x2 00 + .text 0x008e1bcc 0x78 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + 0x008e1c30 cmnos_allocram_module_install + 0x008e1bdc cmnos_allocram + 0x008e1c0c cmnos_allocram_debug + 0x008e1bcc cmnos_allocram_init + .text 0x008e1c44 0xf2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + 0x008e1c74 cmnos_refclk_speed_get + 0x008e1c80 cmnos_uart_frequency + 0x008e1c88 cmnos_sysclk_change + 0x008e1c98 cmnos_wlan_band_set + 0x008e1cdc cmnos_tick + 0x008e1ca0 cmnos_pll_init + 0x008e1c44 cmnos_delay_us + 0x008e1c90 cmnos_clockregs_init + 0x008e1c64 cmnos_milliseconds + 0x008e1d04 cmnos_clock_module_install + 0x008e1ca8 cmnos_clock_init + *fill* 0x008e1d36 0x2 00 + .text 0x008e1d38 0x3e5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + 0x008e1dbc cmnos_eep_is_exist + 0x008e1d38 cmnos_eeprom_write_hword + 0x008e2104 cmnos_eep_module_install + 0x008e1d90 cmnos_eeprom_read_hword + 0x008e1e54 cmnos_eep_read + 0x008e1e9c cmnos_eep_init + 0x008e1df4 cmnos_eep_write + *fill* 0x008e211d 0x3 00 + .text 0x008e2120 0x18e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + 0x008e2150 cmnos_intr_mask_inum + 0x008e2230 cmnos_intr_invoke_isr + 0x008e2290 cmnos_intr_module_install + 0x008e2120 cmnos_intr_dummy + 0x008e2190 cmnos_intr_unmask_inum + 0x008e2128 cmnos_intr_init + 0x008e21cc cmnos_intr_attach_isr + *fill* 0x008e22ae 0x2 00 + .text 0x008e22b0 0x26 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + 0x008e22b0 cmnos_mem_init + 0x008e22b8 cmnos_mem_module_install + *fill* 0x008e22d6 0x2 00 + .text 0x008e22d8 0x175 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + 0x008e22f4 cmnos_misaligned_load_handler + 0x008e2414 cmnos_rom_version_get + 0x008e22ec cmnos_mac_reset + 0x008e22d8 cmnos_system_reset + 0x008e23f4 cmnos_is_host_present + 0x008e23c4 cmnos_get_kbhit + 0x008e2420 cmnos_misc_module_install + 0x008e2348 cmnos_assfail + 0x008e23b4 cmnos_report_failure_to_host + 0x008e23bc cmnos_target_id_get + *fill* 0x008e244d 0x3 00 + .text 0x008e2450 0x513 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + 0x008e2954 cmnos_printf_module_install + 0x008e2450 cmnos_write_char + 0x008e2918 cmnos_printf + 0x008e294c cmnos_printf_init + *fill* 0x008e2963 0x1 00 + .text 0x008e2964 0x155 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + 0x008e29e8 cmnos_romp_decode + 0x008e2aa0 cmnos_romp_module_install + 0x008e2a34 cmnos_romp_download + 0x008e2a98 cmnos_romp_init + 0x008e297c _read_rom_patch + 0x008e2964 _patch_dump + 0x008e2a24 cmnos_romp_install + *fill* 0x008e2ab9 0x3 00 + .text 0x008e2abc 0x2b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + 0x008e2ac4 cmnos_string_module_install + 0x008e2abc cmnos_string_init + *fill* 0x008e2ae7 0x1 00 + .text 0x008e2ae8 0xb2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + 0x008e2ae8 cmnos_tasklet_init + 0x008e2b20 cmnos_tasklet_disable + 0x008e2b04 cmnos_tasklet_schedule + 0x008e2b7c cmnos_tasklet_module_install + 0x008e2b54 cmnos_tasklet_run + 0x008e2af4 cmnos_tasklet_init_task + *fill* 0x008e2b9a 0x2 00 + .text 0x008e2b9c 0xde /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + 0x008e2b9c cmnos_timer_setfn + 0x008e2bf0 cmnos_timer_disarm + 0x008e2bac cmnos_timer_arm + 0x008e2c5c cmnos_timer_module_install + 0x008e2c2c cmnos_timer_handler + 0x008e2c20 cmnos_timer_init + *fill* 0x008e2c7a 0x2 00 + .text 0x008e2c7c 0x114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + 0x008e2d28 cmnos_wdt_init + 0x008e2d0c cmnos_wdt_disable + 0x008e2d68 cmnos_wdt_module_install + 0x008e2c7c cmnos_wdt_last_boot + 0x008e2d50 cmnos_wdt_task + 0x008e2cc4 cmnos_wdt_set + 0x008e2ca8 cmnos_wdt_reset + 0x008e2cec cmnos_wdt_enable + .text 0x008e2d90 0x19b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + 0x008e2e0c _uart_char_put_nowait + 0x008e2e6c _uart_str_out + 0x008e2dd8 _uart_char_get_nothing + 0x008e2de0 _uart_char_put + 0x008e2e58 _uart_task + 0x008e2e60 _uart_status + 0x008e2d90 _uart_init + 0x008e2ef4 cmnos_uart_module_install + 0x008e2e38 _uart_char_get + 0x008e2dd0 _uart_char_put_nothing + 0x008e2e8c _uart_config + *fill* 0x008e2f2b 0x1 00 + .text 0x008e2f2c 0x41a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + 0x008e3314 hif_pci_api_install + 0x008e3180 __pci_reap_recv + 0x008e2f6c __pci_get_tx_eng + 0x008e2fa4 __pci_enable + 0x008e3290 __pci_is_pipe_supported + 0x008e2f80 __pci_get_rx_eng + 0x008e2f38 __pci_reg_write + 0x008e32a0 __pci_get_max_msg_len + 0x008e31a8 __pci_isr_handler + 0x008e3248 __pci_xmit_buf + 0x008e30a8 __pci_init + 0x008e32c0 __pci_get_def_pipe + 0x008e3140 __pci_reg_callback + 0x008e32d0 hif_pci_module_install + 0x008e30fc __pci_cfg_pipe + 0x008e2f44 __pci_get_pipe + 0x008e32b0 __pci_get_reserved_headroom + 0x008e3070 __pci_boot_init + 0x008e3154 __pci_reap_xmitted + 0x008e3274 __pci_return_recv + 0x008e32b8 __pci_shutdown + 0x008e2f2c __pci_reg_read + 0x008e3138 __pci_start + 0x008e2fbc __pci_reset + *fill* 0x008e3346 0x2 00 + .text 0x008e3348 0x4d4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + 0x008e3780 HIFusb_DescTraceDump + 0x008e3614 _HIFusb_return_recv_buf + 0x008e3658 _HIFusb_is_pipe_supported + 0x008e33c8 _HIFusb_init + 0x008e367c _HIFusb_isr_handler + 0x008e3668 _HIFusb_get_max_msg_len + 0x008e3648 _HIFusb_pause_recv + 0x008e3508 _HIFusb_config_pipe + 0x008e3640 _HIFusb_set_recv_bufsz + 0x008e373c hif_usb_module_install + 0x008e3424 _HIFusb_register_callback + 0x008e341c _HIFusb_shutdown + 0x008e372c _HIFusb_get_default_pipe + 0x008e3438 _HIFusb_start + 0x008e35b4 _HIFusb_send_buffer + 0x008e3650 _HIFusb_resume_recv + .text 0x008e381c 0xf9d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + 0x008e470c usbfifo_module_install + 0x008e471c cmnos_usb_module_install + 0x008e4638 _usb_init + *fill* 0x008e47b9 0x3 00 + .text 0x008e47bc 0x3b3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + 0x008e47f8 mUsbFIFOConfig + 0x008e4840 mUsbEPMxPtSzLow + 0x008e47bc mUsbEPMap + 0x008e4a40 vUsbFIFO_EPxCfg_FS + 0x008e4818 mUsbEPMxPtSzHigh + 0x008e48b0 vUsbFIFO_EPxCfg_HS + 0x008e47d8 mUsbFIFOMap + 0x008e4864 mUsbEPinHighBandSet + *fill* 0x008e4b6f 0x1 00 + .text 0x008e4b70 0x243 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + 0x008e4c40 __dma_lib_rx_init + 0x008e4d7c dma_lib_module_install + 0x008e4d14 __dma_reap_recv + 0x008e4b7c __dma_reg_write + 0x008e4c6c __dma_hard_xmit + 0x008e4cec __dma_xmit_done + 0x008e4b88 __dma_set_base + 0x008e4bf0 __dma_lib_rx_config + 0x008e4ba8 __dma_lib_tx_init + 0x008e4d30 __dma_return_recv + 0x008e4b70 __dma_reg_read + 0x008e4c94 __dma_reap_xmitted + 0x008e4cb0 __dma_flush_xmit + 0x008e4d58 __dma_recv_pkt + *fill* 0x008e4db3 0x1 00 + .text 0x008e4db4 0x84 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + 0x008e4e10 _vbuf_free_vbuf + 0x008e4e24 vbuf_module_install + 0x008e4df0 _vbuf_alloc_vbuf + 0x008e4db4 _vbuf_init + .text 0x008e4e38 0xb5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + 0x008e4e74 _vdesc_alloc_desc + 0x008e4e8c _vdesc_get_hw_desc + 0x008e4ed4 vdesc_module_install + 0x008e4e38 _vdesc_init + 0x008e4e94 _vdesc_swap_vdesc + *fill* 0x008e4eed 0x3 00 + .text 0x008e4ef0 0x8ef /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + 0x008e55b8 HTCControlSvcProcessMsg + 0x008e56a8 AdjustCreditThreshold + 0x008e4fd8 _HTC_Init + 0x008e50d0 _HTC_Ready + 0x008e5124 ReturnBuffers + 0x008e51ec _HTC_SendMsg + 0x008e5540 HTCProcessConfigPipeMsg + 0x008e5358 _HTC_GetReservedHeadroom + 0x008e5680 HTCSendDoneHandler + 0x008e53e8 HTCCheckAndSendCreditReport + 0x008e5348 _HTC_PauseRecv + 0x008e50b8 _HTC_Shutdown + 0x008e53b0 HTCFreeMsgBuffer + 0x008e51dc _HTC_ReturnBuffers + 0x008e56e0 HTCMsgRecvHandler + 0x008e536c htc_module_install + 0x008e5640 HTCControlSvcProcessSendComplete + 0x008e53c8 HTCAllocMsgBuffer + 0x008e50c0 _HTC_RegisterService + 0x008e5448 HTCProcessConnectMsg + 0x008e4fc0 HTC_AssembleBuffers + 0x008e5350 _HTC_ResumeRecv + 0x008e51a4 _HTC_ReturnBuffersList + 0x008e56d8 RedistributeCredit + *fill* 0x008e57df 0x1 00 + .text 0x008e57e0 0x3d3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + 0x008e5828 _DMAengine_init_tx_queue + 0x008e57e8 _DMAengine_init_rx_queue + 0x008e57e0 _DMAengine_init + 0x008e5914 _DMAengine_config_rx_queue + 0x008e5b1c dma_engine_module_install + 0x008e5a34 _DMAengine_has_compl_packets + 0x008e5a2c _DMAengine_flush_xmit + 0x008e5a54 _DMAengine_reap_recv_buf + 0x008e5a84 _DMAengine_reap_xmited_buf + 0x008e5abc _DMAengine_desc_dump + 0x008e5884 _DMAengine_return_recv_buf + 0x008e596c _DMAengine_xmit_buf + *fill* 0x008e5bb3 0x1 00 + .text 0x008e5bb4 0x16f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + 0x008e5bf0 zfDmaReclaimPacket + 0x008e5bb4 zfDmaGetPacket + 0x008e5c84 zfDmaPutPacket + *fill* 0x008e5d23 0x1 00 + .text 0x008e5d24 0x404 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + 0x008e5d74 fwd_retbuf_handler + 0x008e6020 magpie_mdio_copy_bytes + 0x008e5ec0 magpie_mdio_boot_init + 0x008e6060 fw_compute_cksum + 0x008e6080 mdio_get_fw_image + 0x008e5d8c fwd_tgt_process_last + 0x008e5dbc fwd_tgt_recv + 0x008e5fd8 mdio_read_block + 0x008e5f84 magpie_mdio_wait_for_lock + 0x008e5e9c magpie_mdio_module_install + 0x008e5d3c fwd_init + 0x008e5fc0 magpie_mdio_release_lock + .text 0x008e6128 0xe3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + 0x008e6128 buf_pool_module_install + 0x008e616c _buf_pool_static_create_pool + 0x008e6164 _buf_pool_static_shutdown + 0x008e61c4 _buf_pool_static_alloc_buf + 0x008e61e8 _buf_pool_static_alloc_buf_align + 0x008e61f8 _buf_pool_static_free_buf + 0x008e614c _buf_pool_static_init + *fill* 0x008e620b 0x1 00 + .text 0x008e620c 0x61 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + 0x008e620c _xtos_set_exception_handler + *fill* 0x008e626d 0x3 00 + .text 0x008e6270 0x79 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + 0x008e6270 _xtos_cause3_handler + 0x008e6298 _GeneralException + 0x008e6278 _xtos_c_wrapper_handler + *fill* 0x008e62e9 0x3 00 + .text 0x008e62ec 0x137 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + 0x008e62ec xthal_window_spill_nw + 0x008e62ec xthal_spill_registers_into_stack_nw + 0x008e6400 xthal_window_spill + *fill* 0x008e6423 0x1 00 + .text 0x008e6424 0x118 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + 0x008e6424 strcmp + .text 0x008e653c 0x98 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + 0x008e653c strcpy + .text 0x008e65d4 0x63 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + 0x008e65d4 strlen + *fill* 0x008e6637 0x1 00 + .text 0x008e6638 0x8e /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + 0x008e6638 strncmp + *fill* 0x008e66c6 0x2 00 + .text 0x008e66c8 0x11b /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + 0x008e6700 strncpy + *fill* 0x008e67e3 0x1 00 + .text 0x008e67e4 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + 0x008e67e4 _xtos_unhandled_interrupt + .text 0x008e67ec 0xd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + 0x008e67ec _xtos_unhandled_exception + *fill* 0x008e67f9 0x3 00 + .text 0x008e67fc 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + 0x008e67fc _xtos_return_from_exc + .text 0x008e6814 0x5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + 0x008e6814 _xtos_p_none + *fill* 0x008e6819 0x3 00 + .text 0x008e681c 0x26 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + 0x008e6824 xthal_set_ccompare + 0x008e6834 xthal_get_ccompare + 0x008e681c xthal_get_ccount + *fill* 0x008e6842 0x2 00 + .text 0x008e6844 0x8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + 0x008e6844 xthal_get_intenable + .text 0x008e684c 0x8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + 0x008e684c xthal_get_interrupt + 0x008e684c xthal_get_intread + .text 0x008e6854 0x8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + 0x008e6854 xthal_set_intenable + .text 0x008e685c 0x68 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + 0x008e685c __divsi3 + .text 0x008e68c4 0x49 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + 0x008e68c4 __modsi3 + *fill* 0x008e690d 0x3 00 + .text 0x008e6910 0x50 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + 0x008e6910 __udivsi3 + .text 0x008e6960 0x3d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + 0x008e6960 __umodsi3 + *fill* 0x008e699d 0x3 00 + .text 0x008e69a0 0x45 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + 0x008e69a0 memcmp + *fill* 0x008e69e5 0x3 00 + .text 0x008e69e8 0x135 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + 0x008e6a28 memcpy + *fill* 0x008e6b1d 0x3 00 + .text 0x008e6b20 0x1c2 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + 0x008e6b20 memmove + *fill* 0x008e6ce2 0x2 00 + .text 0x008e6ce4 0x74 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + 0x008e6d0c memset + *(.fini.literal) + *(.fini) + *(.gnu.version) + 0x008e6d58 _text_end = (.) + 0x008e6d58 _text_end_magic = . + 0x008e6d58 _etext = . + +.debug + *(.debug) + +.line + *(.line) + +.debug_srcinfo + *(.debug_srcinfo) + +.debug_sfnames + *(.debug_sfnames) + +.debug_aranges 0x00000000 0x840 + *(.debug_aranges) + .debug_aranges + 0x00000000 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .debug_aranges + 0x00000020 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .debug_aranges + 0x00000040 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .debug_aranges + 0x00000060 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .debug_aranges + 0x00000080 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .debug_aranges + 0x000000a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .debug_aranges + 0x000000c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .debug_aranges + 0x000000e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .debug_aranges + 0x00000100 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .debug_aranges + 0x00000120 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .debug_aranges + 0x00000140 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .debug_aranges + 0x00000160 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .debug_aranges + 0x00000180 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .debug_aranges + 0x000001a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_aranges + 0x000001c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .debug_aranges + 0x000001e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .debug_aranges + 0x00000200 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .debug_aranges + 0x00000220 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .debug_aranges + 0x00000240 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .debug_aranges + 0x00000260 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_aranges + 0x00000280 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_aranges + 0x000002a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_aranges + 0x000002c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_aranges + 0x000002e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_aranges + 0x00000300 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_aranges + 0x00000320 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_aranges + 0x00000340 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_aranges + 0x00000360 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_aranges + 0x00000380 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_aranges + 0x000003a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_aranges + 0x000003c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_aranges + 0x000003e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_aranges + 0x00000400 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_aranges + 0x00000420 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_aranges + 0x00000440 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_aranges + 0x00000460 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_aranges + 0x00000480 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_aranges + 0x000004a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_aranges + 0x000004c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_aranges + 0x000004e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_aranges + 0x00000500 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_aranges + 0x00000520 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_aranges + 0x00000540 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_aranges + 0x00000560 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_aranges + 0x00000580 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_aranges + 0x000005a0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_aranges + 0x000005c0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .debug_aranges + 0x000005e0 0x20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .debug_aranges + 0x00000600 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .debug_aranges + 0x00000620 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .debug_aranges + 0x00000640 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .debug_aranges + 0x00000660 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .debug_aranges + 0x00000680 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .debug_aranges + 0x000006a0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .debug_aranges + 0x000006c0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .debug_aranges + 0x000006e0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .debug_aranges + 0x00000700 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .debug_aranges + 0x00000720 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_aranges + 0x00000740 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .debug_aranges + 0x00000760 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_aranges + 0x00000780 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .debug_aranges + 0x000007a0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .debug_aranges + 0x000007c0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .debug_aranges + 0x000007e0 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .debug_aranges + 0x00000800 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .debug_aranges + 0x00000820 0x20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + +.debug_pubnames + 0x00000000 0x24ff + *(.debug_pubnames) + .debug_pubnames + 0x00000000 0x56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_pubnames + 0x00000056 0x1c9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_pubnames + 0x0000021f 0xaf /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_pubnames + 0x000002ce 0x19d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_pubnames + 0x0000046b 0xd5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_pubnames + 0x00000540 0xf1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_pubnames + 0x00000631 0x42 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_pubnames + 0x00000673 0x13a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_pubnames + 0x000007ad 0x78 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_pubnames + 0x00000825 0xcc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_pubnames + 0x000008f1 0x48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_pubnames + 0x00000939 0xb1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_pubnames + 0x000009ea 0xae /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_pubnames + 0x00000a98 0xcb /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_pubnames + 0x00000b63 0xf8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_pubnames + 0x00000c5b 0x21c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_pubnames + 0x00000e77 0x1b1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_pubnames + 0x00001028 0x212 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_pubnames + 0x0000123a 0x101 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .debug_pubnames + 0x0000133b 0xb2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_pubnames + 0x000013ed 0x146 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_pubnames + 0x00001533 0x70 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_pubnames + 0x000015a3 0x8d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_pubnames + 0x00001630 0x241 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_pubnames + 0x00001871 0x164 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_pubnames + 0x000019d5 0x4f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_pubnames + 0x00001a24 0x139 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_pubnames + 0x00001b5d 0xf7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_pubnames + 0x00001c54 0x32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_pubnames + 0x00001c86 0x7fb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .debug_pubnames + 0x00002481 0x25 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + .debug_pubnames + 0x000024a6 0x1d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_pubnames + 0x000024c3 0x1e /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_pubnames + 0x000024e1 0x1e /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + +.debug_info 0x00000000 0x806eb + *(.debug_info) + .debug_info 0x00000000 0x79 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .debug_info 0x00000079 0x7c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .debug_info 0x000000f5 0x7d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .debug_info 0x00000172 0x7d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .debug_info 0x000001ef 0x7c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .debug_info 0x0000026b 0x7b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .debug_info 0x000002e6 0x7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .debug_info 0x00000360 0x7e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .debug_info 0x000003de 0x7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .debug_info 0x00000458 0x7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .debug_info 0x000004d2 0x82 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .debug_info 0x00000554 0x83 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .debug_info 0x000005d7 0x85 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .debug_info 0x0000065c 0x3b2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_info 0x00000a0e 0x85 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .debug_info 0x00000a93 0x85 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .debug_info 0x00000b18 0x7f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .debug_info 0x00000b97 0x7d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .debug_info 0x00000c14 0x7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .debug_info 0x00000c8e 0x537c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_info 0x0000600a 0x4878 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_info 0x0000a882 0x4b5d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_info 0x0000f3df 0x4a08 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_info 0x00013de7 0x4ae9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_info 0x000188d0 0x474d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_info 0x0001d01d 0x4b9d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_info 0x00021bba 0x4a67 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_info 0x00026621 0x4995 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_info 0x0002afb6 0x472c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_info 0x0002f6e2 0x48b1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_info 0x00033f93 0x4973 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_info 0x00038906 0x4943 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_info 0x0003d249 0x49ad /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_info 0x00041bf6 0x4dec /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_info 0x000469e2 0x4f73 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_info 0x0004b955 0x5313 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_info 0x00050c68 0x3db /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .debug_info 0x00051043 0x4996 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_info 0x000559d9 0x4d2a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_info 0x0005a703 0x47dd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_info 0x0005eee0 0x4843 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_info 0x00063723 0x58a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_info 0x00068fc3 0x4c2f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_info 0x0006dbf2 0x50a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_info 0x00072c9a 0x4e26 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_info 0x00077ac0 0x49ef /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_info 0x0007c4af 0x2ef /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_info 0x0007c79e 0x79 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .debug_info 0x0007c817 0x85 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .debug_info 0x0007c89c 0xc9 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .debug_info 0x0007c965 0xc20 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .debug_info 0x0007d585 0xd3 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .debug_info 0x0007d658 0xcb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .debug_info 0x0007d723 0xcb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .debug_info 0x0007d7ee 0xcb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .debug_info 0x0007d8b9 0x229 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + .debug_info 0x0007dae2 0xf4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .debug_info 0x0007dbd6 0xf4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .debug_info 0x0007dcca 0xf4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .debug_info 0x0007ddbe 0xf4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .debug_info 0x0007deb2 0xb40 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_info 0x0007e9f2 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .debug_info 0x0007eaff 0xb81 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_info 0x0007f680 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .debug_info 0x0007f78d 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .debug_info 0x0007f89a 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .debug_info 0x0007f9a7 0x10d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .debug_info 0x0007fab4 0xb29 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .debug_info 0x000805dd 0x10e /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + +.debug_abbrev 0x00000000 0x321a + *(.debug_abbrev) + .debug_abbrev 0x00000000 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .debug_abbrev 0x00000014 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .debug_abbrev 0x00000028 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .debug_abbrev 0x0000003c 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .debug_abbrev 0x00000050 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .debug_abbrev 0x00000064 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .debug_abbrev 0x00000078 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .debug_abbrev 0x0000008c 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .debug_abbrev 0x000000a0 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .debug_abbrev 0x000000b4 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .debug_abbrev 0x000000c8 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .debug_abbrev 0x000000dc 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .debug_abbrev 0x000000f0 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .debug_abbrev 0x00000104 0xce /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_abbrev 0x000001d2 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .debug_abbrev 0x000001e6 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .debug_abbrev 0x000001fa 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .debug_abbrev 0x0000020e 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .debug_abbrev 0x00000222 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .debug_abbrev 0x00000236 0x20d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_abbrev 0x00000443 0x168 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_abbrev 0x000005ab 0x1a9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_abbrev 0x00000754 0x18b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_abbrev 0x000008df 0x166 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_abbrev 0x00000a45 0x137 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_abbrev 0x00000b7c 0x1b1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_abbrev 0x00000d2d 0x1b4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_abbrev 0x00000ee1 0x18c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_abbrev 0x0000106d 0x137 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_abbrev 0x000011a4 0x164 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_abbrev 0x00001308 0x166 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_abbrev 0x0000146e 0x196 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_abbrev 0x00001604 0x1d5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_abbrev 0x000017d9 0x1cf /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_abbrev 0x000019a8 0x240 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_abbrev 0x00001be8 0x1cd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_abbrev 0x00001db5 0x65 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .debug_abbrev 0x00001e1a 0x142 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_abbrev 0x00001f5c 0x1b6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_abbrev 0x00002112 0x16a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_abbrev 0x0000227c 0x183 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_abbrev 0x000023ff 0x21c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_abbrev 0x0000261b 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_abbrev 0x0000281b 0x168 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_abbrev 0x00002983 0x1d3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_abbrev 0x00002b56 0x186 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_abbrev 0x00002cdc 0xbc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_abbrev 0x00002d98 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .debug_abbrev 0x00002dac 0x14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .debug_abbrev 0x00002dc0 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .debug_abbrev 0x00002dd4 0x4c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .debug_abbrev 0x00002e20 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .debug_abbrev 0x00002e34 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .debug_abbrev 0x00002e48 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .debug_abbrev 0x00002e5c 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .debug_abbrev 0x00002e70 0x62 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + .debug_abbrev 0x00002ed2 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .debug_abbrev 0x00002ee6 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .debug_abbrev 0x00002efa 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .debug_abbrev 0x00002f0e 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .debug_abbrev 0x00002f22 0xd7 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_abbrev 0x00002ff9 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .debug_abbrev 0x0000300d 0xd7 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_abbrev 0x000030e4 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .debug_abbrev 0x000030f8 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .debug_abbrev 0x0000310c 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .debug_abbrev 0x00003120 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .debug_abbrev 0x00003134 0xd2 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .debug_abbrev 0x00003206 0x14 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + +.debug_line 0x00000000 0x1e38a + *(.debug_line) + .debug_line 0x00000000 0x87 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .debug_line 0x00000087 0x42 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .debug_line 0x000000c9 0x43 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .debug_line 0x0000010c 0x43 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .debug_line 0x0000014f 0x1aa /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .debug_line 0x000002f9 0x6b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .debug_line 0x00000364 0x3a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .debug_line 0x0000039e 0x195 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .debug_line 0x00000533 0x40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .debug_line 0x00000573 0x40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .debug_line 0x000005b3 0x1e7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .debug_line 0x0000079a 0x13a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .debug_line 0x000008d4 0x104 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .debug_line 0x000009d8 0x2d8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .debug_line 0x00000cb0 0x171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .debug_line 0x00000e21 0x16b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .debug_line 0x00000f8c 0x4c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .debug_line 0x00000fd8 0x55 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .debug_line 0x0000102d 0x70 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .debug_line 0x0000109d 0x1521 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .debug_line 0x000025be 0xd96 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .debug_line 0x00003354 0xe1b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .debug_line 0x0000416f 0x1014 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .debug_line 0x00005183 0xe81 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .debug_line 0x00006004 0xd26 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .debug_line 0x00006d2a 0xeab /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .debug_line 0x00007bd5 0x10c7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .debug_line 0x00008c9c 0xe7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .debug_line 0x00009b16 0xd32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .debug_line 0x0000a848 0xe54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .debug_line 0x0000b69c 0xe2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .debug_line 0x0000c4c8 0xea6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .debug_line 0x0000d36e 0xf00 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .debug_line 0x0000e26e 0x1154 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .debug_line 0x0000f3c2 0x12a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .debug_line 0x00010663 0x1c7f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .debug_line 0x000122e2 0x1bc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .debug_line 0x0001249e 0x1029 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .debug_line 0x000134c7 0xf37 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .debug_line 0x000143fe 0xe0d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .debug_line 0x0001520b 0xe66 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .debug_line 0x00016071 0x180f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .debug_line 0x00017880 0x11cf /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .debug_line 0x00018a4f 0xfb1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .debug_line 0x00019a00 0x114e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .debug_line 0x0001ab4e 0xec1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .debug_line 0x0001ba0f 0x2ba /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .debug_line 0x0001bcc9 0x3f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .debug_line 0x0001bd08 0x113 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .debug_line 0x0001be1b 0xcc /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .debug_line 0x0001bee7 0x1cb /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .debug_line 0x0001c0b2 0x335 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .debug_line 0x0001c3e7 0x8c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .debug_line 0x0001c473 0x8d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .debug_line 0x0001c500 0x8d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .debug_line 0x0001c58d 0x1ec /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(interrupts--intlevel.o) + .debug_line 0x0001c779 0x16c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .debug_line 0x0001c8e5 0x124 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .debug_line 0x0001ca09 0x136 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .debug_line 0x0001cb3f 0x106 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .debug_line 0x0001cc45 0x2f0 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .debug_line 0x0001cf35 0x355 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .debug_line 0x0001d28a 0x32d /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .debug_line 0x0001d5b7 0x18c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .debug_line 0x0001d743 0x2e2 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .debug_line 0x0001da25 0x1da /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .debug_line 0x0001dbff 0x168 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .debug_line 0x0001dd67 0x322 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .debug_line 0x0001e089 0x301 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + +.debug_frame + *(.debug_frame) + +.debug_str + *(.debug_str) + +.debug_loc + *(.debug_loc) + +.debug_macinfo + *(.debug_macinfo) + +.debug_weaknames + *(.debug_weaknames) + +.debug_funcnames + *(.debug_funcnames) + +.debug_typenames + *(.debug_typenames) + +.debug_varnames + *(.debug_varnames) + +.xt.insn + *(.xt.insn) + *(.gnu.linkonce.x.*) + +.xt.prop 0x00000000 0x4f8c + *(.xt.prop) + .xt.prop 0x00000000 0x3c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o + .xt.prop 0x0000003c 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(debug-vector.o) + .xt.prop 0x00000054 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(double-vector.o) + .xt.prop 0x0000006c 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(kernel-vector.o) + .xt.prop 0x00000084 0xd8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + .xt.prop 0x0000015c 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(user-vector.o) + .xt.prop 0x00000174 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(nmi-vector.o) + .xt.prop 0x0000018c 0x90 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(window-vectors.o) + .xt.prop 0x0000021c 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level2.o) + .xt.prop 0x00000234 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-vector-level3.o) + .xt.prop 0x0000024c 0x78 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-alloca-handler.o) + .xt.prop 0x000002c4 0x90 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-syscall-handler.o) + .xt.prop 0x00000354 0x3c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-lowpri-dispatcher.o) + .xt.prop 0x00000390 0x84 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-sethandler.o) + .xt.prop 0x00000414 0x9c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level2.o) + .xt.prop 0x000004b0 0x9c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(int-handler-level3.o) + .xt.prop 0x0000054c 0x24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(interrupt-table.o) + .xt.prop 0x00000570 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-unhandled.o) + .xt.prop 0x00000588 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-return.o) + .xt.prop 0x000005a0 0x420 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a(athos_main.o) + .xt.prop 0x000009c0 0x84 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_allocram.o) + .xt.prop 0x00000a44 0x138 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_clock.o) + .xt.prop 0x00000b7c 0x258 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_eeprom.o) + .xt.prop 0x00000dd4 0xf0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_intr.o) + .xt.prop 0x00000ec4 0x3c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_mem.o) + .xt.prop 0x00000f00 0x180 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_misc.o) + .xt.prop 0x00001080 0x4f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_printf.o) + .xt.prop 0x00001578 0x144 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_rompatch.o) + .xt.prop 0x000016bc 0x3c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_string.o) + .xt.prop 0x000016f8 0x114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_tasklet.o) + .xt.prop 0x0000180c 0x150 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_timer.o) + .xt.prop 0x0000195c 0x114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(cmnos_wdt.o) + .xt.prop 0x00001a70 0x1a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a(uart_api.o) + .xt.prop 0x00001c14 0x42c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(hif_pci.o) + .xt.prop 0x00002040 0x450 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(HIF_usb.o) + .xt.prop 0x00002490 0xa38 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_api.o) + .xt.prop 0x00002ec8 0x54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_table.o) + .xt.prop 0x00002f1c 0xe4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(usb_fifo.o) + .xt.prop 0x00003000 0x1f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a(dma_lib.o) + .xt.prop 0x000031f8 0x9c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a(vbuf.o) + .xt.prop 0x00003294 0xb4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a(vdesc.o) + .xt.prop 0x00003348 0x5c4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a(htc.o) + .xt.prop 0x0000390c 0x33c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(dma_engine.o) + .xt.prop 0x00003c48 0xc0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a(desc.o) + .xt.prop 0x00003d08 0x2a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a(fwd.o) + .xt.prop 0x00003fa8 0x114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a(buf_pool_static.o) + .xt.prop 0x000040bc 0x54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-sethandler.o) + .xt.prop 0x00004110 0x18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-table.o) + .xt.prop 0x00004128 0x60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(exc-c-wrapper-handler.o) + .xt.prop 0x00004188 0x6c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(clock.o) + .xt.prop 0x000041f4 0x210 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(misc.o) + .xt.prop 0x00004404 0xe4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(windowspill_asm.o) + .xt.prop 0x000044e8 0x18 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_intenable.o) + .xt.prop 0x00004500 0x18 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--get_interrupt.o) + .xt.prop 0x00004518 0x18 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a(int_asm--set_intenable.o) + .xt.prop 0x00004530 0x90 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_divsi3.o) + .xt.prop 0x000045c0 0x6c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_modsi3.o) + .xt.prop 0x0000462c 0x90 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_udivsi3.o) + .xt.prop 0x000046bc 0x60 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a(_umodsi3.o) + .xt.prop 0x0000471c 0x3c /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcmp.o) + .xt.prop 0x00004758 0x198 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memcpy.o) + .xt.prop 0x000048f0 0xa8 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memmove.o) + .xt.prop 0x00004998 0xc0 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(memset.o) + .xt.prop 0x00004a58 0xfc /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcmp.o) + .xt.prop 0x00004b54 0x108 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strcpy.o) + .xt.prop 0x00004c5c 0xb4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strlen.o) + .xt.prop 0x00004d10 0xe4 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncmp.o) + .xt.prop 0x00004df4 0x198 /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a(strncpy.o) + *(.xt.prop.*) + *(.gnu.linkonce.prop.*) + +.xt.lit 0x00000000 0x8 + *(.xt.lit) + .xt.lit 0x00000000 0x8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a(reset-vector-unpack.o) + *(.xt.lit.*) + *(.gnu.linkonce.p.*) + +.xt.profile_range + *(.xt.profile_range) + *(.gnu.linkonce.profile_range.*) + +.xt.profile_ranges + *(.xt.profile_ranges) + *(.gnu.linkonce.xt.profile_ranges.*) + +.xt.profile_files + *(.xt.profile_files) + *(.gnu.linkonce.xt.profile_files.*) +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/crt1-tiny.o +START GROUP +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhandlers-board.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libathos.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libcmnos.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhif.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvbuf.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libvdesc.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libhtc.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libdma.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libfwd.a +LOAD /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/libbuf_pool.a +END GROUP +LOAD /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/arch/lib//libhal.a +LOAD /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib/xcc//libgcc.a +LOAD /opt/xtensa/XtDevTools/install/builds/RB-2007.2-linux/Magpie_P0/xtensa-elf/lib//libc.a +OUTPUT(rom.fpga.out elf32-xtensa-be) + +.xtensa.info 0x00000000 0x1b4 + .xtensa.info 0x00000000 0x1b4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/lib/rom/_vectors.o diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.objdump b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.objdump new file mode 100755 index 0000000..3a0ae52 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.objdump @@ -0,0 +1,107694 @@ + +rom.fpga.out: file format elf32-xtensa-be +rom.fpga.out +architecture: xtensa, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x008e0000 + +Xtensa header: + +Machine = Base +Insn tables = true +Literal tables = true + +Program Header: + LOAD off 0x00000200 vaddr 0x008e0000 paddr 0x008e0000 align 2**0 + filesz 0x000000de memsz 0x000000de flags r-x + LOAD off 0x000002de vaddr 0x008e0800 paddr 0x008e0800 align 2**0 + filesz 0x0000016a memsz 0x0000016a flags r-x + LOAD off 0x00000448 vaddr 0x008e0a20 paddr 0x008e0a20 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x00000450 vaddr 0x008e0b20 paddr 0x008e0b20 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x00000458 vaddr 0x008e0c20 paddr 0x008e0c20 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x00000460 vaddr 0x008e0ce4 paddr 0x008e0ce4 align 2**0 + filesz 0x00000003 memsz 0x00000003 flags r-x + LOAD off 0x00000464 vaddr 0x008e0d40 paddr 0x008e0d40 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x0000046c vaddr 0x008e0e20 paddr 0x008e0e20 align 2**0 + filesz 0x00000017 memsz 0x00000017 flags r-x + LOAD off 0x00000484 vaddr 0x008e0f20 paddr 0x008e0f20 align 2**0 + filesz 0x00000006 memsz 0x00000006 flags r-x + LOAD off 0x0000048c vaddr 0x008e1000 paddr 0x008e1000 align 2**0 + filesz 0x00005d58 memsz 0x00005d58 flags r-x + LOAD off 0x000061f0 vaddr 0x004e8000 paddr 0x004e8000 align 2**0 + filesz 0x00001044 memsz 0x00001044 flags r-x + LOAD off 0x00007230 vaddr 0x00500000 paddr 0x00500000 align 2**0 + filesz 0x00000950 memsz 0x00000ff8 flags rw- + LOAD off 0x00007b84 vaddr 0x0053f7f0 paddr 0x0053f7f0 align 2**0 + filesz 0x00000000 memsz 0x00000800 flags rw- + LOAD off 0x00007b90 vaddr 0x004e0100 paddr 0x004e0100 align 2**0 + filesz 0x000000ec memsz 0x000000ec flags r-- + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .lit4 00000704 004e8000 004e8000 000061f0 2**2 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 1 .ResetVector.literal 00000000 004e8704 004e8704 000068f4 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 2 .dport0.stack 00000800 0053f7f0 0053f7f0 00007b84 2**0 + ALLOC + 3 .dport0.usb_in_rom 000000ec 004e0100 004e0100 00007b90 2**4 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 4 .dport0.data 00000400 00500000 00500004 00007234 2**0 + CONTENTS, ALLOC, LOAD, DATA + 5 .dport0.bss 00000000 00500400 00500400 00007c7c 2**0 + + 6 .dram0.rodata 00000000 004e8704 004e8704 00007c7c 2**0 + CONTENTS + 7 .rodata 00000934 004e8710 004e8710 00006900 2**4 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 8 .dram0.literal 00000000 004e9044 004e9044 00007c7c 2**0 + CONTENTS + 9 .dram0.data 00000000 00500400 00500400 00007c7c 2**0 + CONTENTS + 10 .data 00000550 00500400 00500400 00007634 2**4 + CONTENTS, ALLOC, LOAD, DATA + 11 .bss 000002a8 00500950 00500950 00007b84 2**4 + ALLOC + 12 .ResetVector.text 000000de 008e0000 008e0000 00000200 2**4 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 13 .WindowVectors.text 0000016a 008e0800 008e0800 000002de 2**0 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 14 .KernelExceptionVector.literal 00000000 008e0d00 008e0d00 00007c7c 2**0 + CONTENTS + 15 .KernelExceptionVector.text 00000006 008e0d40 008e0d40 00000464 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 16 .UserExceptionVector.literal 00000000 008e0e00 008e0e00 00007c7c 2**0 + CONTENTS + 17 .UserExceptionVector.text 00000017 008e0e20 008e0e20 0000046c 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 18 .DoubleExceptionVector.literal 00000000 008e0f00 008e0f00 00007c7c 2**0 + CONTENTS + 19 .DoubleExceptionVector.text 00000006 008e0f20 008e0f20 00000484 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 20 .Level2InterruptVector.literal 00000000 008e0a00 008e0a00 00007c7c 2**0 + CONTENTS + 21 .Level2InterruptVector.text 00000006 008e0a20 008e0a20 00000448 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 22 .Level3InterruptVector.literal 00000000 008e0b00 008e0b00 00007c7c 2**0 + CONTENTS + 23 .Level3InterruptVector.text 00000006 008e0b20 008e0b20 00000450 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 24 .DebugExceptionVector.literal 00000000 008e0c00 008e0c00 00007c7c 2**0 + CONTENTS + 25 .DebugExceptionVector.text 00000006 008e0c20 008e0c20 00000458 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 26 .NMIExceptionVector.literal 00000000 008e0ce0 008e0ce0 00007c7c 2**0 + CONTENTS + 27 .NMIExceptionVector.text 00000003 008e0ce4 008e0ce4 00000460 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 28 .iram0.text 00000000 008e1000 008e1000 00007c7c 2**0 + CONTENTS + 29 .text 00005d58 008e1000 008e1000 0000048c 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 30 .debug_aranges 00000840 00000000 00000000 00007c80 2**3 + CONTENTS, READONLY, DEBUGGING + 31 .debug_pubnames 000024ff 00000000 00000000 000084c0 2**0 + CONTENTS, READONLY, DEBUGGING + 32 .debug_info 000806eb 00000000 00000000 0000a9bf 2**0 + CONTENTS, READONLY, DEBUGGING + 33 .debug_abbrev 0000321a 00000000 00000000 0008b0aa 2**0 + CONTENTS, READONLY, DEBUGGING + 34 .debug_line 0001e38a 00000000 00000000 0008e2c4 2**0 + CONTENTS, READONLY, DEBUGGING + 35 .xt.prop 00004f8c 00000000 00000000 000ac64e 2**0 + CONTENTS, READONLY + 36 .xt.lit 00000008 00000000 00000000 000b15da 2**0 + CONTENTS, READONLY + 37 .xtensa.info 000001b4 00000000 00000000 000b15e2 2**0 + CONTENTS, READONLY +SYMBOL TABLE: +004e8000 l d .lit4 00000000 .lit4 +004e8704 l d .ResetVector.literal 00000000 .ResetVector.literal +0053f7f0 l d .dport0.stack 00000000 .dport0.stack +004e0100 l d .dport0.usb_in_rom 00000000 .dport0.usb_in_rom +00500000 l d .dport0.data 00000000 .dport0.data +00500400 l d .dport0.bss 00000000 .dport0.bss +004e8704 l d .dram0.rodata 00000000 .dram0.rodata +004e8710 l d .rodata 00000000 .rodata +004e9044 l d .dram0.literal 00000000 .dram0.literal +00500400 l d .dram0.data 00000000 .dram0.data +00500400 l d .data 00000000 .data +00500950 l d .bss 00000000 .bss +008e0000 l d .ResetVector.text 00000000 .ResetVector.text +008e0800 l d .WindowVectors.text 00000000 .WindowVectors.text +008e0d00 l d .KernelExceptionVector.literal 00000000 .KernelExceptionVector.literal +008e0d40 l d .KernelExceptionVector.text 00000000 .KernelExceptionVector.text +008e0e00 l d .UserExceptionVector.literal 00000000 .UserExceptionVector.literal +008e0e20 l d .UserExceptionVector.text 00000000 .UserExceptionVector.text +008e0f00 l d .DoubleExceptionVector.literal 00000000 .DoubleExceptionVector.literal +008e0f20 l d .DoubleExceptionVector.text 00000000 .DoubleExceptionVector.text +008e0a00 l d .Level2InterruptVector.literal 00000000 .Level2InterruptVector.literal +008e0a20 l d .Level2InterruptVector.text 00000000 .Level2InterruptVector.text +008e0b00 l d .Level3InterruptVector.literal 00000000 .Level3InterruptVector.literal +008e0b20 l d .Level3InterruptVector.text 00000000 .Level3InterruptVector.text +008e0c00 l d .DebugExceptionVector.literal 00000000 .DebugExceptionVector.literal +008e0c20 l d .DebugExceptionVector.text 00000000 .DebugExceptionVector.text +008e0ce0 l d .NMIExceptionVector.literal 00000000 .NMIExceptionVector.literal +008e0ce4 l d .NMIExceptionVector.text 00000000 .NMIExceptionVector.text +008e1000 l d .iram0.text 00000000 .iram0.text +008e1000 l d .text 00000000 .text +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_pubnames 00000000 .debug_pubnames +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .xt.prop 00000000 .xt.prop +00000000 l d .xt.lit 00000000 .xt.lit +00000000 l d .xtensa.info 00000000 .xtensa.info +00000000 l d *ABS* 00000000 .shstrtab +00000000 l d *ABS* 00000000 .symtab +00000000 l d *ABS* 00000000 .strtab +00000000 l df *ABS* 00000000 crt1-tiny.S +00000000 l df *ABS* 00000000 reset-vector.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +008e00b6 l .ResetVector.text 00000000 unpackdone +008e0094 l .ResetVector.text 00000000 unpack +008e00b0 l .ResetVector.text 00000000 upnext +008e00a0 l .ResetVector.text 00000000 uploop +00000000 l df *ABS* 00000000 user-vector.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 exc-alloca-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 exc-syscall-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000007 l *ABS* 00000000 .Ldelta_done +00000000 l df *ABS* 00000000 int-lowpri-dispatcher.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +008e11ce l .text 00000000 spurious_int +00000000 l df *ABS* 00000000 int-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +008e12d4 l .text 00000000 spurious2int +008e12e8 l .text 00000000 return2from_exc +00000000 l df *ABS* 00000000 int-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +008e1388 l .text 00000000 spurious3int +008e1399 l .text 00000000 return3from_exc +004e8730 l O .rodata 00000010 pattern.init_91_2 +008e1428 l F .text 00000029 athos_linkage_check +008e1474 l F .text 0000005e AR6002_misaligned_load_handler +008e14d4 l F .text 00000071 AR6002_fatal_exception_handler +00500950 l O .bss 00000002 i.1_140_4 +00500974 l O .bss 00000004 last_tick.1_93_1 +008e247c l F .text 00000054 _cvt +008e24d0 l F .text 00000445 cmnos_vprintf +00500a30 l O .bss 00000004 g_tasklet_ctx_121 +00500a44 l O .bss 00000010 uart_ctl_blk_122 +008e2eb4 l F .text 00000040 _uart_hwinit +008e3348 l F .text 00000012 usbfifo_get_command_buf +008e335c l F .text 00000036 usbfifo_recv_command +008e3394 l F .text 0000001e usbfifo_get_event_buf +008e33b4 l F .text 00000011 usbfifo_send_event_done +008e34b4 l F .text 00000007 _HIFusb_get_reserved_headroom +008e34bc l F .text 0000002b enable_rx +008e34e8 l F .text 0000001e get_queue_from_pipe +008e381c l F .text 00000028 vUsb_ep0tx +008e3844 l F .text 00000022 vUsb_ep0rx +008e3868 l F .text 00000081 vUsbClrEPx +008e38ec l F .text 00000034 bGet_status +008e3920 l F .text 00000023 bClear_feature +008e3944 l F .text 000000d0 bSet_feature +008e3a14 l F .text 0000001f bSet_address +008e3a34 l F .text 0000008f bGet_descriptor +008e3ac4 l F .text 00000026 bGet_configuration +008e3aec l F .text 000000a5 bSet_configuration +008e3b94 l F .text 00000045 bGet_interface +008e3bdc l F .text 0000008d bSet_interface +008e3c6c l F .text 000000b6 vUsbEP0TxData +008e3d24 l F .text 0000007c vUsbEP0RxData +008e3da0 l F .text 0000005b vUsb_SetupDescriptor +008e3dfc l F .text 000000fd bStandardCommand +008e3efc l F .text 000000f2 VendorCommand +008e3ff0 l F .text 00000176 vUsb_ep0setup +008e4168 l F .text 00000081 cFUSB200Init +008e41ec l F .text 0000001a _usbfifo_enable_event_isr +008e4208 l F .text 00000018 _usbfifo_init +008e4220 l F .text 00000068 vUsb_Reg_Out +008e4288 l F .text 000000a2 vUsb_Status_In +008e432c l F .text 00000011 zfResetUSBFIFO +008e4340 l F .text 00000011 zfTurnOffPower +008e4354 l F .text 00000042 zfGenWatchDogEvent +008e4398 l F .text 00000008 zfJumpToBootCode +008e43a0 l F .text 000000c6 _usb_rom_task +008e4468 l F .text 0000012c _usb_fw_task +008e4594 l F .text 000000a4 _usb_clk_init +008e4ef0 l F .text 00000021 adf_nbuf_tailroom +008e4f14 l F .text 00000029 adf_nbuf_push_head +008e4f40 l F .text 0000002b adf_nbuf_put_tail +008e4f6c l F .text 0000002a adf_nbuf_pull_head +008e4f98 l F .text 00000008 adf_nbuf_len +008e4fa0 l F .text 00000015 adf_nbuf_peek_header +008e4fb8 l F .text 00000007 adf_nbuf_get_priv +008e5838 l F .text 0000004b swapData +008e589c l F .text 00000078 config_queue +008e5b6c l F .text 00000047 relinkUSBDescToVdesc +008e5d24 l F .text 00000015 mii_reg_write_32 +00000000 l df *ABS* 00000000 exc-c-wrapper-handler.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S +008e66c8 l .text 00000000 __strncpy_aux +00000000 l df *ABS* 00000000 _vectors.S +00000000 l df *ABS* 00000000 debug-vector.S +00000000 l df *ABS* 00000000 double-vector.S +00000000 l df *ABS* 00000000 kernel-vector.S +00000000 l df *ABS* 00000000 nmi-vector.S +00000000 l df *ABS* 00000000 window-vectors.S +00000000 l df *ABS* 00000000 int-vector.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 int-vector.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 interrupt-table.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 exc-unhandled.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 exc-return.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000000 l df *ABS* 00000000 exc-table.S +00000000 l *ABS* 00000000 KEXC_pc +00000004 l *ABS* 00000000 KEXC_ps +00000008 l *ABS* 00000000 KEXC_areg +00000018 l *ABS* 00000000 KEXC_sar +0000001c l *ABS* 00000000 KEXC_lcount +00000020 l *ABS* 00000000 KEXC_lbeg +00000024 l *ABS* 00000000 KEXC_lend +00000028 l *ABS* 00000000 KernelFrameSize +00000000 l *ABS* 00000000 UEXC_pc +00000004 l *ABS* 00000000 UEXC_ps +00000008 l *ABS* 00000000 UEXC_sar +0000000c l *ABS* 00000000 UEXC_vpri +00000010 l *ABS* 00000000 UEXC_a2 +00000014 l *ABS* 00000000 UEXC_a3 +00000018 l *ABS* 00000000 UEXC_a4 +0000001c l *ABS* 00000000 UEXC_a5 +00000020 l *ABS* 00000000 UEXC_exccause +00000024 l *ABS* 00000000 UEXC_lcount +00000028 l *ABS* 00000000 UEXC_lbeg +0000002c l *ABS* 00000000 UEXC_lend +00000030 l *ABS* 00000000 UserFrameSize +00000070 l *ABS* 00000000 UserFrameTotalSize +00000000 l *ABS* 00000000 XTOS_ENABLED_OFS +00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S +00000010 l *ABS* 00000000 .callsz +00000010 l *ABS* 00000000 .locsz +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S +008e69e8 l .text 00000000 __memcpy_aux +00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S +008e6ce4 l .text 00000000 __memset_aux +00500abc g O .bss 00000002 u16TxRxCounter +008e1c74 g F .text 0000000c cmnos_refclk_speed_get +00000000 *UND* 00000000 _write_usb_desc_to_eeprom +008e22f4 g F .text 00000051 cmnos_misaligned_load_handler +008e3780 g F .text 0000009c HIFusb_DescTraceDump +004e87a4 g O .rodata 00000001 Xthal_have_loops +008e653c g F .text 00000000 strcpy +008e5828 g F .text 00000010 _DMAengine_init_tx_queue +008e1604 g F .text 00000010 athos_interrupt_handler +008e3314 g F .text 00000032 hif_pci_api_install +008e6854 g F .text 00000000 xthal_set_intenable +004e87d6 g O .rodata 00000001 Xthal_have_mimic_cacheattr +004e87d8 g O .rodata 00000001 Xthal_mmu_rings +004e87a3 g O .rodata 00000001 Xthal_have_density +00500b4d g O .bss 00000001 Xthal_have_clamps +008e1c80 g F .text 00000005 cmnos_uart_frequency +00500ad0 g O .bss 00000002 u8UsbInterfaceValue +008e3180 g F .text 00000028 __pci_reap_recv +008e2954 g F .text 0000000f cmnos_printf_module_install +008e2f6c g F .text 00000014 __pci_get_tx_eng +008e2150 g F .text 0000003e cmnos_intr_mask_inum +004e9044 g *ABS* 00000000 _bss_table_end +00000000 *UND* 00000000 __adf_net_register_drv +008e0000 g .ResetVector.text 00000000 _ResetVector +fff00ff0 g *ABS* 00000000 _memmap_cacheattr_unused_mask +008e55b8 g F .text 00000086 HTCControlSvcProcessMsg +008e0b26 g *ABS* 00000000 _Level3InterruptVector_text_end +004e8710 g O .rodata 00000018 _rom_store_table +00500b70 g O .bss 00000001 Xthal_num_xlmi +008e2230 g F .text 0000005f cmnos_intr_invoke_isr +004e8798 g O .rodata 00000004 Xthal_rev_no +004e87a1 g O .rodata 00000001 Xthal_memory_order +008e29e8 g F .text 0000003c cmnos_romp_decode +00500000 g .dport0.data 00000000 _indir_tbl +008e1dbc g F .text 00000037 cmnos_eep_is_exist +00500b48 g O .bss 00000004 Xthal_release_internal +004e8824 g O .rodata 00000004 Xthal_xlmi_size +008e4e10 g F .text 00000014 _vbuf_free_vbuf +008e6128 g F .text 00000023 buf_pool_module_install +00500b6a g O .bss 00000001 Xthal_itlb_way_bits +004e8704 g *ABS* 00000000 _lit4_end +00500a2c g O .bss 00000004 patch_start +008e1c88 g F .text 00000005 cmnos_sysclk_change +00021001 g *ABS* 00000000 _memmap_cacheattr_wt_base +008e2450 g F .text 0000002a cmnos_write_char +008e0c00 g *ABS* 00000000 _DebugExceptionVector_literal_end +00500ae0 g O .bss 00000004 u8String10Descriptor +008e6b20 g F .text 000001c2 memmove +008e6d58 g *ABS* 00000000 _text_end +004e87ac g O .rodata 00000001 Xthal_have_highlevel_interrupts +008e3614 g F .text 0000002a _HIFusb_return_recv_buf +008e4c40 g F .text 00000029 __dma_lib_rx_init +008e18c8 g F .text 00000033 turn_off_rc +008e0f26 g *ABS* 00000000 _DoubleExceptionVector_text_end +004e87cc g O .rodata 00000004 Xthal_hw_min_version_major +008e3658 g F .text 00000010 _HIFusb_is_pipe_supported +004e8000 g *ABS* 00000000 _lit4_start +00500b6b g O .bss 00000001 Xthal_itlb_arf_ways +004e9044 g *ABS* 00000000 _dram0_literal_end +004e8830 g O .rodata 00000020 Xthal_intlevel +00500ad4 g O .bss 00000002 u16FirmwareComplete +00000000 g *ABS* 00000000 _xtos_vectors_ref_ +008e167c g F .text 00000032 athos_init +008e56a8 g F .text 0000002d AdjustCreditThreshold +00500700 g O .data 00000004 _putc +008e2414 g F .text 0000000b cmnos_rom_version_get +004e9044 g *ABS* 00000000 _dram0_literal_start +00500a38 g O .bss 0000000c wdt_ctrl +008e22ec g F .text 00000005 cmnos_mac_reset +004e87a9 g O .rodata 00000001 Xthal_have_exceptions +008e6d58 g .text 00000000 _etext +008e4e24 g F .text 00000014 vbuf_module_install +00000000 *UND* 00000000 wlan_pci_register_drv +004e87c4 g O .rodata 00000004 Xthal_hw_release_major +008e2d28 g F .text 00000028 cmnos_wdt_init +00500b44 g O .bss 00000004 Xthal_release_minor +004e87ec g O .rodata 00000004 Xthal_instrom_vaddr +00500708 g O .data 0000000c pci_sc +004e87f4 g O .rodata 00000004 Xthal_instrom_size +008e470c g F .text 0000000f usbfifo_module_install +008e2fa4 g F .text 00000016 __pci_enable +008e4fd8 g F .text 000000e0 _HTC_Init +008e0ce4 g *ABS* 00000000 _NMIExceptionVector_text_start +004e8820 g O .rodata 00000004 Xthal_xlmi_paddr +008e33c8 g F .text 00000053 _HIFusb_init +004e0100 g O .dport0.usb_in_rom 00000012 UsbDeviceDescriptor +00500954 g O .bss 00000004 allocram_current_addr +008e2d0c g F .text 0000001c cmnos_wdt_disable +008e6a28 g F .text 00000000 memcpy +008e5d74 g F .text 00000018 fwd_retbuf_handler +00000000 *UND* 00000000 _need_user_vector_ +008e0e37 g *ABS* 00000000 _UserExceptionVector_text_end +008e67e4 g F .text 00000000 _xtos_unhandled_interrupt +004e87d9 g O .rodata 00000001 Xthal_mmu_ca_bits +00500b64 g O .bss 00000001 Xthal_have_xlt_cacheattr +004e9044 g *ABS* 00000000 _rodata_end +00500b50 g O .bss 00000001 Xthal_have_speculation +008e4df0 g F .text 0000001e _vbuf_alloc_vbuf +008e2ae8 g F .text 0000000c cmnos_tasklet_init +008e57e8 g F .text 00000040 _DMAengine_init_rx_queue +00500b04 g O .bss 00000008 g_vdescCtx +008e3290 g F .text 0000000e __pci_is_pipe_supported +008e6020 g F .text 0000003d magpie_mdio_copy_bytes +004e87d7 g O .rodata 00000001 Xthal_have_tlbs +008e0ce7 g *ABS* 00000000 _NMIExceptionVector_text_end +00500ad8 g O .bss 00000004 u8UsbDeviceDescriptor +008e0900 g .WindowVectors.text 00000000 _WindowOverflow12 +008e0c20 g *ABS* 00000000 _DebugExceptionVector_text_start +00500970 g O .bss 00000004 pll_ctrl_setting_5ghz +008e6824 g F .text 00000000 xthal_set_ccompare +008e2f80 g F .text 00000023 __pci_get_rx_eng +008e6910 g F .text 00000050 __udivsi3 +008e2e0c g F .text 0000002c _uart_char_put_nowait +004e87f0 g O .rodata 00000004 Xthal_instrom_paddr +008e2f38 g F .text 0000000a __pci_reg_write +008e16e8 g F .text 000000a3 read_usb_conf +008e0800 g *ABS* 00000000 _WindowVectors_text_start +008e50d0 g F .text 00000052 _HTC_Ready +008e2b9c g F .text 0000000f cmnos_timer_setfn +008e2d68 g F .text 00000028 cmnos_wdt_module_install +008e471c g F .text 0000009d cmnos_usb_module_install +00500950 g *ABS* 00000000 _bss_start +008e67ec g .text 00000000 _xtos_unhandled_exception +00500400 g *ABS* 00000000 _dram0_data_end +00500b67 g O .bss 00000001 Xthal_mmu_asid_kernel +008e5124 g F .text 0000007d ReturnBuffers +008e6270 g .text 00000000 _xtos_cause3_handler +00500780 g O .data 0000003c u8OtherSpeedConfigDescriptorEX +004e87a2 g O .rodata 00000001 Xthal_have_windowed +00500ad2 g O .bss 00000002 u8UsbInterfaceAlternateSetting +00500950 g *ABS* 00000000 __XT_EXCEPTION_DESCS__ +008e2290 g F .text 0000001e cmnos_intr_module_install +008e2120 g F .text 00000005 cmnos_intr_dummy +008e2e6c g F .text 0000001d _uart_str_out +22221221 g *ABS* 00000000 _memmap_cacheattr_wb_allvalid +00500a54 g O .bss 00000044 g_hifUSBCtx +008e2bf0 g F .text 00000030 cmnos_timer_disarm +008e1c30 g F .text 00000014 cmnos_allocram_module_install +008e2b20 g F .text 00000031 cmnos_tasklet_disable +008e178c g F .text 000000d2 set_pci_conf +008e32a0 g F .text 0000000e __pci_get_max_msg_len +00000000 *UND* 00000000 send_buffer_via_dma +008e1000 g *ABS* 00000000 _text_start +00500958 g O .bss 00000004 allocram_remaining_bytes +008e4d7c g F .text 00000037 dma_lib_module_install +008e1614 g F .text 00000066 athos_interrupt_init +008e2dd8 g F .text 00000007 _uart_char_get_nothing +008e22d8 g F .text 00000011 cmnos_system_reset +00021001 g *ABS* 00000000 _memmap_cacheattr_wb_base +008e51ec g F .text 0000015a _HTC_SendMsg +008e0b20 g *ABS* 00000000 _Level3InterruptVector_text_start +008e4e74 g F .text 00000018 _vdesc_alloc_desc +008e2aa0 g F .text 00000019 cmnos_romp_module_install +00500b69 g O .bss 00000001 Xthal_mmu_sr_bits +008e2c7c g F .text 0000002b cmnos_wdt_last_boot +008e4d14 g F .text 0000001b __dma_reap_recv +008e2a34 g F .text 00000061 cmnos_romp_download +005007c0 g O .data 00000090 eng_q +004e87e9 g O .rodata 00000001 Xthal_num_ccompare +008e0ce0 g *ABS* 00000000 _NMIExceptionVector_literal_end +008e1548 g F .text 0000002b generic_hif_module_install +fff22ff2 g *ABS* 00000000 _memmap_cacheattr_bp_strict +0050096c g O .bss 00000004 pll_ctrl_setting_24ghz +008e57e0 g F .text 00000005 _DMAengine_init +008e31a8 g F .text 0000009f __pci_isr_handler +008e47f8 g F .text 0000001e mUsbFIFOConfig +008e5bf0 g F .text 00000092 zfDmaReclaimPacket +22221221 g *ABS* 00000000 _memmap_cacheattr_wt_trapnull +008e367c g F .text 000000ad _HIFusb_isr_handler +008e616c g F .text 00000055 _buf_pool_static_create_pool +008e0d40 g .KernelExceptionVector.text 00000000 _KernelExceptionVector +00500964 g O .bss 00000004 clock_info +008e2a98 g F .text 00000005 cmnos_romp_init +008e0e00 g *ABS* 00000000 _UserExceptionVector_literal_start +008e16b0 g F .text 00000036 _read_usb_desc +008e0800 g .WindowVectors.text 00000000 _WindowOverflow4 +008e4b7c g F .text 0000000a __dma_reg_write +00000000 g *ABS* 00000000 xthals_release_minor +008e3248 g F .text 0000002a __pci_xmit_buf +00500400 g .data 00000000 _xtos_exc_handler_table +008e0d40 g *ABS* 00000000 _KernelExceptionVector_text_start +008e30a8 g F .text 00000053 __pci_init +00500640 g O .data 000000b8 basic_ROM_module_table +008e5ec0 g F .text 000000c2 magpie_mdio_boot_init +008e2de0 g F .text 0000002c _uart_char_put +00500b78 g *ABS* 00000000 _bss_end +008e13f8 g F .text 00000030 Magpie_fatal_exception_handler +008e62ec g .text 00000000 xthal_window_spill_nw +008e1240 g .text 00000000 _Level2FromVector +008e6164 g F .text 00000005 _buf_pool_static_shutdown +00500b18 g O .bss 0000002c g_poolCtx +008e0840 g .WindowVectors.text 00000000 _WindowUnderflow4 +00500978 g O .bss 00000002 eep_state +004e87a0 g O .rodata 00000001 Xthal_num_aregs_log2 +008e4c6c g F .text 00000028 __dma_hard_xmit +00500500 g O .data 00000004 _xtos_enabled +00500500 g .data 00000000 _xtos_intstruct +004e8818 g O .rodata 00000004 Xthal_dataram_size +008e5540 g F .text 00000075 HTCProcessConfigPipeMsg +008e61c4 g F .text 00000022 _buf_pool_static_alloc_buf +008e096a g *ABS* 00000000 _WindowVectors_text_end +00500aa4 g O .bss 00000010 usbFifoConf +004e8814 g O .rodata 00000004 Xthal_dataram_paddr +00500ab8 g O .bss 00000004 pu8DescriptorEX +00500400 g *ABS* 00000000 _dram0_data_start +008e2e58 g F .text 00000005 _uart_task +004e0100 g .dport0.usb_in_rom 00000000 _reserved_rom_data_end +004e8790 g O .rodata 00000004 Xthal_release_major +008e0940 g .WindowVectors.text 00000000 _WindowUnderflow12 +008e32c0 g F .text 0000000d __pci_get_def_pipe +22222222 g *ABS* 00000000 _memmap_cacheattr_bp_allvalid +008e1174 g .text 00000000 _xtos_l1int_handler +004e8810 g O .rodata 00000004 Xthal_dataram_vaddr +004e87d0 g O .rodata 00000004 Xthal_hw_max_version_major +004e87e6 g O .rodata 00000001 Xthal_num_instram +22221221 g *ABS* 00000000 _memmap_cacheattr_wt_allvalid +008e6834 g F .text 00000000 xthal_get_ccompare +008e5358 g F .text 00000013 _HTC_GetReservedHeadroom +004e01c0 g O .dport0.usb_in_rom 00000018 String20Descriptor +008e1d38 g F .text 00000057 cmnos_eeprom_write_hword +00500950 g *ABS* 00000000 __XT_EXCEPTION_DESCS_END__ +00500b65 g O .bss 00000001 Xthal_have_cacheattr +004e87e5 g O .rodata 00000001 Xthal_dtlb_ways +008e2190 g F .text 00000039 cmnos_intr_unmask_inum +00500704 g O .data 00000004 patch_addr +008e1c98 g F .text 00000005 cmnos_wlan_band_set +00500ac8 g O .bss 00000004 eUsbCxCommand +00000000 *UND* 00000000 WMI_service_module_install +008e61e8 g F .text 00000010 _buf_pool_static_alloc_buf_align +008e6960 g F .text 0000003d __umodsi3 +00500b6d g O .bss 00000001 Xthal_dtlb_arf_ways +004e01b0 g O .dport0.usb_in_rom 00000010 String10Descriptor +00500400 g *ABS* 00000000 _dport0_data_start +008e297c g F .text 00000069 _read_rom_patch +008e5914 g F .text 00000057 _DMAengine_config_rx_queue +00500af0 g O .bss 00000006 UsbStatus +004e0120 g O .dport0.usb_in_rom 0000003c u8HSConfigDescriptor01 +008e681c g F .text 00000000 xthal_get_ccount +008e6d58 g .text 00000000 _text_end_magic +008e22b0 g F .text 00000005 cmnos_mem_init +0053f7f0 g .dport0.stack 00000000 _stack_sentry +008e2bac g F .text 00000042 cmnos_timer_arm +008e5b1c g F .text 00000050 dma_engine_module_install +008e6298 g .text 00000000 _GeneralException +008e2918 g F .text 00000033 cmnos_printf +008e6060 g F .text 0000001f fw_compute_cksum +008e2104 g F .text 00000019 cmnos_eep_module_install +0d02230f g *ABS* 00000000 xthals_hw_configid1 +008e62ec g .text 00000000 xthal_spill_registers_into_stack_nw +00000000 *UND* 00000000 hif_module_install +00500b6c g O .bss 00000001 Xthal_dtlb_way_bits +008e5680 g F .text 00000027 HTCSendDoneHandler +008e5a34 g F .text 0000001e _DMAengine_has_compl_packets +008e3140 g F .text 00000014 __pci_reg_callback +008e4840 g F .text 00000024 mUsbEPMxPtSzLow +004e87d4 g O .rodata 00000001 Xthal_have_spanning_way +008e53e8 g F .text 0000005e HTCCheckAndSendCreditReport +008e1000 g .text 00000000 _start +008e00de g *ABS* 00000000 _ResetVector_text_end +00500a98 g O .bss 0000000c ControlCmd +00500400 g *ABS* 00000000 _data_start +008e6814 g F .text 00000000 _xtos_p_none +00500ace g O .bss 00000002 u8UsbConfigValue +00500980 g O .bss 00000004 cmnos_enabled_interrupts +008e2e60 g F .text 0000000a _uart_status +008e2d50 g F .text 00000018 cmnos_wdt_task +008e5348 g F .text 00000005 _HTC_PauseRecv +008e1cdc g F .text 00000026 cmnos_tick +008e23f4 g F .text 0000001f cmnos_is_host_present +004e880c g O .rodata 00000004 Xthal_datarom_size +004e87a8 g O .rodata 00000001 Xthal_have_mul16 +008e1d90 g F .text 0000002b cmnos_eeprom_read_hword +008e47bc g F .text 0000001c mUsbEPMap +00500b60 g O .bss 00000004 Xthal_hw_release_internal +00000000 *UND* 00000000 __adf_os_setup_intr +004e87b0 g O .rodata 00000001 Xthal_have_s32c1i +008e4e8c g F .text 00000008 _vdesc_get_hw_desc +008e6638 g F .text 0000008e strncmp +008e0b00 g *ABS* 00000000 _Level3InterruptVector_literal_end +004e87e4 g O .rodata 00000001 Xthal_itlb_ways +008e1ca0 g F .text 00000005 cmnos_pll_init +008e2ac4 g F .text 00000023 cmnos_string_module_install +008e2cc4 g F .text 00000028 cmnos_wdt_set +008e0d00 g *ABS* 00000000 _KernelExceptionVector_literal_end +008e1e54 g F .text 00000045 cmnos_eep_read +004e87c0 g O .rodata 00000004 Xthal_hw_configid1 +008e6700 g F .text 00000000 strncpy +008e0800 g *ABS* 00000000 _memmap_vecbase_reset +008e0a20 g .Level2InterruptVector.text 00000000 _Level2Vector +008e1c44 g F .text 00000020 cmnos_delay_us +004e87ab g O .rodata 00000001 Xthal_have_interrupts +00500b0c g O .bss 0000000c fwd_sc +008e1c90 g F .text 00000005 cmnos_clockregs_init +008e0f20 g *ABS* 00000000 _DoubleExceptionVector_text_start +008e61f8 g F .text 00000013 _buf_pool_static_free_buf +008e1468 g F .text 0000000a athos_restore_intrlvl +fff21ff1 g *ABS* 00000000 _memmap_cacheattr_wb_strict +008e18fc g F .text 000002af bootentry +008e12f4 g .text 00000000 _Level3FromVector +004e87af g O .rodata 00000001 Xthal_have_release_sync +008e620c g F .text 00000061 _xtos_set_exception_handler +004e87c8 g O .rodata 00000004 Xthal_hw_release_name +005006fc g O .data 00000004 assprint +0050095c g O .bss 00000004 cticks +008e2b04 g F .text 00000019 cmnos_tasklet_schedule +008e2d90 g F .text 0000003e _uart_init +00500400 g .dport0.data 00000000 _reserved_data_end +008e4ed4 g F .text 00000019 vdesc_module_install +008e0f00 g *ABS* 00000000 _DoubleExceptionVector_literal_start +008e1c64 g F .text 0000000d cmnos_milliseconds +008e32d0 g F .text 00000041 hif_pci_module_install +008e69a0 g F .text 00000045 memcmp +008e2ef4 g F .text 00000037 cmnos_uart_module_install +008e2e38 g F .text 00000020 _uart_char_get +00500b58 g O .bss 00000004 Xthal_hw_min_version_minor +00500950 g *ABS* 00000000 _data_end +008e4cec g F .text 00000026 __dma_xmit_done +008e102c g .text 00000000 _xtos_alloca_handler +008e1000 g *ABS* 00000000 _iram0_text_end +004e87fc g O .rodata 00000004 Xthal_instram_paddr +004e8704 g *ABS* 00000000 _ResetVector_literal_end +008e5a2c g F .text 00000005 _DMAengine_flush_xmit +008e2c5c g F .text 0000001e cmnos_timer_module_install +004e9034 g *ABS* 00000000 __XT_EXCEPTION_TABLE__ +008e11e4 g F .text 0000004c _xtos_set_interrupt_handler_arg +004e87b2 g O .rodata 00000001 Xthal_have_pif +004e87a6 g O .rodata 00000001 Xthal_have_minmax +008e5a54 g F .text 0000002f _DMAengine_reap_recv_buf +008e5bb4 g F .text 00000039 zfDmaGetPacket +008e30fc g F .text 0000003a __pci_cfg_pipe +008e4a40 g F .text 0000012f vUsbFIFO_EPxCfg_FS +00500ae4 g O .bss 00000004 u8String20Descriptor +00500508 g .data 00000000 _xtos_interrupt_table +00500b4f g O .bss 00000001 Xthal_have_fp +008e6080 g F .text 000000a8 mdio_get_fw_image +008e2b7c g F .text 0000001e cmnos_tasklet_module_install +008e2b54 g F .text 00000028 cmnos_tasklet_run +008e614c g F .text 00000016 _buf_pool_static_init +008e5d8c g F .text 0000002e fwd_tgt_process_last +008e0020 g .ResetVector.text 00000000 _ResetHandler +fff21ff1 g *ABS* 00000000 _memmap_cacheattr_wt_strict +008e6d0c g F .text 00000000 memset +008e6278 g .text 00000000 _xtos_c_wrapper_handler +008e1bac g F .text 0000001e main +00500b68 g O .bss 00000001 Xthal_mmu_ring_bits +008e5dbc g F .text 000000df fwd_tgt_recv +008e5a84 g F .text 00000036 _DMAengine_reap_xmited_buf +008e5abc g F .text 0000005e _DMAengine_desc_dump +008e50b8 g F .text 00000005 _HTC_Shutdown +004e0100 g .dport0.usb_in_rom 00000000 _reserved_rom_data_start +008e4b88 g F .text 00000020 __dma_set_base +005005a0 g .data 00000000 _xtos_interrupt_mask_table +008e23c4 g F .text 0000002d cmnos_get_kbhit +008e0a20 g *ABS* 00000000 _Level2InterruptVector_text_start +008e0c20 g .DebugExceptionVector.text 00000000 _DebugExceptionVector +00500ae8 g O .bss 00000004 u8String30Descriptor +008e1d04 g F .text 00000032 cmnos_clock_module_install +008e5fd8 g F .text 00000045 mdio_read_block +008e0ce4 g .NMIExceptionVector.text 00000000 _NMIExceptionVector +008e2f44 g F .text 00000027 __pci_get_pipe +00500ac4 g O .bss 00000004 eUsbCxFinishAction +004e879c g O .rodata 00000004 Xthal_num_aregs +004e87ad g O .rodata 00000001 Xthal_have_nmi +008e5c84 g F .text 0000009f zfDmaPutPacket +008e32b0 g F .text 00000007 __pci_get_reserved_headroom +008e53b0 g F .text 00000015 HTCFreeMsgBuffer +008e4bf0 g F .text 0000004d __dma_lib_rx_config +004e87b8 g O .rodata 00000004 Xthal_build_unique_id +004e8804 g O .rodata 00000004 Xthal_datarom_vaddr +00500850 g .data 00000000 _xtos_c_handler_table +008e189c g F .text 0000002c pci_gmac_bootload +00500504 g O .data 00000004 _xtos_vpri_enabled +008e0b20 g .Level3InterruptVector.text 00000000 _Level3Vector +008e0d46 g *ABS* 00000000 _KernelExceptionVector_text_end +008e685c g F .text 00000068 __divsi3 +008e0f00 g *ABS* 00000000 _DoubleExceptionVector_literal_end +008e1104 g .text 00000000 _xtos_syscall_handler +008e1192 g .text 00000000 _LevelOneInterrupt +008e3668 g F .text 00000012 _HIFusb_get_max_msg_len +008e6424 g F .text 00000000 strcmp +0053f7f0 g .dport0.stack 00000000 _reserved_stack_start +004e8808 g O .rodata 00000004 Xthal_datarom_paddr +008e2c2c g F .text 0000002d cmnos_timer_handler +004e8704 g *ABS* 00000000 _dram0_rodata_start +004e87d5 g O .rodata 00000001 Xthal_have_identity_map +008e3648 g F .text 00000005 _HIFusb_pause_recv +0053fff0 g .dport0.stack 00000000 _reserved_stack_end +008e3070 g F .text 00000035 __pci_boot_init +008e3508 g F .text 000000ab _HIFusb_config_pipe +008e3154 g F .text 0000002c __pci_reap_xmitted +004e8704 g *ABS* 00000000 _ResetVector_literal_start +008e2ca8 g F .text 0000001a cmnos_wdt_reset +008e3640 g F .text 00000005 _HIFusb_set_recv_bufsz +00500b4e g O .bss 00000001 Xthal_have_mac16 +008e2420 g F .text 0000002d cmnos_misc_module_install +008e0e00 g *ABS* 00000000 _UserExceptionVector_literal_end +004e0260 g .dport0.usb_in_rom 00000000 _data_start_in_rom +008e51dc g F .text 00000010 _HTC_ReturnBuffers +008e1574 g F .text 0000008f athos_indirection_table_install +005006f8 g O .data 00000004 assloop +008e6844 g F .text 00000000 xthal_get_intenable +004e87aa g O .rodata 00000001 Xthal_xea_version +00500a28 g O .bss 00000004 current_dump +008e4ba8 g F .text 00000048 __dma_lib_tx_init +004e87dc g O .rodata 00000004 Xthal_mmu_max_pte_page_size +008e3274 g F .text 0000001b __pci_return_recv +008e2af4 g F .text 0000000f cmnos_tasklet_init_task +008e0c00 g *ABS* 00000000 _DebugExceptionVector_literal_start +00500990 g O .bss 00000098 cmnos_isr_info +008e4818 g F .text 00000027 mUsbEPMxPtSzHigh +008e48b0 g F .text 00000190 vUsbFIFO_EPxCfg_HS +008e0ce0 g *ABS* 00000000 _NMIExceptionVector_literal_start +008e1e9c g F .text 00000267 cmnos_eep_init +00500ac0 g O .bss 00000004 u8ConfigDescriptorEX +004e8740 g O .rodata 00000050 cmnos_clocking_table +008e0e20 g *ABS* 00000000 _UserExceptionVector_text_start +00500acc g O .bss 00000002 UsbChirpFinish +008e56e0 g F .text 000000ff HTCMsgRecvHandler +008e373c g F .text 00000041 hif_usb_module_install +00500b4c g O .bss 00000001 Xthal_have_booleans +008e1860 g F .text 0000003b bootload +00500000 g .dport0.data 00000000 _reserved_data_start +008e32b8 g F .text 00000005 __pci_shutdown +22221221 g *ABS* 00000000 _memmap_cacheattr_wb_trapnull +008e3424 g F .text 00000014 _HIFusb_register_callback +008e67fc g .text 00000000 _xtos_return_from_exc +008e4d30 g F .text 00000028 __dma_return_recv +008e47d8 g F .text 0000001e mUsbFIFOMap +004e9034 g *ABS* 00000000 _bss_table_start +008e0a00 g *ABS* 00000000 _Level2InterruptVector_literal_end +00500b66 g O .bss 00000001 Xthal_mmu_asid_bits +008e12f1 g .text 00000000 _Level2HandlerLabel +008e2348 g F .text 0000006c cmnos_assfail +008e2964 g F .text 00000018 _patch_dump +004e87ae g O .rodata 00000001 Xthal_have_prid +008e4b70 g F .text 0000000a __dma_reg_read +004e87e0 g O .rodata 00000004 Xthal_mmu_min_pte_page_size +008e13a2 g .text 00000000 _Level3HandlerLabel +008e341c g F .text 00000005 _HIFusb_shutdown +008e2c20 g F .text 0000000c cmnos_timer_init +008e4c94 g F .text 0000001b __dma_reap_xmitted +008e2a24 g F .text 0000000d cmnos_romp_install +008e372c g F .text 0000000f _HIFusb_get_default_pipe +004e8800 g O .rodata 00000004 Xthal_instram_size +00500b6e g O .bss 00000001 Xthal_num_instrom +c280dbff g *ABS* 00000000 xthals_hw_configid0 +008e536c g F .text 00000041 htc_module_install +00500400 g *ABS* 00000000 _dport0_data_end +0053fff0 g .dport0.stack 00000000 __stack +008e23b4 g F .text 00000005 cmnos_report_failure_to_host +008e2128 g F .text 00000026 cmnos_intr_init +008e0b00 g *ABS* 00000000 _Level3InterruptVector_literal_start +00500af8 g O .bss 0000000c g_vbufCtx +008e2f2c g F .text 0000000a __pci_reg_read +008e0000 g *ABS* 00000000 _ResetVector_text_start +004e8710 g *ABS* 00000000 _rodata_start +008e0f20 g .DoubleExceptionVector.text 00000000 _DoubleExceptionVector +008e5f84 g F .text 00000039 magpie_mdio_wait_for_lock +00500bf8 g .bss 00000000 _end +008e3438 g F .text 0000007a _HIFusb_start +008e23bc g F .text 00000005 cmnos_target_id_get +00500720 g O .data 00000006 TestPatn0 +008e13a4 g F .text 00000053 post +008e4cb0 g F .text 00000039 __dma_flush_xmit +008e4e38 g F .text 0000003b _vdesc_init +00500b54 g O .bss 00000004 Xthal_hw_release_minor +008e5e9c g F .text 00000022 magpie_mdio_module_install +008e1000 g *ABS* 00000000 _iram0_text_start +008e5d3c g F .text 00000038 fwd_init +008e5640 g F .text 0000003f HTCControlSvcProcessSendComplete +008e1bdc g F .text 00000030 cmnos_allocram +008e0880 g .WindowVectors.text 00000000 _WindowOverflow8 +008e1230 g F .text 00000010 _xtos_set_interrupt_handler +008e5884 g F .text 00000017 _DMAengine_return_recv_buf +008e53c8 g F .text 0000001f HTCAllocMsgBuffer +008e50c0 g F .text 0000000d _HTC_RegisterService +004e881c g O .rodata 00000004 Xthal_xlmi_vaddr +008e35b4 g F .text 0000005e _HIFusb_send_buffer +00500ab4 g O .bss 00000004 fwCheckSum +008e294c g F .text 00000005 cmnos_printf_init +008e5448 g F .text 000000f8 HTCProcessConnectMsg +008e684c g F .text 00000000 xthal_get_interrupt +008e22b8 g F .text 0000001e cmnos_mem_module_install +008e21cc g F .text 00000064 cmnos_intr_attach_isr +004e87a5 g O .rodata 00000001 Xthal_have_nsa +008e6400 g F .text 00000000 xthal_window_spill +00500b5c g O .bss 00000004 Xthal_hw_max_version_minor +004e87e8 g O .rodata 00000001 Xthal_have_ccount +004e87bc g O .rodata 00000004 Xthal_hw_configid0 +008e68c4 g F .text 00000049 __modsi3 +008e1c0c g F .text 00000021 cmnos_allocram_debug +004e87b4 g O .rodata 00000002 Xthal_num_writebuffer_entries +008e3650 g F .text 00000005 _HIFusb_resume_recv +0050097a g O .bss 00000002 eep_exist +004e0160 g O .dport0.usb_in_rom 0000003c u8FSConfigDescriptor01 +22221221 g *ABS* 00000000 _memmap_cacheattr_reset +00000000 *UND* 00000000 download_write_flag +004e8794 g O .rodata 00000004 Xthal_release_name +22222222 g *ABS* 00000000 _memmap_cacheattr_bp_trapnull +008e0c26 g *ABS* 00000000 _DebugExceptionVector_text_end +008e08c0 g .WindowVectors.text 00000000 _WindowUnderflow8 +008e0a26 g *ABS* 00000000 _Level2InterruptVector_text_end +008e4fc0 g F .text 00000017 HTC_AssembleBuffers +008e1bcc g F .text 00000010 cmnos_allocram_init +008e5350 g F .text 00000005 _HTC_ResumeRecv +008e684c g F .text 00000000 xthal_get_intread +008e0e20 g .UserExceptionVector.text 00000000 _UserExceptionVector +008e3138 g F .text 00000005 __pci_start +00500b6f g O .bss 00000001 Xthal_num_datarom +008e1000 g .text 00000000 _stext +008e1ca8 g F .text 00000033 cmnos_clock_init +008e65d4 g F .text 00000000 strlen +008e596c g F .text 000000be _DMAengine_xmit_buf +008e4db4 g F .text 0000003b _vbuf_init +00500968 g O .bss 00000004 cmnos_skip_pll_init +008e2abc g F .text 00000005 cmnos_string_init +008e5fc0 g F .text 00000016 magpie_mdio_release_lock +00500adc g O .bss 00000004 u8String00Descriptor +008e1454 g F .text 0000000b athos_block_all_intrlvl +008e51a4 g F .text 00000037 _HTC_ReturnBuffersList +00500a34 g O .bss 00000004 timer_list +00022002 g *ABS* 00000000 _memmap_cacheattr_bp_base +008e4638 g F .text 000000d4 _usb_init +008e4864 g F .text 0000004a mUsbEPinHighBandSet +004e01a0 g O .dport0.usb_in_rom 00000004 String00Descriptor +008e0d00 g *ABS* 00000000 _KernelExceptionVector_literal_start +004e8704 g *ABS* 00000000 _dram0_rodata_end +00500400 g *ABS* 00000000 _dport0_bss_start +004e87f8 g O .rodata 00000004 Xthal_instram_vaddr +008e2fbc g F .text 000000b4 __pci_reset +008e1460 g F .text 00000008 athos_unblock_all_intrlvl +008e56d8 g F .text 00000005 RedistributeCredit +00500400 g *ABS* 00000000 _dport0_bss_end +004e87e7 g O .rodata 00000001 Xthal_num_dataram +00500730 g O .data 00000034 TestPatn1 +008e1df4 g F .text 00000060 cmnos_eep_write +008e0a00 g *ABS* 00000000 _Level2InterruptVector_literal_start +00500960 g O .bss 00000004 curr_band +00001b62 g *ABS* 00000000 xthals_release_major +008e2cec g F .text 0000001e cmnos_wdt_enable +004e01e0 g O .dport0.usb_in_rom 0000000c String30Descriptor +008e4d58 g F .text 00000023 __dma_recv_pkt +008e1143 g .text 00000000 _SyscallException +008e2dd0 g F .text 00000005 _uart_char_put_nothing +00500770 g O .data 0000000a u8DeviceQualifierDescriptorEX +004e87b1 g O .rodata 00000001 Xthal_have_threadptr +008e2e8c g F .text 00000027 _uart_config +008e4e94 g F .text 0000003d _vdesc_swap_vdesc +004e87a7 g O .rodata 00000001 Xthal_have_sext + + +Contents of section .lit4: + 4e8000 0053fff0 00040020 00500950 00500b78 .S..... .P.P.P.x + 4e8010 008e1240 008e12f4 00500400 008e1074 ...@.....P.....t + 4e8020 00050023 80000007 c0000000 00500598 ...#.........P.. + 4e8030 008e67fc 004e8830 00500508 008e67e4 ..g..N.0.P....g. + 4e8040 00007ffe 008e12e8 00038000 008e1399 ................ + 4e8050 004e8730 0053fe00 00520e00 40000000 .N.0.S...R..@... + 4e8060 00500000 004e8850 004e885c 004e8898 .P...N.P.N.\.N.. + 4e8070 004e88a0 004e88b4 004e88f8 004e8928 .N...N...N...N.( + 4e8080 00500640 005006f8 00500100 008e6844 .P.@.P...P....hD + 4e8090 008e6854 008e684c 008e1460 008e1454 ..hT..hL...`...T + 4e80a0 008e1468 008e1428 008e1474 008e14d4 ...h...(...t.... + 4e80b0 008e1604 02625a00 004e8944 004e8958 .....bZ..N.D.N.X + 4e80c0 41544852 10000000 00500b7c 00500b9c ATHR.....P.|.P.. + 4e80d0 00500ba8 00500bc0 00500be0 0004fe00 .P...P...P...... + 4e80e0 0005be00 00051e00 fffeefef 004e8960 .............N.` + 4e80f0 13fffe00 a55a0000 00036000 00000b7c .....Z....`....| + 4e8100 004e8974 008e1860 004e8988 008e189c .N.t...`.N...... + 4e8110 00500978 008e18fc 004e89a4 004e89a8 .P.x.....N...N.. + 4e8120 00500950 20000000 004e89d8 004e89f8 .P.P ....N...N.. + 4e8130 004e8a08 004e8a18 004e8a28 00530000 .N...N...N.(.S.. + 4e8140 00010000 004e8a38 004e8a44 004e8a60 .....N.8.N.D.N.` + 4e8150 004e89bc 004e89ac 008e1bac 00500954 .N...N.......P.T + 4e8160 004e8a7c 004e8aa0 004e8abc 008e1bcc .N.|.N...N...... + 4e8170 008e1bdc 008e1c0c 0050095c 000c3500 .........P.\..5. + 4e8180 fff3cb00 004e8740 00500974 008e1ca8 .....N.@.P.t.... + 4e8190 008e1c90 008e1c44 008e1c98 008e1c74 .......D.......t + 4e81a0 008e1c64 008e1c80 008e1c88 008e1cdc ...d............ + 4e81b0 00020000 10ff3e00 10ff2000 00000fff ......>... ..... + 4e81c0 004e8aec 004e8adc 004e8ae4 004e8af4 .N...N...N...N.. + 4e81d0 5f574454 0003fe00 0001fe00 0000ffc1 _WDT............ + 4e81e0 04000000 10ff0000 10ff1e00 000c0000 ................ + 4e81f0 008e1e9c 008e1e54 008e1df4 008e1dbc .......T........ + 4e8200 00500980 008e2120 004e0600 004e0601 .P....! .N...N.. + 4e8210 00500990 004e0771 008e2128 008e2230 .P...N.q..!(.."0 + 4e8220 008e21cc 008e2150 008e2190 008e22b0 ..!...!P..!...". + 4e8230 008e6d0c 008e6a28 008e6b20 008e69a0 ..m...j(..k ..i. + 4e8240 01000000 fffe000f 004e0001 004e8afc .........N...N.. + 4e8250 00500a28 005006f8 004e8b34 004e8b68 .P.(.P...N.4.N.h + 4e8260 004e8b80 004e8970 004e8b8c 004e0100 .N...N.p.N...N.. + 4e8270 008e22d8 008e22ec 008e2348 008e22f4 .."..."...#H..". + 4e8280 008e23b4 008e23f4 008e23c4 008e2414 ..#...#...#...$. + 4e8290 004e8ba0 004e8bc8 004e8b94 004e8bb4 .N...N...N...N.. + 4e82a0 00500700 008e294c 008e2918 004e8bd0 .P....)L..)..N.. + 4e82b0 ffb00000 0003ffff 004e8bec 004e8c10 .........N...N.. + 4e82c0 00500704 00500a2c 004e8c34 0053c000 .P...P.,.N.4.S.. + 4e82d0 008e2a98 008e2a34 008e2a24 008e29e8 ..*...*4..*$..). + 4e82e0 008e2abc 008e653c 008e6700 008e65d4 ..*...e<..g...e. + 4e82f0 008e6424 008e6638 00500a30 008e2ae8 ..d$..f8.P.0..*. + 4e8300 008e2af4 008e2b20 008e2b04 008e2b54 ..*...+ ..+...+T + 4e8310 00500a34 00009c40 008e2c20 008e2bac .P.4...@.., ..+. + 4e8320 008e2bf0 008e2b9c 008e2c2c 5f535553 ..+...+...,,_SUS + 4e8330 00500a38 07270e00 008e2d28 008e2cec .P.8.'....-(..,. + 4e8340 008e2d0c 008e2cc4 008e2d50 008e2ca8 ..-...,...-P..,. + 4e8350 008e2c7c 00050e00 0001c200 00500a44 ..,|.........P.D + 4e8360 00002710 008e2dd0 008e2de0 008e2d90 ..'...-...-...-. + 4e8370 008e2e38 008e2e6c 008e2e58 008e2e8c ...8...l...X.... + 4e8380 008e2e60 008e2eb4 00050018 004e8c54 ...`.........N.T + 4e8390 00050010 004e8c70 ffffdfff 00002000 .....N.p...... . + 4e83a0 00500708 004e8c7c 004e8c90 004e8ca0 .P...N.|.N...N.. + 4e83b0 004e8cb0 008e30a8 008e3138 008e30fc .N....0...18..0. + 4e83c0 008e31a8 008e3248 008e3274 008e3290 ..1...2H..2t..2. + 4e83d0 008e32a0 008e3140 008e32b8 008e32b0 ..2...1@..2...2. + 4e83e0 008e32c0 008e3070 008e2fa4 008e3180 ..2...0p../...1. + 4e83f0 008e3154 008e2fbc 008e2f44 008e2f80 ..1T../.../D../. + 4e8400 008e2f6c 00500a54 008e3348 008e335c ../l.P.T..3H..3\ + 4e8410 008e3394 008e33b4 00500a60 00500a68 ..3...3..P.`.P.h + 4e8420 00500a78 00500a80 004e8cc8 004e8ce0 .P.x.P...N...N.. + 4e8430 00055a00 00055600 004e8cf4 004e8d0c ..Z...V..N...N.. + 4e8440 00054e00 00010007 008e33c8 008e3438 ..N.......3...48 + 4e8450 008e3508 008e367c 008e35b4 008e3614 ..5...6|..5...6. + 4e8460 008e3658 008e3668 008e3424 008e341c ..6X..6h..4$..4. + 4e8470 008e34b4 008e372c 004e8d24 004e8d38 ..4...7,.N.$.N.8 + 4e8480 004e8d50 004e8d64 004e8d78 00500a98 .N.P.N.d.N.x.P.. + 4e8490 0000ff80 0000fe00 00500620 00500730 .........P. .P.0 + 4e84a0 007efdfb 00500780 00500770 004e8d8c .~...P...P.p.N.. + 4e84b0 004e01a0 004e01b0 004e01c0 004e01e0 .N...N...N...N.. + 4e84c0 008e3efc 004e8dcc 004e8dec 08000000 ..>..N...N...... + 4e84d0 004e8da4 004e8df8 f7ffffff 004e0160 .N...N.......N.` + 4e84e0 004e0120 00010080 004e8e18 004e8e2c .N. .....N...N., + 4e84f0 0000c600 004e8e40 004e8e50 004e8e68 .....N.@.N.P.N.h + 4e8500 004e8e78 004e8e88 004e8e98 008e4208 .N.x.N...N....B. + 4e8510 008e41ec 008e4638 008e43a0 008e4468 ..A...F8..C...Dh + 4e8520 008e4168 008e3ff0 008e3c6c 008e3d24 ..Ah..?...:mdio do + 4e8a50 776e6c6f 61642072 65616479 0a000000 wnload ready.... + 4e8a60 362e2053 4e53543a 20686f73 74696620 6. SNST: hostif + 4e8a70 636f7272 7570740a 0d000000 52414d20 corrupt.....RAM + 4e8a80 616c6c6f 63617469 6f6e2028 25642062 allocation (%d b + 4e8a90 79746573 29206661 696c6564 210a0000 ytes) failed!... + 4e8aa0 414c4c4f 4352414d 20437572 72656e74 ALLOCRAM Current + 4e8ab0 20416464 72203078 25780a00 414c4c4f Addr 0x%x..ALLO + 4e8ac0 4352414d 2052656d 61696e69 6e672042 CRAM Remaining B + 4e8ad0 79746573 2025640a 00000000 2d453132 ytes %d.....-E12 + 4e8ae0 2d000000 2d453130 2d000000 2d453131 -...-E10-...-E11 + 4e8af0 2d000000 2d453133 2d000000 4d697361 -...-E13-...Misa + 4e8b00 6c69676e 6564206c 6f61643a 2070633d ligned load: pc= + 4e8b10 30782578 20626164 76616464 723d3078 0x%x badvaddr=0x + 4e8b20 25782064 756d7020 61726561 3d307825 %x dump area=0x% + 4e8b30 780a0000 61737365 7274696f 6e206661 x...assertion fa + 4e8b40 696c6564 3f207063 3d307825 782c206c iled? pc=0x%x, l + 4e8b50 696e653d 25642c20 64756d70 20617265 ine=%d, dump are + 4e8b60 613d3078 25780a00 54617267 65742049 a=0x%x..Target I + 4e8b70 443a2030 78257820 28256429 0a000000 D: 0x%x (%d).... + 4e8b80 44656275 6720496e 666f3a00 30782530 Debug Info:.0x%0 + 4e8b90 38782000 30313233 34353637 38390000 8x .0123456789.. + 4e8ba0 30313233 34353637 38396162 63646566 0123456789abcdef + 4e8bb0 00000000 30313233 34353637 38394142 ....0123456789AB + 4e8bc0 43444546 00000000 3c6e756c 6c3e0000 CDEF...... + 4e8bd0 09737a3a 2025642c 206c643a 2025702c .sz: %d, ld: %p, + 4e8be0 20666e3a 2025700d 00000000 21696e76 fn: %p.....!inv + 4e8bf0 616c6964 2064706f 72742061 64647265 alid dport addre + 4e8c00 73732c20 30782530 38780a0d 00000000 ss, 0x%08x...... + 4e8c10 63702025 64206279 74657320 66726f6d cp %d bytes from + 4e8c20 20307825 30387820 746f2030 78253038 0x%08x to 0x%08 + 4e8c30 78000000 702e6f66 743a2030 78253034 x...p.oft: 0x%04 + 4e8c40 782c2070 2e737a20 3a203078 25303478 x, p.sz : 0x%04x + 4e8c50 0a000000 57616974 696e6720 666f7220 ....Waiting for + 4e8c60 686f7374 20726573 65742e2e 00000000 host reset...... + 4e8c70 72656365 69766564 2e0a0000 42616420 received....Bad + 4e8c80 456e6769 6e65206e 756d6265 720a0000 Engine number... + 4e8c90 456d7074 79205258 20526561 700a0000 Empty RX Reap... + 4e8ca0 456d7074 79205458 20526561 70200a00 Empty TX Reap .. + 4e8cb0 496e7661 6c696420 50697065 206e756d Invalid Pipe num + 4e8cc0 6265720a 00000000 0a0d093d 3e5b646e ber........=>[dn + 4e8cd0 515d2030 78253038 78200a5b 00000000 Q] 0x%08x .[.... + 4e8ce0 093d3e5b 7570515d 20307825 30387820 .=>[upQ] 0x%08x + 4e8cf0 0a5b0000 093d3e5b 68702064 6e515d20 .[...=>[hp dnQ] + 4e8d00 30782530 3878200a 5b000000 093d3e5b 0x%08x .[....=>[ + 4e8d10 6d702064 6e515d20 30782530 3878200a mp dnQ] 0x%08x . + 4e8d20 5b000000 0a0d5b68 7020646e 515d2030 [.....[hp dnQ] 0 + 4e8d30 78253038 782c2000 444d4120 54524143 x%08x, .DMA TRAC + 4e8d40 45203078 25303878 0a0d2020 20205b00 E 0x%08x.. [. + 4e8d50 0a0d5b6d 7020646e 515d2030 78253038 ..[mp dnQ] 0x%08 + 4e8d60 782c2000 0a0d5b64 6e515d20 30782530 x, ...[dnQ] 0x%0 + 4e8d70 38782c20 00000000 0a0a0d5b 7570515d 8x, .......[upQ] + 4e8d80 20307825 3038782c 20000000 2d206375 0x%08x, ...- cu + 4e8d90 73746f6d 20757362 20636f6e 6669670a stom usb config. + 4e8da0 00000000 095b6355 53425f52 45515f44 .....[cUSB_REQ_D + 4e8db0 4f574e4c 4f41445d 3a203078 25303878 OWNLOAD]: 0x%08x + 4e8dc0 2c202530 32780a0d 00000000 090a0d3d , %02x.........= + 4e8dd0 3d3e5b63 5553425f 5245515f 434f4d50 =>[cUSB_REQ_COMP + 4e8de0 5d3a2030 78253038 780a0d00 636b7375 ]: 0x%08x...cksu + 4e8df0 6d3d2578 00000000 56656e64 6f72436d m=%x....VendorCm + 4e8e00 643a2044 6f776e6c 6f616443 6f6d706c d: DownloadCompl + 4e8e10 65746521 0a000000 7a665265 73657455 ete!....zfResetU + 4e8e20 53424649 464f0a0d 00000000 7a665475 SBFIFO......zfTu + 4e8e30 726e4f66 66506f77 65720a0d 00000000 rnOffPower...... + 4e8e40 47656e65 72617465 20457665 6e740a00 Generate Event.. + 4e8e50 215b534f 55524345 5f305d20 62697437 ![SOURCE_0] bit7 + 4e8e60 206f6e0a 0d000000 21555342 20726573 on.....!USB res + 4e8e70 65740a0d 00000000 21555342 20737573 et......!USB sus + 4e8e80 70656e64 0a0d0000 21555342 20726573 pend....!USB res + 4e8e90 756d650a 0d000000 362e2075 73625f68 ume.....6. usb_h + 4e8ea0 636c6b20 7264790a 0d000000 30782530 clk rdy.....0x%0 + 4e8eb0 38782854 295d0000 30782530 38782825 8x(T)]..0x%08x(% + 4e8ec0 63292d3e 00000000 0a0d2020 20000000 c)->...... ... + 4e8ed0 6d69692d 6d64696f 202d2072 65736574 mii-mdio - reset + 4e8ee0 202d2073 74617274 200a0000 6d69692d - start ...mii- + 4e8ef0 6d64696f 202d2072 65736574 202d2065 mdio - reset - e + 4e8f00 6e64200a 00000000 73746172 74656420 nd .....started + 4e8f10 72656365 6976696e 67206279 74657320 receiving bytes + 4e8f20 746f2025 78206c65 6e677468 2025640a to %x length %d. + 4e8f30 00000000 636f6d70 6c657465 64207265 ....completed re + 4e8f40 63656976 696e6720 62797465 7320746f ceiving bytes to + 4e8f50 20257820 6c656e67 74682025 640a0000 %x length %d... + 4e8f60 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa + 4e8f70 64206c65 6e677468 20307825 780a0000 d length 0x%x... + 4e8f80 4669726d 77617265 20457865 63204164 Firmware Exec Ad + 4e8f90 64726573 73203078 25780a00 4669726d dress 0x%x..Firm + 4e8fa0 77617265 20636865 636b7375 6d203078 ware checksum 0x + 4e8fb0 25780a00 4669726d 77617265 20636865 %x..Firmware che + 4e8fc0 636b7375 6d206661 696c6564 202d2072 cksum failed - r + 4e8fd0 65206e65 676f7469 6174696e 67200a00 e negotiating .. + 4e8fe0 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa + 4e8ff0 64206973 20676f6f 64200a00 434f4d4d d is good ..COMM + 4e9000 414e4420 544f2053 54415254 20464952 AND TO START FIR + 4e9010 4d574152 45205245 43454956 4544200a MWARE RECEIVED . + 4e9020 00000000 372e312e 30000000 4c58322e ....7.1.0...LX2. + 4e9030 312e3000 00500400 00500400 00500950 1.0..P...P...P.P + 4e9040 00500b78 .P.x +Contents of section .data: + 500400 008e67ec 008e1104 008e67ec 008e67ec ..g.......g...g. + 500410 008e1174 008e102c 008e67ec 008e67ec ...t...,..g...g. + 500420 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500430 008e6270 008e6270 008e6270 008e6270 ..bp..bp..bp..bp + 500440 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500450 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500460 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500470 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500480 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500490 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004a0 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004b0 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004c0 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004d0 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004e0 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004f0 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500500 00000000 ffffffff 008e67e4 00000012 ..........g..... + 500510 008e67e4 00000011 008e67e4 00000010 ..g.......g..... + 500520 008e67e4 0000000f 008e67e4 0000000e ..g.......g..... + 500530 008e67e4 0000000d 008e67e4 0000000c ..g.......g..... + 500540 008e67e4 0000000b 008e67e4 0000000a ..g.......g..... + 500550 008e67e4 00000009 008e67e4 00000008 ..g.......g..... + 500560 008e67e4 00000007 008e67e4 00000006 ..g.......g..... + 500570 008e67e4 00000005 008e67e4 00000004 ..g.......g..... + 500580 008e67e4 00000003 008e67e4 00000002 ..g.......g..... + 500590 008e67e4 00000001 008e67e4 00000000 ..g.......g..... + 5005a0 fffffffe 00040000 fffffffe 00020000 ................ + 5005b0 fffffffe 00010000 fffffffe 00008000 ................ + 5005c0 fffffffe 00004000 fffffffe 00002000 ......@....... . + 5005d0 fffffffe 00001000 fffffffe 00000800 ................ + 5005e0 fffffffe 00000400 fffffffe 00000200 ................ + 5005f0 fffffffe 00000100 fffffffe 00000080 ................ + 500600 fffffffe 00000040 fffffffe 00000020 .......@....... + 500610 fffffffe 00000010 fffffffe 00000008 ................ + 500620 fffffffe 00000004 fffffffe 00000002 ................ + 500630 fffffffe 00000001 00000000 00000000 ................ + 500640 008e22b8 0050000c 008e2420 00500020 .."..P....$ .P. + 500650 008e2954 00500044 008e2ef4 0050004c ..)T.P.D.....P.L + 500660 008e471c 00500070 008e2290 00500118 ..G..P.p.."..P.. + 500670 008e2c5c 00500104 008e1d04 005000e0 ..,\.P.......P.. + 500680 008e1c30 00500144 008e2aa0 00500150 ...0.P.D..*..P.P + 500690 008e2d68 00500160 008e2104 0050017c ..-h.P.`..!..P.| + 5006a0 00000000 0050006c 008e2ac4 0050018c .....P.l..*..P.. + 5006b0 008e2b7c 005001a4 008e4ed4 00500294 ..+|.P....N..P.. + 5006c0 008e4e24 00500280 008e1548 005001c0 ..N$.P.....H.P.. + 5006d0 008e3314 00500328 008e4d7c 005002f4 ..3..P.(..M|.P.. + 5006e0 008e6128 00500264 008e470c 00500258 ..a(.P.d..G..P.X + 5006f0 008e5b1c 005002b4 00000001 00000001 ..[..P.......... + 500700 008e2450 00000000 00000000 00000000 ..$P............ + 500710 00000000 00000000 00000000 00000000 ................ + 500720 00020004 00080000 00000000 00000000 ................ + 500730 00000000 00000000 aa00aa00 aaaaaaaa ................ + 500740 eeaaaaaa eeeeeeee feeeeeee ffffffff ................ + 500750 ffffffff 7fffffff f7efdfbf 7efcfdfb ............~... + 500760 fdfbdfbf 00000000 00000000 00000000 ................ + 500770 00000000 00000000 00000000 00000000 ................ + 500780 07090000 00000000 00000000 00000000 ................ + 500790 00000000 00000000 00000000 00000000 ................ + 5007a0 00000000 00000000 00000000 00000000 ................ + 5007b0 00000000 00000000 00000000 00000000 ................ + 5007c0 00053000 00000800 00000000 00000000 ..0............. + 5007d0 00000000 00000000 00053000 00000900 ..........0..... + 5007e0 00000000 00000000 00000000 00000000 ................ + 5007f0 00053000 00000a00 00000000 00000000 ..0............. + 500800 00000000 00000000 00053000 00000b00 ..........0..... + 500810 00000000 00000000 00000000 00000000 ................ + 500820 00053000 00000c00 00000000 00000000 ..0............. + 500830 00000000 00000000 00053000 00000d00 ..........0..... + 500840 00000000 00000000 00000000 00000000 ................ + 500850 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500860 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500870 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500880 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500890 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008a0 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008b0 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008c0 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008d0 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008e0 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008f0 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500900 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500910 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500920 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500930 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500940 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. +Contents of section .ResetVector.text: + 8e0000 60001c00 008e0800 22221221 e0000000 `......."".!.... + 8e0010 008e0063 004e8710 00528001 00000000 ...c.N...R...... + 8e0020 c00000e4 3112fff7 02e73102 160012ff ....1.....1..... + 8e0030 f615fff6 16fff7c0 30d72005 66016000 ........0. .f.`. + 8e0040 21000000 00000000 00000000 00000000 !............... + 8e0050 04360500 0200d30f d30f7531 14074714 .6........u1..G. + 8e0060 05330c07 04437631 e6043605 7539ed00 .3...Cv1..6.u9.. + 8e0070 020015ff e6c030d7 20600006 00074714 ......0. `....G. + 8e0080 05330c07 0443043e 057539f0 03020012 .3...C.>.u9..... + 8e0090 ffe1ca20 83208421 8522222c 0c743b0f ... . .!."",.t;. + 8e00a0 8650b455 9630b433 7433f463 ffe50000 .P.U.0.3t3.c.... + 8e00b0 653fe065 5fdd12ff d8020531 01020000 e?.e_......1.... + 8e00c0 0200c011 01493100 48310102 00c00014 .....I1.H1...... + 8e00d0 000404d2 31140005 04d33150 03c9 ....1.....1P.. +Contents of section .WindowVectors.text: + 8e0800 005c9401 5d94025e 94035f94 00430000 .\..]..^.._..C.. + 8e0810 00000000 00000000 00000000 00000000 ................ + 8e0820 00000000 00000000 00000000 00000000 ................ + 8e0830 00000000 00000000 00000000 00000000 ................ + 8e0840 005c9001 5d90025e 90035f90 00530000 .\..]..^.._..S.. + 8e0850 00000000 00000000 00000000 00000000 ................ + 8e0860 00000000 00000000 00000000 00000000 ................ + 8e0870 00000000 00000000 00000000 00000000 ................ + 8e0880 009c9400 1d90019d 94029e94 039f9404 ................ + 8e0890 08940509 94060a94 070b9400 43000000 ............C... + 8e08a0 00000000 00000000 00000000 00000000 ................ + 8e08b0 00000000 00000000 00000000 00000000 ................ + 8e08c0 009c9001 9d90029e 90071d90 039f9004 ................ + 8e08d0 78900579 90067a90 077b9000 53000000 x..y..z..{..S... + 8e08e0 00000000 00000000 00000000 00000000 ................ + 8e08f0 00000000 00000000 00000000 00000000 ................ + 8e0900 00dc9400 1d9001dd 9402de94 03df9404 ................ + 8e0910 04940505 94060694 07079408 08940909 ................ + 8e0920 940a0a94 0b0b9400 43000000 00000000 ........C....... + 8e0930 00000000 00000000 00000000 00000000 ................ + 8e0940 00dc9001 dd9002de 900b1d90 03df9004 ................ + 8e0950 b49005b5 9006b690 07b79008 b89009b9 ................ + 8e0960 900aba90 0bbb9000 5300 ........S. +Contents of section .KernelExceptionVector.text: + 8e0d40 00140063 fff9 ...c.. +Contents of section .UserExceptionVector.text: + 8e0e20 211c9092 14931513 000602e8 3003230a !...........0.#. + 8e0e30 83309416 0a3000 .0...0. +Contents of section .DoubleExceptionVector.text: + 8e0f20 04140063 fff9 ...c.. +Contents of section .Level2InterruptVector.text: + 8e0a20 02d2160a 2000 .... . +Contents of section .Level3InterruptVector.text: + 8e0b20 02d3160a 2000 .... . +Contents of section .DebugExceptionVector.text: + 8e0c20 00470063 fff9 .G.c.. +Contents of section .NMIExceptionVector.text: + 8e0ce4 015300 .S. +Contents of section .text: + 8e1000 200a0011 00001300 0103e631 01020016 ..........1.... + 8e1010 00021700 03d30f77 6b069060 b4667763 .......wk..`.fwc + 8e1020 f85402e2 0f140063 fff90000 03b13095 .T.....c......0. + 8e1030 17b33204 01300502 3072490b 64500825 ..2..0..0rI.dP.% + 8e1040 5cff0502 31020030 02b131b1 33030241 \...1..0..1.3..A + 8e1050 02330c83 30040330 00230400 331a03c3 .3..0..0.#..3... + 8e1060 53040331 140007d5 10211c70 04340a0a S..1.....!.p.4.. + 8e1070 40000000 d100cf48 cf460000 8154cf40 @......H.F...T.@ + 8e1080 8155ce4c 8156ce48 8157ce44 d160ce40 .U.L.V.H.W.D.`.@ + 8e1090 d170cd4c d180cd48 d190cd44 d1a0cd40 .p.L...H...D...@ + 8e10a0 d1b0cc4c d1c0cc48 d1d0cc44 d1e0cc40 ...L...H...D...@ + 8e10b0 d1f00103 41c8340f 14000311 0c225c70 ....A.4......"\p + 8e10c0 240a7005 130c743b 1604550c 23522024 $.p...t;..U.#R $ + 8e10d0 52219354 94552352 22245223 93569457 R!.T.U#R"$R#.V.W + 8e10e0 032c9004 2d90031c 94041d94 032e9004 .,..-........... + 8e10f0 2f90031e 94041f94 82548355 84568557 /........T.U.V.W + 8e1100 00030000 03b13002 0130b333 73290c02 ......0..0.3s).. + 8e1110 0230c826 b0220202 31030030 821403b1 .0.&."..1..0.... + 8e1120 31831565 20442316 15241616 25161713 1..e D#..$..%... + 8e1130 000802e6 3003e631 03b13021 1c700102 ....0..1..0!.p.. + 8e1140 00c0406c 10085c00 071e0009 aec0d10f ..@l..\......... + 8e1150 02e631c0 20845a01 020003b1 31835985 ..1. .Z.....1.Y. + 8e1160 5b000300 6c1006df f0d10fc7 2f211c70 [...l......./!.p + 8e1170 00030000 95171200 0803b130 02e61693 ...........0.... + 8e1180 10921121 1c701200 0a010200 03240204 ...!.p.......$.. + 8e1190 24096c10 0e0f1600 c0d11c00 0b0de331 $.l............1 + 8e11a0 0d02300f 00309d19 0d01309f 1a9d1b0f ..0..0....0..... + 8e11b0 03308dc0 9f12df10 8ec10fd0 008d198e .0.............. + 8e11c0 1a8f1b0d 02310e00 310f0131 8c121000 .....1..1..1.... + 8e11d0 0c1d000a 0c03310d 000200d0 090d3600 ......1.......6. + 8e11e0 d10f0000 6c1004c1 5272533b 18000da8 ....l...RrS;.... + 8e11f0 28288000 17000e6f 85310d28 1108770c ((.....o.1.(..w. + 8e1200 18000f26 7224c83e 23762424 7625c020 ...&r$.>#v$$v%. + 8e1210 08690c09 6239d10f 28762422 7625086a .i..b9..(v$"v%.j + 8e1220 0cc0200a 6239d10f c020d10f c020d10f .. .b9... ... .. + 8e1230 6c1004da 20db30dc 205bffea d2a0d10f l... .0. [...... + 8e1240 02d21621 1c909214 12000894 16951702 ...!............ + 8e1250 e6310102 00c04021 1c706c10 0e0f2600 .1....@!.pl...&. + 8e1260 0fe2300c e4301d00 100cff01 0dff010e ..0..0.......... + 8e1270 033064f0 5e9e120d 02300e00 309d190d .0d.^....0..0... + 8e1280 01302e16 0a2d160b 0f0c060f cc010ce3 .0...-.......... + 8e1290 311d000e c1ef0ccf 040cef0c 0f0f062f 1............../ + 8e12a0 fc120dfc 0b8dc02e c201011f 020fd000 ................ + 8e12b0 0fe2300c e4301d00 100cff01 0dff0165 ..0..0.........e + 8e12c0 ffc58d19 8e1a8f1b 0d02310e 00310f01 ..........1..1.. + 8e12d0 312e1202 1000111d 000a0e03 310d0002 1...........1... + 8e12e0 00d0090e 3600d10f 82548456 85570123 ....6....T.V.W.# + 8e12f0 00000000 02d31621 1c909214 12000894 .......!........ + 8e1300 16951702 e6310102 00c04021 1c706c10 .....1....@!.pl. + 8e1310 0e0f3600 0fe2300c e4301d00 120cff01 ..6...0..0...... + 8e1320 0dff010e 033064f0 5e9e120d 02300e00 .....0d.^....0.. + 8e1330 309d190d 01302e16 0a2d160b 0f0c060f 0....0...-...... + 8e1340 cc010ce3 311d000e c1ef0ccf 040cef0c ....1........... + 8e1350 0f0f062f fc120dfc 0b8dc02e c201011f .../............ + 8e1360 020fd000 0fe2300c e4301d00 120cff01 ......0..0...... + 8e1370 0dff0165 ffc58d19 8e1a8f1b 0d02310e ...e..........1. + 8e1380 00310f01 312e1202 1000131d 000a0e03 .1..1........... + 8e1390 310d0002 00d009d1 0f825484 56855701 1.........T.V.W. + 8e13a0 33000000 6c1006da 101b0014 c1c05815 3...l.........X. + 8e13b0 9ec02016 0015d410 13001615 00171700 .. ............. + 8e13c0 1804280a 88800c02 00283680 0c020029 ..(......(6....) + 8e13d0 32807981 17287216 1a00190b 80000c02 2.y..(r......... + 8e13e0 0029627d 0599020c 02002966 7db12202 .)b}......)f}.". + 8e13f0 024f6924 cbd10f00 6c10162a 1c10db20 .Oi$....l..*... + 8e1400 2c0a8058 15890dee 309d130b e8301a00 ,..X....0....0.. + 8e1410 1ade10c0 90180018 9b1c8c20 2882129c ........... (... + 8e1420 1299110b 8000d10f 6c100469 280e8830 ........l..i(..0 + 8e1430 8a31293a 4c698404 79a111c8 ae180018 .1):Li..y....... + 8e1440 2882161a 001b0b80 00c020d1 0fc021d1 (......... ...!. + 8e1450 0f000000 6c100402 26000202 43d10f00 ....l...&...C... + 8e1460 6c100402 0600d10f 6c1004cc 215bfffc l.......l...![.. + 8e1470 d10f0000 6c101613 00182832 121a001c ....l.....(2.... + 8e1480 0b80002a 1c10db20 2c0a8058 15670aee ...*... ,..X.g.. + 8e1490 309a1389 209912d2 105813d9 0119022d 0... ....X.....- + 8e14a0 daf82b0a 056dba20 ad2e2ce2 7e2c9610 ..+..m. ..,.~,.. + 8e14b0 2ae27f2a 96112fe2 802f9612 2ee2812e *..*../../...... + 8e14c0 9613c8c3 299c10d2 a0883bda 100b8000 ....).....;..... + 8e14d0 d10f0000 6c10162a 1c10db20 2c0a8058 ....l..*... ,..X + 8e14e0 15520dee 309d130b e8301a00 1dde10c0 .R..0....0...... + 8e14f0 90130018 9b1c8c20 2832129c 1299110b ....... (2...... + 8e1500 80000bb1 300cb230 0db3300e b4302832 ....0..0..0..0(2 + 8e1510 121a001e 0b80001d 00151a00 1f0c0200 ................ + 8e1520 2bd27d0c 02002cd2 7e0c0200 2832122d +.}...,.~...(2.- + 8e1530 d27f0b80 00883ada 100b8000 2832590b ......:.....(2Y. + 8e1540 800063ff fc000000 6c100418 0018888e ..c.....l....... + 8e1550 0b8000c8 ae68a105 68a21069 a30bda20 .....h..h..i... + 8e1560 58075bd1 0fda2058 0875d10f da205812 X.[... X.u... X. + 8e1570 4bd10f00 6c1004c0 a0130018 12002028 K...l......... ( + 8e1580 3a4c0339 026d8a04 2a9400b1 99140021 :L.9.m..*......! + 8e1590 8b20c8b3 8a210bb0 00b82274 29f11c00 . ...!...."t)... + 8e15a0 271a0028 1d00261e 00251f00 241b0022 '..(..&..%..$.." + 8e15b0 18002319 00299930 98bd9fbe 9ebf2db6 ..#..).0......-. + 8e15c0 109ab99c b8c0a91b 002a5813 1012002b .........*X....+ + 8e15d0 c0a3db20 58130dc0 a0db2058 130cc0a2 ... X..... X.... + 8e15e0 db205813 0ac0a8db 20581308 c0acdb20 . X..... X..... + 8e15f0 581306c0 addb2058 1305db20 c0a65813 X..... X... ..X. + 8e1600 03d10f00 6c100418 00182882 47da200b ....l.....(.G. . + 8e1610 8000d10f 6c1004c2 30150015 26fa000c ....l...0...&... + 8e1620 02002252 7d062201 0322020c 0200c133 .."R}."..".....3 + 8e1630 14002c22 567dc020 db40da20 5bfefcb1 ..,"V}. .@. [... + 8e1640 227329f3 c2a10c02 0029527d 0699010a "s)......)R}.... + 8e1650 99020c02 00180018 28824629 567d0b80 ........(.F)V}.. + 8e1660 00c2b20c 02002a52 7d06aa01 0baa020c ......*R}....... + 8e1670 02002a56 7d5bff7a d10f0000 6c100412 ..*V}[.z....l... + 8e1680 00182822 381a002d 0b800028 22130b80 ..("8..-...("... + 8e1690 00282211 0b80001a 002e2822 121b002f .(".......(".../ + 8e16a0 2c2a020b 80002822 5f0b8000 d10f0000 ,*....("_....... + 8e16b0 6c100615 0018da30 285260c0 b1dc100b l......0(R`..... + 8e16c0 8000da30 2b1100dc 20285260 0b1b460b ...0+... (R`..F. + 8e16d0 4b360b0b 4f2b1500 0b800028 523b2a1a K6..O+.....(R;*. + 8e16e0 f40b8000 d10f0000 6c1006c0 a09a1012 ........l....... + 8e16f0 001523fa 00c0ac0c 02002922 7d039901 ..#.......)"}... + 8e1700 0a99020c 02001800 1829267d 2a0a8028 .........)&}*..( + 8e1710 8260c0b2 dc100b80 001c0030 8b107cb9 .`.........0..|. + 8e1720 67c1860c 02002f22 7d03ff01 08ff020c g...../"}....... + 8e1730 02002f26 7d1e0031 0c02002d 227d0edd ../&}..1...-"}.. + 8e1740 020c0200 2d267d1a 00032b0a 80c0c25b ....-&}...+....[ + 8e1750 ffd81a00 322b0a82 c1c05bff d51a0033 ....2+....[....3 + 8e1760 2b0a92c0 c65bffd2 1a00342b 0a98c0cc +....[....4+.... + 8e1770 5bffcf1a 00352b0a a4c1c05b ffcd1a00 [....5+....[.... + 8e1780 362b0ab4 c0c85bff cad10f00 6c1004c0 6+....[.....l... + 8e1790 b21d0037 19003912 00380c02 002f928a ...7..9..8.../.. + 8e17a0 0c02001c 003a2a92 800cfc01 0c02002c .....:*........, + 8e17b0 968a0bab 020c0200 2b96800c 02002892 ........+.....(. + 8e17c0 810c0200 2a96800c 02002f96 8ac1e00c ....*...../..... + 8e17d0 02002cd2 860ecc02 0c02001b 00182cd6 ..,...........,. + 8e17e0 862bb212 7e87661a 003b0bb0 00c7ac1b .+..~.f..;...... + 8e17f0 003c0c02 00190032 1e003d2b b2800a9f .<.....2..=+.... + 8e1800 010c0200 9ef0b49d 1c003e0a dd010c02 ..........>..... + 8e1810 009cd001 0404b89c 0bbb180a cc010c02 ................ + 8e1820 009bc0bc 99c78f0a 99010c02 009890c0 ................ + 8e1830 f00c0200 2f26801d 003f0c02 002d2681 ..../&...?...-&. + 8e1840 1e00310e dd020c02 002d2681 d10f1a00 ..1......-&..... + 8e1850 400bb000 c0c10c02 002c2680 d10f0000 @........,&..... + 8e1860 6c100412 00181a00 15c0ce2d fa000c02 l..........-.... + 8e1870 002ba27d 0dbb010c bb020c02 002ba67d .+.}.........+.} + 8e1880 1900410c 02002822 1629a67c 1a00420b ..A...(".).|..B. + 8e1890 80002822 1d0b8000 63fff600 6c10041a ..("....c...l... + 8e18a0 00151200 18190043 0c020028 221629a6 .......C...(".). + 8e18b0 7c1a0042 0b800028 227bc0a0 0b800028 |..B...("{.....( + 8e18c0 22170b80 0063ffee 6c100413 00371b00 "....c..l....7.. + 8e18d0 44297a80 c0a02ab5 000c0200 28328409 D)z...*.....(2.. + 8e18e0 88020c02 00283684 c0420c02 00223286 .....(6..B..."2. + 8e18f0 0422020c 02002236 86d10f00 6c10085b ."...."6....l..[ + 8e1900 ff1dc0a1 12001523 fa000c02 0029227d .......#.....)"} + 8e1910 0399010a 99020c02 0029267d 1800450c .........)&}..E. + 8e1920 02002826 7c5bff55 5bff3a14 00182842 ..(&|[.U[.:...(B + 8e1930 5a0b8000 28425e0b 8000d5a0 c0b20c02 Z...(B^......... + 8e1940 002a227d 03aa010b aa020c02 002a267d .*"}.........*&} + 8e1950 c0a50c02 0029227d 0399010a 99020c02 .....)"}........ + 8e1960 00284216 1a004629 267d0b80 005bfe8d .(B...F)&}...[.. + 8e1970 c0a60c02 0029227d 0399010a 99020c02 .....)"}........ + 8e1980 00284216 1a004729 267d0b80 00170048 .(B...G)&}.....H + 8e1990 2842620b 8000d6a0 cea0c0a7 0c020029 (Bb............) + 8e19a0 227d0399 010a9902 0c020028 42552a0a "}.........(BU*. + 8e19b0 fc29267d 0b8000ce a46001df 2bacfe6f .)&}.....`..+..o + 8e19c0 b2026001 b369a14b 28425f0b 80002971 ..`..i.K(B_...)q + 8e19d0 00b19909 094f2975 006e92b3 6000141b .....O)u.n..`... + 8e19e0 00490c02 002a227d 0baa020c 02002a26 .I...*"}......*& + 8e19f0 7d60001f 5bffb4c1 a40c0200 29227d03 }`..[.......)"}. + 8e1a00 99010a99 020c0200 2842161a 004a2926 ........(B...J)& + 8e1a10 7d0b8000 c0b90c02 002a227d 03aa010b }........*"}.... + 8e1a20 aa020c02 002a267d 2b421669 51081a00 .....*&}+B.iQ... + 8e1a30 4b0bb000 60001169 53081a00 4c0bb000 K...`..iS...L... + 8e1a40 6000051a 004d0bb0 00c1a50c 02002922 `....M........)" + 8e1a50 7d039901 0a99020c 0200884e 29267d0b }..........N)&}. + 8e1a60 8000d5a0 2842121a 004edb50 0b8000cf ....(B...N.P.... + 8e1a70 5fc0ab0c 02002922 7d039901 0a99020c _.....)"}....... + 8e1a80 02002926 7dcc6a28 425f0b80 005bff16 ..)&}.j(B_...[.. + 8e1a90 5bff8d28 421c0b80 00c0ad0c 02002922 [..(B.........)" + 8e1aa0 7d039901 0a99020c 02002926 7d5bff6c }.........)&}[.l + 8e1ab0 d10f2a5c fd64a088 69510260 00826952 ..*\.d..iQ.`..iR + 8e1ac0 49284251 1a004f1b 00500b80 002842a0 I(BQ..O..P...(B. + 8e1ad0 2a0a640b 80002842 a52a0a64 0b800028 *.d...(B.*.d...( + 8e1ae0 42121a00 510b8000 2842121a 00520b80 B...Q...(B...R.. + 8e1af0 005810f3 c0a05811 32da1058 116165a0 .X....X.2..X.ae. + 8e1b00 758a1264 a0700ba0 00d10f69 5568c0aa u..d.p.....iUh.. + 8e1b10 0c020029 227d0399 010a9902 0c020028 ...)"}.........( + 8e1b20 42161a00 5329267d 0b80001b 0037c0c3 B...S)&}.....7.. + 8e1b30 0c02002c b682c1a0 0c02002a b68363ff ...,.......*..c. + 8e1b40 fc284251 1a004f1b 00500b80 002842a0 .(BQ..O..P...(B. + 8e1b50 2a0a640b 80002842 a52a0a64 0b800028 *.d...(B.*.d...( + 8e1b60 42121a00 510b8000 5810745b ff082842 B...Q...X.t[..(B + 8e1b70 ca0b8000 5bff49d1 0f5bff53 c0a80c02 ....[.I..[.S.... + 8e1b80 0029227d 0399010a 99020c02 00284216 .)"}.........(B. + 8e1b90 1a005429 267d0b80 0063fe77 5bff4a28 ..T)&}...c.w[.J( + 8e1ba0 42161a00 550b8000 63fe6800 6c100419 B...U...c.h.l... + 8e1bb0 0015c0a0 0c02002a 967d1800 560c0200 .......*.}..V... + 8e1bc0 28967c5b ff4ec020 d10f0000 6c1004d5 (.|[.N. ....l... + 8e1bd0 20120057 93219520 c020d10f 6c10041d ..W.!. . ..l... + 8e1be0 0057b33c 0c2c148a d182d00e cb117ba3 .W.<.,........{. + 8e1bf0 0b02ce0a 0baf0c9f d19ed0d1 0f180018 ................ + 8e1c00 2882121a 00580b80 0063fffc 6c100413 (....X...c..l... + 8e1c10 00181200 57283212 1a00598b 200b8000 ....W(2...Y. ... + 8e1c20 2832128b 211a005a 0b8000d1 0f000000 (2..!..Z........ + 8e1c30 6c100418 005c1900 5b13005d 93229821 l....\..[..].".! + 8e1c40 9920d10f 6c100413 005e8332 83310343 . ..l....^.2.1.C + 8e1c50 5b5812f2 032228d3 a05812f0 03a80c72 [X..."(..X.....r + 8e1c60 83f6d10f 6c100458 001d1200 5e8220d1 ....l..X....^. . + 8e1c70 0f000000 6c100412 005e8222 8221d10f ....l....^.".!.. + 8e1c80 6c1004d1 0f000000 6c1004d1 0f000000 l.......l....... + 8e1c90 6c1004d1 0f000000 6c1004d1 0f000000 l.......l....... + 8e1ca0 6c1004d1 0f000000 6c1004c0 6019005f l.......l...`.._ + 8e1cb0 1a006117 0060c033 d5a06d3a 108851b1 ..a..`.3..m:..Q. + 8e1cc0 66a78472 4b04a98b 7b230825 5c140665 f..rK...{#.%\..e + 8e1cd0 0a0a550a 18005e95 82d10f00 6c100458 ..U...^.....l..X + 8e1ce0 12cf1500 622b3ae8 8250d3a0 245ce802 ....b+:..P..$\.. + 8e1cf0 aa0c5813 0788400a 32399250 aa889840 ..X...@.29.P...@ + 8e1d00 d10f0000 6c100418 006a1900 691a0068 ....l....j..i..h + 8e1d10 1b00671c 00661d00 651e0064 1f006313 ..g..f..e..d..c. + 8e1d20 006b9328 98279922 9a269b25 9c249d23 .k.(.'.".&.%.$.# + 8e1d30 9e219f20 d10f0000 6c10041a 006c1500 .!. ....l....l.. + 8e1d40 6dc0700c 02002952 950a9902 0c020029 m.p...)R.......) + 8e1d50 56950c02 00275698 0c020027 5699280a V....'V....'V.(. + 8e1d60 c00c0200 2856930c 02001600 6e275692 ....(V......n'V. + 8e1d70 0e2411a6 44c76c06 44010c02 0093400c .$..D.l.D.....@. + 8e1d80 02002b52 9f0b0b51 65bff3c0 21d10f00 ..+R...Qe...!... + 8e1d90 6c100418 006e0e24 11a844c7 8c084401 l....n.$..D...D. + 8e1da0 0c020084 4012006d 0c020025 229f0509 ....@..m...%"... + 8e1db0 51659ff3 253500c0 21d10f00 6c100412 Qe..%5..!...l... + 8e1dc0 00442321 00282101 c93ccd86 14006d0c .D#!.(!..<....m. + 8e1dd0 02002442 8704044f 774710c0 22d10fc0 ..$B...OwG.."... + 8e1de0 81282501 c020d10f c021d10f 7647efc0 .(%.. ...!..vG.. + 8e1df0 23d10f00 6c100418 00442881 001a006f #...l....D(....o + 8e1e00 160018cb 8372a33e a32575a2 39752a25 .....r.>.%u.9u*% + 8e1e10 c030da20 043b092b b1005bff c768a108 .0. .;.+..[..h.. + 8e1e20 2862161a 00700b80 00b122b1 3303034f (b...p....".3..O + 8e1e30 02024f72 59dbc020 d10f2862 161a0071 ..OrY.. ..(b...q + 8e1e40 0b8000c0 21d10f28 62161a00 720b8000 ....!..(b...r... + 8e1e50 c024d10f 6c100418 00442881 001a006f .$..l....D(....o + 8e1e60 ca8172a3 23a32373 a21e732a 13da20db ..r.#.#s..s*.. . + 8e1e70 405bffc7 b122b248 0a843902 024f7239 @[...".H..9..Or9 + 8e1e80 ebc020d1 0fc021d1 0f180018 2882161a .. ...!.....(... + 8e1e90 00730b80 00c024d1 0f000000 6c1006c0 .s....$.....l... + 8e1ea0 70130018 16004429 3ae80c02 0099100c p.....D):....... + 8e1eb0 02002861 00971115 0037b088 64820524 ..(a.....7..d..$ + 8e1ec0 fa001200 15c4e00c 02002d22 7d04dd01 ..........-"}... + 8e1ed0 0edd020c 02002d26 7d1c0074 2b323b0c ......-&}..t+2;. + 8e1ee0 02002a22 7f7ca92d c4910c02 0028227d ..*".|.-.....("} + 8e1ef0 04880109 88020c02 0028267d 2feabf0c .........(&}/... + 8e1f00 02002e52 840fee01 0c02002e 5684c1a4 ...R........V... + 8e1f10 0bb00060 0059c4d3 0c02002c 227d04cc ...`.Y.....,"}.. + 8e1f20 010dcc02 0c02002c 267d2a5a c00c0200 .......,&}*Z.... + 8e1f30 2952840a 99020c02 00295684 c1a40bb0 )R.......)V..... + 8e1f40 00c4c40c 02002b22 7d04bb01 0cbb020c ......+"}....... + 8e1f50 02002b26 7d2aaa3f 0c020029 52840a99 ..+&}*.?...)R... + 8e1f60 010c0200 28323b2a 1af42956 840b8000 ....(2;*..)V.... + 8e1f70 c4c90c02 002b227d 04bb010c bb020c02 .....+"}........ + 8e1f80 002b267d c0a20c02 00295286 0a99020c .+&}.....)R..... + 8e1f90 02002832 3bc1a429 56860b80 00c7eb15 ..(2;..)V....... + 8e1fa0 00751a00 760c0200 2d52860e dd010c02 .u..v...-R...... + 8e1fb0 002d5686 c4d50c02 002c227d 04cc010d .-V......,"}.... + 8e1fc0 cc020c02 002c267d c0b60c02 0029a281 .....,&}.....).. + 8e1fd0 0b99020c 02002832 3b29a681 c1a40b80 ......(2;)...... + 8e1fe0 00c0a40c 02002952 860a9902 0c020028 ......)R.......( + 8e1ff0 323bc1a4 2956860b 8000c4e6 0c02002d 2;..)V.........- + 8e2000 227d04dd 010edd02 0c02002d 267d1c00 "}.........-&}.. + 8e2010 770c0200 2b52800c bb020c02 002b5680 w...+R.......+V. + 8e2020 0c02008e 10b0ef0c 02009f10 6ae11d0c ............j... + 8e2030 02002952 860c0200 99110c02 0088117f ..)R............ + 8e2040 8f0a2832 3bc1a40b 800063ff d20c0200 ..(2;.....c..... + 8e2050 89106b91 131b0078 0c02002a 227d0baa ..k....x...*"}.. + 8e2060 020c0200 2a267dd1 0fc4c715 003c0c02 ....*&}......<.. + 8e2070 002b227d 04bb010c bb020c02 002b267d .+"}.........+&} + 8e2080 2a1a160c 02002952 810a9902 0c020028 *.....)R.......( + 8e2090 323bc1a4 2956810b 8000c488 0c02002f 2;..)V........./ + 8e20a0 227d04ff 0108ff02 0c02002f 267d1e00 "}........./&}.. + 8e20b0 790c0200 2d52840e dd020c02 002d5684 y...-R.......-V. + 8e20c0 c0c12c65 0012007a 0c020022 22811200 ..,e...z...""... + 8e20d0 6d14007b 0c02002a 229f7a40 04276500 m..{...*".z@.'e. + 8e20e0 d10f0a08 51c88a28 323b2a0a 640b8000 ....Q..(2;*.d... + 8e20f0 63ffe00a 094fc897 c0a1c0b0 5bff0ed1 c....O......[... + 8e2100 0fd10f00 6c100418 007e1900 7d1a007c ....l....~..}..| + 8e2110 13007f93 23982299 219a20d1 0f000000 ....#.".!. ..... + 8e2120 6c1004d1 0f000000 6c100419 0080c0a0 l.......l....... + 8e2130 c1839a90 299c101a 00816d8a 039a90b8 ....).....m..... + 8e2140 99180018 28824ec0 a00b8000 d10f0000 ....(.N......... + 8e2150 6c100413 00182832 480b8000 28324dd4 l.....(2H...(2M. + 8e2160 a00b8000 28324ec7 df1c0080 002104c0 ....(2N......!.. + 8e2170 9100991a 8bc00d99 030a9a01 0b9b019b ................ + 8e2180 c00b8000 283249da 400b8000 d10f0000 ....(2I.@....... + 8e2190 6c100413 00182832 480b8000 28324dd4 l.....(2H...(2M. + 8e21a0 a00b8000 28324e1c 00800021 04c0918b ....(2N....!.... + 8e21b0 c000991a 0a9a020b 9b029bc0 0b800028 ...............( + 8e21c0 3249da40 0b8000d1 0f000000 6c10041a 2I.@........l... + 8e21d0 0082c182 728b1d19 00830c02 002d9000 ....r........-.. + 8e21e0 0c02002c 90010c02 002b9002 0c020029 ...,.....+.....) + 8e21f0 90030c02 00cd3c2e ac110c02 0029e000 ......<......).. + 8e2200 0c020028 e0010c02 002fe002 0c02002e ...(...../...... + 8e2210 e0030c02 00150018 2852480b 80001900 ........(RH..... + 8e2220 84285249 09290b93 9094910b 8000d10f .(RI.).......... + 8e2230 6c10041a 0082c182 728b1d19 00850c02 l.......r....... + 8e2240 002d9000 0c02002c 90010c02 002b9002 .-.....,.....+.. + 8e2250 0c020029 90030c02 001c0084 0c2c0b8b ...).........,.. + 8e2260 c0ceb12d ad012ddc 7fb2dd0c 020028d0 ...-..-.......(. + 8e2270 000c0200 2fd0010c 02002ed0 020c0200 ..../........... + 8e2280 2dd0030c 02008ac1 0bb000d2 a0d10f00 -............... + 8e2290 6c100418 00891900 881a0087 1b008613 l............... + 8e22a0 008a9325 98249926 9a219b20 d10f0000 ...%.$.&.!. .... + 8e22b0 6c1004d1 0f000000 6c100418 008e1900 l.......l....... + 8e22c0 8d1a008c 1b008b13 008f9324 98239922 ...........$.#." + 8e22d0 9a219b20 d10f0000 6c100413 00371200 .!. ....l....7.. + 8e22e0 900c0200 223684d1 0f000000 6c1004d1 ...."6......l... + 8e22f0 0f000000 6c100418 00918c23 19009213 ....l......#.... + 8e2300 001808c8 0179890e da20883a 0c494c99 .....y... .:.IL. + 8e2310 210b8000 6000171a 00932832 128b22dd !...`.....(2..". + 8e2320 200b8000 da20883a c0909921 0b80001b .... .:...!.... + 8e2330 0037c0c3 0c02002c b682c1a0 0c02002a .7.....,.......* + 8e2340 b68363ff fc000000 6c100419 00948890 ..c.....l....... + 8e2350 1a009565 805b9290 9b208aa1 64a05213 ...e.[... ..d.R. + 8e2360 00181a00 968b2228 32128c21 dd200b80 ......"(2..!. .. + 8e2370 00283212 dbc01a00 970b8000 2832121a .(2.........(2.. + 8e2380 00980b80 00c040c2 54040941 cc972832 ......@.T..A..(2 + 8e2390 121a0099 0b800028 32121a00 9a8b200b .......(2..... . + 8e23a0 8000b422 b1447549 df283212 1a00990b ...".DuI.(2..... + 8e23b0 8000d10f 6c1004d1 0f000000 6c1004d1 ....l.......l... + 8e23c0 0f000000 6c100658 11151800 2dd3a014 ....l..X....-... + 8e23d0 00180822 28600008 58111003 a90c729b ..."(`..X.....r. + 8e23e0 0a284215 da100b80 0064afeb 221000d1 .(B......d.."... + 8e23f0 0f000000 6c100413 00370c02 00233285 ....l....7...#2. + 8e2400 c082c023 0324407c 37040482 39d10fd2 ...#.$@|7...9... + 8e2410 40d10f00 6c100412 009b2221 06d10f00 @...l....."!.... + 8e2420 6c100418 00a21900 a11a00a0 1b009f1c l............... + 8e2430 009e1d00 9d1e009c 1300a393 28982799 ............(.'. + 8e2440 269a249b 239c229d 219e20d1 0f000000 &.$.#.".!. ..... + 8e2450 6c100413 00186929 11283214 c0ad0b80 l.....i).(2..... + 8e2460 00283214 c0aa0b80 00d10fc0 9d792107 .(2..........y!. + 8e2470 283214da 200b8000 d10f0000 6c100ecc (2.. .......l... + 8e2480 2ad810c3 60268400 b1166000 1ed610da *...`&....`..... + 8e2490 20db4058 1133db40 aa592990 00da2029 .@X.3.@.Y)... ) + 8e24a0 6400b166 58111ad2 a065afe2 c0d0da10 d..fX....e...... + 8e24b0 7a61150a 6b0c0bb2 026db909 b0662c60 za..k....m...f,` + 8e24c0 002c3400 b1336000 01c0202d 3400d10f .,4..3`... -4... + 8e24d0 6c100ed9 50dd10da 40261611 221613c0 l...P...@&.."... + 8e24e0 60c020c0 409d189a 1b991a25 1213b133 `. .@......%...3 + 8e24f0 273dff27 70ffc285 6473c478 79152730 '=.'p...ds.xy.'0 + 8e2500 00c29db1 33797932 273000c0 a1b1339a ....3yy2'0....3. + 8e2510 1d60002a da700b50 00600019 c1c87bc2 .`.*.p.P.`....{. + 8e2520 04c2d42d 16118b1b 2a1211ab aa2aadff ...-....*....*.. + 8e2530 2aa0ff0b 5000b122 63ffb2c0 b09b1dc3 *...P.."c....... + 8e2540 c07c790b 273000c0 d1b1339d 1e600003 .|y.'0....3..`.. + 8e2550 c0e09e1e 2f7cd00f 0f476ff9 23c08098 ..../|...Go.#... + 8e2560 1c891c09 9b0a07bb 0929bcd0 273000b1 .........)..'0.. + 8e2570 33991c2a 7cd00a0a 476ea9e4 991c6000 3..*|...Gn....`. + 8e2580 03c0c09c 1c290a6c c2de7d79 21273000 .....).l..}y!'0. + 8e2590 8f1eb133 2e7cd0b1 ff9f1e0e 0e476fe9 ...3.|.......Go. + 8e25a0 0d273000 b133287c d0080847 6e89f1c4 .'0..3(|...Gn... + 8e25b0 c2797916 273000c0 b1b1332a 7c9465a2 .yy.'0....3*|.e. + 8e25c0 05273000 c0a1b133 6001fdc0 a0c0b07c .'0....3`......| + 8e25d0 79026000 efc4d47d 79026000 e7c5e57e y.`....}y.`....~ + 8e25e0 79026000 dfc5f87f 79026000 d7280a62 y.`.....y.`..(.b + 8e25f0 78790260 00ce290a 64797902 6000c52c xy.`..).dyy.`.., + 8e2600 0a707c79 026000ba 2d0a757d 79026000 .p|y.`..-.u}y.`. + 8e2610 b32e0a78 7e790260 00aac0f0 2f161060 ...x~y.`..../..` + 8e2620 002cc188 7a8204c2 94291611 8a1b2412 .,..z....)....$. + 8e2630 11c4842b 0a64aa44 244dff24 423f7b71 ...+.d.D$M.$B?{q + 8e2640 027879d5 674fd204 0406c29d 2916102a .xy.gO......)..* + 8e2650 0a627a7a 02600086 2b0a6277 b2026000 .bzz.`..+.bw..`. + 8e2660 ad2c0a73 7c7a0260 017a2d0a 7377d202 .,.s|z.`.z-.sw.. + 8e2670 6001a12e 0a787e7a 02600256 2f0a7877 `....x~z.`.V/.xw + 8e2680 fa026001 a9287cbc 64823629 7cab6492 ..`..(|.d.6)|.d. + 8e2690 302a7ca8 64a2672b 7c9c64b2 242c0a70 0*|.d.g+|.d.$,.p + 8e26a0 7c710e2d 7c8b64d2 182e0a78 7e710260 |q.-|.d....x~q.` + 8e26b0 008ada40 db10c1c0 1d00a45b ff70d6a0 ...@.......[.p.. + 8e26c0 600079c0 b164a103 281211c1 f8da80b4 `.y..d..(....... + 8e26d0 88281611 78fa0263 ff478a1a 63ff4ec4 .(..x..c.G..c.N. + 8e26e0 9479721a c4a477aa 9bc5b57b 7a026001 .yr...w....{z.`. + 8e26f0 1ec5c577 ca8e2d7c a865d132 63ff85c4 ...w..-|.e.2c... + 8e2700 e27e7a02 600136c4 f277fa02 600194c2 .~z.`.6..w..`... + 8e2710 9ec0b1c3 c18d1cde 10c2a0d6 d00da638 ...............8 + 8e2720 c0d0286c ff6d8a14 df9000d1 0400b81a ..(l.m.......... + 8e2730 b1dd0848 0108cf39 2fe400b1 eed91099 ...H...9/....... + 8e2740 188b1c8a 1e2d1210 06bb0cb0 bc0dcb39 .....-.........9 + 8e2750 9b1fc9a4 2c1210c8 cadac00b 5000b122 ....,.......P.." + 8e2760 c0d02d16 10c37060 0001c270 8e1d891f ..-...p`...p.... + 8e2770 cde9b199 600008da 700b5000 8919b122 ....`...p.P...." + 8e2780 8a1fb099 9919b0aa 9a1f6b91 e92b1210 ..........k..+.. + 8e2790 8718c8b5 dab00b50 00b122b1 6960000c .......P..".i`.. + 8e27a0 2a7000b1 770b5000 291212b1 22b066b0 *p..w.P.)...".f. + 8e27b0 99291612 6b91e88c 1d971864 cd2f8d1f .)..k......d./.. + 8e27c0 b1dd9d19 60008ac0 a063fe02 64b10c2f ....`....c..d../ + 8e27d0 1211c1b8 c1e8daf0 b4ff2f16 117fe27d ........../....} + 8e27e0 8a1a63fe 48280a64 78723d29 0a647792 ..c.H(.dxr=).dw. + 8e27f0 0263fe90 2a0a707a 7934c3a0 0b50002a .c..*.pzy4...P.* + 8e2800 0a780b50 00c0b8c0 c19c1e9b 1c63fe74 .x.P.........c.t + 8e2810 c5d37d79 192f1211 c1e8dbf0 b4ff2f16 ..}y./......../. + 8e2820 117fe246 891a6000 4d280a63 787179c2 ...F..`.M(.cxqy. + 8e2830 a50b5000 da700b50 00b22263 ff02c295 ..P..p.P.."c.... + 8e2840 7979ebc2 a50b5000 63fef5c2 a00b5000 yy....P.c.....P. + 8e2850 b1228a19 b0aa9a19 6ba1ef63 fc8f7ab2 ."......k..c..z. + 8e2860 04c2c42c 16118a1b 63fdc2c1 d87bd204 ...,....c....{.. + 8e2870 c2e42e16 11891b2f 1211a9ff 2ffdff2f ......./..../../ + 8e2880 f23f9f18 ccf31800 a5981889 18299000 .?...........).. + 8e2890 c0606490 7a89182a 9001b166 b19965af .`d.z..*...f..e. + 8e28a0 f563fe9c c4b37b79 852d1211 c1c8dbd0 .c....{y.-...... + 8e28b0 b4dd2d16 117dca02 63fc608b 1a63fc67 ..-..}..c.`..c.g + 8e28c0 d10fda40 db10c0ca 1d00a65b feecd6a0 ...@.......[.... + 8e28d0 63fe692e 7c8b65ef 5563fda8 281211c1 c.i.|.e.Uc..(... + 8e28e0 f8da80b4 88281611 78f2048a 1a63fd3d .....(..x....c.= + 8e28f0 c1987a92 04c2a42a 16118a1b 63fd2eda ..z....*....c... + 8e2900 40db10c1 c01d00a7 5bfedcd6 a063fe2c @.......[....c., + 8e2910 c06063fe 2b000000 6c100adb 20921494 .`c.+...l... ... + 8e2920 16951796 189719de 101a00a8 93152f1c ............../. + 8e2930 302d1c10 9d119f10 c0349312 8aa08ce0 0-.......4...... + 8e2940 8de18ee2 5bfee2d2 a0d10f00 6c1004d1 ....[.......l... + 8e2950 0f000000 6c100418 00a91300 aa932198 ....l.........!. + 8e2960 20d10f00 6c100418 00182b21 018c2128 ...l.....+!..!( + 8e2970 82128d22 1a00ab0b 8000d10f 6c100419 ..."........l... + 8e2980 00ac8321 1800ad14 0018a939 798b0e28 ...!.......9y..( + 8e2990 4212db30 1a00ae0b 8000c020 d10fda20 B..0....... ... + 8e29a0 5bfff01a 00af2b21 01284212 bc2cdd30 [.....+!.(B..,.0 + 8e29b0 0b800029 2101c0a0 ca95aa3c aa2b2fb0 ...)!......<.+/. + 8e29c0 0c2fc403 2eb00d2e c4022db0 0e2dc401 ./........-..-.. + 8e29d0 2bb00f2b c4002b21 01b4aa0a 0a4f7ba3 +..+..+!.....O{. + 8e29e0 d8c021d1 0f000000 6c10041a 00b02aa1 ..!.....l.....*. + 8e29f0 01022b02 290a000a 1a4f6aa1 0c6da906 ..+.)....Oj..m.. + 8e2a00 8cb0b4bb 09c90360 0001c090 cd90da20 .......`....... + 8e2a10 83225bff dac8a71d 00b1c021 93d0d10f ."[........!.... + 8e2a20 c020d10f 6c100418 00b18880 0b8000d1 . ..l........... + 8e2a30 0f000000 6c100613 0018da20 283260c0 ....l...... (2`. + 8e2a40 b2dc100b 8000cfad 1a00b228 32122b11 ...........(2.+. + 8e2a50 002c1101 0b800019 00b02a11 002b1101 .,........*..+.. + 8e2a60 2a95002b 9501c9bd 1c006faa bd7ac316 *..+......o..z.. + 8e2a70 7dc21328 32601c00 b30b8000 2832571a }..(2`......(2W. + 8e2a80 00b30b80 00cca2c0 20d10f28 32560b80 ........ ..(2V.. + 8e2a90 00c021d1 0f000000 6c1004d1 0f000000 ..!.....l....... + 8e2aa0 6c100418 00b61900 b51a00b4 1300b793 l............... + 8e2ab0 23982299 219a20d1 0f000000 6c1004d1 #.".!. .....l... + 8e2ac0 0f000000 6c100418 00bc1900 bb1a00ba ....l........... + 8e2ad0 1b00b91c 00b81300 bd932598 2499239a ..........%.$.#. + 8e2ae0 229b219c 20d10f00 6c100413 00bec020 ".!. ...l...... + 8e2af0 9230d10f 6c100492 40c05093 41954395 .0..l...@.P.A.C. + 8e2b00 42d10f00 6c100483 221500be c0816831 B...l...".....h1 + 8e2b10 09845098 229250c8 409423d1 0f000000 ..P.".P.@.#..... + 8e2b20 6c100483 221700be 69310785 70c060c0 l..."...i1..p.`. + 8e2b30 40cc50d1 0f752906 cc6d9470 60000dd6 @.P..u)..m.p`... + 8e2b40 50855365 5fee63ff e9885398 639422d1 P.Se_.c...S.c.". + 8e2b50 0f000000 6c100413 00be8230 c040c052 ....l......0.@.R + 8e2b60 c9249522 8a218923 88209930 94230b80 .$.".!.#. .0.#.. + 8e2b70 00942282 30652fe9 9430d10f 6c100418 ..".0e/..0..l... + 8e2b80 00c21900 c11a00c0 1b00bf13 00c39324 ...............$ + 8e2b90 98239922 9a219b20 d10f0000 6c100493 .#.".!. ....l... + 8e2ba0 23c05094 24952095 21d10f00 6c100458 #.P.$. .!...l..X + 8e2bb0 0f1b1c00 c41800c5 89c0c0b0 083828c9 .............8(. + 8e2bc0 92a8aa8d 910dad0c 6ad113db 90899065 ........j......e + 8e2bd0 9ff06000 091e00c5 0e3e28c0 b0aeaa99 ..`......>(..... + 8e2be0 209a21c8 b292b0d1 0fdbc063 fff60000 .!........c.... + 8e2bf0 6c100417 00c48570 c030c060 c85b7521 l......p.0.`.[u! + 8e2c00 0bd65085 50655ff5 600009c0 60c85484 ..P.Pe_.`...`.T. + 8e2c10 50c86694 60932093 22d10fd6 7063fff2 P.f.`. ."...pc.. + 8e2c20 6c100413 00c4c020 9230d10f 6c100412 l...... .0..l... + 8e2c30 00c4c030 8820c98d 580ef88c 2089c10a ...0. ..X... ... + 8e2c40 990c6b91 11dac088 c389c099 2093c08b ..k......... ... + 8e2c50 c40b8000 63ffdcd1 0f000000 6c100418 ....c.......l... + 8e2c60 00c91900 c81a00c7 1b00c613 00ca9324 ...............$ + 8e2c70 98239922 9a219b20 d10f0000 6c100412 .#.".!. ....l... + 8e2c80 00151400 740c0200 23227f19 00cb7431 ....t...#"....t1 + 8e2c90 110c0200 28227fc0 52c02309 880c0852 ....("..R.#....R + 8e2ca0 39d10fc0 21d10f00 6c100413 0037c083 9...!...l....7.. + 8e2cb0 0c020028 3682221a 000c0200 22368363 ...(6."....."6.c + 8e2cc0 fffc0000 6c100415 00371600 cc68210f ....l....7...h!. + 8e2cd0 6922166f 34139361 0c020023 5682d10f i".o4..a...#V... + 8e2ce0 c8369362 0c020023 5683d10f 6c100619 .6.b...#V...l... + 8e2cf0 00ccc081 db10c0c2 c0d39d11 9c108ab0 ................ + 8e2d00 2895008b b15bffef d10f0000 6c10061e (....[......l... + 8e2d10 00ccdb10 c0c2c0d0 9d119c10 8ab02de5 ..............-. + 8e2d20 008bb15b ffe8d10f 6c100612 00cc1800 ...[....l....... + 8e2d30 cdc09029 25009921 98225bff ecdb10c0 ...)%..!."[..... + 8e2d40 c18d229d 119c108a b08bb15b ffded10f .."........[.... + 8e2d50 6c100414 00cc2241 00180037 8342c824 l....."A...7.B.$ + 8e2d60 0c020023 8683d10f 6c100418 00d31900 ...#....l....... + 8e2d70 d21a00d1 1b00d01c 00cf1d00 ce1300d4 ................ + 8e2d80 93269825 99249a23 9b229c21 9d20d10f .&.%.$.#.".!. .. + 8e2d90 6c100412 00d5c030 0c020023 2681c093 l......0...#&... + 8e2da0 0c020018 00182882 3d292683 0b80001b ......(.=)&..... + 8e2db0 00d65800 401900d7 0c020023 2681c0a7 ..X.@......#&... + 8e2dc0 0c02002a 26822395 04239505 d10f0000 ...*&.#..#...... + 8e2dd0 6c1004d1 0f000000 6c1004c0 20d10f00 l.......l... ... + 8e2de0 6c100413 00d81400 d56d3a08 0c020028 l........m:....( + 8e2df0 42857a8f ff0c0200 1900d822 46806d9a B.z........"F.m. + 8e2e00 080c0200 2a428579 afffd10f 6c100413 ....*B.y....l... + 8e2e10 00d81400 d56d3a08 0c020028 42857a8f .....m:....(B.z. + 8e2e20 ff0c0200 1900d822 46806d9a 080c0200 ......."F.m..... + 8e2e30 2a428579 afffd10f 6c100414 00d50c02 *B.y....l....... + 8e2e40 00234285 7f3f03c0 20d10f0c 02002842 .#B..?.. .....(B + 8e2e50 80282400 c021d10f 6c1004d1 0f000000 .($..!..l....... + 8e2e60 6c100412 00d78223 d10f0000 6c100418 l......#....l... + 8e2e70 00d72881 00c88e2a 2000c8a9 5bffd82a ..(....* ...[..* + 8e2e80 2001b122 65aff4d1 0f000000 6c100417 .."e.......l... + 8e2e90 00d71400 d9c08125 7100c060 83717521 .......%q..`.qu! + 8e2ea0 0f1200da 05863826 75000642 389231d1 ......8&u..B8.1. + 8e2eb0 0fd10f00 6c100414 00d5db30 0c020023 ....l......0...# + 8e2ec0 4283280a 80083802 0c020002 4a142846 B.(...8.....J.(F + 8e2ed0 83580e8f 0a8b140c 02002b46 810a0a47 .X........+F...G + 8e2ee0 0c02002a 468029fa 7f093901 0c020029 ...*F.)...9....) + 8e2ef0 4683d10f 6c10041f 00d7c0e1 1400e018 F...l........... + 8e2f00 00df1900 de1a00dd 1b00dc1c 00da1d00 ................ + 8e2f10 db1300e1 93272ef5 0092f194 25982699 .....'......%.&. + 8e2f20 249a239b 229c219d 20d10f00 6c10040c $.#.".!. ...l... + 8e2f30 02008220 d10f0000 6c10040c 02009320 ... ....l...... + 8e2f40 d10f0000 6c1004c9 20682116 68220f68 ....l... h!.h".h + 8e2f50 23146824 0568250a 63fffcc0 20d10fc0 #.h$.h%.c... ... + 8e2f60 22d10fc0 21d10fc0 23d10f00 6c1004c8 "...!...#...l... + 8e2f70 29b024c0 35c02604 3238d10f c024d10f ).$.5.&.28...$.. + 8e2f80 6c1004c9 20682112 68221324 2cfdc033 l... h!.h".$,..3 + 8e2f90 c0260432 38d10fc0 20d10fc0 21d10fc0 .&.28... ...!... + 8e2fa0 22d10f00 6c10041a 00e25bff e0c0b80b "...l.....[..... + 8e2fb0 ab021a00 e25bffe0 d10f0000 6c100612 .....[......l... + 8e2fc0 00182822 121a00e3 0b80001a 00e45bff ..("..........[. + 8e2fd0 d70c0200 9a100c02 00891071 97ec2822 ...........q..(" + 8e2fe0 121a00e5 0b80001a 00e45bff d01300e6 ..........[..... + 8e2ff0 0c02009a 100c0200 89100399 010c0200 ................ + 8e3000 99101a00 e40c0200 8b105bff cb28223b ..........[..("; + 8e3010 c0aa0b80 001a00e4 5bffc40c 02009a10 ........[....... + 8e3020 1a00e70c 02008910 0a99020c 02009910 ................ + 8e3030 1a00e40c 02008b10 5bffbf28 223bc0aa ........[..(";.. + 8e3040 0b80001a 00e45bff b90c0200 9a100c02 ......[......... + 8e3050 00891003 99010c02 0099101a 00e40c02 ................ + 8e3060 008b105b ffb52822 3bc0aa0b 8000d10f ...[..(";....... + 8e3070 6c100412 00182822 cc0b8000 2822cd0b l.....("....(".. + 8e3080 80002822 bdc0a4c0 b10b8000 2822bfc0 ..("........(".. + 8e3090 a0c0b10b 8000c0a0 2822c0c0 b22c6a80 ........("...,j. + 8e30a0 0b8000d1 0f000000 6c100412 00182822 ........l.....(" + 8e30b0 cc0b8000 2822cd0b 80002822 bdc0a4c0 ....("....(".... + 8e30c0 b10b8000 2822bdc0 a5c0b10b 80002822 ....("........(" + 8e30d0 bfc0a0c0 b10b8000 2822bfc0 a1c0b10b ........("...... + 8e30e0 80002822 bfc0a2c0 b10b8000 2822bfc0 ..("........(".. + 8e30f0 a3c0b10b 80001200 e8d10f00 6c100416 ............l... + 8e3100 00182862 d2da300b 8000d5a0 69a60a28 ..(b..0.....i..( + 8e3110 62121a00 e90b8000 d10f2862 79db30da b.........(by.0. + 8e3120 200b8000 040b4fdc a02862c0 da500c0c .....O..(b..P.. + 8e3130 4f0b8000 d10f0000 6c1004d1 0f000000 O.......l....... + 8e3140 6c100414 00e88932 99428831 98418230 l......2.B.1.A.0 + 8e3150 9240d10f 6c100414 00182842 d0da300b .@..l.....(B..0. + 8e3160 80002842 c6da300b 8000c8a7 88208b22 ..(B..0...... ." + 8e3170 0b8000d1 0f284212 1a00ea0b 8000d10f .....(B......... + 8e3180 6c1004da 30130018 2832c70b 8000dba0 l...0...(2...... + 8e3190 c8a98821 8c22c0a0 0b8000d1 0f283212 ...!.".......(2. + 8e31a0 1a00eb0b 8000d10f 6c100413 00e81200 ........l....... + 8e31b0 18600009 2822cfda 30c0b30b 80002822 .`..("..0.....(" + 8e31c0 c9c0a30b 800065af ea600009 2822cfda ......e..`..(".. + 8e31d0 30c0b20b 80002822 c9c0a20b 800065af 0.....("......e. + 8e31e0 ea600009 2822cfda 30c0b10b 80002822 .`..("..0.....(" + 8e31f0 c9c0a10b 800065af ea600009 2822ceda ......e..`..(".. + 8e3200 30c0b50b 80002822 c5c0a50b 800065af 0.....("......e. + 8e3210 ea2822c5 c0a40b80 00c8ad28 22ceda30 .("........("..0 + 8e3220 c0b40b80 00c04160 0001c040 2822c9c0 ......A`...@(".. + 8e3230 a00b8000 c8aa2822 cfda30c0 b00b8000 ......("..0..... + 8e3240 c041654f cbd10f00 6c100412 00182822 .AeO....l.....(" + 8e3250 d1da300b 800069a6 0c282212 1a00ec0b ..0...i..("..... + 8e3260 8000c72f d10f2822 c3db400b 8000d2a0 .../..("..@..... + 8e3270 d10f0000 6c100412 00182822 d2da300b ....l.....("..0. + 8e3280 800068a6 072822c8 db400b80 00d10f00 ..h..("..@...... + 8e3290 6c10046f 3503c021 d10fc020 d10f0000 l..o5..!... .... + 8e32a0 6c100424 6a80222a 00034239 d10f0000 l..$j."*..B9.... + 8e32b0 6c1004c0 20d10f00 6c1004d1 0f000000 l... ...l....... + 8e32c0 6c1004c0 20223400 224400d1 0f000000 l... "4."D...... + 8e32d0 6c100419 00ed1300 ef1a00f5 1b00f41c l............... + 8e32e0 00f31d00 f21e00f1 1f00f018 00ee9824 ...............$ + 8e32f0 9a229b29 9c289d27 9e269f2b 99209325 .".).(.'.&.+. .% + 8e3300 1800f798 2a1300f8 1900f699 21932cd1 ....*.......!.,. + 8e3310 0f000000 6c100418 00ff1900 fe1a00fd ....l........... + 8e3320 1b00fc1c 00fb1d00 ed1e00fa 1f00f913 ................ + 8e3330 01009327 98289926 9a229b24 9c259d21 ...'.(.&.".$.%.! + 8e3340 9e239f20 d10f0000 6c100418 0101828d .#. ....l....... + 8e3350 c0308421 948d9321 d10f0000 6c10048a .0.!...!....l... + 8e3360 202da106 0c02002d a50b8ca1 2ba105ac -.....-....+... + 8e3370 bb0c0200 18001828 82b69ba7 2aac100b .......(....*... + 8e3380 80001c01 01db2088 c1c0a08c c20b8000 ...... ......... + 8e3390 d10f0000 6c100416 0101826e 836fc050 ....l......n.o.P + 8e33a0 72390695 6e956f60 00038821 986e9521 r9..n.o`...!.n.! + 8e33b0 d10f0000 6c10041b 010188b0 da208bb2 ....l........ .. + 8e33c0 0b8000d1 0f000000 6c100619 01051200 ........l....... + 8e33d0 181a0104 1b01031c 01029c10 9b119a12 ................ + 8e33e0 28229699 13da100b 80002822 ae1a0106 ("........(".... + 8e33f0 0b800028 22af1a01 070b8000 2822ae1a ...(".......(".. + 8e3400 01080b80 002822ae 1a01090b 80001901 .....("......... + 8e3410 01c02092 9e929f92 9dd10f00 6c1004d1 .. .........l... + 8e3420 0f000000 6c100414 01018930 99408831 ....l......0.@.1 + 8e3430 98418232 9242d10f 6c100414 00181301 .A.2.B..l....... + 8e3440 01284212 1a010a8b 330b8000 2842121a .(B.....3...(B.. + 8e3450 010b8b35 0b800015 010c1201 0d8a330c ...5..........3. + 8e3460 02002a26 8089350c 02002956 80284212 ..*&..5...)V.(B. + 8e3470 1a010e8b 390b8000 89390c02 002926c0 ....9....9...)&. + 8e3480 2842121a 010f8b3b 0b8000c0 a18d3b0c (B.....;......;. + 8e3490 02009d50 1c0110c7 bf0c0200 2bc6810c ...P........+... + 8e34a0 02002a26 810c0200 2a26c10c 02009a51 ..*&....*&.....Q + 8e34b0 d10f0000 6c1004c0 20d10f00 6c100414 ....l... ...l... + 8e34c0 010dc051 6921070c 02002546 81d10f69 ...Qi!....%F...i + 8e34d0 25070c02 002546c1 d10f6926 0713010c %....%F...i&.... + 8e34e0 0c020095 31d10f00 6c100469 21041201 ....1...l..i!... + 8e34f0 06d10f69 25041201 08d10f68 260263ff ...i%......h&.c. + 8e3500 fc120109 d10f0000 6c100694 10160018 ........l....... + 8e3510 683423da 305bfff4 d5a02862 79db30da h4#.0[....(by.0. + 8e3520 200b8000 dca02862 b08b10da 500b8000 .....(b....P... + 8e3530 da305bff e2d10fc0 c06a4150 c070d4c0 .0[......jAP.p.. + 8e3540 2862a60b 8000d5a0 cca163ff fc286279 (b........c..(by + 8e3550 da20db30 0b800028 6252dba0 c0a00b80 . .0...(bR...... + 8e3560 009a5128 6279db30 da200b80 002a5504 ..Q(by.0. ...*U. + 8e3570 8b10b144 c0c09c50 2c55052c 55062c55 ...D...P,U.,U.,U + 8e3580 07c87097 50d75074 b9b56000 01d7c0c9 ..p.P.Pt..`..... + 8e3590 7e120101 2862a10b 8000c0b0 97a0dc70 ~...(b.........p + 8e35a0 892d8770 9bc0c890 99a19a2d 657fe4d1 .-.p.......-e... + 8e35b0 0fd10f00 6c100412 00186933 358a402d ....l.....i35.@- + 8e35c0 a1060c02 008ca12d a50b2ba1 05acbb0c .......-..+..... + 8e35d0 02002822 b69ba72a ac100b80 001a0101 ..("...*........ + 8e35e0 8eae8baf cee594ae 28229794 af0b8000 ........("...... + 8e35f0 60001528 22b1db40 1a01070b 80001a01 `..("..@........ + 8e3600 0cc0910c 020029a6 81c020d1 0f94b163 ......)... ....c + 8e3610 ffd50000 6c100469 340c1a01 0189adc8 ....l..i4....... + 8e3620 90994194 add10fda 305bffaf 18001828 ..A.....0[.....( + 8e3630 82b4db40 0b8000da 305bffa0 d10f0000 ...@....0[...... + 8e3640 6c1004d1 0f000000 6c1004d1 0f000000 l.......l....... + 8e3650 6c1004d1 0f000000 6c1004b0 346e4603 l.......l...4nF. + 8e3660 c020d10f c021d10f 6c10046b 35066a33 . ...!..l..k5.j3 + 8e3670 03c420d1 0f226a40 d10f0000 6c100419 .. .."j@....l... + 8e3680 01100c02 00180111 29928014 01081200 ........)....... + 8e3690 18798802 60008f23 4cdc6000 122822b3 .y..`..#L.`..(". + 8e36a0 da400b80 00dba088 318c32c0 a00b8000 .@......1.2..... + 8e36b0 2822b7da 400b8000 65afe114 01096000 ("..@...e.....`. + 8e36c0 122822b3 da400b80 00dba088 318c32c0 .("..@......1.2. + 8e36d0 a00b8000 2822b7da 400b8000 65afe116 ....("..@...e... + 8e36e0 0107246c f82822b7 da600b80 00c9a228 ..$l.("..`.....( + 8e36f0 22b5da60 0b800088 308b320b 8000c051 "..`....0.2....Q + 8e3700 600001c0 502822b7 da400b80 00c9a328 `...P("..@.....( + 8e3710 22b3da40 0b8000db a088318c 32c0a00b "..@......1.2... + 8e3720 8000c051 655fbdd1 0f000000 6c1004c0 ...Qe_......l... + 8e3730 23c08428 34002244 00d10f00 6c100419 #..(4."D....l... + 8e3740 01121301 141a011a 1b01191c 01181d01 ................ + 8e3750 171e0116 1f011518 01139824 9a229b29 ...........$.".) + 8e3760 9c289d27 9e269f2b 99209325 18011c98 .(.'.&.+. .%.... + 8e3770 2a13011d 19011b99 21932cd1 0f000000 *.......!.,..... + 8e3780 6c100412 00181301 01282212 1a011e8b l........("..... + 8e3790 390b8000 1a011f15 010d0c02 00282212 9............(". + 8e37a0 2b52c60b 80002822 b81a0108 0b800028 +R....(".......( + 8e37b0 22121a01 208b3b0b 80001a01 1f14010c "... .;......... + 8e37c0 0c020028 22128b46 0b800028 22b81a01 ...("..F...("... + 8e37d0 090b8000 2822121a 01218b33 0b80001a ....("...!.3.... + 8e37e0 011f0c02 00282212 2b52860b 80002822 .....(".+R....(" + 8e37f0 b81a0106 0b800028 22128b35 1a01220b .......("..5..". + 8e3800 80001a01 1f0c0200 2822122b 42850b80 ........(".+B... + 8e3810 002822b8 1a01070b 8000d10f 6c100412 .(".........l... + 8e3820 0123882c 1a0124c4 90688108 0c020029 .#.,..$..h.....) + 8e3830 a4886000 08180018 2882350b 8000c090 ..`.....(.5..... + 8e3840 992bd10f 6c100412 0123882c 69820818 .+..l....#.,i... + 8e3850 00182882 360b8000 2b211289 2bc0a00b ..(.6...+!..+... + 8e3860 a939992b d10f0000 6c1004c0 31280aef .9.+....l...1(.. + 8e3870 140050c0 73c160c0 2a6d2a31 0f391129 ..P.s.`.*m*1.9.) + 8e3880 9c3f0799 03090947 0499020c 02002a90 .?.....G......*. + 8e3890 0006aa02 0c02002a 94000c02 00259000 .......*.....%.. + 8e38a0 0855010c 02002594 00b13303 0347c031 .U....%...3..G.1 + 8e38b0 c0aa6daa 310f3911 299c5f07 99030909 ..m.1.9.)._..... + 8e38c0 47049902 0c02002a 900006aa 020c0200 G......*........ + 8e38d0 2a94000c 02002590 00085501 0c020025 *.....%...U....% + 8e38e0 9400b133 030347d1 0f000000 6c100413 ...3..G.....l... + 8e38f0 01231501 25263002 c04f283c 58060643 .#..%&0..O(h.Eh.L..|.[h. + 8e3e20 4ec0db7d a10dc020 d10f28b2 310b8000 N..}... ..(.1... + 8e3e30 d2a0d10f 28b2240b 8000c021 d10f28b2 ....(.$....!..(. + 8e3e40 2d0b8000 d2a0d10f 28b22e0b 8000d2a0 -.......(....... + 8e3e50 d10f28b2 2f0b8000 d2a0d10f 28b2300b ..(./.......(.0. + 8e3e60 8000d2a0 d10f28b2 250b8000 d2a0d10f ......(.%....... + 8e3e70 28b2230b 8000d2a0 d10f28b2 260b8000 (.#.......(.&... + 8e3e80 c0211a01 251d010d 1c010c0c 020022d6 .!..%.........". + 8e3e90 870c0200 22c6860c 020022d6 c70c0200 ...."....."..... + 8e3ea0 92c7c0b8 0c020029 a2c20b99 020c0200 .......)........ + 8e3eb0 29a6c2c0 820c0200 2fa2c208 ff020c02 )......./....... + 8e3ec0 002fa6c2 0c02002e a2c202ee 020c0200 ./.............. + 8e3ed0 2ea6c22d 1a000c02 002ca2c2 0dcc020c ...-.....,...... + 8e3ee0 02002ca6 c22b2a00 0c020029 a2c20b99 ..,..+*....).... + 8e3ef0 020c0200 29a6c2d1 0f000000 6c100419 ....).......l... + 8e3f00 01301600 15120123 1300180c 02002a21 .0.....#......*! + 8e3f10 02c38029 667c78a1 63c3b17b a9792721 ...)f|x.c..{.y'! + 8e3f20 031a0131 28321208 7711db70 0b8000c0 ...1(2..w..p.... + 8e3f30 efc0d1c0 c08b2715 01251401 2464b059 ......'..%..$d.Y + 8e3f40 283212c0 7f1a0132 0b8000c0 c09c271b (2.....2......'. + 8e3f50 01330c02 002a627d 0baa020c 02002a66 .3...*b}......*f + 8e3f60 7d0c0200 c0912956 c00c0200 2956830c }.....)V....)V.. + 8e3f70 02002756 c00c0200 294488d1 0f1a0134 ..'V....)D.....4 + 8e3f80 2832122b 21032c21 052c2512 08bb119b (2.+!.,!.,%..... + 8e3f90 280b8000 c0c29c2c d10f9c27 0c02002d (......,...'...- + 8e3fa0 56c00c02 002c5683 0c02002e 56c00c02 V....,V.....V... + 8e3fb0 002d4488 2832121a 01350b80 001d0136 .-D.(2...5.....6 + 8e3fc0 0c02002c 627d0dcc 010c0200 2c667dc3 ...,b}......,f}. + 8e3fd0 af2bfa00 0c020029 627d0b99 010a9902 .+.....)b}...... + 8e3fe0 0c020029 667d0778 390b8000 d10f0000 ...)f}.x9....... + 8e3ff0 6c100612 01232821 1a130124 140018b0 l....#(!...$.... + 8e4000 886480ae 284232c0 9129251a 0b80001a .d..(B2..)%..... + 8e4010 01292e7a 092ea500 1b01371c 01381e01 .).z......7..8.. + 8e4020 2a0c0200 2d3083c0 912f0a03 79d73d2c *...-0.../..y.=, + 8e4030 260a2d22 106dfa10 0e9f090d 9c09b199 &.-".m.......... + 8e4040 2cc1002c f5000909 4728d108 c091c1fd ,..,....G(...... + 8e4050 08884728 e5046dfa 100a9d09 0b9c092c ..G(..m........, + 8e4060 c1002cd5 00b19909 09476000 3f9b2a2d ..,......G`.?.*- + 8e4070 2210c091 c0f36dfa 100e9f09 0d9b092b ".....m........+ + 8e4080 b1002bf5 00b19909 094728d1 08290a01 ..+......G(..).. + 8e4090 2f0a1d08 884728e5 046dfa10 0a9d090c /....G(..m...... + 8e40a0 9b092bb1 002bd500 b1990909 472c6a0a ..+..+......G,j. + 8e40b0 2ce5000c 0200c052 1c012529 30880c02 ,......R..%)0... + 8e40c0 0028c283 0c020098 100c0200 8d102e0a .(.............. + 8e40d0 602b0a80 0d0f412f 24020bdb 010eda01 `+....A/$....... + 8e40e0 2a24012b 24000c02 00891009 89472925 *$.+$........G)% + 8e40f0 020c0200 88100888 57088811 0c02008f ........W....... + 8e4100 100f0f57 a8ff2f25 030c0200 2cc2830c ...W../%....,... + 8e4110 02009c10 0c02008b 100b8b47 08bb110c ...........G.... + 8e4120 02008910 090947ab 99292504 0c020088 ......G..)%..... + 8e4130 10088857 0888110c 02008f10 0f0f57a8 ...W..........W. + 8e4140 ff2f2505 7ed80e28 42270b80 00892b0a ./%.~..(B'....+. + 8e4150 5938992b d10f69ad 07284228 0b8000d1 Y8.+..i..(B(.... + 8e4160 0fd95063 ffeb0000 6c1004c0 202b0afd ..Pc....l... +.. + 8e4170 13012429 0aff0c02 002a30ab 0baa010c ..$).....*0..... + 8e4180 02002a34 ab0c0200 2934910c 02002934 ..*4....)4....)4 + 8e4190 900c0200 2934970c 02002934 950c0200 ....)4....)4.... + 8e41a0 293494c1 800c0200 2834830c 02002234 )4......(4...."4 + 8e41b0 83c0610c 02002634 81c4500c 02002534 ..a...&4..P...%4 + 8e41c0 920c0200 2234890c 02002234 abc2440c ...."4...."4..D. + 8e41d0 02002434 830c0200 2234910c 02002234 ..$4...."4...."4 + 8e41e0 900c0200 223497d1 0f000000 6c100413 ...."4......l... + 8e41f0 0124240a bf0c0200 22309404 22010c02 .$$....."0.."... + 8e4200 00223494 d10f0000 6c100414 01238a20 ."4.....l....#. + 8e4210 9a438921 99448822 98458323 9346d10f .C.!.D.".E.#.F.. + 8e4220 6c100412 01238823 0b80001b 012564a0 l....#.#.....%d. + 8e4230 4b8da01f 01398cd1 0c02002f f03cc093 K....9...../.<.. + 8e4240 0f2e147f 9007b1e9 09094f60 0001d9e0 ..........O`.... + 8e4250 c9906d99 0c0c0200 2db2bf0c 02009dc0 ..m.....-....... + 8e4260 b4cc8da0 8824c090 29d50729 d50599d0 .....$..)..).... + 8e4270 2fd50699 a12fa504 0b8000d1 0f6da905 /..../.......m.. + 8e4280 0c02002c b2bfd10f 6c100412 01238825 ...,....l....#.% + 8e4290 0b800064 a07389a0 2ca1048e 91299105 ...d.s..,....).. + 8e42a0 1b01250c 2d4f0e99 086dd90c 0c02008d ..%.-O...m...... + 8e42b0 900c0200 2db6beb4 99c0d30c 0c41cac5 ....-........A.. + 8e42c0 68c11068 c25a69c3 12c0e70c 02002eb6 h..h.Zi......... + 8e42d0 c0600007 c0f10c02 002fb6c0 0c020088 .`......./...... + 8e42e0 900c0200 28b6bec0 df1c0139 0c02002d ....(......9...- + 8e42f0 b6c0c0b8 0c020029 c02d0b99 020c0200 .......).-...... + 8e4300 29c42d88 260b8000 d10f1f01 24280ac0 ).-.&.......$(.. + 8e4310 0c02002e f09408ee 020c0200 2ef494d1 ................ + 8e4320 0f0c0200 2db6c063 ffb10000 6c100418 ....-..c....l... + 8e4330 00182882 161a013a 0b8000d1 0f000000 ..(....:........ + 8e4340 6c100418 00182882 161a013b 0b8000d1 l.....(....;.... + 8e4350 0f000000 6c100413 01392b0a c01a0124 ....l....9+....$ + 8e4360 1601250c 020029a0 940b9902 0c020029 ..%...)........) + 8e4370 a494c08f 0c020028 66c01501 3c0c0200 .......(f...<... + 8e4380 2566bec0 480c0200 22302d04 22020c02 %f..H..."0-."... + 8e4390 0022342d d10f0000 6c10045b f319d10f ."4-....l..[.... + 8e43a0 6c100412 01241500 180c0200 2420a379 l....$......$ .y + 8e43b0 470e2852 2b0b8000 2852121a 013d0b80 G.(R+...(R...=.. + 8e43c0 00c07016 01237f47 4f0c0200 2320a278 ..p..#.GO...# .x + 8e43d0 370e0c02 002920a2 0909460c 02002924 7....) ...F...)$ + 8e43e0 a27e3705 2852210b 80007d37 05285222 .~7.(R!...}7.(R" + 8e43f0 0b80007f 37052852 200b8000 896b6992 ....7.(R ....ki. + 8e4400 0a0c0200 c0942924 8860000a 6991070c ......)$.`..i... + 8e4410 0200c0a1 2a248897 6b784747 0c020023 ....*$..kxGG...# + 8e4420 20ab2c0a fd7e3711 0c02002b 20ab0cbb .,..~7....+ ... + 8e4430 010c0200 2b24ab27 651a7d37 112e0afb ....+$.'e.}7.... + 8e4440 0c02002d 20ab0edd 010c0200 2d24ab7c ...- .......-$.| + 8e4450 3711280a f70c0200 2f20ab08 ff010c02 7.(...../ ...... + 8e4460 002f24ab d10f0000 6c100412 01241500 ./$.....l....$.. + 8e4470 180c0200 2420a37b 470e0c02 002820a6 ....$ .{G....( . + 8e4480 79870528 52330b80 0079470e 0c020029 y..(R3...yG....) + 8e4490 20a47997 05285234 0b8000c0 70160123 .y..(R4....p..# + 8e44a0 7f47700c 02002320 a2783717 0c020029 .Gp...# .x7....) + 8e44b0 20a20909 460c0200 2924a228 52121a01 ...F...)$.(R... + 8e44c0 3e0b8000 7e370528 52210b80 007d3705 >...~7.(R!...}7. + 8e44d0 2852220b 80007f37 05285220 0b80007c (R"....7.(R ...| + 8e44e0 3709976c 0c0200c0 91292488 7b37070c 7..l.....)$.{7.. + 8e44f0 0200c0a4 2a248889 6b69920a 0c0200c0 ....*$..ki...... + 8e4500 b42b2488 60000a69 91070c02 00c0c12c .+$.`..i......., + 8e4510 2488976b 78477a0c 02002320 ab7e371d $..kxGz...# .~7. + 8e4520 2a0afd0c 02002920 ab0a9901 0c020029 *.....) .......) + 8e4530 24ab2852 121a013f 27651a0b 80007d37 $.(R...?'e....}7 + 8e4540 322a0afb 0c020029 20ab0a99 010c0200 2*.....) ....... + 8e4550 2924ab28 52121a01 400b8000 1900cb1a )$.(R...@....... + 8e4560 00150c02 0028522a 29a67f0b 80002852 .....(R*).....(R + 8e4570 290b8000 7c371a2a 0af70c02 002920ab )...|7.*.....) . + 8e4580 0a99010c 02002924 ab285212 1a01410b ......)$.(R...A. + 8e4590 8000d10f 6c1004c3 a1120015 23fa0014 ....l.......#... + 8e45a0 00180c02 0029227d 0399010a 99020c02 .....)"}........ + 8e45b0 0028425e 29267d0b 80001b00 37c0c469 .(B^)&}.....7..i + 8e45c0 a1220c02 002eb286 0cee020c 02002eb6 .".............. + 8e45d0 86c6df0c 02002ab2 840daa01 0c02002a ......*........* + 8e45e0 b6846000 3c68a339 c7fe0c02 002eb284 ..`. + 8e4850 06550316 00500505 47065502 0c020024 .U...P..G.U....$ + 8e4860 5400d10f 6c10042a 0a9fc073 0f261126 T...l..*...s.&.& + 8e4870 6c3f0766 03170050 06064707 66020c02 l?.f...P..G.f... + 8e4880 00296000 0a99010c 02002964 00c08104 .)`.......)d.... + 8e4890 b714b177 03880c0b 77110877 1d0c0200 ...w....w..w.... + 8e48a0 25600007 55020c02 00256400 d10f0000 %`..U....%d..... + 8e48b0 6c100418 01601901 61288100 299100b0 l....`..a(..)... + 8e48c0 88658179 6591761a 01622aa1 0065a16d .e.ye.v..b*..e.m + 8e48d0 c0a1c0bf 5bffb9c0 a0c0b15b ffbfc0a1 ....[......[.... + 8e48e0 c0b15bff bdc0a02b 0a865bff c3c0a1c0 ..[....+..[..... + 8e48f0 b65bffc1 c0a1c0b1 2c2a005b ffc7c0a1 .[......,*.[.... + 8e4900 c0b12c2a 005bffce c0a1c0b1 2c2a005b ..,*.[......,*.[ + 8e4910 ffd5c0a2 2b0af25b ffa9c0a2 c1b25bff ....+..[......[. + 8e4920 aec0a3c1 b25bffac c0a22b0a 865bffb2 .....[....+..[.. + 8e4930 c0a3c0b6 5bffb0c0 a2c0b02c 2a005bff ....[......,*.[. + 8e4940 b6c0a2c0 b02c2a00 5bffbdc0 a2c0b02c .....,*.[......, + 8e4950 2a005bff c4c0a32b 0afe5bff 98c0aec1 *.[....+..[..... + 8e4960 b35bff9d c0ae2b0a 835bffa3 c0a3c0b0 .[....+..[...... + 8e4970 c4c05bff a9c0a3c0 b0c4c05b ffb1c0a3 ..[........[.... + 8e4980 c0b0c4c0 5bffb7c0 a42b0aff 5bff8bc0 ....[....+..[... + 8e4990 afc0b45b ff91c0af 2b0a835b ff97c0a4 ...[....+..[.... + 8e49a0 c0b1c4c0 5bff9cc0 a4c0b1c4 c05bffa4 ....[........[.. + 8e49b0 c0a4c0b1 c4c05bff abc0a5c4 bf5bff7f ......[......[.. + 8e49c0 c0a4c0b5 5bff84c0 a5c0b55b ff83c0a4 ....[......[.... + 8e49d0 2b0a865b ff89c0a5 c0b65bff 87c0a5c0 +..[......[..... + 8e49e0 b12c2a00 5bff8cc0 a5c0b12c 2a005bff .,*.[......,*.[. + 8e49f0 94c0a5c0 b12c2a00 5bff9ac0 a62b0a6f .....,*.[....+.o + 8e4a00 5bff6ec0 a6c0b65b ff74c0a7 c0b65bff [.n....[.t....[. + 8e4a10 72c0a62b 0a865bff 78c0a7c0 b65bff76 r..+..[.x....[.v + 8e4a20 c0a6c0b1 2c2a005b ff7cc0a6 c0b12c2a ....,*.[.|....,* + 8e4a30 005bff83 c0a6c0b1 2c2a005b ff8ad10f .[......,*.[.... + 8e4a40 6c100418 01601901 61288100 299100b0 l....`..a(..)... + 8e4a50 88658118 6591151a 01622aa1 0065a10c .e..e....b*..e.. + 8e4a60 c0a1c0bf 5bff55c0 a0c0b15b ff5bc0a0 ....[.U....[.[.. + 8e4a70 2b0a865b ff61c0a1 c0b65bff 5fc0a1c0 +..[.a....[._... + 8e4a80 b1c4c05b ff65c0a1 c0b1c4c0 5bff6cc0 ...[.e......[.l. + 8e4a90 a1c0b1c4 c05bff73 c0a22b0a f25bff47 .....[.s..+..[.G + 8e4aa0 c0a2c1b2 5bff4cc0 a22b0a86 5bff52c0 ....[.L..+..[.R. + 8e4ab0 a3c0b65b ff51c0a2 c0b0c4c0 5bff56c0 ...[.Q......[.V. + 8e4ac0 a2c0b0c4 c05bff5e c0a2c0b0 c4c05bff .....[.^......[. + 8e4ad0 65c0a32b 0afe5bff 39c0aec1 b35bff3e e..+..[.9....[.> + 8e4ae0 c0ae2b0a 835bff44 c0a3c0b0 c4c05bff ..+..[.D......[. + 8e4af0 4ac0a3c0 b0c4c05b ff52c0a3 c0b0c4c0 J......[.R...... + 8e4b00 5bff58c0 a42b0aff 5bff2cc0 afc0b45b [.X..+..[.,....[ + 8e4b10 ff32c0af 2b0a825b ff38c0a4 c0b1c4c0 .2..+..[.8...... + 8e4b20 5bff3dc0 a4c0b1c4 c05bff45 c0a4c0b1 [.=......[.E.... + 8e4b30 c4c05bff 4cc0a5c4 bf5bff20 c0a4c0b5 ..[.L....[. .... + 8e4b40 5bff25c0 a42b0a86 5bff2bc0 a5c0b65b [.%..+..[.+....[ + 8e4b50 ff2ac0a5 c0b1c4c0 5bff2fc0 a5c0b1c4 .*......[./..... + 8e4b60 c05bff37 c0a5c0b1 c4c05bff 3ed10f00 .[.7......[.>... + 8e4b70 6c10040c 02008220 d10f0000 6c10040c l...... ....l... + 8e4b80 02009320 d10f0000 6c100416 0163c839 ... ....l....c.9 + 8e4b90 15016468 31076832 04d10f15 01650224 ..dh1.h2.....e.$ + 8e4ba0 0906440b 9540d10f 6c100428 2cfc6e82 ..D..@..l..(,.n. + 8e4bb0 03c021d1 0f180018 19016302 24092882 ..!.......c.$.(. + 8e4bc0 af09440b b84a0b80 00db30da 205bffee ..D..J....0. [.. + 8e4bd0 88418240 8b42a822 da205bff e8bc2ac0 .A.@.B.". [...*. + 8e4be0 b25bffe6 2a2c18c0 b15bffe4 c020d10f .[..*,...[... .. + 8e4bf0 6c1004dc 40db3018 00181a01 63022909 l...@.0.....c.). + 8e4c00 2882b00a 920bb82a 0b8000c0 c2c0318f (......*......1. + 8e4c10 218d208b 221e0165 afd2da20 0edd0c0d !. ."..e... .... + 8e4c20 c3385bff d6db30b8 2a5bffd4 2a2c1cc0 .8[...0.*[..*,.. + 8e4c30 b15bffd2 b42ac0b1 5bffd0d1 0f000000 .[...*..[....... + 8e4c40 6c10046e 2403c021 d10f1800 181b0163 l..n$..!.......c + 8e4c50 022a0928 82ae0baa 0bb8aa0b 8000db30 .*.(...........0 + 8e4c60 da205bff c9c020d1 0f000000 6c1004db . [... .....l... + 8e4c70 301c0163 18001802 2a090caa 0b8ca189 0..c....*....... + 8e4c80 a02882b1 b8aaac92 0b8000b4 2ac0b15b .(..........*..[ + 8e4c90 ffbbd10f 6c100418 00181b01 63022a09 ....l.......c.*. + 8e4ca0 2882b50b aa0bb8aa 0b8000d2 a0d10f00 (............... + 8e4cb0 6c100414 0163c0b0 02230904 330b8831 l....c...#..3..1 + 8e4cc0 84308232 8333a844 b44a5bff acda40db .0.2.3.D.J[...@. + 8e4cd0 305bffaa 73210fc0 a00c0200 2a25010c 0[..s!......*%.. + 8e4ce0 02008224 7329f1d1 0f000000 6c100428 ...$s)......l..( + 8e4cf0 2cfc6e82 03c020d1 0f180018 1b016302 ,.n... .......c. + 8e4d00 2a092882 b70baa0b b8aa0b80 000a024f *.(............O + 8e4d10 d10f0000 6c100418 00181b01 63022a09 ....l.......c.*. + 8e4d20 2882b30b aa0bb8aa 0b8000d2 a0d10f00 (............... + 8e4d30 6c1004db 301c0163 18001802 2a090caa l...0..c....*... + 8e4d40 0b8ca189 a02882b4 b8aaac92 0b8000b4 .....(.......... + 8e4d50 2ac0b15b ff8ad10f 6c10046e 2403c020 *..[....l..n$.. + 8e4d60 d10f1800 181b0163 022a0928 82b70baa .......c.*.(.... + 8e4d70 0bb8aa0b 80000a02 4fd10f00 6c100419 ........O...l... + 8e4d80 016d1a01 6c1b016b 1c016a1d 01691e01 .m..l..k..j..i.. + 8e4d90 681f0167 13016618 016e982b 99299a2a h..g..f..n.+.).* + 8e4da0 9b289c27 9d269e23 93209f22 13016f93 .(.'.&.#. ."..o. + 8e4db0 2cd10f00 6c100416 00182862 52c0a0c2 ,...l.....(bR... + 8e4dc0 b00b8000 140170c0 5095a095 a19a406a ......p.P.....@j + 8e4dd0 2218c031 286252c0 a0c2b00b 8000b133 "..1(bR........3 + 8e4de0 894099a1 95a09a40 7329e892 41d10f00 .@.....@s)..A... + 8e4df0 6c100416 01708260 c0308461 c82a8521 l....p.`.0.a.*.! + 8e4e00 b0449560 94619321 d10fc020 d10f0000 .D.`.a.!... .... + 8e4e10 6c100413 01708431 85309521 9230b144 l....p.1.0.!.0.D + 8e4e20 9431d10f 6c100418 01721901 71130173 .1..l....r..q..s + 8e4e30 93239821 9920d10f 6c100416 00182862 .#.!. ..l.....(b + 8e4e40 52c0a0c2 b40b8000 140174c0 5025a507 R.........t.P%.. + 8e4e50 95a09a40 6a2219c0 31286252 c0a0c2b4 ...@j"..1(bR.... + 8e4e60 0b8000b1 33894099 a025a507 9a407329 ....3.@..%...@s) + 8e4e70 e7d10f00 6c100415 01748250 c030c826 ....l....t.P.0.& + 8e4e80 84209450 9320d10f c020d10f 6c100422 . .P. ... ..l.." + 8e4e90 2c10d10f 6c100484 212c2105 2821072a ,...l...!,!.(!.* + 8e4ea0 21068531 2e210429 31072d31 052b3106 !..1.!.)1.-1.+1. + 8e4eb0 2f31042f 25042e35 042d2505 2c35052b /1./%..5.-%.,5.+ + 8e4ec0 25062a35 06292507 28350795 219431d1 %.*5.)%.(5..!.1. + 8e4ed0 0f000000 6c100418 01771901 761a0175 ....l....w..v..u + 8e4ee0 13017893 23982299 219a20d1 0f000000 ..x.#.".!. ..... + 8e4ef0 6c100484 208240c8 25d42082 20652ff8 l... .@.%. . e/. + 8e4f00 25410522 41042341 0605220c 03220cd1 %A."A.#A.."..".. + 8e4f10 0f000000 6c1004d5 20822028 21062621 ....l... . (!.&! + 8e4f20 05a38803 660c2625 05282506 245104a3 ....f.&%.(%.$Q.. + 8e4f30 44245504 84212221 05a422d1 0f000000 D$U..!"!.."..... + 8e4f40 6c100486 208560c8 55d65085 50655ff8 l... .`.U.P.Pe_. + 8e4f50 246106a3 49296506 28210425 61058761 $a..I)e.(!.%a..a + 8e4f60 a3882825 04a752a2 42d10f00 6c1004d5 ..(%..R.B...l... + 8e4f70 20822028 21062621 0503880c a3662625 . (!.&!.....f&% + 8e4f80 05282506 24510403 440c2455 04842122 .(%.$Q..D.$U..!" + 8e4f90 2105a422 d10f0000 6c100422 2104d10f !.."....l.."!... + 8e4fa0 6c100485 20265105 87512551 06a76696 l... &Q..Q%Q..f. + 8e4fb0 309540d1 0f000000 6c1004bc 22d10f00 0.@.....l..."... + 8e4fc0 6c100418 0018dd40 dc302882 9b2a22b1 l......@.0(..*". + 8e4fd0 c0b00b80 00d10f00 6c100614 0018d520 ........l...... + 8e4fe0 284252c0 a02b2acc 0b8000d2 a08844c0 (BR..+*.......D. + 8e4ff0 b02c2acc 0b800088 32261a8c a6269820 .,*.....2&...&. + 8e5000 8c342c26 b18a332a 26b09212 28427229 .4,&..3*&...(Br) + 8e5010 42862b42 879b1099 11db100b 8000c4e0 B.+B............ + 8e5020 c3a4c0b3 c0d62f42 88c0c02c 66492d26 ....../B...,fI-& + 8e5030 ac296249 2c664c2b 26af0a99 28c2ac0e .)bI,fL+&...(... + 8e5040 99352966 4a883029 2a7ca929 2866448b .5)fJ.0)*|.)(fD. + 8e5050 312f663d 2d42892e 65822d66 3e2c6648 1/f=-B..e.-f>,fH + 8e5060 2a65832b 66472a22 b02b2c19 2226a528 *e.+fG*".+,."&.( + 8e5070 427c2c2c 1899220b 8000884e 0b80002b B|,,.."....N...+ + 8e5080 20192e22 b02d4275 69a209da e08c310b ..".-Bui.....1. + 8e5090 d0006000 06dae0c0 c10bd000 2526a9da ..`.........%&.. + 8e50a0 20c0b4c4 c0c09129 269e5bff c5284274 ......)&.[..(Bt + 8e50b0 2a22b00b 8000d10f 6c1004d1 0f000000 *"......l....... + 8e50c0 6c100424 22a69430 2326a6d1 0f000000 l..$"..0#&...... + 8e50d0 6c1004da 205800bc d4a0c0b8 5bff9815 l... X......[... + 8e50e0 0018d3a0 8854c0b0 c0c80b80 00dc40da .....T........@. + 8e50f0 20c0b0c1 e6c081c0 f02922a7 2d22aa2d ........)".-".- + 8e5100 34032934 052f3400 0989140d 8d142834 4.)4./4.......(4 + 8e5110 012e3406 2852842d 34022934 040b8000 ..4.(R.-4.)4.... + 8e5120 d10f0000 6c1004da 40c0b85b ff7a2a22 ....l...@..[.z*" + 8e5130 b0180018 dc400336 0f288277 02660a2b .....@.6.(.w.f.+ + 8e5140 60190b80 002922a8 2822aa7f 974bda40 `....)".("...K.@ + 8e5150 5bff912b 22a75805 eed7a0da 405bff8e [..+".X.....@[.. + 8e5160 c0412b22 a75805fe 2c69072b 6902b17d .A+".X..,i.+i..} + 8e5170 0ad739a7 bb07cc0c 2c65072b 65022a22 ..9.....,e.+e.*" + 8e5180 9c003104 004b1a0a ba022a26 9cc85eda ..1..K....*&..^. + 8e5190 20b46cdd 30580094 d10fa8ff 2f26aad1 .l.0X....../&.. + 8e51a0 0f000000 6c100825 16032616 04241602 ....l..%..&..$.. + 8e51b0 c84eda20 db30dc40 8441c0d0 5bffd965 .N. .0.@.A..[..e + 8e51c0 4fefdd30 da200031 04c0b103 3c0f02cc O..0. .1....<... + 8e51d0 0a00bb1a b4cc5800 84d10f00 6c1004dc ......X.....l... + 8e51e0 40db30da 20c0d15b ffcfd10f 6c1008da @.0. ..[....l... + 8e51f0 405bff71 d5a0da40 5bff67d6 a09a15c0 @[.q...@[.g..... + 8e5200 b8da405b ff449611 d7a01800 18c09023 ..@[.D.........# + 8e5210 5400c4d0 2c500103 3b0f02bb 0a9b140d T...,P..;....... + 8e5220 cc022c54 0123a400 29a40128 82792bb0 ..,T.#..)..(.y+. + 8e5230 182a22b0 0b800085 40d6a0da 40255105 .*".....@...@%Q. + 8e5240 5bff55d3 a0da405b ff2a0565 0c03550c [.U...@[.*.e..U. + 8e5250 75ab06da 405bff26 d5a06b56 026000bf u...@[.&..kV.`.. + 8e5260 23229cc0 6064309c da40c0b2 97165bff #"..`d0..@....[. + 8e5270 34d720b4 2bc062c0 d19a12c0 832c5cfe 4. .+.b......,\. + 8e5280 c090c050 29a40128 a4006430 b26bc402 ...P)..(..d0.k.. + 8e5290 6000ac9c 179b1000 510400de 1a9e137e `.......Q......~ + 8e52a0 3057da40 c0b45bff 26c08089 13dba025 0W.@..[.&......% + 8e52b0 a4002c79 022ca401 2d710ec7 af0a9903 ..,y.,..-q...... + 8e52c0 adcc0c0c 4b0c8a14 2c750e2c b4032ab4 ....K...,u.,..*. + 8e52d0 022f7108 09330128 75027df7 048a1058 ./q..3.(u.}....X + 8e52e0 00f28b10 8f12c0d1 8c172ef0 01b4662c ..............f, + 8e52f0 ccfcb4ee 2ef4016e c445b155 277c1c2b .......n.E.U'|.+ + 8e5300 bc1c63ff 84971687 166a6113 c0828911 ..c......ja..... + 8e5310 2674042f 7001a969 991508ff 022f7401 &t./p..i...../t. + 8e5320 8b141800 188c152a 22b02c74 030c8d14 .......*".,t.... + 8e5330 288276dc 402d7402 2bb0180b 8000d10f (.v.@-t.+....... + 8e5340 23269c63 ffc00000 6c1004d1 0f000000 #&.c....l....... + 8e5350 6c1004d1 0f000000 6c100418 00182882 l.......l.....(. + 8e5360 7a2a22b0 0b8000b8 a2d10f00 6c100419 z*".........l... + 8e5370 01791301 7b1a0181 1b01801c 017f1d01 .y..{........... + 8e5380 7e1e017d 1f017c18 017a9824 9a289b27 ~..}..|..z.$.(.' + 8e5390 9c219d26 9e229f23 99209325 18018398 .!.&.".#. .%.... + 8e53a0 2a130184 19018299 29932bd1 0f000000 *.......).+..... + 8e53b0 6c100418 0018dc30 28829e2a 22b1c0b0 l......0(..*"... + 8e53c0 0b8000d1 0f000000 6c100413 00182832 ........l.....(2 + 8e53d0 85da200b 8000dca0 28329c2a 22b1c0b0 .. .....(2.*"... + 8e53e0 0b8000d2 a0d10f00 6c100428 229b2922 ........l..(".)" + 8e53f0 9c73804f 73904cc0 62c9412a 49042b49 .s.Os.L.b.A*I.+I + 8e5400 008c44c8 a17ab23b 2d22af7d ca08da20 ..D..z.;-".}... + 8e5410 5bffedd3 a0cca92e 22a806ee 022e26a8 [.......".....&. + 8e5420 d10f5bfe e5c84c88 44c2f00f 5f02b188 ..[...L.D..._... + 8e5430 98442fa4 01180018 dc302882 84da20c0 .D/......0(... . + 8e5440 b00b8000 d10f0000 6c1006da 20263002 ........l... &0. + 8e5450 28300324 22a60866 11086602 c0809810 (0.$"..f..f..... + 8e5460 5bffd9d7 a0c0ba5b feb61800 18d5a088 [......[........ + 8e5470 84c0b0c0 ca0b8000 26540306 8914c0a0 ........&T...... + 8e5480 c0b32b54 012a5400 295402c9 462b229e ..+T.*T.)T..F+". + 8e5490 c1a57baa 04c0d360 000c2c41 0876c11a ..{....`..,A.v.. + 8e54a0 8440654f ecc0d118 0018dc70 2d540428 .@eO.......p-T.( + 8e54b0 8284da20 c0b00b80 00d10fda 40ba5edf ... ........@.^. + 8e54c0 102d3008 c0908843 ba3c0d9c 380b8000 .-0....C.<..8... + 8e54d0 dda065af d18a102a 54082922 9e295405 ..e....*T.)".)T. + 8e54e0 2a410a2a 54070999 0f02990a 0a8a142a *A.*T..........* + 8e54f0 54062a30 05283004 94922922 9e088811 T.*0.(0...)".... + 8e5500 0a880209 990f0299 0a289508 2f229e2e .........(../".. + 8e5510 30060fff 0f02ff0a 2ef4182c 229e2b30 0..........,".+0 + 8e5520 070ccc0f 02cc0a2b c4192a22 9e2b4109 .......+..*".+A. + 8e5530 c0c1b1aa 0cbb022b 45092a26 9e63ff66 .......+E.*&.c.f + 8e5540 6c1004da 205bffa0 d4a0c0b4 5bfe7c16 l... [......[.|. + 8e5550 0018d5a0 8864c0b0 c0c40b80 00c096c0 .....d.......... + 8e5560 a02a5400 2954012b 30022b54 02286278 .*T.)T.+0.+T.(bx + 8e5570 2a22b00b 8000cba6 c0e02e54 032c3003 *".........T.,0. + 8e5580 2d22ab2b 22aac092 acdd7db2 1c2a22b0 -".+".....}..*". + 8e5590 2862752d 26ab2b30 020b8000 dc402862 (bu-&.+0.....@(b + 8e55a0 84da20c0 b00b8000 d10f2954 0363ffeb .. .......)T.c.. + 8e55b0 c09163ff f4000000 6c1006c8 3163fffc ..c.....l...1c.. + 8e55c0 da40db10 b41c5bfe 768b1029 b0002ab0 .@....[.v..)..*. + 8e55d0 01089911 0a990268 920d6894 5b69950c .......h..h.[i.. + 8e55e0 da505bff d7600004 da505bff 97c0302a .P[..`...P[...0* + 8e55f0 52a8c071 1600187f a70edc40 286282da R..q.......@(b.. + 8e5600 50c0b00b 80006000 1ada40c0 b85bfe41 P.....`...@..[.A + 8e5610 dc402a52 b0022b0f 28627705 bb0a2bb0 .@*R..+.(bw...+. + 8e5620 190b8000 c83f2c52 a82a52a9 07cc022c .....?,R.*R...., + 8e5630 56a8c8a1 0ba000d1 0fc03163 ffb00000 V.........1c.... + 8e5640 6c1004da 305bfe5c 29a0017a 970e090a l...0[.\)..z.... + 8e5650 440aaa0f 04aa0a88 a5b08898 a5db30da D.............0. + 8e5660 405bff53 2e42a87e e712da40 c0c0c7bd @[.S.B.~...@.... + 8e5670 c1d60beb 012b46a8 c7bf5bff 5bd10f00 .....+F...[.[... + 8e5680 6c1004da 205bfe4c 24a000c0 b8da205b l... [.L$..... [ + 8e5690 fe37044c 0f03cc0a 8cc2db20 88c2da40 .7.L....... ...@ + 8e56a0 8cc60b80 00d10f00 6c100428 29052521 ........l..().%! + 8e56b0 06242900 050541a8 44084432 c8576851 .$)...A.D.D2.WhQ + 8e56c0 0d695205 04440904 24122425 04d10f04 .iR..D..$.$%.... + 8e56d0 141263ff f4000000 6c1004d1 0f000000 ..c.....l....... + 8e56e0 6c1008db 10b41cd7 20023738 da705bfe l....... .78.p[. + 8e56f0 2c8b1097 148a1128 b00626b0 002aacf8 ,......(..&..*.. + 8e5700 9a162bb0 07088811 06650f04 550a2951 ..+......e..U.)Q + 8e5710 0d0b8802 98152b42 a79b1709 880c0808 ......+B........ + 8e5720 4b981358 047bd7a0 8b178a16 58048c8b K..X.{......X... + 8e5730 152b550d 8d108c13 2ed001b1 790a9739 .+U.........y..9 + 8e5740 7de7098a 142bd004 5bffe3d1 0f2f42a8 }....+..[..../B. + 8e5750 c0d19212 7ff73000 610400d2 1a7c7b1c ......0.a....|{. + 8e5760 2b590729 590207ca 0c0abb0c aa992955 +Y.)Y.........)U + 8e5770 022b5507 28429c08 28022846 9c2c5907 .+U.(B..(.(F.,Y. + 8e5780 b1cc2c55 07600005 00610400 d21a2942 ..,U.`...a....)B + 8e5790 9b7fe715 092e022e 469b2d51 08b4577d ........F.-Q..W} + 8e57a0 d719da70 5bffc060 0011b457 c0f0c78f ...p[..`...W.... + 8e57b0 08280308 98012846 9b2f5506 8a14c0b8 .(....(F./U..... + 8e57c0 5bfdea8d 52dc308b 1288d1da 608dd60b [...R.0.....`... + 8e57d0 8000dd60 dc70db20 da405bff 03d10f00 ...`.p. .@[..... + 8e57e0 6c1004d1 0f000000 6c100418 00182882 l.......l.....(. + 8e57f0 a60b8000 caaec0b0 0c02002b a5090c02 ...........+.... + 8e5800 002ba508 0c02002b a50b0c02 002ba50a .+.....+.....+.. + 8e5810 0c02009b a60c0200 9ba70c02 009ba829 ...............) + 8e5820 ac109921 9920d10f 6c1004da 205bffee ...!. ..l... [.. + 8e5830 c0809822 9823d10f 6c10040c 02002621 ...".#..l.....&! + 8e5840 03062614 0c020085 230c0200 242103c0 ..&.....#...$!.. + 8e5850 33055202 74300226 6c011501 856d6a20 3.R.t0.&l....mj + 8e5860 8820058a 01088910 08aa110a 9902088a . .............. + 8e5870 57088814 0588010a 88020988 029820b4 W............. . + 8e5880 22d10f00 6c1004da 208b3058 00041800 "...l... .0X.... + 8e5890 182882a3 da300b80 00d10f00 6c100415 .(...0......l... + 8e58a0 00186430 5bc060c0 402852a7 da300b80 ..d0[.`.@(R..0.. + 8e58b0 000c0200 26a5010c 020026a5 000c0200 ....&.....&..... + 8e58c0 26a5030c 020026a5 020c0200 96a20c02 &.....&......... + 8e58d0 0096a30c 020096a4 2635058b 310c0200 ........&5..1... + 8e58e0 9ba32931 040c0200 29a503cc 43d7a060 ..)1....)...C..` + 8e58f0 00040c02 009a4483 30d4a065 3faa6000 ......D.0..e?.`. + 8e5900 01c040db 70da200c 02002852 ba94720b ..@.p. ...(R..r. + 8e5910 8000d10f 6c1006da 209311d2 306a3141 ....l... ...0j1A + 8e5920 c0501300 18c0709a 102832a6 0b8000d6 .P....p..(2..... + 8e5930 a0cca163 fffc2832 52c0a0db 400b8000 ...c..(2R...@... + 8e5940 9a612465 04b177c0 b09b602b 65052b65 .a$e..w...`+e.+e + 8e5950 062b6507 c8509560 d5607729 cb8a1060 .+e..P.`.`w)...` + 8e5960 0001c050 db505bff cdd10f00 6c100489 ...P.P[.....l... + 8e5970 30da20c0 c064907a c0f0222a 002d9c10 0. ..d.z.."*.-.. + 8e5980 0c02002f 95090c02 002f9508 0c02002f .../...../...../ + 8e5990 950b0c02 002f950a 0c02009f 960c0200 ...../.......... + 8e59a0 9f970c02 009f9828 91060c02 008e9128 .......(.......( + 8e59b0 950b2891 05ae880c 02009897 0c02002f ..(............/ + 8e59c0 95080c02 002f9509 cdcbdbd0 0c02002e ...../.......... + 8e59d0 910802ee 020c0200 2e95082c 31040c02 ...........,1... + 8e59e0 002c950a 6000040c 02009dc4 8990dcd0 .,..`........... + 8e59f0 659f8929 1a000c02 0028d100 0988020c e..).....(...... + 8e5a00 020028d5 000c0200 8fa289a3 9db2ccf9 ..(............. + 8e5a10 cc9793a3 93a29331 60000393 9193a318 .......1`....... + 8e5a20 00182882 bb0b8000 d10f0000 6c1004d1 ..(.........l... + 8e5a30 0f000000 6c100485 20832175 310f0c02 ....l... .!u1... + 8e5a40 00285101 c0210808 41688101 d10fc020 .(Q..!..Ah..... + 8e5a50 d10f0000 6c1004da 20120018 2822b90b ....l... ...(".. + 8e5a60 8000d3a0 cca3c0a0 60001328 22a10b80 ........`..("... + 8e5a70 00d2a0cc a163fffc db305800 3cda20d2 .....c...0X.<. . + 8e5a80 a0d10f00 6c100418 00182882 b9da200b ....l.....(... . + 8e5a90 8000dba0 cca3c030 60001a83 228923c0 .......0`...".#. + 8e5aa0 c0739906 9c229c23 6000038a 319a229c .s...".#`...1.". + 8e5ab0 31da3058 002ed230 d10f0000 6c100483 1.0X...0....l... + 8e5ac0 20c040c4 78c56315 00188821 73891528 .@.x.c....!s..( + 8e5ad0 5212db30 1a01860b 80002852 121a0187 R..0......(R.... + 8e5ae0 0b8000d1 0fdc600c 02001a01 88293101 ......`......)1. + 8e5af0 db302852 12090940 097c390b 8000c0b5 .0(R...@.|9..... + 8e5b00 b144da40 580396cc a7285212 1a01890b .D.@X....(R..... + 8e5b10 80000c02 00833463 ffaf0000 6c100419 ......4c....l... + 8e5b20 018d1301 8f1a018c 1b018b1c 018a1d01 ................ + 8e5b30 921e0191 1f019018 018e9826 9d2a9e29 ...........&.*.) + 8e5b40 9f289925 93279a24 9b239c20 18019798 .(.%.'.$.#. .... + 8e5b50 2d1c0193 1b01941a 01951301 98190196 -............... + 8e5b60 992c932e 9a2b9b22 9c21d10f 6c100425 .,...+.".!..l..% + 8e5b70 3cf09520 0c020024 31022425 04ca36c0 <.. ...$1.$%..6. + 8e5b80 200c0200 253cf029 3dff2831 0328957e ...%<.)=.(1.(.~ + 8e5b90 c8209520 d2500c02 002a3100 77af090c . . .P...*1.w... + 8e5ba0 02008334 653fd9d1 0f2c3dff c0b02bc6 ...4e?...,=...+. + 8e5bb0 3cd10f00 6c1004d5 20822083 51723903 <...l... . .Qr9. + 8e5bc0 c020d10f 0c020028 21010808 41c88e0c . .....(!...A... + 8e5bd0 02002921 01090941 689203c0 20d10f0c ..)!...Ah... ... + 8e5be0 02008a22 0c02008a a49a50d1 0f000000 ..."......P..... + 8e5bf0 6c1008da 30c0b0c0 410c0200 24a5010c l...0...A...$... + 8e5c00 02002ba5 000c0200 2ba5020c 02008832 ..+.....+......2 + 8e5c10 7a896615 00180c02 0089320c 0200da10 z.f.......2..... + 8e5c20 db308855 c1c49394 0b80001c 01990c02 .0.U............ + 8e5c30 002d3101 0cdd010c 02002d35 010c0200 .-1.......-5.... + 8e5c40 2b11010c bb010c02 008a212b 15012852 +.........!+..(R + 8e5c50 a82b3cf0 2aacf00b 80008a21 8855db10 .+<.*......!.U.. + 8e5c60 c1c40b80 008a210c 020029a1 01049902 ......!...)..... + 8e5c70 0c020029 a5019321 d10f0c02 008aa463 ...)...!.......c + 8e5c80 ff760000 6c1008da 30140199 c0510c02 .v..l...0....Q.. + 8e5c90 0029a101 04990105 99020c02 0029a501 .)...........).. + 8e5ca0 0c020088 327a8972 0c02008b 320c0200 ....2z.r....2... + 8e5cb0 93b40c02 008a3273 a9068c21 0c02009c ......2s...!.... + 8e5cc0 32160018 da108865 db30c1c4 0b80000c 2......e.0...... + 8e5cd0 02002c31 0104cc01 0c02002c 35010c02 ..,1.......,5... + 8e5ce0 002b1101 04bb010c 02008a21 2b150128 .+.........!+..( + 8e5cf0 62a82b3c f02aacf0 0b80008a 218865db b.+<.*......!.e. + 8e5d00 10c1c40b 80008a21 0c020029 a1010599 .......!...).... + 8e5d10 020c0200 29a50193 21d10f0c 02008aa4 ....)...!....... + 8e5d20 63ff6a00 6c10040c 02001800 1828823b c.j.l........(.; + 8e5d30 c0a59320 0b8000d1 0f000000 6c100619 ... ........l... + 8e5d40 019c1a01 9b1b019a 1200189b 109a1128 ...............( + 8e5d50 227a9912 c0a00b80 00282272 c0a0db10 "z.......("r.... + 8e5d60 0b80001b 019d2822 7cc0a0b1 bc0b8000 ......("|....... + 8e5d70 c020d10f 6c100418 00181b01 9cdc2028 . ..l......... ( + 8e5d80 82778ab1 2bb0080b 8000d10f 6c100415 .w..+.......l... + 8e5d90 019cc0a1 b3282552 00c92122 0a000828 .....(%R..!"...( + 8e5da0 146d8906 8950b455 09220360 0001c020 .m...P.U.".`... + 8e5db0 032b0cc0 200ba239 d10f0000 6c10048a .+.. ..9....l... + 8e5dc0 308ca12b a1051601 9c2ea106 acbb0c02 0..+............ + 8e5dd0 002cb101 0c02008d b10c0200 24b100b8 .,..........$... + 8e5de0 b2ccdb0c 02008920 9960b422 60000200 ....... .`."`... + 8e5df0 89602fcc fca9d904 fc38c9c6 b3c80828 .`/......8.....( + 8e5e00 146d890d 0c02008a 200c0200 9a90b422 .m...... ......" + 8e5e10 b4998a30 89a0c895 da908990 659ff827 ...0........e..' + 8e5e20 a1060e77 0c07074f 27a50628 310489a1 ...w...O'..(1... + 8e5e30 b8750e88 0cb88f28 350425a5 0628a105 .u.....(5.%..(.. + 8e5e40 2f3504a9 88a8770c 02008fb1 0c02009f /5....w......... + 8e5e50 71ca48c0 810c0200 98706000 06c0930c q.H......p`..... + 8e5e60 02009970 180018dc 30288276 8a612b60 ...p....0(.v.a+` + 8e5e70 090b8000 cc43cc51 0b2000d1 0fadca0c .....C.Q. ...... + 8e5e80 02008b20 5bffc1d5 a00c0200 822165af ... [........!e. + 8e5e90 cbc0920c 02009970 63ffc800 6c1004c0 .......pc...l... + 8e5ea0 8013019e 93209824 9825982b 98269827 ..... .$.%.+.&.' + 8e5eb0 98289829 98229821 982a982c d10f0000 .(.).".!.*.,.... + 8e5ec0 6c100413 00182832 121a019f 0b800012 l.....(2........ + 8e5ed0 00370c02 002b2284 1a00e42c 2a000cbb .7...+"....,*... + 8e5ee0 020b0b4f 5bff8f28 323b2a3a e80b8000 ...O[..(2;*:.... + 8e5ef0 0c02002b 22841a00 e42cdaff 0cbb010b ...+"....,...... + 8e5f00 0b4f5bff 880c0200 1c01a02b 22841a00 .O[........+"... + 8e5f10 e40cbb02 0b0b4f5b ff832832 3b2a3ae8 ......O[..(2;*:. + 8e5f20 0b80000c 02001c01 a12b2284 1a00e40c .........+"..... + 8e5f30 bb010b0b 4f5bff7b 28323b2a 3ae80b80 ....O[.{(2;*:... + 8e5f40 000c0200 2b22861a 00e2c0c1 0cbb020b ....+".......... + 8e5f50 0b4f5bff 741a01a2 c1b55bff 7228323b .O[.t.....[.r(2; + 8e5f60 2a3ae80b 80001a01 a3c0b55b ff6e2832 *:.........[.n(2 + 8e5f70 3b2a3ae8 0b800028 32121a01 a40b8000 ;*:....(2....... + 8e5f80 d10f0000 6c100613 01a50c02 0088300c ....l.........0. + 8e5f90 02002815 000c0200 2211007f 2f130c02 ..(.....".../... + 8e5fa0 008a300c 02002a15 000c0200 2911007f ..0...*.....)... + 8e5fb0 97eb0c02 00221100 028247d1 0f000000 ....."....G..... + 8e5fc0 6c10041a 01a5c0c2 082b110c bb020b0b l........+...... + 8e5fd0 4f5bff54 d10f0000 6c10046a 313a0309 O[.T....l..j1:.. + 8e5fe0 40b136b2 34b03806 643b1601 a6041412 @.6.4.8.d;...... + 8e5ff0 c0306d4a 230c0200 8760a23a b4660707 .0mJ#....`.:.f.. + 8e6000 4f738901 cc9a27a4 01078b14 2ba40060 Os....'.....+..` + 8e6010 0004a23c 27c400b2 33c020d1 0f000000 ...<'...3. ..... + 8e6020 6c100416 00181a01 a7286212 db20dc30 l........(b.. .0 + 8e6030 0b80006a 3117c040 5bffd2d5 a0a24adb ...j1..@[.....J. + 8e6040 505bffe5 a454c0a0 5bffdd73 42e9dc30 P[...T..[..sB..0 + 8e6050 286212db 201a01a8 0b8000d1 0f000000 (b.. ........... + 8e6060 6c1004d5 206a3112 c76f220a 006d3a08 l... j1..o"..m:. + 8e6070 8450b455 064403a4 22d10fc0 20d10f00 .P.U.D.."... ... + 8e6080 6c10045b ffc01300 18d4a028 32121a01 l..[.......(2... + 8e6090 a9db400b 8000db40 da205bff cf283212 ..@....@. [..(2. + 8e60a0 1a01aa8b 220b8000 c0a08920 99255bff ...."...... .%[. + 8e60b0 c45bffb4 dba0bc2a 5bffc728 32121a01 .[.....*[..(2... + 8e60c0 ab8b230b 8000c0a0 5bffbd89 24659053 ..#.....[...$e.S + 8e60d0 c0408a20 8b215bff d25bffaa 8b218a20 .@. .![..[...!. + 8e60e0 0b2b145b ffdf8c23 7ac11728 32121a01 .+.[...#z..(2... + 8e60f0 ac0b8000 9424c0a1 5bffb189 24649fd1 .....$..[...$d.. + 8e6100 60002028 32121a01 ad0b8000 c0a2c091 `. (2........... + 8e6110 99245bff ab5bff9b 7da70828 32121a01 .$[..[..}..(2... + 8e6120 ae0b8000 c020d10f 6c100418 01b31901 ..... ..l....... + 8e6130 b21a01b1 1b01b01c 01af1301 b4932198 ..............!. + 8e6140 2599249a 239b229c 20d10f00 6c100412 %.$.#.". ...l... + 8e6150 01b5c050 c03a0224 026d3a03 9540b444 ...P.:.$.m:..@.D + 8e6160 d10f0000 6c1004d1 0f000000 6c100694 ....l.......l... + 8e6170 106a414a 1b01b516 0018c070 0b330a28 .jAJ.......p.3.( + 8e6180 62a10b80 002862a6 d2a00b80 00d4a028 b....(b........( + 8e6190 6252db50 c0a00b80 008c10b1 77254504 bR.P........w%E. + 8e61a0 9a41c0b0 2b45062b 45058930 94202b25 .A..+E.+E..0. +% + 8e61b0 04cc9592 3077c9c6 d10f9921 63fff3d1 ....0w.....!c... + 8e61c0 0f000000 6c100412 01b50233 0a8230c0 ....l......3..0. + 8e61d0 50c82f86 20882198 30952124 65052565 P./. .!.0.!$e.%e + 8e61e0 06252504 d10f0000 6c1004dc 40db30da .%%.....l...@.0. + 8e61f0 205bfff4 d2a0d10f 6c100412 01b50233 [......l......3 + 8e6200 0a8530c8 50954194 30d10f00 6c10046f ..0.P.A.0...l..o + 8e6210 2d2d1901 b6ca3bc0 a01601b8 1401b918 --....;......... + 8e6220 00061c01 b70e2511 09370cac 5ca85582 ......%..7..\.U. + 8e6230 c093c007 64399450 092b0c0b a238d10f ....d9.P.+...8.. + 8e6240 c020d10f c0a00997 0c1601b8 1800061c . .............. + 8e6250 01b70e25 111401b9 ac5ca855 82c099c0 ...%.....\.U.... + 8e6260 07643994 50092b0c 0ba238d1 0f000000 .d9.P.+...8..... + 8e6270 c0236000 02000000 95179218 12000803 .#`............. + 8e6280 b13002e6 16931092 11211c70 12000a01 .0.......!.p.... + 8e6290 02000324 02042409 6c100e0c 03300d06 ...$..$.l....0.. + 8e62a0 001d01b7 8f189c12 0c02300e 00309c19 ..........0..0.. + 8e62b0 0c01309e 1a9c1b0d fc0a8cc0 de1064c0 ..0...........d. + 8e62c0 020fc000 8d198e1a 8f1b0d02 310e0031 ............1..1 + 8e62d0 0f01318e 120c3600 0e033110 000c1d00 ..1...6...1..... + 8e62e0 0a0d0002 00d009d1 0f000000 024830b1 .............H0. + 8e62f0 22002004 03493003 02190033 1a6730bf ". ..I0....3.g0. + 8e6300 03835703 22020203 06023301 033f0400 ..W.".....3..?.. + 8e6310 31040202 19024931 02483022 2c1f0323 1.....I1.H0",..# + 8e6320 0c034831 01020002 49306420 7a7f2f08 ..H1....I0d z./. + 8e6330 7e2f197d 2f3a6000 8c239cf0 94309531 ~/.}/:`..#...0.1 + 8e6340 96329733 02161401 080463ff dc23dcf0 .2.3......c..#.. + 8e6350 94309531 96329733 235cf483 30233ce0 .0.1.2.3#\..0#<. + 8e6360 98309931 9a329b33 022a1402 080463ff .0.1.2.3.*....c. + 8e6370 b8010804 2ddcf090 d091d192 d293d323 ....-..........# + 8e6380 1cf48330 2ddc1023 3cd09430 95319632 ...0-..#<..0.1.2 + 8e6390 97339834 99359a36 9b370f08 04023e14 .3.4.5.6.7....>. + 8e63a0 03080463 ff830000 01080402 48300021 ...c........H0.! + 8e63b0 04c02100 221a0249 31010200 c020d00f ..!."..I1.... .. + 8e63c0 c021d00f 00000f22 11b12203 4830782f .!....."..".H0x/ + 8e63d0 0ab0330f 22117827 f7030342 00310408 ..3.".x'...B.1.. + 8e63e0 23100322 18020247 02493103 0330c220 #.."...G.I1..0. + 8e63f0 03230c03 48310102 00c022d0 0f000000 .#..H1...."..... + 8e6400 6c100216 01ba05e6 30d40006 5201b322 l.......0...R.." + 8e6410 02e63101 020053ff b5d04005 e6310102 ..1...S...@..1.. + 8e6420 00d10f00 6c100228 20002930 00c0a379 ....l..( .)0...y + 8e6430 8952032b 027ab053 032b037a b831b122 .R.+.z.S.+.z.1." + 8e6440 6480b7b1 337a2043 28200029 3000b122 d...3z C( .)0.." + 8e6450 79893164 80a4b133 7a203028 20002930 y.1d...3z 0( .)0 + 8e6460 00b12279 891e6480 91b13360 001d0000 .."y..d...3`.... + 8e6470 c0806d88 0f282000 293000b1 22798904 ..m..( .)0.."y.. + 8e6480 b133648f ff09820c d10f0000 1401bb17 .3d............. + 8e6490 01be6d48 29282200 2932000f 85117989 ..mH)(".)2....y. + 8e64a0 5e058902 779c2028 22012932 010f8511 ^...w. (".)2.... + 8e64b0 79894c05 8902779c 08222c08 233c0801 y.L...w..",.#<.. + 8e64c0 1102222c 04233c04 74802f15 01bc1601 ..",.#<.t./..... + 8e64d0 85758026 1701bd76 80207780 1db422b4 .u.&...v. w...". + 8e64e0 336d4816 88208930 b4227989 2374800a 3mH.. .0."y.#t.. + 8e64f0 75800776 80047780 01b433c0 20d10f00 u..v..w...3. ... + 8e6500 058a0277 ac0a798b 03c72fd1 0fc021d1 ...w..y.../...!. + 8e6510 0f098203 74281915 01bc7480 dd75280b ....t(....t..u(. + 8e6520 16018575 80d47628 027680ce 09820cd1 ...u..v(.v...... + 8e6530 0f088a57 098b570b a20cd10f 6c100202 ...W..W.....l... + 8e6540 2a021401 bb1501bc 16018527 0aff7f3f *..........'...? + 8e6550 0b7e3f17 c08378a0 2a600063 00283000 .~?...x.*`.c.(0. + 8e6560 b13328a4 00c988b1 aa7e37e7 28300028 .3(......~7.(0.( + 8e6570 a400c88b 283001b2 3328a401 b2aa658f ....(0..3(....e. + 8e6580 d2d10f00 c0806d88 138830b4 3374800f ......m...0.3t.. + 8e6590 75801476 801998a0 778001b4 aad10f00 u..v....w....... + 8e65a0 c08028a4 00d10f00 08085f28 a500d10f ..(......._(.... + 8e65b0 08085f28 a500c080 28a402d1 0f000000 .._(....(....... + 8e65c0 c0806d88 0c283000 b13328a4 00b1aa64 ..m..(0..3(....d + 8e65d0 8fffd10f 6c100223 2cfc1401 bb1501bc ....l..#,....... + 8e65e0 16018527 0aff7f2f 067e2f0d 60001c00 ...'.../.~/.`... + 8e65f0 283004b1 33ca887e 3711b233 88307680 (0..3..~7..3.0v. + 8e6600 2e778807 b3330232 0cd10f00 c0806d88 .w...3.2......m. + 8e6610 0f8831b4 3374800a 75800c76 80117780 ..1.3t..u..v..w. + 8e6620 ffb33302 320cd10f b1330232 0cd10f00 ..3.2....3.2.... + 8e6630 b2330232 0cd10f00 6c100464 407f0235 .3.2....l..d@..5 + 8e6640 02050541 64504027 20002830 00b04468 ...AdP@' .(0..Dh + 8e6650 40257879 226d0816 d640c944 c972b044 @%xy"m...@.D.r.D + 8e6660 b133b122 c9652720 00283000 77890863 .3.".e' .(0.w..c + 8e6670 ffe2c020 d10f0000 08720cd1 0f253000 ... .....r...%0. + 8e6680 22200005 220cd10f 6e44bb87 20863077 " .."...nD.. .0w + 8e6690 69b41901 bf1801c0 c7af6000 0687208b i.........`... . + 8e66a0 3077b9a2 244cfcc9 470a7d03 a97c0dcc 0w..$L..G.}..|.. + 8e66b0 017c880d b433b422 6f44e163 ff88c020 .|...3."oD.c... + 8e66c0 d10fc020 d10f0000 283000b1 3328a400 ... ....(0..3(.. + 8e66d0 b044ca47 b1aa6480 487e373d 283000b0 .D.G..d.H~7=(0.. + 8e66e0 4428a400 c945b1aa cb862830 01b23328 D(...E....(0..3( + 8e66f0 a400b044 c845b1aa cd8e6000 24d10f00 ...D.E....`.$... + 8e6700 6c1002da 20644ff4 1b01bb15 01bc1601 l... dO......... + 8e6710 85270aff 7f3fb07e 3fc1c083 78a05460 .'...?.~?...x.T` + 8e6720 00a5c090 7faf287e af326a44 13042812 ......(~.2jD..(. + 8e6730 d30f6d88 0399a0b4 aa0e8811 08440cc8 ..m..........D.. + 8e6740 4929a400 b0442aac 01654ff4 d10f0000 I)...D*..eO..... + 8e6750 29a400b0 44644ff3 b1aa7ea7 cc29a400 )...DdO...~..).. + 8e6760 b044644f e629a401 b044644f deb2aa63 .DdO.)...DdO...c + 8e6770 ffb70000 c0806d88 196a454b 8830b433 ......m..jEK.0.3 + 8e6780 7b801275 801d7680 2a98a024 4cfcb4aa {..u..v.*..$L... + 8e6790 77808e63 ff8bc080 28a400b0 44b1aa63 w..c....(...D..c + 8e67a0 ff7f0000 08085f28 a500244c feb2aa63 ......_(..$L...c + 8e67b0 ff6f0000 08085f28 a500c080 28a40224 .o...._(....(..$ + 8e67c0 4cfdb3aa 63ff5a00 c0806d88 10283000 L...c.Z...m..(0. + 8e67d0 b13328a4 00b044c8 46b1aa64 8fff63ff .3(...D.F..d..c. + 8e67e0 40d10f00 6c10020f 1400d10f 82148315 @...l........... + 8e67f0 211c7001 14000003 00000000 82518350 !.p..........Q.P + 8e6800 02e63182 54845601 020003b1 31835585 ..1.T.V.....1.U. + 8e6810 57000300 6c1002d1 0f000000 6c100202 W...l.......l... + 8e6820 ea30d10f 6c100265 200503f0 31000200 .0..l..e ...1... + 8e6830 d10f0000 6c1002cc 2302f030 d10fc020 ....l...#..0... + 8e6840 d10f0000 6c100202 e430d10f 6c100202 ....l....0..l... + 8e6850 e230d10f 6c100202 e431d10f 6c100203 .0..l....1..l... + 8e6860 27030216 06031306 6e323406 15147353 '.......n24...sS + 8e6870 3a056f04 043f0405 440c0041 0400331a :.o..?..D..A..3. + 8e6880 c0206d49 0d736304 03660cb1 220f2211 . mI.sc..f..".". + 8e6890 03131473 6301b122 02050607 523ad10f ...sc.."....R:.. + 8e68a0 c9350602 0607623b d10f0000 736310c0 .5....b;....sc.. + 8e68b0 21c74f07 423ad10f 00000000 44495630 !.O.B:......DIV0 + 8e68c0 c020d10f 6c100202 27020212 06031306 . ..l...'....... + 8e68d0 6e322c05 2f04043f 04745b14 05440c00 n2,./..?.t[..D.. + 8e68e0 41040033 1a6d4908 73230203 220c0313 A..3.mI.s#.."... + 8e68f0 14732302 03220c67 70020202 06d10f00 .s#..".gp....... + 8e6900 cc350000 00444956 30c020d1 0f000000 .5...DIV0. ..... + 8e6910 6c10026e 322fd620 056f0404 3f04745b l..n2/. .o..?.t[ + 8e6920 2a05440c 00410400 331a220a 006d490d *.D..A..3."..mI. + 8e6930 73630403 660cb122 0f221103 13147363 sc..f.."."....sc + 8e6940 02222c01 d10fc83b d10f0000 73630cc0 .",....;....sc.. + 8e6950 21d10f00 00000000 44495630 c020d10f !.......DIV0. .. + 8e6960 6c10026e 3229052f 04043f04 745b1605 l..n2)./..?.t[.. + 8e6970 440c0041 0400331a d30f6d49 08732302 D..A..3...mI.s#. + 8e6980 03220c03 13147323 0203220c d10f0000 ."....s#.."..... + 8e6990 cc350000 00444956 30c020d1 0f000000 .5...DIV0. ..... + 8e69a0 6c10046e 441b0235 02050541 cd520428 l..nD..5...A.R.( + 8e69b0 146d890d 8a308920 7a9906b4 22b43324 .m...0. z...".3$ + 8e69c0 4cfcd640 b0446840 116d690e 27300028 L..@.Dh@.mi.'0.( + 8e69d0 2000b122 b1337789 06b044c0 20d10f00 ..".3w...D. ... + 8e69e0 07820cd1 0f000000 006d4909 263000b1 .........mI.&0.. + 8e69f0 33265400 b155d10f 6e47ed26 3000b133 3&T..U..nG.&0..3 + 8e6a00 244cff26 5400255c 017e5727 6e46d926 $L.&T.%\.~W'nF.& + 8e6a10 30002730 01b23324 4cfe2654 00275401 0.'0..3$L.&T.'T. + 8e6a20 b2556000 0e000000 6c100202 25027f2f .U`.....l...%../ + 8e6a30 c67e2fd7 04471428 0a037838 5a6d7915 .~/..G.(..x8Zmy. + 8e6a40 86308731 96508632 97518733 9652233c .0.1.P.2.Q.3.R#< + 8e6a50 10975325 5c107c47 0b863087 31b83396 ..S%\.|G..0.1.3. + 8e6a60 509751b8 557d4f07 7e4f147f 4f21d10f P.Q.U}O.~O..O!.. + 8e6a70 8630b433 9650b455 7e4f047f 4f11d10f .0.3.P.U~O..O... + 8e6a80 263100b2 33265500 b2557f4f 02d10f00 &1..3&U..U.O.... + 8e6a90 26300026 5400d10f 644ffa00 3304083b &0.&T...dO..3..; + 8e6aa0 010b330c 86306d79 21873188 32076618 ..3..0my!.1.2.f. + 8e6ab0 96508933 08771897 51863409 88189852 .P.3.w..Q.4....R + 8e6ac0 233c1006 99189953 255c107c 47158731 #<.....S%\.|G..1 + 8e6ad0 88320766 189650b8 33087718 9751255c .2.f..P.3.w..Q%\ + 8e6ae0 08088602 7d470c87 31b43307 66189650 ....}G..1.3.f..P + 8e6af0 b455d670 ab337e4f 067f4f18 d10f0000 .U.p.3~O..O..... + 8e6b00 26300027 3001b233 26540027 5401b255 &0.'0..3&T.'T..U + 8e6b10 7f4f01d1 0f263000 265400d1 0f000000 .O...&0.&T...... + 8e6b20 6c1004d8 20d940da 40d730d3 20727b1b l... .@.@.0. r{. + 8e6b30 a742723b 16a84364 40a36d49 09b022b0 .Br;..Cd@.mI..". + 8e6b40 33242000 243400d2 80d10f00 6e9b0808 3$ .$4......n... + 8e6b50 7b020b0b 4164b089 b0ac69c0 0260007d {...Ad....i..`.} + 8e6b60 0a42120a 0d436dda 092e7000 2e3400b1 .B...Cm...p..4.. + 8e6b70 77b133d4 306d2a65 22700022 44002270 w.3.0m*e"p."D."p + 8e6b80 01224401 22700222 44022270 03224403 ."D."p."D."p."D. + 8e6b90 22700422 44042270 05224405 22700622 "p."D."p."D."p." + 8e6ba0 44062270 07224407 22700822 44082270 D."p."D."p."D."p + 8e6bb0 09224409 22700a22 440a2270 0b22440b ."D."p."D."p."D. + 8e6bc0 22700c22 440c2270 0d22440d 22700e22 "p."D."p."D."p." + 8e6bd0 440e2270 0f22440f 277c1024 4c10d280 D."p."D.'|.$L... + 8e6be0 d10fd380 6f9b0260 0082c0a1 269c0f09 ....o..`....&... + 8e6bf0 963b0646 120a6635 060f4106 64020422 .;.F..f5..A.d.." + 8e6c00 126dfa15 8d709d30 8c719c31 8b729b32 .m...p.0.q.1.r.2 + 8e6c10 8a739a33 277c1023 3c10d430 0c6a110a .s.3'|.#<..0.j.. + 8e6c20 9a0c6d2a 45827092 40827192 41827292 ..m*E.p.@.q.A.r. + 8e6c30 42827392 43827492 44827592 45827692 B.s.C.t.D.u.E.v. + 8e6c40 46827792 47827892 48827992 49827a92 F.w.G.x.H.y.I.z. + 8e6c50 4a827b92 4b827c92 4c827d92 4d827e92 J.{.K.|.L.}.M.~. + 8e6c60 4e827f92 4f277c40 244c40d3 40d6a06f N...O'|@$L@.@..o + 8e6c70 a40263fe e20a2b43 0a2914d4 906db907 ..c...+C.)...m.. + 8e6c80 8c709c30 b477b433 0e9a1104 42140334 .p.0.w.3....B..4 + 8e6c90 026d2945 82709240 82719241 82729242 .m)E.p.@.q.A.r.B + 8e6ca0 82739243 82749244 82759245 82769246 .s.C.t.D.u.E.v.F + 8e6cb0 82779247 82789248 82799249 827a924a .w.G.x.H.y.I.z.J + 8e6cc0 827b924b 827c924c 827d924d 827e924e .{.K.|.L.}.M.~.N + 8e6cd0 827f924f 277c4024 4c400a6a 0cd34063 ...O'|@$L@.j..@c + 8e6ce0 fe750000 006d4904 235400b1 55d10f00 .u...mI.#T..U... + 8e6cf0 6e48f123 5400b155 b0447e57 286e48e4 nH.#T..U.D~W(nH. + 8e6d00 235500b2 55244cfe 60001a00 6c100203 #U..U$L.`...l... + 8e6d10 03470837 11073302 00371107 3302d520 .G.7..3..7..3.. + 8e6d20 7f2fcc7e 2fd60447 146d790a 93509351 ./.~/..G.my..P.Q + 8e6d30 93529353 255c107c 47069350 9351255c .R.S%\.|G..P.Q%\ + 8e6d40 087d4703 9350b455 7e470423 5500b255 .}G..P.U~G.#U..U + 8e6d50 7f470223 5400d10f .G.#T... +Contents of section .debug_aranges: + 0000 0000001c 00020000 00000400 00000000 ................ + 0010 008e1000 0000002a 00000000 00000000 .......*........ + 0020 0000001c 00020000 00790400 00000000 .........y...... + 0030 008e0c20 00000006 00000000 00000000 ... ............ + 0040 0000001c 00020000 00f50400 00000000 ................ + 0050 008e0f20 00000006 00000000 00000000 ... ............ + 0060 0000001c 00020000 01720400 00000000 .........r...... + 0070 008e0d40 00000006 00000000 00000000 ...@............ + 0080 0000001c 00020000 01ef0400 00000000 ................ + 0090 008e0000 000000de 00000000 00000000 ................ + 00a0 0000001c 00020000 026b0400 00000000 .........k...... + 00b0 008e0e20 00000017 00000000 00000000 ... ............ + 00c0 0000001c 00020000 02e60400 00000000 ................ + 00d0 008e0ce4 00000003 00000000 00000000 ................ + 00e0 0000001c 00020000 03600400 00000000 .........`...... + 00f0 008e0800 0000016a 00000000 00000000 .......j........ + 0100 0000001c 00020000 03de0400 00000000 ................ + 0110 008e0a20 00000006 00000000 00000000 ... ............ + 0120 0000001c 00020000 04580400 00000000 .........X...... + 0130 008e0b20 00000006 00000000 00000000 ... ............ + 0140 0000001c 00020000 04d20400 00000000 ................ + 0150 008e102c 000000d7 00000000 00000000 ...,............ + 0160 0000001c 00020000 05540400 00000000 .........T...... + 0170 008e1104 0000006f 00000000 00000000 .......o........ + 0180 0000001c 00020000 05d70400 00000000 ................ + 0190 008e1174 0000006e 00000000 00000000 ...t...n........ + 01a0 0000001c 00020000 065c0400 00000000 .........\...... + 01b0 008e11e4 0000005c 00000000 00000000 .......\........ + 01c0 0000001c 00020000 0a0e0400 00000000 ................ + 01d0 008e1240 000000b1 00000000 00000000 ...@............ + 01e0 0000001c 00020000 0a930400 00000000 ................ + 01f0 008e12f4 000000ae 00000000 00000000 ................ + 0200 0000001c 00020000 0b180400 00000000 ................ + 0210 008e67e4 00000008 00000000 00000000 ..g............. + 0220 0000001c 00020000 0b970400 00000000 ................ + 0230 008e67ec 0000000d 00000000 00000000 ..g............. + 0240 0000001c 00020000 0c140400 00000000 ................ + 0250 008e67fc 00000018 00000000 00000000 ..g............. + 0260 0000001c 00020000 0c8e0400 00000000 ................ + 0270 008e13a4 00000826 00000000 00000000 .......&........ + 0280 0000001c 00020000 600a0400 00000000 ........`....... + 0290 008e1bcc 00000078 00000000 00000000 .......x........ + 02a0 0000001c 00020000 a8820400 00000000 ................ + 02b0 008e1c44 000000f2 00000000 00000000 ...D............ + 02c0 0000001c 00020000 f3df0400 00000000 ................ + 02d0 008e1d38 000003e5 00000000 00000000 ...8............ + 02e0 0000001c 00020001 3de70400 00000000 ........=....... + 02f0 008e2120 0000018e 00000000 00000000 ..! ............ + 0300 0000001c 00020001 88d00400 00000000 ................ + 0310 008e22b0 00000026 00000000 00000000 .."....&........ + 0320 0000001c 00020001 d01d0400 00000000 ................ + 0330 008e22d8 00000175 00000000 00000000 .."....u........ + 0340 0000001c 00020002 1bba0400 00000000 ................ + 0350 008e2450 00000513 00000000 00000000 ..$P............ + 0360 0000001c 00020002 66210400 00000000 ........f!...... + 0370 008e2964 00000155 00000000 00000000 ..)d...U........ + 0380 0000001c 00020002 afb60400 00000000 ................ + 0390 008e2abc 0000002b 00000000 00000000 ..*....+........ + 03a0 0000001c 00020002 f6e20400 00000000 ................ + 03b0 008e2ae8 000000b2 00000000 00000000 ..*............. + 03c0 0000001c 00020003 3f930400 00000000 ........?....... + 03d0 008e2b9c 000000de 00000000 00000000 ..+............. + 03e0 0000001c 00020003 89060400 00000000 ................ + 03f0 008e2c7c 00000114 00000000 00000000 ..,|............ + 0400 0000001c 00020003 d2490400 00000000 .........I...... + 0410 008e2d90 0000019b 00000000 00000000 ..-............. + 0420 0000001c 00020004 1bf60400 00000000 ................ + 0430 008e2f2c 0000041a 00000000 00000000 ../,............ + 0440 0000001c 00020004 69e20400 00000000 ........i....... + 0450 008e3348 000004d4 00000000 00000000 ..3H............ + 0460 0000001c 00020004 b9550400 00000000 .........U...... + 0470 008e381c 00000f9d 00000000 00000000 ..8............. + 0480 0000001c 00020005 10430400 00000000 .........C...... + 0490 008e47bc 000003b3 00000000 00000000 ..G............. + 04a0 0000001c 00020005 59d90400 00000000 ........Y....... + 04b0 008e4b70 00000243 00000000 00000000 ..Kp...C........ + 04c0 0000001c 00020005 a7030400 00000000 ................ + 04d0 008e4db4 00000084 00000000 00000000 ..M............. + 04e0 0000001c 00020005 eee00400 00000000 ................ + 04f0 008e4e38 000000b5 00000000 00000000 ..N8............ + 0500 0000001c 00020006 37230400 00000000 ........7#...... + 0510 008e4ef0 000008ef 00000000 00000000 ..N............. + 0520 0000001c 00020006 8fc30400 00000000 ................ + 0530 008e57e0 000003d3 00000000 00000000 ..W............. + 0540 0000001c 00020006 dbf20400 00000000 ................ + 0550 008e5bb4 0000016f 00000000 00000000 ..[....o........ + 0560 0000001c 00020007 2c9a0400 00000000 ........,....... + 0570 008e5d24 00000404 00000000 00000000 ..]$............ + 0580 0000001c 00020007 7ac00400 00000000 ........z....... + 0590 008e6128 000000e3 00000000 00000000 ..a(............ + 05a0 0000001c 00020007 c4af0400 00000000 ................ + 05b0 008e620c 00000061 00000000 00000000 ..b....a........ + 05c0 0000001c 00020007 c79e0400 00000000 ................ + 05d0 008e6814 00000005 00000000 00000000 ..h............. + 05e0 0000001c 00020007 c8170400 00000000 ................ + 05f0 008e6270 00000079 00000000 00000000 ..bp...y........ + 0600 0000001c 00020007 c89c0400 00000000 ................ + 0610 008e681c 00000026 00000000 00000000 ..h....&........ + 0620 0000001c 00020007 d5850400 00000000 ................ + 0630 008e62ec 00000137 00000000 00000000 ..b....7........ + 0640 0000001c 00020007 d6580400 00000000 .........X...... + 0650 008e6844 00000008 00000000 00000000 ..hD............ + 0660 0000001c 00020007 d7230400 00000000 .........#...... + 0670 008e684c 00000008 00000000 00000000 ..hL............ + 0680 0000001c 00020007 d7ee0400 00000000 ................ + 0690 008e6854 00000008 00000000 00000000 ..hT............ + 06a0 0000001c 00020007 dae20400 00000000 ................ + 06b0 008e685c 00000068 00000000 00000000 ..h\...h........ + 06c0 0000001c 00020007 dbd60400 00000000 ................ + 06d0 008e68c4 00000049 00000000 00000000 ..h....I........ + 06e0 0000001c 00020007 dcca0400 00000000 ................ + 06f0 008e6910 00000050 00000000 00000000 ..i....P........ + 0700 0000001c 00020007 ddbe0400 00000000 ................ + 0710 008e6960 0000003d 00000000 00000000 ..i`...=........ + 0720 0000001c 00020007 deb20400 00000000 ................ + 0730 008e69a0 00000045 00000000 00000000 ..i....E........ + 0740 0000001c 00020007 e9f20400 00000000 ................ + 0750 008e69e8 00000135 00000000 00000000 ..i....5........ + 0760 0000001c 00020007 eaff0400 00000000 ................ + 0770 008e6b20 000001c2 00000000 00000000 ..k ............ + 0780 0000001c 00020007 f6800400 00000000 ................ + 0790 008e6ce4 00000074 00000000 00000000 ..l....t........ + 07a0 0000001c 00020007 f78d0400 00000000 ................ + 07b0 008e6424 00000118 00000000 00000000 ..d$............ + 07c0 0000001c 00020007 f89a0400 00000000 ................ + 07d0 008e653c 00000098 00000000 00000000 ..e<............ + 07e0 0000001c 00020007 f9a70400 00000000 ................ + 07f0 008e65d4 00000063 00000000 00000000 ..e....c........ + 0800 0000001c 00020007 fab40400 00000000 ................ + 0810 008e6638 0000008e 00000000 00000000 ..f8............ + 0820 0000001c 00020008 05dd0400 00000000 ................ + 0830 008e66c8 0000011b 00000000 00000000 ..f............. +Contents of section .debug_pubnames: + 0000 00000052 00020000 065c0000 00000000 ...R.....\...... + 0010 02f15f78 746f735f 7365745f 696e7465 .._xtos_set_inte + 0020 72727570 745f6861 6e646c65 725f6172 rrupt_handler_ar + 0030 67000000 03655f78 746f735f 7365745f g....e_xtos_set_ + 0040 696e7465 72727570 745f6861 6e646c65 interrupt_handle + 0050 72000000 00000000 01c50002 00000c8e r............... + 0060 00000000 000049bc 5f726f6d 5f73746f ......I._rom_sto + 0070 72655f74 61626c65 0000004a ca626173 re_table...J.bas + 0080 69635f52 4f4d5f6d 6f64756c 655f7461 ic_ROM_module_ta + 0090 626c6500 00004d32 706f7374 0000004d ble...M2post...M + 00a0 704d6167 7069655f 66617461 6c5f6578 pMagpie_fatal_ex + 00b0 63657074 696f6e5f 68616e64 6c657200 ception_handler. + 00c0 00004e1a 6174686f 735f626c 6f636b5f ..N.athos_block_ + 00d0 616c6c5f 696e7472 6c766c00 00004e58 all_intrlvl...NX + 00e0 6174686f 735f756e 626c6f63 6b5f616c athos_unblock_al + 00f0 6c5f696e 74726c76 6c000000 4e946174 l_intrlvl...N.at + 0100 686f735f 72657374 6f72655f 696e7472 hos_restore_intr + 0110 6c766c00 00004fd8 67656e65 7269635f lvl...O.generic_ + 0120 6869665f 6d6f6475 6c655f69 6e737461 hif_module_insta + 0130 6c6c0000 00502861 74686f73 5f696e64 ll...P(athos_ind + 0140 69726563 74696f6e 5f746162 6c655f69 irection_table_i + 0150 6e737461 6c6c0000 00507261 74686f73 nstall...Prathos + 0160 5f696e74 65727275 70745f68 616e646c _interrupt_handl + 0170 65720000 0050cd61 74686f73 5f696e74 er...P.athos_int + 0180 65727275 70745f69 6e697400 00005103 errupt_init...Q. + 0190 6174686f 735f696e 69740000 0051365f athos_init...Q6_ + 01a0 72656164 5f757362 5f646573 63000000 read_usb_desc... + 01b0 51ab7265 61645f75 73625f63 6f6e6600 Q.read_usb_conf. + 01c0 000051e5 7365745f 7063695f 636f6e66 ..Q.set_pci_conf + 01d0 00000052 4e626f6f 746c6f61 64000000 ...RNbootload... + 01e0 526b7063 695f676d 61635f62 6f6f746c Rkpci_gmac_bootl + 01f0 6f616400 00005291 7475726e 5f6f6666 oad...R.turn_off + 0200 5f726300 000052b1 626f6f74 656e7472 _rc...R.bootentr + 0210 79000000 535b6d61 696e0000 00000000 y...S[main...... + 0220 0000ab00 02000060 0a000000 00000046 .......`.......F + 0230 c3616c6c 6f637261 6d5f6375 7272656e .allocram_curren + 0240 745f6164 64720000 0046e561 6c6c6f63 t_addr...F.alloc + 0250 72616d5f 72656d61 696e696e 675f6279 ram_remaining_by + 0260 74657300 0000474f 636d6e6f 735f616c tes...GOcmnos_al + 0270 6c6f6372 616d5f69 6e697400 000047b3 locram_init...G. + 0280 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 0290 00480d63 6d6e6f73 5f616c6c 6f637261 .H.cmnos_allocra + 02a0 6d5f6465 62756700 00004836 636d6e6f m_debug...H6cmno + 02b0 735f616c 6c6f6372 616d5f6d 6f64756c s_allocram_modul + 02c0 655f696e 7374616c 6c000000 00000000 e_install....... + 02d0 01990002 0000a882 00000000 000046ba ..............F. + 02e0 63746963 6b730000 0046cd63 7572725f cticks...F.curr_ + 02f0 62616e64 00000048 17636d6e 6f735f63 band...H.cmnos_c + 0300 6c6f636b 696e675f 7461626c 65000000 locking_table... + 0310 483f636c 6f636b5f 696e666f 00000048 H?clock_info...H + 0320 56636d6e 6f735f73 6b69705f 706c6c5f Vcmnos_skip_pll_ + 0330 696e6974 00000048 76706c6c 5f637472 init...Hvpll_ctr + 0340 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. + 0350 00004899 706c6c5f 6374726c 5f736574 ..H.pll_ctrl_set + 0360 74696e67 5f356768 7a000000 48d0636d ting_5ghz...H.cm + 0370 6e6f735f 64656c61 795f7573 00000049 nos_delay_us...I + 0380 30636d6e 6f735f6d 696c6c69 7365636f 0cmnos_milliseco + 0390 6e647300 0000495b 636d6e6f 735f7265 nds...I[cmnos_re + 03a0 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 03b0 00498a63 6d6e6f73 5f756172 745f6672 .I.cmnos_uart_fr + 03c0 65717565 6e637900 000049b7 636d6e6f equency...I.cmno + 03d0 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. + 03e0 000049df 636d6e6f 735f636c 6f636b72 ..I.cmnos_clockr + 03f0 6567735f 696e6974 0000004a 08636d6e egs_init...J.cmn + 0400 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set + 0410 0000004a 49636d6e 6f735f70 6c6c5f69 ...JIcmnos_pll_i + 0420 6e697400 00004a6c 636d6e6f 735f636c nit...Jlcmnos_cl + 0430 6f636b5f 696e6974 0000004a c1636d6e ock_init...J.cmn + 0440 6f735f74 69636b00 00004b1c 636d6e6f os_tick...K.cmno + 0450 735f636c 6f636b5f 6d6f6475 6c655f69 s_clock_module_i + 0460 6e737461 6c6c0000 00000000 0000d100 nstall.......... + 0470 020000f3 df000000 00000046 d0656570 ...........F.eep + 0480 5f737461 74650000 0046e665 65705f65 _state...F.eep_e + 0490 78697374 00000047 48636d6e 6f735f65 xist...GHcmnos_e + 04a0 6570726f 6d5f7772 6974655f 68776f72 eprom_write_hwor + 04b0 64000000 479c636d 6e6f735f 65657072 d...G.cmnos_eepr + 04c0 6f6d5f72 6561645f 68776f72 64000000 om_read_hword... + 04d0 47fe636d 6e6f735f 6565705f 69735f65 G.cmnos_eep_is_e + 04e0 78697374 00000048 39636d6e 6f735f65 xist...H9cmnos_e + 04f0 65705f77 72697465 00000048 dc636d6e ep_write...H.cmn + 0500 6f735f65 65705f72 65616400 00004977 os_eep_read...Iw + 0510 636d6e6f 735f6565 705f696e 69740000 cmnos_eep_init.. + 0520 0049c963 6d6e6f73 5f656570 5f6d6f64 .I.cmnos_eep_mod + 0530 756c655f 696e7374 616c6c00 00000000 ule_install..... + 0540 000000ed 00020001 3de70000 00000000 ........=....... + 0550 487a636d 6e6f735f 6973725f 696e666f Hzcmnos_isr_info + 0560 00000048 95636d6e 6f735f65 6e61626c ...H.cmnos_enabl + 0570 65645f69 6e746572 72757074 73000000 ed_interrupts... + 0580 48d4636d 6e6f735f 696e7472 5f64756d H.cmnos_intr_dum + 0590 6d790000 00491163 6d6e6f73 5f696e74 my...I.cmnos_int + 05a0 725f696e 69740000 00494163 6d6e6f73 r_init...IAcmnos + 05b0 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. + 05c0 000049a1 636d6e6f 735f696e 74725f75 ..I.cmnos_intr_u + 05d0 6e6d6173 6b5f696e 756d0000 004a0563 nmask_inum...J.c + 05e0 6d6e6f73 5f696e74 725f6174 74616368 mnos_intr_attach + 05f0 5f697372 0000004a 6a636d6e 6f735f69 _isr...Jjcmnos_i + 0600 6e74725f 696e766f 6b655f69 73720000 ntr_invoke_isr.. + 0610 004aab63 6d6e6f73 5f696e74 725f6d6f .J.cmnos_intr_mo + 0620 64756c65 5f696e73 74616c6c 00000000 dule_install.... + 0630 00000000 3e000200 0188d000 00000000 ....>........... + 0640 0046ed63 6d6e6f73 5f6d656d 5f696e69 .F.cmnos_mem_ini + 0650 74000000 4710636d 6e6f735f 6d656d5f t...G.cmnos_mem_ + 0660 6d6f6475 6c655f69 6e737461 6c6c0000 module_install.. + 0670 00000000 00013600 020001d0 1d000000 ......6......... + 0680 00000048 56617373 6c6f6f70 00000048 ...HVassloop...H + 0690 6a617373 7072696e 74000000 48936375 jassprint...H.cu + 06a0 7272656e 745f6475 6d700000 00493663 rrent_dump...I6c + 06b0 6d6e6f73 5f737973 74656d5f 72657365 mnos_system_rese + 06c0 74000000 495d636d 6e6f735f 6d61635f t...I]cmnos_mac_ + 06d0 72657365 74000000 4981636d 6e6f735f reset...I.cmnos_ + 06e0 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 06f0 68616e64 6c657200 000049c6 636d6e6f handler...I.cmno + 0700 735f6173 73666169 6c000000 4a11636d s_assfail...J.cm + 0710 6e6f735f 7265706f 72745f66 61696c75 nos_report_failu + 0720 72655f74 6f5f686f 73740000 004a6263 re_to_host...Jbc + 0730 6d6e6f73 5f746172 6765745f 69645f67 mnos_target_id_g + 0740 65740000 004a8e63 6d6e6f73 5f676574 et...J.cmnos_get + 0750 5f6b6268 69740000 004ae963 6d6e6f73 _kbhit...J.cmnos + 0760 5f69735f 686f7374 5f707265 73656e74 _is_host_present + 0770 0000004b 31636d6e 6f735f72 6f6d5f76 ...K1cmnos_rom_v + 0780 65727369 6f6e5f67 65740000 004b5f63 ersion_get...K_c + 0790 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module + 07a0 5f696e73 74616c6c 00000000 00000000 _install........ + 07b0 74000200 021bba00 00000000 0046c65f t............F._ + 07c0 70757463 00000047 78636d6e 6f735f77 putc...Gxcmnos_w + 07d0 72697465 5f636861 72000000 49b3636d rite_char...I.cm + 07e0 6e6f735f 7072696e 74660000 0049fe63 nos_printf...I.c + 07f0 6d6e6f73 5f707269 6e74665f 696e6974 mnos_printf_init + 0800 0000004a 25636d6e 6f735f70 72696e74 ...J%cmnos_print + 0810 665f6d6f 64756c65 5f696e73 74616c6c f_module_install + 0820 00000000 00000000 c8000200 02662100 .............f!. + 0830 00000000 0046c370 61746368 5f737461 .....F.patch_sta + 0840 72740000 0046db70 61746368 5f616464 rt...F.patch_add + 0850 72000000 476c5f70 61746368 5f64756d r...Gl_patch_dum + 0860 70000000 47a45f72 6561645f 726f6d5f p...G._read_rom_ + 0870 70617463 68000000 4806636d 6e6f735f patch...H.cmnos_ + 0880 726f6d70 5f646563 6f646500 00004889 romp_decode...H. + 0890 636d6e6f 735f726f 6d705f69 6e737461 cmnos_romp_insta + 08a0 6c6c0000 0048b463 6d6e6f73 5f726f6d ll...H.cmnos_rom + 08b0 705f646f 776e6c6f 61640000 00493363 p_download...I3c + 08c0 6d6e6f73 5f726f6d 705f696e 69740000 mnos_romp_init.. + 08d0 00495763 6d6e6f73 5f726f6d 705f6d6f .IWcmnos_romp_mo + 08e0 64756c65 5f696e73 74616c6c 00000000 dule_install.... + 08f0 00000000 44000200 02afb600 00000000 ....D........... + 0900 0046c663 6d6e6f73 5f737472 696e675f .F.cmnos_string_ + 0910 696e6974 00000046 ec636d6e 6f735f73 init...F.cmnos_s + 0920 7472696e 675f6d6f 64756c65 5f696e73 tring_module_ins + 0930 74616c6c 00000000 00000000 ad000200 tall............ + 0940 02f6e200 00000000 00472663 6d6e6f73 .........G&cmnos + 0950 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 0960 474d636d 6e6f735f 7461736b 6c65745f GMcmnos_tasklet_ + 0970 696e6974 5f746173 6b000000 47a8636d init_task...G.cm + 0980 6e6f735f 7461736b 6c65745f 73636865 nos_tasklet_sche + 0990 64756c65 00000047 e9636d6e 6f735f74 dule...G.cmnos_t + 09a0 61736b6c 65745f64 69736162 6c650000 asklet_disable.. + 09b0 00483c63 6d6e6f73 5f746173 6b6c6574 .H__pci_get + 0cd0 5f72785f 656e6700 0000487a 5f5f7063 _rx_eng...Hz__pc + 0ce0 695f656e 61626c65 00000048 ac5f5f70 i_enable...H.__p + 0cf0 63695f72 65736574 00000048 e05f5f70 ci_reset...H.__p + 0d00 63695f62 6f6f745f 696e6974 00000049 ci_boot_init...I + 0d10 045f5f70 63695f69 6e697400 0000493d .__pci_init...I= + 0d20 5f5f7063 695f6366 675f7069 70650000 __pci_cfg_pipe.. + 0d30 0049a95f 5f706369 5f737461 72740000 .I.__pci_start.. + 0d40 0049dd5f 5f706369 5f726567 5f63616c .I.__pci_reg_cal + 0d50 6c626163 6b000000 4a2d5f5f 7063695f lback...J-__pci_ + 0d60 72656170 5f786d69 74746564 0000004a reap_xmitted...J + 0d70 8c5f5f70 63695f72 6561705f 72656376 .__pci_reap_recv + 0d80 0000004a de5f5f70 63695f69 73725f68 ...J.__pci_isr_h + 0d90 616e646c 65720000 004b2a5f 5f706369 andler...K*__pci + 0da0 5f786d69 745f6275 66000000 4b8c5f5f _xmit_buf...K.__ + 0db0 7063695f 72657475 726e5f72 65637600 pci_return_recv. + 0dc0 00004bec 5f5f7063 695f6973 5f706970 ..K.__pci_is_pip + 0dd0 655f7375 70706f72 74656400 00004c3f e_supported...L? + 0de0 5f5f7063 695f6765 745f6d61 785f6d73 __pci_get_max_ms + 0df0 675f6c65 6e000000 4c905f5f 7063695f g_len...L.__pci_ + 0e00 6765745f 72657365 72766564 5f686561 get_reserved_hea + 0e10 64726f6f 6d000000 4cd85f5f 7063695f droom...L.__pci_ + 0e20 73687574 646f776e 0000004d 0f5f5f70 shutdown...M.__p + 0e30 63695f67 65745f64 65665f70 69706500 ci_get_def_pipe. + 0e40 00004d71 6869665f 7063695f 6d6f6475 ..Mqhif_pci_modu + 0e50 6c655f69 6e737461 6c6c0000 004db168 le_install...M.h + 0e60 69665f70 63695f61 70695f69 6e737461 if_pci_api_insta + 0e70 6c6c0000 00000000 0001ad00 02000469 ll.............i + 0e80 e2000000 00000047 6e675f68 69665553 .......Gng_hifUS + 0e90 42437478 00000049 7d5f4849 46757362 BCtx...I}_HIFusb + 0ea0 5f696e69 74000000 49c85f48 49467573 _init...I._HIFus + 0eb0 625f7368 7574646f 776e0000 004a025f b_shutdown...J._ + 0ec0 48494675 73625f72 65676973 7465725f HIFusb_register_ + 0ed0 63616c6c 6261636b 0000004a 565f4849 callback...JV_HI + 0ee0 46757362 5f737461 72740000 004b4c5f Fusb_start...KL_ + 0ef0 48494675 73625f63 6f6e6669 675f7069 HIFusb_config_pi + 0f00 70650000 004bd35f 48494675 73625f73 pe...K._HIFusb_s + 0f10 656e645f 62756666 65720000 004c345f end_buffer...L4_ + 0f20 48494675 73625f72 65747572 6e5f7265 HIFusb_return_re + 0f30 63765f62 75660000 004c9b5f 48494675 cv_buf...L._HIFu + 0f40 73625f73 65745f72 6563765f 62756673 sb_set_recv_bufs + 0f50 7a000000 4cfc5f48 49467573 625f7061 z...L._HIFusb_pa + 0f60 7573655f 72656376 0000004d 495f4849 use_recv...MI_HI + 0f70 46757362 5f726573 756d655f 72656376 Fusb_resume_recv + 0f80 0000004d 975f4849 46757362 5f69735f ...M._HIFusb_is_ + 0f90 70697065 5f737570 706f7274 65640000 pipe_supported.. + 0fa0 004def5f 48494675 73625f67 65745f6d .M._HIFusb_get_m + 0fb0 61785f6d 73675f6c 656e0000 004e455f ax_msg_len...NE_ + 0fc0 48494675 73625f69 73725f68 616e646c HIFusb_isr_handl + 0fd0 65720000 004e955f 48494675 73625f67 er...N._HIFusb_g + 0fe0 65745f64 65666175 6c745f70 69706500 et_default_pipe. + 0ff0 00004f07 6869665f 7573625f 6d6f6475 ..O.hif_usb_modu + 1000 6c655f69 6e737461 6c6c0000 004f4748 le_install...OGH + 1010 49467573 625f4465 73635472 61636544 IFusb_DescTraceD + 1020 756d7000 00000000 0000020e 00020004 ump............. + 1030 b9550000 00000000 473c436f 6e74726f .U......G.. + 04a50 61646472 6573734c 0000001c f0022300 addressL......#. + 04a60 08616464 72657373 48000000 1cf00223 .addressH......# + 04a70 02087661 6c75654c 0000001c f0022304 ..valueL......#. + 04a80 0876616c 75654800 00001cf0 02230600 .valueH......#.. + 04a90 09574d49 5f415654 0000003d bb0e0000 .WMI_AVT...=.... + 04aa0 3e020800 003e1c0f 0000110c 00003e53 >....>........>S + 04ab0 08747570 6c654e75 6d4c0000 001cf002 .tupleNumL...... + 04ac0 23000874 75706c65 4e756d48 0000001c #..tupleNumH.... + 04ad0 f0022302 08617674 0000003e 0f022304 ..#..avt...>..#. + 04ae0 00110100 003e7508 62656163 6f6e5065 .....>u.beaconPe + 04af0 6e64696e 67436f75 6e740000 0016c802 ndingCount...... + 04b00 23000007 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON + 04b10 46494700 1000003e de084874 6348616e FIG....>..HtcHan + 04b20 646c6500 00003a71 02230008 506f6f6c dle...:q.#..Pool + 04b30 48616e64 6c650000 00369102 2304084d Handle...6..#..M + 04b40 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 04b50 00010802 2308084d 61784576 656e7445 ....#..MaxEventE + 04b60 76747300 00000108 02230c00 02010300 vts......#...... + 04b70 003ede04 0009574d 495f434d 445f4841 .>....WMI_CMD_HA + 04b80 4e444c45 52000000 3ee0075f 574d495f NDLER...>.._WMI_ + 04b90 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 04ba0 00003f47 0870436d 6448616e 646c6572 ..?G.pCmdHandler + 04bb0 0000003e e7022300 08436d64 49440000 ...>..#..CmdID.. + 04bc0 00133602 23040846 6c616773 00000013 ..6.#..Flags.... + 04bd0 36022306 00075f57 4d495f44 49535041 6.#..._WMI_DISPA + 04be0 5443485f 5441424c 45001000 003fa808 TCH_TABLE....?.. + 04bf0 704e6578 74000000 3fa80223 00087043 pNext...?..#..pC + 04c00 6f6e7465 78740000 0003fd02 2304084e ontext......#..N + 04c10 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 04c20 00010802 23080870 5461626c 65000000 ....#..pTable... + 04c30 3fc70223 0c000300 003f4704 0009574d ?..#.....?G...WM + 04c40 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 04c50 0000003e fc030000 3faf0400 0300003f ...>....?......? + 04c60 47040009 4854435f 4255465f 434f4e54 G...HTC_BUF_CONT + 04c70 45585400 00003a35 0c574d49 5f455654 EXT...:5.WMI_EVT + 04c80 5f434c41 53530004 0000405f 18574d49 _CLASS....@_.WMI + 04c90 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 04ca0 ffffffff 0d574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 04cb0 53535f43 4d445f45 56454e54 00000d57 SS_CMD_EVENT...W + 04cc0 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 04cd0 5f524550 4c590001 0d574d49 5f455654 _REPLY...WMI_EVT + 04ce0 5f434c41 53535f4d 41580002 0009574d _CLASS_MAX....WM + 04cf0 495f4556 545f434c 41535300 00003fea I_EVT_CLASS...?. + 04d00 075f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 04d10 54000c00 0040bd08 48746342 75664374 T....@..HtcBufCt + 04d20 78000000 3fd50223 00084576 656e7443 x...?..#..EventC + 04d30 6c617373 00000040 5f022304 08466c61 lass...@_.#..Fla + 04d40 67730000 00133602 23080009 776d695f gs....6.#...wmi_ + 04d50 68616e64 6c655f74 00000003 fd09574d handle_t......WM + 04d60 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> + 04d70 75030000 40cf0400 06000040 bd010300 u...@......@.... + 04d80 0040ea04 0009574d 495f4449 53504154 .@....WMI_DISPAT + 04d90 43485f54 41424c45 0000003f 47030000 CH_TABLE...?G... + 04da0 40f70400 02010300 00411604 00060000 @........A...... + 04db0 268d0103 0000411f 04000201 03000041 &.....A........A + 04dc0 2c040006 00000108 01030000 41350400 ,...........A5.. + 04dd0 02010300 00414204 00060000 13220103 .....AB......".. + 04de0 0000414b 0400075f 776d695f 7376635f ..AK..._wmi_svc_ + 04df0 61706973 002c0000 4293085f 574d495f apis.,..B.._WMI_ + 04e00 496e6974 00000040 f0022300 085f574d Init...@..#.._WM + 04e10 495f5265 67697374 65724469 73706174 I_RegisterDispat + 04e20 63685461 626c6500 00004118 02230408 chTable...A..#.. + 04e30 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 04e40 00004125 02230808 5f574d49 5f53656e ..A%.#.._WMI_Sen + 04e50 64457665 6e740000 00412e02 230c085f dEvent...A..#.._ + 04e60 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 04e70 656e7473 436f756e 74000000 413b0223 entsCount...A;.# + 04e80 10085f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 04e90 65746548 616e646c 65720000 0039a202 eteHandler...9.. + 04ea0 2314085f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 04eb0 6f6c4570 00000041 3b022318 085f574d olEp...A;.#.._WM + 04ec0 495f5368 7574646f 776e0000 00414402 I_Shutdown...AD. + 04ed0 231c085f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 04ee0 61676548 616e646c 65720000 00399902 ageHandler...9.. + 04ef0 2320085f 574d495f 53657276 69636543 # ._WMI_ServiceC + 04f00 6f6e6e65 63740000 00415102 23240870 onnect...AQ.#$.p + 04f10 52657365 72766564 00000003 fd022328 Reserved......#( + 04f20 00077a73 446d6144 65736300 14000043 ..zsDmaDesc....C + 04f30 15086374 726c0000 00016c02 23000873 ..ctrl....l.#..s + 04f40 74617475 73000000 016c0223 0208746f tatus....l.#..to + 04f50 74616c4c 656e0000 00016c02 23040864 talLen....l.#..d + 04f60 61746153 697a6500 0000016c 02230608 ataSize....l.#.. + 04f70 6c617374 41646472 00000043 15022308 lastAddr...C..#. + 04f80 08646174 61416464 72000000 01900223 .dataAddr......# + 04f90 0c086e65 78744164 64720000 00431502 ..nextAddr...C.. + 04fa0 23100003 00004293 04000300 00429304 #.....B......B.. + 04fb0 00077a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 04fc0 43550868 65616400 0000431c 02230008 CU.head...C..#.. + 04fd0 7465726d 696e6174 6f720000 00431c02 terminator...C.. + 04fe0 23040007 7a735478 446d6151 75657565 #...zsTxDmaQueue + 04ff0 00100000 43b90868 65616400 0000431c ....C..head...C. + 05000 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 05010 00431c02 23040878 6d697465 645f6275 .C..#..xmited_bu + 05020 665f6865 61640000 00148102 23080878 f_head......#..x + 05030 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 05040 00148102 230c0002 01030000 43b90400 ....#.......C... + 05050 03000043 23040002 01030000 43c90400 ...C#.......C... + 05060 03000043 55040002 01030000 43d90400 ...CU.......C... + 05070 02010300 0043e204 00020103 000043eb .....C........C. + 05080 04000600 00148101 03000043 f4040002 ...........C.... + 05090 01030000 44010400 06000014 81010300 ....D........... + 050a0 00440a04 00020103 00004417 04000600 .D........D..... + 050b0 00010801 03000044 20040006 0000431c .......D .....C. + 050c0 01030000 442d0400 02010300 00443a04 ....D-.......D:. + 050d0 0007646d 615f656e 67696e65 5f617069 ..dma_engine_api + 050e0 00400000 45b0085f 696e6974 00000043 .@..E.._init...C + 050f0 bb022300 085f696e 69745f72 785f7175 ..#.._init_rx_qu + 05100 65756500 000043cb 02230408 5f696e69 eue...C..#.._ini + 05110 745f7478 5f717565 75650000 0043db02 t_tx_queue...C.. + 05120 2308085f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 05130 65756500 000043e4 02230c08 5f786d69 eue...C..#.._xmi + 05140 745f6275 66000000 43ed0223 10085f66 t_buf...C..#.._f + 05150 6c757368 5f786d69 74000000 43cb0223 lush_xmit...C..# + 05160 14085f72 6561705f 72656376 5f627566 .._reap_recv_buf + 05170 00000043 fa022318 085f7265 7475726e ...C..#.._return + 05180 5f726563 765f6275 66000000 44030223 _recv_buf...D..# + 05190 1c085f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 051a0 75660000 00441002 2320085f 73776170 uf...D..# ._swap + 051b0 5f646174 61000000 44190223 24085f68 _data...D..#$._h + 051c0 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 051d0 00000044 26022328 085f6465 73635f64 ...D&.#(._desc_d + 051e0 756d7000 000043cb 02232c08 5f676574 ump...C..#,._get + 051f0 5f706163 6b657400 00004433 02233008 _packet...D3.#0. + 05200 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 05210 0000443c 02233408 5f707574 5f706163 ..D<.#4._put_pac + 05220 6b657400 0000443c 02233808 70526573 ket...D<.#8.pRes + 05230 65727665 64000000 03fd0223 3c00095f erved......#<.._ + 05240 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 05250 696f6e5f 7461626c 655f7400 000030ef ion_table_t...0. + 05260 09574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 05270 4158165f 415f6d61 67706965 5f696e64 AX._A_magpie_ind + 05280 69726563 74696f6e 5f746162 6c650003 irection_table.. + 05290 4c000046 de08636d 6e6f7300 000045b0 L..F..cmnos...E. + 052a0 02230008 64626700 000003ca 0323b803 .#..dbg......#.. + 052b0 08686966 00000029 980323c0 03086874 .hif...)..#...ht + 052c0 63000000 3b0b0323 f8030877 6d695f73 c...;..#...wmi_s + 052d0 76635f61 70690000 0045d203 23ac0408 vc_api...E..#... + 052e0 75736266 69666f5f 61706900 000032c3 usbfifo_api...2. + 052f0 0323d804 08627566 5f706f6f 6c000000 .#...buf_pool... + 05300 376c0323 e4040876 62756600 000014ab 7l.#...vbuf..... + 05310 03238005 08766465 73630000 00138d03 .#...vdesc...... + 05320 23940508 616c6c6f 6372616d 00000009 #...allocram.... + 05330 830323a8 0508646d 615f656e 67696e65 ..#...dma_engine + 05340 00000044 430323b4 0508646d 615f6c69 ...DC.#...dma_li + 05350 62000000 2c2c0323 f4050868 69665f70 b...,,.#...hif_p + 05360 63690000 002e8c03 23a80600 095f415f ci......#...._A_ + 05370 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 05380 6f6e5f74 61626c65 5f740000 0045e411 on_table_t...E.. + 05390 10000047 34086130 00000001 a5022300 ...G4.a0......#. + 053a0 08613100 000001a5 02230408 61320000 .a1......#..a2.. + 053b0 0001a502 23080861 33000000 01a50223 ....#..a3......# + 053c0 0c000e00 00470150 00004741 0f040007 .....G.P..GA.... + 053d0 5854656e 73615f65 78636570 74696f6e XTensa_exception + 053e0 5f667261 6d655f73 00800000 48250878 _frame_s....H%.x + 053f0 745f7063 00000001 a5022300 0878745f t_pc......#..xt_ + 05400 70730000 0001a502 23040878 745f7361 ps......#..xt_sa + 05410 72000000 01a50223 08087874 5f767072 r......#..xt_vpr + 05420 69000000 01a50223 0c087874 5f613200 i......#..xt_a2. + 05430 000001a5 02231008 78745f61 33000000 .....#..xt_a3... + 05440 01a50223 14087874 5f613400 000001a5 ...#..xt_a4..... + 05450 02231808 78745f61 35000000 01a50223 .#..xt_a5......# + 05460 1c087874 5f657863 63617573 65000000 ..xt_exccause... + 05470 01a50223 20087874 5f6c636f 756e7400 ...# .xt_lcount. + 05480 000001a5 02232408 78745f6c 62656700 .....#$.xt_lbeg. + 05490 000001a5 02232808 78745f6c 656e6400 .....#(.xt_lend. + 054a0 000001a5 02232c08 77620000 00473402 .....#,.wb...G4. + 054b0 23300009 4350555f 65786365 7074696f #0..CPU_exceptio + 054c0 6e5f6672 616d655f 74000000 47411108 n_frame_t...GA.. + 054d0 00004874 086d6f72 655f6461 74610000 ..Ht.more_data.. + 054e0 001cf002 2300086c 656e0000 001cf002 ....#..len...... + 054f0 2302086f 66667365 74000000 16610223 #..offset....a.# + 05500 04001108 00004896 08727370 00000016 ......H..rsp.... + 05510 61022300 086f6666 73657400 00001661 a.#..offset....a + 05520 02230400 110c0000 48dd0861 64647200 .#......H..addr. + 05530 00001661 02230008 6869665f 68616e64 ...a.#..hif_hand + 05540 6c650000 0028f502 23040872 785f7069 le...(..#..rx_pi + 05550 70650000 0016c802 23080874 785f7069 pe......#..tx_pi + 05560 70650000 0016c802 23090009 415f494e pe......#...A_IN + 05570 54333200 00000108 095f5f61 5f696e74 T32......__a_int + 05580 33325f74 00000048 dd09615f 696e7433 32_t...H..a_int3 + 05590 325f7400 000048ea 076d6469 6f5f6277 2_t...H..mdio_bw + 055a0 5f657865 63001800 00499208 73746172 _exec....I..star + 055b0 745f6164 64726573 73000000 16610223 t_address....a.# + 055c0 00086c65 6e677468 00000016 61022304 ..length....a.#. + 055d0 08657865 635f6164 64726573 73000000 .exec_address... + 055e0 16610223 08086368 65636b73 756d0000 .a.#..checksum.. + 055f0 00166102 230c0866 77645f73 74617465 ..a.#..fwd_state + 05600 00000048 fb022310 08637572 72656e74 ...H..#..current + 05610 5f77725f 70747200 000016d7 02231400 _wr_ptr......#.. + 05620 06000001 08010300 0001a504 00190000 ................ + 05630 01a50100 0049ab1a 00050000 499f1900 .....I......I... + 05640 0001a501 000049bc 1a001b5f 726f6d5f ......I...._rom_ + 05650 73746f72 655f7461 626c6500 000049ab store_table...I. + 05660 0503004e 8710010e 000001a5 10000049 ...N...........I + 05670 e60f0300 0e000001 0f0b0000 49f30f0a ............I... + 05680 00030000 49e60400 1c000001 901c0000 ....I........... + 05690 01900300 0049ff04 00030000 48250400 .....I......H%.. + 056a0 02010e00 00010f3c 00004a21 0f3b0003 .......<..J!.;.. + 056b0 00004a14 04000e00 00010f07 00004a35 ..J...........J5 + 056c0 0f060003 00004a28 04000e00 00010f12 ......J(........ + 056d0 00004a49 0f110003 00004a3c 04000300 ..JI......J<.... + 056e0 0001a504 000e0000 010f4300 004a640f ..........C..Jd. + 056f0 42000300 004a5704 000e0000 010f2d00 B....JW.......-. + 05700 004a780f 2c000300 004a6b04 000e0000 .Jx.,....Jk..... + 05710 010f1b00 004a8c0f 1a000300 004a7f04 .....J.......J.. + 05720 00110800 004abd08 696e7374 616c6c5f .....J..install_ + 05730 666e0000 0009e902 23000861 70695f74 fn......#..api_t + 05740 626c0000 0003fd02 23040019 00004a93 bl......#.....J. + 05750 0100004a ca0f1600 1b626173 69635f52 ...J.....basic_R + 05760 4f4d5f6d 6f64756c 655f7461 626c6500 OM_module_table. + 05770 00004abd 05030050 06400103 00000440 ..J....P.@.....@ + 05780 04000201 03000045 b0040003 000046de .......E......F. + 05790 04000300 0005b304 00020103 00000135 ...............5 + 057a0 04000201 02010300 000fe204 00020103 ................ + 057b0 00000c7b 04000201 03000007 cb040002 ...{............ + 057c0 01030000 0b2b0400 02010300 00098304 .....+.......... + 057d0 00020103 00000875 04000201 0300000e .......u........ + 057e0 58040002 01030000 0f860400 02010300 X............... + 057f0 002f5d04 00030000 06d70400 02010300 ./]............. + 05800 000a8004 00020103 0000138d 04000201 ................ + 05810 03000014 ab040002 01030000 29980400 ............)... + 05820 02010300 002e8c04 00020103 00002c2c ..............,, + 05830 04000201 03000037 6c040002 01030000 .......7l....... + 05840 32c30400 02010300 00444304 00020109 2........DC..... + 05850 5f78746f 735f6861 6e646c65 725f6675 _xtos_handler_fu + 05860 6e630000 0043b903 00004bc1 0400095f nc...C....K...._ + 05870 78746f73 5f68616e 646c6572 0000004b xtos_handler...K + 05880 d9060000 4be00103 00004a12 04000201 ....K.....J..... + 05890 0300004c 00040002 010e0000 010f1400 ...L............ + 058a0 004c180f 13000300 004c0b04 000e0000 .L.......L...... + 058b0 010f0500 004c2c0f 04000300 004c1f04 .....L,......L.. + 058c0 00060000 0841010e 0000010f 1100004c .....A.........L + 058d0 460f1000 0300004c 39040003 000043b9 F......L9.....C. + 058e0 04000e00 00010f1c 00004c61 0f1b0003 ..........La.... + 058f0 00004c54 04000300 0043b904 000e0000 ..LT.....C...... + 05900 010f0200 004c7c0f 01000300 004c6f04 .....L|......Lo. + 05910 000e0000 010f1000 004c900f 0f000300 .........L...... + 05920 004c8304 000e0000 010f1900 004ca40f .L...........L.. + 05930 18000300 004c9704 000e0000 010f1e00 .....L.......... + 05940 004cb80f 1d000300 004cab04 000e0000 .L.......L...... + 05950 010f0f00 004ccc0f 0e000300 004cbf04 .....L.......L.. + 05960 000e0000 010f0d00 004ce00f 0c000300 .........L...... + 05970 004cd304 00060000 28f5010e 0000010f .L......(....... + 05980 1a00004c fa0f1900 0300004c ed040002 ...L.......L.... + 05990 01096d64 696f5f62 775f6578 65635f74 ..mdio_bw_exec_t + 059a0 00000049 0a030000 4d030400 06000001 ...I....M....... + 059b0 0801096a 6d705f66 756e6300 00000101 ...jmp_func..... + 059c0 1d017770 6f737400 01010392 01300290 ..wpost......0.. + 059d0 00008e13 a4008e13 f700004d 701e7061 ...........Mp.pa + 059e0 74746572 6e000000 49d90291 501f6900 ttern...I...P.i. + 059f0 00000182 1f746d70 00000001 a5001d01 .....tmp........ + 05a00 bc4d6167 7069655f 66617461 6c5f6578 .Magpie_fatal_ex + 05a10 63657074 696f6e5f 68616e64 6c657200 ception_handler. + 05a20 01010492 01b00102 9000008e 13f8008e ................ + 05a30 14280000 4dca2001 bc657863 5f667261 .(..M. ..exc_fra + 05a40 6d650000 004a0b01 521e6475 6d700000 me...J..R.dump.. + 05a50 0004a203 91d07e00 2101d161 74686f73 ......~.!..athos + 05a60 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 05a70 00010801 03920120 02900000 8e142800 ....... ......(. + 05a80 8e145100 004e1a20 01d1737a 00000001 ..Q..N. ..sz.... + 05a90 08015220 01d16c69 6e6b5f63 6865636b ..R ..link_check + 05aa0 00000030 d4015300 2201ef61 74686f73 ...0..S."..athos + 05ab0 5f626c6f 636b5f61 6c6c5f69 6e74726c _block_all_intrl + 05ac0 766c0000 0001a501 01039201 20029000 vl.......... ... + 05ad0 008e1454 008e145f 00004e58 1f746d70 ...T..._..NX.tmp + 05ae0 00000001 a5001d01 fe617468 6f735f75 .........athos_u + 05af0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 05b00 766c0001 01039201 20029000 008e1460 vl...... ......` + 05b10 008e1468 00004e94 1f746d70 00000004 ...h..N..tmp.... + 05b20 00002301 01066174 686f735f 72657374 ..#...athos_rest + 05b30 6f72655f 696e7472 6c766c00 01010392 ore_intrlvl..... + 05b40 01200290 00008e14 68008e14 7200004e . ......h...r..N + 05b50 d7240101 066f6c64 5f696e74 72000000 .$...old_intr... + 05b60 01a50152 00250101 10415236 3030325f ...R.%...AR6002_ + 05b70 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 05b80 68616e64 6c657200 01049201 b0010290 handler......... + 05b90 00008e14 74008e14 d200004f 45240101 ....t......OE$.. + 05ba0 10657863 5f667261 6d650000 004a0b01 .exc_frame...J.. + 05bb0 521e6475 6d700000 0004a203 91d07e1f R.dump........~. + 05bc0 73746b70 74720000 004a501f 69000000 stkptr...JP.i... + 05bd0 01080025 01013b41 52363030 325f6661 ...%..;AR6002_fa + 05be0 74616c5f 65786365 7074696f 6e5f6861 tal_exception_ha + 05bf0 6e646c65 72000104 9201b001 02900000 ndler........... + 05c00 8e14d400 8e154500 004fd824 01013b65 ......E..O.$..;e + 05c10 78635f66 72616d65 0000004a 0b01521f xc_frame...J..R. + 05c20 72657365 745f6675 6e630000 0001011e reset_func...... + 05c30 64756d70 00000004 a20391d0 7e1f6570 dump........~.ep + 05c40 63310000 0001a51f 65706332 00000001 c1......epc2.... + 05c50 a51f6570 63330000 0001a51f 65706334 ..epc3......epc4 + 05c60 00000001 a5002301 01be6765 6e657269 ......#...generi + 05c70 635f6869 665f6d6f 64756c65 5f696e73 c_hif_module_ins + 05c80 74616c6c 00010103 92012002 9000008e tall...... ..... + 05c90 1548008e 15730000 50282401 01be6170 .H...s..P($...ap + 05ca0 69730000 004b8b01 521f686f 73746966 is...K..R.hostif + 05cb0 00000005 7e002301 01d86174 686f735f ....~.#...athos_ + 05cc0 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 05cd0 655f696e 7374616c 6c000101 03920120 e_install...... + 05ce0 02900000 8e157400 8e160300 0050721f ......t......Pr. + 05cf0 74626c00 0000036b 1f690000 00040000 tbl....k.i...... + 05d00 2301021c 6174686f 735f696e 74657272 #...athos_interr + 05d10 7570745f 68616e64 6c657200 01010392 upt_handler..... + 05d20 01200290 00008e16 04008e16 14000050 . .............P + 05d30 cd240102 1c696e75 6d000000 04000152 .$...inum......R + 05d40 2401021c 696e7465 72727570 745f6672 $...interrupt_fr + 05d50 616d6500 000030e8 01530023 01022361 ame...0..S.#..#a + 05d60 74686f73 5f696e74 65727275 70745f69 thos_interrupt_i + 05d70 6e697400 01010392 01200290 00008e16 nit...... ...... + 05d80 14008e16 7a000051 031f6900 00000108 ....z..Q..i..... + 05d90 00230103 9f617468 6f735f69 6e697400 .#...athos_init. + 05da0 01010392 01200290 00008e16 7c008e16 ..... ......|... + 05db0 ae000051 36240103 9f686966 00000005 ...Q6$...hif.... + 05dc0 7e015200 260103b5 5f726561 645f7573 ~.R.&..._read_us + 05dd0 625f6465 73630000 00084101 01039201 b_desc....A..... + 05de0 30029000 008e16b0 008e16e6 000051ab 0.............Q. + 05df0 240103b5 70446573 63000000 0f650152 $...pDesc....e.R + 05e00 240103b5 4f666673 65740000 00018201 $...Offset...... + 05e10 53240103 b553697a 65000000 01820154 S$...Size......T + 05e20 1f704473 74000000 0f651e6d 53697a65 .pDst....e.mSize + 05e30 00000001 82029150 00260103 c8726561 .......P.&...rea + 05e40 645f7573 625f636f 6e660000 00084101 d_usb_conf....A. + 05e50 01039201 30029000 008e16e8 008e178b ....0........... + 05e60 000051e5 1e6d4461 74610000 0001a502 ..Q..mData...... + 05e70 91500026 0103e073 65745f70 63695f63 .P.&...set_pci_c + 05e80 6f6e6600 00000841 01010392 01200290 onf....A..... .. + 05e90 00008e17 8c008e18 5e000052 4e1f6d44 ........^..RN.mD + 05ea0 61746100 000001a5 1f66726f 6d6f776c ata......fromowl + 05eb0 00000016 c81f6469 64766964 00000001 ......didvid.... + 05ec0 a51f6770 696f656e 00000001 a51f6770 ..gpioen......gp + 05ed0 696f6675 6e630000 0001a500 27010410 iofunc......'... + 05ee0 626f6f74 6c6f6164 00010392 01200290 bootload..... .. + 05ef0 00008e18 60008e18 9b270104 22706369 ....`....'.."pci + 05f00 5f676d61 635f626f 6f746c6f 61640001 _gmac_bootload.. + 05f10 03920120 02900000 8e189c00 8e18c827 ... ...........' + 05f20 01043474 75726e5f 6f66665f 72630001 ..4turn_off_rc.. + 05f30 03920120 02900000 8e18c800 8e18fb23 ... ...........# + 05f40 01044362 6f6f7465 6e747279 00010104 ..Cbootentry.... + 05f50 9201c000 02900000 8e18fc00 8e1bab00 ................ + 05f60 00535b1f 686f7374 69660000 00057e1f .S[.hostif....~. + 05f70 7273745f 73746174 75730000 000e3a1f rst_status....:. + 05f80 72657445 45500000 000f561e 69000000 retEEP....V.i... + 05f90 01820503 00500950 1f617374 61727400 .....P.P.astart. + 05fa0 000003fd 1f617369 7a650000 0001081f .....asize...... + 05fb0 61737461 72740000 0003fd1f 6173697a astart......asiz + 05fc0 65000000 01081e66 775f6277 5f737461 e......fw_bw_sta + 05fd0 74650000 004d0302 91401f66 756e635f te...M...@.func_ + 05fe0 70747200 00004d24 00280105 8a6d6169 ptr...M$.(...mai + 05ff0 6e000000 01080101 03920120 02029000 n.......... .... + 06000 008e1bac 008e1bca 00000000 48740002 ............Ht.. + 06010 00000443 04012f72 6f6f742f 576f726b ...C../root/Work + 06020 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 06030 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 06040 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 06050 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 06060 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 06070 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + 06080 616d2f73 72632f63 6d6e6f73 5f616c6c am/src/cmnos_all + 06090 6f637261 6d2e6300 2f726f6f 742f576f ocram.c./root/Wo + 060a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 060b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 060c0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 060d0 2f616c6c 6f637261 6d007874 2d786363 /allocram.xt-xcc + 060e0 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: + 060f0 616c6967 6e5f696e 73747275 6374696f align_instructio + 06100 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O + 06110 50543a73 70616365 00010000 0025be02 PT:space.....%.. + 06120 01030000 01150400 04696e74 00050404 .........int.... + 06130 63686172 00070105 00000125 05000001 char.......%.... + 06140 25030000 01320400 06000001 1e010300 %....2.......... + 06150 00013e04 00077072 696e7466 5f617069 ..>...printf_api + 06160 00080000 0182085f 7072696e 74665f69 ......._printf_i + 06170 6e697400 00000117 02230008 5f707269 nit......#.._pri + 06180 6e746600 00000144 02230400 0473686f ntf....D.#...sho + 06190 72742075 6e736967 6e656420 696e7400 rt unsigned int. + 061a0 07020975 696e7431 365f7400 00000182 ...uint16_t..... + 061b0 046c6f6e 6720756e 7369676e 65642069 .long unsigned i + 061c0 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. + 061d0 0001a607 75617274 5f666966 6f000800 ....uart_fifo... + 061e0 00021408 73746172 745f696e 64657800 ....start_index. + 061f0 00000198 02230008 656e645f 696e6465 .....#..end_inde + 06200 78000000 01980223 02086f76 65727275 x......#..overru + 06210 6e5f6572 72000000 01bb0223 04000775 n_err......#...u + 06220 6172745f 61706900 20000002 cd085f75 art_api. ....._u + 06230 6172745f 696e6974 00000003 24022300 art_init....$.#. + 06240 085f7561 72745f63 6861725f 70757400 ._uart_char_put. + 06250 0000034b 02230408 5f756172 745f6368 ...K.#.._uart_ch + 06260 61725f67 65740000 00035f02 2308085f ar_get...._.#.._ + 06270 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 06280 6802230c 085f7561 72745f74 61736b00 h.#.._uart_task. + 06290 00000117 02231008 5f756172 745f7374 .....#.._uart_st + 062a0 61747573 00000003 24022314 085f7561 atus....$.#.._ua + 062b0 72745f63 6f6e6669 67000000 03710223 rt_config....q.# + 062c0 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 062d0 00037a02 231c0003 00000214 04000775 ..z.#..........u + 062e0 6172745f 626c6b00 10000003 1e086465 art_blk.......de + 062f0 6275675f 6d6f6465 00000001 98022300 bug_mode......#. + 06300 08626175 64000000 01980223 02085f75 .baud......#.._u + 06310 61727400 000002cd 02230408 5f747800 art......#.._tx. + 06320 000001c9 02230800 06000001 bb010300 .....#.......... + 06330 00031e04 0004756e 7369676e 65642063 ......unsigned c + 06340 68617200 07010975 696e7438 5f740000 har....uint8_t.. + 06350 00032b02 01030000 03490400 03000003 ..+......I...... + 06360 3c040006 00000198 01030000 03590400 <............Y.. + 06370 02010300 00036604 00020103 0000036f ......f........o + 06380 04000201 03000003 78040003 00000125 ........x......% + 06390 04000600 00011e01 03000003 88040007 ................ + 063a0 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC + 063b0 54000c00 0003e008 636d645f 73747200 T.......cmd_str. + 063c0 00000381 02230008 68656c70 5f737472 .....#..help_str + 063d0 00000003 81022304 08636d64 5f66756e ......#..cmd_fun + 063e0 63000000 038e0223 08000764 62675f61 c......#...dbg_a + 063f0 70690008 00000413 085f6462 675f696e pi......._dbg_in + 06400 69740000 00011702 2300085f 6462675f it......#.._dbg_ + 06410 7461736b 00000001 17022304 000a0400 task......#..... + 06420 04756e73 69676e65 6420696e 74000704 .unsigned int... + 06430 06000004 13010300 00042604 000b0b03 ..........&..... + 06440 00000434 04000600 00041301 03000004 ...4............ + 06450 3c040006 0000011e 01030000 04490400 <............I.. + 06460 076d656d 5f617069 00140000 04b8085f .mem_api......._ + 06470 6d656d5f 696e6974 00000001 17022300 mem_init......#. + 06480 085f6d65 6d736574 00000004 2c022304 ._memset....,.#. + 06490 085f6d65 6d637079 00000004 42022308 ._memcpy....B.#. + 064a0 085f6d65 6d6d6f76 65000000 04420223 ._memmove....B.# + 064b0 0c085f6d 656d636d 70000000 044f0223 .._memcmp....O.# + 064c0 10000c72 65676973 7465725f 64756d70 ...register_dump + 064d0 5f730000 01030000 04b80400 02010300 _s.............. + 064e0 0004d204 00020103 000004db 04000600 ................ + 064f0 00011e01 03000004 e404000d 686f7374 ............host + 06500 69665f73 00040000 05400e48 49465f55 if_s.....@.HIF_U + 06510 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... + 06520 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P + 06530 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H + 06540 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS + 06550 54494600 000004f1 06000005 40010300 TIF.........@... + 06560 00054e04 00060000 033c0103 0000055b ..N......<.....[ + 06570 04000600 00019801 03000005 68040007 ............h... + 06580 6d697363 5f617069 00240000 0658085f misc_api.$...X._ + 06590 73797374 656d5f72 65736574 00000001 system_reset.... + 065a0 17022300 085f6d61 635f7265 73657400 ..#.._mac_reset. + 065b0 00000117 02230408 5f617373 6661696c .....#.._assfail + 065c0 00000004 d4022308 085f6d69 73616c69 ......#.._misali + 065d0 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle + 065e0 72000000 04d40223 0c085f72 65706f72 r......#.._repor + 065f0 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos + 06600 74000000 04dd0223 10085f74 61726765 t......#.._targe + 06610 745f6964 5f676574 00000004 ea022314 t_id_get......#. + 06620 085f6973 5f686f73 745f7072 6573656e ._is_host_presen + 06630 74000000 05540223 18085f6b 62686974 t....T.#.._kbhit + 06640 00000005 6102231c 085f726f 6d5f7665 ....a.#.._rom_ve + 06650 7273696f 6e5f6765 74000000 056e0223 rsion_get....n.# + 06660 20000600 00038101 03000006 58040006 ...........X... + 06670 00000381 01030000 06650400 06000001 .........e...... + 06680 1e010300 00067204 00060000 011e0103 ......r......... + 06690 0000067f 04000600 00011e01 03000006 ................ + 066a0 8c040007 73747269 6e675f61 70690018 ....string_api.. + 066b0 00000712 085f7374 72696e67 5f696e69 ....._string_ini + 066c0 74000000 01170223 00085f73 74726370 t......#.._strcp + 066d0 79000000 065e0223 04085f73 74726e63 y....^.#.._strnc + 066e0 70790000 00066b02 2308085f 7374726c py....k.#.._strl + 066f0 656e0000 00067802 230c085f 73747263 en....x.#.._strc + 06700 6d700000 00068502 2310085f 7374726e mp......#.._strn + 06710 636d7000 00000692 02231400 0f000004 cmp......#...... + 06720 16140000 071f1004 00095f41 5f54494d .........._A_TIM + 06730 45525f53 50414345 00000007 1209415f ER_SPACE......A_ + 06740 74696d65 725f7400 0000071f 03000007 timer_t......... + 06750 33040002 01030000 07490400 02010300 3........I...... + 06760 00075204 0009415f 48414e44 4c450000 ..R...A_HANDLE.. + 06770 00041602 0109415f 54494d45 525f4655 ......A_TIMER_FU + 06780 4e430000 00076903 0000076b 04000201 NC....i....k.... + 06790 03000007 84040007 74696d65 725f6170 ........timer_ap + 067a0 69001400 00080308 5f74696d 65725f69 i......._timer_i + 067b0 6e697400 00000117 02230008 5f74696d nit......#.._tim + 067c0 65725f61 726d0000 00074b02 2304085f er_arm....K.#.._ + 067d0 74696d65 725f6469 7361726d 00000007 timer_disarm.... + 067e0 54022308 085f7469 6d65725f 73657466 T.#.._timer_setf + 067f0 6e000000 07860223 0c085f74 696d6572 n......#.._timer + 06800 5f72756e 00000001 17022310 0009424f _run......#...BO + 06810 4f4c4541 4e000000 01980600 00080301 OLEAN........... + 06820 03000008 10040006 00000803 01030000 ................ + 06830 081d0400 06000008 03010300 00082a04 ..............*. + 06840 0007726f 6d705f61 70690010 0000089c ..romp_api...... + 06850 085f726f 6d705f69 6e697400 00000117 ._romp_init..... + 06860 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo + 06870 61640000 00081602 2304085f 726f6d70 ad......#.._romp + 06880 5f696e73 74616c6c 00000008 23022308 _install....#.#. + 06890 085f726f 6d705f64 65636f64 65000000 ._romp_decode... + 068a0 08300223 0c000772 6f6d5f70 61746368 .0.#...rom_patch + 068b0 5f737400 10000008 f8086372 63313600 _st.......crc16. + 068c0 00000198 02230008 6c656e00 00000198 .....#..len..... + 068d0 02230208 6c645f61 64647200 000001bb .#..ld_addr..... + 068e0 02230408 66756e5f 61646472 00000001 .#..fun_addr.... + 068f0 bb022308 08706675 6e000000 03520223 ..#..pfun....R.# + 06900 0c000765 65705f72 65646972 5f616464 ...eep_redir_add + 06910 72000400 00092a08 6f666673 65740000 r.....*.offset.. + 06920 00019802 23000873 697a6500 00000198 ....#..size..... + 06930 02230200 09415f55 494e5433 32000000 .#...A_UINT32... + 06940 04160600 00041301 03000009 38040007 ............8... + 06950 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... + 06960 09a90863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra + 06970 6d5f696e 69740000 00093e02 23000863 m_init....>.#..c + 06980 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... + 06990 093e0223 0408636d 6e6f735f 616c6c6f .>.#..cmnos_allo + 069a0 6372616d 5f646562 75670000 00011702 cram_debug...... + 069b0 23080002 01030000 09a90400 09415f54 #............A_T + 069c0 41534b4c 45545f46 554e4300 000009ab ASKLET_FUNC..... + 069d0 075f7461 736b6c65 74001000 000a0a08 ._tasklet....... + 069e0 66756e63 00000009 b2022300 08617267 func......#..arg + 069f0 00000004 13022304 08737461 74650000 ......#..state.. + 06a00 00011e02 2308086e 65787400 00000a0a ....#..next..... + 06a10 02230c00 03000009 c6040003 000009c6 .#.............. + 06a20 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. + 06a30 0009c603 00000a18 04000201 0300000a ................ + 06a40 30040002 01030000 0a390400 07746173 0........9...tas + 06a50 6b6c6574 5f617069 00140000 0ace085f klet_api......._ + 06a60 7461736b 6c65745f 696e6974 00000001 tasklet_init.... + 06a70 17022300 085f7461 736b6c65 745f696e ..#.._tasklet_in + 06a80 69745f74 61736b00 00000a32 02230408 it_task....2.#.. + 06a90 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable + 06aa0 0000000a 3b022308 085f7461 736b6c65 ....;.#.._taskle + 06ab0 745f7363 68656475 6c650000 000a3b02 t_schedule....;. + 06ac0 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. + 06ad0 00000117 02231000 02010300 000ace04 .....#.......... + 06ae0 00060000 092a0103 00000ad7 04000201 .....*.......... + 06af0 0300000a e4040007 636c6f63 6b5f6170 ........clock_ap + 06b00 69002400 000bc608 5f636c6f 636b5f69 i.$....._clock_i + 06b10 6e697400 00000ad0 02230008 5f636c6f nit......#.._clo + 06b20 636b7265 67735f69 6e697400 00000117 ckregs_init..... + 06b30 02230408 5f756172 745f6672 65717565 .#.._uart_freque + 06b40 6e637900 00000add 02230808 5f64656c ncy......#.._del + 06b50 61795f75 73000000 0ae60223 0c085f77 ay_us......#.._w + 06b60 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... + 06b70 e6022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe + 06b80 65645f67 65740000 000add02 2314085f ed_get......#.._ + 06b90 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... + 06ba0 dd022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha + 06bb0 6e676500 00000117 02231c08 5f636c6f nge......#.._clo + 06bc0 636b5f74 69636b00 00000117 02232000 ck_tick......# . + 06bd0 06000001 bb010300 000bc604 0009415f ..............A_ + 06be0 6f6c645f 696e7472 5f740000 0001bb06 old_intr_t...... + 06bf0 00000bd3 01030000 0be50400 02010300 ................ + 06c00 000bf204 00020103 00000bfb 04000600 ................ + 06c10 0001bb01 0300000c 04040009 415f6973 ............A_is + 06c20 725f7400 00000c0a 02010300 000c1e04 r_t............. + 06c30 00060000 04160103 00000c27 04000201 ...........'.... + 06c40 0300000c 34040007 696e7472 5f617069 ....4...intr_api + 06c50 002c0000 0d56085f 696e7472 5f696e69 .,...V._intr_ini + 06c60 74000000 01170223 00085f69 6e74725f t......#.._intr_ + 06c70 696e766f 6b655f69 73720000 000bcc02 invoke_isr...... + 06c80 2304085f 696e7472 5f646973 61626c65 #.._intr_disable + 06c90 0000000b eb022308 085f696e 74725f72 ......#.._intr_r + 06ca0 6573746f 72650000 000bf402 230c085f estore......#.._ + 06cb0 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. + 06cc0 000bfd02 2310085f 696e7472 5f756e6d ....#.._intr_unm + 06cd0 61736b5f 696e756d 0000000b fd022314 ask_inum......#. + 06ce0 085f696e 74725f61 74746163 685f6973 ._intr_attach_is + 06cf0 72000000 0c200223 18085f67 65745f69 r.... .#.._get_i + 06d00 6e747265 6e61626c 65000000 0c2d0223 ntrenable....-.# + 06d10 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl + 06d20 65000000 0c360223 20085f67 65745f69 e....6.# ._get_i + 06d30 6e747270 656e6469 6e670000 000c2d02 ntrpending....-. + 06d40 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ + 06d50 696e7472 6c766c00 00000117 02232800 intrlvl......#(. + 06d60 11040000 0d7c0874 696d656f 75740000 .....|.timeout.. + 06d70 0001bb02 23000861 6374696f 6e000000 ....#..action... + 06d80 01bb0223 00001208 00000d97 08636d64 ...#.........cmd + 06d90 00000001 bb022300 1300000d 56022304 ......#.....V.#. + 06da0 0009545f 5744545f 434d4400 00000d7c ..T_WDT_CMD....| + 06db0 02010300 000da604 00140400 000dfc0e ................ + 06dc0 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... + 06dd0 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. + 06de0 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. + 06df0 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B + 06e00 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY + 06e10 50450000 000daf06 00000dfc 01030000 PE.............. + 06e20 0e0d0400 07776474 5f617069 001c0000 .....wdt_api.... + 06e30 0eb1085f 7764745f 696e6974 00000001 ..._wdt_init.... + 06e40 17022300 085f7764 745f656e 61626c65 ..#.._wdt_enable + 06e50 00000001 17022304 085f7764 745f6469 ......#.._wdt_di + 06e60 7361626c 65000000 01170223 08085f77 sable......#.._w + 06e70 64745f73 65740000 000da802 230c085f dt_set......#.._ + 06e80 7764745f 7461736b 00000001 17022310 wdt_task......#. + 06e90 085f7764 745f7265 73657400 00000117 ._wdt_reset..... + 06ea0 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo + 06eb0 6f740000 000e1302 23180014 0400000f ot......#....... + 06ec0 180e5245 545f5355 43434553 5300000e ..RET_SUCCESS... + 06ed0 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R + 06ee0 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R + 06ef0 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. + 06f00 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO + 06f10 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. + 06f20 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... + 06f30 b1030000 01980400 0600000f 18010300 ................ + 06f40 000f2e04 00060000 0f180103 00000f3b ...............; + 06f50 04000765 65705f61 70690010 00000fa4 ...eep_api...... + 06f60 085f6565 705f696e 69740000 00011702 ._eep_init...... + 06f70 2300085f 6565705f 72656164 0000000f #.._eep_read.... + 06f80 34022304 085f6565 705f7772 69746500 4.#.._eep_write. + 06f90 00000f34 02230808 5f656570 5f69735f ...4.#.._eep_is_ + 06fa0 65786973 74000000 0f410223 0c000775 exist....A.#...u + 06fb0 73625f61 70690070 00001251 085f7573 sb_api.p...Q._us + 06fc0 625f696e 69740000 00011702 2300085f b_init......#.._ + 06fd0 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... + 06fe0 17022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas + 06ff0 6b000000 01170223 08085f75 73625f69 k......#.._usb_i + 07000 6e69745f 70687900 00000117 02230c08 nit_phy......#.. + 07010 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. + 07020 00011702 2310085f 7573625f 6570305f ....#.._usb_ep0_ + 07030 74780000 00011702 2314085f 7573625f tx......#.._usb_ + 07040 6570305f 72780000 00011702 2318085f ep0_rx......#.._ + 07050 7573625f 6765745f 696e7465 72666163 usb_get_interfac + 07060 65000000 08230223 1c085f75 73625f73 e....#.#.._usb_s + 07070 65745f69 6e746572 66616365 00000008 et_interface.... + 07080 23022320 085f7573 625f6765 745f636f #.# ._usb_get_co + 07090 6e666967 75726174 696f6e00 00000823 nfiguration....# + 070a0 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con + 070b0 66696775 72617469 6f6e0000 00082302 figuration....#. + 070c0 2328085f 7573625f 7374616e 64617264 #(._usb_standard + 070d0 5f636d64 00000008 2302232c 085f7573 _cmd....#.#,._us + 070e0 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... + 070f0 17022330 085f7573 625f706f 7765725f ..#0._usb_power_ + 07100 6f666600 00000117 02233408 5f757362 off......#4._usb + 07110 5f726573 65745f66 69666f00 00000117 _reset_fifo..... + 07120 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt + 07130 00000001 1702233c 085f7573 625f6a75 ......#<._usb_ju + 07140 6d705f62 6f6f7400 00000117 02234008 mp_boot......#@. + 07150 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature + 07160 00000008 23022344 085f7573 625f7365 ....#.#D._usb_se + 07170 745f6665 61747572 65000000 08230223 t_feature....#.# + 07180 48085f75 73625f73 65745f61 64647265 H._usb_set_addre + 07190 73730000 00082302 234c085f 7573625f ss....#.#L._usb_ + 071a0 6765745f 64657363 72697074 6f720000 get_descriptor.. + 071b0 00082302 2350085f 7573625f 6765745f ..#.#P._usb_get_ + 071c0 73746174 75730000 00082302 2354085f status....#.#T._ + 071d0 7573625f 73657475 705f6465 73630000 usb_setup_desc.. + 071e0 00011702 2358085f 7573625f 7265675f ....#X._usb_reg_ + 071f0 6f757400 00000117 02235c08 5f757362 out......#\._usb + 07200 5f737461 7475735f 696e0000 00011702 _status_in...... + 07210 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d + 07220 61746100 00000117 02236408 5f757362 ata......#d._usb + 07230 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... + 07240 17022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in + 07250 69740000 00011702 236c0007 5f564445 it......#l.._VDE + 07260 53430024 000012dd 086e6578 745f6465 SC.$.....next_de + 07270 73630000 0012dd02 23000862 75665f61 sc......#..buf_a + 07280 64647200 000012f1 02230408 6275665f ddr......#..buf_ + 07290 73697a65 00000012 f8022308 08646174 size......#..dat + 072a0 615f6f66 66736574 00000012 f802230a a_offset......#. + 072b0 08646174 615f7369 7a650000 0012f802 .data_size...... + 072c0 230c0863 6f6e7472 6f6c0000 0012f802 #..control...... + 072d0 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. + 072e0 00130602 23100003 00001251 04000941 ....#......Q...A + 072f0 5f55494e 54380000 00032b03 000012e4 _UINT8....+..... + 07300 04000941 5f55494e 54313600 00000182 ...A_UINT16..... + 07310 0f000012 e4140000 13131013 00030000 ................ + 07320 12510400 09564445 53430000 00125103 .Q...VDESC....Q. + 07330 0000131a 04000600 00132501 03000013 ..........%..... + 07340 2c040006 000012f1 01030000 13390400 ,............9.. + 07350 02010300 00134604 00077664 6573635f ......F...vdesc_ + 07360 61706900 14000013 be085f69 6e697400 api......._init. + 07370 00000ae6 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 07380 64657363 00000013 32022304 085f6765 desc....2.#.._ge + 07390 745f6877 5f646573 63000000 133f0223 t_hw_desc....?.# + 073a0 08085f73 7761705f 76646573 63000000 .._swap_vdesc... + 073b0 13480223 0c087052 65736572 76656400 .H.#..pReserved. + 073c0 00000413 02231000 075f5642 55460020 .....#..._VBUF. + 073d0 0000141e 08646573 635f6c69 73740000 .....desc_list.. + 073e0 00132502 2300086e 6578745f 62756600 ..%.#..next_buf. + 073f0 0000141e 02230408 6275665f 6c656e67 .....#..buf_leng + 07400 74680000 0012f802 23080872 65736572 th......#..reser + 07410 76656400 00001425 02230a08 63747800 ved....%.#..ctx. + 07420 00001306 02230c00 03000013 be04000f .....#.......... + 07430 000012e4 02000014 32100100 03000013 ........2....... + 07440 be040009 56425546 00000013 be030000 ....VBUF........ + 07450 14390400 06000014 43010300 00144a04 .9......C.....J. + 07460 00060000 14430103 00001457 04000201 .....C.....W.... + 07470 03000014 64040007 76627566 5f617069 ....d...vbuf_api + 07480 00140000 14e2085f 696e6974 0000000a ......._init.... + 07490 e6022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf + 074a0 00000014 50022304 085f616c 6c6f635f ....P.#.._alloc_ + 074b0 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. + 074c0 00145d02 2308085f 66726565 5f766275 ..].#.._free_vbu + 074d0 66000000 14660223 0c087052 65736572 f....f.#..pReser + 074e0 76656400 00000413 02231000 075f5f61 ved......#...__a + 074f0 64665f64 65766963 65000400 00150408 df_device....... + 07500 64756d6d 79000000 011e0223 00000300 dummy......#.... + 07510 00092a04 00075f5f 6164665f 646d615f ..*...__adf_dma_ + 07520 6d617000 0c000015 4b086275 66000000 map.....K.buf... + 07530 14430223 00086473 5f616464 72000000 .C.#..ds_addr... + 07540 15040223 04086473 5f6c656e 00000012 ...#..ds_len.... + 07550 f8022308 00120c00 00158508 5f5f7661 ..#.........__va + 07560 5f73746b 00000003 81022300 085f5f76 _stk......#..__v + 07570 615f7265 67000000 03810223 04085f5f a_reg......#..__ + 07580 76615f6e 64780000 00011e02 23080009 va_ndx......#... + 07590 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add + 075a0 725f7400 0000092a 09616466 5f6f735f r_t....*.adf_os_ + 075b0 646d615f 61646472 5f740000 00158509 dma_addr_t...... + 075c0 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz + 075d0 655f7400 0000092a 09616466 5f6f735f e_t....*.adf_os_ + 075e0 646d615f 73697a65 5f740000 0015b507 dma_size_t...... + 075f0 5f5f646d 615f7365 67730008 00001611 __dma_segs...... + 07600 08706164 64720000 00159e02 2300086c .paddr......#..l + 07610 656e0000 0015ce02 23040009 5f5f615f en......#...__a_ + 07620 75696e74 33325f74 00000009 2a09615f uint32_t....*.a_ + 07630 75696e74 33325f74 00000016 110f0000 uint32_t........ + 07640 15e50800 00164010 00000761 64665f6f ......@....adf_o + 07650 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... + 07660 00167908 6e736567 73000000 16230223 ..y.nsegs....#.# + 07670 0008646d 615f7365 67730000 00163302 ..dma_segs....3. + 07680 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. + 07690 000012e4 09615f75 696e7438 5f740000 .....a_uint8_t.. + 076a0 00167903 0000168a 0400075f 5f73675f ..y........__sg_ + 076b0 73656773 00080000 16cb0876 61646472 segs.......vaddr + 076c0 00000016 99022300 086c656e 00000016 ......#..len.... + 076d0 23022304 000f0000 16a02000 0016d810 #.#....... ..... + 076e0 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist + 076f0 00240000 170b086e 73656773 00000016 .$.....nsegs.... + 07700 23022300 0873675f 73656773 00000016 #.#..sg_segs.... + 07710 cb022304 00121000 00175408 76656e64 ..#.......T.vend + 07720 6f720000 00162302 23000864 65766963 or....#.#..devic + 07730 65000000 16230223 04087375 6276656e e....#.#..subven + 07740 646f7200 00001623 02230808 73756264 dor....#.#..subd + 07750 65766963 65000000 16230223 0c00046c evice....#.#...l + 07760 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne + 07770 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 + 07780 34000000 1754095f 5f615f75 696e7436 4....T.__a_uint6 + 07790 345f7400 0000176e 09615f75 696e7436 4_t....n.a_uint6 + 077a0 345f7400 0000177c 14040000 17da0e41 4_t....|.......A + 077b0 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 077c0 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS + 077d0 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I + 077e0 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso + 077f0 75726365 5f747970 655f7400 0000179e urce_type_t..... + 07800 12180000 18240873 74617274 00000017 .....$.start.... + 07810 8e022300 08656e64 00000017 8e022308 ..#..end......#. + 07820 08747970 65000000 17da0223 10000961 .type......#...a + 07830 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id + 07840 5f740000 00170b03 00001824 04001104 _t.........$.... + 07850 00001863 08706369 00000018 3d022300 ...c.pci....=.#. + 07860 08726177 00000004 13022300 00111000 .raw......#..... + 07870 00188208 70636900 00001824 02230008 ....pci....$.#.. + 07880 72617700 00000413 02230000 09616466 raw......#...adf + 07890 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... + 078a0 04130961 64665f6f 735f7265 736f7572 ...adf_os_resour + 078b0 63655f74 00000017 f6030000 18980400 ce_t............ + 078c0 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d + 078d0 6174615f 74000000 18630300 0018b604 ata_t....c...... + 078e0 00030000 14e20400 095f5f61 64665f6f .........__adf_o + 078f0 735f6465 76696365 5f740000 0018d709 s_device_t...... + 07900 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 07910 000018de 06000018 82010300 00190a04 ................ + 07920 00020103 00001917 04000961 64665f6f ...........adf_o + 07930 735f706d 5f740000 00041302 01030000 s_pm_t.......... + 07940 19310400 14040000 19710e41 44465f4f .1.......q.ADF_O + 07950 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. + 07960 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 07970 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ + 07980 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... + 07990 193a0961 64665f6f 735f6275 735f7265 .:.adf_os_bus_re + 079a0 675f6461 74615f74 00000018 44030000 g_data_t....D... + 079b0 032b0400 075f6164 665f6472 765f696e .+..._adf_drv_in + 079c0 666f0020 00001a4e 08647276 5f617474 fo. ...N.drv_att + 079d0 61636800 00001910 02230008 6472765f ach......#..drv_ + 079e0 64657461 63680000 00191902 23040864 detach......#..d + 079f0 72765f73 75737065 6e640000 00193302 rv_suspend....3. + 07a00 23080864 72765f72 6573756d 65000000 #..drv_resume... + 07a10 19190223 0c086275 735f7479 70650000 ...#..bus_type.. + 07a20 00197102 23100862 75735f64 61746100 ..q.#..bus_data. + 07a30 00001988 02231408 6d6f645f 6e616d65 .....#..mod_name + 07a40 00000019 a3022318 0869666e 616d6500 ......#..ifname. + 07a50 000019a3 02231c00 09616466 5f6f735f .....#...adf_os_ + 07a60 68616e64 6c655f74 00000004 13030000 handle_t........ + 07a70 16790400 02010201 095f5f61 64665f6f .y.......__adf_o + 07a80 735f7369 7a655f74 00000004 16140400 s_size_t........ + 07a90 001a9d0e 415f4641 4c534500 000e415f ....A_FALSE...A_ + 07aa0 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t + 07ab0 0000001a 83030000 150b0400 095f5f61 .............__a + 07ac0 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 07ad0 00001aab 02010d61 64665f6f 735f6361 .......adf_os_ca + 07ae0 6368655f 73796e63 00040000 1b350e41 che_sync.....5.A + 07af0 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. + 07b00 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR + 07b10 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P + 07b20 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY + 07b30 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... + 07b40 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn + 07b50 635f7400 00001acc 02010961 64665f6f c_t........adf_o + 07b60 735f7369 7a655f74 0000001a 6e060000 s_size_t....n... + 07b70 1b500109 6164665f 6f735f64 6d615f6d .P..adf_os_dma_m + 07b80 61705f74 0000001a b2030000 1b690400 ap_t.........i.. + 07b90 06000004 13010300 001ab204 00060000 ................ + 07ba0 04130102 01060000 159e0102 01047368 ..............sh + 07bb0 6f727420 696e7400 05020941 5f494e54 ort int....A_INT + 07bc0 31360000 001ba309 5f5f615f 696e7431 16......__a_int1 + 07bd0 365f7400 00001bb0 09615f69 6e743136 6_t......a_int16 + 07be0 5f740000 001bbd04 7369676e 65642063 _t......signed c + 07bf0 68617200 05010941 5f494e54 38000000 har....A_INT8... + 07c00 1bdd095f 5f615f69 6e74385f 74000000 ...__a_int8_t... + 07c10 1bec0961 5f696e74 385f7400 00001bf8 ...a_int8_t..... + 07c20 120c0000 1c6f0873 7570706f 72746564 .....o.supported + 07c30 00000016 23022300 08616476 65727469 ....#.#..adverti + 07c40 7a656400 00001623 02230408 73706565 zed....#.#..spee + 07c50 64000000 1bce0223 08086475 706c6578 d......#..duplex + 07c60 0000001c 0802230a 08617574 6f6e6567 ......#..autoneg + 07c70 00000016 8a02230b 000f0000 168a0600 ......#......... + 07c80 001c7c10 05000761 64665f6e 65745f65 ..|....adf_net_e + 07c90 74686164 64720006 00001ca0 08616464 thaddr.......add + 07ca0 72000000 1c6f0223 0000095f 5f615f75 r....o.#...__a_u + 07cb0 696e7431 365f7400 000012f8 09615f75 int16_t......a_u + 07cc0 696e7431 365f7400 00001ca0 120e0000 int16_t......... + 07cd0 1d040865 74686572 5f64686f 73740000 ...ether_dhost.. + 07ce0 001c6f02 23000865 74686572 5f73686f ..o.#..ether_sho + 07cf0 73740000 001c6f02 23060865 74686572 st....o.#..ether + 07d00 5f747970 65000000 1cb20223 0c001214 _type......#.... + 07d10 00001dc5 1569705f 76657273 696f6e00 .....ip_version. + 07d20 0000168a 01000402 23001569 705f686c ........#..ip_hl + 07d30 00000016 8a010404 02230008 69705f74 .........#..ip_t + 07d40 6f730000 00168a02 23010869 705f6c65 os......#..ip_le + 07d50 6e000000 1cb20223 02086970 5f696400 n......#..ip_id. + 07d60 00001cb2 02230408 69705f66 7261675f .....#..ip_frag_ + 07d70 6f666600 00001cb2 02230608 69705f74 off......#..ip_t + 07d80 746c0000 00168a02 23080869 705f7072 tl......#..ip_pr + 07d90 6f746f00 0000168a 02230908 69705f63 oto......#..ip_c + 07da0 6865636b 0000001c b202230a 0869705f heck......#..ip_ + 07db0 73616464 72000000 16230223 0c086970 saddr....#.#..ip + 07dc0 5f646164 64720000 00162302 23100007 _daddr....#.#... + 07dd0 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. + 07de0 0400001e 17087470 69640000 001cb202 ......tpid...... + 07df0 23001570 72696f00 0000168a 01000302 #..prio......... + 07e00 23021563 66690000 00168a01 03010223 #..cfi.........# + 07e10 02157669 64000000 1cb20204 0c022302 ..vid.........#. + 07e20 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... + 07e30 001e4815 72657300 0000168a 01000402 ..H.res......... + 07e40 23001576 616c0000 001cb202 040c0223 #..val.........# + 07e50 0000120c 00001e84 0872785f 62756673 .........rx_bufs + 07e60 697a6500 00001623 02230008 72785f6e ize....#.#..rx_n + 07e70 64657363 00000016 23022304 0874785f desc....#.#..tx_ + 07e80 6e646573 63000000 16230223 08001208 ndesc....#.#.... + 07e90 00001eaa 08706f6c 6c656400 00001a9d .....polled..... + 07ea0 02230008 706f6c6c 5f777400 00001623 .#..poll_wt....# + 07eb0 02230400 0f000016 8a400000 1eb7103f .#.......@.....? + 07ec0 00124600 001edf08 69665f6e 616d6500 ..F.....if_name. + 07ed0 00001eaa 02230008 6465765f 61646472 .....#..dev_addr + 07ee0 0000001c 6f022340 00140400 001f160e ....o.#@........ + 07ef0 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 07f00 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D + 07f10 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... + 07f20 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask + 07f30 5f740000 001edf07 6164665f 646d615f _t......adf_dma_ + 07f40 696e666f 00080000 1f630864 6d615f6d info.....c.dma_m + 07f50 61736b00 00001f16 02230008 73675f6e ask......#..sg_n + 07f60 73656773 00000016 23022304 00140400 segs....#.#..... + 07f70 001fb90e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU + 07f80 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET + 07f90 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 07fa0 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK + 07fb0 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 + 07fc0 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu + 07fd0 6d5f7479 70655f74 0000001f 63120800 m_type_t....c... + 07fe0 001ffc08 74785f63 6b73756d 0000001f ....tx_cksum.... + 07ff0 b9022300 0872785f 636b7375 6d000000 ..#..rx_cksum... + 08000 1fb90223 04000961 64665f6e 65745f63 ...#...adf_net_c + 08010 6b73756d 5f696e66 6f5f7400 00001fd3 ksum_info_t..... + 08020 14040000 20550e41 44465f4e 45545f54 .... U.ADF_NET_T + 08030 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE + 08040 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF + 08050 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... + 08060 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type + 08070 5f740000 00201612 10000020 a908636b _t... ..... ..ck + 08080 73756d5f 63617000 00001ffc 02230008 sum_cap......#.. + 08090 74736f00 00002055 02230808 766c616e tso... U.#..vlan + 080a0 5f737570 706f7274 65640000 00168a02 _supported...... + 080b0 230c0012 20000021 42087478 5f706163 #... ..!B.tx_pac + 080c0 6b657473 00000016 23022300 0872785f kets....#.#..rx_ + 080d0 7061636b 65747300 00001623 02230408 packets....#.#.. + 080e0 74785f62 79746573 00000016 23022308 tx_bytes....#.#. + 080f0 0872785f 62797465 73000000 16230223 .rx_bytes....#.# + 08100 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... + 08110 23022310 0872785f 64726f70 70656400 #.#..rx_dropped. + 08120 00001623 02231408 72785f65 72726f72 ...#.#..rx_error + 08130 73000000 16230223 18087478 5f657272 s....#.#..tx_err + 08140 6f727300 00001623 02231c00 09616466 ors....#.#...adf + 08150 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. + 08160 001c7c16 00002142 03000000 2167107f ..|...!B....!g.. + 08170 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 08180 61646472 00030400 00219e08 6e656c65 addr.....!..nele + 08190 6d000000 16230223 00086d63 61737400 m....#.#..mcast. + 081a0 00002159 02230400 09616466 5f6e6574 ..!Y.#...adf_net + 081b0 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t + 081c0 0000001c 16096164 665f6e65 745f636d ......adf_net_cm + 081d0 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... + 081e0 1e840961 64665f6e 65745f63 6d645f63 ...adf_net_cmd_c + 081f0 6b73756d 5f696e66 6f5f7400 00001ffc ksum_info_t..... + 08200 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin + 08210 675f696e 666f5f74 0000001e 48096164 g_info_t....H.ad + 08220 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in + 08230 666f5f74 0000001f 2d096164 665f6e65 fo_t....-.adf_ne + 08240 745f636d 645f7669 645f7400 00001cb2 t_cmd_vid_t..... + 08250 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off + 08260 6c6f6164 5f636170 5f740000 00206d09 load_cap_t... m. + 08270 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat + 08280 735f7400 000020a9 09616466 5f6e6574 s_t... ..adf_net + 08290 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... + 082a0 21670d61 64665f6e 65745f63 6d645f6d !g.adf_net_cmd_m + 082b0 63617374 5f636170 00040000 22e00e41 cast_cap...."..A + 082c0 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP + 082d0 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST + 082e0 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n + 082f0 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 08300 5f740000 00229818 03040000 23b2086c _t..."......#..l + 08310 696e6b5f 696e666f 00000021 9e022300 ink_info...!..#. + 08320 08706f6c 6c5f696e 666f0000 0021bb02 .poll_info...!.. + 08330 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... + 08340 21d80223 00087269 6e675f69 6e666f00 !..#..ring_info. + 08350 000021f6 02230008 646d615f 696e666f ..!..#..dma_info + 08360 00000022 13022300 08766964 00000022 ..."..#..vid..." + 08370 2f022300 086f6666 6c6f6164 5f636170 /.#..offload_cap + 08380 00000022 46022300 08737461 74730000 ..."F.#..stats.. + 08390 00226502 2300086d 63617374 5f696e66 ."e.#..mcast_inf + 083a0 6f000000 227e0223 00086d63 6173745f o..."~.#..mcast_ + 083b0 63617000 000022e0 02230000 14040000 cap..."..#...... + 083c0 24090e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C + 083d0 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 083e0 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW + 083f0 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 08400 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY + 08410 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 08420 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# + 08430 b2120800 00244908 72657375 6c740000 .....$I.result.. + 08440 00240902 23000876 616c0000 00162302 .$..#..val....#. + 08450 23040012 08000024 79087479 70650000 #......$y.type.. + 08460 00205502 2300086d 73730000 001cb202 . U.#..mss...... + 08470 23040868 64725f6f 66660000 00168a02 #..hdr_off...... + 08480 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q + 08490 68656164 000c0000 24b80868 65616400 head....$..head. + 084a0 00001443 02230008 7461696c 00000014 ...C.#..tail.... + 084b0 43022304 08716c65 6e000000 16230223 C.#..qlen....#.# + 084c0 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. + 084d0 00001443 03000016 99040003 00001623 ...C...........# + 084e0 04000201 06000013 25010600 00162301 ........%.....#. + 084f0 06000016 99010600 00169901 03000013 ................ + 08500 06040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q + 08510 68656164 5f740000 00247909 5f5f6164 head_t...$y.__ad + 08520 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 08530 0024f903 00002511 04000600 0024b801 .$....%......$.. + 08540 06000024 b8011404 00002631 0e415f53 ...$......&1.A_S + 08550 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA + 08560 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S + 08570 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A + 08580 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. + 08590 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL + 085a0 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP + 085b0 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT + 085c0 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ + 085d0 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A + 085e0 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... + 085f0 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO + 08600 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU + 08610 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT + 08620 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST + 08630 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st + 08640 61747573 5f740000 00253c06 00002631 atus_t...%<...&1 + 08650 01060000 011e0102 01096164 665f6e62 ..........adf_nb + 08660 75665f74 00000024 b8140400 0026960e uf_t...$.....&.. + 08670 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE + 08680 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM + 08690 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... + 086a0 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ + 086b0 74000000 265f0600 00263101 02010961 t...&_...&1....a + 086c0 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf + 086d0 6f5f7400 00001640 03000026 b4040002 o_t....@...&.... + 086e0 01020106 0000264f 01060000 24b80102 ......&O....$... + 086f0 01020106 0000264f 01060000 24b80106 ......&O....$... + 08700 0000264f 01060000 24b80106 0000264f ..&O....$.....&O + 08710 01020102 01060000 16230106 00001699 .........#...... + 08720 01020102 01060000 1b500106 00001a9d .........P...... + 08730 01060000 1a9d0109 6164665f 6f735f73 ........adf_os_s + 08740 676c6973 745f7400 000016d8 03000027 glist_t........' + 08750 2d040002 01020102 01060000 16990109 -............... + 08760 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 08770 00000025 11030000 27550400 02010300 ...%....'U...... + 08780 0024f904 00020102 01020106 0000264f .$............&O + 08790 01060000 24b80106 00001623 01060000 ....$......#.... + 087a0 16230106 00001a9d 01060000 1a9d0106 .#.............. + 087b0 00001fb9 01060000 16230109 6164665f .........#..adf_ + 087c0 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. + 087d0 00002427 03000027 b1040002 01020109 ..$'...'........ + 087e0 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. + 087f0 00244903 000027d5 04000201 02010961 .$I...'........a + 08800 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. + 08810 00000413 09616466 5f6e6574 5f766c61 .....adf_net_vla + 08820 6e686472 5f740000 001dc503 0000280a nhdr_t........(. + 08830 04000600 00263101 06000026 31010201 .....&1....&1... + 08840 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. + 08850 00002859 0864756d 6d790000 00011e02 ..(Y.dummy...... + 08860 23000002 01030000 28590400 02010300 #.......(Y...... + 08870 00286204 00075f48 49465f43 414c4c42 .(b..._HIF_CALLB + 08880 41434b00 0c000028 b7087365 6e645f62 ACK....(..send_b + 08890 75665f64 6f6e6500 0000285b 02230008 uf_done...([.#.. + 088a0 72656376 5f627566 00000028 64022304 recv_buf...(d.#. + 088b0 08636f6e 74657874 00000004 13022308 .context......#. + 088c0 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. + 088d0 00041309 4849465f 434f4e46 49470000 ....HIF_CONFIG.. + 088e0 00283803 000028c9 04000600 0028b701 .(8...(......(.. + 088f0 03000028 e0040002 01030000 28ed0400 ...(........(... + 08900 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... + 08910 286b0300 0028f604 00020103 0000290f (k...(........). + 08920 04000600 00011e01 03000029 18040002 ...........).... + 08930 01030000 29250400 06000001 1e010300 ....)%.......... + 08940 00292e04 00020103 0000293b 04000600 .)........);.... + 08950 00011e01 03000029 44040002 01030000 .......)D....... + 08960 29510400 07686966 5f617069 00380000 )Q...hif_api.8.. + 08970 2aaa085f 696e6974 00000028 e6022300 *.._init...(..#. + 08980 085f7368 7574646f 776e0000 0028ef02 ._shutdown...(.. + 08990 2304085f 72656769 73746572 5f63616c #.._register_cal + 089a0 6c626163 6b000000 29110223 08085f67 lback...)..#.._g + 089b0 65745f74 6f74616c 5f637265 6469745f et_total_credit_ + 089c0 636f756e 74000000 291e0223 0c085f73 count...)..#.._s + 089d0 74617274 00000028 ef022310 085f636f tart...(..#.._co + 089e0 6e666967 5f706970 65000000 29270223 nfig_pipe...)'.# + 089f0 14085f73 656e645f 62756666 65720000 .._send_buffer.. + 08a00 00293402 2318085f 72657475 726e5f72 .)4.#.._return_r + 08a10 6563765f 62756600 0000293d 02231c08 ecv_buf...)=.#.. + 08a20 5f69735f 70697065 5f737570 706f7274 _is_pipe_support + 08a30 65640000 00294a02 2320085f 6765745f ed...)J.# ._get_ + 08a40 6d61785f 6d73675f 6c656e00 0000294a max_msg_len...)J + 08a50 02232408 5f676574 5f726573 65727665 .#$._get_reserve + 08a60 645f6865 6164726f 6f6d0000 00291e02 d_headroom...).. + 08a70 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. + 08a80 000028ef 02232c08 5f676574 5f646566 ..(..#,._get_def + 08a90 61756c74 5f706970 65000000 29530223 ault_pipe...)S.# + 08aa0 30087052 65736572 76656400 00000413 0.pReserved..... + 08ab0 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. + 08ac0 0400002b 330e444d 415f454e 47494e45 ...+3.DMA_ENGINE + 08ad0 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN + 08ae0 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI + 08af0 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG + 08b00 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN + 08b10 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E + 08b20 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ + 08b30 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm + 08b40 615f656e 67696e65 5f740000 002aaa0d a_engine_t...*.. + 08b50 646d615f 69667479 70650004 00002b80 dma_iftype....+. + 08b60 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D + 08b70 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ + 08b80 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i + 08b90 66747970 655f7400 00002b45 06000012 ftype_t...+E.... + 08ba0 f8010300 002b9204 00020103 00002b9f .....+........+. + 08bb0 04000201 0300002b a8040006 0000092a .......+.......* + 08bc0 01030000 2bb10400 06000012 f8010300 ....+........... + 08bd0 002bbe04 00060000 12f80103 00002bcb .+............+. + 08be0 04000600 00144301 0300002b d8040002 ......C....+.... + 08bf0 01030000 2be50400 07646d61 5f6c6962 ....+....dma_lib + 08c00 5f617069 00340000 2cec0874 785f696e _api.4..,..tx_in + 08c10 69740000 002b9802 23000874 785f7374 it...+..#..tx_st + 08c20 61727400 00002ba1 02230408 72785f69 art...+..#..rx_i + 08c30 6e697400 00002b98 02230808 72785f63 nit...+..#..rx_c + 08c40 6f6e6669 67000000 2baa0223 0c087278 onfig...+..#..rx + 08c50 5f737461 72740000 002ba102 23100869 _start...+..#..i + 08c60 6e74725f 73746174 75730000 002bb702 ntr_status...+.. + 08c70 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ + 08c80 c4022318 08666c75 73685f78 6d697400 ..#..flush_xmit. + 08c90 00002ba1 02231c08 786d6974 5f646f6e ..+..#..xmit_don + 08ca0 65000000 2bd10223 20087265 61705f78 e...+..# .reap_x + 08cb0 6d697474 65640000 002bde02 23240872 mitted...+..#$.r + 08cc0 6561705f 72656376 0000002b de022328 eap_recv...+..#( + 08cd0 08726574 75726e5f 72656376 0000002b .return_recv...+ + 08ce0 e702232c 08726563 765f706b 74000000 ..#,.recv_pkt... + 08cf0 2bd10223 3000075f 5f706369 5f736f66 +..#0..__pci_sof + 08d00 7463000c 00002d0a 08737700 000028f6 tc....-..sw...(. + 08d10 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc + 08d20 5f740000 002cec03 00002d0a 04000201 _t...,....-..... + 08d30 0300002d 24040006 000012e4 01030000 ...-$........... + 08d40 2d2d0400 0d686966 5f706369 5f706970 --...hif_pci_pip + 08d50 655f7478 00040000 2d8d0e48 49465f50 e_tx....-..HIF_P + 08d60 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI + 08d70 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. + 08d80 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 08d90 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ + 08da0 70697065 5f74785f 74000000 2d3a0600 pipe_tx_t...-:.. + 08db0 002b3301 0300002d a404000d 6869665f .+3....-....hif_ + 08dc0 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... + 08dd0 2a0e4849 465f5043 495f5049 50455f52 *.HIF_PCI_PIPE_R + 08de0 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 08df0 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ + 08e00 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P + 08e10 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI + 08e20 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA + 08e30 58000400 09686966 5f706369 5f706970 X....hif_pci_pip + 08e40 655f7278 5f740000 002db106 00002b33 e_rx_t...-....+3 + 08e50 01030000 2e410400 07686966 5f706369 .....A...hif_pci + 08e60 5f617069 00240000 2f1f0870 63695f62 _api.$../..pci_b + 08e70 6f6f745f 696e6974 00000001 17022300 oot_init......#. + 08e80 08706369 5f696e69 74000000 28e60223 .pci_init...(..# + 08e90 04087063 695f7265 73657400 00000117 ..pci_reset..... + 08ea0 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. + 08eb0 00011702 230c0870 63695f72 6561705f ....#..pci_reap_ + 08ec0 786d6974 74656400 00002d26 02231008 xmitted...-&.#.. + 08ed0 7063695f 72656170 5f726563 76000000 pci_reap_recv... + 08ee0 2d260223 14087063 695f6765 745f7069 -&.#..pci_get_pi + 08ef0 70650000 002d3302 23180870 63695f67 pe...-3.#..pci_g + 08f00 65745f74 785f656e 67000000 2daa0223 et_tx_eng...-..# + 08f10 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng + 08f20 0000002e 47022320 0007676d 61635f61 ....G.# ..gmac_a + 08f30 70690004 00002f46 08676d61 635f626f pi..../F.gmac_bo + 08f40 6f745f69 6e697400 00000117 02230000 ot_init......#.. + 08f50 0f000003 2b060000 2f531005 00075f5f ....+.../S....__ + 08f60 65746868 6472000e 00002f89 08647374 ethhdr..../..dst + 08f70 0000002f 46022300 08737263 0000002f .../F.#..src.../ + 08f80 46022306 08657479 70650000 0012f802 F.#..etype...... + 08f90 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... + 08fa0 2fd71572 65730000 0012e401 00020223 /..res.........# + 08fb0 00157072 6f746f00 000012e4 01020602 ..proto......... + 08fc0 23000872 65735f6c 6f000000 12e40223 #..res_lo......# + 08fd0 01087265 735f6869 00000012 f8022302 ..res_hi......#. + 08fe0 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... + 08ff0 30130865 74680000 002f5302 23000861 0..eth.../S.#..a + 09000 74680000 002f8902 230e0861 6c69676e th.../..#..align + 09010 5f706164 00000012 f8022312 00095f5f _pad......#...__ + 09020 676d6163 5f686472 5f740000 002fd707 gmac_hdr_t.../.. + 09030 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. + 09040 305d0868 64720000 00301302 23000867 0].hdr...0..#..g + 09050 72616e00 000012f8 02231408 73770000 ran......#..sw.. + 09060 0028f602 23180007 5f415f6f 735f6c69 .(..#..._A_os_li + 09070 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 + 09080 96087665 7273696f 6e000000 011e0223 ..version......# + 09090 00087461 626c6500 0000011e 02230400 ..table......#.. + 090a0 03000030 5d040006 0000011e 01030000 ...0]........... + 090b0 309d0400 03000004 16040017 5f415f63 0..........._A_c + 090c0 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 090d0 5f746162 6c650001 b8000031 ed086861 _table.....1..ha + 090e0 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. + 090f0 000030a3 02230008 73746172 745f6273 ..0..#..start_bs + 09100 73000000 30aa0223 04086170 705f7374 s...0..#..app_st + 09110 61727400 00000117 02230808 6d656d00 art......#..mem. + 09120 00000456 02230c08 6d697363 00000005 ...V.#..misc.... + 09130 75022320 08707269 6e746600 0000014b u.# .printf....K + 09140 02234408 75617274 00000002 1402234c .#D.uart......#L + 09150 08676d61 63000000 2f1f0223 6c087573 .gmac.../..#l.us + 09160 62000000 0fa40223 7008636c 6f636b00 b......#p.clock. + 09170 00000aed 0323e001 0874696d 65720000 .....#...timer.. + 09180 00078d03 23840208 696e7472 0000000c ....#...intr.... + 09190 3d032398 0208616c 6c6f6372 616d0000 =.#...allocram.. + 091a0 00094503 23c40208 726f6d70 00000008 ..E.#...romp.... + 091b0 370323d0 02087764 745f7469 6d657200 7.#...wdt_timer. + 091c0 00000e1a 0323e002 08656570 0000000f .....#...eep.... + 091d0 480323fc 02087374 72696e67 00000006 H.#...string.... + 091e0 9903238c 03087461 736b6c65 74000000 ..#...tasklet... + 091f0 0a420323 a4030007 5f555342 5f464946 .B.#...._USB_FIF + 09200 4f5f434f 4e464947 00100000 32600867 O_CONFIG....2`.g + 09210 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. + 09220 00145002 23000872 6563765f 636f6d6d ..P.#..recv_comm + 09230 616e6400 00001466 02230408 6765745f and....f.#..get_ + 09240 6576656e 745f6275 66000000 14500223 event_buf....P.# + 09250 08087365 6e645f65 76656e74 5f646f6e ..send_event_don + 09260 65000000 14660223 0c000955 53425f46 e....f.#...USB_F + 09270 49464f5f 434f4e46 49470000 0031ed03 IFO_CONFIG...1.. + 09280 00003260 04000201 03000032 7c040007 ..2`.......2|... + 09290 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 + 092a0 d2085f69 6e697400 0000327e 02230008 .._init...2~.#.. + 092b0 5f656e61 626c655f 6576656e 745f6973 _enable_event_is + 092c0 72000000 01170223 04087052 65736572 r......#..pReser + 092d0 76656400 00000413 02230800 0f000016 ved......#...... + 092e0 8a020000 32df1001 00075f48 54435f46 ....2....._HTC_F + 092f0 52414d45 5f484452 00080000 33510845 RAME_HDR....3Q.E + 09300 6e64706f 696e7449 44000000 168a0223 ndpointID......# + 09310 0008466c 61677300 0000168a 02230108 ..Flags......#.. + 09320 5061796c 6f61644c 656e0000 001cb202 PayloadLen...... + 09330 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. + 09340 000032d2 02230408 486f7374 5365714e ..2..#..HostSeqN + 09350 756d0000 001cb202 23060012 02000033 um......#......3 + 09360 6a084d65 73736167 65494400 00001cb2 j.MessageID..... + 09370 02230000 12080000 33cd084d 65737361 .#......3..Messa + 09380 67654944 0000001c b2022300 08437265 geID......#..Cre + 09390 64697443 6f756e74 0000001c b2022302 ditCount......#. + 093a0 08437265 64697453 697a6500 00001cb2 .CreditSize..... + 093b0 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints + 093c0 00000016 8a022306 085f5061 64310000 ......#.._Pad1.. + 093d0 00168a02 23070012 0a000034 64084d65 ....#......4d.Me + 093e0 73736167 65494400 00001cb2 02230008 ssageID......#.. + 093f0 53657276 69636549 44000000 1cb20223 ServiceID......# + 09400 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag + 09410 73000000 1cb20223 0408446f 776e4c69 s......#..DownLi + 09420 6e6b5069 70654944 00000016 8a022306 nkPipeID......#. + 09430 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... + 09440 168a0223 07085365 72766963 654d6574 ...#..ServiceMet + 09450 614c656e 67746800 0000168a 02230808 aLength......#.. + 09460 5f506164 31000000 168a0223 0900120a _Pad1......#.... + 09470 000034ec 084d6573 73616765 49440000 ..4..MessageID.. + 09480 001cb202 23000853 65727669 63654944 ....#..ServiceID + 09490 0000001c b2022302 08537461 74757300 ......#..Status. + 094a0 0000168a 02230408 456e6470 6f696e74 .....#..Endpoint + 094b0 49440000 00168a02 2305084d 61784d73 ID......#..MaxMs + 094c0 6753697a 65000000 1cb20223 06085365 gSize......#..Se + 094d0 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 094e0 0000168a 02230808 5f506164 31000000 .....#.._Pad1... + 094f0 168a0223 09001202 00003505 084d6573 ...#......5..Mes + 09500 73616765 49440000 001cb202 23000012 sageID......#... + 09510 04000035 41084d65 73736167 65494400 ...5A.MessageID. + 09520 00001cb2 02230008 50697065 49440000 .....#..PipeID.. + 09530 00168a02 23020843 72656469 74436f75 ....#..CreditCou + 09540 6e740000 00168a02 23030012 04000035 nt......#......5 + 09550 78084d65 73736167 65494400 00001cb2 x.MessageID..... + 09560 02230008 50697065 49440000 00168a02 .#..PipeID...... + 09570 23020853 74617475 73000000 168a0223 #..Status......# + 09580 03001202 0000359f 08526563 6f726449 ......5..RecordI + 09590 44000000 168a0223 00084c65 6e677468 D......#..Length + 095a0 00000016 8a022301 00120200 0035c908 ......#......5.. + 095b0 456e6470 6f696e74 49440000 00168a02 EndpointID...... + 095c0 23000843 72656469 74730000 00168a02 #..Credits...... + 095d0 23010012 04000036 0a08456e 64706f69 #......6..Endpoi + 095e0 6e744944 00000016 8a022300 08437265 ntID......#..Cre + 095f0 64697473 00000016 8a022301 08546774 dits......#..Tgt + 09600 43726564 69745365 714e6f00 00001cb2 CreditSeqNo..... + 09610 02230200 0f000016 8a040000 36171003 .#..........6... + 09620 00120600 00365308 50726556 616c6964 .....6S.PreValid + 09630 00000016 8a022300 084c6f6f 6b416865 ......#..LookAhe + 09640 61640000 00360a02 23010850 6f737456 ad...6..#..PostV + 09650 616c6964 00000016 8a022305 0009706f alid......#...po + 09660 6f6c5f68 616e646c 655f7400 00000413 ol_handle_t..... + 09670 06000036 53010300 00366604 00020103 ...6S....6f..... + 09680 00003673 04001404 000036f1 0e504f4f ..6s......6..POO + 09690 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL + 096a0 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S + 096b0 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P + 096c0 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E + 096d0 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W + 096e0 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO + 096f0 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ + 09700 504f4f4c 5f494400 0000367c 02010300 POOL_ID...6|.... + 09710 00370204 00060000 264f0103 0000370b .7......&O....7. + 09720 04000600 00264f01 03000037 18040002 .....&O....7.... + 09730 01030000 37250400 07627566 5f706f6f ....7%...buf_poo + 09740 6c5f6170 69001c00 0037c708 5f696e69 l_api....7.._ini + 09750 74000000 366c0223 00085f73 68757464 t...6l.#.._shutd + 09760 6f776e00 00003675 02230408 5f637265 own...6u.#.._cre + 09770 6174655f 706f6f6c 00000037 04022308 ate_pool...7..#. + 09780 085f616c 6c6f635f 62756600 00003711 ._alloc_buf...7. + 09790 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a + 097a0 6c69676e 00000037 1e022310 085f6672 lign...7..#.._fr + 097b0 65655f62 75660000 00372702 23140870 ee_buf...7'.#..p + 097c0 52657365 72766564 00000004 13022318 Reserved......#. + 097d0 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. + 097e0 000038a6 08704e65 78740000 0038a602 ..8..pNext...8.. + 097f0 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs + 09800 67000000 395b0223 04085072 6f636573 g...9[.#..Proces + 09810 7353656e 64427566 66657243 6f6d706c sSendBufferCompl + 09820 65746500 00003964 02230808 50726f63 ete...9d.#..Proc + 09830 65737343 6f6e6e65 63740000 00397802 essConnect...9x. + 09840 230c0853 65727669 63654944 00000012 #..ServiceID.... + 09850 f8022310 08536572 76696365 466c6167 ..#..ServiceFlag + 09860 73000000 12f80223 12084d61 78537663 s......#..MaxSvc + 09870 4d736753 697a6500 000012f8 02231408 MsgSize......#.. + 09880 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL + 09890 696d6974 00000012 f8022316 08536572 imit......#..Ser + 098a0 76696365 43747800 00000413 02231800 viceCtx......#.. + 098b0 03000037 c7040014 04000039 4419454e ...7.......9D.EN + 098c0 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... + 098d0 ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E + 098e0 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO + 098f0 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 + 09900 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E + 09910 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO + 09920 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 + 09930 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E + 09940 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H + 09950 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. + 09960 0038ad02 01030000 39590400 02010300 .8......9Y...... + 09970 00396204 00030000 011e0400 06000012 .9b............. + 09980 e4010300 00397204 00030000 37c70400 .....9r.....7... + 09990 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... + 099a0 39f70843 72656469 7453697a 65000000 9..CreditSize... + 099b0 011e0223 00084372 65646974 4e756d62 ...#..CreditNumb + 099c0 65720000 00011e02 2304084f 5348616e er......#..OSHan + 099d0 646c6500 00001a4e 02230808 48494648 dle....N.#..HIFH + 099e0 616e646c 65000000 28b70223 0c08506f andle...(..#..Po + 099f0 6f6c4861 6e646c65 00000036 53022310 olHandle...6S.#. + 09a00 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE + 09a10 58540002 00003a33 08656e64 5f706f69 XT....:3.end_poi + 09a20 6e740000 0012e402 23000868 74635f66 nt......#..htc_f + 09a30 6c616773 00000012 e4022301 00096874 lags......#...ht + 09a40 635f6861 6e646c65 5f740000 00041309 c_handle_t...... + 09a50 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE + 09a60 54455f43 42000000 01170948 54435f43 TE_CB......HTC_C + 09a70 4f4e4649 47000000 39860300 003a6004 ONFIG...9....:`. + 09a80 00060000 3a330103 00003a77 04000201 ....:3....:w.... + 09a90 0300003a 84040009 4854435f 53455256 ...:....HTC_SERV + 09aa0 49434500 000037c7 0300003a 8d040002 ICE...7....:.... + 09ab0 01030000 3aa50400 02010300 003aae04 ....:........:.. + 09ac0 00020103 00003ab7 04000600 00011e01 ......:......... + 09ad0 0300003a c0040007 6874635f 61706973 ...:....htc_apis + 09ae0 00340000 3c3d085f 4854435f 496e6974 .4..<=._HTC_Init + 09af0 0000003a 7d022300 085f4854 435f5368 ...:}.#.._HTC_Sh + 09b00 7574646f 776e0000 003a8602 2304085f utdown...:..#.._ + 09b10 4854435f 52656769 73746572 53657276 HTC_RegisterServ + 09b20 69636500 00003aa7 02230808 5f485443 ice...:..#.._HTC + 09b30 5f526561 64790000 003a8602 230c085f _Ready...:..#.._ + 09b40 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 09b50 73000000 3ab00223 10085f48 54435f52 s...:..#.._HTC_R + 09b60 65747572 6e427566 66657273 4c697374 eturnBuffersList + 09b70 0000003a b9022314 085f4854 435f5365 ...:..#.._HTC_Se + 09b80 6e644d73 67000000 3ab00223 18085f48 ndMsg...:..#.._H + 09b90 54435f47 65745265 73657276 65644865 TC_GetReservedHe + 09ba0 6164726f 6f6d0000 003ac602 231c085f adroom...:..#.._ + 09bb0 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl + 09bc0 65720000 00286402 2320085f 4854435f er...(d.# ._HTC_ + 09bd0 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. + 09be0 0000285b 02232408 5f485443 5f436f6e ..([.#$._HTC_Con + 09bf0 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs + 09c00 67000000 395b0223 28085f48 54435f43 g...9[.#(._HTC_C + 09c10 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 09c20 53656e64 436f6d70 6c657465 00000039 SendComplete...9 + 09c30 6402232c 08705265 73657276 65640000 d.#,.pReserved.. + 09c40 00041302 23300007 686f7374 5f617070 ....#0..host_app + 09c50 5f617265 615f7300 0400003c 6d08776d _area_s......t + 09df0 75706c65 4e756d4c 0000001c b2022300 upleNumL......#. + 09e00 08747570 6c654e75 6d480000 001cb202 .tupleNumH...... + 09e10 23020861 76740000 003dd102 23040012 #..avt...=..#... + 09e20 0100003e 37086265 61636f6e 50656e64 ...>7.beaconPend + 09e30 696e6743 6f756e74 00000016 8a022300 ingCount......#. + 09e40 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI + 09e50 47001000 003ea008 48746348 616e646c G....>..HtcHandl + 09e60 65000000 3a330223 0008506f 6f6c4861 e...:3.#..PoolHa + 09e70 6e646c65 00000036 53022304 084d6178 ndle...6S.#..Max + 09e80 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... + 09e90 1e022308 084d6178 4576656e 74457674 ..#..MaxEventEvt + 09ea0 73000000 011e0223 0c000201 0300003e s......#.......> + 09eb0 a0040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND + 09ec0 4c455200 00003ea2 075f574d 495f4449 LER...>.._WMI_DI + 09ed0 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... + 09ee0 3f090870 436d6448 616e646c 65720000 ?..pCmdHandler.. + 09ef0 003ea902 23000843 6d644944 00000012 .>..#..CmdID.... + 09f00 f8022304 08466c61 67730000 0012f802 ..#..Flags...... + 09f10 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC + 09f20 485f5441 424c4500 1000003f 6a08704e H_TABLE....?j.pN + 09f30 65787400 00003f6a 02230008 70436f6e ext...?j.#..pCon + 09f40 74657874 00000004 13022304 084e756d text......#..Num + 09f50 6265724f 66456e74 72696573 00000001 berOfEntries.... + 09f60 1e022308 08705461 626c6500 00003f89 ..#..pTable...?. + 09f70 02230c00 0300003f 09040009 574d495f .#.....?....WMI_ + 09f80 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. + 09f90 003ebe03 00003f71 04000300 003f0904 .>....?q.....?.. + 09fa0 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX + 09fb0 54000000 39f70d57 4d495f45 56545f43 T...9..WMI_EVT_C + 09fc0 4c415353 00040000 40211957 4d495f45 LASS....@!.WMI_E + 09fd0 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... + 09fe0 ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 09ff0 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI + 0a000 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R + 0a010 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C + 0a020 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ + 0a030 4556545f 434c4153 53000000 3fac075f EVT_CLASS...?.._ + 0a040 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. + 0a050 0c000040 7f084874 63427566 43747800 ...@..HtcBufCtx. + 0a060 00003f97 02230008 4576656e 74436c61 ..?..#..EventCla + 0a070 73730000 00402102 23040846 6c616773 ss...@!.#..Flags + 0a080 00000012 f8022308 0009776d 695f6861 ......#...wmi_ha + 0a090 6e646c65 5f740000 00041309 574d495f ndle_t......WMI_ + 0a0a0 5356435f 434f4e46 49470000 003e3703 SVC_CONFIG...>7. + 0a0b0 00004091 04000600 00407f01 03000040 ..@......@.....@ + 0a0c0 ac040009 574d495f 44495350 41544348 ....WMI_DISPATCH + 0a0d0 5f544142 4c450000 003f0903 000040b9 _TABLE...?....@. + 0a0e0 04000201 03000040 d8040006 0000264f .......@......&O + 0a0f0 01030000 40e10400 02010300 0040ee04 ....@........@.. + 0a100 00060000 011e0103 000040f7 04000201 ..........@..... + 0a110 03000041 04040006 000012e4 01030000 ...A............ + 0a120 410d0400 075f776d 695f7376 635f6170 A...._wmi_svc_ap + 0a130 6973002c 00004255 085f574d 495f496e is.,..BU._WMI_In + 0a140 69740000 0040b202 2300085f 574d495f it...@..#.._WMI_ + 0a150 52656769 73746572 44697370 61746368 RegisterDispatch + 0a160 5461626c 65000000 40da0223 04085f57 Table...@..#.._W + 0a170 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... + 0a180 40e70223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE + 0a190 76656e74 00000040 f002230c 085f574d vent...@..#.._WM + 0a1a0 495f4765 7450656e 64696e67 4576656e I_GetPendingEven + 0a1b0 7473436f 756e7400 000040fd 02231008 tsCount...@..#.. + 0a1c0 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet + 0a1d0 6548616e 646c6572 00000039 64022314 eHandler...9d.#. + 0a1e0 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl + 0a1f0 45700000 0040fd02 2318085f 574d495f Ep...@..#.._WMI_ + 0a200 53687574 646f776e 00000041 0602231c Shutdown...A..#. + 0a210 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag + 0a220 6548616e 646c6572 00000039 5b022320 eHandler...9[.# + 0a230 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon + 0a240 6e656374 00000041 13022324 08705265 nect...A..#$.pRe + 0a250 73657276 65640000 00041302 23280007 served......#(.. + 0a260 7a73446d 61446573 63001400 0042d708 zsDmaDesc....B.. + 0a270 6374726c 00000001 82022300 08737461 ctrl......#..sta + 0a280 74757300 00000182 02230208 746f7461 tus......#..tota + 0a290 6c4c656e 00000001 82022304 08646174 lLen......#..dat + 0a2a0 6153697a 65000000 01820223 06086c61 aSize......#..la + 0a2b0 73744164 64720000 0042d702 23080864 stAddr...B..#..d + 0a2c0 61746141 64647200 000001a6 02230c08 ataAddr......#.. + 0a2d0 6e657874 41646472 00000042 d7022310 nextAddr...B..#. + 0a2e0 00030000 42550400 03000042 55040007 ....BU.....BU... + 0a2f0 7a73446d 61517565 75650008 00004317 zsDmaQueue....C. + 0a300 08686561 64000000 42de0223 00087465 .head...B..#..te + 0a310 726d696e 61746f72 00000042 de022304 rminator...B..#. + 0a320 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. + 0a330 0000437b 08686561 64000000 42de0223 ..C{.head...B..# + 0a340 00087465 726d696e 61746f72 00000042 ..terminator...B + 0a350 de022304 08786d69 7465645f 6275665f ..#..xmited_buf_ + 0a360 68656164 00000014 43022308 08786d69 head....C.#..xmi + 0a370 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... + 0a380 4302230c 00020103 0000437b 04000300 C.#.......C{.... + 0a390 0042e504 00020103 0000438b 04000300 .B........C..... + 0a3a0 00431704 00020103 0000439b 04000201 .C........C..... + 0a3b0 03000043 a4040002 01030000 43ad0400 ...C........C... + 0a3c0 06000014 43010300 0043b604 00020103 ....C....C...... + 0a3d0 000043c3 04000600 00144301 03000043 ..C.......C....C + 0a3e0 cc040002 01030000 43d90400 06000001 ........C....... + 0a3f0 1e010300 0043e204 00060000 42de0103 .....C......B... + 0a400 000043ef 04000201 03000043 fc040007 ..C........C.... + 0a410 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ + 0a420 00004572 085f696e 69740000 00437d02 ..Er._init...C}. + 0a430 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu + 0a440 65000000 438d0223 04085f69 6e69745f e...C..#.._init_ + 0a450 74785f71 75657565 00000043 9d022308 tx_queue...C..#. + 0a460 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu + 0a470 65000000 43a60223 0c085f78 6d69745f e...C..#.._xmit_ + 0a480 62756600 000043af 02231008 5f666c75 buf...C..#.._flu + 0a490 73685f78 6d697400 0000438d 02231408 sh_xmit...C..#.. + 0a4a0 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. + 0a4b0 0043bc02 2318085f 72657475 726e5f72 .C..#.._return_r + 0a4c0 6563765f 62756600 000043c5 02231c08 ecv_buf...C..#.. + 0a4d0 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf + 0a4e0 00000043 d2022320 085f7377 61705f64 ...C..# ._swap_d + 0a4f0 61746100 000043db 02232408 5f686173 ata...C..#$._has + 0a500 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. + 0a510 0043e802 2328085f 64657363 5f64756d .C..#(._desc_dum + 0a520 70000000 438d0223 2c085f67 65745f70 p...C..#,._get_p + 0a530 61636b65 74000000 43f50223 30085f72 acket...C..#0._r + 0a540 65636c61 696d5f70 61636b65 74000000 eclaim_packet... + 0a550 43fe0223 34085f70 75745f70 61636b65 C..#4._put_packe + 0a560 74000000 43fe0223 38087052 65736572 t...C..#8.pReser + 0a570 76656400 00000413 02233c00 095f415f ved......#<.._A_ + 0a580 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 0a590 6e5f7461 626c655f 74000000 30b10957 n_table_t...0..W + 0a5a0 4d495f53 56435f41 50495300 0000411a MI_SVC_APIS...A. + 0a5b0 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 0a5c0 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. + 0a5d0 0046a008 636d6e6f 73000000 45720223 .F..cmnos...Er.# + 0a5e0 00086462 67000000 03e00323 b8030868 ..dbg......#...h + 0a5f0 69660000 00295a03 23c00308 68746300 if...)Z.#...htc. + 0a600 00003acd 0323f803 08776d69 5f737663 ..:..#...wmi_svc + 0a610 5f617069 00000045 940323ac 04087573 _api...E..#...us + 0a620 62666966 6f5f6170 69000000 32850323 bfifo_api...2..# + 0a630 d8040862 75665f70 6f6f6c00 0000372e ...buf_pool...7. + 0a640 0323e404 08766275 66000000 146d0323 .#...vbuf....m.# + 0a650 80050876 64657363 00000013 4f032394 ...vdesc....O.#. + 0a660 0508616c 6c6f6372 616d0000 00094503 ..allocram....E. + 0a670 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. + 0a680 00440503 23b40508 646d615f 6c696200 .D..#...dma_lib. + 0a690 00002bee 0323f405 08686966 5f706369 ..+..#...hif_pci + 0a6a0 0000002e 4e0323a8 0600095f 415f6d61 ....N.#...._A_ma + 0a6b0 67706965 5f696e64 69726563 74696f6e gpie_indirection + 0a6c0 5f746162 6c655f74 00000045 a61a616c _table_t...E..al + 0a6d0 6c6f6372 616d5f63 75727265 6e745f61 locram_current_a + 0a6e0 64647200 0000092a 05030050 0954011a ddr....*...P.T.. + 0a6f0 616c6c6f 6372616d 5f72656d 61696e69 allocram_remaini + 0a700 6e675f62 79746573 00000009 2a050300 ng_bytes....*... + 0a710 50095801 0f000001 25230000 47171022 P.X.....%#..G.." + 0a720 00030000 470a0400 0f000001 251c0000 ....G.......%... + 0a730 472b101b 00030000 471e0400 0f000001 G+......G....... + 0a740 251d0000 473f101c 00030000 47320400 %...G?......G2.. + 0a750 03000009 45040002 011b011b 636d6e6f ....E.......cmno + 0a760 735f616c 6c6f6372 616d5f69 6e697400 s_allocram_init. + 0a770 00000413 01010392 01200290 00008e1b ......... ...... + 0a780 cc008e1b dc000047 b31c011b 6172656e .......G....aren + 0a790 615f7374 61727400 00000413 01521c01 a_start......R.. + 0a7a0 1b617265 6e615f73 7a000000 092a0153 .arena_sz....*.S + 0a7b0 1d617374 61727400 0000092a 001b014a .astart....*...J + 0a7c0 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 0a7d0 00041301 01039201 20029000 008e1bdc ........ ....... + 0a7e0 008e1c0c 0000480d 1c014a77 68696368 ......H...Jwhich + 0a7f0 5f617265 6e610000 00041301 521c014a _arena......R..J + 0a800 6e627974 65730000 00092a01 531d7074 nbytes....*.S.pt + 0a810 72000000 0413001e 015c636d 6e6f735f r........\cmnos_ + 0a820 616c6c6f 6372616d 5f646562 75670001 allocram_debug.. + 0a830 01039201 20029000 008e1c0c 008e1c2d .... ..........- + 0a840 1f016363 6d6e6f73 5f616c6c 6f637261 ..ccmnos_allocra + 0a850 6d5f6d6f 64756c65 5f696e73 74616c6c m_module_install + 0a860 00010103 92012002 9000008e 1c30008e ...... ......0.. + 0a870 1c441c01 6374626c 00000047 46015200 .D..ctbl...GF.R. + 0a880 00000000 4b590002 000005ab 04012f72 ....KY......../r + 0a890 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0a8a0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0a8b0 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 0a8c0 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 0a8d0 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 0a8e0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0a8f0 732f636c 6f636b2f 7372632f 636d6e6f s/clock/src/cmno + 0a900 735f636c 6f636b2e 63002f72 6f6f742f s_clock.c./root/ + 0a910 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0a920 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0a930 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0a940 6f732f63 6c6f636b 0078742d 78636320 os/clock.xt-xcc + 0a950 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + 0a960 6c69676e 5f696e73 74727563 74696f6e lign_instruction + 0a970 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + 0a980 543a7370 61636500 01000000 33540201 T:space.....3T.. + 0a990 03000001 0c040004 696e7400 05040463 ........int....c + 0a9a0 68617200 07010500 00011c05 0000011c har............. + 0a9b0 03000001 29040006 00000115 01030000 ....)........... + 0a9c0 01350400 07707269 6e74665f 61706900 .5...printf_api. + 0a9d0 08000001 79085f70 72696e74 665f696e ....y._printf_in + 0a9e0 69740000 00010e02 2300085f 7072696e it......#.._prin + 0a9f0 74660000 00013b02 23040004 73686f72 tf....;.#...shor + 0aa00 7420756e 7369676e 65642069 6e740007 t unsigned int.. + 0aa10 02097569 6e743136 5f740000 00017904 ..uint16_t....y. + 0aa20 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 0aa30 74000704 0975696e 7433325f 74000000 t....uint32_t... + 0aa40 019d0775 6172745f 6669666f 00080000 ...uart_fifo.... + 0aa50 020b0873 74617274 5f696e64 65780000 ...start_index.. + 0aa60 00018f02 23000865 6e645f69 6e646578 ....#..end_index + 0aa70 00000001 8f022302 086f7665 7272756e ......#..overrun + 0aa80 5f657272 00000001 b2022304 00077561 _err......#...ua + 0aa90 72745f61 70690020 000002c4 085f7561 rt_api. ....._ua + 0aaa0 72745f69 6e697400 0000031b 02230008 rt_init......#.. + 0aab0 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + 0aac0 00034202 2304085f 75617274 5f636861 ..B.#.._uart_cha + 0aad0 725f6765 74000000 03560223 08085f75 r_get....V.#.._u + 0aae0 6172745f 7374725f 6f757400 0000035f art_str_out...._ + 0aaf0 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. + 0ab00 00010e02 2310085f 75617274 5f737461 ....#.._uart_sta + 0ab10 74757300 0000031b 02231408 5f756172 tus......#.._uar + 0ab20 745f636f 6e666967 00000003 68022318 t_config....h.#. + 0ab30 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... + 0ab40 03710223 1c000300 00020b04 00077561 .q.#..........ua + 0ab50 72745f62 6c6b0010 00000315 08646562 rt_blk.......deb + 0ab60 75675f6d 6f646500 0000018f 02230008 ug_mode......#.. + 0ab70 62617564 00000001 8f022302 085f7561 baud......#.._ua + 0ab80 72740000 0002c402 2304085f 74780000 rt......#.._tx.. + 0ab90 0001c002 23080006 000001b2 01030000 ....#........... + 0aba0 03150400 04756e73 69676e65 64206368 .....unsigned ch + 0abb0 61720007 01097569 6e74385f 74000000 ar....uint8_t... + 0abc0 03220201 03000003 40040003 00000333 ."......@......3 + 0abd0 04000600 00018f01 03000003 50040002 ............P... + 0abe0 01030000 035d0400 02010300 00036604 .....]........f. + 0abf0 00020103 0000036f 04000300 00011c04 .......o........ + 0ac00 00060000 01150103 0000037f 04000744 ...............D + 0ac10 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT + 0ac20 000c0000 03d70863 6d645f73 74720000 .......cmd_str.. + 0ac30 00037802 23000868 656c705f 73747200 ..x.#..help_str. + 0ac40 00000378 02230408 636d645f 66756e63 ...x.#..cmd_func + 0ac50 00000003 85022308 00076462 675f6170 ......#...dbg_ap + 0ac60 69000800 00040a08 5f646267 5f696e69 i......._dbg_ini + 0ac70 74000000 010e0223 00085f64 62675f74 t......#.._dbg_t + 0ac80 61736b00 0000010e 02230400 0a040004 ask......#...... + 0ac90 756e7369 676e6564 20696e74 00070406 unsigned int.... + 0aca0 0000040a 01030000 041d0400 0b0b0300 ................ + 0acb0 00042b04 00060000 040a0103 00000433 ..+............3 + 0acc0 04000600 00011501 03000004 40040007 ............@... + 0acd0 6d656d5f 61706900 14000004 af085f6d mem_api......._m + 0ace0 656d5f69 6e697400 0000010e 02230008 em_init......#.. + 0acf0 5f6d656d 73657400 00000423 02230408 _memset....#.#.. + 0ad00 5f6d656d 63707900 00000439 02230808 _memcpy....9.#.. + 0ad10 5f6d656d 6d6f7665 00000004 3902230c _memmove....9.#. + 0ad20 085f6d65 6d636d70 00000004 46022310 ._memcmp....F.#. + 0ad30 000c7265 67697374 65725f64 756d705f ..register_dump_ + 0ad40 73000001 03000004 af040002 01030000 s............... + 0ad50 04c90400 02010300 0004d204 00060000 ................ + 0ad60 01150103 000004db 04000d68 6f737469 ...........hosti + 0ad70 665f7300 04000005 370e4849 465f5553 f_s.....7.HIF_US + 0ad80 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H + 0ad90 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC + 0ada0 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI + 0adb0 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST + 0adc0 49460000 0004e806 00000537 01030000 IF.........7.... + 0add0 05450400 06000003 33010300 00055204 .E......3.....R. + 0ade0 00060000 018f0103 0000055f 0400076d ..........._...m + 0adf0 6973635f 61706900 24000006 4f085f73 isc_api.$...O._s + 0ae00 79737465 6d5f7265 73657400 0000010e ystem_reset..... + 0ae10 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. + 0ae20 00010e02 2304085f 61737366 61696c00 ....#.._assfail. + 0ae30 000004cb 02230808 5f6d6973 616c6967 .....#.._misalig + 0ae40 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler + 0ae50 00000004 cb02230c 085f7265 706f7274 ......#.._report + 0ae60 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host + 0ae70 00000004 d4022310 085f7461 72676574 ......#.._target + 0ae80 5f69645f 67657400 000004e1 02231408 _id_get......#.. + 0ae90 5f69735f 686f7374 5f707265 73656e74 _is_host_present + 0aea0 00000005 4b022318 085f6b62 68697400 ....K.#.._kbhit. + 0aeb0 00000558 02231c08 5f726f6d 5f766572 ...X.#.._rom_ver + 0aec0 73696f6e 5f676574 00000005 65022320 sion_get....e.# + 0aed0 00060000 03780103 0000064f 04000600 .....x.....O.... + 0aee0 00037801 03000006 5c040006 00000115 ..x.....\....... + 0aef0 01030000 06690400 06000001 15010300 .....i.......... + 0af00 00067604 00060000 01150103 00000683 ..v............. + 0af10 04000773 7472696e 675f6170 69001800 ...string_api... + 0af20 00070908 5f737472 696e675f 696e6974 ...._string_init + 0af30 00000001 0e022300 085f7374 72637079 ......#.._strcpy + 0af40 00000006 55022304 085f7374 726e6370 ....U.#.._strncp + 0af50 79000000 06620223 08085f73 74726c65 y....b.#.._strle + 0af60 6e000000 066f0223 0c085f73 7472636d n....o.#.._strcm + 0af70 70000000 067c0223 10085f73 74726e63 p....|.#.._strnc + 0af80 6d700000 00068902 2314000f 0000040d mp......#....... + 0af90 14000007 16100400 095f415f 54494d45 ........._A_TIME + 0afa0 525f5350 41434500 00000709 09415f74 R_SPACE......A_t + 0afb0 696d6572 5f740000 00071603 0000072a imer_t.........* + 0afc0 04000201 03000007 40040002 01030000 ........@....... + 0afd0 07490400 09415f48 414e444c 45000000 .I...A_HANDLE... + 0afe0 040d0201 09415f54 494d4552 5f46554e .....A_TIMER_FUN + 0aff0 43000000 07600300 00076204 00020103 C....`....b..... + 0b000 0000077b 04000774 696d6572 5f617069 ...{...timer_api + 0b010 00140000 07fa085f 74696d65 725f696e ......._timer_in + 0b020 69740000 00010e02 2300085f 74696d65 it......#.._time + 0b030 725f6172 6d000000 07420223 04085f74 r_arm....B.#.._t + 0b040 696d6572 5f646973 61726d00 0000074b imer_disarm....K + 0b050 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn + 0b060 00000007 7d02230c 085f7469 6d65725f ....}.#.._timer_ + 0b070 72756e00 0000010e 02231000 09424f4f run......#...BOO + 0b080 4c45414e 00000001 8f060000 07fa0103 LEAN............ + 0b090 00000807 04000600 0007fa01 03000008 ................ + 0b0a0 14040006 000007fa 01030000 08210400 .............!.. + 0b0b0 07726f6d 705f6170 69001000 00089308 .romp_api....... + 0b0c0 5f726f6d 705f696e 69740000 00010e02 _romp_init...... + 0b0d0 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa + 0b0e0 64000000 080d0223 04085f72 6f6d705f d......#.._romp_ + 0b0f0 696e7374 616c6c00 0000081a 02230808 install......#.. + 0b100 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... + 0b110 2702230c 0007726f 6d5f7061 7463685f '.#...rom_patch_ + 0b120 73740010 000008ef 08637263 31360000 st.......crc16.. + 0b130 00018f02 2300086c 656e0000 00018f02 ....#..len...... + 0b140 2302086c 645f6164 64720000 0001b202 #..ld_addr...... + 0b150 23040866 756e5f61 64647200 000001b2 #..fun_addr..... + 0b160 02230808 7066756e 00000003 4902230c .#..pfun....I.#. + 0b170 00076565 705f7265 6469725f 61646472 ..eep_redir_addr + 0b180 00040000 0921086f 66667365 74000000 .....!.offset... + 0b190 018f0223 00087369 7a650000 00018f02 ...#..size...... + 0b1a0 23020009 415f5549 4e543332 00000004 #...A_UINT32.... + 0b1b0 0d060000 040a0103 0000092f 04000761 .........../...a + 0b1c0 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... + 0b1d0 a008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 0b1e0 5f696e69 74000000 09350223 0008636d _init....5.#..cm + 0b1f0 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... + 0b200 35022304 08636d6e 6f735f61 6c6c6f63 5.#..cmnos_alloc + 0b210 72616d5f 64656275 67000000 010e0223 ram_debug......# + 0b220 08000201 03000009 a0040009 415f5441 ............A_TA + 0b230 534b4c45 545f4655 4e430000 0009a207 SKLET_FUNC...... + 0b240 5f746173 6b6c6574 00100000 0a010866 _tasklet.......f + 0b250 756e6300 000009a9 02230008 61726700 unc......#..arg. + 0b260 0000040a 02230408 73746174 65000000 .....#..state... + 0b270 01150223 08086e65 78740000 000a0102 ...#..next...... + 0b280 230c0003 000009bd 04000300 0009bd04 #............... + 0b290 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... + 0b2a0 09bd0300 000a0f04 00020103 00000a27 ...............' + 0b2b0 04000201 0300000a 30040007 7461736b ........0...task + 0b2c0 6c65745f 61706900 1400000a c5085f74 let_api......._t + 0b2d0 61736b6c 65745f69 6e697400 0000010e asklet_init..... + 0b2e0 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini + 0b2f0 745f7461 736b0000 000a2902 2304085f t_task....).#.._ + 0b300 7461736b 6c65745f 64697361 626c6500 tasklet_disable. + 0b310 00000a32 02230808 5f746173 6b6c6574 ...2.#.._tasklet + 0b320 5f736368 6564756c 65000000 0a320223 _schedule....2.# + 0b330 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. + 0b340 00010e02 23100002 01030000 0ac50400 ....#........... + 0b350 06000009 21010300 000ace04 00020103 ....!........... + 0b360 00000adb 04000763 6c6f636b 5f617069 .......clock_api + 0b370 00240000 0bbd085f 636c6f63 6b5f696e .$....._clock_in + 0b380 69740000 000ac702 2300085f 636c6f63 it......#.._cloc + 0b390 6b726567 735f696e 69740000 00010e02 kregs_init...... + 0b3a0 2304085f 75617274 5f667265 7175656e #.._uart_frequen + 0b3b0 63790000 000ad402 2308085f 64656c61 cy......#.._dela + 0b3c0 795f7573 0000000a dd02230c 085f776c y_us......#.._wl + 0b3d0 616e5f62 616e645f 73657400 00000add an_band_set..... + 0b3e0 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee + 0b3f0 645f6765 74000000 0ad40223 14085f6d d_get......#.._m + 0b400 696c6c69 7365636f 6e647300 00000ad4 illiseconds..... + 0b410 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan + 0b420 67650000 00010e02 231c085f 636c6f63 ge......#.._cloc + 0b430 6b5f7469 636b0000 00010e02 23200006 k_tick......# .. + 0b440 000001b2 01030000 0bbd0400 09415f6f .............A_o + 0b450 6c645f69 6e74725f 74000000 01b20600 ld_intr_t....... + 0b460 000bca01 0300000b dc040002 01030000 ................ + 0b470 0be90400 02010300 000bf204 00060000 ................ + 0b480 01b20103 00000bfb 04000941 5f697372 ...........A_isr + 0b490 5f740000 000c0102 01030000 0c150400 _t.............. + 0b4a0 06000004 0d010300 000c1e04 00020103 ................ + 0b4b0 00000c2b 04000769 6e74725f 61706900 ...+...intr_api. + 0b4c0 2c00000d 4d085f69 6e74725f 696e6974 ,...M._intr_init + 0b4d0 00000001 0e022300 085f696e 74725f69 ......#.._intr_i + 0b4e0 6e766f6b 655f6973 72000000 0bc30223 nvoke_isr......# + 0b4f0 04085f69 6e74725f 64697361 626c6500 .._intr_disable. + 0b500 00000be2 02230808 5f696e74 725f7265 .....#.._intr_re + 0b510 73746f72 65000000 0beb0223 0c085f69 store......#.._i + 0b520 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... + 0b530 0bf40223 10085f69 6e74725f 756e6d61 ...#.._intr_unma + 0b540 736b5f69 6e756d00 00000bf4 02231408 sk_inum......#.. + 0b550 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr + 0b560 0000000c 17022318 085f6765 745f696e ......#.._get_in + 0b570 7472656e 61626c65 0000000c 2402231c trenable....$.#. + 0b580 085f7365 745f696e 7472656e 61626c65 ._set_intrenable + 0b590 0000000c 2d022320 085f6765 745f696e ....-.# ._get_in + 0b5a0 74727065 6e64696e 67000000 0c240223 trpending....$.# + 0b5b0 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i + 0b5c0 6e74726c 766c0000 00010e02 23280011 ntrlvl......#(.. + 0b5d0 0400000d 73087469 6d656f75 74000000 ....s.timeout... + 0b5e0 01b20223 00086163 74696f6e 00000001 ...#..action.... + 0b5f0 b2022300 00120800 000d8e08 636d6400 ..#.........cmd. + 0b600 000001b2 02230013 00000d4d 02230400 .....#.....M.#.. + 0b610 09545f57 44545f43 4d440000 000d7302 .T_WDT_CMD....s. + 0b620 01030000 0d9d0400 14040000 0df30e45 ...............E + 0b630 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E + 0b640 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... + 0b650 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. + 0b660 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO + 0b670 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP + 0b680 45000000 0da60600 000df301 0300000e E............... + 0b690 04040007 7764745f 61706900 1c00000e ....wdt_api..... + 0b6a0 a8085f77 64745f69 6e697400 0000010e .._wdt_init..... + 0b6b0 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. + 0b6c0 0000010e 02230408 5f776474 5f646973 .....#.._wdt_dis + 0b6d0 61626c65 00000001 0e022308 085f7764 able......#.._wd + 0b6e0 745f7365 74000000 0d9f0223 0c085f77 t_set......#.._w + 0b6f0 64745f74 61736b00 0000010e 02231008 dt_task......#.. + 0b700 5f776474 5f726573 65740000 00010e02 _wdt_reset...... + 0b710 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo + 0b720 74000000 0e0a0223 18001404 00000f0f t......#........ + 0b730 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R + 0b740 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE + 0b750 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE + 0b760 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... + 0b770 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW + 0b780 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. + 0b790 0009545f 4545505f 52455400 00000ea8 ..T_EEP_RET..... + 0b7a0 03000001 8f040006 00000f0f 01030000 ................ + 0b7b0 0f250400 0600000f 0f010300 000f3204 .%............2. + 0b7c0 00076565 705f6170 69001000 000f9b08 ..eep_api....... + 0b7d0 5f656570 5f696e69 74000000 010e0223 _eep_init......# + 0b7e0 00085f65 65705f72 65616400 00000f2b .._eep_read....+ + 0b7f0 02230408 5f656570 5f777269 74650000 .#.._eep_write.. + 0b800 000f2b02 2308085f 6565705f 69735f65 ..+.#.._eep_is_e + 0b810 78697374 0000000f 3802230c 00077573 xist....8.#...us + 0b820 625f6170 69007000 00124808 5f757362 b_api.p...H._usb + 0b830 5f696e69 74000000 010e0223 00085f75 _init......#.._u + 0b840 73625f72 6f6d5f74 61736b00 0000010e sb_rom_task..... + 0b850 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task + 0b860 00000001 0e022308 085f7573 625f696e ......#.._usb_in + 0b870 69745f70 68790000 00010e02 230c085f it_phy......#.._ + 0b880 7573625f 6570305f 73657475 70000000 usb_ep0_setup... + 0b890 010e0223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t + 0b8a0 78000000 010e0223 14085f75 73625f65 x......#.._usb_e + 0b8b0 70305f72 78000000 010e0223 18085f75 p0_rx......#.._u + 0b8c0 73625f67 65745f69 6e746572 66616365 sb_get_interface + 0b8d0 00000008 1a02231c 085f7573 625f7365 ......#.._usb_se + 0b8e0 745f696e 74657266 61636500 0000081a t_interface..... + 0b8f0 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con + 0b900 66696775 72617469 6f6e0000 00081a02 figuration...... + 0b910 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf + 0b920 69677572 6174696f 6e000000 081a0223 iguration......# + 0b930 28085f75 73625f73 74616e64 6172645f (._usb_standard_ + 0b940 636d6400 0000081a 02232c08 5f757362 cmd......#,._usb + 0b950 5f76656e 646f725f 636d6400 0000010e _vendor_cmd..... + 0b960 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o + 0b970 66660000 00010e02 2334085f 7573625f ff......#4._usb_ + 0b980 72657365 745f6669 666f0000 00010e02 reset_fifo...... + 0b990 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. + 0b9a0 0000010e 02233c08 5f757362 5f6a756d .....#<._usb_jum + 0b9b0 705f626f 6f740000 00010e02 2340085f p_boot......#@._ + 0b9c0 7573625f 636c725f 66656174 75726500 usb_clr_feature. + 0b9d0 0000081a 02234408 5f757362 5f736574 .....#D._usb_set + 0b9e0 5f666561 74757265 00000008 1a022348 _feature......#H + 0b9f0 085f7573 625f7365 745f6164 64726573 ._usb_set_addres + 0ba00 73000000 081a0223 4c085f75 73625f67 s......#L._usb_g + 0ba10 65745f64 65736372 6970746f 72000000 et_descriptor... + 0ba20 081a0223 50085f75 73625f67 65745f73 ...#P._usb_get_s + 0ba30 74617475 73000000 081a0223 54085f75 tatus......#T._u + 0ba40 73625f73 65747570 5f646573 63000000 sb_setup_desc... + 0ba50 010e0223 58085f75 73625f72 65675f6f ...#X._usb_reg_o + 0ba60 75740000 00010e02 235c085f 7573625f ut......#\._usb_ + 0ba70 73746174 75735f69 6e000000 010e0223 status_in......# + 0ba80 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da + 0ba90 74610000 00010e02 2364085f 7573625f ta......#d._usb_ + 0baa0 6570305f 72785f64 61746100 0000010e ep0_rx_data..... + 0bab0 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini + 0bac0 74000000 010e0223 6c00075f 56444553 t......#l.._VDES + 0bad0 43002400 0012d408 6e657874 5f646573 C.$.....next_des + 0bae0 63000000 12d40223 00086275 665f6164 c......#..buf_ad + 0baf0 64720000 0012e802 23040862 75665f73 dr......#..buf_s + 0bb00 697a6500 000012ef 02230808 64617461 ize......#..data + 0bb10 5f6f6666 73657400 000012ef 02230a08 _offset......#.. + 0bb20 64617461 5f73697a 65000000 12ef0223 data_size......# + 0bb30 0c08636f 6e74726f 6c000000 12ef0223 ..control......# + 0bb40 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... + 0bb50 12fd0223 10000300 00124804 0009415f ...#......H...A_ + 0bb60 55494e54 38000000 03220300 0012db04 UINT8...."...... + 0bb70 0009415f 55494e54 31360000 0001790f ..A_UINT16....y. + 0bb80 000012db 14000013 0a101300 03000012 ................ + 0bb90 48040009 56444553 43000000 12480300 H...VDESC....H.. + 0bba0 00131104 00060000 131c0103 00001323 ...............# + 0bbb0 04000600 0012e801 03000013 30040002 ............0... + 0bbc0 01030000 133d0400 07766465 73635f61 .....=...vdesc_a + 0bbd0 70690014 000013b5 085f696e 69740000 pi......._init.. + 0bbe0 000add02 2300085f 616c6c6f 635f7664 ....#.._alloc_vd + 0bbf0 65736300 00001329 02230408 5f676574 esc....).#.._get + 0bc00 5f68775f 64657363 00000013 36022308 _hw_desc....6.#. + 0bc10 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... + 0bc20 3f02230c 08705265 73657276 65640000 ?.#..pReserved.. + 0bc30 00040a02 23100007 5f564255 46002000 ....#..._VBUF. . + 0bc40 00141508 64657363 5f6c6973 74000000 ....desc_list... + 0bc50 131c0223 00086e65 78745f62 75660000 ...#..next_buf.. + 0bc60 00141502 23040862 75665f6c 656e6774 ....#..buf_lengt + 0bc70 68000000 12ef0223 08087265 73657276 h......#..reserv + 0bc80 65640000 00141c02 230a0863 74780000 ed......#..ctx.. + 0bc90 0012fd02 230c0003 000013b5 04000f00 ....#........... + 0bca0 0012db02 00001429 10010003 000013b5 .......)........ + 0bcb0 04000956 42554600 000013b5 03000014 ...VBUF......... + 0bcc0 30040006 0000143a 01030000 14410400 0......:.....A.. + 0bcd0 06000014 3a010300 00144e04 00020103 ....:.....N..... + 0bce0 0000145b 04000776 6275665f 61706900 ...[...vbuf_api. + 0bcf0 14000014 d9085f69 6e697400 00000add ......_init..... + 0bd00 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. + 0bd10 00001447 02230408 5f616c6c 6f635f76 ...G.#.._alloc_v + 0bd20 6275665f 77697468 5f73697a 65000000 buf_with_size... + 0bd30 14540223 08085f66 7265655f 76627566 .T.#.._free_vbuf + 0bd40 00000014 5d02230c 08705265 73657276 ....].#..pReserv + 0bd50 65640000 00040a02 23100007 5f5f6164 ed......#...__ad + 0bd60 665f6465 76696365 00040000 14fb0864 f_device.......d + 0bd70 756d6d79 00000001 15022300 00030000 ummy......#..... + 0bd80 09210400 075f5f61 64665f64 6d615f6d .!...__adf_dma_m + 0bd90 6170000c 00001542 08627566 00000014 ap.....B.buf.... + 0bda0 3a022300 0864735f 61646472 00000014 :.#..ds_addr.... + 0bdb0 fb022304 0864735f 6c656e00 000012ef ..#..ds_len..... + 0bdc0 02230800 120c0000 157c085f 5f76615f .#.......|.__va_ + 0bdd0 73746b00 00000378 02230008 5f5f7661 stk....x.#..__va + 0bde0 5f726567 00000003 78022304 085f5f76 _reg....x.#..__v + 0bdf0 615f6e64 78000000 01150223 0800095f a_ndx......#..._ + 0be00 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 0be10 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d + 0be20 6d615f61 6464725f 74000000 157c095f ma_addr_t....|._ + 0be30 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 0be40 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d + 0be50 6d615f73 697a655f 74000000 15ac075f ma_size_t......_ + 0be60 5f646d61 5f736567 73000800 00160808 _dma_segs....... + 0be70 70616464 72000000 15950223 00086c65 paddr......#..le + 0be80 6e000000 15c50223 0400095f 5f615f75 n......#...__a_u + 0be90 696e7433 325f7400 00000921 09615f75 int32_t....!.a_u + 0bea0 696e7433 325f7400 00001608 0f000015 int32_t......... + 0beb0 dc080000 16371000 00076164 665f6f73 .....7....adf_os + 0bec0 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 0bed0 1670086e 73656773 00000016 1a022300 .p.nsegs......#. + 0bee0 08646d61 5f736567 73000000 162a0223 .dma_segs....*.# + 0bef0 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 0bf00 0012db09 615f7569 6e74385f 74000000 ....a_uint8_t... + 0bf10 16700300 00168104 00075f5f 73675f73 .p........__sg_s + 0bf20 65677300 08000016 c2087661 64647200 egs.......vaddr. + 0bf30 00001690 02230008 6c656e00 0000161a .....#..len..... + 0bf40 02230400 0f000016 97200000 16cf1003 .#....... ...... + 0bf50 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 0bf60 24000017 02086e73 65677300 0000161a $.....nsegs..... + 0bf70 02230008 73675f73 65677300 000016c2 .#..sg_segs..... + 0bf80 02230400 12100000 174b0876 656e646f .#.......K.vendo + 0bf90 72000000 161a0223 00086465 76696365 r......#..device + 0bfa0 00000016 1a022304 08737562 76656e64 ......#..subvend + 0bfb0 6f720000 00161a02 23080873 75626465 or......#..subde + 0bfc0 76696365 00000016 1a02230c 00046c6f vice......#...lo + 0bfd0 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 0bfe0 20696e74 00070809 415f5549 4e543634 int....A_UINT64 + 0bff0 00000017 4b095f5f 615f7569 6e743634 ....K.__a_uint64 + 0c000 5f740000 00176509 615f7569 6e743634 _t....e.a_uint64 + 0c010 5f740000 00177314 04000017 d10e4144 _t....s.......AD + 0c020 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 0c030 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 0c040 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 0c050 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou + 0c060 7263655f 74797065 5f740000 00179512 rce_type_t...... + 0c070 18000018 1b087374 61727400 00001785 ......start..... + 0c080 02230008 656e6400 00001785 02230808 .#..end......#.. + 0c090 74797065 00000017 d1022310 00096164 type......#...ad + 0c0a0 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 0c0b0 74000000 17020300 00181b04 00110400 t............... + 0c0c0 00185a08 70636900 00001834 02230008 ..Z.pci....4.#.. + 0c0d0 72617700 0000040a 02230000 11100000 raw......#...... + 0c0e0 18790870 63690000 00181b02 23000872 .y.pci......#..r + 0c0f0 61770000 00040a02 23000009 6164665f aw......#...adf_ + 0c100 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... + 0c110 0a096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 0c120 655f7400 000017ed 03000018 8f040009 e_t............. + 0c130 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 0c140 74615f74 00000018 5a030000 18ad0400 ta_t....Z....... + 0c150 03000014 d9040009 5f5f6164 665f6f73 ........__adf_os + 0c160 5f646576 6963655f 74000000 18ce0961 _device_t......a + 0c170 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 0c180 0018d506 00001879 01030000 19010400 .......y........ + 0c190 02010300 00190e04 00096164 665f6f73 ..........adf_os + 0c1a0 5f706d5f 74000000 040a0201 03000019 _pm_t........... + 0c1b0 28040014 04000019 680e4144 465f4f53 (.......h.ADF_OS + 0c1c0 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 0c1d0 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 0c1e0 47454e45 52494300 02000961 64665f6f GENERIC....adf_o + 0c1f0 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... + 0c200 31096164 665f6f73 5f627573 5f726567 1.adf_os_bus_reg + 0c210 5f646174 615f7400 0000183b 03000003 _data_t....;.... + 0c220 22040007 5f616466 5f647276 5f696e66 "..._adf_drv_inf + 0c230 6f002000 001a4508 6472765f 61747461 o. ...E.drv_atta + 0c240 63680000 00190702 23000864 72765f64 ch......#..drv_d + 0c250 65746163 68000000 19100223 04086472 etach......#..dr + 0c260 765f7375 7370656e 64000000 192a0223 v_suspend....*.# + 0c270 08086472 765f7265 73756d65 00000019 ..drv_resume.... + 0c280 1002230c 08627573 5f747970 65000000 ..#..bus_type... + 0c290 19680223 10086275 735f6461 74610000 .h.#..bus_data.. + 0c2a0 00197f02 2314086d 6f645f6e 616d6500 ....#..mod_name. + 0c2b0 0000199a 02231808 69666e61 6d650000 .....#..ifname.. + 0c2c0 00199a02 231c0009 6164665f 6f735f68 ....#...adf_os_h + 0c2d0 616e646c 655f7400 0000040a 03000016 andle_t......... + 0c2e0 70040002 01020109 5f5f6164 665f6f73 p.......__adf_os + 0c2f0 5f73697a 655f7400 0000040d 14040000 _size_t......... + 0c300 1a940e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 0c310 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. + 0c320 00001a7a 03000015 02040009 5f5f6164 ...z........__ad + 0c330 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 0c340 001aa202 010d6164 665f6f73 5f636163 ......adf_os_cac + 0c350 68655f73 796e6300 0400001b 2c0e4144 he_sync.....,.AD + 0c360 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 0c370 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 0c380 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 0c390 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 0c3a0 435f504f 53545752 49544500 03000961 C_POSTWRITE....a + 0c3b0 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 0c3c0 5f740000 001ac302 01096164 665f6f73 _t........adf_os + 0c3d0 5f73697a 655f7400 00001a65 0600001b _size_t....e.... + 0c3e0 47010961 64665f6f 735f646d 615f6d61 G..adf_os_dma_ma + 0c3f0 705f7400 00001aa9 0300001b 60040006 p_t.........`... + 0c400 0000040a 01030000 1aa90400 06000004 ................ + 0c410 0a010201 06000015 95010201 0473686f .............sho + 0c420 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 + 0c430 36000000 1b9a095f 5f615f69 6e743136 6......__a_int16 + 0c440 5f740000 001ba709 615f696e 7431365f _t......a_int16_ + 0c450 74000000 1bb40473 69676e65 64206368 t......signed ch + 0c460 61720005 0109415f 494e5438 0000001b ar....A_INT8.... + 0c470 d4095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... + 0c480 e309615f 696e7438 5f740000 001bef12 ..a_int8_t...... + 0c490 0c00001c 66087375 70706f72 74656400 ....f.supported. + 0c4a0 0000161a 02230008 61647665 7274697a .....#..advertiz + 0c4b0 65640000 00161a02 23040873 70656564 ed......#..speed + 0c4c0 0000001b c5022308 08647570 6c657800 ......#..duplex. + 0c4d0 00001bff 02230a08 6175746f 6e656700 .....#..autoneg. + 0c4e0 00001681 02230b00 0f000016 81060000 .....#.......... + 0c4f0 1c731005 00076164 665f6e65 745f6574 .s....adf_net_et + 0c500 68616464 72000600 001c9708 61646472 haddr.......addr + 0c510 0000001c 66022300 00095f5f 615f7569 ....f.#...__a_ui + 0c520 6e743136 5f740000 0012ef09 615f7569 nt16_t......a_ui + 0c530 6e743136 5f740000 001c9712 0e00001c nt16_t.......... + 0c540 fb086574 6865725f 64686f73 74000000 ..ether_dhost... + 0c550 1c660223 00086574 6865725f 73686f73 .f.#..ether_shos + 0c560 74000000 1c660223 06086574 6865725f t....f.#..ether_ + 0c570 74797065 0000001c a902230c 00121400 type......#..... + 0c580 001dbc15 69705f76 65727369 6f6e0000 ....ip_version.. + 0c590 00168101 00040223 00156970 5f686c00 .......#..ip_hl. + 0c5a0 00001681 01040402 23000869 705f746f ........#..ip_to + 0c5b0 73000000 16810223 01086970 5f6c656e s......#..ip_len + 0c5c0 0000001c a9022302 0869705f 69640000 ......#..ip_id.. + 0c5d0 001ca902 23040869 705f6672 61675f6f ....#..ip_frag_o + 0c5e0 66660000 001ca902 23060869 705f7474 ff......#..ip_tt + 0c5f0 6c000000 16810223 08086970 5f70726f l......#..ip_pro + 0c600 746f0000 00168102 23090869 705f6368 to......#..ip_ch + 0c610 65636b00 00001ca9 02230a08 69705f73 eck......#..ip_s + 0c620 61646472 00000016 1a02230c 0869705f addr......#..ip_ + 0c630 64616464 72000000 161a0223 10000761 daddr......#...a + 0c640 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 0c650 00001e0e 08747069 64000000 1ca90223 .....tpid......# + 0c660 00157072 696f0000 00168101 00030223 ..prio.........# + 0c670 02156366 69000000 16810103 01022302 ..cfi.........#. + 0c680 15766964 0000001c a902040c 02230200 .vid.........#.. + 0c690 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 0c6a0 1e3f1572 65730000 00168101 00040223 .?.res.........# + 0c6b0 00157661 6c000000 1ca90204 0c022300 ..val.........#. + 0c6c0 00120c00 001e7b08 72785f62 75667369 ......{.rx_bufsi + 0c6d0 7a650000 00161a02 23000872 785f6e64 ze......#..rx_nd + 0c6e0 65736300 0000161a 02230408 74785f6e esc......#..tx_n + 0c6f0 64657363 00000016 1a022308 00120800 desc......#..... + 0c700 001ea108 706f6c6c 65640000 001a9402 ....polled...... + 0c710 23000870 6f6c6c5f 77740000 00161a02 #..poll_wt...... + 0c720 2304000f 00001681 4000001e ae103f00 #.......@.....?. + 0c730 12460000 1ed60869 665f6e61 6d650000 .F.....if_name.. + 0c740 001ea102 23000864 65765f61 64647200 ....#..dev_addr. + 0c750 00001c66 02234000 14040000 1f0d0e41 ...f.#@........A + 0c760 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 0c770 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 0c780 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... + 0c790 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 0c7a0 74000000 1ed60761 64665f64 6d615f69 t......adf_dma_i + 0c7b0 6e666f00 0800001f 5a08646d 615f6d61 nfo.....Z.dma_ma + 0c7c0 736b0000 001f0d02 23000873 675f6e73 sk......#..sg_ns + 0c7d0 65677300 0000161a 02230400 14040000 egs......#...... + 0c7e0 1fb00e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 0c7f0 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 0c800 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 0c810 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 0c820 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 0c830 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 0c840 5f747970 655f7400 00001f5a 12080000 _type_t....Z.... + 0c850 1ff30874 785f636b 73756d00 00001fb0 ...tx_cksum..... + 0c860 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... + 0c870 b0022304 00096164 665f6e65 745f636b ..#...adf_net_ck + 0c880 73756d5f 696e666f 5f740000 001fca14 sum_info_t...... + 0c890 04000020 4c0e4144 465f4e45 545f5453 ... L.ADF_NET_TS + 0c8a0 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 0c8b0 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 0c8c0 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a + 0c8d0 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 0c8e0 74000000 200d1210 000020a0 08636b73 t... ..... ..cks + 0c8f0 756d5f63 61700000 001ff302 23000874 um_cap......#..t + 0c900 736f0000 00204c02 23080876 6c616e5f so... L.#..vlan_ + 0c910 73757070 6f727465 64000000 16810223 supported......# + 0c920 0c001220 00002139 0874785f 7061636b ... ..!9.tx_pack + 0c930 65747300 0000161a 02230008 72785f70 ets......#..rx_p + 0c940 61636b65 74730000 00161a02 23040874 ackets......#..t + 0c950 785f6279 74657300 0000161a 02230808 x_bytes......#.. + 0c960 72785f62 79746573 00000016 1a02230c rx_bytes......#. + 0c970 0874785f 64726f70 70656400 0000161a .tx_dropped..... + 0c980 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. + 0c990 00161a02 23140872 785f6572 726f7273 ....#..rx_errors + 0c9a0 00000016 1a022318 0874785f 6572726f ......#..tx_erro + 0c9b0 72730000 00161a02 231c0009 6164665f rs......#...adf_ + 0c9c0 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 0c9d0 1c731600 00213903 00000021 5e107f00 .s...!9....!^... + 0c9e0 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 0c9f0 64647200 03040000 2195086e 656c656d ddr.....!..nelem + 0ca00 00000016 1a022300 086d6361 73740000 ......#..mcast.. + 0ca10 00215002 23040009 6164665f 6e65745f .!P.#...adf_net_ + 0ca20 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 0ca30 00001c0d 09616466 5f6e6574 5f636d64 .....adf_net_cmd + 0ca40 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... + 0ca50 7b096164 665f6e65 745f636d 645f636b {.adf_net_cmd_ck + 0ca60 73756d5f 696e666f 5f740000 001ff309 sum_info_t...... + 0ca70 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 0ca80 5f696e66 6f5f7400 00001e3f 09616466 _info_t....?.adf + 0ca90 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 0caa0 6f5f7400 00001f24 09616466 5f6e6574 o_t....$.adf_net + 0cab0 5f636d64 5f766964 5f740000 001ca909 _cmd_vid_t...... + 0cac0 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 0cad0 6f61645f 6361705f 74000000 20640961 oad_cap_t... d.a + 0cae0 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 0caf0 5f740000 0020a009 6164665f 6e65745f _t... ..adf_net_ + 0cb00 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! + 0cb10 5e0d6164 665f6e65 745f636d 645f6d63 ^.adf_net_cmd_mc + 0cb20 6173745f 63617000 04000022 d70e4144 ast_cap...."..AD + 0cb30 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 0cb40 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 0cb50 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne + 0cb60 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 0cb70 74000000 228f1803 04000023 a9086c69 t..."......#..li + 0cb80 6e6b5f69 6e666f00 00002195 02230008 nk_info...!..#.. + 0cb90 706f6c6c 5f696e66 6f000000 21b20223 poll_info...!..# + 0cba0 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! + 0cbb0 cf022300 0872696e 675f696e 666f0000 ..#..ring_info.. + 0cbc0 0021ed02 23000864 6d615f69 6e666f00 .!..#..dma_info. + 0cbd0 0000220a 02230008 76696400 00002226 .."..#..vid..."& + 0cbe0 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. + 0cbf0 0000223d 02230008 73746174 73000000 .."=.#..stats... + 0cc00 225c0223 00086d63 6173745f 696e666f "\.#..mcast_info + 0cc10 00000022 75022300 086d6361 73745f63 ..."u.#..mcast_c + 0cc20 61700000 0022d702 23000014 04000024 ap..."..#......$ + 0cc30 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 0cc40 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 0cc50 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 0cc60 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 0cc70 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 0cc80 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 0cc90 6b73756d 5f747970 655f7400 000023a9 ksum_type_t...#. + 0cca0 12080000 24400872 6573756c 74000000 ....$@.result... + 0ccb0 24000223 00087661 6c000000 161a0223 $..#..val......# + 0ccc0 04001208 00002470 08747970 65000000 ......$p.type... + 0ccd0 204c0223 00086d73 73000000 1ca90223 L.#..mss......# + 0cce0 04086864 725f6f66 66000000 16810223 ..hdr_off......# + 0ccf0 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 0cd00 65616400 0c000024 af086865 61640000 ead....$..head.. + 0cd10 00143a02 23000874 61696c00 0000143a ..:.#..tail....: + 0cd20 02230408 716c656e 00000016 1a022308 .#..qlen......#. + 0cd30 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 0cd40 00143a03 00001690 04000300 00161a04 ..:............. + 0cd50 00020106 0000131c 01060000 161a0106 ................ + 0cd60 00001690 01060000 16900103 000012fd ................ + 0cd70 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 0cd80 6561645f 74000000 2470095f 5f616466 ead_t...$p.__adf + 0cd90 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 0cda0 24f00300 00250804 00060000 24af0106 $....%......$... + 0cdb0 000024af 01140400 0026280e 415f5354 ..$......&(.A_ST + 0cdc0 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 0cdd0 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 0cde0 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 0cdf0 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 0ce00 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 0ce10 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 0ce20 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 0ce30 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 0ce40 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 0ce50 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 0ce60 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 0ce70 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 0ce80 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 0ce90 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 0cea0 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta + 0ceb0 7475735f 74000000 25330600 00262801 tus_t...%3...&(. + 0cec0 06000001 15010201 09616466 5f6e6275 .........adf_nbu + 0ced0 665f7400 000024af 14040000 268d0e41 f_t...$.....&..A + 0cee0 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 0cef0 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 0cf00 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... + 0cf10 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 0cf20 00000026 56060000 26280102 01096164 ...&V...&(....ad + 0cf30 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 0cf40 5f740000 00163703 000026ab 04000201 _t....7...&..... + 0cf50 02010600 00264601 06000024 af010201 .....&F....$.... + 0cf60 02010600 00264601 06000024 af010600 .....&F....$.... + 0cf70 00264601 06000024 af010600 00264601 .&F....$.....&F. + 0cf80 02010201 06000016 1a010600 00169001 ................ + 0cf90 02010201 0600001b 47010600 001a9401 ........G....... + 0cfa0 0600001a 94010961 64665f6f 735f7367 .......adf_os_sg + 0cfb0 6c697374 5f740000 0016cf03 00002724 list_t........'$ + 0cfc0 04000201 02010201 06000016 90010961 ...............a + 0cfd0 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 0cfe0 00002508 03000027 4c040002 01030000 ..%....'L....... + 0cff0 24f00400 02010201 02010600 00264601 $............&F. + 0d000 06000024 af010600 00161a01 06000016 ...$............ + 0d010 1a010600 001a9401 0600001a 94010600 ................ + 0d020 001fb001 06000016 1a010961 64665f6e ...........adf_n + 0d030 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 0d040 00241e03 000027a8 04000201 02010961 .$....'........a + 0d050 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 0d060 24400300 0027cc04 00020102 01096164 $@...'........ad + 0d070 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 0d080 00040a09 6164665f 6e65745f 766c616e ....adf_net_vlan + 0d090 6864725f 74000000 1dbc0300 00280104 hdr_t........(.. + 0d0a0 00060000 26280106 00002628 01020102 ....&(....&(.... + 0d0b0 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 0d0c0 00285008 64756d6d 79000000 01150223 .(P.dummy......# + 0d0d0 00000201 03000028 50040002 01030000 .......(P....... + 0d0e0 28590400 075f4849 465f4341 4c4c4241 (Y..._HIF_CALLBA + 0d0f0 434b000c 000028ae 0873656e 645f6275 CK....(..send_bu + 0d100 665f646f 6e650000 00285202 23000872 f_done...(R.#..r + 0d110 6563765f 62756600 0000285b 02230408 ecv_buf...([.#.. + 0d120 636f6e74 65787400 0000040a 02230800 context......#.. + 0d130 09686966 5f68616e 646c655f 74000000 .hif_handle_t... + 0d140 040a0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... + 0d150 282f0300 0028c004 00060000 28ae0103 (/...(......(... + 0d160 000028d7 04000201 03000028 e4040009 ..(........(.... + 0d170 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( + 0d180 62030000 28ed0400 02010300 00290604 b...(........).. + 0d190 00060000 01150103 0000290f 04000201 ..........)..... + 0d1a0 03000029 1c040006 00000115 01030000 ...)............ + 0d1b0 29250400 02010300 00293204 00060000 )%.......)2..... + 0d1c0 01150103 0000293b 04000201 03000029 ......);.......) + 0d1d0 48040007 6869665f 61706900 3800002a H...hif_api.8..* + 0d1e0 a1085f69 6e697400 000028dd 02230008 .._init...(..#.. + 0d1f0 5f736875 74646f77 6e000000 28e60223 _shutdown...(..# + 0d200 04085f72 65676973 7465725f 63616c6c .._register_call + 0d210 6261636b 00000029 08022308 085f6765 back...)..#.._ge + 0d220 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 0d230 6f756e74 00000029 1502230c 085f7374 ount...)..#.._st + 0d240 61727400 000028e6 02231008 5f636f6e art...(..#.._con + 0d250 6669675f 70697065 00000029 1e022314 fig_pipe...)..#. + 0d260 085f7365 6e645f62 75666665 72000000 ._send_buffer... + 0d270 292b0223 18085f72 65747572 6e5f7265 )+.#.._return_re + 0d280 63765f62 75660000 00293402 231c085f cv_buf...)4.#.._ + 0d290 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 0d2a0 64000000 29410223 20085f67 65745f6d d...)A.# ._get_m + 0d2b0 61785f6d 73675f6c 656e0000 00294102 ax_msg_len...)A. + 0d2c0 2324085f 6765745f 72657365 72766564 #$._get_reserved + 0d2d0 5f686561 64726f6f 6d000000 29150223 _headroom...)..# + 0d2e0 28085f69 73725f68 616e646c 65720000 (._isr_handler.. + 0d2f0 0028e602 232c085f 6765745f 64656661 .(..#,._get_defa + 0d300 756c745f 70697065 00000029 4a022330 ult_pipe...)J.#0 + 0d310 08705265 73657276 65640000 00040a02 .pReserved...... + 0d320 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. + 0d330 00002b2a 0e444d41 5f454e47 494e455f ..+*.DMA_ENGINE_ + 0d340 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE + 0d350 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN + 0d360 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI + 0d370 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG + 0d380 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN + 0d390 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E + 0d3a0 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma + 0d3b0 5f656e67 696e655f 74000000 2aa10d64 _engine_t...*..d + 0d3c0 6d615f69 66747970 65000400 002b770e ma_iftype....+w. + 0d3d0 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM + 0d3e0 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I + 0d3f0 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if + 0d400 74797065 5f740000 002b3c06 000012ef type_t...+<..... + 0d410 01030000 2b890400 02010300 002b9604 ....+........+.. + 0d420 00020103 00002b9f 04000600 00092101 ......+.......!. + 0d430 0300002b a8040006 000012ef 01030000 ...+............ + 0d440 2bb50400 06000012 ef010300 002bc204 +............+.. + 0d450 00060000 143a0103 00002bcf 04000201 .....:....+..... + 0d460 0300002b dc040007 646d615f 6c69625f ...+....dma_lib_ + 0d470 61706900 3400002c e3087478 5f696e69 api.4..,..tx_ini + 0d480 74000000 2b8f0223 00087478 5f737461 t...+..#..tx_sta + 0d490 72740000 002b9802 23040872 785f696e rt...+..#..rx_in + 0d4a0 69740000 002b8f02 23080872 785f636f it...+..#..rx_co + 0d4b0 6e666967 0000002b a102230c 0872785f nfig...+..#..rx_ + 0d4c0 73746172 74000000 2b980223 1008696e start...+..#..in + 0d4d0 74725f73 74617475 73000000 2bae0223 tr_status...+..# + 0d4e0 14086861 72645f78 6d697400 00002bbb ..hard_xmit...+. + 0d4f0 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. + 0d500 002b9802 231c0878 6d69745f 646f6e65 .+..#..xmit_done + 0d510 0000002b c8022320 08726561 705f786d ...+..# .reap_xm + 0d520 69747465 64000000 2bd50223 24087265 itted...+..#$.re + 0d530 61705f72 65637600 00002bd5 02232808 ap_recv...+..#(. + 0d540 72657475 726e5f72 65637600 00002bde return_recv...+. + 0d550 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ + 0d560 c8022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft + 0d570 63000c00 002d0108 73770000 0028ed02 c....-..sw...(.. + 0d580 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ + 0d590 74000000 2ce30300 002d0104 00020103 t...,....-...... + 0d5a0 00002d1b 04000600 0012db01 0300002d ..-............- + 0d5b0 2404000d 6869665f 7063695f 70697065 $...hif_pci_pipe + 0d5c0 5f747800 0400002d 840e4849 465f5043 _tx....-..HIF_PC + 0d5d0 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF + 0d5e0 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... + 0d5f0 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ + 0d600 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p + 0d610 6970655f 74785f74 0000002d 31060000 ipe_tx_t...-1... + 0d620 2b2a0103 00002d9b 04000d68 69665f70 +*....-....hif_p + 0d630 63695f70 6970655f 72780004 00002e21 ci_pipe_rx.....! + 0d640 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 0d650 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 0d660 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P + 0d670 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC + 0d680 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF + 0d690 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX + 0d6a0 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe + 0d6b0 5f72785f 74000000 2da80600 002b2a01 _rx_t...-....+*. + 0d6c0 0300002e 38040007 6869665f 7063695f ....8...hif_pci_ + 0d6d0 61706900 2400002f 16087063 695f626f api.$../..pci_bo + 0d6e0 6f745f69 6e697400 0000010e 02230008 ot_init......#.. + 0d6f0 7063695f 696e6974 00000028 dd022304 pci_init...(..#. + 0d700 08706369 5f726573 65740000 00010e02 .pci_reset...... + 0d710 23080870 63695f65 6e61626c 65000000 #..pci_enable... + 0d720 010e0223 0c087063 695f7265 61705f78 ...#..pci_reap_x + 0d730 6d697474 65640000 002d1d02 23100870 mitted...-..#..p + 0d740 63695f72 6561705f 72656376 0000002d ci_reap_recv...- + 0d750 1d022314 08706369 5f676574 5f706970 ..#..pci_get_pip + 0d760 65000000 2d2a0223 18087063 695f6765 e...-*.#..pci_ge + 0d770 745f7478 5f656e67 0000002d a102231c t_tx_eng...-..#. + 0d780 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. + 0d790 00002e3e 02232000 07676d61 635f6170 ...>.# ..gmac_ap + 0d7a0 69000400 002f3d08 676d6163 5f626f6f i..../=.gmac_boo + 0d7b0 745f696e 69740000 00010e02 2300000f t_init......#... + 0d7c0 00000322 0600002f 4a100500 075f5f65 ...".../J....__e + 0d7d0 74686864 72000e00 002f8008 64737400 thhdr..../..dst. + 0d7e0 00002f3d 02230008 73726300 00002f3d ../=.#..src.../= + 0d7f0 02230608 65747970 65000000 12ef0223 .#..etype......# + 0d800 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ + 0d810 ce157265 73000000 12db0100 02022300 ..res.........#. + 0d820 1570726f 746f0000 0012db01 02060223 .proto.........# + 0d830 00087265 735f6c6f 00000012 db022301 ..res_lo......#. + 0d840 08726573 5f686900 000012ef 02230200 .res_hi......#.. + 0d850 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 + 0d860 0a086574 68000000 2f4a0223 00086174 ..eth.../J.#..at + 0d870 68000000 2f800223 0e08616c 69676e5f h.../..#..align_ + 0d880 70616400 000012ef 02231200 095f5f67 pad......#...__g + 0d890 6d61635f 6864725f 74000000 2fce075f mac_hdr_t.../.._ + 0d8a0 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 + 0d8b0 54086864 72000000 300a0223 00086772 T.hdr...0..#..gr + 0d8c0 616e0000 0012ef02 23140873 77000000 an......#..sw... + 0d8d0 28ed0223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin + 0d8e0 6b616765 5f636865 636b0008 0000308d kage_check....0. + 0d8f0 08766572 73696f6e 00000001 15022300 .version......#. + 0d900 08746162 6c650000 00011502 23040003 .table......#... + 0d910 00003054 04000600 00011501 03000030 ..0T...........0 + 0d920 94040003 0000040d 0400175f 415f636d ..........._A_cm + 0d930 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ + 0d940 7461626c 650001b8 000031e4 0868616c table.....1..hal + 0d950 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 0d960 00309a02 23000873 74617274 5f627373 .0..#..start_bss + 0d970 00000030 a1022304 08617070 5f737461 ...0..#..app_sta + 0d980 72740000 00010e02 2308086d 656d0000 rt......#..mem.. + 0d990 00044d02 230c086d 69736300 0000056c ..M.#..misc....l + 0d9a0 02232008 7072696e 74660000 00014202 .# .printf....B. + 0d9b0 23440875 61727400 0000020b 02234c08 #D.uart......#L. + 0d9c0 676d6163 0000002f 1602236c 08757362 gmac.../..#l.usb + 0d9d0 0000000f 9b022370 08636c6f 636b0000 ......#p.clock.. + 0d9e0 000ae403 23e00108 74696d65 72000000 ....#...timer... + 0d9f0 07840323 84020869 6e747200 00000c34 ...#...intr....4 + 0da00 03239802 08616c6c 6f637261 6d000000 .#...allocram... + 0da10 093c0323 c4020872 6f6d7000 0000082e .<.#...romp..... + 0da20 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. + 0da30 000e1103 23e00208 65657000 00000f3f ....#...eep....? + 0da40 0323fc02 08737472 696e6700 00000690 .#...string..... + 0da50 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... + 0da60 390323a4 0300075f 5553425f 4649464f 9.#...._USB_FIFO + 0da70 5f434f4e 46494700 10000032 57086765 _CONFIG....2W.ge + 0da80 745f636f 6d6d616e 645f6275 66000000 t_command_buf... + 0da90 14470223 00087265 63765f63 6f6d6d61 .G.#..recv_comma + 0daa0 6e640000 00145d02 23040867 65745f65 nd....].#..get_e + 0dab0 76656e74 5f627566 00000014 47022308 vent_buf....G.#. + 0dac0 0873656e 645f6576 656e745f 646f6e65 .send_event_done + 0dad0 00000014 5d02230c 00095553 425f4649 ....].#...USB_FI + 0dae0 464f5f43 4f4e4649 47000000 31e40300 FO_CONFIG...1... + 0daf0 00325704 00020103 00003273 04000775 .2W.......2s...u + 0db00 73626669 666f5f61 7069000c 000032c9 sbfifo_api....2. + 0db10 085f696e 69740000 00327502 2300085f ._init...2u.#.._ + 0db20 656e6162 6c655f65 76656e74 5f697372 enable_event_isr + 0db30 00000001 0e022304 08705265 73657276 ......#..pReserv + 0db40 65640000 00040a02 2308000f 00001681 ed......#....... + 0db50 02000032 d6100100 075f4854 435f4652 ...2....._HTC_FR + 0db60 414d455f 48445200 08000033 4808456e AME_HDR....3H.En + 0db70 64706f69 6e744944 00000016 81022300 dpointID......#. + 0db80 08466c61 67730000 00168102 23010850 .Flags......#..P + 0db90 61796c6f 61644c65 6e000000 1ca90223 ayloadLen......# + 0dba0 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. + 0dbb0 0032c902 23040848 6f737453 65714e75 .2..#..HostSeqNu + 0dbc0 6d000000 1ca90223 06001202 00003361 m......#......3a + 0dbd0 084d6573 73616765 49440000 001ca902 .MessageID...... + 0dbe0 23000012 08000033 c4084d65 73736167 #......3..Messag + 0dbf0 65494400 00001ca9 02230008 43726564 eID......#..Cred + 0dc00 6974436f 756e7400 00001ca9 02230208 itCount......#.. + 0dc10 43726564 69745369 7a650000 001ca902 CreditSize...... + 0dc20 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. + 0dc30 00001681 02230608 5f506164 31000000 .....#.._Pad1... + 0dc40 16810223 0700120a 0000345b 084d6573 ...#......4[.Mes + 0dc50 73616765 49440000 001ca902 23000853 sageID......#..S + 0dc60 65727669 63654944 0000001c a9022302 erviceID......#. + 0dc70 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags + 0dc80 0000001c a9022304 08446f77 6e4c696e ......#..DownLin + 0dc90 6b506970 65494400 00001681 02230608 kPipeID......#.. + 0dca0 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... + 0dcb0 81022307 08536572 76696365 4d657461 ..#..ServiceMeta + 0dcc0 4c656e67 74680000 00168102 2308085f Length......#.._ + 0dcd0 50616431 00000016 81022309 00120a00 Pad1......#..... + 0dce0 0034e308 4d657373 61676549 44000000 .4..MessageID... + 0dcf0 1ca90223 00085365 72766963 65494400 ...#..ServiceID. + 0dd00 00001ca9 02230208 53746174 75730000 .....#..Status.. + 0dd10 00168102 23040845 6e64706f 696e7449 ....#..EndpointI + 0dd20 44000000 16810223 05084d61 784d7367 D......#..MaxMsg + 0dd30 53697a65 0000001c a9022306 08536572 Size......#..Ser + 0dd40 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 0dd50 00168102 2308085f 50616431 00000016 ....#.._Pad1.... + 0dd60 81022309 00120200 0034fc08 4d657373 ..#......4..Mess + 0dd70 61676549 44000000 1ca90223 00001204 ageID......#.... + 0dd80 00003538 084d6573 73616765 49440000 ..58.MessageID.. + 0dd90 001ca902 23000850 69706549 44000000 ....#..PipeID... + 0dda0 16810223 02084372 65646974 436f756e ...#..CreditCoun + 0ddb0 74000000 16810223 03001204 0000356f t......#......5o + 0ddc0 084d6573 73616765 49440000 001ca902 .MessageID...... + 0ddd0 23000850 69706549 44000000 16810223 #..PipeID......# + 0dde0 02085374 61747573 00000016 81022303 ..Status......#. + 0ddf0 00120200 00359608 5265636f 72644944 .....5..RecordID + 0de00 00000016 81022300 084c656e 67746800 ......#..Length. + 0de10 00001681 02230100 12020000 35c00845 .....#......5..E + 0de20 6e64706f 696e7449 44000000 16810223 ndpointID......# + 0de30 00084372 65646974 73000000 16810223 ..Credits......# + 0de40 01001204 00003601 08456e64 706f696e ......6..Endpoin + 0de50 74494400 00001681 02230008 43726564 tID......#..Cred + 0de60 69747300 00001681 02230108 54677443 its......#..TgtC + 0de70 72656469 74536571 4e6f0000 001ca902 reditSeqNo...... + 0de80 2302000f 00001681 04000036 0e100300 #..........6.... + 0de90 12060000 364a0850 72655661 6c696400 ....6J.PreValid. + 0dea0 00001681 02230008 4c6f6f6b 41686561 .....#..LookAhea + 0deb0 64000000 36010223 0108506f 73745661 d...6..#..PostVa + 0dec0 6c696400 00001681 02230500 09706f6f lid......#...poo + 0ded0 6c5f6861 6e646c65 5f740000 00040a06 l_handle_t...... + 0dee0 0000364a 01030000 365d0400 02010300 ..6J....6]...... + 0def0 00366a04 00140400 0036e80e 504f4f4c .6j......6..POOL + 0df00 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. + 0df10 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 0df20 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO + 0df30 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV + 0df40 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL + 0df50 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL + 0df60 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P + 0df70 4f4f4c5f 49440000 00367302 01030000 OOL_ID...6s..... + 0df80 36f90400 06000026 46010300 00370204 6......&F....7.. + 0df90 00060000 26460103 0000370f 04000201 ....&F....7..... + 0dfa0 03000037 1c040007 6275665f 706f6f6c ...7....buf_pool + 0dfb0 5f617069 001c0000 37be085f 696e6974 _api....7.._init + 0dfc0 00000036 63022300 085f7368 7574646f ...6c.#.._shutdo + 0dfd0 776e0000 00366c02 2304085f 63726561 wn...6l.#.._crea + 0dfe0 74655f70 6f6f6c00 000036fb 02230808 te_pool...6..#.. + 0dff0 5f616c6c 6f635f62 75660000 00370802 _alloc_buf...7.. + 0e000 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al + 0e010 69676e00 00003715 02231008 5f667265 ign...7..#.._fre + 0e020 655f6275 66000000 371e0223 14087052 e_buf...7..#..pR + 0e030 65736572 76656400 0000040a 02231800 eserved......#.. + 0e040 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... + 0e050 00389d08 704e6578 74000000 389d0223 .8..pNext...8..# + 0e060 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg + 0e070 00000039 52022304 0850726f 63657373 ...9R.#..Process + 0e080 53656e64 42756666 6572436f 6d706c65 SendBufferComple + 0e090 74650000 00395b02 23080850 726f6365 te...9[.#..Proce + 0e0a0 7373436f 6e6e6563 74000000 396f0223 ssConnect...9o.# + 0e0b0 0c085365 72766963 65494400 000012ef ..ServiceID..... + 0e0c0 02231008 53657276 69636546 6c616773 .#..ServiceFlags + 0e0d0 00000012 ef022312 084d6178 5376634d ......#..MaxSvcM + 0e0e0 73675369 7a650000 0012ef02 23140854 sgSize......#..T + 0e0f0 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi + 0e100 6d697400 000012ef 02231608 53657276 mit......#..Serv + 0e110 69636543 74780000 00040a02 23180003 iceCtx......#... + 0e120 000037be 04001404 0000393b 19454e44 ..7.......9;.END + 0e130 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... + 0e140 ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN + 0e150 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI + 0e160 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. + 0e170 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN + 0e180 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI + 0e190 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. + 0e1a0 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN + 0e1b0 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT + 0e1c0 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... + 0e1d0 38a40201 03000039 50040002 01030000 8......9P....... + 0e1e0 39590400 03000001 15040006 000012db 9Y.............. + 0e1f0 01030000 39690400 03000037 be040007 ....9i.....7.... + 0e200 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 + 0e210 ee084372 65646974 53697a65 00000001 ..CreditSize.... + 0e220 15022300 08437265 6469744e 756d6265 ..#..CreditNumbe + 0e230 72000000 01150223 04084f53 48616e64 r......#..OSHand + 0e240 6c650000 001a4502 23080848 49464861 le....E.#..HIFHa + 0e250 6e646c65 00000028 ae02230c 08506f6f ndle...(..#..Poo + 0e260 6c48616e 646c6500 0000364a 02231000 lHandle...6J.#.. + 0e270 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX + 0e280 54000200 003a2a08 656e645f 706f696e T....:*.end_poin + 0e290 74000000 12db0223 00086874 635f666c t......#..htc_fl + 0e2a0 61677300 000012db 02230100 09687463 ags......#...htc + 0e2b0 5f68616e 646c655f 74000000 040a0948 _handle_t......H + 0e2c0 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET + 0e2d0 455f4342 00000001 0e094854 435f434f E_CB......HTC_CO + 0e2e0 4e464947 00000039 7d030000 3a570400 NFIG...9}...:W.. + 0e2f0 0600003a 2a010300 003a6e04 00020103 ...:*....:n..... + 0e300 00003a7b 04000948 54435f53 45525649 ..:{...HTC_SERVI + 0e310 43450000 0037be03 00003a84 04000201 CE...7....:..... + 0e320 0300003a 9c040002 01030000 3aa50400 ...:........:... + 0e330 02010300 003aae04 00060000 01150103 .....:.......... + 0e340 00003ab7 04000768 74635f61 70697300 ..:....htc_apis. + 0e350 3400003c 34085f48 54435f49 6e697400 4..<4._HTC_Init. + 0e360 00003a74 02230008 5f485443 5f536875 ..:t.#.._HTC_Shu + 0e370 74646f77 6e000000 3a7d0223 04085f48 tdown...:}.#.._H + 0e380 54435f52 65676973 74657253 65727669 TC_RegisterServi + 0e390 63650000 003a9e02 2308085f 4854435f ce...:..#.._HTC_ + 0e3a0 52656164 79000000 3a7d0223 0c085f48 Ready...:}.#.._H + 0e3b0 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers + 0e3c0 0000003a a7022310 085f4854 435f5265 ...:..#.._HTC_Re + 0e3d0 7475726e 42756666 6572734c 69737400 turnBuffersList. + 0e3e0 00003ab0 02231408 5f485443 5f53656e ..:..#.._HTC_Sen + 0e3f0 644d7367 0000003a a7022318 085f4854 dMsg...:..#.._HT + 0e400 435f4765 74526573 65727665 64486561 C_GetReservedHea + 0e410 64726f6f 6d000000 3abd0223 1c085f48 droom...:..#.._H + 0e420 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle + 0e430 72000000 285b0223 20085f48 54435f53 r...([.# ._HTC_S + 0e440 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. + 0e450 00285202 2324085f 4854435f 436f6e74 .(R.#$._HTC_Cont + 0e460 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg + 0e470 00000039 52022328 085f4854 435f436f ...9R.#(._HTC_Co + 0e480 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS + 0e490 656e6443 6f6d706c 65746500 0000395b endComplete...9[ + 0e4a0 02232c08 70526573 65727665 64000000 .#,.pReserved... + 0e4b0 040a0223 30000768 6f73745f 6170705f ...#0..host_app_ + 0e4c0 61726561 5f730004 00003c64 08776d69 area_s......tu + 0e660 706c654e 756d4c00 00001ca9 02230008 pleNumL......#.. + 0e670 7475706c 654e756d 48000000 1ca90223 tupleNumH......# + 0e680 02086176 74000000 3dc80223 04001201 ..avt...=..#.... + 0e690 00003e2e 08626561 636f6e50 656e6469 ..>..beaconPendi + 0e6a0 6e67436f 756e7400 00001681 02230000 ngCount......#.. + 0e6b0 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG + 0e6c0 00100000 3e970848 74634861 6e646c65 ....>..HtcHandle + 0e6d0 0000003a 2a022300 08506f6f 6c48616e ...:*.#..PoolHan + 0e6e0 646c6500 0000364a 02230408 4d617843 dle...6J.#..MaxC + 0e6f0 6d645265 706c7945 76747300 00000115 mdReplyEvts..... + 0e700 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts + 0e710 00000001 1502230c 00020103 00003e97 ......#.......>. + 0e720 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL + 0e730 45520000 003e9907 5f574d49 5f444953 ER...>.._WMI_DIS + 0e740 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? + 0e750 00087043 6d644861 6e646c65 72000000 ..pCmdHandler... + 0e760 3ea00223 0008436d 64494400 000012ef >..#..CmdID..... + 0e770 02230408 466c6167 73000000 12ef0223 .#..Flags......# + 0e780 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH + 0e790 5f544142 4c450010 00003f61 08704e65 _TABLE....?a.pNe + 0e7a0 78740000 003f6102 23000870 436f6e74 xt...?a.#..pCont + 0e7b0 65787400 0000040a 02230408 4e756d62 ext......#..Numb + 0e7c0 65724f66 456e7472 69657300 00000115 erOfEntries..... + 0e7d0 02230808 70546162 6c650000 003f8002 .#..pTable...?.. + 0e7e0 230c0003 00003f00 04000957 4d495f44 #.....?....WMI_D + 0e7f0 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... + 0e800 3eb50300 003f6804 00030000 3f000400 >....?h.....?... + 0e810 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT + 0e820 00000039 ee0d574d 495f4556 545f434c ...9..WMI_EVT_CL + 0e830 41535300 04000040 1819574d 495f4556 ASS....@..WMI_EV + 0e840 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... + 0e850 ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 0e860 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ + 0e870 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE + 0e880 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL + 0e890 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E + 0e8a0 56545f43 4c415353 0000003f a3075f57 VT_CLASS...?.._W + 0e8b0 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. + 0e8c0 00004076 08487463 42756643 74780000 ..@v.HtcBufCtx.. + 0e8d0 003f8e02 23000845 76656e74 436c6173 .?..#..EventClas + 0e8e0 73000000 40180223 0408466c 61677300 s...@..#..Flags. + 0e8f0 000012ef 02230800 09776d69 5f68616e .....#...wmi_han + 0e900 646c655f 74000000 040a0957 4d495f53 dle_t......WMI_S + 0e910 56435f43 4f4e4649 47000000 3e2e0300 VC_CONFIG...>... + 0e920 00408804 00060000 40760103 000040a3 .@......@v....@. + 0e930 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 0e940 5441424c 45000000 3f000300 0040b004 TABLE...?....@.. + 0e950 00020103 000040cf 04000600 00264601 ......@......&F. + 0e960 03000040 d8040002 01030000 40e50400 ...@........@... + 0e970 06000001 15010300 0040ee04 00020103 .........@...... + 0e980 000040fb 04000600 0012db01 03000041 ..@............A + 0e990 04040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api + 0e9a0 73002c00 00424c08 5f574d49 5f496e69 s.,..BL._WMI_Ini + 0e9b0 74000000 40a90223 00085f57 4d495f52 t...@..#.._WMI_R + 0e9c0 65676973 74657244 69737061 74636854 egisterDispatchT + 0e9d0 61626c65 00000040 d1022304 085f574d able...@..#.._WM + 0e9e0 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ + 0e9f0 de022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv + 0ea00 656e7400 000040e7 02230c08 5f574d49 ent...@..#.._WMI + 0ea10 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent + 0ea20 73436f75 6e740000 0040f402 2310085f sCount...@..#.._ + 0ea30 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete + 0ea40 48616e64 6c657200 0000395b 02231408 Handler...9[.#.. + 0ea50 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE + 0ea60 70000000 40f40223 18085f57 4d495f53 p...@..#.._WMI_S + 0ea70 68757464 6f776e00 000040fd 02231c08 hutdown...@..#.. + 0ea80 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage + 0ea90 48616e64 6c657200 00003952 02232008 Handler...9R.# . + 0eaa0 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn + 0eab0 65637400 0000410a 02232408 70526573 ect...A..#$.pRes + 0eac0 65727665 64000000 040a0223 2800077a erved......#(..z + 0ead0 73446d61 44657363 00140000 42ce0863 sDmaDesc....B..c + 0eae0 74726c00 00000179 02230008 73746174 trl....y.#..stat + 0eaf0 75730000 00017902 23020874 6f74616c us....y.#..total + 0eb00 4c656e00 00000179 02230408 64617461 Len....y.#..data + 0eb10 53697a65 00000001 79022306 086c6173 Size....y.#..las + 0eb20 74416464 72000000 42ce0223 08086461 tAddr...B..#..da + 0eb30 74614164 64720000 00019d02 230c086e taAddr......#..n + 0eb40 65787441 64647200 000042ce 02231000 extAddr...B..#.. + 0eb50 03000042 4c040003 0000424c 0400077a ...BL.....BL...z + 0eb60 73446d61 51756575 65000800 00430e08 sDmaQueue....C.. + 0eb70 68656164 00000042 d5022300 08746572 head...B..#..ter + 0eb80 6d696e61 746f7200 000042d5 02230400 minator...B..#.. + 0eb90 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 0eba0 00437208 68656164 00000042 d5022300 .Cr.head...B..#. + 0ebb0 08746572 6d696e61 746f7200 000042d5 .terminator...B. + 0ebc0 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h + 0ebd0 65616400 0000143a 02230808 786d6974 ead....:.#..xmit + 0ebe0 65645f62 75665f74 61696c00 0000143a ed_buf_tail....: + 0ebf0 02230c00 02010300 00437204 00030000 .#.......Cr..... + 0ec00 42dc0400 02010300 00438204 00030000 B........C...... + 0ec10 430e0400 02010300 00439204 00020103 C........C...... + 0ec20 0000439b 04000201 03000043 a4040006 ..C........C.... + 0ec30 0000143a 01030000 43ad0400 02010300 ...:....C....... + 0ec40 0043ba04 00060000 143a0103 000043c3 .C.......:....C. + 0ec50 04000201 03000043 d0040006 00000115 .......C........ + 0ec60 01030000 43d90400 06000042 d5010300 ....C......B.... + 0ec70 0043e604 00020103 000043f3 04000764 .C........C....d + 0ec80 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 0ec90 00456908 5f696e69 74000000 43740223 .Ei._init...Ct.# + 0eca0 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue + 0ecb0 00000043 84022304 085f696e 69745f74 ...C..#.._init_t + 0ecc0 785f7175 65756500 00004394 02230808 x_queue...C..#.. + 0ecd0 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 0ece0 00000043 9d02230c 085f786d 69745f62 ...C..#.._xmit_b + 0ecf0 75660000 0043a602 2310085f 666c7573 uf...C..#.._flus + 0ed00 685f786d 69740000 00438402 2314085f h_xmit...C..#.._ + 0ed10 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 0ed20 43b30223 18085f72 65747572 6e5f7265 C..#.._return_re + 0ed30 63765f62 75660000 0043bc02 231c085f cv_buf...C..#.._ + 0ed40 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 0ed50 000043c9 02232008 5f737761 705f6461 ..C..# ._swap_da + 0ed60 74610000 0043d202 2324085f 6861735f ta...C..#$._has_ + 0ed70 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 0ed80 43df0223 28085f64 6573635f 64756d70 C..#(._desc_dump + 0ed90 00000043 8402232c 085f6765 745f7061 ...C..#,._get_pa + 0eda0 636b6574 00000043 ec022330 085f7265 cket...C..#0._re + 0edb0 636c6169 6d5f7061 636b6574 00000043 claim_packet...C + 0edc0 f5022334 085f7075 745f7061 636b6574 ..#4._put_packet + 0edd0 00000043 f5022338 08705265 73657276 ...C..#8.pReserv + 0ede0 65640000 00040a02 233c0009 5f415f63 ed......#<.._A_c + 0edf0 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 0ee00 5f746162 6c655f74 00000030 a809574d _table_t...0..WM + 0ee10 495f5356 435f4150 49530000 00411117 I_SVC_APIS...A.. + 0ee20 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 0ee30 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. + 0ee40 46970863 6d6e6f73 00000045 69022300 F..cmnos...Ei.#. + 0ee50 08646267 00000003 d70323b8 03086869 .dbg......#...hi + 0ee60 66000000 29510323 c0030868 74630000 f...)Q.#...htc.. + 0ee70 003ac403 23f80308 776d695f 7376635f .:..#...wmi_svc_ + 0ee80 61706900 0000458b 0323ac04 08757362 api...E..#...usb + 0ee90 6669666f 5f617069 00000032 7c0323d8 fifo_api...2|.#. + 0eea0 04086275 665f706f 6f6c0000 00372503 ..buf_pool...7%. + 0eeb0 23e40408 76627566 00000014 64032380 #...vbuf....d.#. + 0eec0 05087664 65736300 00001346 03239405 ..vdesc....F.#.. + 0eed0 08616c6c 6f637261 6d000000 093c0323 .allocram....<.# + 0eee0 a8050864 6d615f65 6e67696e 65000000 ...dma_engine... + 0eef0 43fc0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. + 0ef00 002be503 23f40508 6869665f 70636900 .+..#...hif_pci. + 0ef10 00002e45 0323a806 00095f41 5f6d6167 ...E.#...._A_mag + 0ef20 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 0ef30 7461626c 655f7400 0000459d 1a637469 table_t...E..cti + 0ef40 636b7300 00000921 05030050 095c011a cks....!...P.\.. + 0ef50 63757272 5f62616e 64000000 01150503 curr_band....... + 0ef60 00500960 01140400 00474e19 5359535f .P.`.....GN.SYS_ + 0ef70 4346475f 52454643 4c4b5f55 4e4b4e4f CFG_REFCLK_UNKNO + 0ef80 574e00ff ffffff0e 5359535f 4346475f WN......SYS_CFG_ + 0ef90 52454643 4c4b5f31 305f4d48 5a00000e REFCLK_10_MHZ... + 0efa0 5359535f 4346475f 52454643 4c4b5f32 SYS_CFG_REFCLK_2 + 0efb0 305f4d48 5a00010e 5359535f 4346475f 0_MHZ...SYS_CFG_ + 0efc0 52454643 4c4b5f34 305f4d48 5a000200 REFCLK_40_MHZ... + 0efd0 09415f72 6566636c 6b5f7370 6565645f .A_refclk_speed_ + 0efe0 74000000 46e30763 6d6e6f73 5f636c6f t...F..cmnos_clo + 0eff0 636b5f73 00140000 47eb0872 6566636c ck_s....G..refcl + 0f000 6b5f7370 65656400 0000474e 02230008 k_speed...GN.#.. + 0f010 7469636b 735f7065 725f7365 63000000 ticks_per_sec... + 0f020 09210223 0408706c 6c5f6374 726c5f35 .!.#..pll_ctrl_5 + 0f030 67687a00 00000921 02230808 706c6c5f ghz....!.#..pll_ + 0f040 6374726c 5f323467 687a0000 00092102 ctrl_24ghz....!. + 0f050 230c0870 6c6c5f73 6574746c 696e675f #..pll_settling_ + 0f060 74696d65 00000009 21022310 00050000 time....!.#..... + 0f070 47640500 0047eb1b 000047f0 01000048 Gd...G....G....H + 0f080 011c0005 000047f5 05000047 eb1b0000 ......G....G.... + 0f090 48060100 0048171c 001a636d 6e6f735f H....H....cmnos_ + 0f0a0 636c6f63 6b696e67 5f746162 6c650000 clocking_table.. + 0f0b0 00480105 03004e87 40010300 00476404 .H....N.@....Gd. + 0f0c0 001a636c 6f636b5f 696e666f 00000048 ..clock_info...H + 0f0d0 38050300 50096401 1a636d6e 6f735f73 8...P.d..cmnos_s + 0f0e0 6b69705f 706c6c5f 696e6974 00000001 kip_pll_init.... + 0f0f0 15050300 50096801 1a706c6c 5f637472 ....P.h..pll_ctr + 0f100 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. + 0f110 00000921 05030050 096c011a 706c6c5f ...!...P.l..pll_ + 0f120 6374726c 5f736574 74696e67 5f356768 ctrl_setting_5gh + 0f130 7a000000 09210503 00500970 01050000 z....!...P.p.... + 0f140 47eb0300 0048bb04 00030000 0ae40400 G....H.......... + 0f150 02011d01 6d636d6e 6f735f64 656c6179 ....mcmnos_delay + 0f160 5f757300 01010392 01200290 00008e1c _us...... ...... + 0f170 44008e1c 64000049 301e016d 75730000 D...d..I0..mus.. + 0f180 00011501 521f7265 665f636c 6b000000 ....R.ref_clk... + 0f190 09211f73 74617274 5f74696d 65000000 .!.start_time... + 0f1a0 09211f6e 756d5f74 69636b73 00000004 .!.num_ticks.... + 0f1b0 0d002001 81636d6e 6f735f6d 696c6c69 .. ..cmnos_milli + 0f1c0 7365636f 6e647300 00000921 01010392 seconds....!.... + 0f1d0 01200290 00008e1c 64008e1c 7120019a . ......d...q .. + 0f1e0 636d6e6f 735f7265 66636c6b 5f737065 cmnos_refclk_spe + 0f1f0 65645f67 65740000 00092101 01039201 ed_get....!..... + 0f200 20029000 008e1c74 008e1c80 2001a163 ......t.... ..c + 0f210 6d6e6f73 5f756172 745f6672 65717565 mnos_uart_freque + 0f220 6e637900 00000921 01010392 01200290 ncy....!..... .. + 0f230 00008e1c 80008e1c 852101b5 636d6e6f .........!..cmno + 0f240 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. + 0f250 01010392 01200290 00008e1c 88008e1c ..... .......... + 0f260 8d2101bc 636d6e6f 735f636c 6f636b72 .!..cmnos_clockr + 0f270 6567735f 696e6974 00010103 92012002 egs_init...... . + 0f280 9000008e 1c90008e 1c951d01 c9636d6e .............cmn + 0f290 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set + 0f2a0 00010103 92012002 9000008e 1c98008e ...... ......... + 0f2b0 1c9d0000 4a491e01 c9776869 63685f62 ....JI...which_b + 0f2c0 616e6400 00000115 01520021 01d0636d and......R.!..cm + 0f2d0 6e6f735f 706c6c5f 696e6974 00010103 nos_pll_init.... + 0f2e0 92012002 9000008e 1ca0008e 1ca51d01 .. ............. + 0f2f0 d7636d6e 6f735f63 6c6f636b 5f696e69 .cmnos_clock_ini + 0f300 74000101 03920120 02900000 8e1ca800 t...... ........ + 0f310 8e1cdb00 004ac11e 01d77265 665f636c .....J....ref_cl + 0f320 6b000000 09210152 1f690000 00040d1f k....!.R.i...... + 0f330 7469636b 735f7065 725f7365 63000000 ticks_per_sec... + 0f340 0921001d 01f4636d 6e6f735f 7469636b .!....cmnos_tick + 0f350 00010103 92012002 9000008e 1cdc008e ...... ......... + 0f360 1d020000 4b1c226c 6173745f 7469636b ....K."last_tick + 0f370 00000009 21050300 5009741f 63757272 ....!...P.t.curr + 0f380 656e745f 7469636b 00000009 211f6465 ent_tick....!.de + 0f390 6c74615f 7469636b 00000009 21002301 lta_tick....!.#. + 0f3a0 0115636d 6e6f735f 636c6f63 6b5f6d6f ..cmnos_clock_mo + 0f3b0 64756c65 5f696e73 74616c6c 00010103 dule_install.... + 0f3c0 92012002 9000008e 1d04008e 1d362401 .. ..........6$. + 0f3d0 01157462 6c000000 48c70152 00000000 ..tbl...H..R.... + 0f3e0 004a0400 02000007 5404012f 726f6f74 .J......T../root + 0f3f0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0f400 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0f410 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + 0f420 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + 0f430 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + 0f440 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 0f450 6570726f 6d2f7372 632f636d 6e6f735f eprom/src/cmnos_ + 0f460 65657072 6f6d2e63 002f726f 6f742f57 eeprom.c./root/W + 0f470 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0f480 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0f490 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0f4a0 732f6565 70726f6d 0078742d 78636320 s/eeprom.xt-xcc + 0f4b0 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + 0f4c0 6c69676e 5f696e73 74727563 74696f6e lign_instruction + 0f4d0 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + 0f4e0 543a7370 61636500 01000000 416f0201 T:space.....Ao.. + 0f4f0 03000001 0f040004 696e7400 05040463 ........int....c + 0f500 68617200 07010500 00011f05 0000011f har............. + 0f510 03000001 2c040006 00000118 01030000 ....,........... + 0f520 01380400 07707269 6e74665f 61706900 .8...printf_api. + 0f530 08000001 7c085f70 72696e74 665f696e ....|._printf_in + 0f540 69740000 00011102 2300085f 7072696e it......#.._prin + 0f550 74660000 00013e02 23040004 73686f72 tf....>.#...shor + 0f560 7420756e 7369676e 65642069 6e740007 t unsigned int.. + 0f570 02097569 6e743136 5f740000 00017c04 ..uint16_t....|. + 0f580 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 0f590 74000704 0975696e 7433325f 74000000 t....uint32_t... + 0f5a0 01a00775 6172745f 6669666f 00080000 ...uart_fifo.... + 0f5b0 020e0873 74617274 5f696e64 65780000 ...start_index.. + 0f5c0 00019202 23000865 6e645f69 6e646578 ....#..end_index + 0f5d0 00000001 92022302 086f7665 7272756e ......#..overrun + 0f5e0 5f657272 00000001 b5022304 00077561 _err......#...ua + 0f5f0 72745f61 70690020 000002c7 085f7561 rt_api. ....._ua + 0f600 72745f69 6e697400 0000031e 02230008 rt_init......#.. + 0f610 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + 0f620 00034502 2304085f 75617274 5f636861 ..E.#.._uart_cha + 0f630 725f6765 74000000 03590223 08085f75 r_get....Y.#.._u + 0f640 6172745f 7374725f 6f757400 00000362 art_str_out....b + 0f650 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. + 0f660 00011102 2310085f 75617274 5f737461 ....#.._uart_sta + 0f670 74757300 0000031e 02231408 5f756172 tus......#.._uar + 0f680 745f636f 6e666967 00000003 6b022318 t_config....k.#. + 0f690 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... + 0f6a0 03740223 1c000300 00020e04 00077561 .t.#..........ua + 0f6b0 72745f62 6c6b0010 00000318 08646562 rt_blk.......deb + 0f6c0 75675f6d 6f646500 00000192 02230008 ug_mode......#.. + 0f6d0 62617564 00000001 92022302 085f7561 baud......#.._ua + 0f6e0 72740000 0002c702 2304085f 74780000 rt......#.._tx.. + 0f6f0 0001c302 23080006 000001b5 01030000 ....#........... + 0f700 03180400 04756e73 69676e65 64206368 .....unsigned ch + 0f710 61720007 01097569 6e74385f 74000000 ar....uint8_t... + 0f720 03250201 03000003 43040003 00000336 .%......C......6 + 0f730 04000600 00019201 03000003 53040002 ............S... + 0f740 01030000 03600400 02010300 00036904 .....`........i. + 0f750 00020103 00000372 04000300 00011f04 .......r........ + 0f760 00060000 01180103 00000382 04000744 ...............D + 0f770 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT + 0f780 000c0000 03da0863 6d645f73 74720000 .......cmd_str.. + 0f790 00037b02 23000868 656c705f 73747200 ..{.#..help_str. + 0f7a0 0000037b 02230408 636d645f 66756e63 ...{.#..cmd_func + 0f7b0 00000003 88022308 00076462 675f6170 ......#...dbg_ap + 0f7c0 69000800 00040d08 5f646267 5f696e69 i......._dbg_ini + 0f7d0 74000000 01110223 00085f64 62675f74 t......#.._dbg_t + 0f7e0 61736b00 00000111 02230400 0a040004 ask......#...... + 0f7f0 756e7369 676e6564 20696e74 00070406 unsigned int.... + 0f800 0000040d 01030000 04200400 0b0b0300 ......... ...... + 0f810 00042e04 00060000 040d0103 00000436 ...............6 + 0f820 04000600 00011801 03000004 43040007 ............C... + 0f830 6d656d5f 61706900 14000004 b2085f6d mem_api......._m + 0f840 656d5f69 6e697400 00000111 02230008 em_init......#.. + 0f850 5f6d656d 73657400 00000426 02230408 _memset....&.#.. + 0f860 5f6d656d 63707900 0000043c 02230808 _memcpy....<.#.. + 0f870 5f6d656d 6d6f7665 00000004 3c02230c _memmove....<.#. + 0f880 085f6d65 6d636d70 00000004 49022310 ._memcmp....I.#. + 0f890 000c7265 67697374 65725f64 756d705f ..register_dump_ + 0f8a0 73000001 03000004 b2040002 01030000 s............... + 0f8b0 04cc0400 02010300 0004d504 00060000 ................ + 0f8c0 01180103 000004de 04000d68 6f737469 ...........hosti + 0f8d0 665f7300 04000005 3a0e4849 465f5553 f_s.....:.HIF_US + 0f8e0 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H + 0f8f0 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC + 0f900 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI + 0f910 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST + 0f920 49460000 0004eb06 0000053a 01030000 IF.........:.... + 0f930 05480400 06000003 36010300 00055504 .H......6.....U. + 0f940 00060000 01920103 00000562 0400076d ...........b...m + 0f950 6973635f 61706900 24000006 52085f73 isc_api.$...R._s + 0f960 79737465 6d5f7265 73657400 00000111 ystem_reset..... + 0f970 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. + 0f980 00011102 2304085f 61737366 61696c00 ....#.._assfail. + 0f990 000004ce 02230808 5f6d6973 616c6967 .....#.._misalig + 0f9a0 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler + 0f9b0 00000004 ce02230c 085f7265 706f7274 ......#.._report + 0f9c0 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host + 0f9d0 00000004 d7022310 085f7461 72676574 ......#.._target + 0f9e0 5f69645f 67657400 000004e4 02231408 _id_get......#.. + 0f9f0 5f69735f 686f7374 5f707265 73656e74 _is_host_present + 0fa00 00000005 4e022318 085f6b62 68697400 ....N.#.._kbhit. + 0fa10 0000055b 02231c08 5f726f6d 5f766572 ...[.#.._rom_ver + 0fa20 73696f6e 5f676574 00000005 68022320 sion_get....h.# + 0fa30 00060000 037b0103 00000652 04000600 .....{.....R.... + 0fa40 00037b01 03000006 5f040006 00000118 ..{....._....... + 0fa50 01030000 066c0400 06000001 18010300 .....l.......... + 0fa60 00067904 00060000 01180103 00000686 ..y............. + 0fa70 04000773 7472696e 675f6170 69001800 ...string_api... + 0fa80 00070c08 5f737472 696e675f 696e6974 ...._string_init + 0fa90 00000001 11022300 085f7374 72637079 ......#.._strcpy + 0faa0 00000006 58022304 085f7374 726e6370 ....X.#.._strncp + 0fab0 79000000 06650223 08085f73 74726c65 y....e.#.._strle + 0fac0 6e000000 06720223 0c085f73 7472636d n....r.#.._strcm + 0fad0 70000000 067f0223 10085f73 74726e63 p......#.._strnc + 0fae0 6d700000 00068c02 2314000f 00000410 mp......#....... + 0faf0 14000007 19100400 095f415f 54494d45 ........._A_TIME + 0fb00 525f5350 41434500 0000070c 09415f74 R_SPACE......A_t + 0fb10 696d6572 5f740000 00071903 0000072d imer_t.........- + 0fb20 04000201 03000007 43040002 01030000 ........C....... + 0fb30 074c0400 09415f48 414e444c 45000000 .L...A_HANDLE... + 0fb40 04100201 09415f54 494d4552 5f46554e .....A_TIMER_FUN + 0fb50 43000000 07630300 00076504 00020103 C....c....e..... + 0fb60 0000077e 04000774 696d6572 5f617069 ...~...timer_api + 0fb70 00140000 07fd085f 74696d65 725f696e ......._timer_in + 0fb80 69740000 00011102 2300085f 74696d65 it......#.._time + 0fb90 725f6172 6d000000 07450223 04085f74 r_arm....E.#.._t + 0fba0 696d6572 5f646973 61726d00 0000074e imer_disarm....N + 0fbb0 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn + 0fbc0 00000007 8002230c 085f7469 6d65725f ......#.._timer_ + 0fbd0 72756e00 00000111 02231000 09424f4f run......#...BOO + 0fbe0 4c45414e 00000001 92060000 07fd0103 LEAN............ + 0fbf0 0000080a 04000600 0007fd01 03000008 ................ + 0fc00 17040006 000007fd 01030000 08240400 .............$.. + 0fc10 07726f6d 705f6170 69001000 00089608 .romp_api....... + 0fc20 5f726f6d 705f696e 69740000 00011102 _romp_init...... + 0fc30 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa + 0fc40 64000000 08100223 04085f72 6f6d705f d......#.._romp_ + 0fc50 696e7374 616c6c00 0000081d 02230808 install......#.. + 0fc60 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... + 0fc70 2a02230c 0007726f 6d5f7061 7463685f *.#...rom_patch_ + 0fc80 73740010 000008f2 08637263 31360000 st.......crc16.. + 0fc90 00019202 2300086c 656e0000 00019202 ....#..len...... + 0fca0 2302086c 645f6164 64720000 0001b502 #..ld_addr...... + 0fcb0 23040866 756e5f61 64647200 000001b5 #..fun_addr..... + 0fcc0 02230808 7066756e 00000003 4c02230c .#..pfun....L.#. + 0fcd0 00076565 705f7265 6469725f 61646472 ..eep_redir_addr + 0fce0 00040000 0924086f 66667365 74000000 .....$.offset... + 0fcf0 01920223 00087369 7a650000 00019202 ...#..size...... + 0fd00 23020009 415f5549 4e543332 00000004 #...A_UINT32.... + 0fd10 10060000 040d0103 00000932 04000761 ...........2...a + 0fd20 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... + 0fd30 a308636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 0fd40 5f696e69 74000000 09380223 0008636d _init....8.#..cm + 0fd50 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... + 0fd60 38022304 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc + 0fd70 72616d5f 64656275 67000000 01110223 ram_debug......# + 0fd80 08000201 03000009 a3040009 415f5441 ............A_TA + 0fd90 534b4c45 545f4655 4e430000 0009a507 SKLET_FUNC...... + 0fda0 5f746173 6b6c6574 00100000 0a040866 _tasklet.......f + 0fdb0 756e6300 000009ac 02230008 61726700 unc......#..arg. + 0fdc0 0000040d 02230408 73746174 65000000 .....#..state... + 0fdd0 01180223 08086e65 78740000 000a0402 ...#..next...... + 0fde0 230c0003 000009c0 04000300 0009c004 #............... + 0fdf0 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... + 0fe00 09c00300 000a1204 00020103 00000a2a ...............* + 0fe10 04000201 0300000a 33040007 7461736b ........3...task + 0fe20 6c65745f 61706900 1400000a c8085f74 let_api......._t + 0fe30 61736b6c 65745f69 6e697400 00000111 asklet_init..... + 0fe40 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini + 0fe50 745f7461 736b0000 000a2c02 2304085f t_task....,.#.._ + 0fe60 7461736b 6c65745f 64697361 626c6500 tasklet_disable. + 0fe70 00000a35 02230808 5f746173 6b6c6574 ...5.#.._tasklet + 0fe80 5f736368 6564756c 65000000 0a350223 _schedule....5.# + 0fe90 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. + 0fea0 00011102 23100002 01030000 0ac80400 ....#........... + 0feb0 06000009 24010300 000ad104 00020103 ....$........... + 0fec0 00000ade 04000763 6c6f636b 5f617069 .......clock_api + 0fed0 00240000 0bc0085f 636c6f63 6b5f696e .$....._clock_in + 0fee0 69740000 000aca02 2300085f 636c6f63 it......#.._cloc + 0fef0 6b726567 735f696e 69740000 00011102 kregs_init...... + 0ff00 2304085f 75617274 5f667265 7175656e #.._uart_frequen + 0ff10 63790000 000ad702 2308085f 64656c61 cy......#.._dela + 0ff20 795f7573 0000000a e002230c 085f776c y_us......#.._wl + 0ff30 616e5f62 616e645f 73657400 00000ae0 an_band_set..... + 0ff40 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee + 0ff50 645f6765 74000000 0ad70223 14085f6d d_get......#.._m + 0ff60 696c6c69 7365636f 6e647300 00000ad7 illiseconds..... + 0ff70 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan + 0ff80 67650000 00011102 231c085f 636c6f63 ge......#.._cloc + 0ff90 6b5f7469 636b0000 00011102 23200006 k_tick......# .. + 0ffa0 000001b5 01030000 0bc00400 09415f6f .............A_o + 0ffb0 6c645f69 6e74725f 74000000 01b50600 ld_intr_t....... + 0ffc0 000bcd01 0300000b df040002 01030000 ................ + 0ffd0 0bec0400 02010300 000bf504 00060000 ................ + 0ffe0 01b50103 00000bfe 04000941 5f697372 ...........A_isr + 0fff0 5f740000 000c0402 01030000 0c180400 _t.............. + 10000 06000004 10010300 000c2104 00020103 ..........!..... + 10010 00000c2e 04000769 6e74725f 61706900 .......intr_api. + 10020 2c00000d 50085f69 6e74725f 696e6974 ,...P._intr_init + 10030 00000001 11022300 085f696e 74725f69 ......#.._intr_i + 10040 6e766f6b 655f6973 72000000 0bc60223 nvoke_isr......# + 10050 04085f69 6e74725f 64697361 626c6500 .._intr_disable. + 10060 00000be5 02230808 5f696e74 725f7265 .....#.._intr_re + 10070 73746f72 65000000 0bee0223 0c085f69 store......#.._i + 10080 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... + 10090 0bf70223 10085f69 6e74725f 756e6d61 ...#.._intr_unma + 100a0 736b5f69 6e756d00 00000bf7 02231408 sk_inum......#.. + 100b0 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr + 100c0 0000000c 1a022318 085f6765 745f696e ......#.._get_in + 100d0 7472656e 61626c65 0000000c 2702231c trenable....'.#. + 100e0 085f7365 745f696e 7472656e 61626c65 ._set_intrenable + 100f0 0000000c 30022320 085f6765 745f696e ....0.# ._get_in + 10100 74727065 6e64696e 67000000 0c270223 trpending....'.# + 10110 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i + 10120 6e74726c 766c0000 00011102 23280011 ntrlvl......#(.. + 10130 0400000d 76087469 6d656f75 74000000 ....v.timeout... + 10140 01b50223 00086163 74696f6e 00000001 ...#..action.... + 10150 b5022300 00120800 000d9108 636d6400 ..#.........cmd. + 10160 000001b5 02230013 00000d50 02230400 .....#.....P.#.. + 10170 09545f57 44545f43 4d440000 000d7602 .T_WDT_CMD....v. + 10180 01030000 0da00400 14040000 0df60e45 ...............E + 10190 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E + 101a0 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... + 101b0 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. + 101c0 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO + 101d0 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP + 101e0 45000000 0da90600 000df601 0300000e E............... + 101f0 07040007 7764745f 61706900 1c00000e ....wdt_api..... + 10200 ab085f77 64745f69 6e697400 00000111 .._wdt_init..... + 10210 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. + 10220 00000111 02230408 5f776474 5f646973 .....#.._wdt_dis + 10230 61626c65 00000001 11022308 085f7764 able......#.._wd + 10240 745f7365 74000000 0da20223 0c085f77 t_set......#.._w + 10250 64745f74 61736b00 00000111 02231008 dt_task......#.. + 10260 5f776474 5f726573 65740000 00011102 _wdt_reset...... + 10270 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo + 10280 74000000 0e0d0223 18001404 00000f12 t......#........ + 10290 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R + 102a0 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE + 102b0 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE + 102c0 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... + 102d0 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW + 102e0 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. + 102f0 0009545f 4545505f 52455400 00000eab ..T_EEP_RET..... + 10300 03000001 92040006 00000f12 01030000 ................ + 10310 0f280400 0600000f 12010300 000f3504 .(............5. + 10320 00076565 705f6170 69001000 000f9e08 ..eep_api....... + 10330 5f656570 5f696e69 74000000 01110223 _eep_init......# + 10340 00085f65 65705f72 65616400 00000f2e .._eep_read..... + 10350 02230408 5f656570 5f777269 74650000 .#.._eep_write.. + 10360 000f2e02 2308085f 6565705f 69735f65 ....#.._eep_is_e + 10370 78697374 0000000f 3b02230c 00077573 xist....;.#...us + 10380 625f6170 69007000 00124b08 5f757362 b_api.p...K._usb + 10390 5f696e69 74000000 01110223 00085f75 _init......#.._u + 103a0 73625f72 6f6d5f74 61736b00 00000111 sb_rom_task..... + 103b0 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task + 103c0 00000001 11022308 085f7573 625f696e ......#.._usb_in + 103d0 69745f70 68790000 00011102 230c085f it_phy......#.._ + 103e0 7573625f 6570305f 73657475 70000000 usb_ep0_setup... + 103f0 01110223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t + 10400 78000000 01110223 14085f75 73625f65 x......#.._usb_e + 10410 70305f72 78000000 01110223 18085f75 p0_rx......#.._u + 10420 73625f67 65745f69 6e746572 66616365 sb_get_interface + 10430 00000008 1d02231c 085f7573 625f7365 ......#.._usb_se + 10440 745f696e 74657266 61636500 0000081d t_interface..... + 10450 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con + 10460 66696775 72617469 6f6e0000 00081d02 figuration...... + 10470 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf + 10480 69677572 6174696f 6e000000 081d0223 iguration......# + 10490 28085f75 73625f73 74616e64 6172645f (._usb_standard_ + 104a0 636d6400 0000081d 02232c08 5f757362 cmd......#,._usb + 104b0 5f76656e 646f725f 636d6400 00000111 _vendor_cmd..... + 104c0 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o + 104d0 66660000 00011102 2334085f 7573625f ff......#4._usb_ + 104e0 72657365 745f6669 666f0000 00011102 reset_fifo...... + 104f0 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. + 10500 00000111 02233c08 5f757362 5f6a756d .....#<._usb_jum + 10510 705f626f 6f740000 00011102 2340085f p_boot......#@._ + 10520 7573625f 636c725f 66656174 75726500 usb_clr_feature. + 10530 0000081d 02234408 5f757362 5f736574 .....#D._usb_set + 10540 5f666561 74757265 00000008 1d022348 _feature......#H + 10550 085f7573 625f7365 745f6164 64726573 ._usb_set_addres + 10560 73000000 081d0223 4c085f75 73625f67 s......#L._usb_g + 10570 65745f64 65736372 6970746f 72000000 et_descriptor... + 10580 081d0223 50085f75 73625f67 65745f73 ...#P._usb_get_s + 10590 74617475 73000000 081d0223 54085f75 tatus......#T._u + 105a0 73625f73 65747570 5f646573 63000000 sb_setup_desc... + 105b0 01110223 58085f75 73625f72 65675f6f ...#X._usb_reg_o + 105c0 75740000 00011102 235c085f 7573625f ut......#\._usb_ + 105d0 73746174 75735f69 6e000000 01110223 status_in......# + 105e0 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da + 105f0 74610000 00011102 2364085f 7573625f ta......#d._usb_ + 10600 6570305f 72785f64 61746100 00000111 ep0_rx_data..... + 10610 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini + 10620 74000000 01110223 6c00075f 56444553 t......#l.._VDES + 10630 43002400 0012d708 6e657874 5f646573 C.$.....next_des + 10640 63000000 12d70223 00086275 665f6164 c......#..buf_ad + 10650 64720000 0012eb02 23040862 75665f73 dr......#..buf_s + 10660 697a6500 000012f2 02230808 64617461 ize......#..data + 10670 5f6f6666 73657400 000012f2 02230a08 _offset......#.. + 10680 64617461 5f73697a 65000000 12f20223 data_size......# + 10690 0c08636f 6e74726f 6c000000 12f20223 ..control......# + 106a0 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... + 106b0 13000223 10000300 00124b04 0009415f ...#......K...A_ + 106c0 55494e54 38000000 03250300 0012de04 UINT8....%...... + 106d0 0009415f 55494e54 31360000 00017c0f ..A_UINT16....|. + 106e0 000012de 14000013 0d101300 03000012 ................ + 106f0 4b040009 56444553 43000000 124b0300 K...VDESC....K.. + 10700 00131404 00060000 131f0103 00001326 ...............& + 10710 04000600 0012eb01 03000013 33040002 ............3... + 10720 01030000 13400400 07766465 73635f61 .....@...vdesc_a + 10730 70690014 000013b8 085f696e 69740000 pi......._init.. + 10740 000ae002 2300085f 616c6c6f 635f7664 ....#.._alloc_vd + 10750 65736300 0000132c 02230408 5f676574 esc....,.#.._get + 10760 5f68775f 64657363 00000013 39022308 _hw_desc....9.#. + 10770 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... + 10780 4202230c 08705265 73657276 65640000 B.#..pReserved.. + 10790 00040d02 23100007 5f564255 46002000 ....#..._VBUF. . + 107a0 00141808 64657363 5f6c6973 74000000 ....desc_list... + 107b0 131f0223 00086e65 78745f62 75660000 ...#..next_buf.. + 107c0 00141802 23040862 75665f6c 656e6774 ....#..buf_lengt + 107d0 68000000 12f20223 08087265 73657276 h......#..reserv + 107e0 65640000 00141f02 230a0863 74780000 ed......#..ctx.. + 107f0 00130002 230c0003 000013b8 04000f00 ....#........... + 10800 0012de02 0000142c 10010003 000013b8 .......,........ + 10810 04000956 42554600 000013b8 03000014 ...VBUF......... + 10820 33040006 0000143d 01030000 14440400 3......=.....D.. + 10830 06000014 3d010300 00145104 00020103 ....=.....Q..... + 10840 0000145e 04000776 6275665f 61706900 ...^...vbuf_api. + 10850 14000014 dc085f69 6e697400 00000ae0 ......_init..... + 10860 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. + 10870 0000144a 02230408 5f616c6c 6f635f76 ...J.#.._alloc_v + 10880 6275665f 77697468 5f73697a 65000000 buf_with_size... + 10890 14570223 08085f66 7265655f 76627566 .W.#.._free_vbuf + 108a0 00000014 6002230c 08705265 73657276 ....`.#..pReserv + 108b0 65640000 00040d02 23100007 5f5f6164 ed......#...__ad + 108c0 665f6465 76696365 00040000 14fe0864 f_device.......d + 108d0 756d6d79 00000001 18022300 00030000 ummy......#..... + 108e0 09240400 075f5f61 64665f64 6d615f6d .$...__adf_dma_m + 108f0 6170000c 00001545 08627566 00000014 ap.....E.buf.... + 10900 3d022300 0864735f 61646472 00000014 =.#..ds_addr.... + 10910 fe022304 0864735f 6c656e00 000012f2 ..#..ds_len..... + 10920 02230800 120c0000 157f085f 5f76615f .#.........__va_ + 10930 73746b00 0000037b 02230008 5f5f7661 stk....{.#..__va + 10940 5f726567 00000003 7b022304 085f5f76 _reg....{.#..__v + 10950 615f6e64 78000000 01180223 0800095f a_ndx......#..._ + 10960 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 10970 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d + 10980 6d615f61 6464725f 74000000 157f095f ma_addr_t......_ + 10990 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 109a0 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d + 109b0 6d615f73 697a655f 74000000 15af075f ma_size_t......_ + 109c0 5f646d61 5f736567 73000800 00160b08 _dma_segs....... + 109d0 70616464 72000000 15980223 00086c65 paddr......#..le + 109e0 6e000000 15c80223 0400095f 5f615f75 n......#...__a_u + 109f0 696e7433 325f7400 00000924 09615f75 int32_t....$.a_u + 10a00 696e7433 325f7400 0000160b 0f000015 int32_t......... + 10a10 df080000 163a1000 00076164 665f6f73 .....:....adf_os + 10a20 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 10a30 1673086e 73656773 00000016 1d022300 .s.nsegs......#. + 10a40 08646d61 5f736567 73000000 162d0223 .dma_segs....-.# + 10a50 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 10a60 0012de09 615f7569 6e74385f 74000000 ....a_uint8_t... + 10a70 16730300 00168404 00075f5f 73675f73 .s........__sg_s + 10a80 65677300 08000016 c5087661 64647200 egs.......vaddr. + 10a90 00001693 02230008 6c656e00 0000161d .....#..len..... + 10aa0 02230400 0f000016 9a200000 16d21003 .#....... ...... + 10ab0 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 10ac0 24000017 05086e73 65677300 0000161d $.....nsegs..... + 10ad0 02230008 73675f73 65677300 000016c5 .#..sg_segs..... + 10ae0 02230400 12100000 174e0876 656e646f .#.......N.vendo + 10af0 72000000 161d0223 00086465 76696365 r......#..device + 10b00 00000016 1d022304 08737562 76656e64 ......#..subvend + 10b10 6f720000 00161d02 23080873 75626465 or......#..subde + 10b20 76696365 00000016 1d02230c 00046c6f vice......#...lo + 10b30 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 10b40 20696e74 00070809 415f5549 4e543634 int....A_UINT64 + 10b50 00000017 4e095f5f 615f7569 6e743634 ....N.__a_uint64 + 10b60 5f740000 00176809 615f7569 6e743634 _t....h.a_uint64 + 10b70 5f740000 00177614 04000017 d40e4144 _t....v.......AD + 10b80 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 10b90 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 10ba0 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 10bb0 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou + 10bc0 7263655f 74797065 5f740000 00179812 rce_type_t...... + 10bd0 18000018 1e087374 61727400 00001788 ......start..... + 10be0 02230008 656e6400 00001788 02230808 .#..end......#.. + 10bf0 74797065 00000017 d4022310 00096164 type......#...ad + 10c00 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 10c10 74000000 17050300 00181e04 00110400 t............... + 10c20 00185d08 70636900 00001837 02230008 ..].pci....7.#.. + 10c30 72617700 0000040d 02230000 11100000 raw......#...... + 10c40 187c0870 63690000 00181e02 23000872 .|.pci......#..r + 10c50 61770000 00040d02 23000009 6164665f aw......#...adf_ + 10c60 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... + 10c70 0d096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 10c80 655f7400 000017f0 03000018 92040009 e_t............. + 10c90 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 10ca0 74615f74 00000018 5d030000 18b00400 ta_t....]....... + 10cb0 03000014 dc040009 5f5f6164 665f6f73 ........__adf_os + 10cc0 5f646576 6963655f 74000000 18d10961 _device_t......a + 10cd0 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 10ce0 0018d806 0000187c 01030000 19040400 .......|........ + 10cf0 02010300 00191104 00096164 665f6f73 ..........adf_os + 10d00 5f706d5f 74000000 040d0201 03000019 _pm_t........... + 10d10 2b040014 04000019 6b0e4144 465f4f53 +.......k.ADF_OS + 10d20 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 10d30 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 10d40 47454e45 52494300 02000961 64665f6f GENERIC....adf_o + 10d50 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... + 10d60 34096164 665f6f73 5f627573 5f726567 4.adf_os_bus_reg + 10d70 5f646174 615f7400 0000183e 03000003 _data_t....>.... + 10d80 25040007 5f616466 5f647276 5f696e66 %..._adf_drv_inf + 10d90 6f002000 001a4808 6472765f 61747461 o. ...H.drv_atta + 10da0 63680000 00190a02 23000864 72765f64 ch......#..drv_d + 10db0 65746163 68000000 19130223 04086472 etach......#..dr + 10dc0 765f7375 7370656e 64000000 192d0223 v_suspend....-.# + 10dd0 08086472 765f7265 73756d65 00000019 ..drv_resume.... + 10de0 1302230c 08627573 5f747970 65000000 ..#..bus_type... + 10df0 196b0223 10086275 735f6461 74610000 .k.#..bus_data.. + 10e00 00198202 2314086d 6f645f6e 616d6500 ....#..mod_name. + 10e10 0000199d 02231808 69666e61 6d650000 .....#..ifname.. + 10e20 00199d02 231c0009 6164665f 6f735f68 ....#...adf_os_h + 10e30 616e646c 655f7400 0000040d 03000016 andle_t......... + 10e40 73040002 01020109 5f5f6164 665f6f73 s.......__adf_os + 10e50 5f73697a 655f7400 00000410 14040000 _size_t......... + 10e60 1a970e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 10e70 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. + 10e80 00001a7d 03000015 05040009 5f5f6164 ...}........__ad + 10e90 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 10ea0 001aa502 010d6164 665f6f73 5f636163 ......adf_os_cac + 10eb0 68655f73 796e6300 0400001b 2f0e4144 he_sync...../.AD + 10ec0 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 10ed0 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 10ee0 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 10ef0 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 10f00 435f504f 53545752 49544500 03000961 C_POSTWRITE....a + 10f10 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 10f20 5f740000 001ac602 01096164 665f6f73 _t........adf_os + 10f30 5f73697a 655f7400 00001a68 0600001b _size_t....h.... + 10f40 4a010961 64665f6f 735f646d 615f6d61 J..adf_os_dma_ma + 10f50 705f7400 00001aac 0300001b 63040006 p_t.........c... + 10f60 0000040d 01030000 1aac0400 06000004 ................ + 10f70 0d010201 06000015 98010201 0473686f .............sho + 10f80 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 + 10f90 36000000 1b9d095f 5f615f69 6e743136 6......__a_int16 + 10fa0 5f740000 001baa09 615f696e 7431365f _t......a_int16_ + 10fb0 74000000 1bb70473 69676e65 64206368 t......signed ch + 10fc0 61720005 0109415f 494e5438 0000001b ar....A_INT8.... + 10fd0 d7095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... + 10fe0 e609615f 696e7438 5f740000 001bf212 ..a_int8_t...... + 10ff0 0c00001c 69087375 70706f72 74656400 ....i.supported. + 11000 0000161d 02230008 61647665 7274697a .....#..advertiz + 11010 65640000 00161d02 23040873 70656564 ed......#..speed + 11020 0000001b c8022308 08647570 6c657800 ......#..duplex. + 11030 00001c02 02230a08 6175746f 6e656700 .....#..autoneg. + 11040 00001684 02230b00 0f000016 84060000 .....#.......... + 11050 1c761005 00076164 665f6e65 745f6574 .v....adf_net_et + 11060 68616464 72000600 001c9a08 61646472 haddr.......addr + 11070 0000001c 69022300 00095f5f 615f7569 ....i.#...__a_ui + 11080 6e743136 5f740000 0012f209 615f7569 nt16_t......a_ui + 11090 6e743136 5f740000 001c9a12 0e00001c nt16_t.......... + 110a0 fe086574 6865725f 64686f73 74000000 ..ether_dhost... + 110b0 1c690223 00086574 6865725f 73686f73 .i.#..ether_shos + 110c0 74000000 1c690223 06086574 6865725f t....i.#..ether_ + 110d0 74797065 0000001c ac02230c 00121400 type......#..... + 110e0 001dbf15 69705f76 65727369 6f6e0000 ....ip_version.. + 110f0 00168401 00040223 00156970 5f686c00 .......#..ip_hl. + 11100 00001684 01040402 23000869 705f746f ........#..ip_to + 11110 73000000 16840223 01086970 5f6c656e s......#..ip_len + 11120 0000001c ac022302 0869705f 69640000 ......#..ip_id.. + 11130 001cac02 23040869 705f6672 61675f6f ....#..ip_frag_o + 11140 66660000 001cac02 23060869 705f7474 ff......#..ip_tt + 11150 6c000000 16840223 08086970 5f70726f l......#..ip_pro + 11160 746f0000 00168402 23090869 705f6368 to......#..ip_ch + 11170 65636b00 00001cac 02230a08 69705f73 eck......#..ip_s + 11180 61646472 00000016 1d02230c 0869705f addr......#..ip_ + 11190 64616464 72000000 161d0223 10000761 daddr......#...a + 111a0 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 111b0 00001e11 08747069 64000000 1cac0223 .....tpid......# + 111c0 00157072 696f0000 00168401 00030223 ..prio.........# + 111d0 02156366 69000000 16840103 01022302 ..cfi.........#. + 111e0 15766964 0000001c ac02040c 02230200 .vid.........#.. + 111f0 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 11200 1e421572 65730000 00168401 00040223 .B.res.........# + 11210 00157661 6c000000 1cac0204 0c022300 ..val.........#. + 11220 00120c00 001e7e08 72785f62 75667369 ......~.rx_bufsi + 11230 7a650000 00161d02 23000872 785f6e64 ze......#..rx_nd + 11240 65736300 0000161d 02230408 74785f6e esc......#..tx_n + 11250 64657363 00000016 1d022308 00120800 desc......#..... + 11260 001ea408 706f6c6c 65640000 001a9702 ....polled...... + 11270 23000870 6f6c6c5f 77740000 00161d02 #..poll_wt...... + 11280 2304000f 00001684 4000001e b1103f00 #.......@.....?. + 11290 12460000 1ed90869 665f6e61 6d650000 .F.....if_name.. + 112a0 001ea402 23000864 65765f61 64647200 ....#..dev_addr. + 112b0 00001c69 02234000 14040000 1f100e41 ...i.#@........A + 112c0 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 112d0 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 112e0 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... + 112f0 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 11300 74000000 1ed90761 64665f64 6d615f69 t......adf_dma_i + 11310 6e666f00 0800001f 5d08646d 615f6d61 nfo.....].dma_ma + 11320 736b0000 001f1002 23000873 675f6e73 sk......#..sg_ns + 11330 65677300 0000161d 02230400 14040000 egs......#...... + 11340 1fb30e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 11350 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 11360 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 11370 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 11380 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 11390 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 113a0 5f747970 655f7400 00001f5d 12080000 _type_t....].... + 113b0 1ff60874 785f636b 73756d00 00001fb3 ...tx_cksum..... + 113c0 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... + 113d0 b3022304 00096164 665f6e65 745f636b ..#...adf_net_ck + 113e0 73756d5f 696e666f 5f740000 001fcd14 sum_info_t...... + 113f0 04000020 4f0e4144 465f4e45 545f5453 ... O.ADF_NET_TS + 11400 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 11410 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 11420 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a + 11430 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 11440 74000000 20101210 000020a3 08636b73 t... ..... ..cks + 11450 756d5f63 61700000 001ff602 23000874 um_cap......#..t + 11460 736f0000 00204f02 23080876 6c616e5f so... O.#..vlan_ + 11470 73757070 6f727465 64000000 16840223 supported......# + 11480 0c001220 0000213c 0874785f 7061636b ... ..!<.tx_pack + 11490 65747300 0000161d 02230008 72785f70 ets......#..rx_p + 114a0 61636b65 74730000 00161d02 23040874 ackets......#..t + 114b0 785f6279 74657300 0000161d 02230808 x_bytes......#.. + 114c0 72785f62 79746573 00000016 1d02230c rx_bytes......#. + 114d0 0874785f 64726f70 70656400 0000161d .tx_dropped..... + 114e0 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. + 114f0 00161d02 23140872 785f6572 726f7273 ....#..rx_errors + 11500 00000016 1d022318 0874785f 6572726f ......#..tx_erro + 11510 72730000 00161d02 231c0009 6164665f rs......#...adf_ + 11520 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 11530 1c761600 00213c03 00000021 61107f00 .v...!<....!a... + 11540 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 11550 64647200 03040000 2198086e 656c656d ddr.....!..nelem + 11560 00000016 1d022300 086d6361 73740000 ......#..mcast.. + 11570 00215302 23040009 6164665f 6e65745f .!S.#...adf_net_ + 11580 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 11590 00001c10 09616466 5f6e6574 5f636d64 .....adf_net_cmd + 115a0 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... + 115b0 7e096164 665f6e65 745f636d 645f636b ~.adf_net_cmd_ck + 115c0 73756d5f 696e666f 5f740000 001ff609 sum_info_t...... + 115d0 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 115e0 5f696e66 6f5f7400 00001e42 09616466 _info_t....B.adf + 115f0 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 11600 6f5f7400 00001f27 09616466 5f6e6574 o_t....'.adf_net + 11610 5f636d64 5f766964 5f740000 001cac09 _cmd_vid_t...... + 11620 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 11630 6f61645f 6361705f 74000000 20670961 oad_cap_t... g.a + 11640 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 11650 5f740000 0020a309 6164665f 6e65745f _t... ..adf_net_ + 11660 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! + 11670 610d6164 665f6e65 745f636d 645f6d63 a.adf_net_cmd_mc + 11680 6173745f 63617000 04000022 da0e4144 ast_cap...."..AD + 11690 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 116a0 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 116b0 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne + 116c0 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 116d0 74000000 22921803 04000023 ac086c69 t..."......#..li + 116e0 6e6b5f69 6e666f00 00002198 02230008 nk_info...!..#.. + 116f0 706f6c6c 5f696e66 6f000000 21b50223 poll_info...!..# + 11700 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! + 11710 d2022300 0872696e 675f696e 666f0000 ..#..ring_info.. + 11720 0021f002 23000864 6d615f69 6e666f00 .!..#..dma_info. + 11730 0000220d 02230008 76696400 00002229 .."..#..vid...") + 11740 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. + 11750 00002240 02230008 73746174 73000000 .."@.#..stats... + 11760 225f0223 00086d63 6173745f 696e666f "_.#..mcast_info + 11770 00000022 78022300 086d6361 73745f63 ..."x.#..mcast_c + 11780 61700000 0022da02 23000014 04000024 ap..."..#......$ + 11790 030e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 117a0 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 117b0 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 117c0 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 117d0 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 117e0 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 117f0 6b73756d 5f747970 655f7400 000023ac ksum_type_t...#. + 11800 12080000 24430872 6573756c 74000000 ....$C.result... + 11810 24030223 00087661 6c000000 161d0223 $..#..val......# + 11820 04001208 00002473 08747970 65000000 ......$s.type... + 11830 204f0223 00086d73 73000000 1cac0223 O.#..mss......# + 11840 04086864 725f6f66 66000000 16840223 ..hdr_off......# + 11850 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 11860 65616400 0c000024 b2086865 61640000 ead....$..head.. + 11870 00143d02 23000874 61696c00 0000143d ..=.#..tail....= + 11880 02230408 716c656e 00000016 1d022308 .#..qlen......#. + 11890 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 118a0 00143d03 00001693 04000300 00161d04 ..=............. + 118b0 00020106 0000131f 01060000 161d0106 ................ + 118c0 00001693 01060000 16930103 00001300 ................ + 118d0 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 118e0 6561645f 74000000 2473095f 5f616466 ead_t...$s.__adf + 118f0 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 11900 24f30300 00250b04 00060000 24b20106 $....%......$... + 11910 000024b2 01140400 00262b0e 415f5354 ..$......&+.A_ST + 11920 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 11930 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 11940 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 11950 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 11960 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 11970 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 11980 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 11990 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 119a0 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 119b0 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 119c0 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 119d0 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 119e0 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 119f0 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 11a00 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta + 11a10 7475735f 74000000 25360600 00262b01 tus_t...%6...&+. + 11a20 06000001 18010201 09616466 5f6e6275 .........adf_nbu + 11a30 665f7400 000024b2 14040000 26900e41 f_t...$.....&..A + 11a40 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 11a50 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 11a60 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... + 11a70 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 11a80 00000026 59060000 262b0102 01096164 ...&Y...&+....ad + 11a90 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 11aa0 5f740000 00163a03 000026ae 04000201 _t....:...&..... + 11ab0 02010600 00264901 06000024 b2010201 .....&I....$.... + 11ac0 02010600 00264901 06000024 b2010600 .....&I....$.... + 11ad0 00264901 06000024 b2010600 00264901 .&I....$.....&I. + 11ae0 02010201 06000016 1d010600 00169301 ................ + 11af0 02010201 0600001b 4a010600 001a9701 ........J....... + 11b00 0600001a 97010961 64665f6f 735f7367 .......adf_os_sg + 11b10 6c697374 5f740000 0016d203 00002727 list_t........'' + 11b20 04000201 02010201 06000016 93010961 ...............a + 11b30 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 11b40 0000250b 03000027 4f040002 01030000 ..%....'O....... + 11b50 24f30400 02010201 02010600 00264901 $............&I. + 11b60 06000024 b2010600 00161d01 06000016 ...$............ + 11b70 1d010600 001a9701 0600001a 97010600 ................ + 11b80 001fb301 06000016 1d010961 64665f6e ...........adf_n + 11b90 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 11ba0 00242103 000027ab 04000201 02010961 .$!...'........a + 11bb0 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 11bc0 24430300 0027cf04 00020102 01096164 $C...'........ad + 11bd0 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 11be0 00040d09 6164665f 6e65745f 766c616e ....adf_net_vlan + 11bf0 6864725f 74000000 1dbf0300 00280404 hdr_t........(.. + 11c00 00060000 262b0106 0000262b 01020102 ....&+....&+.... + 11c10 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 11c20 00285308 64756d6d 79000000 01180223 .(S.dummy......# + 11c30 00000201 03000028 53040002 01030000 .......(S....... + 11c40 285c0400 075f4849 465f4341 4c4c4241 (\..._HIF_CALLBA + 11c50 434b000c 000028b1 0873656e 645f6275 CK....(..send_bu + 11c60 665f646f 6e650000 00285502 23000872 f_done...(U.#..r + 11c70 6563765f 62756600 0000285e 02230408 ecv_buf...(^.#.. + 11c80 636f6e74 65787400 0000040d 02230800 context......#.. + 11c90 09686966 5f68616e 646c655f 74000000 .hif_handle_t... + 11ca0 040d0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... + 11cb0 28320300 0028c304 00060000 28b10103 (2...(......(... + 11cc0 000028da 04000201 03000028 e7040009 ..(........(.... + 11cd0 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( + 11ce0 65030000 28f00400 02010300 00290904 e...(........).. + 11cf0 00060000 01180103 00002912 04000201 ..........)..... + 11d00 03000029 1f040006 00000118 01030000 ...)............ + 11d10 29280400 02010300 00293504 00060000 )(.......)5..... + 11d20 01180103 0000293e 04000201 03000029 ......)>.......) + 11d30 4b040007 6869665f 61706900 3800002a K...hif_api.8..* + 11d40 a4085f69 6e697400 000028e0 02230008 .._init...(..#.. + 11d50 5f736875 74646f77 6e000000 28e90223 _shutdown...(..# + 11d60 04085f72 65676973 7465725f 63616c6c .._register_call + 11d70 6261636b 00000029 0b022308 085f6765 back...)..#.._ge + 11d80 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 11d90 6f756e74 00000029 1802230c 085f7374 ount...)..#.._st + 11da0 61727400 000028e9 02231008 5f636f6e art...(..#.._con + 11db0 6669675f 70697065 00000029 21022314 fig_pipe...)!.#. + 11dc0 085f7365 6e645f62 75666665 72000000 ._send_buffer... + 11dd0 292e0223 18085f72 65747572 6e5f7265 )..#.._return_re + 11de0 63765f62 75660000 00293702 231c085f cv_buf...)7.#.._ + 11df0 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 11e00 64000000 29440223 20085f67 65745f6d d...)D.# ._get_m + 11e10 61785f6d 73675f6c 656e0000 00294402 ax_msg_len...)D. + 11e20 2324085f 6765745f 72657365 72766564 #$._get_reserved + 11e30 5f686561 64726f6f 6d000000 29180223 _headroom...)..# + 11e40 28085f69 73725f68 616e646c 65720000 (._isr_handler.. + 11e50 0028e902 232c085f 6765745f 64656661 .(..#,._get_defa + 11e60 756c745f 70697065 00000029 4d022330 ult_pipe...)M.#0 + 11e70 08705265 73657276 65640000 00040d02 .pReserved...... + 11e80 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. + 11e90 00002b2d 0e444d41 5f454e47 494e455f ..+-.DMA_ENGINE_ + 11ea0 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE + 11eb0 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN + 11ec0 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI + 11ed0 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG + 11ee0 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN + 11ef0 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E + 11f00 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma + 11f10 5f656e67 696e655f 74000000 2aa40d64 _engine_t...*..d + 11f20 6d615f69 66747970 65000400 002b7a0e ma_iftype....+z. + 11f30 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM + 11f40 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I + 11f50 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if + 11f60 74797065 5f740000 002b3f06 000012f2 type_t...+?..... + 11f70 01030000 2b8c0400 02010300 002b9904 ....+........+.. + 11f80 00020103 00002ba2 04000600 00092401 ......+.......$. + 11f90 0300002b ab040006 000012f2 01030000 ...+............ + 11fa0 2bb80400 06000012 f2010300 002bc504 +............+.. + 11fb0 00060000 143d0103 00002bd2 04000201 .....=....+..... + 11fc0 0300002b df040007 646d615f 6c69625f ...+....dma_lib_ + 11fd0 61706900 3400002c e6087478 5f696e69 api.4..,..tx_ini + 11fe0 74000000 2b920223 00087478 5f737461 t...+..#..tx_sta + 11ff0 72740000 002b9b02 23040872 785f696e rt...+..#..rx_in + 12000 69740000 002b9202 23080872 785f636f it...+..#..rx_co + 12010 6e666967 0000002b a402230c 0872785f nfig...+..#..rx_ + 12020 73746172 74000000 2b9b0223 1008696e start...+..#..in + 12030 74725f73 74617475 73000000 2bb10223 tr_status...+..# + 12040 14086861 72645f78 6d697400 00002bbe ..hard_xmit...+. + 12050 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. + 12060 002b9b02 231c0878 6d69745f 646f6e65 .+..#..xmit_done + 12070 0000002b cb022320 08726561 705f786d ...+..# .reap_xm + 12080 69747465 64000000 2bd80223 24087265 itted...+..#$.re + 12090 61705f72 65637600 00002bd8 02232808 ap_recv...+..#(. + 120a0 72657475 726e5f72 65637600 00002be1 return_recv...+. + 120b0 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ + 120c0 cb022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft + 120d0 63000c00 002d0408 73770000 0028f002 c....-..sw...(.. + 120e0 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ + 120f0 74000000 2ce60300 002d0404 00020103 t...,....-...... + 12100 00002d1e 04000600 0012de01 0300002d ..-............- + 12110 2704000d 6869665f 7063695f 70697065 '...hif_pci_pipe + 12120 5f747800 0400002d 870e4849 465f5043 _tx....-..HIF_PC + 12130 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF + 12140 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... + 12150 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ + 12160 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p + 12170 6970655f 74785f74 0000002d 34060000 ipe_tx_t...-4... + 12180 2b2d0103 00002d9e 04000d68 69665f70 +-....-....hif_p + 12190 63695f70 6970655f 72780004 00002e24 ci_pipe_rx.....$ + 121a0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 121b0 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 121c0 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P + 121d0 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC + 121e0 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF + 121f0 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX + 12200 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe + 12210 5f72785f 74000000 2dab0600 002b2d01 _rx_t...-....+-. + 12220 0300002e 3b040007 6869665f 7063695f ....;...hif_pci_ + 12230 61706900 2400002f 19087063 695f626f api.$../..pci_bo + 12240 6f745f69 6e697400 00000111 02230008 ot_init......#.. + 12250 7063695f 696e6974 00000028 e0022304 pci_init...(..#. + 12260 08706369 5f726573 65740000 00011102 .pci_reset...... + 12270 23080870 63695f65 6e61626c 65000000 #..pci_enable... + 12280 01110223 0c087063 695f7265 61705f78 ...#..pci_reap_x + 12290 6d697474 65640000 002d2002 23100870 mitted...- .#..p + 122a0 63695f72 6561705f 72656376 0000002d ci_reap_recv...- + 122b0 20022314 08706369 5f676574 5f706970 .#..pci_get_pip + 122c0 65000000 2d2d0223 18087063 695f6765 e...--.#..pci_ge + 122d0 745f7478 5f656e67 0000002d a402231c t_tx_eng...-..#. + 122e0 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. + 122f0 00002e41 02232000 07676d61 635f6170 ...A.# ..gmac_ap + 12300 69000400 002f4008 676d6163 5f626f6f i..../@.gmac_boo + 12310 745f696e 69740000 00011102 2300000f t_init......#... + 12320 00000325 0600002f 4d100500 075f5f65 ...%.../M....__e + 12330 74686864 72000e00 002f8308 64737400 thhdr..../..dst. + 12340 00002f40 02230008 73726300 00002f40 ../@.#..src.../@ + 12350 02230608 65747970 65000000 12f20223 .#..etype......# + 12360 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ + 12370 d1157265 73000000 12de0100 02022300 ..res.........#. + 12380 1570726f 746f0000 0012de01 02060223 .proto.........# + 12390 00087265 735f6c6f 00000012 de022301 ..res_lo......#. + 123a0 08726573 5f686900 000012f2 02230200 .res_hi......#.. + 123b0 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 + 123c0 0d086574 68000000 2f4d0223 00086174 ..eth.../M.#..at + 123d0 68000000 2f830223 0e08616c 69676e5f h.../..#..align_ + 123e0 70616400 000012f2 02231200 095f5f67 pad......#...__g + 123f0 6d61635f 6864725f 74000000 2fd1075f mac_hdr_t.../.._ + 12400 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 + 12410 57086864 72000000 300d0223 00086772 W.hdr...0..#..gr + 12420 616e0000 0012f202 23140873 77000000 an......#..sw... + 12430 28f00223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin + 12440 6b616765 5f636865 636b0008 00003090 kage_check....0. + 12450 08766572 73696f6e 00000001 18022300 .version......#. + 12460 08746162 6c650000 00011802 23040003 .table......#... + 12470 00003057 04000600 00011801 03000030 ..0W...........0 + 12480 97040003 00000410 0400175f 415f636d ..........._A_cm + 12490 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ + 124a0 7461626c 650001b8 000031e7 0868616c table.....1..hal + 124b0 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 124c0 00309d02 23000873 74617274 5f627373 .0..#..start_bss + 124d0 00000030 a4022304 08617070 5f737461 ...0..#..app_sta + 124e0 72740000 00011102 2308086d 656d0000 rt......#..mem.. + 124f0 00045002 230c086d 69736300 0000056f ..P.#..misc....o + 12500 02232008 7072696e 74660000 00014502 .# .printf....E. + 12510 23440875 61727400 0000020e 02234c08 #D.uart......#L. + 12520 676d6163 0000002f 1902236c 08757362 gmac.../..#l.usb + 12530 0000000f 9e022370 08636c6f 636b0000 ......#p.clock.. + 12540 000ae703 23e00108 74696d65 72000000 ....#...timer... + 12550 07870323 84020869 6e747200 00000c37 ...#...intr....7 + 12560 03239802 08616c6c 6f637261 6d000000 .#...allocram... + 12570 093f0323 c4020872 6f6d7000 00000831 .?.#...romp....1 + 12580 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. + 12590 000e1403 23e00208 65657000 00000f42 ....#...eep....B + 125a0 0323fc02 08737472 696e6700 00000693 .#...string..... + 125b0 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... + 125c0 3c0323a4 0300075f 5553425f 4649464f <.#...._USB_FIFO + 125d0 5f434f4e 46494700 10000032 5a086765 _CONFIG....2Z.ge + 125e0 745f636f 6d6d616e 645f6275 66000000 t_command_buf... + 125f0 144a0223 00087265 63765f63 6f6d6d61 .J.#..recv_comma + 12600 6e640000 00146002 23040867 65745f65 nd....`.#..get_e + 12610 76656e74 5f627566 00000014 4a022308 vent_buf....J.#. + 12620 0873656e 645f6576 656e745f 646f6e65 .send_event_done + 12630 00000014 6002230c 00095553 425f4649 ....`.#...USB_FI + 12640 464f5f43 4f4e4649 47000000 31e70300 FO_CONFIG...1... + 12650 00325a04 00020103 00003276 04000775 .2Z.......2v...u + 12660 73626669 666f5f61 7069000c 000032cc sbfifo_api....2. + 12670 085f696e 69740000 00327802 2300085f ._init...2x.#.._ + 12680 656e6162 6c655f65 76656e74 5f697372 enable_event_isr + 12690 00000001 11022304 08705265 73657276 ......#..pReserv + 126a0 65640000 00040d02 2308000f 00001684 ed......#....... + 126b0 02000032 d9100100 075f4854 435f4652 ...2....._HTC_FR + 126c0 414d455f 48445200 08000033 4b08456e AME_HDR....3K.En + 126d0 64706f69 6e744944 00000016 84022300 dpointID......#. + 126e0 08466c61 67730000 00168402 23010850 .Flags......#..P + 126f0 61796c6f 61644c65 6e000000 1cac0223 ayloadLen......# + 12700 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. + 12710 0032cc02 23040848 6f737453 65714e75 .2..#..HostSeqNu + 12720 6d000000 1cac0223 06001202 00003364 m......#......3d + 12730 084d6573 73616765 49440000 001cac02 .MessageID...... + 12740 23000012 08000033 c7084d65 73736167 #......3..Messag + 12750 65494400 00001cac 02230008 43726564 eID......#..Cred + 12760 6974436f 756e7400 00001cac 02230208 itCount......#.. + 12770 43726564 69745369 7a650000 001cac02 CreditSize...... + 12780 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. + 12790 00001684 02230608 5f506164 31000000 .....#.._Pad1... + 127a0 16840223 0700120a 0000345e 084d6573 ...#......4^.Mes + 127b0 73616765 49440000 001cac02 23000853 sageID......#..S + 127c0 65727669 63654944 0000001c ac022302 erviceID......#. + 127d0 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags + 127e0 0000001c ac022304 08446f77 6e4c696e ......#..DownLin + 127f0 6b506970 65494400 00001684 02230608 kPipeID......#.. + 12800 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... + 12810 84022307 08536572 76696365 4d657461 ..#..ServiceMeta + 12820 4c656e67 74680000 00168402 2308085f Length......#.._ + 12830 50616431 00000016 84022309 00120a00 Pad1......#..... + 12840 0034e608 4d657373 61676549 44000000 .4..MessageID... + 12850 1cac0223 00085365 72766963 65494400 ...#..ServiceID. + 12860 00001cac 02230208 53746174 75730000 .....#..Status.. + 12870 00168402 23040845 6e64706f 696e7449 ....#..EndpointI + 12880 44000000 16840223 05084d61 784d7367 D......#..MaxMsg + 12890 53697a65 0000001c ac022306 08536572 Size......#..Ser + 128a0 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 128b0 00168402 2308085f 50616431 00000016 ....#.._Pad1.... + 128c0 84022309 00120200 0034ff08 4d657373 ..#......4..Mess + 128d0 61676549 44000000 1cac0223 00001204 ageID......#.... + 128e0 0000353b 084d6573 73616765 49440000 ..5;.MessageID.. + 128f0 001cac02 23000850 69706549 44000000 ....#..PipeID... + 12900 16840223 02084372 65646974 436f756e ...#..CreditCoun + 12910 74000000 16840223 03001204 00003572 t......#......5r + 12920 084d6573 73616765 49440000 001cac02 .MessageID...... + 12930 23000850 69706549 44000000 16840223 #..PipeID......# + 12940 02085374 61747573 00000016 84022303 ..Status......#. + 12950 00120200 00359908 5265636f 72644944 .....5..RecordID + 12960 00000016 84022300 084c656e 67746800 ......#..Length. + 12970 00001684 02230100 12020000 35c30845 .....#......5..E + 12980 6e64706f 696e7449 44000000 16840223 ndpointID......# + 12990 00084372 65646974 73000000 16840223 ..Credits......# + 129a0 01001204 00003604 08456e64 706f696e ......6..Endpoin + 129b0 74494400 00001684 02230008 43726564 tID......#..Cred + 129c0 69747300 00001684 02230108 54677443 its......#..TgtC + 129d0 72656469 74536571 4e6f0000 001cac02 reditSeqNo...... + 129e0 2302000f 00001684 04000036 11100300 #..........6.... + 129f0 12060000 364d0850 72655661 6c696400 ....6M.PreValid. + 12a00 00001684 02230008 4c6f6f6b 41686561 .....#..LookAhea + 12a10 64000000 36040223 0108506f 73745661 d...6..#..PostVa + 12a20 6c696400 00001684 02230500 09706f6f lid......#...poo + 12a30 6c5f6861 6e646c65 5f740000 00040d06 l_handle_t...... + 12a40 0000364d 01030000 36600400 02010300 ..6M....6`...... + 12a50 00366d04 00140400 0036eb0e 504f4f4c .6m......6..POOL + 12a60 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. + 12a70 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 12a80 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO + 12a90 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV + 12aa0 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL + 12ab0 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL + 12ac0 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P + 12ad0 4f4f4c5f 49440000 00367602 01030000 OOL_ID...6v..... + 12ae0 36fc0400 06000026 49010300 00370504 6......&I....7.. + 12af0 00060000 26490103 00003712 04000201 ....&I....7..... + 12b00 03000037 1f040007 6275665f 706f6f6c ...7....buf_pool + 12b10 5f617069 001c0000 37c1085f 696e6974 _api....7.._init + 12b20 00000036 66022300 085f7368 7574646f ...6f.#.._shutdo + 12b30 776e0000 00366f02 2304085f 63726561 wn...6o.#.._crea + 12b40 74655f70 6f6f6c00 000036fe 02230808 te_pool...6..#.. + 12b50 5f616c6c 6f635f62 75660000 00370b02 _alloc_buf...7.. + 12b60 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al + 12b70 69676e00 00003718 02231008 5f667265 ign...7..#.._fre + 12b80 655f6275 66000000 37210223 14087052 e_buf...7!.#..pR + 12b90 65736572 76656400 0000040d 02231800 eserved......#.. + 12ba0 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... + 12bb0 0038a008 704e6578 74000000 38a00223 .8..pNext...8..# + 12bc0 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg + 12bd0 00000039 55022304 0850726f 63657373 ...9U.#..Process + 12be0 53656e64 42756666 6572436f 6d706c65 SendBufferComple + 12bf0 74650000 00395e02 23080850 726f6365 te...9^.#..Proce + 12c00 7373436f 6e6e6563 74000000 39720223 ssConnect...9r.# + 12c10 0c085365 72766963 65494400 000012f2 ..ServiceID..... + 12c20 02231008 53657276 69636546 6c616773 .#..ServiceFlags + 12c30 00000012 f2022312 084d6178 5376634d ......#..MaxSvcM + 12c40 73675369 7a650000 0012f202 23140854 sgSize......#..T + 12c50 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi + 12c60 6d697400 000012f2 02231608 53657276 mit......#..Serv + 12c70 69636543 74780000 00040d02 23180003 iceCtx......#... + 12c80 000037c1 04001404 0000393e 19454e44 ..7.......9>.END + 12c90 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... + 12ca0 ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN + 12cb0 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI + 12cc0 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. + 12cd0 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN + 12ce0 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI + 12cf0 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. + 12d00 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN + 12d10 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT + 12d20 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... + 12d30 38a70201 03000039 53040002 01030000 8......9S....... + 12d40 395c0400 03000001 18040006 000012de 9\.............. + 12d50 01030000 396c0400 03000037 c1040007 ....9l.....7.... + 12d60 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 + 12d70 f1084372 65646974 53697a65 00000001 ..CreditSize.... + 12d80 18022300 08437265 6469744e 756d6265 ..#..CreditNumbe + 12d90 72000000 01180223 04084f53 48616e64 r......#..OSHand + 12da0 6c650000 001a4802 23080848 49464861 le....H.#..HIFHa + 12db0 6e646c65 00000028 b102230c 08506f6f ndle...(..#..Poo + 12dc0 6c48616e 646c6500 0000364d 02231000 lHandle...6M.#.. + 12dd0 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX + 12de0 54000200 003a2d08 656e645f 706f696e T....:-.end_poin + 12df0 74000000 12de0223 00086874 635f666c t......#..htc_fl + 12e00 61677300 000012de 02230100 09687463 ags......#...htc + 12e10 5f68616e 646c655f 74000000 040d0948 _handle_t......H + 12e20 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET + 12e30 455f4342 00000001 11094854 435f434f E_CB......HTC_CO + 12e40 4e464947 00000039 80030000 3a5a0400 NFIG...9....:Z.. + 12e50 0600003a 2d010300 003a7104 00020103 ...:-....:q..... + 12e60 00003a7e 04000948 54435f53 45525649 ..:~...HTC_SERVI + 12e70 43450000 0037c103 00003a87 04000201 CE...7....:..... + 12e80 0300003a 9f040002 01030000 3aa80400 ...:........:... + 12e90 02010300 003ab104 00060000 01180103 .....:.......... + 12ea0 00003aba 04000768 74635f61 70697300 ..:....htc_apis. + 12eb0 3400003c 37085f48 54435f49 6e697400 4..<7._HTC_Init. + 12ec0 00003a77 02230008 5f485443 5f536875 ..:w.#.._HTC_Shu + 12ed0 74646f77 6e000000 3a800223 04085f48 tdown...:..#.._H + 12ee0 54435f52 65676973 74657253 65727669 TC_RegisterServi + 12ef0 63650000 003aa102 2308085f 4854435f ce...:..#.._HTC_ + 12f00 52656164 79000000 3a800223 0c085f48 Ready...:..#.._H + 12f10 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers + 12f20 0000003a aa022310 085f4854 435f5265 ...:..#.._HTC_Re + 12f30 7475726e 42756666 6572734c 69737400 turnBuffersList. + 12f40 00003ab3 02231408 5f485443 5f53656e ..:..#.._HTC_Sen + 12f50 644d7367 0000003a aa022318 085f4854 dMsg...:..#.._HT + 12f60 435f4765 74526573 65727665 64486561 C_GetReservedHea + 12f70 64726f6f 6d000000 3ac00223 1c085f48 droom...:..#.._H + 12f80 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle + 12f90 72000000 285e0223 20085f48 54435f53 r...(^.# ._HTC_S + 12fa0 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. + 12fb0 00285502 2324085f 4854435f 436f6e74 .(U.#$._HTC_Cont + 12fc0 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg + 12fd0 00000039 55022328 085f4854 435f436f ...9U.#(._HTC_Co + 12fe0 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS + 12ff0 656e6443 6f6d706c 65746500 0000395e endComplete...9^ + 13000 02232c08 70526573 65727665 64000000 .#,.pReserved... + 13010 040d0223 30000768 6f73745f 6170705f ...#0..host_app_ + 13020 61726561 5f730004 00003c67 08776d69 area_s......tu + 131c0 706c654e 756d4c00 00001cac 02230008 pleNumL......#.. + 131d0 7475706c 654e756d 48000000 1cac0223 tupleNumH......# + 131e0 02086176 74000000 3dcb0223 04001201 ..avt...=..#.... + 131f0 00003e31 08626561 636f6e50 656e6469 ..>1.beaconPendi + 13200 6e67436f 756e7400 00001684 02230000 ngCount......#.. + 13210 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG + 13220 00100000 3e9a0848 74634861 6e646c65 ....>..HtcHandle + 13230 0000003a 2d022300 08506f6f 6c48616e ...:-.#..PoolHan + 13240 646c6500 0000364d 02230408 4d617843 dle...6M.#..MaxC + 13250 6d645265 706c7945 76747300 00000118 mdReplyEvts..... + 13260 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts + 13270 00000001 1802230c 00020103 00003e9a ......#.......>. + 13280 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL + 13290 45520000 003e9c07 5f574d49 5f444953 ER...>.._WMI_DIS + 132a0 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? + 132b0 03087043 6d644861 6e646c65 72000000 ..pCmdHandler... + 132c0 3ea30223 0008436d 64494400 000012f2 >..#..CmdID..... + 132d0 02230408 466c6167 73000000 12f20223 .#..Flags......# + 132e0 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH + 132f0 5f544142 4c450010 00003f64 08704e65 _TABLE....?d.pNe + 13300 78740000 003f6402 23000870 436f6e74 xt...?d.#..pCont + 13310 65787400 0000040d 02230408 4e756d62 ext......#..Numb + 13320 65724f66 456e7472 69657300 00000118 erOfEntries..... + 13330 02230808 70546162 6c650000 003f8302 .#..pTable...?.. + 13340 230c0003 00003f03 04000957 4d495f44 #.....?....WMI_D + 13350 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... + 13360 3eb80300 003f6b04 00030000 3f030400 >....?k.....?... + 13370 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT + 13380 00000039 f10d574d 495f4556 545f434c ...9..WMI_EVT_CL + 13390 41535300 04000040 1b19574d 495f4556 ASS....@..WMI_EV + 133a0 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... + 133b0 ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 133c0 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ + 133d0 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE + 133e0 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL + 133f0 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E + 13400 56545f43 4c415353 0000003f a6075f57 VT_CLASS...?.._W + 13410 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. + 13420 00004079 08487463 42756643 74780000 ..@y.HtcBufCtx.. + 13430 003f9102 23000845 76656e74 436c6173 .?..#..EventClas + 13440 73000000 401b0223 0408466c 61677300 s...@..#..Flags. + 13450 000012f2 02230800 09776d69 5f68616e .....#...wmi_han + 13460 646c655f 74000000 040d0957 4d495f53 dle_t......WMI_S + 13470 56435f43 4f4e4649 47000000 3e310300 VC_CONFIG...>1.. + 13480 00408b04 00060000 40790103 000040a6 .@......@y....@. + 13490 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 134a0 5441424c 45000000 3f030300 0040b304 TABLE...?....@.. + 134b0 00020103 000040d2 04000600 00264901 ......@......&I. + 134c0 03000040 db040002 01030000 40e80400 ...@........@... + 134d0 06000001 18010300 0040f104 00020103 .........@...... + 134e0 000040fe 04000600 0012de01 03000041 ..@............A + 134f0 07040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api + 13500 73002c00 00424f08 5f574d49 5f496e69 s.,..BO._WMI_Ini + 13510 74000000 40ac0223 00085f57 4d495f52 t...@..#.._WMI_R + 13520 65676973 74657244 69737061 74636854 egisterDispatchT + 13530 61626c65 00000040 d4022304 085f574d able...@..#.._WM + 13540 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ + 13550 e1022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv + 13560 656e7400 000040ea 02230c08 5f574d49 ent...@..#.._WMI + 13570 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent + 13580 73436f75 6e740000 0040f702 2310085f sCount...@..#.._ + 13590 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete + 135a0 48616e64 6c657200 0000395e 02231408 Handler...9^.#.. + 135b0 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE + 135c0 70000000 40f70223 18085f57 4d495f53 p...@..#.._WMI_S + 135d0 68757464 6f776e00 00004100 02231c08 hutdown...A..#.. + 135e0 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage + 135f0 48616e64 6c657200 00003955 02232008 Handler...9U.# . + 13600 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn + 13610 65637400 0000410d 02232408 70526573 ect...A..#$.pRes + 13620 65727665 64000000 040d0223 2800077a erved......#(..z + 13630 73446d61 44657363 00140000 42d10863 sDmaDesc....B..c + 13640 74726c00 0000017c 02230008 73746174 trl....|.#..stat + 13650 75730000 00017c02 23020874 6f74616c us....|.#..total + 13660 4c656e00 0000017c 02230408 64617461 Len....|.#..data + 13670 53697a65 00000001 7c022306 086c6173 Size....|.#..las + 13680 74416464 72000000 42d10223 08086461 tAddr...B..#..da + 13690 74614164 64720000 0001a002 230c086e taAddr......#..n + 136a0 65787441 64647200 000042d1 02231000 extAddr...B..#.. + 136b0 03000042 4f040003 0000424f 0400077a ...BO.....BO...z + 136c0 73446d61 51756575 65000800 00431108 sDmaQueue....C.. + 136d0 68656164 00000042 d8022300 08746572 head...B..#..ter + 136e0 6d696e61 746f7200 000042d8 02230400 minator...B..#.. + 136f0 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 13700 00437508 68656164 00000042 d8022300 .Cu.head...B..#. + 13710 08746572 6d696e61 746f7200 000042d8 .terminator...B. + 13720 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h + 13730 65616400 0000143d 02230808 786d6974 ead....=.#..xmit + 13740 65645f62 75665f74 61696c00 0000143d ed_buf_tail....= + 13750 02230c00 02010300 00437504 00030000 .#.......Cu..... + 13760 42df0400 02010300 00438504 00030000 B........C...... + 13770 43110400 02010300 00439504 00020103 C........C...... + 13780 0000439e 04000201 03000043 a7040006 ..C........C.... + 13790 0000143d 01030000 43b00400 02010300 ...=....C....... + 137a0 0043bd04 00060000 143d0103 000043c6 .C.......=....C. + 137b0 04000201 03000043 d3040006 00000118 .......C........ + 137c0 01030000 43dc0400 06000042 d8010300 ....C......B.... + 137d0 0043e904 00020103 000043f6 04000764 .C........C....d + 137e0 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 137f0 00456c08 5f696e69 74000000 43770223 .El._init...Cw.# + 13800 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue + 13810 00000043 87022304 085f696e 69745f74 ...C..#.._init_t + 13820 785f7175 65756500 00004397 02230808 x_queue...C..#.. + 13830 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 13840 00000043 a002230c 085f786d 69745f62 ...C..#.._xmit_b + 13850 75660000 0043a902 2310085f 666c7573 uf...C..#.._flus + 13860 685f786d 69740000 00438702 2314085f h_xmit...C..#.._ + 13870 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 13880 43b60223 18085f72 65747572 6e5f7265 C..#.._return_re + 13890 63765f62 75660000 0043bf02 231c085f cv_buf...C..#.._ + 138a0 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 138b0 000043cc 02232008 5f737761 705f6461 ..C..# ._swap_da + 138c0 74610000 0043d502 2324085f 6861735f ta...C..#$._has_ + 138d0 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 138e0 43e20223 28085f64 6573635f 64756d70 C..#(._desc_dump + 138f0 00000043 8702232c 085f6765 745f7061 ...C..#,._get_pa + 13900 636b6574 00000043 ef022330 085f7265 cket...C..#0._re + 13910 636c6169 6d5f7061 636b6574 00000043 claim_packet...C + 13920 f8022334 085f7075 745f7061 636b6574 ..#4._put_packet + 13930 00000043 f8022338 08705265 73657276 ...C..#8.pReserv + 13940 65640000 00040d02 233c0009 5f415f63 ed......#<.._A_c + 13950 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 13960 5f746162 6c655f74 00000030 ab09574d _table_t...0..WM + 13970 495f5356 435f4150 49530000 00411417 I_SVC_APIS...A.. + 13980 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 13990 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. + 139a0 469a0863 6d6e6f73 00000045 6c022300 F..cmnos...El.#. + 139b0 08646267 00000003 da0323b8 03086869 .dbg......#...hi + 139c0 66000000 29540323 c0030868 74630000 f...)T.#...htc.. + 139d0 003ac703 23f80308 776d695f 7376635f .:..#...wmi_svc_ + 139e0 61706900 0000458e 0323ac04 08757362 api...E..#...usb + 139f0 6669666f 5f617069 00000032 7f0323d8 fifo_api...2..#. + 13a00 04086275 665f706f 6f6c0000 00372803 ..buf_pool...7(. + 13a10 23e40408 76627566 00000014 67032380 #...vbuf....g.#. + 13a20 05087664 65736300 00001349 03239405 ..vdesc....I.#.. + 13a30 08616c6c 6f637261 6d000000 093f0323 .allocram....?.# + 13a40 a8050864 6d615f65 6e67696e 65000000 ...dma_engine... + 13a50 43ff0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. + 13a60 002be803 23f40508 6869665f 70636900 .+..#...hif_pci. + 13a70 00002e48 0323a806 00095f41 5f6d6167 ...H.#...._A_mag + 13a80 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 13a90 7461626c 655f7400 000045a0 06000007 table_t...E..... + 13aa0 fd010300 0001b504 00060000 07fd011a ................ + 13ab0 6565705f 73746174 65000000 07fd0503 eep_state....... + 13ac0 00500978 011a6565 705f6578 69737400 .P.x..eep_exist. + 13ad0 000007fd 05030050 097a010f 0000011f .......P.z...... + 13ae0 06000047 09100500 03000046 fc040004 ...G.......F.... + 13af0 6c6f6e67 20696e74 00050409 696e7433 long int....int3 + 13b00 325f7400 00004710 1b000001 181b0000 2_t...G......... + 13b10 01a01b00 0001a003 00004733 04000300 ..........G3.... + 13b20 000f4204 0002011c 012d636d 6e6f735f ..B......-cmnos_ + 13b30 65657072 6f6d5f77 72697465 5f68776f eeprom_write_hwo + 13b40 72640000 0007fd01 01039201 20029000 rd.......... ... + 13b50 008e1d38 008e1d8f 0000479c 1d012d6f ...8......G...-o + 13b60 66667365 74000000 01920152 1d012d64 ffset......R..-d + 13b70 61746100 00000192 0153001c 015a636d ata......S...Zcm + 13b80 6e6f735f 65657072 6f6d5f72 6561645f nos_eeprom_read_ + 13b90 68776f72 64000000 07fd0101 03920120 hword.......... + 13ba0 02900000 8e1d9000 8e1dbb00 0047fe1d .............G.. + 13bb0 015a6f66 66736574 00000001 9201521d .Zoffset......R. + 13bc0 015a6d44 61746100 00000f21 01531e6d .ZmData....!.S.m + 13bd0 53747344 61746100 000001b5 001c017a StsData........z + 13be0 636d6e6f 735f6565 705f6973 5f657869 cmnos_eep_is_exi + 13bf0 73740000 000f1201 01039201 20029000 st.......... ... + 13c00 008e1dbc 008e1df3 00004839 1e6d4461 ..........H9.mDa + 13c10 74610000 00019200 1c019963 6d6e6f73 ta.........cmnos + 13c20 5f656570 5f777269 74650000 000f1201 _eep_write...... + 13c30 01039201 20029000 008e1df4 008e1e54 .... ..........T + 13c40 000048dc 1d01996f 66667365 74000000 ..H....offset... + 13c50 01920152 1d01996c 656e0000 00019201 ...R...len...... + 13c60 531d0199 70427566 0000000f 2101541e S...pBuf....!.T. + 13c70 70446174 61000000 0f211e65 65705f73 pData....!.eep_s + 13c80 74617274 5f6f6673 74000000 01921e65 tart_ofst......e + 13c90 65705f65 6e645f6f 66737400 00000192 ep_end_ofst..... + 13ca0 1e726574 56616c00 00000f12 1e690000 .retVal......i.. + 13cb0 0001921e 6a000000 0192001c 01c7636d ....j.........cm + 13cc0 6e6f735f 6565705f 72656164 0000000f nos_eep_read.... + 13cd0 12010103 92012002 9000008e 1e54008e ...... ......T.. + 13ce0 1e990000 49771d01 c76f6666 73657400 ....Iw...offset. + 13cf0 00000192 01521d01 c76c656e 00000001 .....R...len.... + 13d00 9201531d 01c77042 75660000 000f2101 ..S...pBuf....!. + 13d10 541e6d44 61746100 00000f21 1e656570 T.mData....!.eep + 13d20 5f737461 72745f6f 66737400 00000192 _start_ofst..... + 13d30 1e656570 5f656e64 5f6f6673 74000000 .eep_end_ofst... + 13d40 01921e72 65745661 6c000000 0f121e69 ...retVal......i + 13d50 00000001 92001f01 f9636d6e 6f735f65 .........cmnos_e + 13d60 65705f69 6e697400 01010392 01300290 ep_init......0.. + 13d70 00008e1e 9c008e21 03000049 c9206900 .......!...I. i. + 13d80 0000471c 02915020 7265675f 76616c75 ..G...P reg_valu + 13d90 65000000 47290291 541e6d53 74734461 e...G)..T.mStsDa + 13da0 74610000 0001b500 210101a0 636d6e6f ta......!...cmno + 13db0 735f6565 705f6d6f 64756c65 5f696e73 s_eep_module_ins + 13dc0 74616c6c 00010103 92012002 9000008e tall...... ..... + 13dd0 2104008e 211d2201 01a07462 6c000000 !...!."...tbl... + 13de0 473f0152 00000000 004ae500 02000008 G?.R.....J...... + 13df0 df04012f 726f6f74 2f576f72 6b737061 .../root/Workspa + 13e00 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13e10 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13e20 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. + 13e30 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil + 13e40 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 13e50 2f636d6e 6f732f69 6e74722f 7372632f /cmnos/intr/src/ + 13e60 636d6e6f 735f696e 74722e63 002f726f cmnos_intr.c./ro + 13e70 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 13e80 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 13e90 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 13ea0 636d6e6f 732f696e 74720078 742d7863 cmnos/intr.xt-xc + 13eb0 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 13ec0 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 13ed0 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - + 13ee0 4f50543a 73706163 65000100 00005183 OPT:space.....Q. + 13ef0 02010300 00010904 0004696e 74000504 ..........int... + 13f00 04636861 72000701 05000001 19050000 .char........... + 13f10 01190300 00012604 00060000 01120103 ......&......... + 13f20 00000132 04000770 72696e74 665f6170 ...2...printf_ap + 13f30 69000800 00017608 5f707269 6e74665f i.....v._printf_ + 13f40 696e6974 00000001 0b022300 085f7072 init......#.._pr + 13f50 696e7466 00000001 38022304 00047368 intf....8.#...sh + 13f60 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 13f70 00070209 75696e74 31365f74 00000001 ....uint16_t.... + 13f80 76046c6f 6e672075 6e736967 6e656420 v.long unsigned + 13f90 696e7400 07040975 696e7433 325f7400 int....uint32_t. + 13fa0 0000019a 07756172 745f6669 666f0008 .....uart_fifo.. + 13fb0 00000208 08737461 72745f69 6e646578 .....start_index + 13fc0 00000001 8c022300 08656e64 5f696e64 ......#..end_ind + 13fd0 65780000 00018c02 2302086f 76657272 ex......#..overr + 13fe0 756e5f65 72720000 0001af02 23040007 un_err......#... + 13ff0 75617274 5f617069 00200000 02c1085f uart_api. ....._ + 14000 75617274 5f696e69 74000000 03180223 uart_init......# + 14010 00085f75 6172745f 63686172 5f707574 .._uart_char_put + 14020 00000003 3f022304 085f7561 72745f63 ....?.#.._uart_c + 14030 6861725f 67657400 00000353 02230808 har_get....S.#.. + 14040 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 14050 035c0223 0c085f75 6172745f 7461736b .\.#.._uart_task + 14060 00000001 0b022310 085f7561 72745f73 ......#.._uart_s + 14070 74617475 73000000 03180223 14085f75 tatus......#.._u + 14080 6172745f 636f6e66 69670000 00036502 art_config....e. + 14090 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. + 140a0 0000036e 02231c00 03000002 08040007 ...n.#.......... + 140b0 75617274 5f626c6b 00100000 03120864 uart_blk.......d + 140c0 65627567 5f6d6f64 65000000 018c0223 ebug_mode......# + 140d0 00086261 75640000 00018c02 2302085f ..baud......#.._ + 140e0 75617274 00000002 c1022304 085f7478 uart......#.._tx + 140f0 00000001 bd022308 00060000 01af0103 ......#......... + 14100 00000312 04000475 6e736967 6e656420 .......unsigned + 14110 63686172 00070109 75696e74 385f7400 char....uint8_t. + 14120 0000031f 02010300 00033d04 00030000 ..........=..... + 14130 03300400 06000001 8c010300 00034d04 .0............M. + 14140 00020103 0000035a 04000201 03000003 .......Z........ + 14150 63040002 01030000 036c0400 03000001 c........l...... + 14160 19040006 00000112 01030000 037c0400 .............|.. + 14170 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU + 14180 4354000c 000003d4 08636d64 5f737472 CT.......cmd_str + 14190 00000003 75022300 0868656c 705f7374 ....u.#..help_st + 141a0 72000000 03750223 0408636d 645f6675 r....u.#..cmd_fu + 141b0 6e630000 00038202 23080007 6462675f nc......#...dbg_ + 141c0 61706900 08000004 07085f64 62675f69 api......._dbg_i + 141d0 6e697400 0000010b 02230008 5f646267 nit......#.._dbg + 141e0 5f746173 6b000000 010b0223 04000a04 _task......#.... + 141f0 0004756e 7369676e 65642069 6e740007 ..unsigned int.. + 14200 04060000 04070103 0000041a 04000b0b ................ + 14210 03000004 28040006 00000407 01030000 ....(........... + 14220 04300400 06000001 12010300 00043d04 .0............=. + 14230 00076d65 6d5f6170 69001400 0004ac08 ..mem_api....... + 14240 5f6d656d 5f696e69 74000000 010b0223 _mem_init......# + 14250 00085f6d 656d7365 74000000 04200223 .._memset.... .# + 14260 04085f6d 656d6370 79000000 04360223 .._memcpy....6.# + 14270 08085f6d 656d6d6f 76650000 00043602 .._memmove....6. + 14280 230c085f 6d656d63 6d700000 00044302 #.._memcmp....C. + 14290 23100007 72656769 73746572 5f64756d #...register_dum + 142a0 705f7300 90000005 13087461 72676574 p_s.......target + 142b0 5f696400 000001af 02230008 6173736c _id......#..assl + 142c0 696e6500 000001af 02230408 70630000 ine......#..pc.. + 142d0 0001af02 23080862 61647661 64647200 ....#..badvaddr. + 142e0 000001af 02230c08 6578635f 6672616d .....#..exc_fram + 142f0 65000000 482f0223 10000300 0004ac04 e...H/.#........ + 14300 00020103 0000051a 04000201 03000005 ................ + 14310 23040006 00000112 01030000 052c0400 #............,.. + 14320 0c686f73 7469665f 73000400 0005880d .hostif_s....... + 14330 4849465f 55534200 000d4849 465f5043 HIF_USB...HIF_PC + 14340 49450001 0d484946 5f474d41 4300020d IE...HIF_GMAC... + 14350 4849465f 50434900 030d4849 465f4e55 HIF_PCI...HIF_NU + 14360 4d00040d 4849465f 4e4f4e45 00050009 M...HIF_NONE.... + 14370 415f484f 53544946 00000005 39060000 A_HOSTIF....9... + 14380 05880103 00000596 04000600 00033001 ..............0. + 14390 03000005 a3040006 0000018c 01030000 ................ + 143a0 05b00400 076d6973 635f6170 69002400 .....misc_api.$. + 143b0 0006a008 5f737973 74656d5f 72657365 ...._system_rese + 143c0 74000000 010b0223 00085f6d 61635f72 t......#.._mac_r + 143d0 65736574 00000001 0b022304 085f6173 eset......#.._as + 143e0 73666169 6c000000 051c0223 08085f6d sfail......#.._m + 143f0 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 14400 616e646c 65720000 00051c02 230c085f andler......#.._ + 14410 7265706f 72745f66 61696c75 72655f74 report_failure_t + 14420 6f5f686f 73740000 00052502 2310085f o_host....%.#.._ + 14430 74617267 65745f69 645f6765 74000000 target_id_get... + 14440 05320223 14085f69 735f686f 73745f70 .2.#.._is_host_p + 14450 72657365 6e740000 00059c02 2318085f resent......#.._ + 14460 6b626869 74000000 05a90223 1c085f72 kbhit......#.._r + 14470 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 14480 0005b602 23200006 00000375 01030000 ....# .....u.... + 14490 06a00400 06000003 75010300 0006ad04 ........u....... + 144a0 00060000 01120103 000006ba 04000600 ................ + 144b0 00011201 03000006 c7040006 00000112 ................ + 144c0 01030000 06d40400 07737472 696e675f .........string_ + 144d0 61706900 18000007 5a085f73 7472696e api.....Z._strin + 144e0 675f696e 69740000 00010b02 2300085f g_init......#.._ + 144f0 73747263 70790000 0006a602 2304085f strcpy......#.._ + 14500 7374726e 63707900 000006b3 02230808 strncpy......#.. + 14510 5f737472 6c656e00 000006c0 02230c08 _strlen......#.. + 14520 5f737472 636d7000 000006cd 02231008 _strcmp......#.. + 14530 5f737472 6e636d70 00000006 da022314 _strncmp......#. + 14540 000e0000 040a1400 0007670f 0400095f ..........g...._ + 14550 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 14560 075a0941 5f74696d 65725f74 00000007 .Z.A_timer_t.... + 14570 67030000 077b0400 02010300 00079104 g....{.......... + 14580 00020103 0000079a 04000941 5f48414e ...........A_HAN + 14590 444c4500 0000040a 02010941 5f54494d DLE........A_TIM + 145a0 45525f46 554e4300 000007b1 03000007 ER_FUNC......... + 145b0 b3040002 01030000 07cc0400 0774696d .............tim + 145c0 65725f61 70690014 0000084b 085f7469 er_api.....K._ti + 145d0 6d65725f 696e6974 00000001 0b022300 mer_init......#. + 145e0 085f7469 6d65725f 61726d00 00000793 ._timer_arm..... + 145f0 02230408 5f74696d 65725f64 69736172 .#.._timer_disar + 14600 6d000000 079c0223 08085f74 696d6572 m......#.._timer + 14610 5f736574 666e0000 0007ce02 230c085f _setfn......#.._ + 14620 74696d65 725f7275 6e000000 010b0223 timer_run......# + 14630 10000942 4f4f4c45 414e0000 00018c06 ...BOOLEAN...... + 14640 0000084b 01030000 08580400 06000008 ...K.....X...... + 14650 4b010300 00086504 00060000 084b0103 K.....e......K.. + 14660 00000872 04000772 6f6d705f 61706900 ...r...romp_api. + 14670 10000008 e4085f72 6f6d705f 696e6974 ......_romp_init + 14680 00000001 0b022300 085f726f 6d705f64 ......#.._romp_d + 14690 6f776e6c 6f616400 0000085e 02230408 ownload....^.#.. + 146a0 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 146b0 086b0223 08085f72 6f6d705f 6465636f .k.#.._romp_deco + 146c0 64650000 00087802 230c0007 726f6d5f de....x.#...rom_ + 146d0 70617463 685f7374 00100000 09400863 patch_st.....@.c + 146e0 72633136 00000001 8c022300 086c656e rc16......#..len + 146f0 00000001 8c022302 086c645f 61646472 ......#..ld_addr + 14700 00000001 af022304 0866756e 5f616464 ......#..fun_add + 14710 72000000 01af0223 08087066 756e0000 r......#..pfun.. + 14720 00034602 230c0007 6565705f 72656469 ..F.#...eep_redi + 14730 725f6164 64720004 00000972 086f6666 r_addr.....r.off + 14740 73657400 0000018c 02230008 73697a65 set......#..size + 14750 00000001 8c022302 0009415f 55494e54 ......#...A_UINT + 14760 33320000 00040a06 00000407 01030000 32.............. + 14770 09800400 07616c6c 6f637261 6d5f6170 .....allocram_ap + 14780 69000c00 0009f108 636d6e6f 735f616c i.......cmnos_al + 14790 6c6f6372 616d5f69 6e697400 00000986 locram_init..... + 147a0 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 147b0 616d0000 00098602 23040863 6d6e6f73 am......#..cmnos + 147c0 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 147d0 0000010b 02230800 02010300 0009f104 .....#.......... + 147e0 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 147f0 00000009 f3075f74 61736b6c 65740010 ......_tasklet.. + 14800 00000a52 0866756e 63000000 09fa0223 ...R.func......# + 14810 00086172 67000000 04070223 04087374 ..arg......#..st + 14820 61746500 00000112 02230808 6e657874 ate......#..next + 14830 0000000a 5202230c 00030000 0a0e0400 ....R.#......... + 14840 0300000a 0e040009 415f7461 736b6c65 ........A_taskle + 14850 745f7400 00000a0e 0300000a 60040002 t_t.........`... + 14860 01030000 0a780400 02010300 000a8104 .....x.......... + 14870 00077461 736b6c65 745f6170 69001400 ..tasklet_api... + 14880 000b1608 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 14890 74000000 010b0223 00085f74 61736b6c t......#.._taskl + 148a0 65745f69 6e69745f 7461736b 0000000a et_init_task.... + 148b0 7a022304 085f7461 736b6c65 745f6469 z.#.._tasklet_di + 148c0 7361626c 65000000 0a830223 08085f74 sable......#.._t + 148d0 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 148e0 00000a83 02230c08 5f746173 6b6c6574 .....#.._tasklet + 148f0 5f72756e 00000001 0b022310 00020103 _run......#..... + 14900 00000b16 04000600 00097201 0300000b ..........r..... + 14910 1f040002 01030000 0b2c0400 07636c6f .........,...clo + 14920 636b5f61 70690024 00000c0e 085f636c ck_api.$....._cl + 14930 6f636b5f 696e6974 0000000b 18022300 ock_init......#. + 14940 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init + 14950 00000001 0b022304 085f7561 72745f66 ......#.._uart_f + 14960 72657175 656e6379 0000000b 25022308 requency....%.#. + 14970 085f6465 6c61795f 75730000 000b2e02 ._delay_us...... + 14980 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se + 14990 74000000 0b2e0223 10085f72 6566636c t......#.._refcl + 149a0 6b5f7370 6565645f 67657400 00000b25 k_speed_get....% + 149b0 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond + 149c0 73000000 0b250223 18085f73 7973636c s....%.#.._syscl + 149d0 6b5f6368 616e6765 00000001 0b02231c k_change......#. + 149e0 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... + 149f0 0b022320 00060000 01af0103 00000c0e ..# ............ + 14a00 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. + 14a10 000001af 0600000c 1b010300 000c2d04 ..............-. + 14a20 00020103 00000c3a 04000201 0300000c .......:........ + 14a30 43040006 000001af 01030000 0c4c0400 C............L.. + 14a40 09415f69 73725f74 0000000c 52020103 .A_isr_t....R... + 14a50 00000c66 04000600 00040a01 0300000c ...f............ + 14a60 6f040002 01030000 0c7c0400 07696e74 o........|...int + 14a70 725f6170 69002c00 000d9e08 5f696e74 r_api.,....._int + 14a80 725f696e 69740000 00010b02 2300085f r_init......#.._ + 14a90 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. + 14aa0 00000c14 02230408 5f696e74 725f6469 .....#.._intr_di + 14ab0 7361626c 65000000 0c330223 08085f69 sable....3.#.._i + 14ac0 6e74725f 72657374 6f726500 00000c3c ntr_restore....< + 14ad0 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i + 14ae0 6e756d00 00000c45 02231008 5f696e74 num....E.#.._int + 14af0 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... + 14b00 0c450223 14085f69 6e74725f 61747461 .E.#.._intr_atta + 14b10 63685f69 73720000 000c6802 2318085f ch_isr....h.#.._ + 14b20 6765745f 696e7472 656e6162 6c650000 get_intrenable.. + 14b30 000c7502 231c085f 7365745f 696e7472 ..u.#.._set_intr + 14b40 656e6162 6c650000 000c7e02 2320085f enable....~.# ._ + 14b50 6765745f 696e7472 70656e64 696e6700 get_intrpending. + 14b60 00000c75 02232408 5f756e62 6c6f636b ...u.#$._unblock + 14b70 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... + 14b80 0b022328 00100400 000dc408 74696d65 ..#(........time + 14b90 6f757400 000001af 02230008 61637469 out......#..acti + 14ba0 6f6e0000 0001af02 23000011 0800000d on......#....... + 14bb0 df08636d 64000000 01af0223 00120000 ..cmd......#.... + 14bc0 0d9e0223 04000954 5f574454 5f434d44 ...#...T_WDT_CMD + 14bd0 0000000d c4020103 00000dee 04001304 ................ + 14be0 00000e44 0d454e55 4d5f5744 545f424f ...D.ENUM_WDT_BO + 14bf0 4f540001 0d454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B + 14c00 4f4f5400 020d454e 554d5f53 5553505f OOT...ENUM_SUSP_ + 14c10 424f4f54 00030d45 4e554d5f 554e4b4e BOOT...ENUM_UNKN + 14c20 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO + 14c30 4f545f54 59504500 00000df7 0600000e OT_TYPE......... + 14c40 44010300 000e5504 00077764 745f6170 D.....U...wdt_ap + 14c50 69001c00 000ef908 5f776474 5f696e69 i......._wdt_ini + 14c60 74000000 010b0223 00085f77 64745f65 t......#.._wdt_e + 14c70 6e61626c 65000000 010b0223 04085f77 nable......#.._w + 14c80 64745f64 69736162 6c650000 00010b02 dt_disable...... + 14c90 2308085f 7764745f 73657400 00000df0 #.._wdt_set..... + 14ca0 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... + 14cb0 010b0223 10085f77 64745f72 65736574 ...#.._wdt_reset + 14cc0 00000001 0b022314 085f7764 745f6c61 ......#.._wdt_la + 14cd0 73745f62 6f6f7400 00000e5b 02231800 st_boot....[.#.. + 14ce0 13040000 0f600d52 45545f53 55434345 .....`.RET_SUCCE + 14cf0 53530000 0d524554 5f4e4f54 5f494e49 SS...RET_NOT_INI + 14d00 5400010d 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS + 14d10 5400020d 5245545f 4545505f 434f5252 T...RET_EEP_CORR + 14d20 55505400 030d5245 545f4545 505f4f56 UPT...RET_EEP_OV + 14d30 4552464c 4f570004 0d524554 5f554e4b ERFLOW...RET_UNK + 14d40 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE + 14d50 54000000 0ef90300 00018c04 00060000 T............... + 14d60 0f600103 00000f76 04000600 000f6001 .`.....v......`. + 14d70 0300000f 83040007 6565705f 61706900 ........eep_api. + 14d80 1000000f ec085f65 65705f69 6e697400 ......_eep_init. + 14d90 0000010b 02230008 5f656570 5f726561 .....#.._eep_rea + 14da0 64000000 0f7c0223 04085f65 65705f77 d....|.#.._eep_w + 14db0 72697465 0000000f 7c022308 085f6565 rite....|.#.._ee + 14dc0 705f6973 5f657869 73740000 000f8902 p_is_exist...... + 14dd0 230c0007 7573625f 61706900 70000012 #...usb_api.p... + 14de0 99085f75 73625f69 6e697400 0000010b .._usb_init..... + 14df0 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas + 14e00 6b000000 010b0223 04085f75 73625f66 k......#.._usb_f + 14e10 775f7461 736b0000 00010b02 2308085f w_task......#.._ + 14e20 7573625f 696e6974 5f706879 00000001 usb_init_phy.... + 14e30 0b02230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se + 14e40 74757000 0000010b 02231008 5f757362 tup......#.._usb + 14e50 5f657030 5f747800 0000010b 02231408 _ep0_tx......#.. + 14e60 5f757362 5f657030 5f727800 0000010b _usb_ep0_rx..... + 14e70 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int + 14e80 65726661 63650000 00086b02 231c085f erface....k.#.._ + 14e90 7573625f 7365745f 696e7465 72666163 usb_set_interfac + 14ea0 65000000 086b0223 20085f75 73625f67 e....k.# ._usb_g + 14eb0 65745f63 6f6e6669 67757261 74696f6e et_configuration + 14ec0 00000008 6b022324 085f7573 625f7365 ....k.#$._usb_se + 14ed0 745f636f 6e666967 75726174 696f6e00 t_configuration. + 14ee0 0000086b 02232808 5f757362 5f737461 ...k.#(._usb_sta + 14ef0 6e646172 645f636d 64000000 086b0223 ndard_cmd....k.# + 14f00 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm + 14f10 64000000 010b0223 30085f75 73625f70 d......#0._usb_p + 14f20 6f776572 5f6f6666 00000001 0b022334 ower_off......#4 + 14f30 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo + 14f40 00000001 0b022338 085f7573 625f6765 ......#8._usb_ge + 14f50 6e5f7764 74000000 010b0223 3c085f75 n_wdt......#<._u + 14f60 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... + 14f70 0b022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe + 14f80 61747572 65000000 086b0223 44085f75 ature....k.#D._u + 14f90 73625f73 65745f66 65617475 72650000 sb_set_feature.. + 14fa0 00086b02 2348085f 7573625f 7365745f ..k.#H._usb_set_ + 14fb0 61646472 65737300 0000086b 02234c08 address....k.#L. + 14fc0 5f757362 5f676574 5f646573 63726970 _usb_get_descrip + 14fd0 746f7200 0000086b 02235008 5f757362 tor....k.#P._usb + 14fe0 5f676574 5f737461 74757300 0000086b _get_status....k + 14ff0 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d + 15000 65736300 0000010b 02235808 5f757362 esc......#X._usb + 15010 5f726567 5f6f7574 00000001 0b02235c _reg_out......#\ + 15020 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. + 15030 0000010b 02236008 5f757362 5f657030 .....#`._usb_ep0 + 15040 5f74785f 64617461 00000001 0b022364 _tx_data......#d + 15050 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat + 15060 61000000 010b0223 68085f75 73625f63 a......#h._usb_c + 15070 6c6b5f69 6e697400 0000010b 02236c00 lk_init......#l. + 15080 075f5644 45534300 24000013 25086e65 ._VDESC.$...%.ne + 15090 78745f64 65736300 00001325 02230008 xt_desc....%.#.. + 150a0 6275665f 61646472 00000013 39022304 buf_addr....9.#. + 150b0 08627566 5f73697a 65000000 13400223 .buf_size....@.# + 150c0 08086461 74615f6f 66667365 74000000 ..data_offset... + 150d0 13400223 0a086461 74615f73 697a6500 .@.#..data_size. + 150e0 00001340 02230c08 636f6e74 726f6c00 ...@.#..control. + 150f0 00001340 02230e08 68775f64 6573635f ...@.#..hw_desc_ + 15100 62756600 0000134e 02231000 03000012 buf....N.#...... + 15110 99040009 415f5549 4e543800 0000031f ....A_UINT8..... + 15120 03000013 2c040009 415f5549 4e543136 ....,...A_UINT16 + 15130 00000001 760e0000 132c1400 00135b0f ....v....,....[. + 15140 13000300 00129904 00095644 45534300 ..........VDESC. + 15150 00001299 03000013 62040006 0000136d ........b......m + 15160 01030000 13740400 06000013 39010300 .....t......9... + 15170 00138104 00020103 0000138e 04000776 ...............v + 15180 64657363 5f617069 00140000 1406085f desc_api......._ + 15190 696e6974 0000000b 2e022300 085f616c init......#.._al + 151a0 6c6f635f 76646573 63000000 137a0223 loc_vdesc....z.# + 151b0 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. + 151c0 00138702 2308085f 73776170 5f766465 ....#.._swap_vde + 151d0 73630000 00139002 230c0870 52657365 sc......#..pRese + 151e0 72766564 00000004 07022310 00075f56 rved......#..._V + 151f0 42554600 20000014 66086465 73635f6c BUF. ...f.desc_l + 15200 69737400 0000136d 02230008 6e657874 ist....m.#..next + 15210 5f627566 00000014 66022304 08627566 _buf....f.#..buf + 15220 5f6c656e 67746800 00001340 02230808 _length....@.#.. + 15230 72657365 72766564 00000014 6d02230a reserved....m.#. + 15240 08637478 00000013 4e02230c 00030000 .ctx....N.#..... + 15250 14060400 0e000013 2c020000 147a0f01 ........,....z.. + 15260 00030000 14060400 09564255 46000000 .........VBUF... + 15270 14060300 00148104 00060000 148b0103 ................ + 15280 00001492 04000600 00148b01 03000014 ................ + 15290 9f040002 01030000 14ac0400 07766275 .............vbu + 152a0 665f6170 69001400 00152a08 5f696e69 f_api.....*._ini + 152b0 74000000 0b2e0223 00085f61 6c6c6f63 t......#.._alloc + 152c0 5f766275 66000000 14980223 04085f61 _vbuf......#.._a + 152d0 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s + 152e0 697a6500 000014a5 02230808 5f667265 ize......#.._fre + 152f0 655f7662 75660000 0014ae02 230c0870 e_vbuf......#..p + 15300 52657365 72766564 00000004 07022310 Reserved......#. + 15310 00075f5f 6164665f 64657669 63650004 ..__adf_device.. + 15320 0000154c 0864756d 6d790000 00011202 ...L.dummy...... + 15330 23000003 00000972 0400075f 5f616466 #......r...__adf + 15340 5f646d61 5f6d6170 000c0000 15930862 _dma_map.......b + 15350 75660000 00148b02 23000864 735f6164 uf......#..ds_ad + 15360 64720000 00154c02 23040864 735f6c65 dr....L.#..ds_le + 15370 6e000000 13400223 0800110c 000015cd n....@.#........ + 15380 085f5f76 615f7374 6b000000 03750223 .__va_stk....u.# + 15390 00085f5f 76615f72 65670000 00037502 ..__va_reg....u. + 153a0 2304085f 5f76615f 6e647800 00000112 #..__va_ndx..... + 153b0 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm + 153c0 615f6164 64725f74 00000009 72096164 a_addr_t....r.ad + 153d0 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 153e0 000015cd 095f5f61 64665f6f 735f646d .....__adf_os_dm + 153f0 615f7369 7a655f74 00000009 72096164 a_size_t....r.ad + 15400 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 15410 000015fd 075f5f64 6d615f73 65677300 .....__dma_segs. + 15420 08000016 59087061 64647200 000015e6 ....Y.paddr..... + 15430 02230008 6c656e00 00001616 02230400 .#..len......#.. + 15440 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... + 15450 09720961 5f75696e 7433325f 74000000 .r.a_uint32_t... + 15460 16590e00 00162d08 00001688 0f000007 .Y....-......... + 15470 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 15480 666f000c 000016c1 086e7365 67730000 fo.......nsegs.. + 15490 00166b02 23000864 6d615f73 65677300 ..k.#..dma_segs. + 154a0 0000167b 02230400 095f5f61 5f75696e ...{.#...__a_uin + 154b0 74385f74 00000013 2c09615f 75696e74 t8_t....,.a_uint + 154c0 385f7400 000016c1 03000016 d2040007 8_t............. + 154d0 5f5f7367 5f736567 73000800 00171308 __sg_segs....... + 154e0 76616464 72000000 16e10223 00086c65 vaddr......#..le + 154f0 6e000000 166b0223 04000e00 0016e820 n....k.#....... + 15500 00001720 0f030007 6164665f 6f735f73 ... ....adf_os_s + 15510 676c6973 74002400 00175308 6e736567 glist.$...S.nseg + 15520 73000000 166b0223 00087367 5f736567 s....k.#..sg_seg + 15530 73000000 17130223 04001110 0000179c s......#........ + 15540 0876656e 646f7200 0000166b 02230008 .vendor....k.#.. + 15550 64657669 63650000 00166b02 23040873 device....k.#..s + 15560 75627665 6e646f72 00000016 6b022308 ubvendor....k.#. + 15570 08737562 64657669 63650000 00166b02 .subdevice....k. + 15580 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un + 15590 7369676e 65642069 6e740007 0809415f signed int....A_ + 155a0 55494e54 36340000 00179c09 5f5f615f UINT64......__a_ + 155b0 75696e74 36345f74 00000017 b609615f uint64_t......a_ + 155c0 75696e74 36345f74 00000017 c4130400 uint64_t........ + 155d0 0018220d 4144465f 4f535f52 45534f55 ..".ADF_OS_RESOU + 155e0 5243455f 54595045 5f4d454d 00000d41 RCE_TYPE_MEM...A + 155f0 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 15600 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os + 15610 5f726573 6f757263 655f7479 70655f74 _resource_type_t + 15620 00000017 e6111800 00186c08 73746172 ..........l.star + 15630 74000000 17d60223 0008656e 64000000 t......#..end... + 15640 17d60223 08087479 70650000 00182202 ...#..type....". + 15650 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d + 15660 65765f69 645f7400 00001753 03000018 ev_id_t....S.... + 15670 6c040010 04000018 ab087063 69000000 l.........pci... + 15680 18850223 00087261 77000000 04070223 ...#..raw......# + 15690 00001010 000018ca 08706369 00000018 .........pci.... + 156a0 6c022300 08726177 00000004 07022300 l.#..raw......#. + 156b0 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle + 156c0 5f740000 00040709 6164665f 6f735f72 _t......adf_os_r + 156d0 65736f75 7263655f 74000000 183e0300 esource_t....>.. + 156e0 0018e004 00096164 665f6f73 5f617474 ......adf_os_att + 156f0 6163685f 64617461 5f740000 0018ab03 ach_data_t...... + 15700 000018fe 04000300 00152a04 00095f5f ..........*...__ + 15710 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 15720 0000191f 09616466 5f6f735f 64657669 .....adf_os_devi + 15730 63655f74 00000019 26060000 18ca0103 ce_t....&....... + 15740 00001952 04000201 03000019 5f040009 ...R........_... + 15750 6164665f 6f735f70 6d5f7400 00000407 adf_os_pm_t..... + 15760 02010300 00197904 00130400 0019b90d ......y......... + 15770 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 15780 50434900 010d4144 465f4f53 5f425553 PCI...ADF_OS_BUS + 15790 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... + 157a0 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type + 157b0 5f740000 00198209 6164665f 6f735f62 _t......adf_os_b + 157c0 75735f72 65675f64 6174615f 74000000 us_reg_data_t... + 157d0 188c0300 00031f04 00075f61 64665f64 .........._adf_d + 157e0 72765f69 6e666f00 2000001a 96086472 rv_info. .....dr + 157f0 765f6174 74616368 00000019 58022300 v_attach....X.#. + 15800 08647276 5f646574 61636800 00001961 .drv_detach....a + 15810 02230408 6472765f 73757370 656e6400 .#..drv_suspend. + 15820 0000197b 02230808 6472765f 72657375 ...{.#..drv_resu + 15830 6d650000 00196102 230c0862 75735f74 me....a.#..bus_t + 15840 79706500 000019b9 02231008 6275735f ype......#..bus_ + 15850 64617461 00000019 d0022314 086d6f64 data......#..mod + 15860 5f6e616d 65000000 19eb0223 18086966 _name......#..if + 15870 6e616d65 00000019 eb02231c 00096164 name......#...ad + 15880 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... + 15890 04070300 0016c104 00020102 01095f5f ..............__ + 158a0 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 158b0 040a1304 00001ae5 0d415f46 414c5345 .........A_FALSE + 158c0 00000d41 5f545255 45000100 09615f62 ...A_TRUE....a_b + 158d0 6f6f6c5f 74000000 1acb0300 00155304 ool_t.........S. + 158e0 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m + 158f0 61705f74 0000001a f302010c 6164665f ap_t........adf_ + 15900 6f735f63 61636865 5f73796e 63000400 os_cache_sync... + 15910 001b7d0d 4144465f 53594e43 5f505245 ..}.ADF_SYNC_PRE + 15920 52454144 00000d41 44465f53 594e435f READ...ADF_SYNC_ + 15930 50524557 52495445 00020d41 44465f53 PREWRITE...ADF_S + 15940 594e435f 504f5354 52454144 00010d41 YNC_POSTREAD...A + 15950 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT + 15960 45000300 09616466 5f6f735f 63616368 E....adf_os_cach + 15970 655f7379 6e635f74 0000001b 14020109 e_sync_t........ + 15980 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 15990 1ab60600 001b9801 09616466 5f6f735f .........adf_os_ + 159a0 646d615f 6d61705f 74000000 1afa0300 dma_map_t....... + 159b0 001bb104 00060000 04070103 00001afa ................ + 159c0 04000600 00040701 02010600 0015e601 ................ + 159d0 02010473 686f7274 20696e74 00050209 ...short int.... + 159e0 415f494e 54313600 00001beb 095f5f61 A_INT16......__a + 159f0 5f696e74 31365f74 0000001b f809615f _int16_t......a_ + 15a00 696e7431 365f7400 00001c05 04736967 int16_t......sig + 15a10 6e656420 63686172 00050109 415f494e ned char....A_IN + 15a20 54380000 001c2509 5f5f615f 696e7438 T8....%.__a_int8 + 15a30 5f740000 001c3409 615f696e 74385f74 _t....4.a_int8_t + 15a40 0000001c 40110c00 001cb708 73757070 ....@.......supp + 15a50 6f727465 64000000 166b0223 00086164 orted....k.#..ad + 15a60 76657274 697a6564 00000016 6b022304 vertized....k.#. + 15a70 08737065 65640000 001c1602 23080864 .speed......#..d + 15a80 75706c65 78000000 1c500223 0a086175 uplex....P.#..au + 15a90 746f6e65 67000000 16d20223 0b000e00 toneg......#.... + 15aa0 0016d206 00001cc4 0f050007 6164665f ............adf_ + 15ab0 6e65745f 65746861 64647200 0600001c net_ethaddr..... + 15ac0 e8086164 64720000 001cb702 23000009 ..addr......#... + 15ad0 5f5f615f 75696e74 31365f74 00000013 __a_uint16_t.... + 15ae0 4009615f 75696e74 31365f74 0000001c @.a_uint16_t.... + 15af0 e8110e00 001d4c08 65746865 725f6468 ......L.ether_dh + 15b00 6f737400 00001cb7 02230008 65746865 ost......#..ethe + 15b10 725f7368 6f737400 00001cb7 02230608 r_shost......#.. + 15b20 65746865 725f7479 70650000 001cfa02 ether_type...... + 15b30 230c0011 1400001e 0d146970 5f766572 #.........ip_ver + 15b40 73696f6e 00000016 d2010004 02230014 sion.........#.. + 15b50 69705f68 6c000000 16d20104 04022300 ip_hl.........#. + 15b60 0869705f 746f7300 000016d2 02230108 .ip_tos......#.. + 15b70 69705f6c 656e0000 001cfa02 23020869 ip_len......#..i + 15b80 705f6964 0000001c fa022304 0869705f p_id......#..ip_ + 15b90 66726167 5f6f6666 0000001c fa022306 frag_off......#. + 15ba0 0869705f 74746c00 000016d2 02230808 .ip_ttl......#.. + 15bb0 69705f70 726f746f 00000016 d2022309 ip_proto......#. + 15bc0 0869705f 63686563 6b000000 1cfa0223 .ip_check......# + 15bd0 0a086970 5f736164 64720000 00166b02 ..ip_saddr....k. + 15be0 230c0869 705f6461 64647200 0000166b #..ip_daddr....k + 15bf0 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla + 15c00 6e686472 00040000 1e5f0874 70696400 nhdr....._.tpid. + 15c10 00001cfa 02230014 7072696f 00000016 .....#..prio.... + 15c20 d2010003 02230214 63666900 000016d2 .....#..cfi..... + 15c30 01030102 23021476 69640000 001cfa02 ....#..vid...... + 15c40 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v + 15c50 69640002 00001e90 14726573 00000016 id.......res.... + 15c60 d2010004 02230014 76616c00 00001cfa .....#..val..... + 15c70 02040c02 23000011 0c00001e cc087278 ....#.........rx + 15c80 5f627566 73697a65 00000016 6b022300 _bufsize....k.#. + 15c90 0872785f 6e646573 63000000 166b0223 .rx_ndesc....k.# + 15ca0 04087478 5f6e6465 73630000 00166b02 ..tx_ndesc....k. + 15cb0 23080011 0800001e f208706f 6c6c6564 #.........polled + 15cc0 0000001a e5022300 08706f6c 6c5f7774 ......#..poll_wt + 15cd0 00000016 6b022304 000e0000 16d24000 ....k.#.......@. + 15ce0 001eff0f 3f001146 00001f27 0869665f ....?..F...'.if_ + 15cf0 6e616d65 0000001e f2022300 08646576 name......#..dev + 15d00 5f616464 72000000 1cb70223 40001304 _addr......#@... + 15d10 00001f5e 0d414446 5f4f535f 444d415f ...^.ADF_OS_DMA_ + 15d20 4d41534b 5f333242 49540000 0d414446 MASK_32BIT...ADF + 15d30 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B + 15d40 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma + 15d50 5f6d6173 6b5f7400 00001f27 07616466 _mask_t....'.adf + 15d60 5f646d61 5f696e66 6f000800 001fab08 _dma_info....... + 15d70 646d615f 6d61736b 0000001f 5e022300 dma_mask....^.#. + 15d80 0873675f 6e736567 73000000 166b0223 .sg_nsegs....k.# + 15d90 04001304 00002001 0d414446 5f4e4554 ...... ..ADF_NET + 15da0 5f434b53 554d5f4e 4f4e4500 000d4144 _CKSUM_NONE...AD + 15db0 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 15dc0 5544505f 49507634 00010d41 44465f4e UDP_IPv4...ADF_N + 15dd0 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 15de0 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net + 15df0 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 15e00 1fab1108 00002044 0874785f 636b7375 ...... D.tx_cksu + 15e10 6d000000 20010223 00087278 5f636b73 m... ..#..rx_cks + 15e20 756d0000 00200102 23040009 6164665f um... ..#...adf_ + 15e30 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t + 15e40 00000020 1b130400 00209d0d 4144465f ... ..... ..ADF_ + 15e50 4e45545f 54534f5f 4e4f4e45 00000d41 NET_TSO_NONE...A + 15e60 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. + 15e70 010d4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL + 15e80 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso + 15e90 5f747970 655f7400 0000205e 11100000 _type_t... ^.... + 15ea0 20f10863 6b73756d 5f636170 00000020 ..cksum_cap... + 15eb0 44022300 0874736f 00000020 9d022308 D.#..tso... ..#. + 15ec0 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. + 15ed0 000016d2 02230c00 11200000 218a0874 .....#... ..!..t + 15ee0 785f7061 636b6574 73000000 166b0223 x_packets....k.# + 15ef0 00087278 5f706163 6b657473 00000016 ..rx_packets.... + 15f00 6b022304 0874785f 62797465 73000000 k.#..tx_bytes... + 15f10 166b0223 08087278 5f627974 65730000 .k.#..rx_bytes.. + 15f20 00166b02 230c0874 785f6472 6f707065 ..k.#..tx_droppe + 15f30 64000000 166b0223 10087278 5f64726f d....k.#..rx_dro + 15f40 70706564 00000016 6b022314 0872785f pped....k.#..rx_ + 15f50 6572726f 72730000 00166b02 23180874 errors....k.#..t + 15f60 785f6572 726f7273 00000016 6b02231c x_errors....k.#. + 15f70 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 15f80 725f7400 00001cc4 15000021 8a030000 r_t........!.... + 15f90 0021af0f 7f001661 64665f6e 65745f63 .!.....adf_net_c + 15fa0 6d645f6d 63616464 72000304 000021e6 md_mcaddr.....!. + 15fb0 086e656c 656d0000 00166b02 2300086d .nelem....k.#..m + 15fc0 63617374 00000021 a1022304 00096164 cast...!..#...ad + 15fd0 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i + 15fe0 6e666f5f 74000000 1c5e0961 64665f6e nfo_t....^.adf_n + 15ff0 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info + 16000 5f740000 001ecc09 6164665f 6e65745f _t......adf_net_ + 16010 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t + 16020 00000020 44096164 665f6e65 745f636d ... D.adf_net_cm + 16030 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... + 16040 1e900961 64665f6e 65745f63 6d645f64 ...adf_net_cmd_d + 16050 6d615f69 6e666f5f 74000000 1f750961 ma_info_t....u.a + 16060 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t + 16070 0000001c fa096164 665f6e65 745f636d ......adf_net_cm + 16080 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. + 16090 000020b5 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd + 160a0 5f737461 74735f74 00000020 f1096164 _stats_t... ..ad + 160b0 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 160c0 5f740000 0021af0c 6164665f 6e65745f _t...!..adf_net_ + 160d0 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... + 160e0 0023280d 4144465f 4e45545f 4d434153 .#(.ADF_NET_MCAS + 160f0 545f5355 5000000d 4144465f 4e45545f T_SUP...ADF_NET_ + 16100 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... + 16110 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 16120 745f6361 705f7400 000022e0 17030400 t_cap_t..."..... + 16130 0023fa08 6c696e6b 5f696e66 6f000000 .#..link_info... + 16140 21e60223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. + 16150 00002203 02230008 636b7375 6d5f696e .."..#..cksum_in + 16160 666f0000 00222002 23000872 696e675f fo..." .#..ring_ + 16170 696e666f 00000022 3e022300 08646d61 info...">.#..dma + 16180 5f696e66 6f000000 225b0223 00087669 _info..."[.#..vi + 16190 64000000 22770223 00086f66 666c6f61 d..."w.#..offloa + 161a0 645f6361 70000000 228e0223 00087374 d_cap..."..#..st + 161b0 61747300 000022ad 02230008 6d636173 ats..."..#..mcas + 161c0 745f696e 666f0000 0022c602 2300086d t_info..."..#..m + 161d0 63617374 5f636170 00000023 28022300 cast_cap...#(.#. + 161e0 00130400 0024510d 4144465f 4e425546 .....$Q.ADF_NBUF + 161f0 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. + 16200 0d414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 16210 554d5f48 5700010d 4144465f 4e425546 UM_HW...ADF_NBUF + 16220 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE + 16230 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu + 16240 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ + 16250 74000000 23fa1108 00002491 08726573 t...#.....$..res + 16260 756c7400 00002451 02230008 76616c00 ult...$Q.#..val. + 16270 0000166b 02230400 11080000 24c10874 ...k.#......$..t + 16280 79706500 0000209d 02230008 6d737300 ype... ..#..mss. + 16290 00001cfa 02230408 6864725f 6f666600 .....#..hdr_off. + 162a0 000016d2 02230600 075f5f61 64665f6e .....#...__adf_n + 162b0 6275665f 71686561 64000c00 00250008 buf_qhead....%.. + 162c0 68656164 00000014 8b022300 08746169 head......#..tai + 162d0 6c000000 148b0223 0408716c 656e0000 l......#..qlen.. + 162e0 00166b02 23080009 5f5f6164 665f6e62 ..k.#...__adf_nb + 162f0 75665f74 00000014 8b030000 16e10400 uf_t............ + 16300 03000016 6b040002 01060000 136d0106 ....k........m.. + 16310 0000166b 01060000 16e10106 000016e1 ...k............ + 16320 01030000 134e0400 095f5f61 64665f6e .....N...__adf_n + 16330 6275665f 71686561 645f7400 000024c1 buf_qhead_t...$. + 16340 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu + 16350 655f7400 00002541 03000025 59040006 e_t...%A...%Y... + 16360 00002500 01060000 25000113 04000026 ..%.....%......& + 16370 790d415f 53544154 55535f4f 4b00000d y.A_STATUS_OK... + 16380 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. + 16390 010d415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN + 163a0 5400020d 415f5354 41545553 5f454e4f T...A_STATUS_ENO + 163b0 4d454d00 030d415f 53544154 55535f45 MEM...A_STATUS_E + 163c0 494e5641 4c00040d 415f5354 41545553 INVAL...A_STATUS + 163d0 5f45494e 50524f47 52455353 00050d41 _EINPROGRESS...A + 163e0 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP + 163f0 00060d41 5f535441 5455535f 45425553 ...A_STATUS_EBUS + 16400 5900070d 415f5354 41545553 5f453242 Y...A_STATUS_E2B + 16410 49470008 0d415f53 54415455 535f4541 IG...A_STATUS_EA + 16420 4444524e 4f544156 41494c00 090d415f DDRNOTAVAIL...A_ + 16430 53544154 55535f45 4e58494f 000a0d41 STATUS_ENXIO...A + 16440 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. + 16450 0d415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... + 16460 09615f73 74617475 735f7400 00002584 .a_status_t...%. + 16470 06000026 79010600 00011201 02010961 ...&y..........a + 16480 64665f6e 6275665f 74000000 25001304 df_nbuf_t...%... + 16490 000026de 0d414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ + 164a0 544f5f44 45564943 4500000d 4144465f TO_DEVICE...ADF_ + 164b0 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI + 164c0 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma + 164d0 5f646972 5f740000 0026a706 00002679 _dir_t...&....&y + 164e0 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama + 164f0 705f696e 666f5f74 00000016 88030000 p_info_t........ + 16500 26fc0400 02010201 06000026 97010600 &..........&.... + 16510 00250001 02010201 06000026 97010600 .%.........&.... + 16520 00250001 06000026 97010600 00250001 .%.....&.....%.. + 16530 06000026 97010201 02010600 00166b01 ...&..........k. + 16540 06000016 e1010201 02010600 001b9801 ................ + 16550 0600001a e5010600 001ae501 09616466 .............adf + 16560 5f6f735f 73676c69 73745f74 00000017 _os_sglist_t.... + 16570 20030000 27750400 02010201 02010600 ...'u.......... + 16580 0016e101 09616466 5f6e6275 665f7175 .....adf_nbuf_qu + 16590 6575655f 74000000 25590300 00279d04 eue_t...%Y...'.. + 165a0 00020103 00002541 04000201 02010201 ......%A........ + 165b0 06000026 97010600 00250001 06000016 ...&.....%...... + 165c0 6b010600 00166b01 0600001a e5010600 k.....k......... + 165d0 001ae501 06000020 01010600 00166b01 ....... ......k. + 165e0 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 165f0 756d5f74 00000024 6f030000 27f90400 um_t...$o...'... + 16600 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts + 16610 6f5f7400 00002491 03000028 1d040002 o_t...$....(.... + 16620 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand + 16630 6c655f74 00000004 07096164 665f6e65 le_t......adf_ne + 16640 745f766c 616e6864 725f7400 00001e0d t_vlanhdr_t..... + 16650 03000028 52040006 00002679 01060000 ...(R.....&y.... + 16660 26790102 01020107 5f484946 5f434f4e &y......_HIF_CON + 16670 46494700 04000028 a1086475 6d6d7900 FIG....(..dummy. + 16680 00000112 02230000 02010300 0028a104 .....#.......(.. + 16690 00020103 000028aa 0400075f 4849465f ......(...._HIF_ + 166a0 43414c4c 4241434b 000c0000 28ff0873 CALLBACK....(..s + 166b0 656e645f 6275665f 646f6e65 00000028 end_buf_done...( + 166c0 a3022300 08726563 765f6275 66000000 ..#..recv_buf... + 166d0 28ac0223 0408636f 6e746578 74000000 (..#..context... + 166e0 04070223 08000968 69665f68 616e646c ...#...hif_handl + 166f0 655f7400 00000407 09484946 5f434f4e e_t......HIF_CON + 16700 46494700 00002880 03000029 11040006 FIG...(....).... + 16710 000028ff 01030000 29280400 02010300 ..(.....)(...... + 16720 00293504 00094849 465f4341 4c4c4241 .)5...HIF_CALLBA + 16730 434b0000 0028b303 0000293e 04000201 CK...(....)>.... + 16740 03000029 57040006 00000112 01030000 ...)W........... + 16750 29600400 02010300 00296d04 00060000 )`.......)m..... + 16760 01120103 00002976 04000201 03000029 ......)v.......) + 16770 83040006 00000112 01030000 298c0400 ............)... + 16780 02010300 00299904 00076869 665f6170 .....)....hif_ap + 16790 69003800 002af208 5f696e69 74000000 i.8..*.._init... + 167a0 292e0223 00085f73 68757464 6f776e00 )..#.._shutdown. + 167b0 00002937 02230408 5f726567 69737465 ..)7.#.._registe + 167c0 725f6361 6c6c6261 636b0000 00295902 r_callback...)Y. + 167d0 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr + 167e0 65646974 5f636f75 6e740000 00296602 edit_count...)f. + 167f0 230c085f 73746172 74000000 29370223 #.._start...)7.# + 16800 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. + 16810 00296f02 2314085f 73656e64 5f627566 .)o.#.._send_buf + 16820 66657200 0000297c 02231808 5f726574 fer...)|.#.._ret + 16830 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) + 16840 8502231c 085f6973 5f706970 655f7375 ..#.._is_pipe_su + 16850 70706f72 74656400 00002992 02232008 pported...)..# . + 16860 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len + 16870 00000029 92022324 085f6765 745f7265 ...)..#$._get_re + 16880 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 16890 00002966 02232808 5f697372 5f68616e ..)f.#(._isr_han + 168a0 646c6572 00000029 3702232c 085f6765 dler...)7.#,._ge + 168b0 745f6465 6661756c 745f7069 70650000 t_default_pipe.. + 168c0 00299b02 23300870 52657365 72766564 .)..#0.pReserved + 168d0 00000004 07022334 000c646d 615f656e ......#4..dma_en + 168e0 67696e65 00040000 2b7b0d44 4d415f45 gine....+{.DMA_E + 168f0 4e47494e 455f5258 3000000d 444d415f NGINE_RX0...DMA_ + 16900 454e4749 4e455f52 58310001 0d444d41 ENGINE_RX1...DMA + 16910 5f454e47 494e455f 52583200 020d444d _ENGINE_RX2...DM + 16920 415f454e 47494e45 5f525833 00030d44 A_ENGINE_RX3...D + 16930 4d415f45 4e47494e 455f5458 3000040d MA_ENGINE_TX0... + 16940 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. + 16950 0d444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. + 16960 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. + 16970 00002af2 0c646d61 5f696674 79706500 ..*..dma_iftype. + 16980 0400002b c80d444d 415f4946 5f474d41 ...+..DMA_IF_GMA + 16990 4300000d 444d415f 49465f50 43490001 C...DMA_IF_PCI.. + 169a0 0d444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... + 169b0 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ + 169c0 8d060000 13400103 00002bda 04000201 .....@....+..... + 169d0 0300002b e7040002 01030000 2bf00400 ...+........+... + 169e0 06000009 72010300 002bf904 00060000 ....r....+...... + 169f0 13400103 00002c06 04000600 00134001 .@....,.......@. + 16a00 0300002c 13040006 0000148b 01030000 ...,............ + 16a10 2c200400 02010300 002c2d04 0007646d , .......,-...dm + 16a20 615f6c69 625f6170 69003400 002d3408 a_lib_api.4..-4. + 16a30 74785f69 6e697400 00002be0 02230008 tx_init...+..#.. + 16a40 74785f73 74617274 0000002b e9022304 tx_start...+..#. + 16a50 0872785f 696e6974 0000002b e0022308 .rx_init...+..#. + 16a60 0872785f 636f6e66 69670000 002bf202 .rx_config...+.. + 16a70 230c0872 785f7374 61727400 00002be9 #..rx_start...+. + 16a80 02231008 696e7472 5f737461 74757300 .#..intr_status. + 16a90 00002bff 02231408 68617264 5f786d69 ..+..#..hard_xmi + 16aa0 74000000 2c0c0223 1808666c 7573685f t...,..#..flush_ + 16ab0 786d6974 0000002b e902231c 08786d69 xmit...+..#..xmi + 16ac0 745f646f 6e650000 002c1902 23200872 t_done...,..# .r + 16ad0 6561705f 786d6974 74656400 00002c26 eap_xmitted...,& + 16ae0 02232408 72656170 5f726563 76000000 .#$.reap_recv... + 16af0 2c260223 28087265 7475726e 5f726563 ,&.#(.return_rec + 16b00 76000000 2c2f0223 2c087265 63765f70 v...,/.#,.recv_p + 16b10 6b740000 002c1902 23300007 5f5f7063 kt...,..#0..__pc + 16b20 695f736f 66746300 0c00002d 52087377 i_softc....-R.sw + 16b30 00000029 3e022300 00095f5f 7063695f ...)>.#...__pci_ + 16b40 736f6674 635f7400 00002d34 0300002d softc_t...-4...- + 16b50 52040002 01030000 2d6c0400 06000013 R.......-l...... + 16b60 2c010300 002d7504 000c6869 665f7063 ,....-u...hif_pc + 16b70 695f7069 70655f74 78000400 002dd50d i_pipe_tx....-.. + 16b80 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 + 16b90 00000d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 16ba0 54583100 010d4849 465f5043 495f5049 TX1...HIF_PCI_PI + 16bb0 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif + 16bc0 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. + 16bd0 002d8206 00002b7b 01030000 2dec0400 .-....+{....-... + 16be0 0c686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 16bf0 00040000 2e720d48 49465f50 43495f50 .....r.HIF_PCI_P + 16c00 4950455f 52583000 000d4849 465f5043 IPE_RX0...HIF_PC + 16c10 495f5049 50455f52 58310001 0d484946 I_PIPE_RX1...HIF + 16c20 5f504349 5f504950 455f5258 3200020d _PCI_PIPE_RX2... + 16c30 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 + 16c40 00030d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 16c50 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc + 16c60 695f7069 70655f72 785f7400 00002df9 i_pipe_rx_t...-. + 16c70 0600002b 7b010300 002e8904 00076869 ...+{.........hi + 16c80 665f7063 695f6170 69002400 002f6708 f_pci_api.$../g. + 16c90 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... + 16ca0 010b0223 00087063 695f696e 69740000 ...#..pci_init.. + 16cb0 00292e02 23040870 63695f72 65736574 .)..#..pci_reset + 16cc0 00000001 0b022308 08706369 5f656e61 ......#..pci_ena + 16cd0 626c6500 0000010b 02230c08 7063695f ble......#..pci_ + 16ce0 72656170 5f786d69 74746564 0000002d reap_xmitted...- + 16cf0 6e022310 08706369 5f726561 705f7265 n.#..pci_reap_re + 16d00 63760000 002d6e02 23140870 63695f67 cv...-n.#..pci_g + 16d10 65745f70 69706500 00002d7b 02231808 et_pipe...-{.#.. + 16d20 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. + 16d30 002df202 231c0870 63695f67 65745f72 .-..#..pci_get_r + 16d40 785f656e 67000000 2e8f0223 20000767 x_eng......# ..g + 16d50 6d61635f 61706900 0400002f 8e08676d mac_api..../..gm + 16d60 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... + 16d70 0b022300 000e0000 031f0600 002f9b0f ..#........../.. + 16d80 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ + 16d90 d1086473 74000000 2f8e0223 00087372 ..dst.../..#..sr + 16da0 63000000 2f8e0223 06086574 79706500 c.../..#..etype. + 16db0 00001340 02230c00 075f5f61 74686864 ...@.#...__athhd + 16dc0 72000400 00301f14 72657300 0000132c r....0..res...., + 16dd0 01000202 23001470 726f746f 00000013 ....#..proto.... + 16de0 2c010206 02230008 7265735f 6c6f0000 ,....#..res_lo.. + 16df0 00132c02 23010872 65735f68 69000000 ..,.#..res_hi... + 16e00 13400223 0200075f 5f676d61 635f6864 .@.#...__gmac_hd + 16e10 72001400 00305b08 65746800 00002f9b r....0[.eth.../. + 16e20 02230008 61746800 00002fd1 02230e08 .#..ath.../..#.. + 16e30 616c6967 6e5f7061 64000000 13400223 align_pad....@.# + 16e40 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. + 16e50 0000301f 075f5f67 6d61635f 736f6674 ..0..__gmac_soft + 16e60 63002400 0030a508 68647200 0000305b c.$..0..hdr...0[ + 16e70 02230008 6772616e 00000013 40022314 .#..gran....@.#. + 16e80 08737700 0000293e 02231800 075f415f .sw...)>.#..._A_ + 16e90 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check + 16ea0 00080000 30de0876 65727369 6f6e0000 ....0..version.. + 16eb0 00011202 23000874 61626c65 00000001 ....#..table.... + 16ec0 12022304 00030000 30a50400 06000001 ..#.....0....... + 16ed0 12010300 0030e504 00030000 040a0400 .....0.......... + 16ee0 165f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire + 16ef0 6374696f 6e5f7461 626c6500 01b80000 ction_table..... + 16f00 32350868 616c5f6c 696e6b61 67655f63 25.hal_linkage_c + 16f10 6865636b 00000030 eb022300 08737461 heck...0..#..sta + 16f20 72745f62 73730000 0030f202 23040861 rt_bss...0..#..a + 16f30 70705f73 74617274 00000001 0b022308 pp_start......#. + 16f40 086d656d 00000004 4a02230c 086d6973 .mem....J.#..mis + 16f50 63000000 05bd0223 20087072 696e7466 c......# .printf + 16f60 00000001 3f022344 08756172 74000000 ....?.#D.uart... + 16f70 02080223 4c08676d 61630000 002f6702 ...#L.gmac.../g. + 16f80 236c0875 73620000 000fec02 23700863 #l.usb......#p.c + 16f90 6c6f636b 0000000b 350323e0 01087469 lock....5.#...ti + 16fa0 6d657200 000007d5 03238402 08696e74 mer......#...int + 16fb0 72000000 0c850323 98020861 6c6c6f63 r......#...alloc + 16fc0 72616d00 0000098d 0323c402 08726f6d ram......#...rom + 16fd0 70000000 087f0323 d0020877 64745f74 p......#...wdt_t + 16fe0 696d6572 0000000e 620323e0 02086565 imer....b.#...ee + 16ff0 70000000 0f900323 fc020873 7472696e p......#...strin + 17000 67000000 06e10323 8c030874 61736b6c g......#...taskl + 17010 65740000 000a8a03 23a40300 075f5553 et......#...._US + 17020 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... + 17030 0032a808 6765745f 636f6d6d 616e645f .2..get_command_ + 17040 62756600 00001498 02230008 72656376 buf......#..recv + 17050 5f636f6d 6d616e64 00000014 ae022304 _command......#. + 17060 08676574 5f657665 6e745f62 75660000 .get_event_buf.. + 17070 00149802 23080873 656e645f 6576656e ....#..send_even + 17080 745f646f 6e650000 0014ae02 230c0009 t_done......#... + 17090 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 170a0 00003235 03000032 a8040002 01030000 ..25...2........ + 170b0 32c40400 07757362 6669666f 5f617069 2....usbfifo_api + 170c0 000c0000 331a085f 696e6974 00000032 ....3.._init...2 + 170d0 c6022300 085f656e 61626c65 5f657665 ..#.._enable_eve + 170e0 6e745f69 73720000 00010b02 23040870 nt_isr......#..p + 170f0 52657365 72766564 00000004 07022308 Reserved......#. + 17100 000e0000 16d20200 0033270f 0100075f .........3'...._ + 17110 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... + 17120 00339908 456e6470 6f696e74 49440000 .3..EndpointID.. + 17130 0016d202 23000846 6c616773 00000016 ....#..Flags.... + 17140 d2022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. + 17150 00001cfa 02230208 436f6e74 726f6c42 .....#..ControlB + 17160 79746573 00000033 1a022304 08486f73 ytes...3..#..Hos + 17170 74536571 4e756d00 00001cfa 02230600 tSeqNum......#.. + 17180 11020000 33b2084d 65737361 67654944 ....3..MessageID + 17190 0000001c fa022300 00110800 00341508 ......#......4.. + 171a0 4d657373 61676549 44000000 1cfa0223 MessageID......# + 171b0 00084372 65646974 436f756e 74000000 ..CreditCount... + 171c0 1cfa0223 02084372 65646974 53697a65 ...#..CreditSize + 171d0 0000001c fa022304 084d6178 456e6470 ......#..MaxEndp + 171e0 6f696e74 73000000 16d20223 06085f50 oints......#.._P + 171f0 61643100 000016d2 02230700 110a0000 ad1......#...... + 17200 34ac084d 65737361 67654944 0000001c 4..MessageID.... + 17210 fa022300 08536572 76696365 49440000 ..#..ServiceID.. + 17220 001cfa02 23020843 6f6e6e65 6374696f ....#..Connectio + 17230 6e466c61 67730000 001cfa02 23040844 nFlags......#..D + 17240 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 17250 16d20223 06085570 4c696e6b 50697065 ...#..UpLinkPipe + 17260 49440000 0016d202 23070853 65727669 ID......#..Servi + 17270 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 17280 d2022308 085f5061 64310000 0016d202 ..#.._Pad1...... + 17290 23090011 0a000035 34084d65 73736167 #......54.Messag + 172a0 65494400 00001cfa 02230008 53657276 eID......#..Serv + 172b0 69636549 44000000 1cfa0223 02085374 iceID......#..St + 172c0 61747573 00000016 d2022304 08456e64 atus......#..End + 172d0 706f696e 74494400 000016d2 02230508 pointID......#.. + 172e0 4d61784d 73675369 7a650000 001cfa02 MaxMsgSize...... + 172f0 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe + 17300 6e677468 00000016 d2022308 085f5061 ngth......#.._Pa + 17310 64310000 0016d202 23090011 02000035 d1......#......5 + 17320 4d084d65 73736167 65494400 00001cfa M.MessageID..... + 17330 02230000 11040000 3589084d 65737361 .#......5..Messa + 17340 67654944 0000001c fa022300 08506970 geID......#..Pip + 17350 65494400 000016d2 02230208 43726564 eID......#..Cred + 17360 6974436f 756e7400 000016d2 02230300 itCount......#.. + 17370 11040000 35c0084d 65737361 67654944 ....5..MessageID + 17380 0000001c fa022300 08506970 65494400 ......#..PipeID. + 17390 000016d2 02230208 53746174 75730000 .....#..Status.. + 173a0 0016d202 23030011 02000035 e7085265 ....#......5..Re + 173b0 636f7264 49440000 0016d202 2300084c cordID......#..L + 173c0 656e6774 68000000 16d20223 01001102 ength......#.... + 173d0 00003611 08456e64 706f696e 74494400 ..6..EndpointID. + 173e0 000016d2 02230008 43726564 69747300 .....#..Credits. + 173f0 000016d2 02230100 11040000 36520845 .....#......6R.E + 17400 6e64706f 696e7449 44000000 16d20223 ndpointID......# + 17410 00084372 65646974 73000000 16d20223 ..Credits......# + 17420 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo + 17430 0000001c fa022302 000e0000 16d20400 ......#......... + 17440 00365f0f 03001106 0000369b 08507265 .6_.......6..Pre + 17450 56616c69 64000000 16d20223 00084c6f Valid......#..Lo + 17460 6f6b4168 65616400 00003652 02230108 okAhead...6R.#.. + 17470 506f7374 56616c69 64000000 16d20223 PostValid......# + 17480 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t + 17490 00000004 07060000 369b0103 000036ae ........6.....6. + 174a0 04000201 03000036 bb040013 04000037 .......6.......7 + 174b0 390d504f 4f4c5f49 445f4854 435f434f 9.POOL_ID_HTC_CO + 174c0 4e54524f 4c00000d 504f4f4c 5f49445f NTROL...POOL_ID_ + 174d0 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL + 174e0 5900010d 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ + 174f0 5356435f 4556454e 5400020d 504f4f4c SVC_EVENT...POOL + 17500 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. + 17510 030d504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... + 17520 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 + 17530 c4020103 0000374a 04000600 00269701 ......7J.....&.. + 17540 03000037 53040006 00002697 01030000 ...7S.....&..... + 17550 37600400 02010300 00376d04 00076275 7`.......7m...bu + 17560 665f706f 6f6c5f61 7069001c 0000380f f_pool_api....8. + 17570 085f696e 69740000 0036b402 2300085f ._init...6..#.._ + 17580 73687574 646f776e 00000036 bd022304 shutdown...6..#. + 17590 085f6372 65617465 5f706f6f 6c000000 ._create_pool... + 175a0 374c0223 08085f61 6c6c6f63 5f627566 7L.#.._alloc_buf + 175b0 00000037 5902230c 085f616c 6c6f635f ...7Y.#.._alloc_ + 175c0 6275665f 616c6967 6e000000 37660223 buf_align...7f.# + 175d0 10085f66 7265655f 62756600 0000376f .._free_buf...7o + 175e0 02231408 70526573 65727665 64000000 .#..pReserved... + 175f0 04070223 1800075f 4854435f 53455256 ...#..._HTC_SERV + 17600 49434500 1c000038 ee08704e 65787400 ICE....8..pNext. + 17610 000038ee 02230008 50726f63 65737352 ..8..#..ProcessR + 17620 6563764d 73670000 0039a302 23040850 ecvMsg...9..#..P + 17630 726f6365 73735365 6e644275 66666572 rocessSendBuffer + 17640 436f6d70 6c657465 00000039 ac022308 Complete...9..#. + 17650 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. + 17660 000039c0 02230c08 53657276 69636549 ..9..#..ServiceI + 17670 44000000 13400223 10085365 72766963 D....@.#..Servic + 17680 65466c61 67730000 00134002 2312084d eFlags....@.#..M + 17690 61785376 634d7367 53697a65 00000013 axSvcMsgSize.... + 176a0 40022314 08547261 696c6572 53706343 @.#..TrailerSpcC + 176b0 6865636b 4c696d69 74000000 13400223 heckLimit....@.# + 176c0 16085365 72766963 65437478 00000004 ..ServiceCtx.... + 176d0 07022318 00030000 380f0400 13040000 ..#.....8....... + 176e0 398c1845 4e44504f 494e545f 554e5553 9..ENDPOINT_UNUS + 176f0 454400ff ffffff0d 454e4450 4f494e54 ED......ENDPOINT + 17700 3000000d 454e4450 4f494e54 3100010d 0...ENDPOINT1... + 17710 454e4450 4f494e54 3200020d 454e4450 ENDPOINT2...ENDP + 17720 4f494e54 3300030d 454e4450 4f494e54 OINT3...ENDPOINT + 17730 3400040d 454e4450 4f494e54 3500050d 4...ENDPOINT5... + 17740 454e4450 4f494e54 3600060d 454e4450 ENDPOINT6...ENDP + 17750 4f494e54 3700070d 454e4450 4f494e54 OINT7...ENDPOINT + 17760 3800080d 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX + 17770 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT + 17780 5f494400 000038f5 02010300 0039a104 _ID...8......9.. + 17790 00020103 000039aa 04000300 00011204 ......9......... + 177a0 00060000 132c0103 000039ba 04000300 .....,....9..... + 177b0 00380f04 00075f48 54435f43 4f4e4649 .8...._HTC_CONFI + 177c0 47001400 003a3f08 43726564 69745369 G....:?.CreditSi + 177d0 7a650000 00011202 23000843 72656469 ze......#..Credi + 177e0 744e756d 62657200 00000112 02230408 tNumber......#.. + 177f0 4f534861 6e646c65 0000001a 96022308 OSHandle......#. + 17800 08484946 48616e64 6c650000 0028ff02 .HIFHandle...(.. + 17810 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 17820 369b0223 1000075f 4854435f 4255465f 6..#..._HTC_BUF_ + 17830 434f4e54 45585400 0200003a 7b08656e CONTEXT....:{.en + 17840 645f706f 696e7400 0000132c 02230008 d_point....,.#.. + 17850 6874635f 666c6167 73000000 132c0223 htc_flags....,.# + 17860 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. + 17870 00000407 09485443 5f534554 55505f43 .....HTC_SETUP_C + 17880 4f4d504c 4554455f 43420000 00010b09 OMPLETE_CB...... + 17890 4854435f 434f4e46 49470000 0039ce03 HTC_CONFIG...9.. + 178a0 00003aa8 04000600 003a7b01 0300003a ..:......:{....: + 178b0 bf040002 01030000 3acc0400 09485443 ........:....HTC + 178c0 5f534552 56494345 00000038 0f030000 _SERVICE...8.... + 178d0 3ad50400 02010300 003aed04 00020103 :........:...... + 178e0 00003af6 04000201 0300003a ff040006 ..:........:.... + 178f0 00000112 01030000 3b080400 07687463 ........;....htc + 17900 5f617069 73003400 003c8508 5f485443 _apis.4..<.._HTC + 17910 5f496e69 74000000 3ac50223 00085f48 _Init...:..#.._H + 17920 54435f53 68757464 6f776e00 00003ace TC_Shutdown...:. + 17930 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe + 17940 72536572 76696365 0000003a ef022308 rService...:..#. + 17950 085f4854 435f5265 61647900 00003ace ._HTC_Ready...:. + 17960 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 17970 75666665 72730000 003af802 2310085f uffers...:..#.._ + 17980 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 17990 734c6973 74000000 3b010223 14085f48 sList...;..#.._H + 179a0 54435f53 656e644d 73670000 003af802 TC_SendMsg...:.. + 179b0 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser + 179c0 76656448 65616472 6f6f6d00 00003b0e vedHeadroom...;. + 179d0 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv + 179e0 48616e64 6c657200 000028ac 02232008 Handler...(..# . + 179f0 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan + 17a00 646c6572 00000028 a3022324 085f4854 dler...(..#$._HT + 17a10 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 17a20 6573734d 73670000 0039a302 2328085f essMsg...9..#(._ + 17a30 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 17a40 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet + 17a50 65000000 39ac0223 2c087052 65736572 e...9..#,.pReser + 17a60 76656400 00000407 02233000 07686f73 ved......#0..hos + 17a70 745f6170 705f6172 65615f73 00040000 t_app_area_s.... + 17a80 3cb50877 6d695f70 726f746f 636f6c5f <..wmi_protocol_ + 17a90 76657200 0000166b 02230000 110e0000 ver....k.#...... + 17aa0 3cec0864 73744d61 63000000 1cb70223 <..dstMac......# + 17ab0 00087372 634d6163 0000001c b7022306 ..srcMac......#. + 17ac0 08747970 654f724c 656e0000 001cfa02 .typeOrLen...... + 17ad0 230c000e 000016d2 0300003c f90f0200 #..........<.... + 17ae0 11080000 3d490864 73617000 000016d2 ....=I.dsap..... + 17af0 02230008 73736170 00000016 d2022301 .#..ssap......#. + 17b00 08636e74 6c000000 16d20223 02086f72 .cntl......#..or + 17b10 67436f64 65000000 3cec0223 03086574 gCode...<..#..et + 17b20 68657254 79706500 00001cfa 02230600 herType......#.. + 17b30 11020000 3d6a0872 73736900 00001c50 ....=j.rssi....P + 17b40 02230008 696e666f 00000016 d2022301 .#..info......#. + 17b50 00110400 003d9108 636f6d6d 616e6449 .....=..commandI + 17b60 64000000 1cfa0223 00087365 714e6f00 d......#..seqNo. + 17b70 00001cfa 02230200 0e000016 d2010000 .....#.......... + 17b80 3d9e0f00 00110200 003dc508 6d736753 =........=..msgS + 17b90 697a6500 000016d2 02230008 6d736744 ize......#..msgD + 17ba0 61746100 00003d91 02230100 11080000 ata...=..#...... + 17bb0 3e0c0861 64647265 73734c00 00001cfa >..addressL..... + 17bc0 02230008 61646472 65737348 0000001c .#..addressH.... + 17bd0 fa022302 0876616c 75654c00 00001cfa ..#..valueL..... + 17be0 02230408 76616c75 65480000 001cfa02 .#..valueH...... + 17bf0 23060009 574d495f 41565400 00003dc5 #...WMI_AVT...=. + 17c00 0e00003e 0c080000 3e260f00 00110c00 ...>....>&...... + 17c10 003e5d08 7475706c 654e756d 4c000000 .>].tupleNumL... + 17c20 1cfa0223 00087475 706c654e 756d4800 ...#..tupleNumH. + 17c30 00001cfa 02230208 61767400 00003e19 .....#..avt...>. + 17c40 02230400 11010000 3e7f0862 6561636f .#......>..beaco + 17c50 6e50656e 64696e67 436f756e 74000000 nPendingCount... + 17c60 16d20223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ + 17c70 434f4e46 49470010 00003ee8 08487463 CONFIG....>..Htc + 17c80 48616e64 6c650000 003a7b02 23000850 Handle...:{.#..P + 17c90 6f6f6c48 616e646c 65000000 369b0223 oolHandle...6..# + 17ca0 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt + 17cb0 73000000 01120223 08084d61 78457665 s......#..MaxEve + 17cc0 6e744576 74730000 00011202 230c0002 ntEvts......#... + 17cd0 01030000 3ee80400 09574d49 5f434d44 ....>....WMI_CMD + 17ce0 5f48414e 444c4552 0000003e ea075f57 _HANDLER...>.._W + 17cf0 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 17d00 59000800 003f5108 70436d64 48616e64 Y....?Q.pCmdHand + 17d10 6c657200 00003ef1 02230008 436d6449 ler...>..#..CmdI + 17d20 44000000 13400223 0408466c 61677300 D....@.#..Flags. + 17d30 00001340 02230600 075f574d 495f4449 ...@.#..._WMI_DI + 17d40 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... + 17d50 3fb20870 4e657874 0000003f b2022300 ?..pNext...?..#. + 17d60 0870436f 6e746578 74000000 04070223 .pContext......# + 17d70 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie + 17d80 73000000 01120223 08087054 61626c65 s......#..pTable + 17d90 0000003f d102230c 00030000 3f510400 ...?..#.....?Q.. + 17da0 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN + 17db0 54525900 00003f06 0300003f b9040003 TRY...?....?.... + 17dc0 00003f51 04000948 54435f42 55465f43 ..?Q...HTC_BUF_C + 17dd0 4f4e5445 58540000 003a3f0c 574d495f ONTEXT...:?.WMI_ + 17de0 4556545f 434c4153 53000400 00406918 EVT_CLASS....@i. + 17df0 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO + 17e00 4e4500ff ffffff0d 574d495f 4556545f NE......WMI_EVT_ + 17e10 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. + 17e20 000d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 17e30 434d445f 5245504c 5900010d 574d495f CMD_REPLY...WMI_ + 17e40 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... + 17e50 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. + 17e60 003ff407 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON + 17e70 54455854 000c0000 40c70848 74634275 TEXT....@..HtcBu + 17e80 66437478 0000003f df022300 08457665 fCtx...?..#..Eve + 17e90 6e74436c 61737300 00004069 02230408 ntClass...@i.#.. + 17ea0 466c6167 73000000 13400223 08000977 Flags....@.#...w + 17eb0 6d695f68 616e646c 655f7400 00000407 mi_handle_t..... + 17ec0 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. + 17ed0 00003e7f 03000040 d9040006 000040c7 ..>....@......@. + 17ee0 01030000 40f40400 09574d49 5f444953 ....@....WMI_DIS + 17ef0 50415443 485f5441 424c4500 00003f51 PATCH_TABLE...?Q + 17f00 03000041 01040002 01030000 41200400 ...A........A .. + 17f10 06000026 97010300 00412904 00020103 ...&.....A)..... + 17f20 00004136 04000600 00011201 03000041 ..A6...........A + 17f30 3f040002 01030000 414c0400 06000013 ?.......AL...... + 17f40 2c010300 00415504 00075f77 6d695f73 ,....AU..._wmi_s + 17f50 76635f61 70697300 2c000042 9d085f57 vc_apis.,..B.._W + 17f60 4d495f49 6e697400 000040fa 02230008 MI_Init...@..#.. + 17f70 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis + 17f80 70617463 68546162 6c650000 00412202 patchTable...A". + 17f90 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve + 17fa0 6e740000 00412f02 2308085f 574d495f nt...A/.#.._WMI_ + 17fb0 53656e64 4576656e 74000000 41380223 SendEvent...A8.# + 17fc0 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin + 17fd0 67457665 6e747343 6f756e74 00000041 gEventsCount...A + 17fe0 45022310 085f574d 495f5365 6e64436f E.#.._WMI_SendCo + 17ff0 6d706c65 74654861 6e646c65 72000000 mpleteHandler... + 18000 39ac0223 14085f57 4d495f47 6574436f 9..#.._WMI_GetCo + 18010 6e74726f 6c457000 00004145 02231808 ntrolEp...AE.#.. + 18020 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... + 18030 414e0223 1c085f57 4d495f52 6563764d AN.#.._WMI_RecvM + 18040 65737361 67654861 6e646c65 72000000 essageHandler... + 18050 39a30223 20085f57 4d495f53 65727669 9..# ._WMI_Servi + 18060 6365436f 6e6e6563 74000000 415b0223 ceConnect...A[.# + 18070 24087052 65736572 76656400 00000407 $.pReserved..... + 18080 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. + 18090 0000431f 08637472 6c000000 01760223 ..C..ctrl....v.# + 180a0 00087374 61747573 00000001 76022302 ..status....v.#. + 180b0 08746f74 616c4c65 6e000000 01760223 .totalLen....v.# + 180c0 04086461 74615369 7a650000 00017602 ..dataSize....v. + 180d0 2306086c 61737441 64647200 0000431f #..lastAddr...C. + 180e0 02230808 64617461 41646472 00000001 .#..dataAddr.... + 180f0 9a02230c 086e6578 74416464 72000000 ..#..nextAddr... + 18100 431f0223 10000300 00429d04 00030000 C..#.....B...... + 18110 429d0400 077a7344 6d615175 65756500 B....zsDmaQueue. + 18120 08000043 5f086865 61640000 00432602 ...C_.head...C&. + 18130 23000874 65726d69 6e61746f 72000000 #..terminator... + 18140 43260223 0400077a 73547844 6d615175 C&.#...zsTxDmaQu + 18150 65756500 10000043 c3086865 61640000 eue....C..head.. + 18160 00432602 23000874 65726d69 6e61746f .C&.#..terminato + 18170 72000000 43260223 0408786d 69746564 r...C&.#..xmited + 18180 5f627566 5f686561 64000000 148b0223 _buf_head......# + 18190 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai + 181a0 6c000000 148b0223 0c000201 03000043 l......#.......C + 181b0 c3040003 0000432d 04000201 03000043 ......C-.......C + 181c0 d3040003 0000435f 04000201 03000043 ......C_.......C + 181d0 e3040002 01030000 43ec0400 02010300 ........C....... + 181e0 0043f504 00060000 148b0103 000043fe .C............C. + 181f0 04000201 03000044 0b040006 0000148b .......D........ + 18200 01030000 44140400 02010300 00442104 ....D........D!. + 18210 00060000 01120103 0000442a 04000600 ..........D*.... + 18220 00432601 03000044 37040002 01030000 .C&....D7....... + 18230 44440400 07646d61 5f656e67 696e655f DD...dma_engine_ + 18240 61706900 40000045 ba085f69 6e697400 api.@..E.._init. + 18250 000043c5 02230008 5f696e69 745f7278 ..C..#.._init_rx + 18260 5f717565 75650000 0043d502 2304085f _queue...C..#.._ + 18270 696e6974 5f74785f 71756575 65000000 init_tx_queue... + 18280 43e50223 08085f63 6f6e6669 675f7278 C..#.._config_rx + 18290 5f717565 75650000 0043ee02 230c085f _queue...C..#.._ + 182a0 786d6974 5f627566 00000043 f7022310 xmit_buf...C..#. + 182b0 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C + 182c0 d5022314 085f7265 61705f72 6563765f ..#.._reap_recv_ + 182d0 62756600 00004404 02231808 5f726574 buf...D..#.._ret + 182e0 75726e5f 72656376 5f627566 00000044 urn_recv_buf...D + 182f0 0d02231c 085f7265 61705f78 6d697465 ..#.._reap_xmite + 18300 645f6275 66000000 441a0223 20085f73 d_buf...D..# ._s + 18310 7761705f 64617461 00000044 23022324 wap_data...D#.#$ + 18320 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack + 18330 65747300 00004430 02232808 5f646573 ets...D0.#(._des + 18340 635f6475 6d700000 0043d502 232c085f c_dump...C..#,._ + 18350 6765745f 7061636b 65740000 00443d02 get_packet...D=. + 18360 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack + 18370 65740000 00444602 2334085f 7075745f et...DF.#4._put_ + 18380 7061636b 65740000 00444602 23380870 packet...DF.#8.p + 18390 52657365 72766564 00000004 0702233c Reserved......#< + 183a0 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 183b0 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 183c0 0030f909 574d495f 5356435f 41504953 .0..WMI_SVC_APIS + 183d0 00000041 62165f41 5f6d6167 7069655f ...Ab._A_magpie_ + 183e0 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 183f0 6500034c 000046e8 08636d6e 6f730000 e..L..F..cmnos.. + 18400 0045ba02 23000864 62670000 0003d403 .E..#..dbg...... + 18410 23b80308 68696600 000029a2 0323c003 #...hif...)..#.. + 18420 08687463 0000003b 150323f8 0308776d .htc...;..#...wm + 18430 695f7376 635f6170 69000000 45dc0323 i_svc_api...E..# + 18440 ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. + 18450 0032cd03 23d80408 6275665f 706f6f6c .2..#...buf_pool + 18460 00000037 760323e4 04087662 75660000 ...7v.#...vbuf.. + 18470 0014b503 23800508 76646573 63000000 ....#...vdesc... + 18480 13970323 94050861 6c6c6f63 72616d00 ...#...allocram. + 18490 0000098d 0323a805 08646d61 5f656e67 .....#...dma_eng + 184a0 696e6500 0000444d 0323b405 08646d61 ine...DM.#...dma + 184b0 5f6c6962 0000002c 360323f4 05086869 _lib...,6.#...hi + 184c0 665f7063 69000000 2e960323 a8060009 f_pci......#.... + 184d0 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 184e0 6374696f 6e5f7461 626c655f 74000000 ction_table_t... + 184f0 45ee1110 0000473e 08613000 000001af E.....G>.a0..... + 18500 02230008 61310000 0001af02 23040861 .#..a1......#..a + 18510 32000000 01af0223 08086133 00000001 2......#..a3.... + 18520 af02230c 000e0000 470b5000 00474b0f ..#.....G.P..GK. + 18530 04000758 54656e73 615f6578 63657074 ...XTensa_except + 18540 696f6e5f 6672616d 655f7300 80000048 ion_frame_s....H + 18550 2f087874 5f706300 000001af 02230008 /.xt_pc......#.. + 18560 78745f70 73000000 01af0223 04087874 xt_ps......#..xt + 18570 5f736172 00000001 af022308 0878745f _sar......#..xt_ + 18580 76707269 00000001 af02230c 0878745f vpri......#..xt_ + 18590 61320000 0001af02 23100878 745f6133 a2......#..xt_a3 + 185a0 00000001 af022314 0878745f 61340000 ......#..xt_a4.. + 185b0 0001af02 23180878 745f6135 00000001 ....#..xt_a5.... + 185c0 af02231c 0878745f 65786363 61757365 ..#..xt_exccause + 185d0 00000001 af022320 0878745f 6c636f75 ......# .xt_lcou + 185e0 6e740000 0001af02 23240878 745f6c62 nt......#$.xt_lb + 185f0 65670000 0001af02 23280878 745f6c65 eg......#(.xt_le + 18600 6e640000 0001af02 232c0877 62000000 nd......#,.wb... + 18610 473e0223 30000943 50555f65 78636570 G>.#0..CPU_excep + 18620 74696f6e 5f667261 6d655f74 00000047 tion_frame_t...G + 18630 4b110800 00486d08 69737200 00000c59 K....Hm.isr....Y + 18640 02230008 6973725f 61726700 00000407 .#..isr_arg..... + 18650 02230400 0e000048 4a980000 487a0f12 .#.....HJ...Hz.. + 18660 0019636d 6e6f735f 6973725f 696e666f ..cmnos_isr_info + 18670 00000048 6d050300 50099001 19636d6e ...Hm...P....cmn + 18680 6f735f65 6e61626c 65645f69 6e746572 os_enabled_inter + 18690 72757074 73000000 01af0503 00500980 rupts........P.. + 186a0 011a0000 01121a00 00011203 000048bf ..............H. + 186b0 04000300 000c8504 0002011b 011e636d ..............cm + 186c0 6e6f735f 696e7472 5f64756d 6d790000 nos_intr_dummy.. + 186d0 0001af01 01039201 20029000 008e2120 ........ .....! + 186e0 008e2125 00004911 1c011e70 5061726d ..!%..I....pParm + 186f0 00000004 07015200 1d012a63 6d6e6f73 ......R...*cmnos + 18700 5f696e74 725f696e 69740001 01039201 _intr_init...... + 18710 20029000 008e2128 008e214e 00004941 .....!(..!N..IA + 18720 1e690000 0001af00 1d013c63 6d6e6f73 .i..........tupleNumL. + 1c6b0 00001ca3 02230008 7475706c 654e756d .....#..tupleNum + 1c6c0 48000000 1ca30223 02086176 74000000 H......#..avt... + 1c6d0 3dc20223 04001201 00003e28 08626561 =..#......>(.bea + 1c6e0 636f6e50 656e6469 6e67436f 756e7400 conPendingCount. + 1c6f0 0000167b 02230000 075f574d 495f5356 ...{.#..._WMI_SV + 1c700 435f434f 4e464947 00100000 3e910848 C_CONFIG....>..H + 1c710 74634861 6e646c65 0000003a 24022300 tcHandle...:$.#. + 1c720 08506f6f 6c48616e 646c6500 00003644 .PoolHandle...6D + 1c730 02230408 4d617843 6d645265 706c7945 .#..MaxCmdReplyE + 1c740 76747300 0000010f 02230808 4d617845 vts......#..MaxE + 1c750 76656e74 45767473 00000001 0f02230c ventEvts......#. + 1c760 00020103 00003e91 04000957 4d495f43 ......>....WMI_C + 1c770 4d445f48 414e444c 45520000 003e9307 MD_HANDLER...>.. + 1c780 5f574d49 5f444953 50415443 485f454e _WMI_DISPATCH_EN + 1c790 54525900 0800003e fa087043 6d644861 TRY....>..pCmdHa + 1c7a0 6e646c65 72000000 3e9a0223 0008436d ndler...>..#..Cm + 1c7b0 64494400 000012e9 02230408 466c6167 dID......#..Flag + 1c7c0 73000000 12e90223 0600075f 574d495f s......#..._WMI_ + 1c7d0 44495350 41544348 5f544142 4c450010 DISPATCH_TABLE.. + 1c7e0 00003f5b 08704e65 78740000 003f5b02 ..?[.pNext...?[. + 1c7f0 23000870 436f6e74 65787400 00000404 #..pContext..... + 1c800 02230408 4e756d62 65724f66 456e7472 .#..NumberOfEntr + 1c810 69657300 0000010f 02230808 70546162 ies......#..pTab + 1c820 6c650000 003f7a02 230c0003 00003efa le...?z.#.....>. + 1c830 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 1c840 454e5452 59000000 3eaf0300 003f6204 ENTRY...>....?b. + 1c850 00030000 3efa0400 09485443 5f425546 ....>....HTC_BUF + 1c860 5f434f4e 54455854 00000039 e80d574d _CONTEXT...9..WM + 1c870 495f4556 545f434c 41535300 04000040 I_EVT_CLASS....@ + 1c880 1219574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 1c890 4e4f4e45 00ffffff ff0e574d 495f4556 NONE......WMI_EV + 1c8a0 545f434c 4153535f 434d445f 4556454e T_CLASS_CMD_EVEN + 1c8b0 5400000e 574d495f 4556545f 434c4153 T...WMI_EVT_CLAS + 1c8c0 535f434d 445f5245 504c5900 010e574d S_CMD_REPLY...WM + 1c8d0 495f4556 545f434c 4153535f 4d415800 I_EVT_CLASS_MAX. + 1c8e0 02000957 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 1c8f0 0000003f 9d075f57 4d495f42 55465f43 ...?.._WMI_BUF_C + 1c900 4f4e5445 5854000c 00004070 08487463 ONTEXT....@p.Htc + 1c910 42756643 74780000 003f8802 23000845 BufCtx...?..#..E + 1c920 76656e74 436c6173 73000000 40120223 ventClass...@..# + 1c930 0408466c 61677300 000012e9 02230800 ..Flags......#.. + 1c940 09776d69 5f68616e 646c655f 74000000 .wmi_handle_t... + 1c950 04040957 4d495f53 56435f43 4f4e4649 ...WMI_SVC_CONFI + 1c960 47000000 3e280300 00408204 00060000 G...>(...@...... + 1c970 40700103 0000409d 04000957 4d495f44 @p....@....WMI_D + 1c980 49535041 5443485f 5441424c 45000000 ISPATCH_TABLE... + 1c990 3efa0300 0040aa04 00020103 000040c9 >....@........@. + 1c9a0 04000600 00264001 03000040 d2040002 .....&@....@.... + 1c9b0 01030000 40df0400 06000001 0f010300 ....@........... + 1c9c0 0040e804 00020103 000040f5 04000600 .@........@..... + 1c9d0 0012d501 03000040 fe040007 5f776d69 .......@...._wmi + 1c9e0 5f737663 5f617069 73002c00 00424608 _svc_apis.,..BF. + 1c9f0 5f574d49 5f496e69 74000000 40a30223 _WMI_Init...@..# + 1ca00 00085f57 4d495f52 65676973 74657244 .._WMI_RegisterD + 1ca10 69737061 74636854 61626c65 00000040 ispatchTable...@ + 1ca20 cb022304 085f574d 495f416c 6c6f6345 ..#.._WMI_AllocE + 1ca30 76656e74 00000040 d8022308 085f574d vent...@..#.._WM + 1ca40 495f5365 6e644576 656e7400 000040e1 I_SendEvent...@. + 1ca50 02230c08 5f574d49 5f476574 50656e64 .#.._WMI_GetPend + 1ca60 696e6745 76656e74 73436f75 6e740000 ingEventsCount.. + 1ca70 0040ee02 2310085f 574d495f 53656e64 .@..#.._WMI_Send + 1ca80 436f6d70 6c657465 48616e64 6c657200 CompleteHandler. + 1ca90 00003955 02231408 5f574d49 5f476574 ..9U.#.._WMI_Get + 1caa0 436f6e74 726f6c45 70000000 40ee0223 ControlEp...@..# + 1cab0 18085f57 4d495f53 68757464 6f776e00 .._WMI_Shutdown. + 1cac0 000040f7 02231c08 5f574d49 5f526563 ..@..#.._WMI_Rec + 1cad0 764d6573 73616765 48616e64 6c657200 vMessageHandler. + 1cae0 0000394c 02232008 5f574d49 5f536572 ..9L.# ._WMI_Ser + 1caf0 76696365 436f6e6e 65637400 00004104 viceConnect...A. + 1cb00 02232408 70526573 65727665 64000000 .#$.pReserved... + 1cb10 04040223 2800077a 73446d61 44657363 ...#(..zsDmaDesc + 1cb20 00140000 42c80863 74726c00 00000173 ....B..ctrl....s + 1cb30 02230008 73746174 75730000 00017302 .#..status....s. + 1cb40 23020874 6f74616c 4c656e00 00000173 #..totalLen....s + 1cb50 02230408 64617461 53697a65 00000001 .#..dataSize.... + 1cb60 73022306 086c6173 74416464 72000000 s.#..lastAddr... + 1cb70 42c80223 08086461 74614164 64720000 B..#..dataAddr.. + 1cb80 00019702 230c086e 65787441 64647200 ....#..nextAddr. + 1cb90 000042c8 02231000 03000042 46040003 ..B..#.....BF... + 1cba0 00004246 0400077a 73446d61 51756575 ..BF...zsDmaQueu + 1cbb0 65000800 00430808 68656164 00000042 e....C..head...B + 1cbc0 cf022300 08746572 6d696e61 746f7200 ..#..terminator. + 1cbd0 000042cf 02230400 077a7354 78446d61 ..B..#...zsTxDma + 1cbe0 51756575 65001000 00436c08 68656164 Queue....Cl.head + 1cbf0 00000042 cf022300 08746572 6d696e61 ...B..#..termina + 1cc00 746f7200 000042cf 02230408 786d6974 tor...B..#..xmit + 1cc10 65645f62 75665f68 65616400 00001434 ed_buf_head....4 + 1cc20 02230808 786d6974 65645f62 75665f74 .#..xmited_buf_t + 1cc30 61696c00 00001434 02230c00 02010300 ail....4.#...... + 1cc40 00436c04 00030000 42d60400 02010300 .Cl.....B....... + 1cc50 00437c04 00030000 43080400 02010300 .C|.....C....... + 1cc60 00438c04 00020103 00004395 04000201 .C........C..... + 1cc70 03000043 9e040006 00001434 01030000 ...C.......4.... + 1cc80 43a70400 02010300 0043b404 00060000 C........C...... + 1cc90 14340103 000043bd 04000201 03000043 .4....C........C + 1cca0 ca040006 0000010f 01030000 43d30400 ............C... + 1ccb0 06000042 cf010300 0043e004 00020103 ...B.....C...... + 1ccc0 000043ed 04000764 6d615f65 6e67696e ..C....dma_engin + 1ccd0 655f6170 69004000 00456308 5f696e69 e_api.@..Ec._ini + 1cce0 74000000 436e0223 00085f69 6e69745f t...Cn.#.._init_ + 1ccf0 72785f71 75657565 00000043 7e022304 rx_queue...C~.#. + 1cd00 085f696e 69745f74 785f7175 65756500 ._init_tx_queue. + 1cd10 0000438e 02230808 5f636f6e 6669675f ..C..#.._config_ + 1cd20 72785f71 75657565 00000043 9702230c rx_queue...C..#. + 1cd30 085f786d 69745f62 75660000 0043a002 ._xmit_buf...C.. + 1cd40 2310085f 666c7573 685f786d 69740000 #.._flush_xmit.. + 1cd50 00437e02 2314085f 72656170 5f726563 .C~.#.._reap_rec + 1cd60 765f6275 66000000 43ad0223 18085f72 v_buf...C..#.._r + 1cd70 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + 1cd80 0043b602 231c085f 72656170 5f786d69 .C..#.._reap_xmi + 1cd90 7465645f 62756600 000043c3 02232008 ted_buf...C..# . + 1cda0 5f737761 705f6461 74610000 0043cc02 _swap_data...C.. + 1cdb0 2324085f 6861735f 636f6d70 6c5f7061 #$._has_compl_pa + 1cdc0 636b6574 73000000 43d90223 28085f64 ckets...C..#(._d + 1cdd0 6573635f 64756d70 00000043 7e02232c esc_dump...C~.#, + 1cde0 085f6765 745f7061 636b6574 00000043 ._get_packet...C + 1cdf0 e6022330 085f7265 636c6169 6d5f7061 ..#0._reclaim_pa + 1ce00 636b6574 00000043 ef022334 085f7075 cket...C..#4._pu + 1ce10 745f7061 636b6574 00000043 ef022338 t_packet...C..#8 + 1ce20 08705265 73657276 65640000 00040402 .pReserved...... + 1ce30 233c0009 5f415f63 6d6e6f73 5f696e64 #<.._A_cmnos_ind + 1ce40 69726563 74696f6e 5f746162 6c655f74 irection_table_t + 1ce50 00000030 a209574d 495f5356 435f4150 ...0..WMI_SVC_AP + 1ce60 49530000 00410b17 5f415f6d 61677069 IS...A.._A_magpi + 1ce70 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta + 1ce80 626c6500 034c0000 46910863 6d6e6f73 ble..L..F..cmnos + 1ce90 00000045 63022300 08646267 00000003 ...Ec.#..dbg.... + 1cea0 d10323b8 03086869 66000000 294b0323 ..#...hif...)K.# + 1ceb0 c0030868 74630000 003abe03 23f80308 ...htc...:..#... + 1cec0 776d695f 7376635f 61706900 00004585 wmi_svc_api...E. + 1ced0 0323ac04 08757362 6669666f 5f617069 .#...usbfifo_api + 1cee0 00000032 760323d8 04086275 665f706f ...2v.#...buf_po + 1cef0 6f6c0000 00371f03 23e40408 76627566 ol...7..#...vbuf + 1cf00 00000014 5e032380 05087664 65736300 ....^.#...vdesc. + 1cf10 00001340 03239405 08616c6c 6f637261 ...@.#...allocra + 1cf20 6d000000 09360323 a8050864 6d615f65 m....6.#...dma_e + 1cf30 6e67696e 65000000 43f60323 b4050864 ngine...C..#...d + 1cf40 6d615f6c 69620000 002bdf03 23f40508 ma_lib...+..#... + 1cf50 6869665f 70636900 00002e3f 0323a806 hif_pci....?.#.. + 1cf60 00095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 1cf70 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 1cf80 00004597 03000004 47040002 01060000 ..E.....G....... + 1cf90 04040103 000046bd 04000b0b 03000046 ......F........F + 1cfa0 cb040006 00000404 01030000 46d30400 ............F... + 1cfb0 06000001 0f010300 0046e004 001a010a .........F...... + 1cfc0 636d6e6f 735f6d65 6d5f696e 69740001 cmnos_mem_init.. + 1cfd0 01039201 20029000 008e22b0 008e22b5 .... ....."...". + 1cfe0 1b011663 6d6e6f73 5f6d656d 5f6d6f64 ...cmnos_mem_mod + 1cff0 756c655f 696e7374 616c6c00 01010392 ule_install..... + 1d000 01200290 00008e22 b8008e22 d61c0116 . ....."...".... + 1d010 74626c00 000046b4 01520000 0000004b tbl...F..R.....K + 1d020 99000200 000b7c04 012f726f 6f742f57 ......|../root/W + 1d030 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1d040 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1d050 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + 1d060 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + 1d070 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + 1d080 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 1d090 632f7372 632f636d 6e6f735f 6d697363 c/src/cmnos_misc + 1d0a0 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa + 1d0b0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 1d0c0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 1d0d0 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 1d0e0 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 1d0f0 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 1d100 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 1d110 202d6733 202d4f50 543a7370 61636500 -g3 -OPT:space. + 1d120 01000000 6d2a0201 03000001 09040004 ....m*.......... + 1d130 696e7400 05040463 68617200 07010500 int....char..... + 1d140 00011905 00000119 03000001 26040006 ............&... + 1d150 00000112 01030000 01320400 07707269 .........2...pri + 1d160 6e74665f 61706900 08000001 76085f70 ntf_api.....v._p + 1d170 72696e74 665f696e 69740000 00010b02 rintf_init...... + 1d180 2300085f 7072696e 74660000 00013802 #.._printf....8. + 1d190 23040004 73686f72 7420756e 7369676e #...short unsign + 1d1a0 65642069 6e740007 02097569 6e743136 ed int....uint16 + 1d1b0 5f740000 00017604 6c6f6e67 20756e73 _t....v.long uns + 1d1c0 69676e65 6420696e 74000704 0975696e igned int....uin + 1d1d0 7433325f 74000000 019a0775 6172745f t32_t......uart_ + 1d1e0 6669666f 00080000 02080873 74617274 fifo.......start + 1d1f0 5f696e64 65780000 00018c02 23000865 _index......#..e + 1d200 6e645f69 6e646578 00000001 8c022302 nd_index......#. + 1d210 086f7665 7272756e 5f657272 00000001 .overrun_err.... + 1d220 af022304 00077561 72745f61 70690020 ..#...uart_api. + 1d230 000002c1 085f7561 72745f69 6e697400 ....._uart_init. + 1d240 00000318 02230008 5f756172 745f6368 .....#.._uart_ch + 1d250 61725f70 75740000 00033f02 2304085f ar_put....?.#.._ + 1d260 75617274 5f636861 725f6765 74000000 uart_char_get... + 1d270 03530223 08085f75 6172745f 7374725f .S.#.._uart_str_ + 1d280 6f757400 0000035c 02230c08 5f756172 out....\.#.._uar + 1d290 745f7461 736b0000 00010b02 2310085f t_task......#.._ + 1d2a0 75617274 5f737461 74757300 00000318 uart_status..... + 1d2b0 02231408 5f756172 745f636f 6e666967 .#.._uart_config + 1d2c0 00000003 65022318 085f7561 72745f68 ....e.#.._uart_h + 1d2d0 77696e69 74000000 036e0223 1c000300 winit....n.#.... + 1d2e0 00020804 00077561 72745f62 6c6b0010 ......uart_blk.. + 1d2f0 00000312 08646562 75675f6d 6f646500 .....debug_mode. + 1d300 0000018c 02230008 62617564 00000001 .....#..baud.... + 1d310 8c022302 085f7561 72740000 0002c102 ..#.._uart...... + 1d320 2304085f 74780000 0001bd02 23080006 #.._tx......#... + 1d330 000001af 01030000 03120400 04756e73 .............uns + 1d340 69676e65 64206368 61720007 01097569 igned char....ui + 1d350 6e74385f 74000000 031f0201 03000003 nt8_t........... + 1d360 3d040003 00000330 04000600 00018c01 =......0........ + 1d370 03000003 4d040002 01030000 035a0400 ....M........Z.. + 1d380 02010300 00036304 00020103 0000036c ......c........l + 1d390 04000300 00011904 00060000 01120103 ................ + 1d3a0 0000037c 04000744 425f434f 4d4d414e ...|...DB_COMMAN + 1d3b0 445f5354 52554354 000c0000 03d40863 D_STRUCT.......c + 1d3c0 6d645f73 74720000 00037502 23000868 md_str....u.#..h + 1d3d0 656c705f 73747200 00000375 02230408 elp_str....u.#.. + 1d3e0 636d645f 66756e63 00000003 82022308 cmd_func......#. + 1d3f0 00076462 675f6170 69000800 00040708 ..dbg_api....... + 1d400 5f646267 5f696e69 74000000 010b0223 _dbg_init......# + 1d410 00085f64 62675f74 61736b00 0000010b .._dbg_task..... + 1d420 02230400 0a040004 756e7369 676e6564 .#......unsigned + 1d430 20696e74 00070406 00000407 01030000 int............ + 1d440 041a0400 0b0b0300 00042804 00060000 ..........(..... + 1d450 04070103 00000430 04000600 00011201 .......0........ + 1d460 03000004 3d040007 6d656d5f 61706900 ....=...mem_api. + 1d470 14000004 ac085f6d 656d5f69 6e697400 ......_mem_init. + 1d480 0000010b 02230008 5f6d656d 73657400 .....#.._memset. + 1d490 00000420 02230408 5f6d656d 63707900 ... .#.._memcpy. + 1d4a0 00000436 02230808 5f6d656d 6d6f7665 ...6.#.._memmove + 1d4b0 00000004 3602230c 085f6d65 6d636d70 ....6.#.._memcmp + 1d4c0 00000004 43022310 00077265 67697374 ....C.#...regist + 1d4d0 65725f64 756d705f 73009000 00051308 er_dump_s....... + 1d4e0 74617267 65745f69 64000000 01af0223 target_id......# + 1d4f0 00086173 736c696e 65000000 01af0223 ..assline......# + 1d500 04087063 00000001 af022308 08626164 ..pc......#..bad + 1d510 76616464 72000000 01af0223 0c086578 vaddr......#..ex + 1d520 635f6672 616d6500 0000482f 02231000 c_frame...H/.#.. + 1d530 03000004 ac040002 01030000 051a0400 ................ + 1d540 02010300 00052304 00060000 01120103 ......#......... + 1d550 0000052c 04000c68 6f737469 665f7300 ...,...hostif_s. + 1d560 04000005 880d4849 465f5553 4200000d ......HIF_USB... + 1d570 4849465f 50434945 00010d48 49465f47 HIF_PCIE...HIF_G + 1d580 4d414300 020d4849 465f5043 4900030d MAC...HIF_PCI... + 1d590 4849465f 4e554d00 040d4849 465f4e4f HIF_NUM...HIF_NO + 1d5a0 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. + 1d5b0 00053906 00000588 01030000 05960400 ..9............. + 1d5c0 06000003 30010300 0005a304 00060000 ....0........... + 1d5d0 018c0103 000005b0 0400076d 6973635f ...........misc_ + 1d5e0 61706900 24000006 a0085f73 79737465 api.$....._syste + 1d5f0 6d5f7265 73657400 0000010b 02230008 m_reset......#.. + 1d600 5f6d6163 5f726573 65740000 00010b02 _mac_reset...... + 1d610 2304085f 61737366 61696c00 0000051c #.._assfail..... + 1d620 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ + 1d630 6c6f6164 5f68616e 646c6572 00000005 load_handler.... + 1d640 1c02230c 085f7265 706f7274 5f666169 ..#.._report_fai + 1d650 6c757265 5f746f5f 686f7374 00000005 lure_to_host.... + 1d660 25022310 085f7461 72676574 5f69645f %.#.._target_id_ + 1d670 67657400 00000532 02231408 5f69735f get....2.#.._is_ + 1d680 686f7374 5f707265 73656e74 00000005 host_present.... + 1d690 9c022318 085f6b62 68697400 000005a9 ..#.._kbhit..... + 1d6a0 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version + 1d6b0 5f676574 00000005 b6022320 00060000 _get......# .... + 1d6c0 03750103 000006a0 04000600 00037501 .u............u. + 1d6d0 03000006 ad040006 00000112 01030000 ................ + 1d6e0 06ba0400 06000001 12010300 0006c704 ................ + 1d6f0 00060000 01120103 000006d4 04000773 ...............s + 1d700 7472696e 675f6170 69001800 00075a08 tring_api.....Z. + 1d710 5f737472 696e675f 696e6974 00000001 _string_init.... + 1d720 0b022300 085f7374 72637079 00000006 ..#.._strcpy.... + 1d730 a6022304 085f7374 726e6370 79000000 ..#.._strncpy... + 1d740 06b30223 08085f73 74726c65 6e000000 ...#.._strlen... + 1d750 06c00223 0c085f73 7472636d 70000000 ...#.._strcmp... + 1d760 06cd0223 10085f73 74726e63 6d700000 ...#.._strncmp.. + 1d770 0006da02 2314000e 0000040a 14000007 ....#........... + 1d780 670f0400 095f415f 54494d45 525f5350 g...._A_TIMER_SP + 1d790 41434500 0000075a 09415f74 696d6572 ACE....Z.A_timer + 1d7a0 5f740000 00076703 0000077b 04000201 _t....g....{.... + 1d7b0 03000007 91040002 01030000 079a0400 ................ + 1d7c0 09415f48 414e444c 45000000 040a0201 .A_HANDLE....... + 1d7d0 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... + 1d7e0 07b10300 0007b304 00020103 000007cc ................ + 1d7f0 04000774 696d6572 5f617069 00140000 ...timer_api.... + 1d800 084b085f 74696d65 725f696e 69740000 .K._timer_init.. + 1d810 00010b02 2300085f 74696d65 725f6172 ....#.._timer_ar + 1d820 6d000000 07930223 04085f74 696d6572 m......#.._timer + 1d830 5f646973 61726d00 0000079c 02230808 _disarm......#.. + 1d840 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... + 1d850 ce02230c 085f7469 6d65725f 72756e00 ..#.._timer_run. + 1d860 0000010b 02231000 09424f4f 4c45414e .....#...BOOLEAN + 1d870 00000001 8c060000 084b0103 00000858 .........K.....X + 1d880 04000600 00084b01 03000008 65040006 ......K.....e... + 1d890 0000084b 01030000 08720400 07726f6d ...K.....r...rom + 1d8a0 705f6170 69001000 0008e408 5f726f6d p_api......._rom + 1d8b0 705f696e 69740000 00010b02 2300085f p_init......#.._ + 1d8c0 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... + 1d8d0 085e0223 04085f72 6f6d705f 696e7374 .^.#.._romp_inst + 1d8e0 616c6c00 0000086b 02230808 5f726f6d all....k.#.._rom + 1d8f0 705f6465 636f6465 00000008 7802230c p_decode....x.#. + 1d900 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. + 1d910 00000940 08637263 31360000 00018c02 ...@.crc16...... + 1d920 2300086c 656e0000 00018c02 2302086c #..len......#..l + 1d930 645f6164 64720000 0001af02 23040866 d_addr......#..f + 1d940 756e5f61 64647200 000001af 02230808 un_addr......#.. + 1d950 7066756e 00000003 4602230c 00076565 pfun....F.#...ee + 1d960 705f7265 6469725f 61646472 00040000 p_redir_addr.... + 1d970 0972086f 66667365 74000000 018c0223 .r.offset......# + 1d980 00087369 7a650000 00018c02 23020009 ..size......#... + 1d990 415f5549 4e543332 00000004 0a060000 A_UINT32........ + 1d9a0 04070103 00000980 04000761 6c6c6f63 ...........alloc + 1d9b0 72616d5f 61706900 0c000009 f108636d ram_api.......cm + 1d9c0 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini + 1d9d0 74000000 09860223 0008636d 6e6f735f t......#..cmnos_ + 1d9e0 616c6c6f 6372616d 00000009 86022304 allocram......#. + 1d9f0 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ + 1da00 64656275 67000000 010b0223 08000201 debug......#.... + 1da10 03000009 f1040009 415f5441 534b4c45 ........A_TASKLE + 1da20 545f4655 4e430000 0009f307 5f746173 T_FUNC......_tas + 1da30 6b6c6574 00100000 0a520866 756e6300 klet.....R.func. + 1da40 000009fa 02230008 61726700 00000407 .....#..arg..... + 1da50 02230408 73746174 65000000 01120223 .#..state......# + 1da60 08086e65 78740000 000a5202 230c0003 ..next....R.#... + 1da70 00000a0e 04000300 000a0e04 0009415f ..............A_ + 1da80 7461736b 6c65745f 74000000 0a0e0300 tasklet_t....... + 1da90 000a6004 00020103 00000a78 04000201 ..`........x.... + 1daa0 0300000a 81040007 7461736b 6c65745f ........tasklet_ + 1dab0 61706900 1400000b 16085f74 61736b6c api......._taskl + 1dac0 65745f69 6e697400 0000010b 02230008 et_init......#.. + 1dad0 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta + 1dae0 736b0000 000a7a02 2304085f 7461736b sk....z.#.._task + 1daf0 6c65745f 64697361 626c6500 00000a83 let_disable..... + 1db00 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch + 1db10 6564756c 65000000 0a830223 0c085f74 edule......#.._t + 1db20 61736b6c 65745f72 756e0000 00010b02 asklet_run...... + 1db30 23100002 01030000 0b160400 06000009 #............... + 1db40 72010300 000b1f04 00020103 00000b2c r.............., + 1db50 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. + 1db60 0c0e085f 636c6f63 6b5f696e 69740000 ..._clock_init.. + 1db70 000b1802 2300085f 636c6f63 6b726567 ....#.._clockreg + 1db80 735f696e 69740000 00010b02 2304085f s_init......#.._ + 1db90 75617274 5f667265 7175656e 63790000 uart_frequency.. + 1dba0 000b2502 2308085f 64656c61 795f7573 ..%.#.._delay_us + 1dbb0 0000000b 2e02230c 085f776c 616e5f62 ......#.._wlan_b + 1dbc0 616e645f 73657400 00000b2e 02231008 and_set......#.. + 1dbd0 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 1dbe0 74000000 0b250223 14085f6d 696c6c69 t....%.#.._milli + 1dbf0 7365636f 6e647300 00000b25 02231808 seconds....%.#.. + 1dc00 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 1dc10 00010b02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti + 1dc20 636b0000 00010b02 23200006 000001af ck......# ...... + 1dc30 01030000 0c0e0400 09415f6f 6c645f69 .........A_old_i + 1dc40 6e74725f 74000000 01af0600 000c1b01 ntr_t........... + 1dc50 0300000c 2d040002 01030000 0c3a0400 ....-........:.. + 1dc60 02010300 000c4304 00060000 01af0103 ......C......... + 1dc70 00000c4c 04000941 5f697372 5f740000 ...L...A_isr_t.. + 1dc80 000c5202 01030000 0c660400 06000004 ..R......f...... + 1dc90 0a010300 000c6f04 00020103 00000c7c ......o........| + 1dca0 04000769 6e74725f 61706900 2c00000d ...intr_api.,... + 1dcb0 9e085f69 6e74725f 696e6974 00000001 .._intr_init.... + 1dcc0 0b022300 085f696e 74725f69 6e766f6b ..#.._intr_invok + 1dcd0 655f6973 72000000 0c140223 04085f69 e_isr......#.._i + 1dce0 6e74725f 64697361 626c6500 00000c33 ntr_disable....3 + 1dcf0 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor + 1dd00 65000000 0c3c0223 0c085f69 6e74725f e....<.#.._intr_ + 1dd10 6d61736b 5f696e75 6d000000 0c450223 mask_inum....E.# + 1dd20 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 1dd30 6e756d00 00000c45 02231408 5f696e74 num....E.#.._int + 1dd40 725f6174 74616368 5f697372 0000000c r_attach_isr.... + 1dd50 68022318 085f6765 745f696e 7472656e h.#.._get_intren + 1dd60 61626c65 0000000c 7502231c 085f7365 able....u.#.._se + 1dd70 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 1dd80 7e022320 085f6765 745f696e 74727065 ~.# ._get_intrpe + 1dd90 6e64696e 67000000 0c750223 24085f75 nding....u.#$._u + 1dda0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 1ddb0 766c0000 00010b02 23280010 0400000d vl......#(...... + 1ddc0 c4087469 6d656f75 74000000 01af0223 ..timeout......# + 1ddd0 00086163 74696f6e 00000001 af022300 ..action......#. + 1dde0 00110800 000ddf08 636d6400 000001af ........cmd..... + 1ddf0 02230012 00000d9e 02230400 09545f57 .#.......#...T_W + 1de00 44545f43 4d440000 000dc402 01030000 DT_CMD.......... + 1de10 0dee0400 13040000 0e440d45 4e554d5f .........D.ENUM_ + 1de20 5744545f 424f4f54 00010d45 4e554d5f WDT_BOOT...ENUM_ + 1de30 434f4c44 5f424f4f 5400020d 454e554d COLD_BOOT...ENUM + 1de40 5f535553 505f424f 4f540003 0d454e55 _SUSP_BOOT...ENU + 1de50 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 1de60 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 1de70 0df70600 000e4401 0300000e 55040007 ......D.....U... + 1de80 7764745f 61706900 1c00000e f9085f77 wdt_api......._w + 1de90 64745f69 6e697400 0000010b 02230008 dt_init......#.. + 1dea0 5f776474 5f656e61 626c6500 0000010b _wdt_enable..... + 1deb0 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable + 1dec0 00000001 0b022308 085f7764 745f7365 ......#.._wdt_se + 1ded0 74000000 0df00223 0c085f77 64745f74 t......#.._wdt_t + 1dee0 61736b00 0000010b 02231008 5f776474 ask......#.._wdt + 1def0 5f726573 65740000 00010b02 2314085f _reset......#.._ + 1df00 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 1df10 0e5b0223 18001304 00000f60 0d524554 .[.#.......`.RET + 1df20 5f535543 43455353 00000d52 45545f4e _SUCCESS...RET_N + 1df30 4f545f49 4e495400 010d5245 545f4e4f OT_INIT...RET_NO + 1df40 545f4558 49535400 020d5245 545f4545 T_EXIST...RET_EE + 1df50 505f434f 52525550 5400030d 5245545f P_CORRUPT...RET_ + 1df60 4545505f 4f564552 464c4f57 00040d52 EEP_OVERFLOW...R + 1df70 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ + 1df80 4545505f 52455400 00000ef9 03000001 EEP_RET......... + 1df90 8c040006 00000f60 01030000 0f760400 .......`.....v.. + 1dfa0 0600000f 60010300 000f8304 00076565 ....`.........ee + 1dfb0 705f6170 69001000 000fec08 5f656570 p_api......._eep + 1dfc0 5f696e69 74000000 010b0223 00085f65 _init......#.._e + 1dfd0 65705f72 65616400 00000f7c 02230408 ep_read....|.#.. + 1dfe0 5f656570 5f777269 74650000 000f7c02 _eep_write....|. + 1dff0 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist + 1e000 0000000f 8902230c 00077573 625f6170 ......#...usb_ap + 1e010 69007000 00129908 5f757362 5f696e69 i.p....._usb_ini + 1e020 74000000 010b0223 00085f75 73625f72 t......#.._usb_r + 1e030 6f6d5f74 61736b00 0000010b 02230408 om_task......#.. + 1e040 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... + 1e050 0b022308 085f7573 625f696e 69745f70 ..#.._usb_init_p + 1e060 68790000 00010b02 230c085f 7573625f hy......#.._usb_ + 1e070 6570305f 73657475 70000000 010b0223 ep0_setup......# + 1e080 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 1e090 010b0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r + 1e0a0 78000000 010b0223 18085f75 73625f67 x......#.._usb_g + 1e0b0 65745f69 6e746572 66616365 00000008 et_interface.... + 1e0c0 6b02231c 085f7573 625f7365 745f696e k.#.._usb_set_in + 1e0d0 74657266 61636500 0000086b 02232008 terface....k.# . + 1e0e0 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 1e0f0 72617469 6f6e0000 00086b02 2324085f ration....k.#$._ + 1e100 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 1e110 6174696f 6e000000 086b0223 28085f75 ation....k.#(._u + 1e120 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 1e130 0000086b 02232c08 5f757362 5f76656e ...k.#,._usb_ven + 1e140 646f725f 636d6400 0000010b 02233008 dor_cmd......#0. + 1e150 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 1e160 00010b02 2334085f 7573625f 72657365 ....#4._usb_rese + 1e170 745f6669 666f0000 00010b02 2338085f t_fifo......#8._ + 1e180 7573625f 67656e5f 77647400 0000010b usb_gen_wdt..... + 1e190 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 1e1a0 6f740000 00010b02 2340085f 7573625f ot......#@._usb_ + 1e1b0 636c725f 66656174 75726500 0000086b clr_feature....k + 1e1c0 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea + 1e1d0 74757265 00000008 6b022348 085f7573 ture....k.#H._us + 1e1e0 625f7365 745f6164 64726573 73000000 b_set_address... + 1e1f0 086b0223 4c085f75 73625f67 65745f64 .k.#L._usb_get_d + 1e200 65736372 6970746f 72000000 086b0223 escriptor....k.# + 1e210 50085f75 73625f67 65745f73 74617475 P._usb_get_statu + 1e220 73000000 086b0223 54085f75 73625f73 s....k.#T._usb_s + 1e230 65747570 5f646573 63000000 010b0223 etup_desc......# + 1e240 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 1e250 00010b02 235c085f 7573625f 73746174 ....#\._usb_stat + 1e260 75735f69 6e000000 010b0223 60085f75 us_in......#`._u + 1e270 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 1e280 00010b02 2364085f 7573625f 6570305f ....#d._usb_ep0_ + 1e290 72785f64 61746100 0000010b 02236808 rx_data......#h. + 1e2a0 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 1e2b0 010b0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. + 1e2c0 00132508 6e657874 5f646573 63000000 ..%.next_desc... + 1e2d0 13250223 00086275 665f6164 64720000 .%.#..buf_addr.. + 1e2e0 00133902 23040862 75665f73 697a6500 ..9.#..buf_size. + 1e2f0 00001340 02230808 64617461 5f6f6666 ...@.#..data_off + 1e300 73657400 00001340 02230a08 64617461 set....@.#..data + 1e310 5f73697a 65000000 13400223 0c08636f _size....@.#..co + 1e320 6e74726f 6c000000 13400223 0e086877 ntrol....@.#..hw + 1e330 5f646573 635f6275 66000000 134e0223 _desc_buf....N.# + 1e340 10000300 00129904 0009415f 55494e54 ..........A_UINT + 1e350 38000000 031f0300 00132c04 0009415f 8.........,...A_ + 1e360 55494e54 31360000 0001760e 0000132c UINT16....v...., + 1e370 14000013 5b0f1300 03000012 99040009 ....[........... + 1e380 56444553 43000000 12990300 00136204 VDESC.........b. + 1e390 00060000 136d0103 00001374 04000600 .....m.....t.... + 1e3a0 00133901 03000013 81040002 01030000 ..9............. + 1e3b0 138e0400 07766465 73635f61 70690014 .....vdesc_api.. + 1e3c0 00001406 085f696e 69740000 000b2e02 ....._init...... + 1e3d0 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 1e3e0 0000137a 02230408 5f676574 5f68775f ...z.#.._get_hw_ + 1e3f0 64657363 00000013 87022308 085f7377 desc......#.._sw + 1e400 61705f76 64657363 00000013 9002230c ap_vdesc......#. + 1e410 08705265 73657276 65640000 00040702 .pReserved...... + 1e420 23100007 5f564255 46002000 00146608 #..._VBUF. ...f. + 1e430 64657363 5f6c6973 74000000 136d0223 desc_list....m.# + 1e440 00086e65 78745f62 75660000 00146602 ..next_buf....f. + 1e450 23040862 75665f6c 656e6774 68000000 #..buf_length... + 1e460 13400223 08087265 73657276 65640000 .@.#..reserved.. + 1e470 00146d02 230a0863 74780000 00134e02 ..m.#..ctx....N. + 1e480 230c0003 00001406 04000e00 00132c02 #.............,. + 1e490 0000147a 0f010003 00001406 04000956 ...z...........V + 1e4a0 42554600 00001406 03000014 81040006 BUF............. + 1e4b0 0000148b 01030000 14920400 06000014 ................ + 1e4c0 8b010300 00149f04 00020103 000014ac ................ + 1e4d0 04000776 6275665f 61706900 14000015 ...vbuf_api..... + 1e4e0 2a085f69 6e697400 00000b2e 02230008 *._init......#.. + 1e4f0 5f616c6c 6f635f76 62756600 00001498 _alloc_vbuf..... + 1e500 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 1e510 77697468 5f73697a 65000000 14a50223 with_size......# + 1e520 08085f66 7265655f 76627566 00000014 .._free_vbuf.... + 1e530 ae02230c 08705265 73657276 65640000 ..#..pReserved.. + 1e540 00040702 23100007 5f5f6164 665f6465 ....#...__adf_de + 1e550 76696365 00040000 154c0864 756d6d79 vice.....L.dummy + 1e560 00000001 12022300 00030000 09720400 ......#......r.. + 1e570 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 1e580 00001593 08627566 00000014 8b022300 .....buf......#. + 1e590 0864735f 61646472 00000015 4c022304 .ds_addr....L.#. + 1e5a0 0864735f 6c656e00 00001340 02230800 .ds_len....@.#.. + 1e5b0 110c0000 15cd085f 5f76615f 73746b00 .......__va_stk. + 1e5c0 00000375 02230008 5f5f7661 5f726567 ...u.#..__va_reg + 1e5d0 00000003 75022304 085f5f76 615f6e64 ....u.#..__va_nd + 1e5e0 78000000 01120223 0800095f 5f616466 x......#...__adf + 1e5f0 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 1e600 00097209 6164665f 6f735f64 6d615f61 ..r.adf_os_dma_a + 1e610 6464725f 74000000 15cd095f 5f616466 ddr_t......__adf + 1e620 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 1e630 00097209 6164665f 6f735f64 6d615f73 ..r.adf_os_dma_s + 1e640 697a655f 74000000 15fd075f 5f646d61 ize_t......__dma + 1e650 5f736567 73000800 00165908 70616464 _segs.....Y.padd + 1e660 72000000 15e60223 00086c65 6e000000 r......#..len... + 1e670 16160223 0400095f 5f615f75 696e7433 ...#...__a_uint3 + 1e680 325f7400 00000972 09615f75 696e7433 2_t....r.a_uint3 + 1e690 325f7400 00001659 0e000016 2d080000 2_t....Y....-... + 1e6a0 16880f00 00076164 665f6f73 5f646d61 ......adf_os_dma + 1e6b0 6d61705f 696e666f 000c0000 16c1086e map_info.......n + 1e6c0 73656773 00000016 6b022300 08646d61 segs....k.#..dma + 1e6d0 5f736567 73000000 167b0223 0400095f _segs....{.#..._ + 1e6e0 5f615f75 696e7438 5f740000 00132c09 _a_uint8_t....,. + 1e6f0 615f7569 6e74385f 74000000 16c10300 a_uint8_t....... + 1e700 0016d204 00075f5f 73675f73 65677300 ......__sg_segs. + 1e710 08000017 13087661 64647200 000016e1 ......vaddr..... + 1e720 02230008 6c656e00 0000166b 02230400 .#..len....k.#.. + 1e730 0e000016 e8200000 17200f03 00076164 ..... ... ....ad + 1e740 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... + 1e750 53086e73 65677300 0000166b 02230008 S.nsegs....k.#.. + 1e760 73675f73 65677300 00001713 02230400 sg_segs......#.. + 1e770 11100000 179c0876 656e646f 72000000 .......vendor... + 1e780 166b0223 00086465 76696365 00000016 .k.#..device.... + 1e790 6b022304 08737562 76656e64 6f720000 k.#..subvendor.. + 1e7a0 00166b02 23080873 75626465 76696365 ..k.#..subdevice + 1e7b0 00000016 6b02230c 00046c6f 6e67206c ....k.#...long l + 1e7c0 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 1e7d0 00070809 415f5549 4e543634 00000017 ....A_UINT64.... + 1e7e0 9c095f5f 615f7569 6e743634 5f740000 ..__a_uint64_t.. + 1e7f0 0017b609 615f7569 6e743634 5f740000 ....a_uint64_t.. + 1e800 0017c413 04000018 220d4144 465f4f53 ........".ADF_OS + 1e810 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 1e820 454d0000 0d414446 5f4f535f 5245534f EM...ADF_OS_RESO + 1e830 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... + 1e840 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 1e850 74797065 5f740000 0017e611 18000018 type_t.......... + 1e860 6c087374 61727400 000017d6 02230008 l.start......#.. + 1e870 656e6400 000017d6 02230808 74797065 end......#..type + 1e880 00000018 22022310 00096164 665f6f73 ....".#...adf_os + 1e890 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 1e8a0 17530300 00186c04 00100400 0018ab08 .S....l......... + 1e8b0 70636900 00001885 02230008 72617700 pci......#..raw. + 1e8c0 00000407 02230000 10100000 18ca0870 .....#.........p + 1e8d0 63690000 00186c02 23000872 61770000 ci....l.#..raw.. + 1e8e0 00040702 23000009 6164665f 6472765f ....#...adf_drv_ + 1e8f0 68616e64 6c655f74 00000004 07096164 handle_t......ad + 1e900 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 1e910 0000183e 03000018 e0040009 6164665f ...>........adf_ + 1e920 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 1e930 00000018 ab030000 18fe0400 03000015 ................ + 1e940 2a040009 5f5f6164 665f6f73 5f646576 *...__adf_os_dev + 1e950 6963655f 74000000 191f0961 64665f6f ice_t......adf_o + 1e960 735f6465 76696365 5f740000 00192606 s_device_t....&. + 1e970 000018ca 01030000 19520400 02010300 .........R...... + 1e980 00195f04 00096164 665f6f73 5f706d5f .._...adf_os_pm_ + 1e990 74000000 04070201 03000019 79040013 t...........y... + 1e9a0 04000019 b90d4144 465f4f53 5f425553 ......ADF_OS_BUS + 1e9b0 5f545950 455f5043 4900010d 4144465f _TYPE_PCI...ADF_ + 1e9c0 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 1e9d0 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu + 1e9e0 735f7479 70655f74 00000019 82096164 s_type_t......ad + 1e9f0 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 1ea00 615f7400 0000188c 03000003 1f040007 a_t............. + 1ea10 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 1ea20 001a9608 6472765f 61747461 63680000 ....drv_attach.. + 1ea30 00195802 23000864 72765f64 65746163 ..X.#..drv_detac + 1ea40 68000000 19610223 04086472 765f7375 h....a.#..drv_su + 1ea50 7370656e 64000000 197b0223 08086472 spend....{.#..dr + 1ea60 765f7265 73756d65 00000019 6102230c v_resume....a.#. + 1ea70 08627573 5f747970 65000000 19b90223 .bus_type......# + 1ea80 10086275 735f6461 74610000 0019d002 ..bus_data...... + 1ea90 2314086d 6f645f6e 616d6500 000019eb #..mod_name..... + 1eaa0 02231808 69666e61 6d650000 0019eb02 .#..ifname...... + 1eab0 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl + 1eac0 655f7400 00000407 03000016 c1040002 e_t............. + 1ead0 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 1eae0 655f7400 0000040a 13040000 1ae50d41 e_t............A + 1eaf0 5f46414c 53450000 0d415f54 52554500 _FALSE...A_TRUE. + 1eb00 01000961 5f626f6f 6c5f7400 00001acb ...a_bool_t..... + 1eb10 03000015 53040009 5f5f6164 665f6f73 ....S...__adf_os + 1eb20 5f646d61 5f6d6170 5f740000 001af302 _dma_map_t...... + 1eb30 010c6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 1eb40 796e6300 0400001b 7d0d4144 465f5359 ync.....}.ADF_SY + 1eb50 4e435f50 52455245 41440000 0d414446 NC_PREREAD...ADF + 1eb60 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 1eb70 0d414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 1eb80 41440001 0d414446 5f53594e 435f504f AD...ADF_SYNC_PO + 1eb90 53545752 49544500 03000961 64665f6f STWRITE....adf_o + 1eba0 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 1ebb0 001b1402 01096164 665f6f73 5f73697a ......adf_os_siz + 1ebc0 655f7400 00001ab6 0600001b 98010961 e_t............a + 1ebd0 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 1ebe0 00001afa 0300001b b1040006 00000407 ................ + 1ebf0 01030000 1afa0400 06000004 07010201 ................ + 1ec00 06000015 e6010201 0473686f 72742069 .........short i + 1ec10 6e740005 0209415f 494e5431 36000000 nt....A_INT16... + 1ec20 1beb095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 1ec30 001bf809 615f696e 7431365f 74000000 ....a_int16_t... + 1ec40 1c050473 69676e65 64206368 61720005 ...signed char.. + 1ec50 0109415f 494e5438 0000001c 25095f5f ..A_INT8....%.__ + 1ec60 615f696e 74385f74 0000001c 3409615f a_int8_t....4.a_ + 1ec70 696e7438 5f740000 001c4011 0c00001c int8_t....@..... + 1ec80 b7087375 70706f72 74656400 0000166b ..supported....k + 1ec90 02230008 61647665 7274697a 65640000 .#..advertized.. + 1eca0 00166b02 23040873 70656564 0000001c ..k.#..speed.... + 1ecb0 16022308 08647570 6c657800 00001c50 ..#..duplex....P + 1ecc0 02230a08 6175746f 6e656700 000016d2 .#..autoneg..... + 1ecd0 02230b00 0e000016 d2060000 1cc40f05 .#.............. + 1ece0 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 1ecf0 72000600 001ce808 61646472 0000001c r.......addr.... + 1ed00 b7022300 00095f5f 615f7569 6e743136 ..#...__a_uint16 + 1ed10 5f740000 00134009 615f7569 6e743136 _t....@.a_uint16 + 1ed20 5f740000 001ce811 0e00001d 4c086574 _t..........L.et + 1ed30 6865725f 64686f73 74000000 1cb70223 her_dhost......# + 1ed40 00086574 6865725f 73686f73 74000000 ..ether_shost... + 1ed50 1cb70223 06086574 6865725f 74797065 ...#..ether_type + 1ed60 0000001c fa02230c 00111400 001e0d14 ......#......... + 1ed70 69705f76 65727369 6f6e0000 0016d201 ip_version...... + 1ed80 00040223 00146970 5f686c00 000016d2 ...#..ip_hl..... + 1ed90 01040402 23000869 705f746f 73000000 ....#..ip_tos... + 1eda0 16d20223 01086970 5f6c656e 0000001c ...#..ip_len.... + 1edb0 fa022302 0869705f 69640000 001cfa02 ..#..ip_id...... + 1edc0 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. + 1edd0 001cfa02 23060869 705f7474 6c000000 ....#..ip_ttl... + 1ede0 16d20223 08086970 5f70726f 746f0000 ...#..ip_proto.. + 1edf0 0016d202 23090869 705f6368 65636b00 ....#..ip_check. + 1ee00 00001cfa 02230a08 69705f73 61646472 .....#..ip_saddr + 1ee10 00000016 6b02230c 0869705f 64616464 ....k.#..ip_dadd + 1ee20 72000000 166b0223 10000761 64665f6e r....k.#...adf_n + 1ee30 65745f76 6c616e68 64720004 00001e5f et_vlanhdr....._ + 1ee40 08747069 64000000 1cfa0223 00147072 .tpid......#..pr + 1ee50 696f0000 0016d201 00030223 02146366 io.........#..cf + 1ee60 69000000 16d20103 01022302 14766964 i.........#..vid + 1ee70 0000001c fa02040c 02230200 07616466 .........#...adf + 1ee80 5f6e6574 5f766964 00020000 1e901472 _net_vid.......r + 1ee90 65730000 0016d201 00040223 00147661 es.........#..va + 1eea0 6c000000 1cfa0204 0c022300 00110c00 l.........#..... + 1eeb0 001ecc08 72785f62 75667369 7a650000 ....rx_bufsize.. + 1eec0 00166b02 23000872 785f6e64 65736300 ..k.#..rx_ndesc. + 1eed0 0000166b 02230408 74785f6e 64657363 ...k.#..tx_ndesc + 1eee0 00000016 6b022308 00110800 001ef208 ....k.#......... + 1eef0 706f6c6c 65640000 001ae502 23000870 polled......#..p + 1ef00 6f6c6c5f 77740000 00166b02 2304000e oll_wt....k.#... + 1ef10 000016d2 4000001e ff0f3f00 11460000 ....@.....?..F.. + 1ef20 1f270869 665f6e61 6d650000 001ef202 .'.if_name...... + 1ef30 23000864 65765f61 64647200 00001cb7 #..dev_addr..... + 1ef40 02234000 13040000 1f5e0d41 44465f4f .#@......^.ADF_O + 1ef50 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 1ef60 00000d41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 1ef70 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ + 1ef80 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 1ef90 1f270761 64665f64 6d615f69 6e666f00 .'.adf_dma_info. + 1efa0 0800001f ab08646d 615f6d61 736b0000 ......dma_mask.. + 1efb0 001f5e02 23000873 675f6e73 65677300 ..^.#..sg_nsegs. + 1efc0 0000166b 02230400 13040000 20010d41 ...k.#...... ..A + 1efd0 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 1efe0 4500000d 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 1eff0 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 1f000 0d414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 1f010 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a + 1f020 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 1f030 655f7400 00001fab 11080000 20440874 e_t......... D.t + 1f040 785f636b 73756d00 00002001 02230008 x_cksum... ..#.. + 1f050 72785f63 6b73756d 00000020 01022304 rx_cksum... ..#. + 1f060 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 1f070 696e666f 5f740000 00201b13 04000020 info_t... ..... + 1f080 9d0d4144 465f4e45 545f5453 4f5f4e4f ..ADF_NET_TSO_NO + 1f090 4e450000 0d414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 1f0a0 5f495056 3400010d 4144465f 4e45545f _IPV4...ADF_NET_ + 1f0b0 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n + 1f0c0 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 1f0d0 205e1110 000020f1 08636b73 756d5f63 ^.... ..cksum_c + 1f0e0 61700000 00204402 23000874 736f0000 ap... D.#..tso.. + 1f0f0 00209d02 23080876 6c616e5f 73757070 . ..#..vlan_supp + 1f100 6f727465 64000000 16d20223 0c001120 orted......#... + 1f110 0000218a 0874785f 7061636b 65747300 ..!..tx_packets. + 1f120 0000166b 02230008 72785f70 61636b65 ...k.#..rx_packe + 1f130 74730000 00166b02 23040874 785f6279 ts....k.#..tx_by + 1f140 74657300 0000166b 02230808 72785f62 tes....k.#..rx_b + 1f150 79746573 00000016 6b02230c 0874785f ytes....k.#..tx_ + 1f160 64726f70 70656400 0000166b 02231008 dropped....k.#.. + 1f170 72785f64 726f7070 65640000 00166b02 rx_dropped....k. + 1f180 23140872 785f6572 726f7273 00000016 #..rx_errors.... + 1f190 6b022318 0874785f 6572726f 72730000 k.#..tx_errors.. + 1f1a0 00166b02 231c0009 6164665f 6e65745f ..k.#...adf_net_ + 1f1b0 65746861 6464725f 74000000 1cc41500 ethaddr_t....... + 1f1c0 00218a03 00000021 af0f7f00 16616466 .!.....!.....adf + 1f1d0 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 1f1e0 03040000 21e6086e 656c656d 00000016 ....!..nelem.... + 1f1f0 6b022300 086d6361 73740000 0021a102 k.#..mcast...!.. + 1f200 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 1f210 6c696e6b 5f696e66 6f5f7400 00001c5e link_info_t....^ + 1f220 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 1f230 6c5f696e 666f5f74 0000001e cc096164 l_info_t......ad + 1f240 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 1f250 696e666f 5f740000 00204409 6164665f info_t... D.adf_ + 1f260 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 1f270 6f5f7400 00001e90 09616466 5f6e6574 o_t......adf_net + 1f280 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 1f290 00001f75 09616466 5f6e6574 5f636d64 ...u.adf_net_cmd + 1f2a0 5f766964 5f740000 001cfa09 6164665f _vid_t......adf_ + 1f2b0 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 1f2c0 6361705f 74000000 20b50961 64665f6e cap_t... ..adf_n + 1f2d0 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 1f2e0 0020f109 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 1f2f0 6d636164 64725f74 00000021 af0c6164 mcaddr_t...!..ad + 1f300 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 1f310 63617000 04000023 280d4144 465f4e45 cap....#(.ADF_NE + 1f320 545f4d43 4153545f 53555000 000d4144 T_MCAST_SUP...AD + 1f330 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 1f340 55500001 00096164 665f6e65 745f636d UP....adf_net_cm + 1f350 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 1f360 22e01703 04000023 fa086c69 6e6b5f69 "......#..link_i + 1f370 6e666f00 000021e6 02230008 706f6c6c nfo...!..#..poll + 1f380 5f696e66 6f000000 22030223 0008636b _info..."..#..ck + 1f390 73756d5f 696e666f 00000022 20022300 sum_info..." .#. + 1f3a0 0872696e 675f696e 666f0000 00223e02 .ring_info...">. + 1f3b0 23000864 6d615f69 6e666f00 0000225b #..dma_info..."[ + 1f3c0 02230008 76696400 00002277 02230008 .#..vid..."w.#.. + 1f3d0 6f66666c 6f61645f 63617000 0000228e offload_cap...". + 1f3e0 02230008 73746174 73000000 22ad0223 .#..stats..."..# + 1f3f0 00086d63 6173745f 696e666f 00000022 ..mcast_info..." + 1f400 c6022300 086d6361 73745f63 61700000 ..#..mcast_cap.. + 1f410 00232802 23000013 04000024 510d4144 .#(.#......$Q.AD + 1f420 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 1f430 4e4f4e45 00000d41 44465f4e 4255465f NONE...ADF_NBUF_ + 1f440 52585f43 4b53554d 5f485700 010d4144 RX_CKSUM_HW...AD + 1f450 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 1f460 554e4e45 43455353 41525900 02000961 UNNECESSARY....a + 1f470 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 1f480 5f747970 655f7400 000023fa 11080000 _type_t...#..... + 1f490 24910872 6573756c 74000000 24510223 $..result...$Q.# + 1f4a0 00087661 6c000000 166b0223 04001108 ..val....k.#.... + 1f4b0 000024c1 08747970 65000000 209d0223 ..$..type... ..# + 1f4c0 00086d73 73000000 1cfa0223 04086864 ..mss......#..hd + 1f4d0 725f6f66 66000000 16d20223 0600075f r_off......#..._ + 1f4e0 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 1f4f0 0c000025 00086865 61640000 00148b02 ...%..head...... + 1f500 23000874 61696c00 0000148b 02230408 #..tail......#.. + 1f510 716c656e 00000016 6b022308 00095f5f qlen....k.#...__ + 1f520 6164665f 6e627566 5f740000 00148b03 adf_nbuf_t...... + 1f530 000016e1 04000300 00166b04 00020106 ..........k..... + 1f540 0000136d 01060000 166b0106 000016e1 ...m.....k...... + 1f550 01060000 16e10103 0000134e 0400095f ...........N..._ + 1f560 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 1f570 74000000 24c1095f 5f616466 5f6e6275 t...$..__adf_nbu + 1f580 665f7175 6575655f 74000000 25410300 f_queue_t...%A.. + 1f590 00255904 00060000 25000106 00002500 .%Y.....%.....%. + 1f5a0 01130400 0026790d 415f5354 41545553 .....&y.A_STATUS + 1f5b0 5f4f4b00 000d415f 53544154 55535f46 _OK...A_STATUS_F + 1f5c0 41494c45 4400010d 415f5354 41545553 AILED...A_STATUS + 1f5d0 5f454e4f 454e5400 020d415f 53544154 _ENOENT...A_STAT + 1f5e0 55535f45 4e4f4d45 4d00030d 415f5354 US_ENOMEM...A_ST + 1f5f0 41545553 5f45494e 56414c00 040d415f ATUS_EINVAL...A_ + 1f600 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 1f610 53530005 0d415f53 54415455 535f454e SS...A_STATUS_EN + 1f620 4f545355 50500006 0d415f53 54415455 OTSUPP...A_STATU + 1f630 535f4542 55535900 070d415f 53544154 S_EBUSY...A_STAT + 1f640 55535f45 32424947 00080d41 5f535441 US_E2BIG...A_STA + 1f650 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 1f660 4c00090d 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 1f670 494f000a 0d415f53 54415455 535f4546 IO...A_STATUS_EF + 1f680 41554c54 000b0d41 5f535441 5455535f AULT...A_STATUS_ + 1f690 45494f00 0c000961 5f737461 7475735f EIO....a_status_ + 1f6a0 74000000 25840600 00267901 06000001 t...%....&y..... + 1f6b0 12010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. + 1f6c0 00002500 13040000 26de0d41 44465f4f ..%.....&..ADF_O + 1f6d0 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 1f6e0 000d4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 1f6f0 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ + 1f700 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 1f710 a7060000 26790102 01096164 665f6f73 ....&y....adf_os + 1f720 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 1f730 00168803 000026fc 04000201 02010600 ......&......... + 1f740 00269701 06000025 00010201 02010600 .&.....%........ + 1f750 00269701 06000025 00010600 00269701 .&.....%.....&.. + 1f760 06000025 00010600 00269701 02010201 ...%.....&...... + 1f770 06000016 6b010600 0016e101 02010201 ....k........... + 1f780 0600001b 98010600 001ae501 0600001a ................ + 1f790 e5010961 64665f6f 735f7367 6c697374 ...adf_os_sglist + 1f7a0 5f740000 00172003 00002775 04000201 _t.... ...'u.... + 1f7b0 02010201 06000016 e1010961 64665f6e ...........adf_n + 1f7c0 6275665f 71756575 655f7400 00002559 buf_queue_t...%Y + 1f7d0 03000027 9d040002 01030000 25410400 ...'........%A.. + 1f7e0 02010201 02010600 00269701 06000025 .........&.....% + 1f7f0 00010600 00166b01 06000016 6b010600 ......k.....k... + 1f800 001ae501 0600001a e5010600 00200101 ............. .. + 1f810 06000016 6b010961 64665f6e 6275665f ....k..adf_nbuf_ + 1f820 72785f63 6b73756d 5f740000 00246f03 rx_cksum_t...$o. + 1f830 000027f9 04000201 02010961 64665f6e ..'........adf_n + 1f840 6275665f 74736f5f 74000000 24910300 buf_tso_t...$... + 1f850 00281d04 00020102 01096164 665f6e65 .(........adf_ne + 1f860 745f6861 6e646c65 5f740000 00040709 t_handle_t...... + 1f870 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 1f880 74000000 1e0d0300 00285204 00060000 t........(R..... + 1f890 26790106 00002679 01020102 01075f48 &y....&y......_H + 1f8a0 49465f43 4f4e4649 47000400 0028a108 IF_CONFIG....(.. + 1f8b0 64756d6d 79000000 01120223 00000201 dummy......#.... + 1f8c0 03000028 a1040002 01030000 28aa0400 ...(........(... + 1f8d0 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 1f8e0 000028ff 0873656e 645f6275 665f646f ..(..send_buf_do + 1f8f0 6e650000 0028a302 23000872 6563765f ne...(..#..recv_ + 1f900 62756600 000028ac 02230408 636f6e74 buf...(..#..cont + 1f910 65787400 00000407 02230800 09686966 ext......#...hif + 1f920 5f68616e 646c655f 74000000 04070948 _handle_t......H + 1f930 49465f43 4f4e4649 47000000 28800300 IF_CONFIG...(... + 1f940 00291104 00060000 28ff0103 00002928 .)......(.....)( + 1f950 04000201 03000029 35040009 4849465f .......)5...HIF_ + 1f960 43414c4c 4241434b 00000028 b3030000 CALLBACK...(.... + 1f970 293e0400 02010300 00295704 00060000 )>.......)W..... + 1f980 01120103 00002960 04000201 03000029 ......)`.......) + 1f990 6d040006 00000112 01030000 29760400 m...........)v.. + 1f9a0 02010300 00298304 00060000 01120103 .....).......... + 1f9b0 0000298c 04000201 03000029 99040007 ..)........).... + 1f9c0 6869665f 61706900 3800002a f2085f69 hif_api.8..*.._i + 1f9d0 6e697400 0000292e 02230008 5f736875 nit...)..#.._shu + 1f9e0 74646f77 6e000000 29370223 04085f72 tdown...)7.#.._r + 1f9f0 65676973 7465725f 63616c6c 6261636b egister_callback + 1fa00 00000029 59022308 085f6765 745f746f ...)Y.#.._get_to + 1fa10 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 1fa20 00000029 6602230c 085f7374 61727400 ...)f.#.._start. + 1fa30 00002937 02231008 5f636f6e 6669675f ..)7.#.._config_ + 1fa40 70697065 00000029 6f022314 085f7365 pipe...)o.#.._se + 1fa50 6e645f62 75666665 72000000 297c0223 nd_buffer...)|.# + 1fa60 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 1fa70 75660000 00298502 231c085f 69735f70 uf...)..#.._is_p + 1fa80 6970655f 73757070 6f727465 64000000 ipe_supported... + 1fa90 29920223 20085f67 65745f6d 61785f6d )..# ._get_max_m + 1faa0 73675f6c 656e0000 00299202 2324085f sg_len...)..#$._ + 1fab0 6765745f 72657365 72766564 5f686561 get_reserved_hea + 1fac0 64726f6f 6d000000 29660223 28085f69 droom...)f.#(._i + 1fad0 73725f68 616e646c 65720000 00293702 sr_handler...)7. + 1fae0 232c085f 6765745f 64656661 756c745f #,._get_default_ + 1faf0 70697065 00000029 9b022330 08705265 pipe...)..#0.pRe + 1fb00 73657276 65640000 00040702 2334000c served......#4.. + 1fb10 646d615f 656e6769 6e650004 00002b7b dma_engine....+{ + 1fb20 0d444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 1fb30 000d444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 1fb40 00010d44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 1fb50 3200020d 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 1fb60 58330003 0d444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 1fb70 54583000 040d444d 415f454e 47494e45 TX0...DMA_ENGINE + 1fb80 5f545831 00050d44 4d415f45 4e47494e _TX1...DMA_ENGIN + 1fb90 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng + 1fba0 696e655f 74000000 2af20c64 6d615f69 ine_t...*..dma_i + 1fbb0 66747970 65000400 002bc80d 444d415f ftype....+..DMA_ + 1fbc0 49465f47 4d414300 000d444d 415f4946 IF_GMAC...DMA_IF + 1fbd0 5f504349 00010d44 4d415f49 465f5043 _PCI...DMA_IF_PC + 1fbe0 49450002 0009646d 615f6966 74797065 IE....dma_iftype + 1fbf0 5f740000 002b8d06 00001340 01030000 _t...+.....@.... + 1fc00 2bda0400 02010300 002be704 00020103 +........+...... + 1fc10 00002bf0 04000600 00097201 0300002b ..+.......r....+ + 1fc20 f9040006 00001340 01030000 2c060400 .......@....,... + 1fc30 06000013 40010300 002c1304 00060000 ....@....,...... + 1fc40 148b0103 00002c20 04000201 0300002c ......, ......., + 1fc50 2d040007 646d615f 6c69625f 61706900 -...dma_lib_api. + 1fc60 3400002d 34087478 5f696e69 74000000 4..-4.tx_init... + 1fc70 2be00223 00087478 5f737461 72740000 +..#..tx_start.. + 1fc80 002be902 23040872 785f696e 69740000 .+..#..rx_init.. + 1fc90 002be002 23080872 785f636f 6e666967 .+..#..rx_config + 1fca0 0000002b f202230c 0872785f 73746172 ...+..#..rx_star + 1fcb0 74000000 2be90223 1008696e 74725f73 t...+..#..intr_s + 1fcc0 74617475 73000000 2bff0223 14086861 tatus...+..#..ha + 1fcd0 72645f78 6d697400 00002c0c 02231808 rd_xmit...,..#.. + 1fce0 666c7573 685f786d 69740000 002be902 flush_xmit...+.. + 1fcf0 231c0878 6d69745f 646f6e65 0000002c #..xmit_done..., + 1fd00 19022320 08726561 705f786d 69747465 ..# .reap_xmitte + 1fd10 64000000 2c260223 24087265 61705f72 d...,&.#$.reap_r + 1fd20 65637600 00002c26 02232808 72657475 ecv...,&.#(.retu + 1fd30 726e5f72 65637600 00002c2f 02232c08 rn_recv...,/.#,. + 1fd40 72656376 5f706b74 0000002c 19022330 recv_pkt...,..#0 + 1fd50 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 1fd60 002d5208 73770000 00293e02 23000009 .-R.sw...)>.#... + 1fd70 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 1fd80 2d340300 002d5204 00020103 00002d6c -4...-R.......-l + 1fd90 04000600 00132c01 0300002d 7504000c ......,....-u... + 1fda0 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 1fdb0 0400002d d50d4849 465f5043 495f5049 ...-..HIF_PCI_PI + 1fdc0 50455f54 58300000 0d484946 5f504349 PE_TX0...HIF_PCI + 1fdd0 5f504950 455f5458 3100010d 4849465f _PIPE_TX1...HIF_ + 1fde0 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 1fdf0 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 1fe00 74785f74 0000002d 82060000 2b7b0103 tx_t...-....+{.. + 1fe10 00002dec 04000c68 69665f70 63695f70 ..-....hif_pci_p + 1fe20 6970655f 72780004 00002e72 0d484946 ipe_rx.....r.HIF + 1fe30 5f504349 5f504950 455f5258 3000000d _PCI_PIPE_RX0... + 1fe40 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 1fe50 00010d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 1fe60 52583200 020d4849 465f5043 495f5049 RX2...HIF_PCI_PI + 1fe70 50455f52 58330003 0d484946 5f504349 PE_RX3...HIF_PCI + 1fe80 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... + 1fe90 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 1fea0 74000000 2df90600 002b7b01 0300002e t...-....+{..... + 1feb0 89040007 6869665f 7063695f 61706900 ....hif_pci_api. + 1fec0 2400002f 67087063 695f626f 6f745f69 $../g.pci_boot_i + 1fed0 6e697400 0000010b 02230008 7063695f nit......#..pci_ + 1fee0 696e6974 00000029 2e022304 08706369 init...)..#..pci + 1fef0 5f726573 65740000 00010b02 23080870 _reset......#..p + 1ff00 63695f65 6e61626c 65000000 010b0223 ci_enable......# + 1ff10 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 1ff20 65640000 002d6e02 23100870 63695f72 ed...-n.#..pci_r + 1ff30 6561705f 72656376 0000002d 6e022314 eap_recv...-n.#. + 1ff40 08706369 5f676574 5f706970 65000000 .pci_get_pipe... + 1ff50 2d7b0223 18087063 695f6765 745f7478 -{.#..pci_get_tx + 1ff60 5f656e67 0000002d f202231c 08706369 _eng...-..#..pci + 1ff70 5f676574 5f72785f 656e6700 00002e8f _get_rx_eng..... + 1ff80 02232000 07676d61 635f6170 69000400 .# ..gmac_api... + 1ff90 002f8e08 676d6163 5f626f6f 745f696e ./..gmac_boot_in + 1ffa0 69740000 00010b02 2300000e 0000031f it......#....... + 1ffb0 0600002f 9b0f0500 075f5f65 74686864 .../.....__ethhd + 1ffc0 72000e00 002fd108 64737400 00002f8e r..../..dst.../. + 1ffd0 02230008 73726300 00002f8e 02230608 .#..src.../..#.. + 1ffe0 65747970 65000000 13400223 0c00075f etype....@.#..._ + 1fff0 5f617468 68647200 04000030 1f147265 _athhdr....0..re + 20000 73000000 132c0100 02022300 1470726f s....,....#..pro + 20010 746f0000 00132c01 02060223 00087265 to....,....#..re + 20020 735f6c6f 00000013 2c022301 08726573 s_lo....,.#..res + 20030 5f686900 00001340 02230200 075f5f67 _hi....@.#...__g + 20040 6d61635f 68647200 14000030 5b086574 mac_hdr....0[.et + 20050 68000000 2f9b0223 00086174 68000000 h.../..#..ath... + 20060 2fd10223 0e08616c 69676e5f 70616400 /..#..align_pad. + 20070 00001340 02231200 095f5f67 6d61635f ...@.#...__gmac_ + 20080 6864725f 74000000 301f075f 5f676d61 hdr_t...0..__gma + 20090 635f736f 66746300 24000030 a5086864 c_softc.$..0..hd + 200a0 72000000 305b0223 00086772 616e0000 r...0[.#..gran.. + 200b0 00134002 23140873 77000000 293e0223 ..@.#..sw...)>.# + 200c0 1800075f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 200d0 5f636865 636b0008 000030de 08766572 _check....0..ver + 200e0 73696f6e 00000001 12022300 08746162 sion......#..tab + 200f0 6c650000 00011202 23040003 000030a5 le......#.....0. + 20100 04000600 00011201 03000030 e5040003 ...........0.... + 20110 0000040a 0400165f 415f636d 6e6f735f ......._A_cmnos_ + 20120 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 20130 650001b8 00003235 0868616c 5f6c696e e.....25.hal_lin + 20140 6b616765 5f636865 636b0000 0030eb02 kage_check...0.. + 20150 23000873 74617274 5f627373 00000030 #..start_bss...0 + 20160 f2022304 08617070 5f737461 72740000 ..#..app_start.. + 20170 00010b02 2308086d 656d0000 00044a02 ....#..mem....J. + 20180 230c086d 69736300 000005bd 02232008 #..misc......# . + 20190 7072696e 74660000 00013f02 23440875 printf....?.#D.u + 201a0 61727400 00000208 02234c08 676d6163 art......#L.gmac + 201b0 0000002f 6702236c 08757362 0000000f .../g.#l.usb.... + 201c0 ec022370 08636c6f 636b0000 000b3503 ..#p.clock....5. + 201d0 23e00108 74696d65 72000000 07d50323 #...timer......# + 201e0 84020869 6e747200 00000c85 03239802 ...intr......#.. + 201f0 08616c6c 6f637261 6d000000 098d0323 .allocram......# + 20200 c4020872 6f6d7000 0000087f 0323d002 ...romp......#.. + 20210 08776474 5f74696d 65720000 000e6203 .wdt_timer....b. + 20220 23e00208 65657000 00000f90 0323fc02 #...eep......#.. + 20230 08737472 696e6700 000006e1 03238c03 .string......#.. + 20240 08746173 6b6c6574 0000000a 8a0323a4 .tasklet......#. + 20250 0300075f 5553425f 4649464f 5f434f4e ..._USB_FIFO_CON + 20260 46494700 10000032 a8086765 745f636f FIG....2..get_co + 20270 6d6d616e 645f6275 66000000 14980223 mmand_buf......# + 20280 00087265 63765f63 6f6d6d61 6e640000 ..recv_command.. + 20290 0014ae02 23040867 65745f65 76656e74 ....#..get_event + 202a0 5f627566 00000014 98022308 0873656e _buf......#..sen + 202b0 645f6576 656e745f 646f6e65 00000014 d_event_done.... + 202c0 ae02230c 00095553 425f4649 464f5f43 ..#...USB_FIFO_C + 202d0 4f4e4649 47000000 32350300 0032a804 ONFIG...25...2.. + 202e0 00020103 000032c4 04000775 73626669 ......2....usbfi + 202f0 666f5f61 7069000c 0000331a 085f696e fo_api....3.._in + 20300 69740000 0032c602 2300085f 656e6162 it...2..#.._enab + 20310 6c655f65 76656e74 5f697372 00000001 le_event_isr.... + 20320 0b022304 08705265 73657276 65640000 ..#..pReserved.. + 20330 00040702 2308000e 000016d2 02000033 ....#..........3 + 20340 270f0100 075f4854 435f4652 414d455f '...._HTC_FRAME_ + 20350 48445200 08000033 9908456e 64706f69 HDR....3..Endpoi + 20360 6e744944 00000016 d2022300 08466c61 ntID......#..Fla + 20370 67730000 0016d202 23010850 61796c6f gs......#..Paylo + 20380 61644c65 6e000000 1cfa0223 0208436f adLen......#..Co + 20390 6e74726f 6c427974 65730000 00331a02 ntrolBytes...3.. + 203a0 23040848 6f737453 65714e75 6d000000 #..HostSeqNum... + 203b0 1cfa0223 06001102 000033b2 084d6573 ...#......3..Mes + 203c0 73616765 49440000 001cfa02 23000011 sageID......#... + 203d0 08000034 15084d65 73736167 65494400 ...4..MessageID. + 203e0 00001cfa 02230008 43726564 6974436f .....#..CreditCo + 203f0 756e7400 00001cfa 02230208 43726564 unt......#..Cred + 20400 69745369 7a650000 001cfa02 2304084d itSize......#..M + 20410 6178456e 64706f69 6e747300 000016d2 axEndpoints..... + 20420 02230608 5f506164 31000000 16d20223 .#.._Pad1......# + 20430 0700110a 000034ac 084d6573 73616765 ......4..Message + 20440 49440000 001cfa02 23000853 65727669 ID......#..Servi + 20450 63654944 0000001c fa022302 08436f6e ceID......#..Con + 20460 6e656374 696f6e46 6c616773 0000001c nectionFlags.... + 20470 fa022304 08446f77 6e4c696e 6b506970 ..#..DownLinkPip + 20480 65494400 000016d2 02230608 55704c69 eID......#..UpLi + 20490 6e6b5069 70654944 00000016 d2022307 nkPipeID......#. + 204a0 08536572 76696365 4d657461 4c656e67 .ServiceMetaLeng + 204b0 74680000 0016d202 2308085f 50616431 th......#.._Pad1 + 204c0 00000016 d2022309 00110a00 00353408 ......#......54. + 204d0 4d657373 61676549 44000000 1cfa0223 MessageID......# + 204e0 00085365 72766963 65494400 00001cfa ..ServiceID..... + 204f0 02230208 53746174 75730000 0016d202 .#..Status...... + 20500 23040845 6e64706f 696e7449 44000000 #..EndpointID... + 20510 16d20223 05084d61 784d7367 53697a65 ...#..MaxMsgSize + 20520 0000001c fa022306 08536572 76696365 ......#..Service + 20530 4d657461 4c656e67 74680000 0016d202 MetaLength...... + 20540 2308085f 50616431 00000016 d2022309 #.._Pad1......#. + 20550 00110200 00354d08 4d657373 61676549 .....5M.MessageI + 20560 44000000 1cfa0223 00001104 00003589 D......#......5. + 20570 084d6573 73616765 49440000 001cfa02 .MessageID...... + 20580 23000850 69706549 44000000 16d20223 #..PipeID......# + 20590 02084372 65646974 436f756e 74000000 ..CreditCount... + 205a0 16d20223 03001104 000035c0 084d6573 ...#......5..Mes + 205b0 73616765 49440000 001cfa02 23000850 sageID......#..P + 205c0 69706549 44000000 16d20223 02085374 ipeID......#..St + 205d0 61747573 00000016 d2022303 00110200 atus......#..... + 205e0 0035e708 5265636f 72644944 00000016 .5..RecordID.... + 205f0 d2022300 084c656e 67746800 000016d2 ..#..Length..... + 20600 02230100 11020000 36110845 6e64706f .#......6..Endpo + 20610 696e7449 44000000 16d20223 00084372 intID......#..Cr + 20620 65646974 73000000 16d20223 01001104 edits......#.... + 20630 00003652 08456e64 706f696e 74494400 ..6R.EndpointID. + 20640 000016d2 02230008 43726564 69747300 .....#..Credits. + 20650 000016d2 02230108 54677443 72656469 .....#..TgtCredi + 20660 74536571 4e6f0000 001cfa02 2302000e tSeqNo......#... + 20670 000016d2 04000036 5f0f0300 11060000 .......6_....... + 20680 369b0850 72655661 6c696400 000016d2 6..PreValid..... + 20690 02230008 4c6f6f6b 41686561 64000000 .#..LookAhead... + 206a0 36520223 0108506f 73745661 6c696400 6R.#..PostValid. + 206b0 000016d2 02230500 09706f6f 6c5f6861 .....#...pool_ha + 206c0 6e646c65 5f740000 00040706 0000369b ndle_t........6. + 206d0 01030000 36ae0400 02010300 0036bb04 ....6........6.. + 206e0 00130400 0037390d 504f4f4c 5f49445f .....79.POOL_ID_ + 206f0 4854435f 434f4e54 524f4c00 000d504f HTC_CONTROL...PO + 20700 4f4c5f49 445f574d 495f5356 435f434d OL_ID_WMI_SVC_CM + 20710 445f5245 504c5900 010d504f 4f4c5f49 D_REPLY...POOL_I + 20720 445f574d 495f5356 435f4556 454e5400 D_WMI_SVC_EVENT. + 20730 020d504f 4f4c5f49 445f574c 414e5f52 ..POOL_ID_WLAN_R + 20740 585f4255 4600030d 504f4f4c 5f49445f X_BUF...POOL_ID_ + 20750 4d415800 0a000942 55465f50 4f4f4c5f MAX....BUF_POOL_ + 20760 49440000 0036c402 01030000 374a0400 ID...6......7J.. + 20770 06000026 97010300 00375304 00060000 ...&.....7S..... + 20780 26970103 00003760 04000201 03000037 &.....7`.......7 + 20790 6d040007 6275665f 706f6f6c 5f617069 m...buf_pool_api + 207a0 001c0000 380f085f 696e6974 00000036 ....8.._init...6 + 207b0 b4022300 085f7368 7574646f 776e0000 ..#.._shutdown.. + 207c0 0036bd02 2304085f 63726561 74655f70 .6..#.._create_p + 207d0 6f6f6c00 0000374c 02230808 5f616c6c ool...7L.#.._all + 207e0 6f635f62 75660000 00375902 230c085f oc_buf...7Y.#.._ + 207f0 616c6c6f 635f6275 665f616c 69676e00 alloc_buf_align. + 20800 00003766 02231008 5f667265 655f6275 ..7f.#.._free_bu + 20810 66000000 376f0223 14087052 65736572 f...7o.#..pReser + 20820 76656400 00000407 02231800 075f4854 ved......#..._HT + 20830 435f5345 52564943 45001c00 0038ee08 C_SERVICE....8.. + 20840 704e6578 74000000 38ee0223 00085072 pNext...8..#..Pr + 20850 6f636573 73526563 764d7367 00000039 ocessRecvMsg...9 + 20860 a3022304 0850726f 63657373 53656e64 ..#..ProcessSend + 20870 42756666 6572436f 6d706c65 74650000 BufferComplete.. + 20880 0039ac02 23080850 726f6365 7373436f .9..#..ProcessCo + 20890 6e6e6563 74000000 39c00223 0c085365 nnect...9..#..Se + 208a0 72766963 65494400 00001340 02231008 rviceID....@.#.. + 208b0 53657276 69636546 6c616773 00000013 ServiceFlags.... + 208c0 40022312 084d6178 5376634d 73675369 @.#..MaxSvcMsgSi + 208d0 7a650000 00134002 23140854 7261696c ze....@.#..Trail + 208e0 65725370 63436865 636b4c69 6d697400 erSpcCheckLimit. + 208f0 00001340 02231608 53657276 69636543 ...@.#..ServiceC + 20900 74780000 00040702 23180003 0000380f tx......#.....8. + 20910 04001304 0000398c 18454e44 504f494e ......9..ENDPOIN + 20920 545f554e 55534544 00ffffff ff0d454e T_UNUSED......EN + 20930 44504f49 4e543000 000d454e 44504f49 DPOINT0...ENDPOI + 20940 4e543100 010d454e 44504f49 4e543200 NT1...ENDPOINT2. + 20950 020d454e 44504f49 4e543300 030d454e ..ENDPOINT3...EN + 20960 44504f49 4e543400 040d454e 44504f49 DPOINT4...ENDPOI + 20970 4e543500 050d454e 44504f49 4e543600 NT5...ENDPOINT6. + 20980 060d454e 44504f49 4e543700 070d454e ..ENDPOINT7...EN + 20990 44504f49 4e543800 080d454e 44504f49 DPOINT8...ENDPOI + 209a0 4e545f4d 41580016 00094854 435f454e NT_MAX....HTC_EN + 209b0 44504f49 4e545f49 44000000 38f50201 DPOINT_ID...8... + 209c0 03000039 a1040002 01030000 39aa0400 ...9........9... + 209d0 03000001 12040006 0000132c 01030000 ...........,.... + 209e0 39ba0400 03000038 0f040007 5f485443 9......8...._HTC + 209f0 5f434f4e 46494700 1400003a 3f084372 _CONFIG....:?.Cr + 20a00 65646974 53697a65 00000001 12022300 editSize......#. + 20a10 08437265 6469744e 756d6265 72000000 .CreditNumber... + 20a20 01120223 04084f53 48616e64 6c650000 ...#..OSHandle.. + 20a30 001a9602 23080848 49464861 6e646c65 ....#..HIFHandle + 20a40 00000028 ff02230c 08506f6f 6c48616e ...(..#..PoolHan + 20a50 646c6500 0000369b 02231000 075f4854 dle...6..#..._HT + 20a60 435f4255 465f434f 4e544558 54000200 C_BUF_CONTEXT... + 20a70 003a7b08 656e645f 706f696e 74000000 .:{.end_point... + 20a80 132c0223 00086874 635f666c 61677300 .,.#..htc_flags. + 20a90 0000132c 02230100 09687463 5f68616e ...,.#...htc_han + 20aa0 646c655f 74000000 04070948 54435f53 dle_t......HTC_S + 20ab0 45545550 5f434f4d 504c4554 455f4342 ETUP_COMPLETE_CB + 20ac0 00000001 0b094854 435f434f 4e464947 ......HTC_CONFIG + 20ad0 00000039 ce030000 3aa80400 0600003a ...9....:......: + 20ae0 7b010300 003abf04 00020103 00003acc {....:........:. + 20af0 04000948 54435f53 45525649 43450000 ...HTC_SERVICE.. + 20b00 00380f03 00003ad5 04000201 0300003a .8....:........: + 20b10 ed040002 01030000 3af60400 02010300 ........:....... + 20b20 003aff04 00060000 01120103 00003b08 .:............;. + 20b30 04000768 74635f61 70697300 3400003c ...htc_apis.4..< + 20b40 85085f48 54435f49 6e697400 00003ac5 .._HTC_Init...:. + 20b50 02230008 5f485443 5f536875 74646f77 .#.._HTC_Shutdow + 20b60 6e000000 3ace0223 04085f48 54435f52 n...:..#.._HTC_R + 20b70 65676973 74657253 65727669 63650000 egisterService.. + 20b80 003aef02 2308085f 4854435f 52656164 .:..#.._HTC_Read + 20b90 79000000 3ace0223 0c085f48 54435f52 y...:..#.._HTC_R + 20ba0 65747572 6e427566 66657273 0000003a eturnBuffers...: + 20bb0 f8022310 085f4854 435f5265 7475726e ..#.._HTC_Return + 20bc0 42756666 6572734c 69737400 00003b01 BuffersList...;. + 20bd0 02231408 5f485443 5f53656e 644d7367 .#.._HTC_SendMsg + 20be0 0000003a f8022318 085f4854 435f4765 ...:..#.._HTC_Ge + 20bf0 74526573 65727665 64486561 64726f6f tReservedHeadroo + 20c00 6d000000 3b0e0223 1c085f48 54435f4d m...;..#.._HTC_M + 20c10 73675265 63764861 6e646c65 72000000 sgRecvHandler... + 20c20 28ac0223 20085f48 54435f53 656e6444 (..# ._HTC_SendD + 20c30 6f6e6548 616e646c 65720000 0028a302 oneHandler...(.. + 20c40 2324085f 4854435f 436f6e74 726f6c53 #$._HTC_ControlS + 20c50 76635072 6f636573 734d7367 00000039 vcProcessMsg...9 + 20c60 a3022328 085f4854 435f436f 6e74726f ..#(._HTC_Contro + 20c70 6c537663 50726f63 65737353 656e6443 lSvcProcessSendC + 20c80 6f6d706c 65746500 000039ac 02232c08 omplete...9..#,. + 20c90 70526573 65727665 64000000 04070223 pReserved......# + 20ca0 30000768 6f73745f 6170705f 61726561 0..host_app_area + 20cb0 5f730004 00003cb5 08776d69 5f70726f _s....<..wmi_pro + 20cc0 746f636f 6c5f7665 72000000 166b0223 tocol_ver....k.# + 20cd0 0000110e 00003cec 08647374 4d616300 ......<..dstMac. + 20ce0 00001cb7 02230008 7372634d 61630000 .....#..srcMac.. + 20cf0 001cb702 23060874 7970654f 724c656e ....#..typeOrLen + 20d00 0000001c fa02230c 000e0000 16d20300 ......#......... + 20d10 003cf90f 02001108 00003d49 08647361 .<........=I.dsa + 20d20 70000000 16d20223 00087373 61700000 p......#..ssap.. + 20d30 0016d202 23010863 6e746c00 000016d2 ....#..cntl..... + 20d40 02230208 6f726743 6f646500 00003cec .#..orgCode...<. + 20d50 02230308 65746865 72547970 65000000 .#..etherType... + 20d60 1cfa0223 06001102 00003d6a 08727373 ...#......=j.rss + 20d70 69000000 1c500223 0008696e 666f0000 i....P.#..info.. + 20d80 0016d202 23010011 0400003d 9108636f ....#......=..co + 20d90 6d6d616e 64496400 00001cfa 02230008 mmandId......#.. + 20da0 7365714e 6f000000 1cfa0223 02000e00 seqNo......#.... + 20db0 0016d201 00003d9e 0f000011 0200003d ......=........= + 20dc0 c5086d73 6753697a 65000000 16d20223 ..msgSize......# + 20dd0 00086d73 67446174 61000000 3d910223 ..msgData...=..# + 20de0 01001108 00003e0c 08616464 72657373 ......>..address + 20df0 4c000000 1cfa0223 00086164 64726573 L......#..addres + 20e00 73480000 001cfa02 23020876 616c7565 sH......#..value + 20e10 4c000000 1cfa0223 04087661 6c756548 L......#..valueH + 20e20 0000001c fa022306 0009574d 495f4156 ......#...WMI_AV + 20e30 54000000 3dc50e00 003e0c08 00003e26 T...=....>....>& + 20e40 0f000011 0c00003e 5d087475 706c654e .......>].tupleN + 20e50 756d4c00 00001cfa 02230008 7475706c umL......#..tupl + 20e60 654e756d 48000000 1cfa0223 02086176 eNumH......#..av + 20e70 74000000 3e190223 04001101 00003e7f t...>..#......>. + 20e80 08626561 636f6e50 656e6469 6e67436f .beaconPendingCo + 20e90 756e7400 000016d2 02230000 075f574d unt......#..._WM + 20ea0 495f5356 435f434f 4e464947 00100000 I_SVC_CONFIG.... + 20eb0 3ee80848 74634861 6e646c65 0000003a >..HtcHandle...: + 20ec0 7b022300 08506f6f 6c48616e 646c6500 {.#..PoolHandle. + 20ed0 0000369b 02230408 4d617843 6d645265 ..6..#..MaxCmdRe + 20ee0 706c7945 76747300 00000112 02230808 plyEvts......#.. + 20ef0 4d617845 76656e74 45767473 00000001 MaxEventEvts.... + 20f00 1202230c 00020103 00003ee8 04000957 ..#.......>....W + 20f10 4d495f43 4d445f48 414e444c 45520000 MI_CMD_HANDLER.. + 20f20 003eea07 5f574d49 5f444953 50415443 .>.._WMI_DISPATC + 20f30 485f454e 54525900 0800003f 51087043 H_ENTRY....?Q.pC + 20f40 6d644861 6e646c65 72000000 3ef10223 mdHandler...>..# + 20f50 0008436d 64494400 00001340 02230408 ..CmdID....@.#.. + 20f60 466c6167 73000000 13400223 0600075f Flags....@.#..._ + 20f70 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB + 20f80 4c450010 00003fb2 08704e65 78740000 LE....?..pNext.. + 20f90 003fb202 23000870 436f6e74 65787400 .?..#..pContext. + 20fa0 00000407 02230408 4e756d62 65724f66 .....#..NumberOf + 20fb0 456e7472 69657300 00000112 02230808 Entries......#.. + 20fc0 70546162 6c650000 003fd102 230c0003 pTable...?..#... + 20fd0 00003f51 04000957 4d495f44 49535041 ..?Q...WMI_DISPA + 20fe0 5443485f 454e5452 59000000 3f060300 TCH_ENTRY...?... + 20ff0 003fb904 00030000 3f510400 09485443 .?......?Q...HTC + 21000 5f425546 5f434f4e 54455854 0000003a _BUF_CONTEXT...: + 21010 3f0c574d 495f4556 545f434c 41535300 ?.WMI_EVT_CLASS. + 21020 04000040 6918574d 495f4556 545f434c ...@i.WMI_EVT_CL + 21030 4153535f 4e4f4e45 00ffffff ff0d574d ASS_NONE......WM + 21040 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 21050 4556454e 5400000d 574d495f 4556545f EVENT...WMI_EVT_ + 21060 434c4153 535f434d 445f5245 504c5900 CLASS_CMD_REPLY. + 21070 010d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 21080 4d415800 02000957 4d495f45 56545f43 MAX....WMI_EVT_C + 21090 4c415353 0000003f f4075f57 4d495f42 LASS...?.._WMI_B + 210a0 55465f43 4f4e5445 5854000c 000040c7 UF_CONTEXT....@. + 210b0 08487463 42756643 74780000 003fdf02 .HtcBufCtx...?.. + 210c0 23000845 76656e74 436c6173 73000000 #..EventClass... + 210d0 40690223 0408466c 61677300 00001340 @i.#..Flags....@ + 210e0 02230800 09776d69 5f68616e 646c655f .#...wmi_handle_ + 210f0 74000000 04070957 4d495f53 56435f43 t......WMI_SVC_C + 21100 4f4e4649 47000000 3e7f0300 0040d904 ONFIG...>....@.. + 21110 00060000 40c70103 000040f4 04000957 ....@.....@....W + 21120 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 21130 45000000 3f510300 00410104 00020103 E...?Q...A...... + 21140 00004120 04000600 00269701 03000041 ..A .....&.....A + 21150 29040002 01030000 41360400 06000001 ).......A6...... + 21160 12010300 00413f04 00020103 0000414c .....A?.......AL + 21170 04000600 00132c01 03000041 55040007 ......,....AU... + 21180 5f776d69 5f737663 5f617069 73002c00 _wmi_svc_apis.,. + 21190 00429d08 5f574d49 5f496e69 74000000 .B.._WMI_Init... + 211a0 40fa0223 00085f57 4d495f52 65676973 @..#.._WMI_Regis + 211b0 74657244 69737061 74636854 61626c65 terDispatchTable + 211c0 00000041 22022304 085f574d 495f416c ...A".#.._WMI_Al + 211d0 6c6f6345 76656e74 00000041 2f022308 locEvent...A/.#. + 211e0 085f574d 495f5365 6e644576 656e7400 ._WMI_SendEvent. + 211f0 00004138 02230c08 5f574d49 5f476574 ..A8.#.._WMI_Get + 21200 50656e64 696e6745 76656e74 73436f75 PendingEventsCou + 21210 6e740000 00414502 2310085f 574d495f nt...AE.#.._WMI_ + 21220 53656e64 436f6d70 6c657465 48616e64 SendCompleteHand + 21230 6c657200 000039ac 02231408 5f574d49 ler...9..#.._WMI + 21240 5f476574 436f6e74 726f6c45 70000000 _GetControlEp... + 21250 41450223 18085f57 4d495f53 68757464 AE.#.._WMI_Shutd + 21260 6f776e00 0000414e 02231c08 5f574d49 own...AN.#.._WMI + 21270 5f526563 764d6573 73616765 48616e64 _RecvMessageHand + 21280 6c657200 000039a3 02232008 5f574d49 ler...9..# ._WMI + 21290 5f536572 76696365 436f6e6e 65637400 _ServiceConnect. + 212a0 0000415b 02232408 70526573 65727665 ..A[.#$.pReserve + 212b0 64000000 04070223 2800077a 73446d61 d......#(..zsDma + 212c0 44657363 00140000 431f0863 74726c00 Desc....C..ctrl. + 212d0 00000176 02230008 73746174 75730000 ...v.#..status.. + 212e0 00017602 23020874 6f74616c 4c656e00 ..v.#..totalLen. + 212f0 00000176 02230408 64617461 53697a65 ...v.#..dataSize + 21300 00000001 76022306 086c6173 74416464 ....v.#..lastAdd + 21310 72000000 431f0223 08086461 74614164 r...C..#..dataAd + 21320 64720000 00019a02 230c086e 65787441 dr......#..nextA + 21330 64647200 0000431f 02231000 03000042 ddr...C..#.....B + 21340 9d040003 0000429d 0400077a 73446d61 ......B....zsDma + 21350 51756575 65000800 00435f08 68656164 Queue....C_.head + 21360 00000043 26022300 08746572 6d696e61 ...C&.#..termina + 21370 746f7200 00004326 02230400 077a7354 tor...C&.#...zsT + 21380 78446d61 51756575 65001000 0043c308 xDmaQueue....C.. + 21390 68656164 00000043 26022300 08746572 head...C&.#..ter + 213a0 6d696e61 746f7200 00004326 02230408 minator...C&.#.. + 213b0 786d6974 65645f62 75665f68 65616400 xmited_buf_head. + 213c0 0000148b 02230808 786d6974 65645f62 .....#..xmited_b + 213d0 75665f74 61696c00 0000148b 02230c00 uf_tail......#.. + 213e0 02010300 0043c304 00030000 432d0400 .....C......C-.. + 213f0 02010300 0043d304 00030000 435f0400 .....C......C_.. + 21400 02010300 0043e304 00020103 000043ec .....C........C. + 21410 04000201 03000043 f5040006 0000148b .......C........ + 21420 01030000 43fe0400 02010300 00440b04 ....C........D.. + 21430 00060000 148b0103 00004414 04000201 ..........D..... + 21440 03000044 21040006 00000112 01030000 ...D!........... + 21450 442a0400 06000043 26010300 00443704 D*.....C&....D7. + 21460 00020103 00004444 04000764 6d615f65 ......DD...dma_e + 21470 6e67696e 655f6170 69004000 0045ba08 ngine_api.@..E.. + 21480 5f696e69 74000000 43c50223 00085f69 _init...C..#.._i + 21490 6e69745f 72785f71 75657565 00000043 nit_rx_queue...C + 214a0 d5022304 085f696e 69745f74 785f7175 ..#.._init_tx_qu + 214b0 65756500 000043e5 02230808 5f636f6e eue...C..#.._con + 214c0 6669675f 72785f71 75657565 00000043 fig_rx_queue...C + 214d0 ee02230c 085f786d 69745f62 75660000 ..#.._xmit_buf.. + 214e0 0043f702 2310085f 666c7573 685f786d .C..#.._flush_xm + 214f0 69740000 0043d502 2314085f 72656170 it...C..#.._reap + 21500 5f726563 765f6275 66000000 44040223 _recv_buf...D..# + 21510 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 21520 75660000 00440d02 231c085f 72656170 uf...D..#.._reap + 21530 5f786d69 7465645f 62756600 0000441a _xmited_buf...D. + 21540 02232008 5f737761 705f6461 74610000 .# ._swap_data.. + 21550 00442302 2324085f 6861735f 636f6d70 .D#.#$._has_comp + 21560 6c5f7061 636b6574 73000000 44300223 l_packets...D0.# + 21570 28085f64 6573635f 64756d70 00000043 (._desc_dump...C + 21580 d502232c 085f6765 745f7061 636b6574 ..#,._get_packet + 21590 00000044 3d022330 085f7265 636c6169 ...D=.#0._reclai + 215a0 6d5f7061 636b6574 00000044 46022334 m_packet...DF.#4 + 215b0 085f7075 745f7061 636b6574 00000044 ._put_packet...D + 215c0 46022338 08705265 73657276 65640000 F.#8.pReserved.. + 215d0 00040702 233c0009 5f415f63 6d6e6f73 ....#<.._A_cmnos + 215e0 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 215f0 6c655f74 00000030 f909574d 495f5356 le_t...0..WMI_SV + 21600 435f4150 49530000 00416216 5f415f6d C_APIS...Ab._A_m + 21610 61677069 655f696e 64697265 6374696f agpie_indirectio + 21620 6e5f7461 626c6500 034c0000 46e80863 n_table..L..F..c + 21630 6d6e6f73 00000045 ba022300 08646267 mnos...E..#..dbg + 21640 00000003 d40323b8 03086869 66000000 ......#...hif... + 21650 29a20323 c0030868 74630000 003b1503 )..#...htc...;.. + 21660 23f80308 776d695f 7376635f 61706900 #...wmi_svc_api. + 21670 000045dc 0323ac04 08757362 6669666f ..E..#...usbfifo + 21680 5f617069 00000032 cd0323d8 04086275 _api...2..#...bu + 21690 665f706f 6f6c0000 00377603 23e40408 f_pool...7v.#... + 216a0 76627566 00000014 b5032380 05087664 vbuf......#...vd + 216b0 65736300 00001397 03239405 08616c6c esc......#...all + 216c0 6f637261 6d000000 098d0323 a8050864 ocram......#...d + 216d0 6d615f65 6e67696e 65000000 444d0323 ma_engine...DM.# + 216e0 b4050864 6d615f6c 69620000 002c3603 ...dma_lib...,6. + 216f0 23f40508 6869665f 70636900 00002e96 #...hif_pci..... + 21700 0323a806 00095f41 5f6d6167 7069655f .#...._A_magpie_ + 21710 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 21720 655f7400 000045ee 11100000 473e0861 e_t...E.....G>.a + 21730 30000000 01af0223 00086131 00000001 0......#..a1.... + 21740 af022304 08613200 000001af 02230808 ..#..a2......#.. + 21750 61330000 0001af02 230c000e 0000470b a3......#.....G. + 21760 50000047 4b0f0400 07585465 6e73615f P..GK....XTensa_ + 21770 65786365 7074696f 6e5f6672 616d655f exception_frame_ + 21780 73008000 00482f08 78745f70 63000000 s....H/.xt_pc... + 21790 01af0223 00087874 5f707300 000001af ...#..xt_ps..... + 217a0 02230408 78745f73 61720000 0001af02 .#..xt_sar...... + 217b0 23080878 745f7670 72690000 0001af02 #..xt_vpri...... + 217c0 230c0878 745f6132 00000001 af022310 #..xt_a2......#. + 217d0 0878745f 61330000 0001af02 23140878 .xt_a3......#..x + 217e0 745f6134 00000001 af022318 0878745f t_a4......#..xt_ + 217f0 61350000 0001af02 231c0878 745f6578 a5......#..xt_ex + 21800 63636175 73650000 0001af02 23200878 ccause......# .x + 21810 745f6c63 6f756e74 00000001 af022324 t_lcount......#$ + 21820 0878745f 6c626567 00000001 af022328 .xt_lbeg......#( + 21830 0878745f 6c656e64 00000001 af02232c .xt_lend......#, + 21840 08776200 0000473e 02233000 09435055 .wb...G>.#0..CPU + 21850 5f657863 65707469 6f6e5f66 72616d65 _exception_frame + 21860 5f740000 00474b03 000001af 04001900 _t...GK......... + 21870 0001121a 6173736c 6f6f7000 00004851 ....assloop...HQ + 21880 05030050 06f8011a 61737370 72696e74 ...P....assprint + 21890 00000001 12050300 5006fc01 0e000001 ........P....... + 218a0 19370000 488c0f36 00030000 487f0400 .7..H..6....H... + 218b0 1a637572 72656e74 5f64756d 70000000 .current_dump... + 218c0 05130503 00500a28 010e0000 01193400 .....P.(......4. + 218d0 0048b90f 33000300 0048ac04 000e0000 .H..3....H...... + 218e0 01191600 0048cd0f 15000300 0048c004 .....H.......H.. + 218f0 000e0000 01190c00 0048e10f 0b000300 .........H...... + 21900 0048d404 000e0000 01190200 0048f50f .H...........H.. + 21910 01000300 0048e804 000e0000 01190800 .....H.......... + 21920 0049090f 07000300 0048fc04 00190000 .I.......H...... + 21930 019a1900 00019a03 00004915 04001b00 ..........I..... + 21940 00018c01 0000492d 1c000300 0005bd04 ......I-........ + 21950 0002011d 0115636d 6e6f735f 73797374 ......cmnos_syst + 21960 656d5f72 65736574 00010103 92012002 em_reset...... . + 21970 9000008e 22d8008e 22e91d01 2c636d6e ...."..."...,cmn + 21980 6f735f6d 61635f72 65736574 00010103 os_mac_reset.... + 21990 92012002 9000008e 22ec008e 22f11e01 .. ....."..."... + 219a0 3a636d6e 6f735f6d 6973616c 69676e65 :cmnos_misaligne + 219b0 645f6c6f 61645f68 616e646c 65720001 d_load_handler.. + 219c0 01039201 20029000 008e22f4 008e2345 .... ....."...#E + 219d0 000049c6 1f013a64 756d7000 00000513 ..I...:dump..... + 219e0 0152001e 015a636d 6e6f735f 61737366 .R...Zcmnos_assf + 219f0 61696c00 01010392 01200290 00008e23 ail...... .....# + 21a00 48008e23 b400004a 111f015a 64756d70 H..#...J...Zdump + 21a10 00000005 13015220 74617267 65745f69 ......R target_i + 21a20 64000000 09722069 00000004 0a001e01 d....r i........ + 21a30 86636d6e 6f735f72 65706f72 745f6661 .cmnos_report_fa + 21a40 696c7572 655f746f 5f686f73 74000101 ilure_to_host... + 21a50 03920120 02900000 8e23b400 8e23b900 ... .....#...#.. + 21a60 004a621f 01866475 6d700000 00051301 .Jb...dump...... + 21a70 521f0186 6c656e00 00000112 01530021 R...len......S.! + 21a80 018f636d 6e6f735f 74617267 65745f69 ..cmnos_target_i + 21a90 645f6765 74000000 01120101 03920120 d_get.......... + 21aa0 02900000 8e23bc00 8e23c122 0198636d .....#...#."..cm + 21ab0 6e6f735f 6765745f 6b626869 74000000 nos_get_kbhit... + 21ac0 03300101 03920130 02900000 8e23c400 .0.....0.....#.. + 21ad0 8e23f100 004ae91f 01986465 6c617900 .#...J....delay. + 21ae0 00000330 0152206c 6173745f 63636f75 ...0.R last_ccou + 21af0 6e740000 0001af23 6b626869 74000000 nt.....#kbhit... + 21b00 03300291 50002201 b0636d6e 6f735f69 .0..P."..cmnos_i + 21b10 735f686f 73745f70 72657365 6e740000 s_host_present.. + 21b20 00058801 01039201 20029000 008e23f4 ........ .....#. + 21b30 008e2413 00004b31 206d4869 66000000 ..$...K1 mHif... + 21b40 0588206d 44617461 00000001 af002101 .. mData......!. + 21b50 e0636d6e 6f735f72 6f6d5f76 65727369 .cmnos_rom_versi + 21b60 6f6e5f67 65740000 00018c01 01039201 on_get.......... + 21b70 20029000 008e2414 008e241f 2401eb63 .....$...$.$..c + 21b80 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module + 21b90 5f696e73 74616c6c 00010103 92012002 _install...... . + 21ba0 9000008e 2420008e 244d1f01 eb74626c ....$ ..$M...tbl + 21bb0 00000049 2d015200 00000000 4a630002 ...I-.R.....Jc.. + 21bc0 00000d2d 04012f72 6f6f742f 576f726b ...-../root/Work + 21bd0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 21be0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 21bf0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 21c00 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 21c10 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 21c20 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 21c30 2f737263 2f636d6e 6f735f70 72696e74 /src/cmnos_print + 21c40 662e6300 2f726f6f 742f576f 726b7370 f.c./root/Worksp + 21c50 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 21c60 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 21c70 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri + 21c80 6e746600 78742d78 63632066 6f722037 ntf.xt-xcc for 7 + 21c90 2e312e30 202d4f50 543a616c 69676e5f .1.0 -OPT:align_ + 21ca0 696e7374 72756374 696f6e73 3d333220 instructions=32 + 21cb0 2d4f3220 2d673320 2d4f5054 3a737061 -O2 -g3 -OPT:spa + 21cc0 63650001 0000007b d5020103 0000010f ce.....{........ + 21cd0 04000469 6e740005 04046368 61720007 ...int....char.. + 21ce0 01050000 011f0500 00011f03 0000012c ..............., + 21cf0 04000600 00011801 03000001 38040007 ............8... + 21d00 7072696e 74665f61 70690008 0000017c printf_api.....| + 21d10 085f7072 696e7466 5f696e69 74000000 ._printf_init... + 21d20 01110223 00085f70 72696e74 66000000 ...#.._printf... + 21d30 013e0223 04000473 686f7274 20756e73 .>.#...short uns + 21d40 69676e65 6420696e 74000702 0975696e igned int....uin + 21d50 7431365f 74000000 017c046c 6f6e6720 t16_t....|.long + 21d60 756e7369 676e6564 20696e74 00070409 unsigned int.... + 21d70 75696e74 33325f74 00000001 a0077561 uint32_t......ua + 21d80 72745f66 69666f00 08000002 0e087374 rt_fifo.......st + 21d90 6172745f 696e6465 78000000 01920223 art_index......# + 21da0 0008656e 645f696e 64657800 00000192 ..end_index..... + 21db0 02230208 6f766572 72756e5f 65727200 .#..overrun_err. + 21dc0 000001b5 02230400 07756172 745f6170 .....#...uart_ap + 21dd0 69002000 0002c708 5f756172 745f696e i. ....._uart_in + 21de0 69740000 00031e02 2300085f 75617274 it......#.._uart + 21df0 5f636861 725f7075 74000000 03450223 _char_put....E.# + 21e00 04085f75 6172745f 63686172 5f676574 .._uart_char_get + 21e10 00000003 59022308 085f7561 72745f73 ....Y.#.._uart_s + 21e20 74725f6f 75740000 00036202 230c085f tr_out....b.#.._ + 21e30 75617274 5f746173 6b000000 01110223 uart_task......# + 21e40 10085f75 6172745f 73746174 75730000 .._uart_status.. + 21e50 00031e02 2314085f 75617274 5f636f6e ....#.._uart_con + 21e60 66696700 0000036b 02231808 5f756172 fig....k.#.._uar + 21e70 745f6877 696e6974 00000003 7402231c t_hwinit....t.#. + 21e80 00030000 020e0400 07756172 745f626c .........uart_bl + 21e90 6b001000 00031808 64656275 675f6d6f k.......debug_mo + 21ea0 64650000 00019202 23000862 61756400 de......#..baud. + 21eb0 00000192 02230208 5f756172 74000000 .....#.._uart... + 21ec0 02c70223 04085f74 78000000 01c30223 ...#.._tx......# + 21ed0 08000600 0001b501 03000003 18040004 ................ + 21ee0 756e7369 676e6564 20636861 72000701 unsigned char... + 21ef0 0975696e 74385f74 00000003 25020103 .uint8_t....%... + 21f00 00000343 04000300 00033604 00060000 ...C......6..... + 21f10 01920103 00000353 04000201 03000003 .......S........ + 21f20 60040002 01030000 03690400 02010300 `........i...... + 21f30 00037204 00030000 011f0400 06000001 ..r............. + 21f40 18010300 00038204 00074442 5f434f4d ..........DB_COM + 21f50 4d414e44 5f535452 55435400 0c000003 MAND_STRUCT..... + 21f60 da08636d 645f7374 72000000 037b0223 ..cmd_str....{.# + 21f70 00086865 6c705f73 74720000 00037b02 ..help_str....{. + 21f80 23040863 6d645f66 756e6300 00000388 #..cmd_func..... + 21f90 02230800 07646267 5f617069 00080000 .#...dbg_api.... + 21fa0 040d085f 6462675f 696e6974 00000001 ..._dbg_init.... + 21fb0 11022300 085f6462 675f7461 736b0000 ..#.._dbg_task.. + 21fc0 00011102 2304000a 04000475 6e736967 ....#......unsig + 21fd0 6e656420 696e7400 07040600 00040d01 ned int......... + 21fe0 03000004 2004000b 0b030000 042e0400 .... ........... + 21ff0 06000004 0d010300 00043604 00060000 ..........6..... + 22000 01180103 00000443 0400076d 656d5f61 .......C...mem_a + 22010 70690014 000004b2 085f6d65 6d5f696e pi......._mem_in + 22020 69740000 00011102 2300085f 6d656d73 it......#.._mems + 22030 65740000 00042602 2304085f 6d656d63 et....&.#.._memc + 22040 70790000 00043c02 2308085f 6d656d6d py....<.#.._memm + 22050 6f766500 0000043c 02230c08 5f6d656d ove....<.#.._mem + 22060 636d7000 00000449 02231000 0c726567 cmp....I.#...reg + 22070 69737465 725f6475 6d705f73 00000103 ister_dump_s.... + 22080 000004b2 04000201 03000004 cc040002 ................ + 22090 01030000 04d50400 06000001 18010300 ................ + 220a0 0004de04 000d686f 73746966 5f730004 ......hostif_s.. + 220b0 0000053a 0e484946 5f555342 00000e48 ...:.HIF_USB...H + 220c0 49465f50 43494500 010e4849 465f474d IF_PCIE...HIF_GM + 220d0 41430002 0e484946 5f504349 00030e48 AC...HIF_PCI...H + 220e0 49465f4e 554d0004 0e484946 5f4e4f4e IF_NUM...HIF_NON + 220f0 45000500 09415f48 4f535449 46000000 E....A_HOSTIF... + 22100 04eb0600 00053a01 03000005 48040006 ......:.....H... + 22110 00000336 01030000 05550400 06000001 ...6.....U...... + 22120 92010300 00056204 00076d69 73635f61 ......b...misc_a + 22130 70690024 00000652 085f7379 7374656d pi.$...R._system + 22140 5f726573 65740000 00011102 2300085f _reset......#.._ + 22150 6d61635f 72657365 74000000 01110223 mac_reset......# + 22160 04085f61 73736661 696c0000 0004ce02 .._assfail...... + 22170 2308085f 6d697361 6c69676e 65645f6c #.._misaligned_l + 22180 6f61645f 68616e64 6c657200 000004ce oad_handler..... + 22190 02230c08 5f726570 6f72745f 6661696c .#.._report_fail + 221a0 7572655f 746f5f68 6f737400 000004d7 ure_to_host..... + 221b0 02231008 5f746172 6765745f 69645f67 .#.._target_id_g + 221c0 65740000 0004e402 2314085f 69735f68 et......#.._is_h + 221d0 6f73745f 70726573 656e7400 0000054e ost_present....N + 221e0 02231808 5f6b6268 69740000 00055b02 .#.._kbhit....[. + 221f0 231c085f 726f6d5f 76657273 696f6e5f #.._rom_version_ + 22200 67657400 00000568 02232000 06000003 get....h.# ..... + 22210 7b010300 00065204 00060000 037b0103 {.....R......{.. + 22220 0000065f 04000600 00011801 03000006 ..._............ + 22230 6c040006 00000118 01030000 06790400 l............y.. + 22240 06000001 18010300 00068604 00077374 ..............st + 22250 72696e67 5f617069 00180000 070c085f ring_api......._ + 22260 73747269 6e675f69 6e697400 00000111 string_init..... + 22270 02230008 5f737472 63707900 00000658 .#.._strcpy....X + 22280 02230408 5f737472 6e637079 00000006 .#.._strncpy.... + 22290 65022308 085f7374 726c656e 00000006 e.#.._strlen.... + 222a0 7202230c 085f7374 72636d70 00000006 r.#.._strcmp.... + 222b0 7f022310 085f7374 726e636d 70000000 ..#.._strncmp... + 222c0 068c0223 14000f00 00041014 00000719 ...#............ + 222d0 10040009 5f415f54 494d4552 5f535041 ...._A_TIMER_SPA + 222e0 43450000 00070c09 415f7469 6d65725f CE......A_timer_ + 222f0 74000000 07190300 00072d04 00020103 t.........-..... + 22300 00000743 04000201 03000007 4c040009 ...C........L... + 22310 415f4841 4e444c45 00000004 10020109 A_HANDLE........ + 22320 415f5449 4d45525f 46554e43 00000007 A_TIMER_FUNC.... + 22330 63030000 07650400 02010300 00077e04 c....e........~. + 22340 00077469 6d65725f 61706900 14000007 ..timer_api..... + 22350 fd085f74 696d6572 5f696e69 74000000 .._timer_init... + 22360 01110223 00085f74 696d6572 5f61726d ...#.._timer_arm + 22370 00000007 45022304 085f7469 6d65725f ....E.#.._timer_ + 22380 64697361 726d0000 00074e02 2308085f disarm....N.#.._ + 22390 74696d65 725f7365 74666e00 00000780 timer_setfn..... + 223a0 02230c08 5f74696d 65725f72 756e0000 .#.._timer_run.. + 223b0 00011102 23100009 424f4f4c 45414e00 ....#...BOOLEAN. + 223c0 00000192 06000007 fd010300 00080a04 ................ + 223d0 00060000 07fd0103 00000817 04000600 ................ + 223e0 0007fd01 03000008 24040007 726f6d70 ........$...romp + 223f0 5f617069 00100000 0896085f 726f6d70 _api......._romp + 22400 5f696e69 74000000 01110223 00085f72 _init......#.._r + 22410 6f6d705f 646f776e 6c6f6164 00000008 omp_download.... + 22420 10022304 085f726f 6d705f69 6e737461 ..#.._romp_insta + 22430 6c6c0000 00081d02 2308085f 726f6d70 ll......#.._romp + 22440 5f646563 6f646500 0000082a 02230c00 _decode....*.#.. + 22450 07726f6d 5f706174 63685f73 74001000 .rom_patch_st... + 22460 0008f208 63726331 36000000 01920223 ....crc16......# + 22470 00086c65 6e000000 01920223 02086c64 ..len......#..ld + 22480 5f616464 72000000 01b50223 04086675 _addr......#..fu + 22490 6e5f6164 64720000 0001b502 23080870 n_addr......#..p + 224a0 66756e00 0000034c 02230c00 07656570 fun....L.#...eep + 224b0 5f726564 69725f61 64647200 04000009 _redir_addr..... + 224c0 24086f66 66736574 00000001 92022300 $.offset......#. + 224d0 0873697a 65000000 01920223 02000941 .size......#...A + 224e0 5f55494e 54333200 00000410 06000004 _UINT32......... + 224f0 0d010300 00093204 0007616c 6c6f6372 ......2...allocr + 22500 616d5f61 7069000c 000009a3 08636d6e am_api.......cmn + 22510 6f735f61 6c6c6f63 72616d5f 696e6974 os_allocram_init + 22520 00000009 38022300 08636d6e 6f735f61 ....8.#..cmnos_a + 22530 6c6c6f63 72616d00 00000938 02230408 llocram....8.#.. + 22540 636d6e6f 735f616c 6c6f6372 616d5f64 cmnos_allocram_d + 22550 65627567 00000001 11022308 00020103 ebug......#..... + 22560 000009a3 04000941 5f544153 4b4c4554 .......A_TASKLET + 22570 5f46554e 43000000 09a5075f 7461736b _FUNC......_task + 22580 6c657400 1000000a 04086675 6e630000 let.......func.. + 22590 0009ac02 23000861 72670000 00040d02 ....#..arg...... + 225a0 23040873 74617465 00000001 18022308 #..state......#. + 225b0 086e6578 74000000 0a040223 0c000300 .next......#.... + 225c0 0009c004 00030000 09c00400 09415f74 .............A_t + 225d0 61736b6c 65745f74 00000009 c0030000 asklet_t........ + 225e0 0a120400 02010300 000a2a04 00020103 ..........*..... + 225f0 00000a33 04000774 61736b6c 65745f61 ...3...tasklet_a + 22600 70690014 00000ac8 085f7461 736b6c65 pi......._taskle + 22610 745f696e 69740000 00011102 2300085f t_init......#.._ + 22620 7461736b 6c65745f 696e6974 5f746173 tasklet_init_tas + 22630 6b000000 0a2c0223 04085f74 61736b6c k....,.#.._taskl + 22640 65745f64 69736162 6c650000 000a3502 et_disable....5. + 22650 2308085f 7461736b 6c65745f 73636865 #.._tasklet_sche + 22660 64756c65 0000000a 3502230c 085f7461 dule....5.#.._ta + 22670 736b6c65 745f7275 6e000000 01110223 sklet_run......# + 22680 10000201 0300000a c8040006 00000924 ...............$ + 22690 01030000 0ad10400 02010300 000ade04 ................ + 226a0 0007636c 6f636b5f 61706900 2400000b ..clock_api.$... + 226b0 c0085f63 6c6f636b 5f696e69 74000000 .._clock_init... + 226c0 0aca0223 00085f63 6c6f636b 72656773 ...#.._clockregs + 226d0 5f696e69 74000000 01110223 04085f75 _init......#.._u + 226e0 6172745f 66726571 75656e63 79000000 art_frequency... + 226f0 0ad70223 08085f64 656c6179 5f757300 ...#.._delay_us. + 22700 00000ae0 02230c08 5f776c61 6e5f6261 .....#.._wlan_ba + 22710 6e645f73 65740000 000ae002 2310085f nd_set......#.._ + 22720 72656663 6c6b5f73 70656564 5f676574 refclk_speed_get + 22730 0000000a d7022314 085f6d69 6c6c6973 ......#.._millis + 22740 65636f6e 64730000 000ad702 2318085f econds......#.._ + 22750 73797363 6c6b5f63 68616e67 65000000 sysclk_change... + 22760 01110223 1c085f63 6c6f636b 5f746963 ...#.._clock_tic + 22770 6b000000 01110223 20000600 0001b501 k......# ....... + 22780 0300000b c0040009 415f6f6c 645f696e ........A_old_in + 22790 74725f74 00000001 b5060000 0bcd0103 tr_t............ + 227a0 00000bdf 04000201 0300000b ec040002 ................ + 227b0 01030000 0bf50400 06000001 b5010300 ................ + 227c0 000bfe04 0009415f 6973725f 74000000 ......A_isr_t... + 227d0 0c040201 0300000c 18040006 00000410 ................ + 227e0 01030000 0c210400 02010300 000c2e04 .....!.......... + 227f0 0007696e 74725f61 7069002c 00000d50 ..intr_api.,...P + 22800 085f696e 74725f69 6e697400 00000111 ._intr_init..... + 22810 02230008 5f696e74 725f696e 766f6b65 .#.._intr_invoke + 22820 5f697372 0000000b c6022304 085f696e _isr......#.._in + 22830 74725f64 69736162 6c650000 000be502 tr_disable...... + 22840 2308085f 696e7472 5f726573 746f7265 #.._intr_restore + 22850 0000000b ee02230c 085f696e 74725f6d ......#.._intr_m + 22860 61736b5f 696e756d 0000000b f7022310 ask_inum......#. + 22870 085f696e 74725f75 6e6d6173 6b5f696e ._intr_unmask_in + 22880 756d0000 000bf702 2314085f 696e7472 um......#.._intr + 22890 5f617474 6163685f 69737200 00000c1a _attach_isr..... + 228a0 02231808 5f676574 5f696e74 72656e61 .#.._get_intrena + 228b0 626c6500 00000c27 02231c08 5f736574 ble....'.#.._set + 228c0 5f696e74 72656e61 626c6500 00000c30 _intrenable....0 + 228d0 02232008 5f676574 5f696e74 7270656e .# ._get_intrpen + 228e0 64696e67 0000000c 27022324 085f756e ding....'.#$._un + 228f0 626c6f63 6b5f616c 6c5f696e 74726c76 block_all_intrlv + 22900 6c000000 01110223 28001104 00000d76 l......#(......v + 22910 0874696d 656f7574 00000001 b5022300 .timeout......#. + 22920 08616374 696f6e00 000001b5 02230000 .action......#.. + 22930 12080000 0d910863 6d640000 0001b502 .......cmd...... + 22940 23001300 000d5002 23040009 545f5744 #.....P.#...T_WD + 22950 545f434d 44000000 0d760201 0300000d T_CMD....v...... + 22960 a0040014 0400000d f60e454e 554d5f57 ..........ENUM_W + 22970 44545f42 4f4f5400 010e454e 554d5f43 DT_BOOT...ENUM_C + 22980 4f4c445f 424f4f54 00020e45 4e554d5f OLD_BOOT...ENUM_ + 22990 53555350 5f424f4f 5400030e 454e554d SUSP_BOOT...ENUM + 229a0 5f554e4b 4e4f574e 5f424f4f 54000400 _UNKNOWN_BOOT... + 229b0 09545f42 4f4f545f 54595045 0000000d .T_BOOT_TYPE.... + 229c0 a9060000 0df60103 00000e07 04000777 ...............w + 229d0 64745f61 7069001c 00000eab 085f7764 dt_api......._wd + 229e0 745f696e 69740000 00011102 2300085f t_init......#.._ + 229f0 7764745f 656e6162 6c650000 00011102 wdt_enable...... + 22a00 2304085f 7764745f 64697361 626c6500 #.._wdt_disable. + 22a10 00000111 02230808 5f776474 5f736574 .....#.._wdt_set + 22a20 0000000d a202230c 085f7764 745f7461 ......#.._wdt_ta + 22a30 736b0000 00011102 2310085f 7764745f sk......#.._wdt_ + 22a40 72657365 74000000 01110223 14085f77 reset......#.._w + 22a50 64745f6c 6173745f 626f6f74 0000000e dt_last_boot.... + 22a60 0d022318 00140400 000f120e 5245545f ..#.........RET_ + 22a70 53554343 45535300 000e5245 545f4e4f SUCCESS...RET_NO + 22a80 545f494e 49540001 0e524554 5f4e4f54 T_INIT...RET_NOT + 22a90 5f455849 53540002 0e524554 5f454550 _EXIST...RET_EEP + 22aa0 5f434f52 52555054 00030e52 45545f45 _CORRUPT...RET_E + 22ab0 45505f4f 56455246 4c4f5700 040e5245 EP_OVERFLOW...RE + 22ac0 545f554e 4b4e4f57 4e000500 09545f45 T_UNKNOWN....T_E + 22ad0 45505f52 45540000 000eab03 00000192 EP_RET.......... + 22ae0 04000600 000f1201 0300000f 28040006 ............(... + 22af0 00000f12 01030000 0f350400 07656570 .........5...eep + 22b00 5f617069 00100000 0f9e085f 6565705f _api......._eep_ + 22b10 696e6974 00000001 11022300 085f6565 init......#.._ee + 22b20 705f7265 61640000 000f2e02 2304085f p_read......#.._ + 22b30 6565705f 77726974 65000000 0f2e0223 eep_write......# + 22b40 08085f65 65705f69 735f6578 69737400 .._eep_is_exist. + 22b50 00000f3b 02230c00 07757362 5f617069 ...;.#...usb_api + 22b60 00700000 124b085f 7573625f 696e6974 .p...K._usb_init + 22b70 00000001 11022300 085f7573 625f726f ......#.._usb_ro + 22b80 6d5f7461 736b0000 00011102 2304085f m_task......#.._ + 22b90 7573625f 66775f74 61736b00 00000111 usb_fw_task..... + 22ba0 02230808 5f757362 5f696e69 745f7068 .#.._usb_init_ph + 22bb0 79000000 01110223 0c085f75 73625f65 y......#.._usb_e + 22bc0 70305f73 65747570 00000001 11022310 p0_setup......#. + 22bd0 085f7573 625f6570 305f7478 00000001 ._usb_ep0_tx.... + 22be0 11022314 085f7573 625f6570 305f7278 ..#.._usb_ep0_rx + 22bf0 00000001 11022318 085f7573 625f6765 ......#.._usb_ge + 22c00 745f696e 74657266 61636500 0000081d t_interface..... + 22c10 02231c08 5f757362 5f736574 5f696e74 .#.._usb_set_int + 22c20 65726661 63650000 00081d02 2320085f erface......# ._ + 22c30 7573625f 6765745f 636f6e66 69677572 usb_get_configur + 22c40 6174696f 6e000000 081d0223 24085f75 ation......#$._u + 22c50 73625f73 65745f63 6f6e6669 67757261 sb_set_configura + 22c60 74696f6e 00000008 1d022328 085f7573 tion......#(._us + 22c70 625f7374 616e6461 72645f63 6d640000 b_standard_cmd.. + 22c80 00081d02 232c085f 7573625f 76656e64 ....#,._usb_vend + 22c90 6f725f63 6d640000 00011102 2330085f or_cmd......#0._ + 22ca0 7573625f 706f7765 725f6f66 66000000 usb_power_off... + 22cb0 01110223 34085f75 73625f72 65736574 ...#4._usb_reset + 22cc0 5f666966 6f000000 01110223 38085f75 _fifo......#8._u + 22cd0 73625f67 656e5f77 64740000 00011102 sb_gen_wdt...... + 22ce0 233c085f 7573625f 6a756d70 5f626f6f #<._usb_jump_boo + 22cf0 74000000 01110223 40085f75 73625f63 t......#@._usb_c + 22d00 6c725f66 65617475 72650000 00081d02 lr_feature...... + 22d10 2344085f 7573625f 7365745f 66656174 #D._usb_set_feat + 22d20 75726500 0000081d 02234808 5f757362 ure......#H._usb + 22d30 5f736574 5f616464 72657373 00000008 _set_address.... + 22d40 1d02234c 085f7573 625f6765 745f6465 ..#L._usb_get_de + 22d50 73637269 70746f72 00000008 1d022350 scriptor......#P + 22d60 085f7573 625f6765 745f7374 61747573 ._usb_get_status + 22d70 00000008 1d022354 085f7573 625f7365 ......#T._usb_se + 22d80 7475705f 64657363 00000001 11022358 tup_desc......#X + 22d90 085f7573 625f7265 675f6f75 74000000 ._usb_reg_out... + 22da0 01110223 5c085f75 73625f73 74617475 ...#\._usb_statu + 22db0 735f696e 00000001 11022360 085f7573 s_in......#`._us + 22dc0 625f6570 305f7478 5f646174 61000000 b_ep0_tx_data... + 22dd0 01110223 64085f75 73625f65 70305f72 ...#d._usb_ep0_r + 22de0 785f6461 74610000 00011102 2368085f x_data......#h._ + 22df0 7573625f 636c6b5f 696e6974 00000001 usb_clk_init.... + 22e00 1102236c 00075f56 44455343 00240000 ..#l.._VDESC.$.. + 22e10 12d7086e 6578745f 64657363 00000012 ...next_desc.... + 22e20 d7022300 08627566 5f616464 72000000 ..#..buf_addr... + 22e30 12eb0223 04086275 665f7369 7a650000 ...#..buf_size.. + 22e40 0012f202 23080864 6174615f 6f666673 ....#..data_offs + 22e50 65740000 0012f202 230a0864 6174615f et......#..data_ + 22e60 73697a65 00000012 f202230c 08636f6e size......#..con + 22e70 74726f6c 00000012 f202230e 0868775f trol......#..hw_ + 22e80 64657363 5f627566 00000013 00022310 desc_buf......#. + 22e90 00030000 124b0400 09415f55 494e5438 .....K...A_UINT8 + 22ea0 00000003 25030000 12de0400 09415f55 ....%........A_U + 22eb0 494e5431 36000000 017c0f00 0012de14 INT16....|...... + 22ec0 0000130d 10130003 0000124b 04000956 ...........K...V + 22ed0 44455343 00000012 4b030000 13140400 DESC....K....... + 22ee0 06000013 1f010300 00132604 00060000 ..........&..... + 22ef0 12eb0103 00001333 04000201 03000013 .......3........ + 22f00 40040007 76646573 635f6170 69001400 @...vdesc_api... + 22f10 0013b808 5f696e69 74000000 0ae00223 ...._init......# + 22f20 00085f61 6c6c6f63 5f766465 73630000 .._alloc_vdesc.. + 22f30 00132c02 2304085f 6765745f 68775f64 ..,.#.._get_hw_d + 22f40 65736300 00001339 02230808 5f737761 esc....9.#.._swa + 22f50 705f7664 65736300 00001342 02230c08 p_vdesc....B.#.. + 22f60 70526573 65727665 64000000 040d0223 pReserved......# + 22f70 1000075f 56425546 00200000 14180864 ..._VBUF. .....d + 22f80 6573635f 6c697374 00000013 1f022300 esc_list......#. + 22f90 086e6578 745f6275 66000000 14180223 .next_buf......# + 22fa0 04086275 665f6c65 6e677468 00000012 ..buf_length.... + 22fb0 f2022308 08726573 65727665 64000000 ..#..reserved... + 22fc0 141f0223 0a086374 78000000 13000223 ...#..ctx......# + 22fd0 0c000300 0013b804 000f0000 12de0200 ................ + 22fe0 00142c10 01000300 0013b804 00095642 ..,...........VB + 22ff0 55460000 0013b803 00001433 04000600 UF.........3.... + 23000 00143d01 03000014 44040006 0000143d ..=.....D......= + 23010 01030000 14510400 02010300 00145e04 .....Q........^. + 23020 00077662 75665f61 70690014 000014dc ..vbuf_api...... + 23030 085f696e 69740000 000ae002 2300085f ._init......#.._ + 23040 616c6c6f 635f7662 75660000 00144a02 alloc_vbuf....J. + 23050 2304085f 616c6c6f 635f7662 75665f77 #.._alloc_vbuf_w + 23060 6974685f 73697a65 00000014 57022308 ith_size....W.#. + 23070 085f6672 65655f76 62756600 00001460 ._free_vbuf....` + 23080 02230c08 70526573 65727665 64000000 .#..pReserved... + 23090 040d0223 1000075f 5f616466 5f646576 ...#...__adf_dev + 230a0 69636500 04000014 fe086475 6d6d7900 ice.......dummy. + 230b0 00000118 02230000 03000009 24040007 .....#......$... + 230c0 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... + 230d0 00154508 62756600 0000143d 02230008 ..E.buf....=.#.. + 230e0 64735f61 64647200 000014fe 02230408 ds_addr......#.. + 230f0 64735f6c 656e0000 0012f202 23080012 ds_len......#... + 23100 0c000015 7f085f5f 76615f73 746b0000 ......__va_stk.. + 23110 00037b02 2300085f 5f76615f 72656700 ..{.#..__va_reg. + 23120 0000037b 02230408 5f5f7661 5f6e6478 ...{.#..__va_ndx + 23130 00000001 18022308 00095f5f 6164665f ......#...__adf_ + 23140 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 23150 09240961 64665f6f 735f646d 615f6164 .$.adf_os_dma_ad + 23160 64725f74 00000015 7f095f5f 6164665f dr_t......__adf_ + 23170 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 23180 09240961 64665f6f 735f646d 615f7369 .$.adf_os_dma_si + 23190 7a655f74 00000015 af075f5f 646d615f ze_t......__dma_ + 231a0 73656773 00080000 160b0870 61646472 segs.......paddr + 231b0 00000015 98022300 086c656e 00000015 ......#..len.... + 231c0 c8022304 00095f5f 615f7569 6e743332 ..#...__a_uint32 + 231d0 5f740000 00092409 615f7569 6e743332 _t....$.a_uint32 + 231e0 5f740000 00160b0f 000015df 08000016 _t.............. + 231f0 3a100000 07616466 5f6f735f 646d616d :....adf_os_dmam + 23200 61705f69 6e666f00 0c000016 73086e73 ap_info.....s.ns + 23210 65677300 0000161d 02230008 646d615f egs......#..dma_ + 23220 73656773 00000016 2d022304 00095f5f segs....-.#...__ + 23230 615f7569 6e74385f 74000000 12de0961 a_uint8_t......a + 23240 5f75696e 74385f74 00000016 73030000 _uint8_t....s... + 23250 16840400 075f5f73 675f7365 67730008 .....__sg_segs.. + 23260 000016c5 08766164 64720000 00169302 .....vaddr...... + 23270 2300086c 656e0000 00161d02 2304000f #..len......#... + 23280 0000169a 20000016 d2100300 07616466 .... ........adf + 23290 5f6f735f 73676c69 73740024 00001705 _os_sglist.$.... + 232a0 086e7365 67730000 00161d02 23000873 .nsegs......#..s + 232b0 675f7365 67730000 0016c502 23040012 g_segs......#... + 232c0 10000017 4e087665 6e646f72 00000016 ....N.vendor.... + 232d0 1d022300 08646576 69636500 0000161d ..#..device..... + 232e0 02230408 73756276 656e646f 72000000 .#..subvendor... + 232f0 161d0223 08087375 62646576 69636500 ...#..subdevice. + 23300 0000161d 02230c00 046c6f6e 67206c6f .....#...long lo + 23310 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 23320 07080941 5f55494e 54363400 0000174e ...A_UINT64....N + 23330 095f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... + 23340 17680961 5f75696e 7436345f 74000000 .h.a_uint64_t... + 23350 17761404 000017d4 0e414446 5f4f535f .v.......ADF_OS_ + 23360 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME + 23370 4d00000e 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU + 23380 5243455f 54595045 5f494f00 01000961 RCE_TYPE_IO....a + 23390 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 233a0 7970655f 74000000 17981218 0000181e ype_t........... + 233b0 08737461 72740000 00178802 23000865 .start......#..e + 233c0 6e640000 00178802 23080874 79706500 nd......#..type. + 233d0 000017d4 02231000 09616466 5f6f735f .....#...adf_os_ + 233e0 7063695f 6465765f 69645f74 00000017 pci_dev_id_t.... + 233f0 05030000 181e0400 11040000 185d0870 .............].p + 23400 63690000 00183702 23000872 61770000 ci....7.#..raw.. + 23410 00040d02 23000011 10000018 7c087063 ....#.......|.pc + 23420 69000000 181e0223 00087261 77000000 i......#..raw... + 23430 040d0223 00000961 64665f64 72765f68 ...#...adf_drv_h + 23440 616e646c 655f7400 0000040d 09616466 andle_t......adf + 23450 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. + 23460 0017f003 00001892 04000961 64665f6f ...........adf_o + 23470 735f6174 74616368 5f646174 615f7400 s_attach_data_t. + 23480 0000185d 03000018 b0040003 000014dc ...]............ + 23490 0400095f 5f616466 5f6f735f 64657669 ...__adf_os_devi + 234a0 63655f74 00000018 d1096164 665f6f73 ce_t......adf_os + 234b0 5f646576 6963655f 74000000 18d80600 _device_t....... + 234c0 00187c01 03000019 04040002 01030000 ..|............. + 234d0 19110400 09616466 5f6f735f 706d5f74 .....adf_os_pm_t + 234e0 00000004 0d020103 0000192b 04001404 ...........+.... + 234f0 0000196b 0e414446 5f4f535f 4255535f ...k.ADF_OS_BUS_ + 23500 54595045 5f504349 00010e41 44465f4f TYPE_PCI...ADF_O + 23510 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER + 23520 49430002 00096164 665f6f73 5f627573 IC....adf_os_bus + 23530 5f747970 655f7400 00001934 09616466 _type_t....4.adf + 23540 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data + 23550 5f740000 00183e03 00000325 0400075f _t....>....%..._ + 23560 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. + 23570 1a480864 72765f61 74746163 68000000 .H.drv_attach... + 23580 190a0223 00086472 765f6465 74616368 ...#..drv_detach + 23590 00000019 13022304 08647276 5f737573 ......#..drv_sus + 235a0 70656e64 00000019 2d022308 08647276 pend....-.#..drv + 235b0 5f726573 756d6500 00001913 02230c08 _resume......#.. + 235c0 6275735f 74797065 00000019 6b022310 bus_type....k.#. + 235d0 08627573 5f646174 61000000 19820223 .bus_data......# + 235e0 14086d6f 645f6e61 6d650000 00199d02 ..mod_name...... + 235f0 23180869 666e616d 65000000 199d0223 #..ifname......# + 23600 1c000961 64665f6f 735f6861 6e646c65 ...adf_os_handle + 23610 5f740000 00040d03 00001673 04000201 _t.........s.... + 23620 0201095f 5f616466 5f6f735f 73697a65 ...__adf_os_size + 23630 5f740000 00041014 0400001a 970e415f _t............A_ + 23640 46414c53 4500000e 415f5452 55450001 FALSE...A_TRUE.. + 23650 0009615f 626f6f6c 5f740000 001a7d03 ..a_bool_t....}. + 23660 00001505 0400095f 5f616466 5f6f735f .......__adf_os_ + 23670 646d615f 6d61705f 74000000 1aa50201 dma_map_t....... + 23680 0d616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 23690 6e630004 00001b2f 0e414446 5f53594e nc...../.ADF_SYN + 236a0 435f5052 45524541 4400000e 4144465f C_PREREAD...ADF_ + 236b0 53594e43 5f505245 57524954 4500020e SYNC_PREWRITE... + 236c0 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA + 236d0 4400010e 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS + 236e0 54575249 54450003 00096164 665f6f73 TWRITE....adf_os + 236f0 5f636163 68655f73 796e635f 74000000 _cache_sync_t... + 23700 1ac60201 09616466 5f6f735f 73697a65 .....adf_os_size + 23710 5f740000 001a6806 00001b4a 01096164 _t....h....J..ad + 23720 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 23730 001aac03 00001b63 04000600 00040d01 .......c........ + 23740 0300001a ac040006 0000040d 01020106 ................ + 23750 00001598 01020104 73686f72 7420696e ........short in + 23760 74000502 09415f49 4e543136 0000001b t....A_INT16.... + 23770 9d095f5f 615f696e 7431365f 74000000 ..__a_int16_t... + 23780 1baa0961 5f696e74 31365f74 0000001b ...a_int16_t.... + 23790 b7047369 676e6564 20636861 72000501 ..signed char... + 237a0 09415f49 4e543800 00001bd7 095f5f61 .A_INT8......__a + 237b0 5f696e74 385f7400 00001be6 09615f69 _int8_t......a_i + 237c0 6e74385f 74000000 1bf2120c 00001c69 nt8_t..........i + 237d0 08737570 706f7274 65640000 00161d02 .supported...... + 237e0 23000861 64766572 74697a65 64000000 #..advertized... + 237f0 161d0223 04087370 65656400 00001bc8 ...#..speed..... + 23800 02230808 6475706c 65780000 001c0202 .#..duplex...... + 23810 230a0861 75746f6e 65670000 00168402 #..autoneg...... + 23820 230b000f 00001684 0600001c 76100500 #...........v... + 23830 07616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr + 23840 00060000 1c9a0861 64647200 00001c69 .......addr....i + 23850 02230000 095f5f61 5f75696e 7431365f .#...__a_uint16_ + 23860 74000000 12f20961 5f75696e 7431365f t......a_uint16_ + 23870 74000000 1c9a120e 00001cfe 08657468 t............eth + 23880 65725f64 686f7374 0000001c 69022300 er_dhost....i.#. + 23890 08657468 65725f73 686f7374 0000001c .ether_shost.... + 238a0 69022306 08657468 65725f74 79706500 i.#..ether_type. + 238b0 00001cac 02230c00 12140000 1dbf1569 .....#.........i + 238c0 705f7665 7273696f 6e000000 16840100 p_version....... + 238d0 04022300 1569705f 686c0000 00168401 ..#..ip_hl...... + 238e0 04040223 00086970 5f746f73 00000016 ...#..ip_tos.... + 238f0 84022301 0869705f 6c656e00 00001cac ..#..ip_len..... + 23900 02230208 69705f69 64000000 1cac0223 .#..ip_id......# + 23910 04086970 5f667261 675f6f66 66000000 ..ip_frag_off... + 23920 1cac0223 06086970 5f74746c 00000016 ...#..ip_ttl.... + 23930 84022308 0869705f 70726f74 6f000000 ..#..ip_proto... + 23940 16840223 09086970 5f636865 636b0000 ...#..ip_check.. + 23950 001cac02 230a0869 705f7361 64647200 ....#..ip_saddr. + 23960 0000161d 02230c08 69705f64 61646472 .....#..ip_daddr + 23970 00000016 1d022310 00076164 665f6e65 ......#...adf_ne + 23980 745f766c 616e6864 72000400 001e1108 t_vlanhdr....... + 23990 74706964 0000001c ac022300 15707269 tpid......#..pri + 239a0 6f000000 16840100 03022302 15636669 o.........#..cfi + 239b0 00000016 84010301 02230215 76696400 .........#..vid. + 239c0 00001cac 02040c02 23020007 6164665f ........#...adf_ + 239d0 6e65745f 76696400 0200001e 42157265 net_vid.....B.re + 239e0 73000000 16840100 04022300 1576616c s.........#..val + 239f0 0000001c ac02040c 02230000 120c0000 .........#...... + 23a00 1e7e0872 785f6275 6673697a 65000000 .~.rx_bufsize... + 23a10 161d0223 00087278 5f6e6465 73630000 ...#..rx_ndesc.. + 23a20 00161d02 23040874 785f6e64 65736300 ....#..tx_ndesc. + 23a30 0000161d 02230800 12080000 1ea40870 .....#.........p + 23a40 6f6c6c65 64000000 1a970223 0008706f olled......#..po + 23a50 6c6c5f77 74000000 161d0223 04000f00 ll_wt......#.... + 23a60 00168440 00001eb1 103f0012 4600001e ...@.....?..F... + 23a70 d9086966 5f6e616d 65000000 1ea40223 ..if_name......# + 23a80 00086465 765f6164 64720000 001c6902 ..dev_addr....i. + 23a90 23400014 0400001f 100e4144 465f4f53 #@........ADF_OS + 23aa0 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. + 23ab0 000e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 23ac0 4b5f3634 42495400 01000961 64665f6f K_64BIT....adf_o + 23ad0 735f646d 615f6d61 736b5f74 0000001e s_dma_mask_t.... + 23ae0 d9076164 665f646d 615f696e 666f0008 ..adf_dma_info.. + 23af0 00001f5d 08646d61 5f6d6173 6b000000 ...].dma_mask... + 23b00 1f100223 00087367 5f6e7365 67730000 ...#..sg_nsegs.. + 23b10 00161d02 23040014 0400001f b30e4144 ....#.........AD + 23b20 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE + 23b30 00000e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 23b40 5f544350 5f554450 5f495076 3400010e _TCP_UDP_IPv4... + 23b50 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 23b60 505f5544 505f4950 76360002 00096164 P_UDP_IPv6....ad + 23b70 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type + 23b80 5f740000 001f5d12 0800001f f6087478 _t....].......tx + 23b90 5f636b73 756d0000 001fb302 23000872 _cksum......#..r + 23ba0 785f636b 73756d00 00001fb3 02230400 x_cksum......#.. + 23bb0 09616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i + 23bc0 6e666f5f 74000000 1fcd1404 0000204f nfo_t......... O + 23bd0 0e414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON + 23be0 4500000e 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ + 23bf0 49505634 00010e41 44465f4e 45545f54 IPV4...ADF_NET_T + 23c00 534f5f41 4c4c0002 00096164 665f6e65 SO_ALL....adf_ne + 23c10 745f7473 6f5f7479 70655f74 00000020 t_tso_type_t... + 23c20 10121000 0020a308 636b7375 6d5f6361 ..... ..cksum_ca + 23c30 70000000 1ff60223 00087473 6f000000 p......#..tso... + 23c40 204f0223 0808766c 616e5f73 7570706f O.#..vlan_suppo + 23c50 72746564 00000016 8402230c 00122000 rted......#... . + 23c60 00213c08 74785f70 61636b65 74730000 .!<.tx_packets.. + 23c70 00161d02 23000872 785f7061 636b6574 ....#..rx_packet + 23c80 73000000 161d0223 04087478 5f627974 s......#..tx_byt + 23c90 65730000 00161d02 23080872 785f6279 es......#..rx_by + 23ca0 74657300 0000161d 02230c08 74785f64 tes......#..tx_d + 23cb0 726f7070 65640000 00161d02 23100872 ropped......#..r + 23cc0 785f6472 6f707065 64000000 161d0223 x_dropped......# + 23cd0 14087278 5f657272 6f727300 0000161d ..rx_errors..... + 23ce0 02231808 74785f65 72726f72 73000000 .#..tx_errors... + 23cf0 161d0223 1c000961 64665f6e 65745f65 ...#...adf_net_e + 23d00 74686164 64725f74 0000001c 76160000 thaddr_t....v... + 23d10 213c0300 00002161 107f0017 6164665f !<....!a....adf_ + 23d20 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. + 23d30 04000021 98086e65 6c656d00 0000161d ...!..nelem..... + 23d40 02230008 6d636173 74000000 21530223 .#..mcast...!S.# + 23d50 04000961 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l + 23d60 696e6b5f 696e666f 5f740000 001c1009 ink_info_t...... + 23d70 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll + 23d80 5f696e66 6f5f7400 00001e7e 09616466 _info_t....~.adf + 23d90 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i + 23da0 6e666f5f 74000000 1ff60961 64665f6e nfo_t......adf_n + 23db0 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info + 23dc0 5f740000 001e4209 6164665f 6e65745f _t....B.adf_net_ + 23dd0 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. + 23de0 001f2709 6164665f 6e65745f 636d645f ..'.adf_net_cmd_ + 23df0 7669645f 74000000 1cac0961 64665f6e vid_t......adf_n + 23e00 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c + 23e10 61705f74 00000020 67096164 665f6e65 ap_t... g.adf_ne + 23e20 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... + 23e30 20a30961 64665f6e 65745f63 6d645f6d ..adf_net_cmd_m + 23e40 63616464 725f7400 00002161 0d616466 caddr_t...!a.adf + 23e50 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 23e60 61700004 000022da 0e414446 5f4e4554 ap...."..ADF_NET + 23e70 5f4d4341 53545f53 55500000 0e414446 _MCAST_SUP...ADF + 23e80 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU + 23e90 50000100 09616466 5f6e6574 5f636d64 P....adf_net_cmd + 23ea0 5f6d6361 73745f63 61705f74 00000022 _mcast_cap_t..." + 23eb0 92180304 000023ac 086c696e 6b5f696e ......#..link_in + 23ec0 666f0000 00219802 23000870 6f6c6c5f fo...!..#..poll_ + 23ed0 696e666f 00000021 b5022300 08636b73 info...!..#..cks + 23ee0 756d5f69 6e666f00 000021d2 02230008 um_info...!..#.. + 23ef0 72696e67 5f696e66 6f000000 21f00223 ring_info...!..# + 23f00 0008646d 615f696e 666f0000 00220d02 ..dma_info...".. + 23f10 23000876 69640000 00222902 2300086f #..vid...").#..o + 23f20 66666c6f 61645f63 61700000 00224002 ffload_cap..."@. + 23f30 23000873 74617473 00000022 5f022300 #..stats..."_.#. + 23f40 086d6361 73745f69 6e666f00 00002278 .mcast_info..."x + 23f50 02230008 6d636173 745f6361 70000000 .#..mcast_cap... + 23f60 22da0223 00001404 00002403 0e414446 "..#......$..ADF + 23f70 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N + 23f80 4f4e4500 000e4144 465f4e42 55465f52 ONE...ADF_NBUF_R + 23f90 585f434b 53554d5f 48570001 0e414446 X_CKSUM_HW...ADF + 23fa0 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U + 23fb0 4e4e4543 45535341 52590002 00096164 NNECESSARY....ad + 23fc0 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 23fd0 74797065 5f740000 0023ac12 08000024 type_t...#.....$ + 23fe0 43087265 73756c74 00000024 03022300 C.result...$..#. + 23ff0 0876616c 00000016 1d022304 00120800 .val......#..... + 24000 00247308 74797065 00000020 4f022300 .$s.type... O.#. + 24010 086d7373 0000001c ac022304 08686472 .mss......#..hdr + 24020 5f6f6666 00000016 84022306 00075f5f _off......#...__ + 24030 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. + 24040 000024b2 08686561 64000000 143d0223 ..$..head....=.# + 24050 00087461 696c0000 00143d02 23040871 ..tail....=.#..q + 24060 6c656e00 0000161d 02230800 095f5f61 len......#...__a + 24070 64665f6e 6275665f 74000000 143d0300 df_nbuf_t....=.. + 24080 00169304 00030000 161d0400 02010600 ................ + 24090 00131f01 06000016 1d010600 00169301 ................ + 240a0 06000016 93010300 00130004 00095f5f ..............__ + 240b0 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t + 240c0 00000024 73095f5f 6164665f 6e627566 ...$s.__adf_nbuf + 240d0 5f717565 75655f74 00000024 f3030000 _queue_t...$.... + 240e0 250b0400 06000024 b2010600 0024b201 %......$.....$.. + 240f0 14040000 262b0e41 5f535441 5455535f ....&+.A_STATUS_ + 24100 4f4b0000 0e415f53 54415455 535f4641 OK...A_STATUS_FA + 24110 494c4544 00010e41 5f535441 5455535f ILED...A_STATUS_ + 24120 454e4f45 4e540002 0e415f53 54415455 ENOENT...A_STATU + 24130 535f454e 4f4d454d 00030e41 5f535441 S_ENOMEM...A_STA + 24140 5455535f 45494e56 414c0004 0e415f53 TUS_EINVAL...A_S + 24150 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES + 24160 5300050e 415f5354 41545553 5f454e4f S...A_STATUS_ENO + 24170 54535550 5000060e 415f5354 41545553 TSUPP...A_STATUS + 24180 5f454255 53590007 0e415f53 54415455 _EBUSY...A_STATU + 24190 535f4532 42494700 080e415f 53544154 S_E2BIG...A_STAT + 241a0 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL + 241b0 00090e41 5f535441 5455535f 454e5849 ...A_STATUS_ENXI + 241c0 4f000a0e 415f5354 41545553 5f454641 O...A_STATUS_EFA + 241d0 554c5400 0b0e415f 53544154 55535f45 ULT...A_STATUS_E + 241e0 494f000c 0009615f 73746174 75735f74 IO....a_status_t + 241f0 00000025 36060000 262b0106 00000118 ...%6...&+...... + 24200 01020109 6164665f 6e627566 5f740000 ....adf_nbuf_t.. + 24210 0024b214 04000026 900e4144 465f4f53 .$.....&..ADF_OS + 24220 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. + 24230 0e414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM + 24240 5f444556 49434500 01000961 64665f6f _DEVICE....adf_o + 24250 735f646d 615f6469 725f7400 00002659 s_dma_dir_t...&Y + 24260 06000026 2b010201 09616466 5f6f735f ...&+....adf_os_ + 24270 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... + 24280 163a0300 0026ae04 00020102 01060000 .:...&.......... + 24290 26490106 000024b2 01020102 01060000 &I....$......... + 242a0 26490106 000024b2 01060000 26490106 &I....$.....&I.. + 242b0 000024b2 01060000 26490102 01020106 ..$.....&I...... + 242c0 0000161d 01060000 16930102 01020106 ................ + 242d0 00001b4a 01060000 1a970106 00001a97 ...J............ + 242e0 01096164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ + 242f0 74000000 16d20300 00272704 00020102 t........''..... + 24300 01020106 00001693 01096164 665f6e62 ..........adf_nb + 24310 75665f71 75657565 5f740000 00250b03 uf_queue_t...%.. + 24320 0000274f 04000201 03000024 f3040002 ..'O.......$.... + 24330 01020102 01060000 26490106 000024b2 ........&I....$. + 24340 01060000 161d0106 0000161d 01060000 ................ + 24350 1a970106 00001a97 01060000 1fb30106 ................ + 24360 0000161d 01096164 665f6e62 75665f72 ......adf_nbuf_r + 24370 785f636b 73756d5f 74000000 24210300 x_cksum_t...$!.. + 24380 0027ab04 00020102 01096164 665f6e62 .'........adf_nb + 24390 75665f74 736f5f74 00000024 43030000 uf_tso_t...$C... + 243a0 27cf0400 02010201 09616466 5f6e6574 '........adf_net + 243b0 5f68616e 646c655f 74000000 040d0961 _handle_t......a + 243c0 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t + 243d0 0000001d bf030000 28040400 06000026 ........(......& + 243e0 2b010600 00262b01 02010201 075f4849 +....&+......_HI + 243f0 465f434f 4e464947 00040000 28530864 F_CONFIG....(S.d + 24400 756d6d79 00000001 18022300 00020103 ummy......#..... + 24410 00002853 04000201 03000028 5c040007 ..(S.......(\... + 24420 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... + 24430 0028b108 73656e64 5f627566 5f646f6e .(..send_buf_don + 24440 65000000 28550223 00087265 63765f62 e...(U.#..recv_b + 24450 75660000 00285e02 23040863 6f6e7465 uf...(^.#..conte + 24460 78740000 00040d02 23080009 6869665f xt......#...hif_ + 24470 68616e64 6c655f74 00000004 0d094849 handle_t......HI + 24480 465f434f 4e464947 00000028 32030000 F_CONFIG...(2... + 24490 28c30400 06000028 b1010300 0028da04 (......(.....(.. + 244a0 00020103 000028e7 04000948 49465f43 ......(....HIF_C + 244b0 414c4c42 41434b00 00002865 03000028 ALLBACK...(e...( + 244c0 f0040002 01030000 29090400 06000001 ........)....... + 244d0 18010300 00291204 00020103 0000291f .....)........). + 244e0 04000600 00011801 03000029 28040002 ...........)(... + 244f0 01030000 29350400 06000001 18010300 ....)5.......... + 24500 00293e04 00020103 0000294b 04000768 .)>.......)K...h + 24510 69665f61 70690038 00002aa4 085f696e if_api.8..*.._in + 24520 69740000 0028e002 2300085f 73687574 it...(..#.._shut + 24530 646f776e 00000028 e9022304 085f7265 down...(..#.._re + 24540 67697374 65725f63 616c6c62 61636b00 gister_callback. + 24550 0000290b 02230808 5f676574 5f746f74 ..)..#.._get_tot + 24560 616c5f63 72656469 745f636f 756e7400 al_credit_count. + 24570 00002918 02230c08 5f737461 72740000 ..)..#.._start.. + 24580 0028e902 2310085f 636f6e66 69675f70 .(..#.._config_p + 24590 69706500 00002921 02231408 5f73656e ipe...)!.#.._sen + 245a0 645f6275 66666572 00000029 2e022318 d_buffer...)..#. + 245b0 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 245c0 66000000 29370223 1c085f69 735f7069 f...)7.#.._is_pi + 245d0 70655f73 7570706f 72746564 00000029 pe_supported...) + 245e0 44022320 085f6765 745f6d61 785f6d73 D.# ._get_max_ms + 245f0 675f6c65 6e000000 29440223 24085f67 g_len...)D.#$._g + 24600 65745f72 65736572 7665645f 68656164 et_reserved_head + 24610 726f6f6d 00000029 18022328 085f6973 room...)..#(._is + 24620 725f6861 6e646c65 72000000 28e90223 r_handler...(..# + 24630 2c085f67 65745f64 65666175 6c745f70 ,._get_default_p + 24640 69706500 0000294d 02233008 70526573 ipe...)M.#0.pRes + 24650 65727665 64000000 040d0223 34000d64 erved......#4..d + 24660 6d615f65 6e67696e 65000400 002b2d0e ma_engine....+-. + 24670 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. + 24680 0e444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. + 24690 010e444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 + 246a0 00020e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 246b0 3300030e 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T + 246c0 58300004 0e444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 246d0 54583100 050e444d 415f454e 47494e45 TX1...DMA_ENGINE + 246e0 5f4d4158 00060009 646d615f 656e6769 _MAX....dma_engi + 246f0 6e655f74 0000002a a40d646d 615f6966 ne_t...*..dma_if + 24700 74797065 00040000 2b7a0e44 4d415f49 type....+z.DMA_I + 24710 465f474d 41430000 0e444d41 5f49465f F_GMAC...DMA_IF_ + 24720 50434900 010e444d 415f4946 5f504349 PCI...DMA_IF_PCI + 24730 45000200 09646d61 5f696674 7970655f E....dma_iftype_ + 24740 74000000 2b3f0600 0012f201 0300002b t...+?.........+ + 24750 8c040002 01030000 2b990400 02010300 ........+....... + 24760 002ba204 00060000 09240103 00002bab .+.......$....+. + 24770 04000600 0012f201 0300002b b8040006 ...........+.... + 24780 000012f2 01030000 2bc50400 06000014 ........+....... + 24790 3d010300 002bd204 00020103 00002bdf =....+........+. + 247a0 04000764 6d615f6c 69625f61 70690034 ...dma_lib_api.4 + 247b0 00002ce6 0874785f 696e6974 0000002b ..,..tx_init...+ + 247c0 92022300 0874785f 73746172 74000000 ..#..tx_start... + 247d0 2b9b0223 04087278 5f696e69 74000000 +..#..rx_init... + 247e0 2b920223 08087278 5f636f6e 66696700 +..#..rx_config. + 247f0 00002ba4 02230c08 72785f73 74617274 ..+..#..rx_start + 24800 0000002b 9b022310 08696e74 725f7374 ...+..#..intr_st + 24810 61747573 0000002b b1022314 08686172 atus...+..#..har + 24820 645f786d 69740000 002bbe02 23180866 d_xmit...+..#..f + 24830 6c757368 5f786d69 74000000 2b9b0223 lush_xmit...+..# + 24840 1c08786d 69745f64 6f6e6500 00002bcb ..xmit_done...+. + 24850 02232008 72656170 5f786d69 74746564 .# .reap_xmitted + 24860 0000002b d8022324 08726561 705f7265 ...+..#$.reap_re + 24870 63760000 002bd802 23280872 65747572 cv...+..#(.retur + 24880 6e5f7265 63760000 002be102 232c0872 n_recv...+..#,.r + 24890 6563765f 706b7400 00002bcb 02233000 ecv_pkt...+..#0. + 248a0 075f5f70 63695f73 6f667463 000c0000 .__pci_softc.... + 248b0 2d040873 77000000 28f00223 0000095f -..sw...(..#..._ + 248c0 5f706369 5f736f66 74635f74 0000002c _pci_softc_t..., + 248d0 e6030000 2d040400 02010300 002d1e04 ....-........-.. + 248e0 00060000 12de0103 00002d27 04000d68 ..........-'...h + 248f0 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. + 24900 00002d87 0e484946 5f504349 5f504950 ..-..HIF_PCI_PIP + 24910 455f5458 3000000e 4849465f 5043495f E_TX0...HIF_PCI_ + 24920 50495045 5f545831 00010e48 49465f50 PIPE_TX1...HIF_P + 24930 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. + 24940 00096869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 24950 785f7400 00002d34 0600002b 2d010300 x_t...-4...+-... + 24960 002d9e04 000d6869 665f7063 695f7069 .-....hif_pci_pi + 24970 70655f72 78000400 002e240e 4849465f pe_rx.....$.HIF_ + 24980 5043495f 50495045 5f525830 00000e48 PCI_PIPE_RX0...H + 24990 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. + 249a0 010e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 249b0 58320002 0e484946 5f504349 5f504950 X2...HIF_PCI_PIP + 249c0 455f5258 3300030e 4849465f 5043495f E_RX3...HIF_PCI_ + 249d0 50495045 5f52585f 4d415800 04000968 PIPE_RX_MAX....h + 249e0 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t + 249f0 0000002d ab060000 2b2d0103 00002e3b ...-....+-.....; + 24a00 04000768 69665f70 63695f61 70690024 ...hif_pci_api.$ + 24a10 00002f19 08706369 5f626f6f 745f696e ../..pci_boot_in + 24a20 69740000 00011102 23000870 63695f69 it......#..pci_i + 24a30 6e697400 000028e0 02230408 7063695f nit...(..#..pci_ + 24a40 72657365 74000000 01110223 08087063 reset......#..pc + 24a50 695f656e 61626c65 00000001 1102230c i_enable......#. + 24a60 08706369 5f726561 705f786d 69747465 .pci_reap_xmitte + 24a70 64000000 2d200223 10087063 695f7265 d...- .#..pci_re + 24a80 61705f72 65637600 00002d20 02231408 ap_recv...- .#.. + 24a90 7063695f 6765745f 70697065 0000002d pci_get_pipe...- + 24aa0 2d022318 08706369 5f676574 5f74785f -.#..pci_get_tx_ + 24ab0 656e6700 00002da4 02231c08 7063695f eng...-..#..pci_ + 24ac0 6765745f 72785f65 6e670000 002e4102 get_rx_eng....A. + 24ad0 23200007 676d6163 5f617069 00040000 # ..gmac_api.... + 24ae0 2f400867 6d61635f 626f6f74 5f696e69 /@.gmac_boot_ini + 24af0 74000000 01110223 00000f00 00032506 t......#......%. + 24b00 00002f4d 10050007 5f5f6574 68686472 ../M....__ethhdr + 24b10 000e0000 2f830864 73740000 002f4002 ..../..dst.../@. + 24b20 23000873 72630000 002f4002 23060865 #..src.../@.#..e + 24b30 74797065 00000012 f202230c 00075f5f type......#...__ + 24b40 61746868 64720004 00002fd1 15726573 athhdr..../..res + 24b50 00000012 de010002 02230015 70726f74 .........#..prot + 24b60 6f000000 12de0102 06022300 08726573 o.........#..res + 24b70 5f6c6f00 000012de 02230108 7265735f _lo......#..res_ + 24b80 68690000 0012f202 23020007 5f5f676d hi......#...__gm + 24b90 61635f68 64720014 0000300d 08657468 ac_hdr....0..eth + 24ba0 0000002f 4d022300 08617468 0000002f .../M.#..ath.../ + 24bb0 8302230e 08616c69 676e5f70 61640000 ..#..align_pad.. + 24bc0 0012f202 23120009 5f5f676d 61635f68 ....#...__gmac_h + 24bd0 64725f74 0000002f d1075f5f 676d6163 dr_t.../..__gmac + 24be0 5f736f66 74630024 00003057 08686472 _softc.$..0W.hdr + 24bf0 00000030 0d022300 08677261 6e000000 ...0..#..gran... + 24c00 12f20223 14087377 00000028 f0022318 ...#..sw...(..#. + 24c10 00075f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ + 24c20 63686563 6b000800 00309008 76657273 check....0..vers + 24c30 696f6e00 00000118 02230008 7461626c ion......#..tabl + 24c40 65000000 01180223 04000300 00305704 e......#.....0W. + 24c50 00060000 01180103 00003097 04000300 ..........0..... + 24c60 00041004 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 24c70 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 24c80 0001b800 0031e708 68616c5f 6c696e6b .....1..hal_link + 24c90 6167655f 63686563 6b000000 309d0223 age_check...0..# + 24ca0 00087374 6172745f 62737300 000030a4 ..start_bss...0. + 24cb0 02230408 6170705f 73746172 74000000 .#..app_start... + 24cc0 01110223 08086d65 6d000000 04500223 ...#..mem....P.# + 24cd0 0c086d69 73630000 00056f02 23200870 ..misc....o.# .p + 24ce0 72696e74 66000000 01450223 44087561 rintf....E.#D.ua + 24cf0 72740000 00020e02 234c0867 6d616300 rt......#L.gmac. + 24d00 00002f19 02236c08 75736200 00000f9e ../..#l.usb..... + 24d10 02237008 636c6f63 6b000000 0ae70323 .#p.clock......# + 24d20 e0010874 696d6572 00000007 87032384 ...timer......#. + 24d30 0208696e 74720000 000c3703 23980208 ..intr....7.#... + 24d40 616c6c6f 6372616d 00000009 3f0323c4 allocram....?.#. + 24d50 0208726f 6d700000 00083103 23d00208 ..romp....1.#... + 24d60 7764745f 74696d65 72000000 0e140323 wdt_timer......# + 24d70 e0020865 65700000 000f4203 23fc0208 ...eep....B.#... + 24d80 73747269 6e670000 00069303 238c0308 string......#... + 24d90 7461736b 6c657400 00000a3c 0323a403 tasklet....<.#.. + 24da0 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 24db0 49470010 0000325a 08676574 5f636f6d IG....2Z.get_com + 24dc0 6d616e64 5f627566 00000014 4a022300 mand_buf....J.#. + 24dd0 08726563 765f636f 6d6d616e 64000000 .recv_command... + 24de0 14600223 04086765 745f6576 656e745f .`.#..get_event_ + 24df0 62756600 0000144a 02230808 73656e64 buf....J.#..send + 24e00 5f657665 6e745f64 6f6e6500 00001460 _event_done....` + 24e10 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO + 24e20 4e464947 00000031 e7030000 325a0400 NFIG...1....2Z.. + 24e30 02010300 00327604 00077573 62666966 .....2v...usbfif + 24e40 6f5f6170 69000c00 0032cc08 5f696e69 o_api....2.._ini + 24e50 74000000 32780223 00085f65 6e61626c t...2x.#.._enabl + 24e60 655f6576 656e745f 69737200 00000111 e_event_isr..... + 24e70 02230408 70526573 65727665 64000000 .#..pReserved... + 24e80 040d0223 08000f00 00168402 000032d9 ...#..........2. + 24e90 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 24ea0 44520008 0000334b 08456e64 706f696e DR....3K.Endpoin + 24eb0 74494400 00001684 02230008 466c6167 tID......#..Flag + 24ec0 73000000 16840223 01085061 796c6f61 s......#..Payloa + 24ed0 644c656e 0000001c ac022302 08436f6e dLen......#..Con + 24ee0 74726f6c 42797465 73000000 32cc0223 trolBytes...2..# + 24ef0 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... + 24f00 ac022306 00120200 00336408 4d657373 ..#......3d.Mess + 24f10 61676549 44000000 1cac0223 00001208 ageID......#.... + 24f20 000033c7 084d6573 73616765 49440000 ..3..MessageID.. + 24f30 001cac02 23000843 72656469 74436f75 ....#..CreditCou + 24f40 6e740000 001cac02 23020843 72656469 nt......#..Credi + 24f50 7453697a 65000000 1cac0223 04084d61 tSize......#..Ma + 24f60 78456e64 706f696e 74730000 00168402 xEndpoints...... + 24f70 2306085f 50616431 00000016 84022307 #.._Pad1......#. + 24f80 00120a00 00345e08 4d657373 61676549 .....4^.MessageI + 24f90 44000000 1cac0223 00085365 72766963 D......#..Servic + 24fa0 65494400 00001cac 02230208 436f6e6e eID......#..Conn + 24fb0 65637469 6f6e466c 61677300 00001cac ectionFlags..... + 24fc0 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 24fd0 49440000 00168402 23060855 704c696e ID......#..UpLin + 24fe0 6b506970 65494400 00001684 02230708 kPipeID......#.. + 24ff0 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 25000 68000000 16840223 08085f50 61643100 h......#.._Pad1. + 25010 00001684 02230900 120a0000 34e6084d .....#......4..M + 25020 65737361 67654944 0000001c ac022300 essageID......#. + 25030 08536572 76696365 49440000 001cac02 .ServiceID...... + 25040 23020853 74617475 73000000 16840223 #..Status......# + 25050 0408456e 64706f69 6e744944 00000016 ..EndpointID.... + 25060 84022305 084d6178 4d736753 697a6500 ..#..MaxMsgSize. + 25070 00001cac 02230608 53657276 6963654d .....#..ServiceM + 25080 6574614c 656e6774 68000000 16840223 etaLength......# + 25090 08085f50 61643100 00001684 02230900 .._Pad1......#.. + 250a0 12020000 34ff084d 65737361 67654944 ....4..MessageID + 250b0 0000001c ac022300 00120400 00353b08 ......#......5;. + 250c0 4d657373 61676549 44000000 1cac0223 MessageID......# + 250d0 00085069 70654944 00000016 84022302 ..PipeID......#. + 250e0 08437265 64697443 6f756e74 00000016 .CreditCount.... + 250f0 84022303 00120400 00357208 4d657373 ..#......5r.Mess + 25100 61676549 44000000 1cac0223 00085069 ageID......#..Pi + 25110 70654944 00000016 84022302 08537461 peID......#..Sta + 25120 74757300 00001684 02230300 12020000 tus......#...... + 25130 35990852 65636f72 64494400 00001684 5..RecordID..... + 25140 02230008 4c656e67 74680000 00168402 .#..Length...... + 25150 23010012 02000035 c308456e 64706f69 #......5..Endpoi + 25160 6e744944 00000016 84022300 08437265 ntID......#..Cre + 25170 64697473 00000016 84022301 00120400 dits......#..... + 25180 00360408 456e6470 6f696e74 49440000 .6..EndpointID.. + 25190 00168402 23000843 72656469 74730000 ....#..Credits.. + 251a0 00168402 23010854 67744372 65646974 ....#..TgtCredit + 251b0 5365714e 6f000000 1cac0223 02000f00 SeqNo......#.... + 251c0 00168404 00003611 10030012 06000036 ......6........6 + 251d0 4d085072 6556616c 69640000 00168402 M.PreValid...... + 251e0 2300084c 6f6f6b41 68656164 00000036 #..LookAhead...6 + 251f0 04022301 08506f73 7456616c 69640000 ..#..PostValid.. + 25200 00168402 23050009 706f6f6c 5f68616e ....#...pool_han + 25210 646c655f 74000000 040d0600 00364d01 dle_t........6M. + 25220 03000036 60040002 01030000 366d0400 ...6`.......6m.. + 25230 14040000 36eb0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H + 25240 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO + 25250 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 25260 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID + 25270 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 25280 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 25290 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 252a0 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I + 252b0 44000000 36760201 03000036 fc040006 D...6v.....6.... + 252c0 00002649 01030000 37050400 06000026 ..&I....7......& + 252d0 49010300 00371204 00020103 0000371f I....7........7. + 252e0 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 252f0 1c000037 c1085f69 6e697400 00003666 ...7.._init...6f + 25300 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 25310 366f0223 04085f63 72656174 655f706f 6o.#.._create_po + 25320 6f6c0000 0036fe02 2308085f 616c6c6f ol...6..#.._allo + 25330 635f6275 66000000 370b0223 0c085f61 c_buf...7..#.._a + 25340 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 25350 00371802 2310085f 66726565 5f627566 .7..#.._free_buf + 25360 00000037 21022314 08705265 73657276 ...7!.#..pReserv + 25370 65640000 00040d02 23180007 5f485443 ed......#..._HTC + 25380 5f534552 56494345 001c0000 38a00870 _SERVICE....8..p + 25390 4e657874 00000038 a0022300 0850726f Next...8..#..Pro + 253a0 63657373 52656376 4d736700 00003955 cessRecvMsg...9U + 253b0 02230408 50726f63 65737353 656e6442 .#..ProcessSendB + 253c0 75666665 72436f6d 706c6574 65000000 ufferComplete... + 253d0 395e0223 08085072 6f636573 73436f6e 9^.#..ProcessCon + 253e0 6e656374 00000039 7202230c 08536572 nect...9r.#..Ser + 253f0 76696365 49440000 0012f202 23100853 viceID......#..S + 25400 65727669 6365466c 61677300 000012f2 erviceFlags..... + 25410 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz + 25420 65000000 12f20223 14085472 61696c65 e......#..Traile + 25430 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. + 25440 0012f202 23160853 65727669 63654374 ....#..ServiceCt + 25450 78000000 040d0223 18000300 0037c104 x......#.....7.. + 25460 00140400 00393e19 454e4450 4f494e54 .....9>.ENDPOINT + 25470 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END + 25480 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN + 25490 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. + 254a0 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END + 254b0 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN + 254c0 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. + 254d0 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END + 254e0 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN + 254f0 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END + 25500 504f494e 545f4944 00000038 a7020103 POINT_ID...8.... + 25510 00003953 04000201 03000039 5c040003 ..9S.......9\... + 25520 00000118 04000600 0012de01 03000039 ...............9 + 25530 6c040003 000037c1 0400075f 4854435f l.....7...._HTC_ + 25540 434f4e46 49470014 000039f1 08437265 CONFIG....9..Cre + 25550 64697453 697a6500 00000118 02230008 ditSize......#.. + 25560 43726564 69744e75 6d626572 00000001 CreditNumber.... + 25570 18022304 084f5348 616e646c 65000000 ..#..OSHandle... + 25580 1a480223 08084849 4648616e 646c6500 .H.#..HIFHandle. + 25590 000028b1 02230c08 506f6f6c 48616e64 ..(..#..PoolHand + 255a0 6c650000 00364d02 23100007 5f485443 le...6M.#..._HTC + 255b0 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 255c0 3a2d0865 6e645f70 6f696e74 00000012 :-.end_point.... + 255d0 de022300 08687463 5f666c61 67730000 ..#..htc_flags.. + 255e0 0012de02 23010009 6874635f 68616e64 ....#...htc_hand + 255f0 6c655f74 00000004 0d094854 435f5345 le_t......HTC_SE + 25600 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 25610 00000111 09485443 5f434f4e 46494700 .....HTC_CONFIG. + 25620 00003980 0300003a 5a040006 00003a2d ..9....:Z.....:- + 25630 01030000 3a710400 02010300 003a7e04 ....:q.......:~. + 25640 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... + 25650 37c10300 003a8704 00020103 00003a9f 7....:........:. + 25660 04000201 0300003a a8040002 01030000 .......:........ + 25670 3ab10400 06000001 18010300 003aba04 :............:.. + 25680 00076874 635f6170 69730034 00003c37 ..htc_apis.4..<7 + 25690 085f4854 435f496e 69740000 003a7702 ._HTC_Init...:w. + 256a0 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown + 256b0 0000003a 80022304 085f4854 435f5265 ...:..#.._HTC_Re + 256c0 67697374 65725365 72766963 65000000 gisterService... + 256d0 3aa10223 08085f48 54435f52 65616479 :..#.._HTC_Ready + 256e0 0000003a 8002230c 085f4854 435f5265 ...:..#.._HTC_Re + 256f0 7475726e 42756666 65727300 00003aaa turnBuffers...:. + 25700 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 25710 75666665 72734c69 73740000 003ab302 uffersList...:.. + 25720 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. + 25730 00003aaa 02231808 5f485443 5f476574 ..:..#.._HTC_Get + 25740 52657365 72766564 48656164 726f6f6d ReservedHeadroom + 25750 0000003a c002231c 085f4854 435f4d73 ...:..#.._HTC_Ms + 25760 67526563 7648616e 646c6572 00000028 gRecvHandler...( + 25770 5e022320 085f4854 435f5365 6e64446f ^.# ._HTC_SendDo + 25780 6e654861 6e646c65 72000000 28550223 neHandler...(U.# + 25790 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv + 257a0 6350726f 63657373 4d736700 00003955 cProcessMsg...9U + 257b0 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control + 257c0 53766350 726f6365 73735365 6e64436f SvcProcessSendCo + 257d0 6d706c65 74650000 00395e02 232c0870 mplete...9^.#,.p + 257e0 52657365 72766564 00000004 0d022330 Reserved......#0 + 257f0 0007686f 73745f61 70705f61 7265615f ..host_app_area_ + 25800 73000400 003c6708 776d695f 70726f74 s......tupleNu + 259a0 6d4c0000 001cac02 23000874 75706c65 mL......#..tuple + 259b0 4e756d48 0000001c ac022302 08617674 NumH......#..avt + 259c0 0000003d cb022304 00120100 003e3108 ...=..#......>1. + 259d0 62656163 6f6e5065 6e64696e 67436f75 beaconPendingCou + 259e0 6e740000 00168402 23000007 5f574d49 nt......#..._WMI + 259f0 5f535643 5f434f4e 46494700 1000003e _SVC_CONFIG....> + 25a00 9a084874 6348616e 646c6500 00003a2d ..HtcHandle...:- + 25a10 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 25a20 00364d02 2304084d 6178436d 64526570 .6M.#..MaxCmdRep + 25a30 6c794576 74730000 00011802 2308084d lyEvts......#..M + 25a40 61784576 656e7445 76747300 00000118 axEventEvts..... + 25a50 02230c00 02010300 003e9a04 0009574d .#.......>....WM + 25a60 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 25a70 3e9c075f 574d495f 44495350 41544348 >.._WMI_DISPATCH + 25a80 5f454e54 52590008 00003f03 0870436d _ENTRY....?..pCm + 25a90 6448616e 646c6572 0000003e a3022300 dHandler...>..#. + 25aa0 08436d64 49440000 0012f202 23040846 .CmdID......#..F + 25ab0 6c616773 00000012 f2022306 00075f57 lags......#..._W + 25ac0 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 25ad0 45001000 003f6408 704e6578 74000000 E....?d.pNext... + 25ae0 3f640223 00087043 6f6e7465 78740000 ?d.#..pContext.. + 25af0 00040d02 2304084e 756d6265 724f6645 ....#..NumberOfE + 25b00 6e747269 65730000 00011802 23080870 ntries......#..p + 25b10 5461626c 65000000 3f830223 0c000300 Table...?..#.... + 25b20 003f0304 0009574d 495f4449 53504154 .?....WMI_DISPAT + 25b30 43485f45 4e545259 0000003e b8030000 CH_ENTRY...>.... + 25b40 3f6b0400 0300003f 03040009 4854435f ?k.....?....HTC_ + 25b50 4255465f 434f4e54 45585400 000039f1 BUF_CONTEXT...9. + 25b60 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 25b70 0000401b 19574d49 5f455654 5f434c41 ..@..WMI_EVT_CLA + 25b80 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI + 25b90 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 25ba0 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C + 25bb0 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 25bc0 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 25bd0 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL + 25be0 41535300 00003fa6 075f574d 495f4255 ASS...?.._WMI_BU + 25bf0 465f434f 4e544558 54000c00 00407908 F_CONTEXT....@y. + 25c00 48746342 75664374 78000000 3f910223 HtcBufCtx...?..# + 25c10 00084576 656e7443 6c617373 00000040 ..EventClass...@ + 25c20 1b022304 08466c61 67730000 0012f202 ..#..Flags...... + 25c30 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t + 25c40 00000004 0d09574d 495f5356 435f434f ......WMI_SVC_CO + 25c50 4e464947 0000003e 31030000 408b0400 NFIG...>1...@... + 25c60 06000040 79010300 0040a604 0009574d ...@y....@....WM + 25c70 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 25c80 0000003f 03030000 40b30400 02010300 ...?....@....... + 25c90 0040d204 00060000 26490103 000040db .@......&I....@. + 25ca0 04000201 03000040 e8040006 00000118 .......@........ + 25cb0 01030000 40f10400 02010300 0040fe04 ....@........@.. + 25cc0 00060000 12de0103 00004107 0400075f ..........A...._ + 25cd0 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 25ce0 424f085f 574d495f 496e6974 00000040 BO._WMI_Init...@ + 25cf0 ac022300 085f574d 495f5265 67697374 ..#.._WMI_Regist + 25d00 65724469 73706174 63685461 626c6500 erDispatchTable. + 25d10 000040d4 02230408 5f574d49 5f416c6c ..@..#.._WMI_All + 25d20 6f634576 656e7400 000040e1 02230808 ocEvent...@..#.. + 25d30 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 25d40 0040ea02 230c085f 574d495f 47657450 .@..#.._WMI_GetP + 25d50 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 25d60 74000000 40f70223 10085f57 4d495f53 t...@..#.._WMI_S + 25d70 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 25d80 65720000 00395e02 2314085f 574d495f er...9^.#.._WMI_ + 25d90 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ + 25da0 f7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo + 25db0 776e0000 00410002 231c085f 574d495f wn...A..#.._WMI_ + 25dc0 52656376 4d657373 61676548 616e646c RecvMessageHandl + 25dd0 65720000 00395502 2320085f 574d495f er...9U.# ._WMI_ + 25de0 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. + 25df0 00410d02 23240870 52657365 72766564 .A..#$.pReserved + 25e00 00000004 0d022328 00077a73 446d6144 ......#(..zsDmaD + 25e10 65736300 14000042 d1086374 726c0000 esc....B..ctrl.. + 25e20 00017c02 23000873 74617475 73000000 ..|.#..status... + 25e30 017c0223 0208746f 74616c4c 656e0000 .|.#..totalLen.. + 25e40 00017c02 23040864 61746153 697a6500 ..|.#..dataSize. + 25e50 0000017c 02230608 6c617374 41646472 ...|.#..lastAddr + 25e60 00000042 d1022308 08646174 61416464 ...B..#..dataAdd + 25e70 72000000 01a00223 0c086e65 78744164 r......#..nextAd + 25e80 64720000 0042d102 23100003 0000424f dr...B..#.....BO + 25e90 04000300 00424f04 00077a73 446d6151 .....BO...zsDmaQ + 25ea0 75657565 00080000 43110868 65616400 ueue....C..head. + 25eb0 000042d8 02230008 7465726d 696e6174 ..B..#..terminat + 25ec0 6f720000 0042d802 23040007 7a735478 or...B..#...zsTx + 25ed0 446d6151 75657565 00100000 43750868 DmaQueue....Cu.h + 25ee0 65616400 000042d8 02230008 7465726d ead...B..#..term + 25ef0 696e6174 6f720000 0042d802 23040878 inator...B..#..x + 25f00 6d697465 645f6275 665f6865 61640000 mited_buf_head.. + 25f10 00143d02 23080878 6d697465 645f6275 ..=.#..xmited_bu + 25f20 665f7461 696c0000 00143d02 230c0002 f_tail....=.#... + 25f30 01030000 43750400 03000042 df040002 ....Cu.....B.... + 25f40 01030000 43850400 03000043 11040002 ....C......C.... + 25f50 01030000 43950400 02010300 00439e04 ....C........C.. + 25f60 00020103 000043a7 04000600 00143d01 ......C.......=. + 25f70 03000043 b0040002 01030000 43bd0400 ...C........C... + 25f80 06000014 3d010300 0043c604 00020103 ....=....C...... + 25f90 000043d3 04000600 00011801 03000043 ..C............C + 25fa0 dc040006 000042d8 01030000 43e90400 ......B.....C... + 25fb0 02010300 0043f604 0007646d 615f656e .....C....dma_en + 25fc0 67696e65 5f617069 00400000 456c085f gine_api.@..El._ + 25fd0 696e6974 00000043 77022300 085f696e init...Cw.#.._in + 25fe0 69745f72 785f7175 65756500 00004387 it_rx_queue...C. + 25ff0 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que + 26000 75650000 00439702 2308085f 636f6e66 ue...C..#.._conf + 26010 69675f72 785f7175 65756500 000043a0 ig_rx_queue...C. + 26020 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... + 26030 43a90223 10085f66 6c757368 5f786d69 C..#.._flush_xmi + 26040 74000000 43870223 14085f72 6561705f t...C..#.._reap_ + 26050 72656376 5f627566 00000043 b6022318 recv_buf...C..#. + 26060 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 26070 66000000 43bf0223 1c085f72 6561705f f...C..#.._reap_ + 26080 786d6974 65645f62 75660000 0043cc02 xmited_buf...C.. + 26090 2320085f 73776170 5f646174 61000000 # ._swap_data... + 260a0 43d50223 24085f68 61735f63 6f6d706c C..#$._has_compl + 260b0 5f706163 6b657473 00000043 e2022328 _packets...C..#( + 260c0 085f6465 73635f64 756d7000 00004387 ._desc_dump...C. + 260d0 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. + 260e0 000043ef 02233008 5f726563 6c61696d ..C..#0._reclaim + 260f0 5f706163 6b657400 000043f8 02233408 _packet...C..#4. + 26100 5f707574 5f706163 6b657400 000043f8 _put_packet...C. + 26110 02233808 70526573 65727665 64000000 .#8.pReserved... + 26120 040d0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ + 26130 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 26140 655f7400 000030ab 09574d49 5f535643 e_t...0..WMI_SVC + 26150 5f415049 53000000 4114175f 415f6d61 _APIS...A.._A_ma + 26160 67706965 5f696e64 69726563 74696f6e gpie_indirection + 26170 5f746162 6c650003 4c000046 9a08636d _table..L..F..cm + 26180 6e6f7300 0000456c 02230008 64626700 nos...El.#..dbg. + 26190 000003da 0323b803 08686966 00000029 .....#...hif...) + 261a0 540323c0 03086874 63000000 3ac70323 T.#...htc...:..# + 261b0 f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. + 261c0 00458e03 23ac0408 75736266 69666f5f .E..#...usbfifo_ + 261d0 61706900 0000327f 0323d804 08627566 api...2..#...buf + 261e0 5f706f6f 6c000000 37280323 e4040876 _pool...7(.#...v + 261f0 62756600 00001467 03238005 08766465 buf....g.#...vde + 26200 73630000 00134903 23940508 616c6c6f sc....I.#...allo + 26210 6372616d 00000009 3f0323a8 0508646d cram....?.#...dm + 26220 615f656e 67696e65 00000043 ff0323b4 a_engine...C..#. + 26230 0508646d 615f6c69 62000000 2be80323 ..dma_lib...+..# + 26240 f4050868 69665f70 63690000 002e4803 ...hif_pci....H. + 26250 23a80600 095f415f 6d616770 69655f69 #...._A_magpie_i + 26260 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 26270 5f740000 0045a002 01030000 46bd0400 _t...E......F... + 26280 1a5f7075 74630000 0046bf05 03005007 ._putc...F....P. + 26290 0001046c 6f6e6720 696e7400 05040600 ...long int..... + 262a0 00011801 0f000001 1f500000 46f7104f .........P..F..O + 262b0 00095f5f 676e7563 5f76615f 6c697374 ..__gnuc_va_list + 262c0 00000015 45060000 0118010f 0000011f ....E........... + 262d0 20000047 1e101f00 03000046 d804000f ..G.......F.... + 262e0 0000011f 0b000047 32100a00 03000047 .......G2......G + 262f0 2504000f 0000011f 11000047 46101000 %..........GF... + 26300 03000047 39040003 0000037b 04000f00 ...G9......{.... + 26310 00011f07 00004761 10060003 00004754 ......Ga......GT + 26320 04000300 0046f704 00030000 01450400 .....F.......E.. + 26330 02011b01 3b636d6e 6f735f77 72697465 ....;cmnos_write + 26340 5f636861 72000101 03920120 02900000 _char...... .... + 26350 8e245000 8e247a00 0047ad1c 013b6300 .$P..$z..G...;c. + 26360 0000011f 0152001d 01485f63 76740000 .....R...H_cvt.. + 26370 00011801 049201f0 00029000 008e247c ..............$| + 26380 008e24d0 0000482a 1c014876 616c0000 ..$...H*..Hval.. + 26390 0001a001 521c0148 62756600 0000037b ....R..Hbuf....{ + 263a0 01531c01 48726164 69780000 0046d801 .S..Hradix...F.. + 263b0 541c0148 64696769 74730000 00037b01 T..Hdigits....{. + 263c0 551e6370 00000003 7b1f7465 6d700000 U.cp....{.temp.. + 263d0 0046ea03 91907f1e 6c656e67 74680000 .F......length.. + 263e0 00011800 1d016063 6d6e6f73 5f767072 ......`cmnos_vpr + 263f0 696e7466 00000001 18010492 01f00002 intf............ + 26400 9000008e 24d0008e 29150000 49b31c01 ....$...)...I... + 26410 60707574 63000000 46bf0152 1c016066 `putc...F..R..`f + 26420 6d740000 00013101 531c0160 61700000 mt....1.S..`ap.. + 26430 0046f701 541e6370 00000003 7b1f6275 .F..T.cp....{.bu + 26440 66000000 47110391 907f1e76 616c0000 f...G......val.. + 26450 0046d81e 72657300 00000118 1e6c656e .F..res......len + 26460 67746800 00000118 1e630000 00011f1e gth......c...... + 26470 69736c6f 6e676c6f 6e670000 0001181e islonglong...... + 26480 69736c6f 6e670000 0001181e 7061645f islong......pad_ + 26490 6f6e5f72 69676874 00000001 181e7269 on_right......ri + 264a0 6768745f 70726563 00000001 181e6c65 ght_prec......le + 264b0 66745f70 72656300 00000118 1e7a6572 ft_prec......zer + 264c0 6f5f6669 6c6c0000 0001181e 7369676e o_fill......sign + 264d0 00000001 1f1e6f72 69675f6e 64780000 ......orig_ndx.. + 264e0 0001181e 5f5f6172 72617900 0000037b ....__array....{ + 264f0 1e6f7269 675f6e64 78000000 01181e5f .orig_ndx......_ + 26500 5f617272 61790000 00037b1e 6f726967 _array....{.orig + 26510 5f6e6478 00000001 181e5f5f 61727261 _ndx......__arra + 26520 79000000 037b1e6f 7269675f 6e647800 y....{.orig_ndx. + 26530 00000118 1e5f5f61 72726179 00000003 .....__array.... + 26540 7b1e6f72 69675f6e 64780000 0001181e {.orig_ndx...... + 26550 5f5f6172 72617900 0000037b 1e690000 __array....{.i.. + 26560 0001181e 70616400 00000118 00200101 ....pad...... .. + 26570 24636d6e 6f735f70 72696e74 66000000 $cmnos_printf... + 26580 01180101 049201d0 00029000 008e2918 ..............). + 26590 008e294b 000049fe 21010124 666d7400 ..)K..I.!..$fmt. + 265a0 00000131 01521e61 70000000 46f71e72 ...1.R.ap...F..r + 265b0 65740000 00011800 22010138 636d6e6f et......"..8cmno + 265c0 735f7072 696e7466 5f696e69 74000101 s_printf_init... + 265d0 03920120 02900000 8e294c00 8e295123 ... .....)L..)Q# + 265e0 01013c63 6d6e6f73 5f707269 6e74665f .....pri + 26770 6e74665f 61706900 08000001 82085f70 ntf_api......._p + 26780 72696e74 665f696e 69740000 00011702 rintf_init...... + 26790 2300085f 7072696e 74660000 00014402 #.._printf....D. + 267a0 23040004 73686f72 7420756e 7369676e #...short unsign + 267b0 65642069 6e740007 02097569 6e743136 ed int....uint16 + 267c0 5f740000 00018204 6c6f6e67 20756e73 _t......long uns + 267d0 69676e65 6420696e 74000704 0975696e igned int....uin + 267e0 7433325f 74000000 01a60775 6172745f t32_t......uart_ + 267f0 6669666f 00080000 02140873 74617274 fifo.......start + 26800 5f696e64 65780000 00019802 23000865 _index......#..e + 26810 6e645f69 6e646578 00000001 98022302 nd_index......#. + 26820 086f7665 7272756e 5f657272 00000001 .overrun_err.... + 26830 bb022304 00077561 72745f61 70690020 ..#...uart_api. + 26840 000002cd 085f7561 72745f69 6e697400 ....._uart_init. + 26850 00000324 02230008 5f756172 745f6368 ...$.#.._uart_ch + 26860 61725f70 75740000 00034b02 2304085f ar_put....K.#.._ + 26870 75617274 5f636861 725f6765 74000000 uart_char_get... + 26880 035f0223 08085f75 6172745f 7374725f ._.#.._uart_str_ + 26890 6f757400 00000368 02230c08 5f756172 out....h.#.._uar + 268a0 745f7461 736b0000 00011702 2310085f t_task......#.._ + 268b0 75617274 5f737461 74757300 00000324 uart_status....$ + 268c0 02231408 5f756172 745f636f 6e666967 .#.._uart_config + 268d0 00000003 71022318 085f7561 72745f68 ....q.#.._uart_h + 268e0 77696e69 74000000 037a0223 1c000300 winit....z.#.... + 268f0 00021404 00077561 72745f62 6c6b0010 ......uart_blk.. + 26900 0000031e 08646562 75675f6d 6f646500 .....debug_mode. + 26910 00000198 02230008 62617564 00000001 .....#..baud.... + 26920 98022302 085f7561 72740000 0002cd02 ..#.._uart...... + 26930 2304085f 74780000 0001c902 23080006 #.._tx......#... + 26940 000001bb 01030000 031e0400 04756e73 .............uns + 26950 69676e65 64206368 61720007 01097569 igned char....ui + 26960 6e74385f 74000000 032b0201 03000003 nt8_t....+...... + 26970 49040003 0000033c 04000600 00019801 I......<........ + 26980 03000003 59040002 01030000 03660400 ....Y........f.. + 26990 02010300 00036f04 00020103 00000378 ......o........x + 269a0 04000300 00012504 00060000 011e0103 ......%......... + 269b0 00000388 04000744 425f434f 4d4d414e .......DB_COMMAN + 269c0 445f5354 52554354 000c0000 03e00863 D_STRUCT.......c + 269d0 6d645f73 74720000 00038102 23000868 md_str......#..h + 269e0 656c705f 73747200 00000381 02230408 elp_str......#.. + 269f0 636d645f 66756e63 00000003 8e022308 cmd_func......#. + 26a00 00076462 675f6170 69000800 00041308 ..dbg_api....... + 26a10 5f646267 5f696e69 74000000 01170223 _dbg_init......# + 26a20 00085f64 62675f74 61736b00 00000117 .._dbg_task..... + 26a30 02230400 0a040004 756e7369 676e6564 .#......unsigned + 26a40 20696e74 00070406 00000413 01030000 int............ + 26a50 04260400 0b0b0300 00043404 00060000 .&........4..... + 26a60 04130103 0000043c 04000600 00011e01 .......<........ + 26a70 03000004 49040007 6d656d5f 61706900 ....I...mem_api. + 26a80 14000004 b8085f6d 656d5f69 6e697400 ......_mem_init. + 26a90 00000117 02230008 5f6d656d 73657400 .....#.._memset. + 26aa0 0000042c 02230408 5f6d656d 63707900 ...,.#.._memcpy. + 26ab0 00000442 02230808 5f6d656d 6d6f7665 ...B.#.._memmove + 26ac0 00000004 4202230c 085f6d65 6d636d70 ....B.#.._memcmp + 26ad0 00000004 4f022310 000c7265 67697374 ....O.#...regist + 26ae0 65725f64 756d705f 73000001 03000004 er_dump_s....... + 26af0 b8040002 01030000 04d20400 02010300 ................ + 26b00 0004db04 00060000 011e0103 000004e4 ................ + 26b10 04000d68 6f737469 665f7300 04000005 ...hostif_s..... + 26b20 400e4849 465f5553 4200000e 4849465f @.HIF_USB...HIF_ + 26b30 50434945 00010e48 49465f47 4d414300 PCIE...HIF_GMAC. + 26b40 020e4849 465f5043 4900030e 4849465f ..HIF_PCI...HIF_ + 26b50 4e554d00 040e4849 465f4e4f 4e450005 NUM...HIF_NONE.. + 26b60 0009415f 484f5354 49460000 0004f106 ..A_HOSTIF...... + 26b70 00000540 01030000 054e0400 06000003 ...@.....N...... + 26b80 3c010300 00055b04 00060000 01980103 <.....[......... + 26b90 00000568 0400076d 6973635f 61706900 ...h...misc_api. + 26ba0 24000006 58085f73 79737465 6d5f7265 $...X._system_re + 26bb0 73657400 00000117 02230008 5f6d6163 set......#.._mac + 26bc0 5f726573 65740000 00011702 2304085f _reset......#.._ + 26bd0 61737366 61696c00 000004d4 02230808 assfail......#.. + 26be0 5f6d6973 616c6967 6e65645f 6c6f6164 _misaligned_load + 26bf0 5f68616e 646c6572 00000004 d402230c _handler......#. + 26c00 085f7265 706f7274 5f666169 6c757265 ._report_failure + 26c10 5f746f5f 686f7374 00000004 dd022310 _to_host......#. + 26c20 085f7461 72676574 5f69645f 67657400 ._target_id_get. + 26c30 000004ea 02231408 5f69735f 686f7374 .....#.._is_host + 26c40 5f707265 73656e74 00000005 54022318 _present....T.#. + 26c50 085f6b62 68697400 00000561 02231c08 ._kbhit....a.#.. + 26c60 5f726f6d 5f766572 73696f6e 5f676574 _rom_version_get + 26c70 00000005 6e022320 00060000 03810103 ....n.# ........ + 26c80 00000658 04000600 00038101 03000006 ...X............ + 26c90 65040006 0000011e 01030000 06720400 e............r.. + 26ca0 06000001 1e010300 00067f04 00060000 ................ + 26cb0 011e0103 0000068c 04000773 7472696e ...........strin + 26cc0 675f6170 69001800 00071208 5f737472 g_api......._str + 26cd0 696e675f 696e6974 00000001 17022300 ing_init......#. + 26ce0 085f7374 72637079 00000006 5e022304 ._strcpy....^.#. + 26cf0 085f7374 726e6370 79000000 066b0223 ._strncpy....k.# + 26d00 08085f73 74726c65 6e000000 06780223 .._strlen....x.# + 26d10 0c085f73 7472636d 70000000 06850223 .._strcmp......# + 26d20 10085f73 74726e63 6d700000 00069202 .._strncmp...... + 26d30 2314000f 00000416 14000007 1f100400 #............... + 26d40 095f415f 54494d45 525f5350 41434500 ._A_TIMER_SPACE. + 26d50 00000712 09415f74 696d6572 5f740000 .....A_timer_t.. + 26d60 00071f03 00000733 04000201 03000007 .......3........ + 26d70 49040002 01030000 07520400 09415f48 I........R...A_H + 26d80 414e444c 45000000 04160201 09415f54 ANDLE........A_T + 26d90 494d4552 5f46554e 43000000 07690300 IMER_FUNC....i.. + 26da0 00076b04 00020103 00000784 04000774 ..k............t + 26db0 696d6572 5f617069 00140000 0803085f imer_api......._ + 26dc0 74696d65 725f696e 69740000 00011702 timer_init...... + 26dd0 2300085f 74696d65 725f6172 6d000000 #.._timer_arm... + 26de0 074b0223 04085f74 696d6572 5f646973 .K.#.._timer_dis + 26df0 61726d00 00000754 02230808 5f74696d arm....T.#.._tim + 26e00 65725f73 6574666e 00000007 8602230c er_setfn......#. + 26e10 085f7469 6d65725f 72756e00 00000117 ._timer_run..... + 26e20 02231000 09424f4f 4c45414e 00000001 .#...BOOLEAN.... + 26e30 98060000 08030103 00000810 04000600 ................ + 26e40 00080301 03000008 1d040006 00000803 ................ + 26e50 01030000 082a0400 07726f6d 705f6170 .....*...romp_ap + 26e60 69001000 00089c08 5f726f6d 705f696e i......._romp_in + 26e70 69740000 00011702 2300085f 726f6d70 it......#.._romp + 26e80 5f646f77 6e6c6f61 64000000 08160223 _download......# + 26e90 04085f72 6f6d705f 696e7374 616c6c00 .._romp_install. + 26ea0 00000823 02230808 5f726f6d 705f6465 ...#.#.._romp_de + 26eb0 636f6465 00000008 3002230c 0007726f code....0.#...ro + 26ec0 6d5f7061 7463685f 73740010 000008f8 m_patch_st...... + 26ed0 08637263 31360000 00019802 2300086c .crc16......#..l + 26ee0 656e0000 00019802 2302086c 645f6164 en......#..ld_ad + 26ef0 64720000 0001bb02 23040866 756e5f61 dr......#..fun_a + 26f00 64647200 000001bb 02230808 7066756e ddr......#..pfun + 26f10 00000003 5202230c 00076565 705f7265 ....R.#...eep_re + 26f20 6469725f 61646472 00040000 092a086f dir_addr.....*.o + 26f30 66667365 74000000 01980223 00087369 ffset......#..si + 26f40 7a650000 00019802 23020009 415f5549 ze......#...A_UI + 26f50 4e543332 00000004 16060000 04130103 NT32............ + 26f60 00000938 04000761 6c6c6f63 72616d5f ...8...allocram_ + 26f70 61706900 0c000009 a908636d 6e6f735f api.......cmnos_ + 26f80 616c6c6f 6372616d 5f696e69 74000000 allocram_init... + 26f90 093e0223 0008636d 6e6f735f 616c6c6f .>.#..cmnos_allo + 26fa0 6372616d 00000009 3e022304 08636d6e cram....>.#..cmn + 26fb0 6f735f61 6c6c6f63 72616d5f 64656275 os_allocram_debu + 26fc0 67000000 01170223 08000201 03000009 g......#........ + 26fd0 a9040009 415f5441 534b4c45 545f4655 ....A_TASKLET_FU + 26fe0 4e430000 0009ab07 5f746173 6b6c6574 NC......_tasklet + 26ff0 00100000 0a0a0866 756e6300 000009b2 .......func..... + 27000 02230008 61726700 00000413 02230408 .#..arg......#.. + 27010 73746174 65000000 011e0223 08086e65 state......#..ne + 27020 78740000 000a0a02 230c0003 000009c6 xt......#....... + 27030 04000300 0009c604 0009415f 7461736b ..........A_task + 27040 6c65745f 74000000 09c60300 000a1804 let_t........... + 27050 00020103 00000a30 04000201 0300000a .......0........ + 27060 39040007 7461736b 6c65745f 61706900 9...tasklet_api. + 27070 1400000a ce085f74 61736b6c 65745f69 ......_tasklet_i + 27080 6e697400 00000117 02230008 5f746173 nit......#.._tas + 27090 6b6c6574 5f696e69 745f7461 736b0000 klet_init_task.. + 270a0 000a3202 2304085f 7461736b 6c65745f ..2.#.._tasklet_ + 270b0 64697361 626c6500 00000a3b 02230808 disable....;.#.. + 270c0 5f746173 6b6c6574 5f736368 6564756c _tasklet_schedul + 270d0 65000000 0a3b0223 0c085f74 61736b6c e....;.#.._taskl + 270e0 65745f72 756e0000 00011702 23100002 et_run......#... + 270f0 01030000 0ace0400 06000009 2a010300 ............*... + 27100 000ad704 00020103 00000ae4 04000763 ...............c + 27110 6c6f636b 5f617069 00240000 0bc6085f lock_api.$....._ + 27120 636c6f63 6b5f696e 69740000 000ad002 clock_init...... + 27130 2300085f 636c6f63 6b726567 735f696e #.._clockregs_in + 27140 69740000 00011702 2304085f 75617274 it......#.._uart + 27150 5f667265 7175656e 63790000 000add02 _frequency...... + 27160 2308085f 64656c61 795f7573 0000000a #.._delay_us.... + 27170 e602230c 085f776c 616e5f62 616e645f ..#.._wlan_band_ + 27180 73657400 00000ae6 02231008 5f726566 set......#.._ref + 27190 636c6b5f 73706565 645f6765 74000000 clk_speed_get... + 271a0 0add0223 14085f6d 696c6c69 7365636f ...#.._milliseco + 271b0 6e647300 00000add 02231808 5f737973 nds......#.._sys + 271c0 636c6b5f 6368616e 67650000 00011702 clk_change...... + 271d0 231c085f 636c6f63 6b5f7469 636b0000 #.._clock_tick.. + 271e0 00011702 23200006 000001bb 01030000 ....# .......... + 271f0 0bc60400 09415f6f 6c645f69 6e74725f .....A_old_intr_ + 27200 74000000 01bb0600 000bd301 0300000b t............... + 27210 e5040002 01030000 0bf20400 02010300 ................ + 27220 000bfb04 00060000 01bb0103 00000c04 ................ + 27230 04000941 5f697372 5f740000 000c0a02 ...A_isr_t...... + 27240 01030000 0c1e0400 06000004 16010300 ................ + 27250 000c2704 00020103 00000c34 04000769 ..'........4...i + 27260 6e74725f 61706900 2c00000d 56085f69 ntr_api.,...V._i + 27270 6e74725f 696e6974 00000001 17022300 ntr_init......#. + 27280 085f696e 74725f69 6e766f6b 655f6973 ._intr_invoke_is + 27290 72000000 0bcc0223 04085f69 6e74725f r......#.._intr_ + 272a0 64697361 626c6500 00000beb 02230808 disable......#.. + 272b0 5f696e74 725f7265 73746f72 65000000 _intr_restore... + 272c0 0bf40223 0c085f69 6e74725f 6d61736b ...#.._intr_mask + 272d0 5f696e75 6d000000 0bfd0223 10085f69 _inum......#.._i + 272e0 6e74725f 756e6d61 736b5f69 6e756d00 ntr_unmask_inum. + 272f0 00000bfd 02231408 5f696e74 725f6174 .....#.._intr_at + 27300 74616368 5f697372 0000000c 20022318 tach_isr.... .#. + 27310 085f6765 745f696e 7472656e 61626c65 ._get_intrenable + 27320 0000000c 2d02231c 085f7365 745f696e ....-.#.._set_in + 27330 7472656e 61626c65 0000000c 36022320 trenable....6.# + 27340 085f6765 745f696e 74727065 6e64696e ._get_intrpendin + 27350 67000000 0c2d0223 24085f75 6e626c6f g....-.#$._unblo + 27360 636b5f61 6c6c5f69 6e74726c 766c0000 ck_all_intrlvl.. + 27370 00011702 23280011 0400000d 7c087469 ....#(......|.ti + 27380 6d656f75 74000000 01bb0223 00086163 meout......#..ac + 27390 74696f6e 00000001 bb022300 00120800 tion......#..... + 273a0 000d9708 636d6400 000001bb 02230013 ....cmd......#.. + 273b0 00000d56 02230400 09545f57 44545f43 ...V.#...T_WDT_C + 273c0 4d440000 000d7c02 01030000 0da60400 MD....|......... + 273d0 14040000 0dfc0e45 4e554d5f 5744545f .......ENUM_WDT_ + 273e0 424f4f54 00010e45 4e554d5f 434f4c44 BOOT...ENUM_COLD + 273f0 5f424f4f 5400020e 454e554d 5f535553 _BOOT...ENUM_SUS + 27400 505f424f 4f540003 0e454e55 4d5f554e P_BOOT...ENUM_UN + 27410 4b4e4f57 4e5f424f 4f540004 0009545f KNOWN_BOOT....T_ + 27420 424f4f54 5f545950 45000000 0daf0600 BOOT_TYPE....... + 27430 000dfc01 0300000e 0d040007 7764745f ............wdt_ + 27440 61706900 1c00000e b1085f77 64745f69 api......._wdt_i + 27450 6e697400 00000117 02230008 5f776474 nit......#.._wdt + 27460 5f656e61 626c6500 00000117 02230408 _enable......#.. + 27470 5f776474 5f646973 61626c65 00000001 _wdt_disable.... + 27480 17022308 085f7764 745f7365 74000000 ..#.._wdt_set... + 27490 0da80223 0c085f77 64745f74 61736b00 ...#.._wdt_task. + 274a0 00000117 02231008 5f776474 5f726573 .....#.._wdt_res + 274b0 65740000 00011702 2314085f 7764745f et......#.._wdt_ + 274c0 6c617374 5f626f6f 74000000 0e130223 last_boot......# + 274d0 18001404 00000f18 0e524554 5f535543 .........RET_SUC + 274e0 43455353 00000e52 45545f4e 4f545f49 CESS...RET_NOT_I + 274f0 4e495400 010e5245 545f4e4f 545f4558 NIT...RET_NOT_EX + 27500 49535400 020e5245 545f4545 505f434f IST...RET_EEP_CO + 27510 52525550 5400030e 5245545f 4545505f RRUPT...RET_EEP_ + 27520 4f564552 464c4f57 00040e52 45545f55 OVERFLOW...RET_U + 27530 4e4b4e4f 574e0005 0009545f 4545505f NKNOWN....T_EEP_ + 27540 52455400 00000eb1 03000001 98040006 RET............. + 27550 00000f18 01030000 0f2e0400 0600000f ................ + 27560 18010300 000f3b04 00076565 705f6170 ......;...eep_ap + 27570 69001000 000fa408 5f656570 5f696e69 i......._eep_ini + 27580 74000000 01170223 00085f65 65705f72 t......#.._eep_r + 27590 65616400 00000f34 02230408 5f656570 ead....4.#.._eep + 275a0 5f777269 74650000 000f3402 2308085f _write....4.#.._ + 275b0 6565705f 69735f65 78697374 0000000f eep_is_exist.... + 275c0 4102230c 00077573 625f6170 69007000 A.#...usb_api.p. + 275d0 00125108 5f757362 5f696e69 74000000 ..Q._usb_init... + 275e0 01170223 00085f75 73625f72 6f6d5f74 ...#.._usb_rom_t + 275f0 61736b00 00000117 02230408 5f757362 ask......#.._usb + 27600 5f66775f 7461736b 00000001 17022308 _fw_task......#. + 27610 085f7573 625f696e 69745f70 68790000 ._usb_init_phy.. + 27620 00011702 230c085f 7573625f 6570305f ....#.._usb_ep0_ + 27630 73657475 70000000 01170223 10085f75 setup......#.._u + 27640 73625f65 70305f74 78000000 01170223 sb_ep0_tx......# + 27650 14085f75 73625f65 70305f72 78000000 .._usb_ep0_rx... + 27660 01170223 18085f75 73625f67 65745f69 ...#.._usb_get_i + 27670 6e746572 66616365 00000008 2302231c nterface....#.#. + 27680 085f7573 625f7365 745f696e 74657266 ._usb_set_interf + 27690 61636500 00000823 02232008 5f757362 ace....#.# ._usb + 276a0 5f676574 5f636f6e 66696775 72617469 _get_configurati + 276b0 6f6e0000 00082302 2324085f 7573625f on....#.#$._usb_ + 276c0 7365745f 636f6e66 69677572 6174696f set_configuratio + 276d0 6e000000 08230223 28085f75 73625f73 n....#.#(._usb_s + 276e0 74616e64 6172645f 636d6400 00000823 tandard_cmd....# + 276f0 02232c08 5f757362 5f76656e 646f725f .#,._usb_vendor_ + 27700 636d6400 00000117 02233008 5f757362 cmd......#0._usb + 27710 5f706f77 65725f6f 66660000 00011702 _power_off...... + 27720 2334085f 7573625f 72657365 745f6669 #4._usb_reset_fi + 27730 666f0000 00011702 2338085f 7573625f fo......#8._usb_ + 27740 67656e5f 77647400 00000117 02233c08 gen_wdt......#<. + 27750 5f757362 5f6a756d 705f626f 6f740000 _usb_jump_boot.. + 27760 00011702 2340085f 7573625f 636c725f ....#@._usb_clr_ + 27770 66656174 75726500 00000823 02234408 feature....#.#D. + 27780 5f757362 5f736574 5f666561 74757265 _usb_set_feature + 27790 00000008 23022348 085f7573 625f7365 ....#.#H._usb_se + 277a0 745f6164 64726573 73000000 08230223 t_address....#.# + 277b0 4c085f75 73625f67 65745f64 65736372 L._usb_get_descr + 277c0 6970746f 72000000 08230223 50085f75 iptor....#.#P._u + 277d0 73625f67 65745f73 74617475 73000000 sb_get_status... + 277e0 08230223 54085f75 73625f73 65747570 .#.#T._usb_setup + 277f0 5f646573 63000000 01170223 58085f75 _desc......#X._u + 27800 73625f72 65675f6f 75740000 00011702 sb_reg_out...... + 27810 235c085f 7573625f 73746174 75735f69 #\._usb_status_i + 27820 6e000000 01170223 60085f75 73625f65 n......#`._usb_e + 27830 70305f74 785f6461 74610000 00011702 p0_tx_data...... + 27840 2364085f 7573625f 6570305f 72785f64 #d._usb_ep0_rx_d + 27850 61746100 00000117 02236808 5f757362 ata......#h._usb + 27860 5f636c6b 5f696e69 74000000 01170223 _clk_init......# + 27870 6c00075f 56444553 43002400 0012dd08 l.._VDESC.$..... + 27880 6e657874 5f646573 63000000 12dd0223 next_desc......# + 27890 00086275 665f6164 64720000 0012f102 ..buf_addr...... + 278a0 23040862 75665f73 697a6500 000012f8 #..buf_size..... + 278b0 02230808 64617461 5f6f6666 73657400 .#..data_offset. + 278c0 000012f8 02230a08 64617461 5f73697a .....#..data_siz + 278d0 65000000 12f80223 0c08636f 6e74726f e......#..contro + 278e0 6c000000 12f80223 0e086877 5f646573 l......#..hw_des + 278f0 635f6275 66000000 13060223 10000300 c_buf......#.... + 27900 00125104 0009415f 55494e54 38000000 ..Q...A_UINT8... + 27910 032b0300 0012e404 0009415f 55494e54 .+........A_UINT + 27920 31360000 0001820f 000012e4 14000013 16.............. + 27930 13101300 03000012 51040009 56444553 ........Q...VDES + 27940 43000000 12510300 00131a04 00060000 C....Q.......... + 27950 13250103 0000132c 04000600 0012f101 .%.....,........ + 27960 03000013 39040002 01030000 13460400 ....9........F.. + 27970 07766465 73635f61 70690014 000013be .vdesc_api...... + 27980 085f696e 69740000 000ae602 2300085f ._init......#.._ + 27990 616c6c6f 635f7664 65736300 00001332 alloc_vdesc....2 + 279a0 02230408 5f676574 5f68775f 64657363 .#.._get_hw_desc + 279b0 00000013 3f022308 085f7377 61705f76 ....?.#.._swap_v + 279c0 64657363 00000013 4802230c 08705265 desc....H.#..pRe + 279d0 73657276 65640000 00041302 23100007 served......#... + 279e0 5f564255 46002000 00141e08 64657363 _VBUF. .....desc + 279f0 5f6c6973 74000000 13250223 00086e65 _list....%.#..ne + 27a00 78745f62 75660000 00141e02 23040862 xt_buf......#..b + 27a10 75665f6c 656e6774 68000000 12f80223 uf_length......# + 27a20 08087265 73657276 65640000 00142502 ..reserved....%. + 27a30 230a0863 74780000 00130602 230c0003 #..ctx......#... + 27a40 000013be 04000f00 0012e402 00001432 ...............2 + 27a50 10010003 000013be 04000956 42554600 ...........VBUF. + 27a60 000013be 03000014 39040006 00001443 ........9......C + 27a70 01030000 144a0400 06000014 43010300 .....J......C... + 27a80 00145704 00020103 00001464 04000776 ..W........d...v + 27a90 6275665f 61706900 14000014 e2085f69 buf_api......._i + 27aa0 6e697400 00000ae6 02230008 5f616c6c nit......#.._all + 27ab0 6f635f76 62756600 00001450 02230408 oc_vbuf....P.#.. + 27ac0 5f616c6c 6f635f76 6275665f 77697468 _alloc_vbuf_with + 27ad0 5f73697a 65000000 145d0223 08085f66 _size....].#.._f + 27ae0 7265655f 76627566 00000014 6602230c ree_vbuf....f.#. + 27af0 08705265 73657276 65640000 00041302 .pReserved...... + 27b00 23100007 5f5f6164 665f6465 76696365 #...__adf_device + 27b10 00040000 15040864 756d6d79 00000001 .......dummy.... + 27b20 1e022300 00030000 092a0400 075f5f61 ..#......*...__a + 27b30 64665f64 6d615f6d 6170000c 0000154b df_dma_map.....K + 27b40 08627566 00000014 43022300 0864735f .buf....C.#..ds_ + 27b50 61646472 00000015 04022304 0864735f addr......#..ds_ + 27b60 6c656e00 000012f8 02230800 120c0000 len......#...... + 27b70 1585085f 5f76615f 73746b00 00000381 ...__va_stk..... + 27b80 02230008 5f5f7661 5f726567 00000003 .#..__va_reg.... + 27b90 81022304 085f5f76 615f6e64 78000000 ..#..__va_ndx... + 27ba0 011e0223 0800095f 5f616466 5f6f735f ...#...__adf_os_ + 27bb0 646d615f 61646472 5f740000 00092a09 dma_addr_t....*. + 27bc0 6164665f 6f735f64 6d615f61 6464725f adf_os_dma_addr_ + 27bd0 74000000 1585095f 5f616466 5f6f735f t......__adf_os_ + 27be0 646d615f 73697a65 5f740000 00092a09 dma_size_t....*. + 27bf0 6164665f 6f735f64 6d615f73 697a655f adf_os_dma_size_ + 27c00 74000000 15b5075f 5f646d61 5f736567 t......__dma_seg + 27c10 73000800 00161108 70616464 72000000 s.......paddr... + 27c20 159e0223 00086c65 6e000000 15ce0223 ...#..len......# + 27c30 0400095f 5f615f75 696e7433 325f7400 ...__a_uint32_t. + 27c40 0000092a 09615f75 696e7433 325f7400 ...*.a_uint32_t. + 27c50 00001611 0f000015 e5080000 16401000 .............@.. + 27c60 00076164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 27c70 696e666f 000c0000 1679086e 73656773 info.....y.nsegs + 27c80 00000016 23022300 08646d61 5f736567 ....#.#..dma_seg + 27c90 73000000 16330223 0400095f 5f615f75 s....3.#...__a_u + 27ca0 696e7438 5f740000 0012e409 615f7569 int8_t......a_ui + 27cb0 6e74385f 74000000 16790300 00168a04 nt8_t....y...... + 27cc0 00075f5f 73675f73 65677300 08000016 ..__sg_segs..... + 27cd0 cb087661 64647200 00001699 02230008 ..vaddr......#.. + 27ce0 6c656e00 00001623 02230400 0f000016 len....#.#...... + 27cf0 a0200000 16d81003 00076164 665f6f73 . ........adf_os + 27d00 5f73676c 69737400 24000017 0b086e73 _sglist.$.....ns + 27d10 65677300 00001623 02230008 73675f73 egs....#.#..sg_s + 27d20 65677300 000016cb 02230400 12100000 egs......#...... + 27d30 17540876 656e646f 72000000 16230223 .T.vendor....#.# + 27d40 00086465 76696365 00000016 23022304 ..device....#.#. + 27d50 08737562 76656e64 6f720000 00162302 .subvendor....#. + 27d60 23080873 75626465 76696365 00000016 #..subdevice.... + 27d70 2302230c 00046c6f 6e67206c 6f6e6720 #.#...long long + 27d80 756e7369 676e6564 20696e74 00070809 unsigned int.... + 27d90 415f5549 4e543634 00000017 54095f5f A_UINT64....T.__ + 27da0 615f7569 6e743634 5f740000 00176e09 a_uint64_t....n. + 27db0 615f7569 6e743634 5f740000 00177c14 a_uint64_t....|. + 27dc0 04000017 da0e4144 465f4f53 5f524553 ......ADF_OS_RES + 27dd0 4f555243 455f5459 50455f4d 454d0000 OURCE_TYPE_MEM.. + 27de0 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 27df0 5f545950 455f494f 00010009 6164665f _TYPE_IO....adf_ + 27e00 6f735f72 65736f75 7263655f 74797065 os_resource_type + 27e10 5f740000 00179e12 18000018 24087374 _t..........$.st + 27e20 61727400 0000178e 02230008 656e6400 art......#..end. + 27e30 0000178e 02230808 74797065 00000017 .....#..type.... + 27e40 da022310 00096164 665f6f73 5f706369 ..#...adf_os_pci + 27e50 5f646576 5f69645f 74000000 170b0300 _dev_id_t....... + 27e60 00182404 00110400 00186308 70636900 ..$.......c.pci. + 27e70 0000183d 02230008 72617700 00000413 ...=.#..raw..... + 27e80 02230000 11100000 18820870 63690000 .#.........pci.. + 27e90 00182402 23000872 61770000 00041302 ..$.#..raw...... + 27ea0 23000009 6164665f 6472765f 68616e64 #...adf_drv_hand + 27eb0 6c655f74 00000004 13096164 665f6f73 le_t......adf_os + 27ec0 5f726573 6f757263 655f7400 000017f6 _resource_t..... + 27ed0 03000018 98040009 6164665f 6f735f61 ........adf_os_a + 27ee0 74746163 685f6461 74615f74 00000018 ttach_data_t.... + 27ef0 63030000 18b60400 03000014 e2040009 c............... + 27f00 5f5f6164 665f6f73 5f646576 6963655f __adf_os_device_ + 27f10 74000000 18d70961 64665f6f 735f6465 t......adf_os_de + 27f20 76696365 5f740000 0018de06 00001882 vice_t.......... + 27f30 01030000 190a0400 02010300 00191704 ................ + 27f40 00096164 665f6f73 5f706d5f 74000000 ..adf_os_pm_t... + 27f50 04130201 03000019 31040014 04000019 ........1....... + 27f60 710e4144 465f4f53 5f425553 5f545950 q.ADF_OS_BUS_TYP + 27f70 455f5043 4900010e 4144465f 4f535f42 E_PCI...ADF_OS_B + 27f80 55535f54 5950455f 47454e45 52494300 US_TYPE_GENERIC. + 27f90 02000961 64665f6f 735f6275 735f7479 ...adf_os_bus_ty + 27fa0 70655f74 00000019 3a096164 665f6f73 pe_t....:.adf_os + 27fb0 5f627573 5f726567 5f646174 615f7400 _bus_reg_data_t. + 27fc0 00001844 03000003 2b040007 5f616466 ...D....+..._adf + 27fd0 5f647276 5f696e66 6f002000 001a4e08 _drv_info. ...N. + 27fe0 6472765f 61747461 63680000 00191002 drv_attach...... + 27ff0 23000864 72765f64 65746163 68000000 #..drv_detach... + 28000 19190223 04086472 765f7375 7370656e ...#..drv_suspen + 28010 64000000 19330223 08086472 765f7265 d....3.#..drv_re + 28020 73756d65 00000019 1902230c 08627573 sume......#..bus + 28030 5f747970 65000000 19710223 10086275 _type....q.#..bu + 28040 735f6461 74610000 00198802 2314086d s_data......#..m + 28050 6f645f6e 616d6500 000019a3 02231808 od_name......#.. + 28060 69666e61 6d650000 0019a302 231c0009 ifname......#... + 28070 6164665f 6f735f68 616e646c 655f7400 adf_os_handle_t. + 28080 00000413 03000016 79040002 01020109 ........y....... + 28090 5f5f6164 665f6f73 5f73697a 655f7400 __adf_os_size_t. + 280a0 00000416 14040000 1a9d0e41 5f46414c ...........A_FAL + 280b0 53450000 0e415f54 52554500 01000961 SE...A_TRUE....a + 280c0 5f626f6f 6c5f7400 00001a83 03000015 _bool_t......... + 280d0 0b040009 5f5f6164 665f6f73 5f646d61 ....__adf_os_dma + 280e0 5f6d6170 5f740000 001aab02 010d6164 _map_t........ad + 280f0 665f6f73 5f636163 68655f73 796e6300 f_os_cache_sync. + 28100 0400001b 350e4144 465f5359 4e435f50 ....5.ADF_SYNC_P + 28110 52455245 41440000 0e414446 5f53594e REREAD...ADF_SYN + 28120 435f5052 45575249 54450002 0e414446 C_PREWRITE...ADF + 28130 5f53594e 435f504f 53545245 41440001 _SYNC_POSTREAD.. + 28140 0e414446 5f53594e 435f504f 53545752 .ADF_SYNC_POSTWR + 28150 49544500 03000961 64665f6f 735f6361 ITE....adf_os_ca + 28160 6368655f 73796e63 5f740000 001acc02 che_sync_t...... + 28170 01096164 665f6f73 5f73697a 655f7400 ..adf_os_size_t. + 28180 00001a6e 0600001b 50010961 64665f6f ...n....P..adf_o + 28190 735f646d 615f6d61 705f7400 00001ab2 s_dma_map_t..... + 281a0 0300001b 69040006 00000413 01030000 ....i........... + 281b0 1ab20400 06000004 13010201 06000015 ................ + 281c0 9e010201 0473686f 72742069 6e740005 .....short int.. + 281d0 0209415f 494e5431 36000000 1ba3095f ..A_INT16......_ + 281e0 5f615f69 6e743136 5f740000 001bb009 _a_int16_t...... + 281f0 615f696e 7431365f 74000000 1bbd0473 a_int16_t......s + 28200 69676e65 64206368 61720005 0109415f igned char....A_ + 28210 494e5438 0000001b dd095f5f 615f696e INT8......__a_in + 28220 74385f74 0000001b ec09615f 696e7438 t8_t......a_int8 + 28230 5f740000 001bf812 0c00001c 6f087375 _t..........o.su + 28240 70706f72 74656400 00001623 02230008 pported....#.#.. + 28250 61647665 7274697a 65640000 00162302 advertized....#. + 28260 23040873 70656564 0000001b ce022308 #..speed......#. + 28270 08647570 6c657800 00001c08 02230a08 .duplex......#.. + 28280 6175746f 6e656700 0000168a 02230b00 autoneg......#.. + 28290 0f000016 8a060000 1c7c1005 00076164 .........|....ad + 282a0 665f6e65 745f6574 68616464 72000600 f_net_ethaddr... + 282b0 001ca008 61646472 0000001c 6f022300 ....addr....o.#. + 282c0 00095f5f 615f7569 6e743136 5f740000 ..__a_uint16_t.. + 282d0 0012f809 615f7569 6e743136 5f740000 ....a_uint16_t.. + 282e0 001ca012 0e00001d 04086574 6865725f ..........ether_ + 282f0 64686f73 74000000 1c6f0223 00086574 dhost....o.#..et + 28300 6865725f 73686f73 74000000 1c6f0223 her_shost....o.# + 28310 06086574 6865725f 74797065 0000001c ..ether_type.... + 28320 b202230c 00121400 001dc515 69705f76 ..#.........ip_v + 28330 65727369 6f6e0000 00168a01 00040223 ersion.........# + 28340 00156970 5f686c00 0000168a 01040402 ..ip_hl......... + 28350 23000869 705f746f 73000000 168a0223 #..ip_tos......# + 28360 01086970 5f6c656e 0000001c b2022302 ..ip_len......#. + 28370 0869705f 69640000 001cb202 23040869 .ip_id......#..i + 28380 705f6672 61675f6f 66660000 001cb202 p_frag_off...... + 28390 23060869 705f7474 6c000000 168a0223 #..ip_ttl......# + 283a0 08086970 5f70726f 746f0000 00168a02 ..ip_proto...... + 283b0 23090869 705f6368 65636b00 00001cb2 #..ip_check..... + 283c0 02230a08 69705f73 61646472 00000016 .#..ip_saddr.... + 283d0 2302230c 0869705f 64616464 72000000 #.#..ip_daddr... + 283e0 16230223 10000761 64665f6e 65745f76 .#.#...adf_net_v + 283f0 6c616e68 64720004 00001e17 08747069 lanhdr.......tpi + 28400 64000000 1cb20223 00157072 696f0000 d......#..prio.. + 28410 00168a01 00030223 02156366 69000000 .......#..cfi... + 28420 168a0103 01022302 15766964 0000001c ......#..vid.... + 28430 b202040c 02230200 07616466 5f6e6574 .....#...adf_net + 28440 5f766964 00020000 1e481572 65730000 _vid.....H.res.. + 28450 00168a01 00040223 00157661 6c000000 .......#..val... + 28460 1cb20204 0c022300 00120c00 001e8408 ......#......... + 28470 72785f62 75667369 7a650000 00162302 rx_bufsize....#. + 28480 23000872 785f6e64 65736300 00001623 #..rx_ndesc....# + 28490 02230408 74785f6e 64657363 00000016 .#..tx_ndesc.... + 284a0 23022308 00120800 001eaa08 706f6c6c #.#.........poll + 284b0 65640000 001a9d02 23000870 6f6c6c5f ed......#..poll_ + 284c0 77740000 00162302 2304000f 0000168a wt....#.#....... + 284d0 4000001e b7103f00 12460000 1edf0869 @.....?..F.....i + 284e0 665f6e61 6d650000 001eaa02 23000864 f_name......#..d + 284f0 65765f61 64647200 00001c6f 02234000 ev_addr....o.#@. + 28500 14040000 1f160e41 44465f4f 535f444d .......ADF_OS_DM + 28510 415f4d41 534b5f33 32424954 00000e41 A_MASK_32BIT...A + 28520 44465f4f 535f444d 415f4d41 534b5f36 DF_OS_DMA_MASK_6 + 28530 34424954 00010009 6164665f 6f735f64 4BIT....adf_os_d + 28540 6d615f6d 61736b5f 74000000 1edf0761 ma_mask_t......a + 28550 64665f64 6d615f69 6e666f00 0800001f df_dma_info..... + 28560 6308646d 615f6d61 736b0000 001f1602 c.dma_mask...... + 28570 23000873 675f6e73 65677300 00001623 #..sg_nsegs....# + 28580 02230400 14040000 1fb90e41 44465f4e .#.........ADF_N + 28590 45545f43 4b53554d 5f4e4f4e 4500000e ET_CKSUM_NONE... + 285a0 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 285b0 505f5544 505f4950 76340001 0e414446 P_UDP_IPv4...ADF + 285c0 5f4e4554 5f434b53 554d5f54 43505f55 _NET_CKSUM_TCP_U + 285d0 44505f49 50763600 02000961 64665f6e DP_IPv6....adf_n + 285e0 65745f63 6b73756d 5f747970 655f7400 et_cksum_type_t. + 285f0 00001f63 12080000 1ffc0874 785f636b ...c.......tx_ck + 28600 73756d00 00001fb9 02230008 72785f63 sum......#..rx_c + 28610 6b73756d 0000001f b9022304 00096164 ksum......#...ad + 28620 665f6e65 745f636b 73756d5f 696e666f f_net_cksum_info + 28630 5f740000 001fd314 04000020 550e4144 _t......... U.AD + 28640 465f4e45 545f5453 4f5f4e4f 4e450000 F_NET_TSO_NONE.. + 28650 0e414446 5f4e4554 5f54534f 5f495056 .ADF_NET_TSO_IPV + 28660 3400010e 4144465f 4e45545f 54534f5f 4...ADF_NET_TSO_ + 28670 414c4c00 02000961 64665f6e 65745f74 ALL....adf_net_t + 28680 736f5f74 7970655f 74000000 20161210 so_type_t... ... + 28690 000020a9 08636b73 756d5f63 61700000 .. ..cksum_cap.. + 286a0 001ffc02 23000874 736f0000 00205502 ....#..tso... U. + 286b0 23080876 6c616e5f 73757070 6f727465 #..vlan_supporte + 286c0 64000000 168a0223 0c001220 00002142 d......#... ..!B + 286d0 0874785f 7061636b 65747300 00001623 .tx_packets....# + 286e0 02230008 72785f70 61636b65 74730000 .#..rx_packets.. + 286f0 00162302 23040874 785f6279 74657300 ..#.#..tx_bytes. + 28700 00001623 02230808 72785f62 79746573 ...#.#..rx_bytes + 28710 00000016 2302230c 0874785f 64726f70 ....#.#..tx_drop + 28720 70656400 00001623 02231008 72785f64 ped....#.#..rx_d + 28730 726f7070 65640000 00162302 23140872 ropped....#.#..r + 28740 785f6572 726f7273 00000016 23022318 x_errors....#.#. + 28750 0874785f 6572726f 72730000 00162302 .tx_errors....#. + 28760 231c0009 6164665f 6e65745f 65746861 #...adf_net_etha + 28770 6464725f 74000000 1c7c1600 00214203 ddr_t....|...!B. + 28780 00000021 67107f00 17616466 5f6e6574 ...!g....adf_net + 28790 5f636d64 5f6d6361 64647200 03040000 _cmd_mcaddr..... + 287a0 219e086e 656c656d 00000016 23022300 !..nelem....#.#. + 287b0 086d6361 73740000 00215902 23040009 .mcast...!Y.#... + 287c0 6164665f 6e65745f 636d645f 6c696e6b adf_net_cmd_link + 287d0 5f696e66 6f5f7400 00001c16 09616466 _info_t......adf + 287e0 5f6e6574 5f636d64 5f706f6c 6c5f696e _net_cmd_poll_in + 287f0 666f5f74 0000001e 84096164 665f6e65 fo_t......adf_ne + 28800 745f636d 645f636b 73756d5f 696e666f t_cmd_cksum_info + 28810 5f740000 001ffc09 6164665f 6e65745f _t......adf_net_ + 28820 636d645f 72696e67 5f696e66 6f5f7400 cmd_ring_info_t. + 28830 00001e48 09616466 5f6e6574 5f636d64 ...H.adf_net_cmd + 28840 5f646d61 5f696e66 6f5f7400 00001f2d _dma_info_t....- + 28850 09616466 5f6e6574 5f636d64 5f766964 .adf_net_cmd_vid + 28860 5f740000 001cb209 6164665f 6e65745f _t......adf_net_ + 28870 636d645f 6f66666c 6f61645f 6361705f cmd_offload_cap_ + 28880 74000000 206d0961 64665f6e 65745f63 t... m.adf_net_c + 28890 6d645f73 74617473 5f740000 0020a909 md_stats_t... .. + 288a0 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad + 288b0 64725f74 00000021 670d6164 665f6e65 dr_t...!g.adf_ne + 288c0 745f636d 645f6d63 6173745f 63617000 t_cmd_mcast_cap. + 288d0 04000022 e00e4144 465f4e45 545f4d43 ..."..ADF_NET_MC + 288e0 4153545f 53555000 000e4144 465f4e45 AST_SUP...ADF_NE + 288f0 545f4d43 4153545f 4e4f5453 55500001 T_MCAST_NOTSUP.. + 28900 00096164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 28910 6173745f 6361705f 74000000 22981803 ast_cap_t..."... + 28920 04000023 b2086c69 6e6b5f69 6e666f00 ...#..link_info. + 28930 0000219e 02230008 706f6c6c 5f696e66 ..!..#..poll_inf + 28940 6f000000 21bb0223 0008636b 73756d5f o...!..#..cksum_ + 28950 696e666f 00000021 d8022300 0872696e info...!..#..rin + 28960 675f696e 666f0000 0021f602 23000864 g_info...!..#..d + 28970 6d615f69 6e666f00 00002213 02230008 ma_info..."..#.. + 28980 76696400 0000222f 02230008 6f66666c vid..."/.#..offl + 28990 6f61645f 63617000 00002246 02230008 oad_cap..."F.#.. + 289a0 73746174 73000000 22650223 00086d63 stats..."e.#..mc + 289b0 6173745f 696e666f 00000022 7e022300 ast_info..."~.#. + 289c0 086d6361 73745f63 61700000 0022e002 .mcast_cap...".. + 289d0 23000014 04000024 090e4144 465f4e42 #......$..ADF_NB + 289e0 55465f52 585f434b 53554d5f 4e4f4e45 UF_RX_CKSUM_NONE + 289f0 00000e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 28a00 4b53554d 5f485700 010e4144 465f4e42 KSUM_HW...ADF_NB + 28a10 55465f52 585f434b 53554d5f 554e4e45 UF_RX_CKSUM_UNNE + 28a20 43455353 41525900 02000961 64665f6e CESSARY....adf_n + 28a30 6275665f 72785f63 6b73756d 5f747970 buf_rx_cksum_typ + 28a40 655f7400 000023b2 12080000 24490872 e_t...#.....$I.r + 28a50 6573756c 74000000 24090223 00087661 esult...$..#..va + 28a60 6c000000 16230223 04001208 00002479 l....#.#......$y + 28a70 08747970 65000000 20550223 00086d73 .type... U.#..ms + 28a80 73000000 1cb20223 04086864 725f6f66 s......#..hdr_of + 28a90 66000000 168a0223 0600075f 5f616466 f......#...__adf + 28aa0 5f6e6275 665f7168 65616400 0c000024 _nbuf_qhead....$ + 28ab0 b8086865 61640000 00144302 23000874 ..head....C.#..t + 28ac0 61696c00 00001443 02230408 716c656e ail....C.#..qlen + 28ad0 00000016 23022308 00095f5f 6164665f ....#.#...__adf_ + 28ae0 6e627566 5f740000 00144303 00001699 nbuf_t....C..... + 28af0 04000300 00162304 00020106 00001325 ......#........% + 28b00 01060000 16230106 00001699 01060000 .....#.......... + 28b10 16990103 00001306 0400095f 5f616466 ...........__adf + 28b20 5f6e6275 665f7168 6561645f 74000000 _nbuf_qhead_t... + 28b30 2479095f 5f616466 5f6e6275 665f7175 $y.__adf_nbuf_qu + 28b40 6575655f 74000000 24f90300 00251104 eue_t...$....%.. + 28b50 00060000 24b80106 000024b8 01140400 ....$.....$..... + 28b60 0026310e 415f5354 41545553 5f4f4b00 .&1.A_STATUS_OK. + 28b70 000e415f 53544154 55535f46 41494c45 ..A_STATUS_FAILE + 28b80 4400010e 415f5354 41545553 5f454e4f D...A_STATUS_ENO + 28b90 454e5400 020e415f 53544154 55535f45 ENT...A_STATUS_E + 28ba0 4e4f4d45 4d00030e 415f5354 41545553 NOMEM...A_STATUS + 28bb0 5f45494e 56414c00 040e415f 53544154 _EINVAL...A_STAT + 28bc0 55535f45 494e5052 4f475245 53530005 US_EINPROGRESS.. + 28bd0 0e415f53 54415455 535f454e 4f545355 .A_STATUS_ENOTSU + 28be0 50500006 0e415f53 54415455 535f4542 PP...A_STATUS_EB + 28bf0 55535900 070e415f 53544154 55535f45 USY...A_STATUS_E + 28c00 32424947 00080e41 5f535441 5455535f 2BIG...A_STATUS_ + 28c10 45414444 524e4f54 41564149 4c00090e EADDRNOTAVAIL... + 28c20 415f5354 41545553 5f454e58 494f000a A_STATUS_ENXIO.. + 28c30 0e415f53 54415455 535f4546 41554c54 .A_STATUS_EFAULT + 28c40 000b0e41 5f535441 5455535f 45494f00 ...A_STATUS_EIO. + 28c50 0c000961 5f737461 7475735f 74000000 ...a_status_t... + 28c60 253c0600 00263101 06000001 1e010201 %<...&1......... + 28c70 09616466 5f6e6275 665f7400 000024b8 .adf_nbuf_t...$. + 28c80 14040000 26960e41 44465f4f 535f444d ....&..ADF_OS_DM + 28c90 415f544f 5f444556 49434500 000e4144 A_TO_DEVICE...AD + 28ca0 465f4f53 5f444d41 5f46524f 4d5f4445 F_OS_DMA_FROM_DE + 28cb0 56494345 00010009 6164665f 6f735f64 VICE....adf_os_d + 28cc0 6d615f64 69725f74 00000026 5f060000 ma_dir_t...&_... + 28cd0 26310102 01096164 665f6f73 5f646d61 &1....adf_os_dma + 28ce0 6d61705f 696e666f 5f740000 00164003 map_info_t....@. + 28cf0 000026b4 04000201 02010600 00264f01 ..&..........&O. + 28d00 06000024 b8010201 02010600 00264f01 ...$.........&O. + 28d10 06000024 b8010600 00264f01 06000024 ...$.....&O....$ + 28d20 b8010600 00264f01 02010201 06000016 .....&O......... + 28d30 23010600 00169901 02010201 0600001b #............... + 28d40 50010600 001a9d01 0600001a 9d010961 P..............a + 28d50 64665f6f 735f7367 6c697374 5f740000 df_os_sglist_t.. + 28d60 0016d803 0000272d 04000201 02010201 ......'-........ + 28d70 06000016 99010961 64665f6e 6275665f .......adf_nbuf_ + 28d80 71756575 655f7400 00002511 03000027 queue_t...%....' + 28d90 55040002 01030000 24f90400 02010201 U.......$....... + 28da0 02010600 00264f01 06000024 b8010600 .....&O....$.... + 28db0 00162301 06000016 23010600 001a9d01 ..#.....#....... + 28dc0 0600001a 9d010600 001fb901 06000016 ................ + 28dd0 23010961 64665f6e 6275665f 72785f63 #..adf_nbuf_rx_c + 28de0 6b73756d 5f740000 00242703 000027b1 ksum_t...$'...'. + 28df0 04000201 02010961 64665f6e 6275665f .......adf_nbuf_ + 28e00 74736f5f 74000000 24490300 0027d504 tso_t...$I...'.. + 28e10 00020102 01096164 665f6e65 745f6861 ......adf_net_ha + 28e20 6e646c65 5f740000 00041309 6164665f ndle_t......adf_ + 28e30 6e65745f 766c616e 6864725f 74000000 net_vlanhdr_t... + 28e40 1dc50300 00280a04 00060000 26310106 .....(......&1.. + 28e50 00002631 01020102 01075f48 49465f43 ..&1......_HIF_C + 28e60 4f4e4649 47000400 00285908 64756d6d ONFIG....(Y.dumm + 28e70 79000000 011e0223 00000201 03000028 y......#.......( + 28e80 59040002 01030000 28620400 075f4849 Y.......(b..._HI + 28e90 465f4341 4c4c4241 434b000c 000028b7 F_CALLBACK....(. + 28ea0 0873656e 645f6275 665f646f 6e650000 .send_buf_done.. + 28eb0 00285b02 23000872 6563765f 62756600 .([.#..recv_buf. + 28ec0 00002864 02230408 636f6e74 65787400 ..(d.#..context. + 28ed0 00000413 02230800 09686966 5f68616e .....#...hif_han + 28ee0 646c655f 74000000 04130948 49465f43 dle_t......HIF_C + 28ef0 4f4e4649 47000000 28380300 0028c904 ONFIG...(8...(.. + 28f00 00060000 28b70103 000028e0 04000201 ....(.....(..... + 28f10 03000028 ed040009 4849465f 43414c4c ...(....HIF_CALL + 28f20 4241434b 00000028 6b030000 28f60400 BACK...(k...(... + 28f30 02010300 00290f04 00060000 011e0103 .....).......... + 28f40 00002918 04000201 03000029 25040006 ..)........)%... + 28f50 0000011e 01030000 292e0400 02010300 ........)....... + 28f60 00293b04 00060000 011e0103 00002944 .);...........)D + 28f70 04000201 03000029 51040007 6869665f .......)Q...hif_ + 28f80 61706900 3800002a aa085f69 6e697400 api.8..*.._init. + 28f90 000028e6 02230008 5f736875 74646f77 ..(..#.._shutdow + 28fa0 6e000000 28ef0223 04085f72 65676973 n...(..#.._regis + 28fb0 7465725f 63616c6c 6261636b 00000029 ter_callback...) + 28fc0 11022308 085f6765 745f746f 74616c5f ..#.._get_total_ + 28fd0 63726564 69745f63 6f756e74 00000029 credit_count...) + 28fe0 1e02230c 085f7374 61727400 000028ef ..#.._start...(. + 28ff0 02231008 5f636f6e 6669675f 70697065 .#.._config_pipe + 29000 00000029 27022314 085f7365 6e645f62 ...)'.#.._send_b + 29010 75666665 72000000 29340223 18085f72 uffer...)4.#.._r + 29020 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + 29030 00293d02 231c085f 69735f70 6970655f .)=.#.._is_pipe_ + 29040 73757070 6f727465 64000000 294a0223 supported...)J.# + 29050 20085f67 65745f6d 61785f6d 73675f6c ._get_max_msg_l + 29060 656e0000 00294a02 2324085f 6765745f en...)J.#$._get_ + 29070 72657365 72766564 5f686561 64726f6f reserved_headroo + 29080 6d000000 291e0223 28085f69 73725f68 m...)..#(._isr_h + 29090 616e646c 65720000 0028ef02 232c085f andler...(..#,._ + 290a0 6765745f 64656661 756c745f 70697065 get_default_pipe + 290b0 00000029 53022330 08705265 73657276 ...)S.#0.pReserv + 290c0 65640000 00041302 2334000d 646d615f ed......#4..dma_ + 290d0 656e6769 6e650004 00002b33 0e444d41 engine....+3.DMA + 290e0 5f454e47 494e455f 52583000 000e444d _ENGINE_RX0...DM + 290f0 415f454e 47494e45 5f525831 00010e44 A_ENGINE_RX1...D + 29100 4d415f45 4e47494e 455f5258 3200020e MA_ENGINE_RX2... + 29110 444d415f 454e4749 4e455f52 58330003 DMA_ENGINE_RX3.. + 29120 0e444d41 5f454e47 494e455f 54583000 .DMA_ENGINE_TX0. + 29130 040e444d 415f454e 47494e45 5f545831 ..DMA_ENGINE_TX1 + 29140 00050e44 4d415f45 4e47494e 455f4d41 ...DMA_ENGINE_MA + 29150 58000600 09646d61 5f656e67 696e655f X....dma_engine_ + 29160 74000000 2aaa0d64 6d615f69 66747970 t...*..dma_iftyp + 29170 65000400 002b800e 444d415f 49465f47 e....+..DMA_IF_G + 29180 4d414300 000e444d 415f4946 5f504349 MAC...DMA_IF_PCI + 29190 00010e44 4d415f49 465f5043 49450002 ...DMA_IF_PCIE.. + 291a0 0009646d 615f6966 74797065 5f740000 ..dma_iftype_t.. + 291b0 002b4506 000012f8 01030000 2b920400 .+E.........+... + 291c0 02010300 002b9f04 00020103 00002ba8 .....+........+. + 291d0 04000600 00092a01 0300002b b1040006 ......*....+.... + 291e0 000012f8 01030000 2bbe0400 06000012 ........+....... + 291f0 f8010300 002bcb04 00060000 14430103 .....+.......C.. + 29200 00002bd8 04000201 0300002b e5040007 ..+........+.... + 29210 646d615f 6c69625f 61706900 3400002c dma_lib_api.4.., + 29220 ec087478 5f696e69 74000000 2b980223 ..tx_init...+..# + 29230 00087478 5f737461 72740000 002ba102 ..tx_start...+.. + 29240 23040872 785f696e 69740000 002b9802 #..rx_init...+.. + 29250 23080872 785f636f 6e666967 0000002b #..rx_config...+ + 29260 aa02230c 0872785f 73746172 74000000 ..#..rx_start... + 29270 2ba10223 1008696e 74725f73 74617475 +..#..intr_statu + 29280 73000000 2bb70223 14086861 72645f78 s...+..#..hard_x + 29290 6d697400 00002bc4 02231808 666c7573 mit...+..#..flus + 292a0 685f786d 69740000 002ba102 231c0878 h_xmit...+..#..x + 292b0 6d69745f 646f6e65 0000002b d1022320 mit_done...+..# + 292c0 08726561 705f786d 69747465 64000000 .reap_xmitted... + 292d0 2bde0223 24087265 61705f72 65637600 +..#$.reap_recv. + 292e0 00002bde 02232808 72657475 726e5f72 ..+..#(.return_r + 292f0 65637600 00002be7 02232c08 72656376 ecv...+..#,.recv + 29300 5f706b74 0000002b d1022330 00075f5f _pkt...+..#0..__ + 29310 7063695f 736f6674 63000c00 002d0a08 pci_softc....-.. + 29320 73770000 0028f602 23000009 5f5f7063 sw...(..#...__pc + 29330 695f736f 6674635f 74000000 2cec0300 i_softc_t...,... + 29340 002d0a04 00020103 00002d24 04000600 .-........-$.... + 29350 0012e401 0300002d 2d04000d 6869665f .......--...hif_ + 29360 7063695f 70697065 5f747800 0400002d pci_pipe_tx....- + 29370 8d0e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 29380 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 29390 455f5458 3100010e 4849465f 5043495f E_TX1...HIF_PCI_ + 293a0 50495045 5f54585f 4d415800 02000968 PIPE_TX_MAX....h + 293b0 69665f70 63695f70 6970655f 74785f74 if_pci_pipe_tx_t + 293c0 0000002d 3a060000 2b330103 00002da4 ...-:...+3....-. + 293d0 04000d68 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 293e0 72780004 00002e2a 0e484946 5f504349 rx.....*.HIF_PCI + 293f0 5f504950 455f5258 3000000e 4849465f _PIPE_RX0...HIF_ + 29400 5043495f 50495045 5f525831 00010e48 PCI_PIPE_RX1...H + 29410 49465f50 43495f50 4950455f 52583200 IF_PCI_PIPE_RX2. + 29420 020e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 29430 58330003 0e484946 5f504349 5f504950 X3...HIF_PCI_PIP + 29440 455f5258 5f4d4158 00040009 6869665f E_RX_MAX....hif_ + 29450 7063695f 70697065 5f72785f 74000000 pci_pipe_rx_t... + 29460 2db10600 002b3301 0300002e 41040007 -....+3.....A... + 29470 6869665f 7063695f 61706900 2400002f hif_pci_api.$../ + 29480 1f087063 695f626f 6f745f69 6e697400 ..pci_boot_init. + 29490 00000117 02230008 7063695f 696e6974 .....#..pci_init + 294a0 00000028 e6022304 08706369 5f726573 ...(..#..pci_res + 294b0 65740000 00011702 23080870 63695f65 et......#..pci_e + 294c0 6e61626c 65000000 01170223 0c087063 nable......#..pc + 294d0 695f7265 61705f78 6d697474 65640000 i_reap_xmitted.. + 294e0 002d2602 23100870 63695f72 6561705f .-&.#..pci_reap_ + 294f0 72656376 0000002d 26022314 08706369 recv...-&.#..pci + 29500 5f676574 5f706970 65000000 2d330223 _get_pipe...-3.# + 29510 18087063 695f6765 745f7478 5f656e67 ..pci_get_tx_eng + 29520 0000002d aa02231c 08706369 5f676574 ...-..#..pci_get + 29530 5f72785f 656e6700 00002e47 02232000 _rx_eng....G.# . + 29540 07676d61 635f6170 69000400 002f4608 .gmac_api..../F. + 29550 676d6163 5f626f6f 745f696e 69740000 gmac_boot_init.. + 29560 00011702 2300000f 0000032b 0600002f ....#......+.../ + 29570 53100500 075f5f65 74686864 72000e00 S....__ethhdr... + 29580 002f8908 64737400 00002f46 02230008 ./..dst.../F.#.. + 29590 73726300 00002f46 02230608 65747970 src.../F.#..etyp + 295a0 65000000 12f80223 0c00075f 5f617468 e......#...__ath + 295b0 68647200 0400002f d7157265 73000000 hdr..../..res... + 295c0 12e40100 02022300 1570726f 746f0000 ......#..proto.. + 295d0 0012e401 02060223 00087265 735f6c6f .......#..res_lo + 295e0 00000012 e4022301 08726573 5f686900 ......#..res_hi. + 295f0 000012f8 02230200 075f5f67 6d61635f .....#...__gmac_ + 29600 68647200 14000030 13086574 68000000 hdr....0..eth... + 29610 2f530223 00086174 68000000 2f890223 /S.#..ath.../..# + 29620 0e08616c 69676e5f 70616400 000012f8 ..align_pad..... + 29630 02231200 095f5f67 6d61635f 6864725f .#...__gmac_hdr_ + 29640 74000000 2fd7075f 5f676d61 635f736f t.../..__gmac_so + 29650 66746300 24000030 5d086864 72000000 ftc.$..0].hdr... + 29660 30130223 00086772 616e0000 0012f802 0..#..gran...... + 29670 23140873 77000000 28f60223 1800075f #..sw...(..#..._ + 29680 415f6f73 5f6c696e 6b616765 5f636865 A_os_linkage_che + 29690 636b0008 00003096 08766572 73696f6e ck....0..version + 296a0 00000001 1e022300 08746162 6c650000 ......#..table.. + 296b0 00011e02 23040003 0000305d 04000600 ....#.....0].... + 296c0 00011e01 03000030 9d040003 00000416 .......0........ + 296d0 0400175f 415f636d 6e6f735f 696e6469 ..._A_cmnos_indi + 296e0 72656374 696f6e5f 7461626c 650001b8 rection_table... + 296f0 000031ed 0868616c 5f6c696e 6b616765 ..1..hal_linkage + 29700 5f636865 636b0000 0030a302 23000873 _check...0..#..s + 29710 74617274 5f627373 00000030 aa022304 tart_bss...0..#. + 29720 08617070 5f737461 72740000 00011702 .app_start...... + 29730 2308086d 656d0000 00045602 230c086d #..mem....V.#..m + 29740 69736300 00000575 02232008 7072696e isc....u.# .prin + 29750 74660000 00014b02 23440875 61727400 tf....K.#D.uart. + 29760 00000214 02234c08 676d6163 0000002f .....#L.gmac.../ + 29770 1f02236c 08757362 0000000f a4022370 ..#l.usb......#p + 29780 08636c6f 636b0000 000aed03 23e00108 .clock......#... + 29790 74696d65 72000000 078d0323 84020869 timer......#...i + 297a0 6e747200 00000c3d 03239802 08616c6c ntr....=.#...all + 297b0 6f637261 6d000000 09450323 c4020872 ocram....E.#...r + 297c0 6f6d7000 00000837 0323d002 08776474 omp....7.#...wdt + 297d0 5f74696d 65720000 000e1a03 23e00208 _timer......#... + 297e0 65657000 00000f48 0323fc02 08737472 eep....H.#...str + 297f0 696e6700 00000699 03238c03 08746173 ing......#...tas + 29800 6b6c6574 0000000a 420323a4 0300075f klet....B.#...._ + 29810 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 29820 10000032 60086765 745f636f 6d6d616e ...2`.get_comman + 29830 645f6275 66000000 14500223 00087265 d_buf....P.#..re + 29840 63765f63 6f6d6d61 6e640000 00146602 cv_command....f. + 29850 23040867 65745f65 76656e74 5f627566 #..get_event_buf + 29860 00000014 50022308 0873656e 645f6576 ....P.#..send_ev + 29870 656e745f 646f6e65 00000014 6602230c ent_done....f.#. + 29880 00095553 425f4649 464f5f43 4f4e4649 ..USB_FIFO_CONFI + 29890 47000000 31ed0300 00326004 00020103 G...1....2`..... + 298a0 0000327c 04000775 73626669 666f5f61 ..2|...usbfifo_a + 298b0 7069000c 000032d2 085f696e 69740000 pi....2.._init.. + 298c0 00327e02 2300085f 656e6162 6c655f65 .2~.#.._enable_e + 298d0 76656e74 5f697372 00000001 17022304 vent_isr......#. + 298e0 08705265 73657276 65640000 00041302 .pReserved...... + 298f0 2308000f 0000168a 02000032 df100100 #..........2.... + 29900 075f4854 435f4652 414d455f 48445200 ._HTC_FRAME_HDR. + 29910 08000033 5108456e 64706f69 6e744944 ...3Q.EndpointID + 29920 00000016 8a022300 08466c61 67730000 ......#..Flags.. + 29930 00168a02 23010850 61796c6f 61644c65 ....#..PayloadLe + 29940 6e000000 1cb20223 0208436f 6e74726f n......#..Contro + 29950 6c427974 65730000 0032d202 23040848 lBytes...2..#..H + 29960 6f737453 65714e75 6d000000 1cb20223 ostSeqNum......# + 29970 06001202 0000336a 084d6573 73616765 ......3j.Message + 29980 49440000 001cb202 23000012 08000033 ID......#......3 + 29990 cd084d65 73736167 65494400 00001cb2 ..MessageID..... + 299a0 02230008 43726564 6974436f 756e7400 .#..CreditCount. + 299b0 00001cb2 02230208 43726564 69745369 .....#..CreditSi + 299c0 7a650000 001cb202 2304084d 6178456e ze......#..MaxEn + 299d0 64706f69 6e747300 0000168a 02230608 dpoints......#.. + 299e0 5f506164 31000000 168a0223 0700120a _Pad1......#.... + 299f0 00003464 084d6573 73616765 49440000 ..4d.MessageID.. + 29a00 001cb202 23000853 65727669 63654944 ....#..ServiceID + 29a10 0000001c b2022302 08436f6e 6e656374 ......#..Connect + 29a20 696f6e46 6c616773 0000001c b2022304 ionFlags......#. + 29a30 08446f77 6e4c696e 6b506970 65494400 .DownLinkPipeID. + 29a40 0000168a 02230608 55704c69 6e6b5069 .....#..UpLinkPi + 29a50 70654944 00000016 8a022307 08536572 peID......#..Ser + 29a60 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 29a70 00168a02 2308085f 50616431 00000016 ....#.._Pad1.... + 29a80 8a022309 00120a00 0034ec08 4d657373 ..#......4..Mess + 29a90 61676549 44000000 1cb20223 00085365 ageID......#..Se + 29aa0 72766963 65494400 00001cb2 02230208 rviceID......#.. + 29ab0 53746174 75730000 00168a02 23040845 Status......#..E + 29ac0 6e64706f 696e7449 44000000 168a0223 ndpointID......# + 29ad0 05084d61 784d7367 53697a65 0000001c ..MaxMsgSize.... + 29ae0 b2022306 08536572 76696365 4d657461 ..#..ServiceMeta + 29af0 4c656e67 74680000 00168a02 2308085f Length......#.._ + 29b00 50616431 00000016 8a022309 00120200 Pad1......#..... + 29b10 00350508 4d657373 61676549 44000000 .5..MessageID... + 29b20 1cb20223 00001204 00003541 084d6573 ...#......5A.Mes + 29b30 73616765 49440000 001cb202 23000850 sageID......#..P + 29b40 69706549 44000000 168a0223 02084372 ipeID......#..Cr + 29b50 65646974 436f756e 74000000 168a0223 editCount......# + 29b60 03001204 00003578 084d6573 73616765 ......5x.Message + 29b70 49440000 001cb202 23000850 69706549 ID......#..PipeI + 29b80 44000000 168a0223 02085374 61747573 D......#..Status + 29b90 00000016 8a022303 00120200 00359f08 ......#......5.. + 29ba0 5265636f 72644944 00000016 8a022300 RecordID......#. + 29bb0 084c656e 67746800 0000168a 02230100 .Length......#.. + 29bc0 12020000 35c90845 6e64706f 696e7449 ....5..EndpointI + 29bd0 44000000 168a0223 00084372 65646974 D......#..Credit + 29be0 73000000 168a0223 01001204 0000360a s......#......6. + 29bf0 08456e64 706f696e 74494400 0000168a .EndpointID..... + 29c00 02230008 43726564 69747300 0000168a .#..Credits..... + 29c10 02230108 54677443 72656469 74536571 .#..TgtCreditSeq + 29c20 4e6f0000 001cb202 2302000f 0000168a No......#....... + 29c30 04000036 17100300 12060000 36530850 ...6........6S.P + 29c40 72655661 6c696400 0000168a 02230008 reValid......#.. + 29c50 4c6f6f6b 41686561 64000000 360a0223 LookAhead...6..# + 29c60 0108506f 73745661 6c696400 0000168a ..PostValid..... + 29c70 02230500 09706f6f 6c5f6861 6e646c65 .#...pool_handle + 29c80 5f740000 00041306 00003653 01030000 _t........6S.... + 29c90 36660400 02010300 00367304 00140400 6f.......6s..... + 29ca0 0036f10e 504f4f4c 5f49445f 4854435f .6..POOL_ID_HTC_ + 29cb0 434f4e54 524f4c00 000e504f 4f4c5f49 CONTROL...POOL_I + 29cc0 445f574d 495f5356 435f434d 445f5245 D_WMI_SVC_CMD_RE + 29cd0 504c5900 010e504f 4f4c5f49 445f574d PLY...POOL_ID_WM + 29ce0 495f5356 435f4556 454e5400 020e504f I_SVC_EVENT...PO + 29cf0 4f4c5f49 445f574c 414e5f52 585f4255 OL_ID_WLAN_RX_BU + 29d00 4600030e 504f4f4c 5f49445f 4d415800 F...POOL_ID_MAX. + 29d10 0a000942 55465f50 4f4f4c5f 49440000 ...BUF_POOL_ID.. + 29d20 00367c02 01030000 37020400 06000026 .6|.....7......& + 29d30 4f010300 00370b04 00060000 264f0103 O....7......&O.. + 29d40 00003718 04000201 03000037 25040007 ..7........7%... + 29d50 6275665f 706f6f6c 5f617069 001c0000 buf_pool_api.... + 29d60 37c7085f 696e6974 00000036 6c022300 7.._init...6l.#. + 29d70 085f7368 7574646f 776e0000 00367502 ._shutdown...6u. + 29d80 2304085f 63726561 74655f70 6f6f6c00 #.._create_pool. + 29d90 00003704 02230808 5f616c6c 6f635f62 ..7..#.._alloc_b + 29da0 75660000 00371102 230c085f 616c6c6f uf...7..#.._allo + 29db0 635f6275 665f616c 69676e00 0000371e c_buf_align...7. + 29dc0 02231008 5f667265 655f6275 66000000 .#.._free_buf... + 29dd0 37270223 14087052 65736572 76656400 7'.#..pReserved. + 29de0 00000413 02231800 075f4854 435f5345 .....#..._HTC_SE + 29df0 52564943 45001c00 0038a608 704e6578 RVICE....8..pNex + 29e00 74000000 38a60223 00085072 6f636573 t...8..#..Proces + 29e10 73526563 764d7367 00000039 5b022304 sRecvMsg...9[.#. + 29e20 0850726f 63657373 53656e64 42756666 .ProcessSendBuff + 29e30 6572436f 6d706c65 74650000 00396402 erComplete...9d. + 29e40 23080850 726f6365 7373436f 6e6e6563 #..ProcessConnec + 29e50 74000000 39780223 0c085365 72766963 t...9x.#..Servic + 29e60 65494400 000012f8 02231008 53657276 eID......#..Serv + 29e70 69636546 6c616773 00000012 f8022312 iceFlags......#. + 29e80 084d6178 5376634d 73675369 7a650000 .MaxSvcMsgSize.. + 29e90 0012f802 23140854 7261696c 65725370 ....#..TrailerSp + 29ea0 63436865 636b4c69 6d697400 000012f8 cCheckLimit..... + 29eb0 02231608 53657276 69636543 74780000 .#..ServiceCtx.. + 29ec0 00041302 23180003 000037c7 04001404 ....#.....7..... + 29ed0 00003944 19454e44 504f494e 545f554e ..9D.ENDPOINT_UN + 29ee0 55534544 00ffffff ff0e454e 44504f49 USED......ENDPOI + 29ef0 4e543000 000e454e 44504f49 4e543100 NT0...ENDPOINT1. + 29f00 010e454e 44504f49 4e543200 020e454e ..ENDPOINT2...EN + 29f10 44504f49 4e543300 030e454e 44504f49 DPOINT3...ENDPOI + 29f20 4e543400 040e454e 44504f49 4e543500 NT4...ENDPOINT5. + 29f30 050e454e 44504f49 4e543600 060e454e ..ENDPOINT6...EN + 29f40 44504f49 4e543700 070e454e 44504f49 DPOINT7...ENDPOI + 29f50 4e543800 080e454e 44504f49 4e545f4d NT8...ENDPOINT_M + 29f60 41580016 00094854 435f454e 44504f49 AX....HTC_ENDPOI + 29f70 4e545f49 44000000 38ad0201 03000039 NT_ID...8......9 + 29f80 59040002 01030000 39620400 03000001 Y.......9b...... + 29f90 1e040006 000012e4 01030000 39720400 ............9r.. + 29fa0 03000037 c7040007 5f485443 5f434f4e ...7...._HTC_CON + 29fb0 46494700 14000039 f7084372 65646974 FIG....9..Credit + 29fc0 53697a65 00000001 1e022300 08437265 Size......#..Cre + 29fd0 6469744e 756d6265 72000000 011e0223 ditNumber......# + 29fe0 04084f53 48616e64 6c650000 001a4e02 ..OSHandle....N. + 29ff0 23080848 49464861 6e646c65 00000028 #..HIFHandle...( + 2a000 b702230c 08506f6f 6c48616e 646c6500 ..#..PoolHandle. + 2a010 00003653 02231000 075f4854 435f4255 ..6S.#..._HTC_BU + 2a020 465f434f 4e544558 54000200 003a3308 F_CONTEXT....:3. + 2a030 656e645f 706f696e 74000000 12e40223 end_point......# + 2a040 00086874 635f666c 61677300 000012e4 ..htc_flags..... + 2a050 02230100 09687463 5f68616e 646c655f .#...htc_handle_ + 2a060 74000000 04130948 54435f53 45545550 t......HTC_SETUP + 2a070 5f434f4d 504c4554 455f4342 00000001 _COMPLETE_CB.... + 2a080 17094854 435f434f 4e464947 00000039 ..HTC_CONFIG...9 + 2a090 86030000 3a600400 0600003a 33010300 ....:`.....:3... + 2a0a0 003a7704 00020103 00003a84 04000948 .:w.......:....H + 2a0b0 54435f53 45525649 43450000 0037c703 TC_SERVICE...7.. + 2a0c0 00003a8d 04000201 0300003a a5040002 ..:........:.... + 2a0d0 01030000 3aae0400 02010300 003ab704 ....:........:.. + 2a0e0 00060000 011e0103 00003ac0 04000768 ..........:....h + 2a0f0 74635f61 70697300 3400003c 3d085f48 tc_apis.4..<=._H + 2a100 54435f49 6e697400 00003a7d 02230008 TC_Init...:}.#.. + 2a110 5f485443 5f536875 74646f77 6e000000 _HTC_Shutdown... + 2a120 3a860223 04085f48 54435f52 65676973 :..#.._HTC_Regis + 2a130 74657253 65727669 63650000 003aa702 terService...:.. + 2a140 2308085f 4854435f 52656164 79000000 #.._HTC_Ready... + 2a150 3a860223 0c085f48 54435f52 65747572 :..#.._HTC_Retur + 2a160 6e427566 66657273 0000003a b0022310 nBuffers...:..#. + 2a170 085f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 2a180 6572734c 69737400 00003ab9 02231408 ersList...:..#.. + 2a190 5f485443 5f53656e 644d7367 0000003a _HTC_SendMsg...: + 2a1a0 b0022318 085f4854 435f4765 74526573 ..#.._HTC_GetRes + 2a1b0 65727665 64486561 64726f6f 6d000000 ervedHeadroom... + 2a1c0 3ac60223 1c085f48 54435f4d 73675265 :..#.._HTC_MsgRe + 2a1d0 63764861 6e646c65 72000000 28640223 cvHandler...(d.# + 2a1e0 20085f48 54435f53 656e6444 6f6e6548 ._HTC_SendDoneH + 2a1f0 616e646c 65720000 00285b02 2324085f andler...([.#$._ + 2a200 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 2a210 6f636573 734d7367 00000039 5b022328 ocessMsg...9[.#( + 2a220 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 2a230 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl + 2a240 65746500 00003964 02232c08 70526573 ete...9d.#,.pRes + 2a250 65727665 64000000 04130223 30000768 erved......#0..h + 2a260 6f73745f 6170705f 61726561 5f730004 ost_app_area_s.. + 2a270 00003c6d 08776d69 5f70726f 746f636f ...# + 2b130 04000473 686f7274 20756e73 69676e65 ...short unsigne + 2b140 6420696e 74000702 0975696e 7431365f d int....uint16_ + 2b150 74000000 017c046c 6f6e6720 756e7369 t....|.long unsi + 2b160 676e6564 20696e74 00070409 75696e74 gned int....uint + 2b170 33325f74 00000001 a0077561 72745f66 32_t......uart_f + 2b180 69666f00 08000002 0e087374 6172745f ifo.......start_ + 2b190 696e6465 78000000 01920223 0008656e index......#..en + 2b1a0 645f696e 64657800 00000192 02230208 d_index......#.. + 2b1b0 6f766572 72756e5f 65727200 000001b5 overrun_err..... + 2b1c0 02230400 07756172 745f6170 69002000 .#...uart_api. . + 2b1d0 0002c708 5f756172 745f696e 69740000 ...._uart_init.. + 2b1e0 00031e02 2300085f 75617274 5f636861 ....#.._uart_cha + 2b1f0 725f7075 74000000 03450223 04085f75 r_put....E.#.._u + 2b200 6172745f 63686172 5f676574 00000003 art_char_get.... + 2b210 59022308 085f7561 72745f73 74725f6f Y.#.._uart_str_o + 2b220 75740000 00036202 230c085f 75617274 ut....b.#.._uart + 2b230 5f746173 6b000000 01110223 10085f75 _task......#.._u + 2b240 6172745f 73746174 75730000 00031e02 art_status...... + 2b250 2314085f 75617274 5f636f6e 66696700 #.._uart_config. + 2b260 0000036b 02231808 5f756172 745f6877 ...k.#.._uart_hw + 2b270 696e6974 00000003 7402231c 00030000 init....t.#..... + 2b280 020e0400 07756172 745f626c 6b001000 .....uart_blk... + 2b290 00031808 64656275 675f6d6f 64650000 ....debug_mode.. + 2b2a0 00019202 23000862 61756400 00000192 ....#..baud..... + 2b2b0 02230208 5f756172 74000000 02c70223 .#.._uart......# + 2b2c0 04085f74 78000000 01c30223 08000600 .._tx......#.... + 2b2d0 0001b501 03000003 18040004 756e7369 ............unsi + 2b2e0 676e6564 20636861 72000701 0975696e gned char....uin + 2b2f0 74385f74 00000003 25020103 00000343 t8_t....%......C + 2b300 04000300 00033604 00060000 01920103 ......6......... + 2b310 00000353 04000201 03000003 60040002 ...S........`... + 2b320 01030000 03690400 02010300 00037204 .....i........r. + 2b330 00030000 011f0400 06000001 18010300 ................ + 2b340 00038204 00074442 5f434f4d 4d414e44 ......DB_COMMAND + 2b350 5f535452 55435400 0c000003 da08636d _STRUCT.......cm + 2b360 645f7374 72000000 037b0223 00086865 d_str....{.#..he + 2b370 6c705f73 74720000 00037b02 23040863 lp_str....{.#..c + 2b380 6d645f66 756e6300 00000388 02230800 md_func......#.. + 2b390 07646267 5f617069 00080000 040d085f .dbg_api......._ + 2b3a0 6462675f 696e6974 00000001 11022300 dbg_init......#. + 2b3b0 085f6462 675f7461 736b0000 00011102 ._dbg_task...... + 2b3c0 2304000a 04000475 6e736967 6e656420 #......unsigned + 2b3d0 696e7400 07040600 00040d01 03000004 int............. + 2b3e0 2004000b 0b030000 042e0400 06000004 ............... + 2b3f0 0d010300 00043604 00060000 01180103 ......6......... + 2b400 00000443 0400076d 656d5f61 70690014 ...C...mem_api.. + 2b410 000004b2 085f6d65 6d5f696e 69740000 ....._mem_init.. + 2b420 00011102 2300085f 6d656d73 65740000 ....#.._memset.. + 2b430 00042602 2304085f 6d656d63 70790000 ..&.#.._memcpy.. + 2b440 00043c02 2308085f 6d656d6d 6f766500 ..<.#.._memmove. + 2b450 0000043c 02230c08 5f6d656d 636d7000 ...<.#.._memcmp. + 2b460 00000449 02231000 0c726567 69737465 ...I.#...registe + 2b470 725f6475 6d705f73 00000103 000004b2 r_dump_s........ + 2b480 04000201 03000004 cc040002 01030000 ................ + 2b490 04d50400 06000001 18010300 0004de04 ................ + 2b4a0 000d686f 73746966 5f730004 0000053a ..hostif_s.....: + 2b4b0 0e484946 5f555342 00000e48 49465f50 .HIF_USB...HIF_P + 2b4c0 43494500 010e4849 465f474d 41430002 CIE...HIF_GMAC.. + 2b4d0 0e484946 5f504349 00030e48 49465f4e .HIF_PCI...HIF_N + 2b4e0 554d0004 0e484946 5f4e4f4e 45000500 UM...HIF_NONE... + 2b4f0 09415f48 4f535449 46000000 04eb0600 .A_HOSTIF....... + 2b500 00053a01 03000005 48040006 00000336 ..:.....H......6 + 2b510 01030000 05550400 06000001 92010300 .....U.......... + 2b520 00056204 00076d69 73635f61 70690024 ..b...misc_api.$ + 2b530 00000652 085f7379 7374656d 5f726573 ...R._system_res + 2b540 65740000 00011102 2300085f 6d61635f et......#.._mac_ + 2b550 72657365 74000000 01110223 04085f61 reset......#.._a + 2b560 73736661 696c0000 0004ce02 2308085f ssfail......#.._ + 2b570 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 2b580 68616e64 6c657200 000004ce 02230c08 handler......#.. + 2b590 5f726570 6f72745f 6661696c 7572655f _report_failure_ + 2b5a0 746f5f68 6f737400 000004d7 02231008 to_host......#.. + 2b5b0 5f746172 6765745f 69645f67 65740000 _target_id_get.. + 2b5c0 0004e402 2314085f 69735f68 6f73745f ....#.._is_host_ + 2b5d0 70726573 656e7400 0000054e 02231808 present....N.#.. + 2b5e0 5f6b6268 69740000 00055b02 231c085f _kbhit....[.#.._ + 2b5f0 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. + 2b600 00000568 02232000 06000003 7b010300 ...h.# .....{... + 2b610 00065204 00060000 037b0103 0000065f ..R......{....._ + 2b620 04000600 00011801 03000006 6c040006 ............l... + 2b630 00000118 01030000 06790400 06000001 .........y...... + 2b640 18010300 00068604 00077374 72696e67 ..........string + 2b650 5f617069 00180000 070c085f 73747269 _api......._stri + 2b660 6e675f69 6e697400 00000111 02230008 ng_init......#.. + 2b670 5f737472 63707900 00000658 02230408 _strcpy....X.#.. + 2b680 5f737472 6e637079 00000006 65022308 _strncpy....e.#. + 2b690 085f7374 726c656e 00000006 7202230c ._strlen....r.#. + 2b6a0 085f7374 72636d70 00000006 7f022310 ._strcmp......#. + 2b6b0 085f7374 726e636d 70000000 068c0223 ._strncmp......# + 2b6c0 14000f00 00041014 00000719 10040009 ................ + 2b6d0 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. + 2b6e0 00070c09 415f7469 6d65725f 74000000 ....A_timer_t... + 2b6f0 07190300 00072d04 00020103 00000743 ......-........C + 2b700 04000201 03000007 4c040009 415f4841 ........L...A_HA + 2b710 4e444c45 00000004 10020109 415f5449 NDLE........A_TI + 2b720 4d45525f 46554e43 00000007 63030000 MER_FUNC....c... + 2b730 07650400 02010300 00077e04 00077469 .e........~...ti + 2b740 6d65725f 61706900 14000007 fd085f74 mer_api......._t + 2b750 696d6572 5f696e69 74000000 01110223 imer_init......# + 2b760 00085f74 696d6572 5f61726d 00000007 .._timer_arm.... + 2b770 45022304 085f7469 6d65725f 64697361 E.#.._timer_disa + 2b780 726d0000 00074e02 2308085f 74696d65 rm....N.#.._time + 2b790 725f7365 74666e00 00000780 02230c08 r_setfn......#.. + 2b7a0 5f74696d 65725f72 756e0000 00011102 _timer_run...... + 2b7b0 23100009 424f4f4c 45414e00 00000192 #...BOOLEAN..... + 2b7c0 06000007 fd010300 00080a04 00060000 ................ + 2b7d0 07fd0103 00000817 04000600 0007fd01 ................ + 2b7e0 03000008 24040007 726f6d70 5f617069 ....$...romp_api + 2b7f0 00100000 0896085f 726f6d70 5f696e69 ......._romp_ini + 2b800 74000000 01110223 00085f72 6f6d705f t......#.._romp_ + 2b810 646f776e 6c6f6164 00000008 10022304 download......#. + 2b820 085f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. + 2b830 00081d02 2308085f 726f6d70 5f646563 ....#.._romp_dec + 2b840 6f646500 0000082a 02230c00 07726f6d ode....*.#...rom + 2b850 5f706174 63685f73 74001000 0008f208 _patch_st....... + 2b860 63726331 36000000 01920223 00086c65 crc16......#..le + 2b870 6e000000 01920223 02086c64 5f616464 n......#..ld_add + 2b880 72000000 01b50223 04086675 6e5f6164 r......#..fun_ad + 2b890 64720000 0001b502 23080870 66756e00 dr......#..pfun. + 2b8a0 0000034c 02230c00 07656570 5f726564 ...L.#...eep_red + 2b8b0 69725f61 64647200 04000009 24086f66 ir_addr.....$.of + 2b8c0 66736574 00000001 92022300 0873697a fset......#..siz + 2b8d0 65000000 01920223 02000941 5f55494e e......#...A_UIN + 2b8e0 54333200 00000410 06000004 0d010300 T32............. + 2b8f0 00093204 0007616c 6c6f6372 616d5f61 ..2...allocram_a + 2b900 7069000c 000009a3 08636d6e 6f735f61 pi.......cmnos_a + 2b910 6c6c6f63 72616d5f 696e6974 00000009 llocram_init.... + 2b920 38022300 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc + 2b930 72616d00 00000938 02230408 636d6e6f ram....8.#..cmno + 2b940 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 2b950 00000001 11022308 00020103 000009a3 ......#......... + 2b960 04000941 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 2b970 43000000 09a5075f 7461736b 6c657400 C......_tasklet. + 2b980 1000000a 04086675 6e630000 0009ac02 ......func...... + 2b990 23000861 72670000 00040d02 23040873 #..arg......#..s + 2b9a0 74617465 00000001 18022308 086e6578 tate......#..nex + 2b9b0 74000000 0a040223 0c000300 0009c004 t......#........ + 2b9c0 00030000 09c00400 09415f74 61736b6c .........A_taskl + 2b9d0 65745f74 00000009 c0030000 0a120400 et_t............ + 2b9e0 02010300 000a2a04 00020103 00000a33 ......*........3 + 2b9f0 04000774 61736b6c 65745f61 70690014 ...tasklet_api.. + 2ba00 00000ac8 085f7461 736b6c65 745f696e ....._tasklet_in + 2ba10 69740000 00011102 2300085f 7461736b it......#.._task + 2ba20 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 2ba30 0a2c0223 04085f74 61736b6c 65745f64 .,.#.._tasklet_d + 2ba40 69736162 6c650000 000a3502 2308085f isable....5.#.._ + 2ba50 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 2ba60 0000000a 3502230c 085f7461 736b6c65 ....5.#.._taskle + 2ba70 745f7275 6e000000 01110223 10000201 t_run......#.... + 2ba80 0300000a c8040006 00000924 01030000 ...........$.... + 2ba90 0ad10400 02010300 000ade04 0007636c ..............cl + 2baa0 6f636b5f 61706900 2400000b c0085f63 ock_api.$....._c + 2bab0 6c6f636b 5f696e69 74000000 0aca0223 lock_init......# + 2bac0 00085f63 6c6f636b 72656773 5f696e69 .._clockregs_ini + 2bad0 74000000 01110223 04085f75 6172745f t......#.._uart_ + 2bae0 66726571 75656e63 79000000 0ad70223 frequency......# + 2baf0 08085f64 656c6179 5f757300 00000ae0 .._delay_us..... + 2bb00 02230c08 5f776c61 6e5f6261 6e645f73 .#.._wlan_band_s + 2bb10 65740000 000ae002 2310085f 72656663 et......#.._refc + 2bb20 6c6b5f73 70656564 5f676574 0000000a lk_speed_get.... + 2bb30 d7022314 085f6d69 6c6c6973 65636f6e ..#.._millisecon + 2bb40 64730000 000ad702 2318085f 73797363 ds......#.._sysc + 2bb50 6c6b5f63 68616e67 65000000 01110223 lk_change......# + 2bb60 1c085f63 6c6f636b 5f746963 6b000000 .._clock_tick... + 2bb70 01110223 20000600 0001b501 0300000b ...# ........... + 2bb80 c0040009 415f6f6c 645f696e 74725f74 ....A_old_intr_t + 2bb90 00000001 b5060000 0bcd0103 00000bdf ................ + 2bba0 04000201 0300000b ec040002 01030000 ................ + 2bbb0 0bf50400 06000001 b5010300 000bfe04 ................ + 2bbc0 0009415f 6973725f 74000000 0c040201 ..A_isr_t....... + 2bbd0 0300000c 18040006 00000410 01030000 ................ + 2bbe0 0c210400 02010300 000c2e04 0007696e .!............in + 2bbf0 74725f61 7069002c 00000d50 085f696e tr_api.,...P._in + 2bc00 74725f69 6e697400 00000111 02230008 tr_init......#.. + 2bc10 5f696e74 725f696e 766f6b65 5f697372 _intr_invoke_isr + 2bc20 0000000b c6022304 085f696e 74725f64 ......#.._intr_d + 2bc30 69736162 6c650000 000be502 2308085f isable......#.._ + 2bc40 696e7472 5f726573 746f7265 0000000b intr_restore.... + 2bc50 ee02230c 085f696e 74725f6d 61736b5f ..#.._intr_mask_ + 2bc60 696e756d 0000000b f7022310 085f696e inum......#.._in + 2bc70 74725f75 6e6d6173 6b5f696e 756d0000 tr_unmask_inum.. + 2bc80 000bf702 2314085f 696e7472 5f617474 ....#.._intr_att + 2bc90 6163685f 69737200 00000c1a 02231808 ach_isr......#.. + 2bca0 5f676574 5f696e74 72656e61 626c6500 _get_intrenable. + 2bcb0 00000c27 02231c08 5f736574 5f696e74 ...'.#.._set_int + 2bcc0 72656e61 626c6500 00000c30 02232008 renable....0.# . + 2bcd0 5f676574 5f696e74 7270656e 64696e67 _get_intrpending + 2bce0 0000000c 27022324 085f756e 626c6f63 ....'.#$._unbloc + 2bcf0 6b5f616c 6c5f696e 74726c76 6c000000 k_all_intrlvl... + 2bd00 01110223 28001104 00000d76 0874696d ...#(......v.tim + 2bd10 656f7574 00000001 b5022300 08616374 eout......#..act + 2bd20 696f6e00 000001b5 02230000 12080000 ion......#...... + 2bd30 0d910863 6d640000 0001b502 23001300 ...cmd......#... + 2bd40 000d5002 23040009 545f5744 545f434d ..P.#...T_WDT_CM + 2bd50 44000000 0d760201 0300000d a0040014 D....v.......... + 2bd60 0400000d f60e454e 554d5f57 44545f42 ......ENUM_WDT_B + 2bd70 4f4f5400 010e454e 554d5f43 4f4c445f OOT...ENUM_COLD_ + 2bd80 424f4f54 00020e45 4e554d5f 53555350 BOOT...ENUM_SUSP + 2bd90 5f424f4f 5400030e 454e554d 5f554e4b _BOOT...ENUM_UNK + 2bda0 4e4f574e 5f424f4f 54000400 09545f42 NOWN_BOOT....T_B + 2bdb0 4f4f545f 54595045 0000000d a9060000 OOT_TYPE........ + 2bdc0 0df60103 00000e07 04000777 64745f61 ...........wdt_a + 2bdd0 7069001c 00000eab 085f7764 745f696e pi......._wdt_in + 2bde0 69740000 00011102 2300085f 7764745f it......#.._wdt_ + 2bdf0 656e6162 6c650000 00011102 2304085f enable......#.._ + 2be00 7764745f 64697361 626c6500 00000111 wdt_disable..... + 2be10 02230808 5f776474 5f736574 0000000d .#.._wdt_set.... + 2be20 a202230c 085f7764 745f7461 736b0000 ..#.._wdt_task.. + 2be30 00011102 2310085f 7764745f 72657365 ....#.._wdt_rese + 2be40 74000000 01110223 14085f77 64745f6c t......#.._wdt_l + 2be50 6173745f 626f6f74 0000000e 0d022318 ast_boot......#. + 2be60 00140400 000f120e 5245545f 53554343 ........RET_SUCC + 2be70 45535300 000e5245 545f4e4f 545f494e ESS...RET_NOT_IN + 2be80 49540001 0e524554 5f4e4f54 5f455849 IT...RET_NOT_EXI + 2be90 53540002 0e524554 5f454550 5f434f52 ST...RET_EEP_COR + 2bea0 52555054 00030e52 45545f45 45505f4f RUPT...RET_EEP_O + 2beb0 56455246 4c4f5700 040e5245 545f554e VERFLOW...RET_UN + 2bec0 4b4e4f57 4e000500 09545f45 45505f52 KNOWN....T_EEP_R + 2bed0 45540000 000eab03 00000192 04000600 ET.............. + 2bee0 000f1201 0300000f 28040006 00000f12 ........(....... + 2bef0 01030000 0f350400 07656570 5f617069 .....5...eep_api + 2bf00 00100000 0f9e085f 6565705f 696e6974 ......._eep_init + 2bf10 00000001 11022300 085f6565 705f7265 ......#.._eep_re + 2bf20 61640000 000f2e02 2304085f 6565705f ad......#.._eep_ + 2bf30 77726974 65000000 0f2e0223 08085f65 write......#.._e + 2bf40 65705f69 735f6578 69737400 00000f3b ep_is_exist....; + 2bf50 02230c00 07757362 5f617069 00700000 .#...usb_api.p.. + 2bf60 124b085f 7573625f 696e6974 00000001 .K._usb_init.... + 2bf70 11022300 085f7573 625f726f 6d5f7461 ..#.._usb_rom_ta + 2bf80 736b0000 00011102 2304085f 7573625f sk......#.._usb_ + 2bf90 66775f74 61736b00 00000111 02230808 fw_task......#.. + 2bfa0 5f757362 5f696e69 745f7068 79000000 _usb_init_phy... + 2bfb0 01110223 0c085f75 73625f65 70305f73 ...#.._usb_ep0_s + 2bfc0 65747570 00000001 11022310 085f7573 etup......#.._us + 2bfd0 625f6570 305f7478 00000001 11022314 b_ep0_tx......#. + 2bfe0 085f7573 625f6570 305f7278 00000001 ._usb_ep0_rx.... + 2bff0 11022318 085f7573 625f6765 745f696e ..#.._usb_get_in + 2c000 74657266 61636500 0000081d 02231c08 terface......#.. + 2c010 5f757362 5f736574 5f696e74 65726661 _usb_set_interfa + 2c020 63650000 00081d02 2320085f 7573625f ce......# ._usb_ + 2c030 6765745f 636f6e66 69677572 6174696f get_configuratio + 2c040 6e000000 081d0223 24085f75 73625f73 n......#$._usb_s + 2c050 65745f63 6f6e6669 67757261 74696f6e et_configuration + 2c060 00000008 1d022328 085f7573 625f7374 ......#(._usb_st + 2c070 616e6461 72645f63 6d640000 00081d02 andard_cmd...... + 2c080 232c085f 7573625f 76656e64 6f725f63 #,._usb_vendor_c + 2c090 6d640000 00011102 2330085f 7573625f md......#0._usb_ + 2c0a0 706f7765 725f6f66 66000000 01110223 power_off......# + 2c0b0 34085f75 73625f72 65736574 5f666966 4._usb_reset_fif + 2c0c0 6f000000 01110223 38085f75 73625f67 o......#8._usb_g + 2c0d0 656e5f77 64740000 00011102 233c085f en_wdt......#<._ + 2c0e0 7573625f 6a756d70 5f626f6f 74000000 usb_jump_boot... + 2c0f0 01110223 40085f75 73625f63 6c725f66 ...#@._usb_clr_f + 2c100 65617475 72650000 00081d02 2344085f eature......#D._ + 2c110 7573625f 7365745f 66656174 75726500 usb_set_feature. + 2c120 0000081d 02234808 5f757362 5f736574 .....#H._usb_set + 2c130 5f616464 72657373 00000008 1d02234c _address......#L + 2c140 085f7573 625f6765 745f6465 73637269 ._usb_get_descri + 2c150 70746f72 00000008 1d022350 085f7573 ptor......#P._us + 2c160 625f6765 745f7374 61747573 00000008 b_get_status.... + 2c170 1d022354 085f7573 625f7365 7475705f ..#T._usb_setup_ + 2c180 64657363 00000001 11022358 085f7573 desc......#X._us + 2c190 625f7265 675f6f75 74000000 01110223 b_reg_out......# + 2c1a0 5c085f75 73625f73 74617475 735f696e \._usb_status_in + 2c1b0 00000001 11022360 085f7573 625f6570 ......#`._usb_ep + 2c1c0 305f7478 5f646174 61000000 01110223 0_tx_data......# + 2c1d0 64085f75 73625f65 70305f72 785f6461 d._usb_ep0_rx_da + 2c1e0 74610000 00011102 2368085f 7573625f ta......#h._usb_ + 2c1f0 636c6b5f 696e6974 00000001 1102236c clk_init......#l + 2c200 00075f56 44455343 00240000 12d7086e .._VDESC.$.....n + 2c210 6578745f 64657363 00000012 d7022300 ext_desc......#. + 2c220 08627566 5f616464 72000000 12eb0223 .buf_addr......# + 2c230 04086275 665f7369 7a650000 0012f202 ..buf_size...... + 2c240 23080864 6174615f 6f666673 65740000 #..data_offset.. + 2c250 0012f202 230a0864 6174615f 73697a65 ....#..data_size + 2c260 00000012 f202230c 08636f6e 74726f6c ......#..control + 2c270 00000012 f202230e 0868775f 64657363 ......#..hw_desc + 2c280 5f627566 00000013 00022310 00030000 _buf......#..... + 2c290 124b0400 09415f55 494e5438 00000003 .K...A_UINT8.... + 2c2a0 25030000 12de0400 09415f55 494e5431 %........A_UINT1 + 2c2b0 36000000 017c0f00 0012de14 0000130d 6....|.......... + 2c2c0 10130003 0000124b 04000956 44455343 .......K...VDESC + 2c2d0 00000012 4b030000 13140400 06000013 ....K........... + 2c2e0 1f010300 00132604 00060000 12eb0103 ......&......... + 2c2f0 00001333 04000201 03000013 40040007 ...3........@... + 2c300 76646573 635f6170 69001400 0013b808 vdesc_api....... + 2c310 5f696e69 74000000 0ae00223 00085f61 _init......#.._a + 2c320 6c6c6f63 5f766465 73630000 00132c02 lloc_vdesc....,. + 2c330 2304085f 6765745f 68775f64 65736300 #.._get_hw_desc. + 2c340 00001339 02230808 5f737761 705f7664 ...9.#.._swap_vd + 2c350 65736300 00001342 02230c08 70526573 esc....B.#..pRes + 2c360 65727665 64000000 040d0223 1000075f erved......#..._ + 2c370 56425546 00200000 14180864 6573635f VBUF. .....desc_ + 2c380 6c697374 00000013 1f022300 086e6578 list......#..nex + 2c390 745f6275 66000000 14180223 04086275 t_buf......#..bu + 2c3a0 665f6c65 6e677468 00000012 f2022308 f_length......#. + 2c3b0 08726573 65727665 64000000 141f0223 .reserved......# + 2c3c0 0a086374 78000000 13000223 0c000300 ..ctx......#.... + 2c3d0 0013b804 000f0000 12de0200 00142c10 ..............,. + 2c3e0 01000300 0013b804 00095642 55460000 ..........VBUF.. + 2c3f0 0013b803 00001433 04000600 00143d01 .......3......=. + 2c400 03000014 44040006 0000143d 01030000 ....D......=.... + 2c410 14510400 02010300 00145e04 00077662 .Q........^...vb + 2c420 75665f61 70690014 000014dc 085f696e uf_api......._in + 2c430 69740000 000ae002 2300085f 616c6c6f it......#.._allo + 2c440 635f7662 75660000 00144a02 2304085f c_vbuf....J.#.._ + 2c450 616c6c6f 635f7662 75665f77 6974685f alloc_vbuf_with_ + 2c460 73697a65 00000014 57022308 085f6672 size....W.#.._fr + 2c470 65655f76 62756600 00001460 02230c08 ee_vbuf....`.#.. + 2c480 70526573 65727665 64000000 040d0223 pReserved......# + 2c490 1000075f 5f616466 5f646576 69636500 ...__adf_device. + 2c4a0 04000014 fe086475 6d6d7900 00000118 ......dummy..... + 2c4b0 02230000 03000009 24040007 5f5f6164 .#......$...__ad + 2c4c0 665f646d 615f6d61 70000c00 00154508 f_dma_map.....E. + 2c4d0 62756600 0000143d 02230008 64735f61 buf....=.#..ds_a + 2c4e0 64647200 000014fe 02230408 64735f6c ddr......#..ds_l + 2c4f0 656e0000 0012f202 23080012 0c000015 en......#....... + 2c500 7f085f5f 76615f73 746b0000 00037b02 ..__va_stk....{. + 2c510 2300085f 5f76615f 72656700 0000037b #..__va_reg....{ + 2c520 02230408 5f5f7661 5f6e6478 00000001 .#..__va_ndx.... + 2c530 18022308 00095f5f 6164665f 6f735f64 ..#...__adf_os_d + 2c540 6d615f61 6464725f 74000000 09240961 ma_addr_t....$.a + 2c550 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t + 2c560 00000015 7f095f5f 6164665f 6f735f64 ......__adf_os_d + 2c570 6d615f73 697a655f 74000000 09240961 ma_size_t....$.a + 2c580 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t + 2c590 00000015 af075f5f 646d615f 73656773 ......__dma_segs + 2c5a0 00080000 160b0870 61646472 00000015 .......paddr.... + 2c5b0 98022300 086c656e 00000015 c8022304 ..#..len......#. + 2c5c0 00095f5f 615f7569 6e743332 5f740000 ..__a_uint32_t.. + 2c5d0 00092409 615f7569 6e743332 5f740000 ..$.a_uint32_t.. + 2c5e0 00160b0f 000015df 08000016 3a100000 ............:... + 2c5f0 07616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 2c600 6e666f00 0c000016 73086e73 65677300 nfo.....s.nsegs. + 2c610 0000161d 02230008 646d615f 73656773 .....#..dma_segs + 2c620 00000016 2d022304 00095f5f 615f7569 ....-.#...__a_ui + 2c630 6e74385f 74000000 12de0961 5f75696e nt8_t......a_uin + 2c640 74385f74 00000016 73030000 16840400 t8_t....s....... + 2c650 075f5f73 675f7365 67730008 000016c5 .__sg_segs...... + 2c660 08766164 64720000 00169302 2300086c .vaddr......#..l + 2c670 656e0000 00161d02 2304000f 0000169a en......#....... + 2c680 20000016 d2100300 07616466 5f6f735f ........adf_os_ + 2c690 73676c69 73740024 00001705 086e7365 sglist.$.....nse + 2c6a0 67730000 00161d02 23000873 675f7365 gs......#..sg_se + 2c6b0 67730000 0016c502 23040012 10000017 gs......#....... + 2c6c0 4e087665 6e646f72 00000016 1d022300 N.vendor......#. + 2c6d0 08646576 69636500 0000161d 02230408 .device......#.. + 2c6e0 73756276 656e646f 72000000 161d0223 subvendor......# + 2c6f0 08087375 62646576 69636500 0000161d ..subdevice..... + 2c700 02230c00 046c6f6e 67206c6f 6e672075 .#...long long u + 2c710 6e736967 6e656420 696e7400 07080941 nsigned int....A + 2c720 5f55494e 54363400 0000174e 095f5f61 _UINT64....N.__a + 2c730 5f75696e 7436345f 74000000 17680961 _uint64_t....h.a + 2c740 5f75696e 7436345f 74000000 17761404 _uint64_t....v.. + 2c750 000017d4 0e414446 5f4f535f 5245534f .....ADF_OS_RESO + 2c760 55524345 5f545950 455f4d45 4d00000e URCE_TYPE_MEM... + 2c770 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ + 2c780 54595045 5f494f00 01000961 64665f6f TYPE_IO....adf_o + 2c790 735f7265 736f7572 63655f74 7970655f s_resource_type_ + 2c7a0 74000000 17981218 0000181e 08737461 t............sta + 2c7b0 72740000 00178802 23000865 6e640000 rt......#..end.. + 2c7c0 00178802 23080874 79706500 000017d4 ....#..type..... + 2c7d0 02231000 09616466 5f6f735f 7063695f .#...adf_os_pci_ + 2c7e0 6465765f 69645f74 00000017 05030000 dev_id_t........ + 2c7f0 181e0400 11040000 185d0870 63690000 .........].pci.. + 2c800 00183702 23000872 61770000 00040d02 ..7.#..raw...... + 2c810 23000011 10000018 7c087063 69000000 #.......|.pci... + 2c820 181e0223 00087261 77000000 040d0223 ...#..raw......# + 2c830 00000961 64665f64 72765f68 616e646c ...adf_drv_handl + 2c840 655f7400 0000040d 09616466 5f6f735f e_t......adf_os_ + 2c850 7265736f 75726365 5f740000 0017f003 resource_t...... + 2c860 00001892 04000961 64665f6f 735f6174 .......adf_os_at + 2c870 74616368 5f646174 615f7400 0000185d tach_data_t....] + 2c880 03000018 b0040003 000014dc 0400095f ..............._ + 2c890 5f616466 5f6f735f 64657669 63655f74 _adf_os_device_t + 2c8a0 00000018 d1096164 665f6f73 5f646576 ......adf_os_dev + 2c8b0 6963655f 74000000 18d80600 00187c01 ice_t.........|. + 2c8c0 03000019 04040002 01030000 19110400 ................ + 2c8d0 09616466 5f6f735f 706d5f74 00000004 .adf_os_pm_t.... + 2c8e0 0d020103 0000192b 04001404 0000196b .......+.......k + 2c8f0 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 2c900 5f504349 00010e41 44465f4f 535f4255 _PCI...ADF_OS_BU + 2c910 535f5459 50455f47 454e4552 49430002 S_TYPE_GENERIC.. + 2c920 00096164 665f6f73 5f627573 5f747970 ..adf_os_bus_typ + 2c930 655f7400 00001934 09616466 5f6f735f e_t....4.adf_os_ + 2c940 6275735f 7265675f 64617461 5f740000 bus_reg_data_t.. + 2c950 00183e03 00000325 0400075f 6164665f ..>....%..._adf_ + 2c960 6472765f 696e666f 00200000 1a480864 drv_info. ...H.d + 2c970 72765f61 74746163 68000000 190a0223 rv_attach......# + 2c980 00086472 765f6465 74616368 00000019 ..drv_detach.... + 2c990 13022304 08647276 5f737573 70656e64 ..#..drv_suspend + 2c9a0 00000019 2d022308 08647276 5f726573 ....-.#..drv_res + 2c9b0 756d6500 00001913 02230c08 6275735f ume......#..bus_ + 2c9c0 74797065 00000019 6b022310 08627573 type....k.#..bus + 2c9d0 5f646174 61000000 19820223 14086d6f _data......#..mo + 2c9e0 645f6e61 6d650000 00199d02 23180869 d_name......#..i + 2c9f0 666e616d 65000000 199d0223 1c000961 fname......#...a + 2ca00 64665f6f 735f6861 6e646c65 5f740000 df_os_handle_t.. + 2ca10 00040d03 00001673 04000201 0201095f .......s......._ + 2ca20 5f616466 5f6f735f 73697a65 5f740000 _adf_os_size_t.. + 2ca30 00041014 0400001a 970e415f 46414c53 ..........A_FALS + 2ca40 4500000e 415f5452 55450001 0009615f E...A_TRUE....a_ + 2ca50 626f6f6c 5f740000 001a7d03 00001505 bool_t....}..... + 2ca60 0400095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 2ca70 6d61705f 74000000 1aa50201 0d616466 map_t........adf + 2ca80 5f6f735f 63616368 655f7379 6e630004 _os_cache_sync.. + 2ca90 00001b2f 0e414446 5f53594e 435f5052 .../.ADF_SYNC_PR + 2caa0 45524541 4400000e 4144465f 53594e43 EREAD...ADF_SYNC + 2cab0 5f505245 57524954 4500020e 4144465f _PREWRITE...ADF_ + 2cac0 53594e43 5f504f53 54524541 4400010e SYNC_POSTREAD... + 2cad0 4144465f 53594e43 5f504f53 54575249 ADF_SYNC_POSTWRI + 2cae0 54450003 00096164 665f6f73 5f636163 TE....adf_os_cac + 2caf0 68655f73 796e635f 74000000 1ac60201 he_sync_t....... + 2cb00 09616466 5f6f735f 73697a65 5f740000 .adf_os_size_t.. + 2cb10 001a6806 00001b4a 01096164 665f6f73 ..h....J..adf_os + 2cb20 5f646d61 5f6d6170 5f740000 001aac03 _dma_map_t...... + 2cb30 00001b63 04000600 00040d01 0300001a ...c............ + 2cb40 ac040006 0000040d 01020106 00001598 ................ + 2cb50 01020104 73686f72 7420696e 74000502 ....short int... + 2cb60 09415f49 4e543136 0000001b 9d095f5f .A_INT16......__ + 2cb70 615f696e 7431365f 74000000 1baa0961 a_int16_t......a + 2cb80 5f696e74 31365f74 0000001b b7047369 _int16_t......si + 2cb90 676e6564 20636861 72000501 09415f49 gned char....A_I + 2cba0 4e543800 00001bd7 095f5f61 5f696e74 NT8......__a_int + 2cbb0 385f7400 00001be6 09615f69 6e74385f 8_t......a_int8_ + 2cbc0 74000000 1bf2120c 00001c69 08737570 t..........i.sup + 2cbd0 706f7274 65640000 00161d02 23000861 ported......#..a + 2cbe0 64766572 74697a65 64000000 161d0223 dvertized......# + 2cbf0 04087370 65656400 00001bc8 02230808 ..speed......#.. + 2cc00 6475706c 65780000 001c0202 230a0861 duplex......#..a + 2cc10 75746f6e 65670000 00168402 230b000f utoneg......#... + 2cc20 00001684 0600001c 76100500 07616466 ........v....adf + 2cc30 5f6e6574 5f657468 61646472 00060000 _net_ethaddr.... + 2cc40 1c9a0861 64647200 00001c69 02230000 ...addr....i.#.. + 2cc50 095f5f61 5f75696e 7431365f 74000000 .__a_uint16_t... + 2cc60 12f20961 5f75696e 7431365f 74000000 ...a_uint16_t... + 2cc70 1c9a120e 00001cfe 08657468 65725f64 .........ether_d + 2cc80 686f7374 0000001c 69022300 08657468 host....i.#..eth + 2cc90 65725f73 686f7374 0000001c 69022306 er_shost....i.#. + 2cca0 08657468 65725f74 79706500 00001cac .ether_type..... + 2ccb0 02230c00 12140000 1dbf1569 705f7665 .#.........ip_ve + 2ccc0 7273696f 6e000000 16840100 04022300 rsion.........#. + 2ccd0 1569705f 686c0000 00168401 04040223 .ip_hl.........# + 2cce0 00086970 5f746f73 00000016 84022301 ..ip_tos......#. + 2ccf0 0869705f 6c656e00 00001cac 02230208 .ip_len......#.. + 2cd00 69705f69 64000000 1cac0223 04086970 ip_id......#..ip + 2cd10 5f667261 675f6f66 66000000 1cac0223 _frag_off......# + 2cd20 06086970 5f74746c 00000016 84022308 ..ip_ttl......#. + 2cd30 0869705f 70726f74 6f000000 16840223 .ip_proto......# + 2cd40 09086970 5f636865 636b0000 001cac02 ..ip_check...... + 2cd50 230a0869 705f7361 64647200 0000161d #..ip_saddr..... + 2cd60 02230c08 69705f64 61646472 00000016 .#..ip_daddr.... + 2cd70 1d022310 00076164 665f6e65 745f766c ..#...adf_net_vl + 2cd80 616e6864 72000400 001e1108 74706964 anhdr.......tpid + 2cd90 0000001c ac022300 15707269 6f000000 ......#..prio... + 2cda0 16840100 03022302 15636669 00000016 ......#..cfi.... + 2cdb0 84010301 02230215 76696400 00001cac .....#..vid..... + 2cdc0 02040c02 23020007 6164665f 6e65745f ....#...adf_net_ + 2cdd0 76696400 0200001e 42157265 73000000 vid.....B.res... + 2cde0 16840100 04022300 1576616c 0000001c ......#..val.... + 2cdf0 ac02040c 02230000 120c0000 1e7e0872 .....#.......~.r + 2ce00 785f6275 6673697a 65000000 161d0223 x_bufsize......# + 2ce10 00087278 5f6e6465 73630000 00161d02 ..rx_ndesc...... + 2ce20 23040874 785f6e64 65736300 0000161d #..tx_ndesc..... + 2ce30 02230800 12080000 1ea40870 6f6c6c65 .#.........polle + 2ce40 64000000 1a970223 0008706f 6c6c5f77 d......#..poll_w + 2ce50 74000000 161d0223 04000f00 00168440 t......#.......@ + 2ce60 00001eb1 103f0012 4600001e d9086966 .....?..F.....if + 2ce70 5f6e616d 65000000 1ea40223 00086465 _name......#..de + 2ce80 765f6164 64720000 001c6902 23400014 v_addr....i.#@.. + 2ce90 0400001f 100e4144 465f4f53 5f444d41 ......ADF_OS_DMA + 2cea0 5f4d4153 4b5f3332 42495400 000e4144 _MASK_32BIT...AD + 2ceb0 465f4f53 5f444d41 5f4d4153 4b5f3634 F_OS_DMA_MASK_64 + 2cec0 42495400 01000961 64665f6f 735f646d BIT....adf_os_dm + 2ced0 615f6d61 736b5f74 0000001e d9076164 a_mask_t......ad + 2cee0 665f646d 615f696e 666f0008 00001f5d f_dma_info.....] + 2cef0 08646d61 5f6d6173 6b000000 1f100223 .dma_mask......# + 2cf00 00087367 5f6e7365 67730000 00161d02 ..sg_nsegs...... + 2cf10 23040014 0400001f b30e4144 465f4e45 #.........ADF_NE + 2cf20 545f434b 53554d5f 4e4f4e45 00000e41 T_CKSUM_NONE...A + 2cf30 44465f4e 45545f43 4b53554d 5f544350 DF_NET_CKSUM_TCP + 2cf40 5f554450 5f495076 3400010e 4144465f _UDP_IPv4...ADF_ + 2cf50 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 2cf60 505f4950 76360002 00096164 665f6e65 P_IPv6....adf_ne + 2cf70 745f636b 73756d5f 74797065 5f740000 t_cksum_type_t.. + 2cf80 001f5d12 0800001f f6087478 5f636b73 ..].......tx_cks + 2cf90 756d0000 001fb302 23000872 785f636b um......#..rx_ck + 2cfa0 73756d00 00001fb3 02230400 09616466 sum......#...adf + 2cfb0 5f6e6574 5f636b73 756d5f69 6e666f5f _net_cksum_info_ + 2cfc0 74000000 1fcd1404 0000204f 0e414446 t......... O.ADF + 2cfd0 5f4e4554 5f54534f 5f4e4f4e 4500000e _NET_TSO_NONE... + 2cfe0 4144465f 4e45545f 54534f5f 49505634 ADF_NET_TSO_IPV4 + 2cff0 00010e41 44465f4e 45545f54 534f5f41 ...ADF_NET_TSO_A + 2d000 4c4c0002 00096164 665f6e65 745f7473 LL....adf_net_ts + 2d010 6f5f7479 70655f74 00000020 10121000 o_type_t... .... + 2d020 0020a308 636b7375 6d5f6361 70000000 . ..cksum_cap... + 2d030 1ff60223 00087473 6f000000 204f0223 ...#..tso... O.# + 2d040 0808766c 616e5f73 7570706f 72746564 ..vlan_supported + 2d050 00000016 8402230c 00122000 00213c08 ......#... ..!<. + 2d060 74785f70 61636b65 74730000 00161d02 tx_packets...... + 2d070 23000872 785f7061 636b6574 73000000 #..rx_packets... + 2d080 161d0223 04087478 5f627974 65730000 ...#..tx_bytes.. + 2d090 00161d02 23080872 785f6279 74657300 ....#..rx_bytes. + 2d0a0 0000161d 02230c08 74785f64 726f7070 .....#..tx_dropp + 2d0b0 65640000 00161d02 23100872 785f6472 ed......#..rx_dr + 2d0c0 6f707065 64000000 161d0223 14087278 opped......#..rx + 2d0d0 5f657272 6f727300 0000161d 02231808 _errors......#.. + 2d0e0 74785f65 72726f72 73000000 161d0223 tx_errors......# + 2d0f0 1c000961 64665f6e 65745f65 74686164 ...adf_net_ethad + 2d100 64725f74 0000001c 76160000 213c0300 dr_t....v...!<.. + 2d110 00002161 107f0017 6164665f 6e65745f ..!a....adf_net_ + 2d120 636d645f 6d636164 64720003 04000021 cmd_mcaddr.....! + 2d130 98086e65 6c656d00 0000161d 02230008 ..nelem......#.. + 2d140 6d636173 74000000 21530223 04000961 mcast...!S.#...a + 2d150 64665f6e 65745f63 6d645f6c 696e6b5f df_net_cmd_link_ + 2d160 696e666f 5f740000 001c1009 6164665f info_t......adf_ + 2d170 6e65745f 636d645f 706f6c6c 5f696e66 net_cmd_poll_inf + 2d180 6f5f7400 00001e7e 09616466 5f6e6574 o_t....~.adf_net + 2d190 5f636d64 5f636b73 756d5f69 6e666f5f _cmd_cksum_info_ + 2d1a0 74000000 1ff60961 64665f6e 65745f63 t......adf_net_c + 2d1b0 6d645f72 696e675f 696e666f 5f740000 md_ring_info_t.. + 2d1c0 001e4209 6164665f 6e65745f 636d645f ..B.adf_net_cmd_ + 2d1d0 646d615f 696e666f 5f740000 001f2709 dma_info_t....'. + 2d1e0 6164665f 6e65745f 636d645f 7669645f adf_net_cmd_vid_ + 2d1f0 74000000 1cac0961 64665f6e 65745f63 t......adf_net_c + 2d200 6d645f6f 66666c6f 61645f63 61705f74 md_offload_cap_t + 2d210 00000020 67096164 665f6e65 745f636d ... g.adf_net_cm + 2d220 645f7374 6174735f 74000000 20a30961 d_stats_t... ..a + 2d230 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd + 2d240 725f7400 00002161 0d616466 5f6e6574 r_t...!a.adf_net + 2d250 5f636d64 5f6d6361 73745f63 61700004 _cmd_mcast_cap.. + 2d260 000022da 0e414446 5f4e4554 5f4d4341 .."..ADF_NET_MCA + 2d270 53545f53 55500000 0e414446 5f4e4554 ST_SUP...ADF_NET + 2d280 5f4d4341 53545f4e 4f545355 50000100 _MCAST_NOTSUP... + 2d290 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 2d2a0 73745f63 61705f74 00000022 92180304 st_cap_t...".... + 2d2b0 000023ac 086c696e 6b5f696e 666f0000 ..#..link_info.. + 2d2c0 00219802 23000870 6f6c6c5f 696e666f .!..#..poll_info + 2d2d0 00000021 b5022300 08636b73 756d5f69 ...!..#..cksum_i + 2d2e0 6e666f00 000021d2 02230008 72696e67 nfo...!..#..ring + 2d2f0 5f696e66 6f000000 21f00223 0008646d _info...!..#..dm + 2d300 615f696e 666f0000 00220d02 23000876 a_info..."..#..v + 2d310 69640000 00222902 2300086f 66666c6f id...").#..offlo + 2d320 61645f63 61700000 00224002 23000873 ad_cap..."@.#..s + 2d330 74617473 00000022 5f022300 086d6361 tats..."_.#..mca + 2d340 73745f69 6e666f00 00002278 02230008 st_info..."x.#.. + 2d350 6d636173 745f6361 70000000 22da0223 mcast_cap..."..# + 2d360 00001404 00002403 0e414446 5f4e4255 ......$..ADF_NBU + 2d370 465f5258 5f434b53 554d5f4e 4f4e4500 F_RX_CKSUM_NONE. + 2d380 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 2d390 53554d5f 48570001 0e414446 5f4e4255 SUM_HW...ADF_NBU + 2d3a0 465f5258 5f434b53 554d5f55 4e4e4543 F_RX_CKSUM_UNNEC + 2d3b0 45535341 52590002 00096164 665f6e62 ESSARY....adf_nb + 2d3c0 75665f72 785f636b 73756d5f 74797065 uf_rx_cksum_type + 2d3d0 5f740000 0023ac12 08000024 43087265 _t...#.....$C.re + 2d3e0 73756c74 00000024 03022300 0876616c sult...$..#..val + 2d3f0 00000016 1d022304 00120800 00247308 ......#......$s. + 2d400 74797065 00000020 4f022300 086d7373 type... O.#..mss + 2d410 0000001c ac022304 08686472 5f6f6666 ......#..hdr_off + 2d420 00000016 84022306 00075f5f 6164665f ......#...__adf_ + 2d430 6e627566 5f716865 6164000c 000024b2 nbuf_qhead....$. + 2d440 08686561 64000000 143d0223 00087461 .head....=.#..ta + 2d450 696c0000 00143d02 23040871 6c656e00 il....=.#..qlen. + 2d460 0000161d 02230800 095f5f61 64665f6e .....#...__adf_n + 2d470 6275665f 74000000 143d0300 00169304 buf_t....=...... + 2d480 00030000 161d0400 02010600 00131f01 ................ + 2d490 06000016 1d010600 00169301 06000016 ................ + 2d4a0 93010300 00130004 00095f5f 6164665f ..........__adf_ + 2d4b0 6e627566 5f716865 61645f74 00000024 nbuf_qhead_t...$ + 2d4c0 73095f5f 6164665f 6e627566 5f717565 s.__adf_nbuf_que + 2d4d0 75655f74 00000024 f3030000 250b0400 ue_t...$....%... + 2d4e0 06000024 b2010600 0024b201 14040000 ...$.....$...... + 2d4f0 262b0e41 5f535441 5455535f 4f4b0000 &+.A_STATUS_OK.. + 2d500 0e415f53 54415455 535f4641 494c4544 .A_STATUS_FAILED + 2d510 00010e41 5f535441 5455535f 454e4f45 ...A_STATUS_ENOE + 2d520 4e540002 0e415f53 54415455 535f454e NT...A_STATUS_EN + 2d530 4f4d454d 00030e41 5f535441 5455535f OMEM...A_STATUS_ + 2d540 45494e56 414c0004 0e415f53 54415455 EINVAL...A_STATU + 2d550 535f4549 4e50524f 47524553 5300050e S_EINPROGRESS... + 2d560 415f5354 41545553 5f454e4f 54535550 A_STATUS_ENOTSUP + 2d570 5000060e 415f5354 41545553 5f454255 P...A_STATUS_EBU + 2d580 53590007 0e415f53 54415455 535f4532 SY...A_STATUS_E2 + 2d590 42494700 080e415f 53544154 55535f45 BIG...A_STATUS_E + 2d5a0 41444452 4e4f5441 5641494c 00090e41 ADDRNOTAVAIL...A + 2d5b0 5f535441 5455535f 454e5849 4f000a0e _STATUS_ENXIO... + 2d5c0 415f5354 41545553 5f454641 554c5400 A_STATUS_EFAULT. + 2d5d0 0b0e415f 53544154 55535f45 494f000c ..A_STATUS_EIO.. + 2d5e0 0009615f 73746174 75735f74 00000025 ..a_status_t...% + 2d5f0 36060000 262b0106 00000118 01020109 6...&+.......... + 2d600 6164665f 6e627566 5f740000 0024b214 adf_nbuf_t...$.. + 2d610 04000026 900e4144 465f4f53 5f444d41 ...&..ADF_OS_DMA + 2d620 5f544f5f 44455649 43450000 0e414446 _TO_DEVICE...ADF + 2d630 5f4f535f 444d415f 46524f4d 5f444556 _OS_DMA_FROM_DEV + 2d640 49434500 01000961 64665f6f 735f646d ICE....adf_os_dm + 2d650 615f6469 725f7400 00002659 06000026 a_dir_t...&Y...& + 2d660 2b010201 09616466 5f6f735f 646d616d +....adf_os_dmam + 2d670 61705f69 6e666f5f 74000000 163a0300 ap_info_t....:.. + 2d680 0026ae04 00020102 01060000 26490106 .&..........&I.. + 2d690 000024b2 01020102 01060000 26490106 ..$.........&I.. + 2d6a0 000024b2 01060000 26490106 000024b2 ..$.....&I....$. + 2d6b0 01060000 26490102 01020106 0000161d ....&I.......... + 2d6c0 01060000 16930102 01020106 00001b4a ...............J + 2d6d0 01060000 1a970106 00001a97 01096164 ..............ad + 2d6e0 665f6f73 5f73676c 6973745f 74000000 f_os_sglist_t... + 2d6f0 16d20300 00272704 00020102 01020106 .....''......... + 2d700 00001693 01096164 665f6e62 75665f71 ......adf_nbuf_q + 2d710 75657565 5f740000 00250b03 0000274f ueue_t...%....'O + 2d720 04000201 03000024 f3040002 01020102 .......$........ + 2d730 01060000 26490106 000024b2 01060000 ....&I....$..... + 2d740 161d0106 0000161d 01060000 1a970106 ................ + 2d750 00001a97 01060000 1fb30106 0000161d ................ + 2d760 01096164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck + 2d770 73756d5f 74000000 24210300 0027ab04 sum_t...$!...'.. + 2d780 00020102 01096164 665f6e62 75665f74 ......adf_nbuf_t + 2d790 736f5f74 00000024 43030000 27cf0400 so_t...$C...'... + 2d7a0 02010201 09616466 5f6e6574 5f68616e .....adf_net_han + 2d7b0 646c655f 74000000 040d0961 64665f6e dle_t......adf_n + 2d7c0 65745f76 6c616e68 64725f74 0000001d et_vlanhdr_t.... + 2d7d0 bf030000 28040400 06000026 2b010600 ....(......&+... + 2d7e0 00262b01 02010201 075f4849 465f434f .&+......_HIF_CO + 2d7f0 4e464947 00040000 28530864 756d6d79 NFIG....(S.dummy + 2d800 00000001 18022300 00020103 00002853 ......#.......(S + 2d810 04000201 03000028 5c040007 5f484946 .......(\..._HIF + 2d820 5f43414c 4c424143 4b000c00 0028b108 _CALLBACK....(.. + 2d830 73656e64 5f627566 5f646f6e 65000000 send_buf_done... + 2d840 28550223 00087265 63765f62 75660000 (U.#..recv_buf.. + 2d850 00285e02 23040863 6f6e7465 78740000 .(^.#..context.. + 2d860 00040d02 23080009 6869665f 68616e64 ....#...hif_hand + 2d870 6c655f74 00000004 0d094849 465f434f le_t......HIF_CO + 2d880 4e464947 00000028 32030000 28c30400 NFIG...(2...(... + 2d890 06000028 b1010300 0028da04 00020103 ...(.....(...... + 2d8a0 000028e7 04000948 49465f43 414c4c42 ..(....HIF_CALLB + 2d8b0 41434b00 00002865 03000028 f0040002 ACK...(e...(.... + 2d8c0 01030000 29090400 06000001 18010300 ....)........... + 2d8d0 00291204 00020103 0000291f 04000600 .)........)..... + 2d8e0 00011801 03000029 28040002 01030000 .......)(....... + 2d8f0 29350400 06000001 18010300 00293e04 )5...........)>. + 2d900 00020103 0000294b 04000768 69665f61 ......)K...hif_a + 2d910 70690038 00002aa4 085f696e 69740000 pi.8..*.._init.. + 2d920 0028e002 2300085f 73687574 646f776e .(..#.._shutdown + 2d930 00000028 e9022304 085f7265 67697374 ...(..#.._regist + 2d940 65725f63 616c6c62 61636b00 0000290b er_callback...). + 2d950 02230808 5f676574 5f746f74 616c5f63 .#.._get_total_c + 2d960 72656469 745f636f 756e7400 00002918 redit_count...). + 2d970 02230c08 5f737461 72740000 0028e902 .#.._start...(.. + 2d980 2310085f 636f6e66 69675f70 69706500 #.._config_pipe. + 2d990 00002921 02231408 5f73656e 645f6275 ..)!.#.._send_bu + 2d9a0 66666572 00000029 2e022318 085f7265 ffer...)..#.._re + 2d9b0 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 2d9c0 29370223 1c085f69 735f7069 70655f73 )7.#.._is_pipe_s + 2d9d0 7570706f 72746564 00000029 44022320 upported...)D.# + 2d9e0 085f6765 745f6d61 785f6d73 675f6c65 ._get_max_msg_le + 2d9f0 6e000000 29440223 24085f67 65745f72 n...)D.#$._get_r + 2da00 65736572 7665645f 68656164 726f6f6d eserved_headroom + 2da10 00000029 18022328 085f6973 725f6861 ...)..#(._isr_ha + 2da20 6e646c65 72000000 28e90223 2c085f67 ndler...(..#,._g + 2da30 65745f64 65666175 6c745f70 69706500 et_default_pipe. + 2da40 0000294d 02233008 70526573 65727665 ..)M.#0.pReserve + 2da50 64000000 040d0223 34000d64 6d615f65 d......#4..dma_e + 2da60 6e67696e 65000400 002b2d0e 444d415f ngine....+-.DMA_ + 2da70 454e4749 4e455f52 58300000 0e444d41 ENGINE_RX0...DMA + 2da80 5f454e47 494e455f 52583100 010e444d _ENGINE_RX1...DM + 2da90 415f454e 47494e45 5f525832 00020e44 A_ENGINE_RX2...D + 2daa0 4d415f45 4e47494e 455f5258 3300030e MA_ENGINE_RX3... + 2dab0 444d415f 454e4749 4e455f54 58300004 DMA_ENGINE_TX0.. + 2dac0 0e444d41 5f454e47 494e455f 54583100 .DMA_ENGINE_TX1. + 2dad0 050e444d 415f454e 47494e45 5f4d4158 ..DMA_ENGINE_MAX + 2dae0 00060009 646d615f 656e6769 6e655f74 ....dma_engine_t + 2daf0 0000002a a40d646d 615f6966 74797065 ...*..dma_iftype + 2db00 00040000 2b7a0e44 4d415f49 465f474d ....+z.DMA_IF_GM + 2db10 41430000 0e444d41 5f49465f 50434900 AC...DMA_IF_PCI. + 2db20 010e444d 415f4946 5f504349 45000200 ..DMA_IF_PCIE... + 2db30 09646d61 5f696674 7970655f 74000000 .dma_iftype_t... + 2db40 2b3f0600 0012f201 0300002b 8c040002 +?.........+.... + 2db50 01030000 2b990400 02010300 002ba204 ....+........+.. + 2db60 00060000 09240103 00002bab 04000600 .....$....+..... + 2db70 0012f201 0300002b b8040006 000012f2 .......+........ + 2db80 01030000 2bc50400 06000014 3d010300 ....+.......=... + 2db90 002bd204 00020103 00002bdf 04000764 .+........+....d + 2dba0 6d615f6c 69625f61 70690034 00002ce6 ma_lib_api.4..,. + 2dbb0 0874785f 696e6974 0000002b 92022300 .tx_init...+..#. + 2dbc0 0874785f 73746172 74000000 2b9b0223 .tx_start...+..# + 2dbd0 04087278 5f696e69 74000000 2b920223 ..rx_init...+..# + 2dbe0 08087278 5f636f6e 66696700 00002ba4 ..rx_config...+. + 2dbf0 02230c08 72785f73 74617274 0000002b .#..rx_start...+ + 2dc00 9b022310 08696e74 725f7374 61747573 ..#..intr_status + 2dc10 0000002b b1022314 08686172 645f786d ...+..#..hard_xm + 2dc20 69740000 002bbe02 23180866 6c757368 it...+..#..flush + 2dc30 5f786d69 74000000 2b9b0223 1c08786d _xmit...+..#..xm + 2dc40 69745f64 6f6e6500 00002bcb 02232008 it_done...+..# . + 2dc50 72656170 5f786d69 74746564 0000002b reap_xmitted...+ + 2dc60 d8022324 08726561 705f7265 63760000 ..#$.reap_recv.. + 2dc70 002bd802 23280872 65747572 6e5f7265 .+..#(.return_re + 2dc80 63760000 002be102 232c0872 6563765f cv...+..#,.recv_ + 2dc90 706b7400 00002bcb 02233000 075f5f70 pkt...+..#0..__p + 2dca0 63695f73 6f667463 000c0000 2d040873 ci_softc....-..s + 2dcb0 77000000 28f00223 0000095f 5f706369 w...(..#...__pci + 2dcc0 5f736f66 74635f74 0000002c e6030000 _softc_t...,.... + 2dcd0 2d040400 02010300 002d1e04 00060000 -........-...... + 2dce0 12de0103 00002d27 04000d68 69665f70 ......-'...hif_p + 2dcf0 63695f70 6970655f 74780004 00002d87 ci_pipe_tx....-. + 2dd00 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 2dd10 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 2dd20 5f545831 00010e48 49465f50 43495f50 _TX1...HIF_PCI_P + 2dd30 4950455f 54585f4d 41580002 00096869 IPE_TX_MAX....hi + 2dd40 665f7063 695f7069 70655f74 785f7400 f_pci_pipe_tx_t. + 2dd50 00002d34 0600002b 2d010300 002d9e04 ..-4...+-....-.. + 2dd60 000d6869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r + 2dd70 78000400 002e240e 4849465f 5043495f x.....$.HIF_PCI_ + 2dd80 50495045 5f525830 00000e48 49465f50 PIPE_RX0...HIF_P + 2dd90 43495f50 4950455f 52583100 010e4849 CI_PIPE_RX1...HI + 2dda0 465f5043 495f5049 50455f52 58320002 F_PCI_PIPE_RX2.. + 2ddb0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 2ddc0 3300030e 4849465f 5043495f 50495045 3...HIF_PCI_PIPE + 2ddd0 5f52585f 4d415800 04000968 69665f70 _RX_MAX....hif_p + 2dde0 63695f70 6970655f 72785f74 0000002d ci_pipe_rx_t...- + 2ddf0 ab060000 2b2d0103 00002e3b 04000768 ....+-.....;...h + 2de00 69665f70 63695f61 70690024 00002f19 if_pci_api.$../. + 2de10 08706369 5f626f6f 745f696e 69740000 .pci_boot_init.. + 2de20 00011102 23000870 63695f69 6e697400 ....#..pci_init. + 2de30 000028e0 02230408 7063695f 72657365 ..(..#..pci_rese + 2de40 74000000 01110223 08087063 695f656e t......#..pci_en + 2de50 61626c65 00000001 1102230c 08706369 able......#..pci + 2de60 5f726561 705f786d 69747465 64000000 _reap_xmitted... + 2de70 2d200223 10087063 695f7265 61705f72 - .#..pci_reap_r + 2de80 65637600 00002d20 02231408 7063695f ecv...- .#..pci_ + 2de90 6765745f 70697065 0000002d 2d022318 get_pipe...--.#. + 2dea0 08706369 5f676574 5f74785f 656e6700 .pci_get_tx_eng. + 2deb0 00002da4 02231c08 7063695f 6765745f ..-..#..pci_get_ + 2dec0 72785f65 6e670000 002e4102 23200007 rx_eng....A.# .. + 2ded0 676d6163 5f617069 00040000 2f400867 gmac_api..../@.g + 2dee0 6d61635f 626f6f74 5f696e69 74000000 mac_boot_init... + 2def0 01110223 00000f00 00032506 00002f4d ...#......%.../M + 2df00 10050007 5f5f6574 68686472 000e0000 ....__ethhdr.... + 2df10 2f830864 73740000 002f4002 23000873 /..dst.../@.#..s + 2df20 72630000 002f4002 23060865 74797065 rc.../@.#..etype + 2df30 00000012 f202230c 00075f5f 61746868 ......#...__athh + 2df40 64720004 00002fd1 15726573 00000012 dr..../..res.... + 2df50 de010002 02230015 70726f74 6f000000 .....#..proto... + 2df60 12de0102 06022300 08726573 5f6c6f00 ......#..res_lo. + 2df70 000012de 02230108 7265735f 68690000 .....#..res_hi.. + 2df80 0012f202 23020007 5f5f676d 61635f68 ....#...__gmac_h + 2df90 64720014 0000300d 08657468 0000002f dr....0..eth.../ + 2dfa0 4d022300 08617468 0000002f 8302230e M.#..ath.../..#. + 2dfb0 08616c69 676e5f70 61640000 0012f202 .align_pad...... + 2dfc0 23120009 5f5f676d 61635f68 64725f74 #...__gmac_hdr_t + 2dfd0 0000002f d1075f5f 676d6163 5f736f66 .../..__gmac_sof + 2dfe0 74630024 00003057 08686472 00000030 tc.$..0W.hdr...0 + 2dff0 0d022300 08677261 6e000000 12f20223 ..#..gran......# + 2e000 14087377 00000028 f0022318 00075f41 ..sw...(..#..._A + 2e010 5f6f735f 6c696e6b 6167655f 63686563 _os_linkage_chec + 2e020 6b000800 00309008 76657273 696f6e00 k....0..version. + 2e030 00000118 02230008 7461626c 65000000 .....#..table... + 2e040 01180223 04000300 00305704 00060000 ...#.....0W..... + 2e050 01180103 00003097 04000300 00041004 ......0......... + 2e060 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 2e070 65637469 6f6e5f74 61626c65 0001b800 ection_table.... + 2e080 0031e708 68616c5f 6c696e6b 6167655f .1..hal_linkage_ + 2e090 63686563 6b000000 309d0223 00087374 check...0..#..st + 2e0a0 6172745f 62737300 000030a4 02230408 art_bss...0..#.. + 2e0b0 6170705f 73746172 74000000 01110223 app_start......# + 2e0c0 08086d65 6d000000 04500223 0c086d69 ..mem....P.#..mi + 2e0d0 73630000 00056f02 23200870 72696e74 sc....o.# .print + 2e0e0 66000000 01450223 44087561 72740000 f....E.#D.uart.. + 2e0f0 00020e02 234c0867 6d616300 00002f19 ....#L.gmac.../. + 2e100 02236c08 75736200 00000f9e 02237008 .#l.usb......#p. + 2e110 636c6f63 6b000000 0ae70323 e0010874 clock......#...t + 2e120 696d6572 00000007 87032384 0208696e imer......#...in + 2e130 74720000 000c3703 23980208 616c6c6f tr....7.#...allo + 2e140 6372616d 00000009 3f0323c4 0208726f cram....?.#...ro + 2e150 6d700000 00083103 23d00208 7764745f mp....1.#...wdt_ + 2e160 74696d65 72000000 0e140323 e0020865 timer......#...e + 2e170 65700000 000f4203 23fc0208 73747269 ep....B.#...stri + 2e180 6e670000 00069303 238c0308 7461736b ng......#...task + 2e190 6c657400 00000a3c 0323a403 00075f55 let....<.#...._U + 2e1a0 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. + 2e1b0 0000325a 08676574 5f636f6d 6d616e64 ..2Z.get_command + 2e1c0 5f627566 00000014 4a022300 08726563 _buf....J.#..rec + 2e1d0 765f636f 6d6d616e 64000000 14600223 v_command....`.# + 2e1e0 04086765 745f6576 656e745f 62756600 ..get_event_buf. + 2e1f0 0000144a 02230808 73656e64 5f657665 ...J.#..send_eve + 2e200 6e745f64 6f6e6500 00001460 02230c00 nt_done....`.#.. + 2e210 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG + 2e220 00000031 e7030000 325a0400 02010300 ...1....2Z...... + 2e230 00327604 00077573 62666966 6f5f6170 .2v...usbfifo_ap + 2e240 69000c00 0032cc08 5f696e69 74000000 i....2.._init... + 2e250 32780223 00085f65 6e61626c 655f6576 2x.#.._enable_ev + 2e260 656e745f 69737200 00000111 02230408 ent_isr......#.. + 2e270 70526573 65727665 64000000 040d0223 pReserved......# + 2e280 08000f00 00168402 000032d9 10010007 ..........2..... + 2e290 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. + 2e2a0 0000334b 08456e64 706f696e 74494400 ..3K.EndpointID. + 2e2b0 00001684 02230008 466c6167 73000000 .....#..Flags... + 2e2c0 16840223 01085061 796c6f61 644c656e ...#..PayloadLen + 2e2d0 0000001c ac022302 08436f6e 74726f6c ......#..Control + 2e2e0 42797465 73000000 32cc0223 0408486f Bytes...2..#..Ho + 2e2f0 73745365 714e756d 0000001c ac022306 stSeqNum......#. + 2e300 00120200 00336408 4d657373 61676549 .....3d.MessageI + 2e310 44000000 1cac0223 00001208 000033c7 D......#......3. + 2e320 084d6573 73616765 49440000 001cac02 .MessageID...... + 2e330 23000843 72656469 74436f75 6e740000 #..CreditCount.. + 2e340 001cac02 23020843 72656469 7453697a ....#..CreditSiz + 2e350 65000000 1cac0223 04084d61 78456e64 e......#..MaxEnd + 2e360 706f696e 74730000 00168402 2306085f points......#.._ + 2e370 50616431 00000016 84022307 00120a00 Pad1......#..... + 2e380 00345e08 4d657373 61676549 44000000 .4^.MessageID... + 2e390 1cac0223 00085365 72766963 65494400 ...#..ServiceID. + 2e3a0 00001cac 02230208 436f6e6e 65637469 .....#..Connecti + 2e3b0 6f6e466c 61677300 00001cac 02230408 onFlags......#.. + 2e3c0 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. + 2e3d0 00168402 23060855 704c696e 6b506970 ....#..UpLinkPip + 2e3e0 65494400 00001684 02230708 53657276 eID......#..Serv + 2e3f0 6963654d 6574614c 656e6774 68000000 iceMetaLength... + 2e400 16840223 08085f50 61643100 00001684 ...#.._Pad1..... + 2e410 02230900 120a0000 34e6084d 65737361 .#......4..Messa + 2e420 67654944 0000001c ac022300 08536572 geID......#..Ser + 2e430 76696365 49440000 001cac02 23020853 viceID......#..S + 2e440 74617475 73000000 16840223 0408456e tatus......#..En + 2e450 64706f69 6e744944 00000016 84022305 dpointID......#. + 2e460 084d6178 4d736753 697a6500 00001cac .MaxMsgSize..... + 2e470 02230608 53657276 6963654d 6574614c .#..ServiceMetaL + 2e480 656e6774 68000000 16840223 08085f50 ength......#.._P + 2e490 61643100 00001684 02230900 12020000 ad1......#...... + 2e4a0 34ff084d 65737361 67654944 0000001c 4..MessageID.... + 2e4b0 ac022300 00120400 00353b08 4d657373 ..#......5;.Mess + 2e4c0 61676549 44000000 1cac0223 00085069 ageID......#..Pi + 2e4d0 70654944 00000016 84022302 08437265 peID......#..Cre + 2e4e0 64697443 6f756e74 00000016 84022303 ditCount......#. + 2e4f0 00120400 00357208 4d657373 61676549 .....5r.MessageI + 2e500 44000000 1cac0223 00085069 70654944 D......#..PipeID + 2e510 00000016 84022302 08537461 74757300 ......#..Status. + 2e520 00001684 02230300 12020000 35990852 .....#......5..R + 2e530 65636f72 64494400 00001684 02230008 ecordID......#.. + 2e540 4c656e67 74680000 00168402 23010012 Length......#... + 2e550 02000035 c308456e 64706f69 6e744944 ...5..EndpointID + 2e560 00000016 84022300 08437265 64697473 ......#..Credits + 2e570 00000016 84022301 00120400 00360408 ......#......6.. + 2e580 456e6470 6f696e74 49440000 00168402 EndpointID...... + 2e590 23000843 72656469 74730000 00168402 #..Credits...... + 2e5a0 23010854 67744372 65646974 5365714e #..TgtCreditSeqN + 2e5b0 6f000000 1cac0223 02000f00 00168404 o......#........ + 2e5c0 00003611 10030012 06000036 4d085072 ..6........6M.Pr + 2e5d0 6556616c 69640000 00168402 2300084c eValid......#..L + 2e5e0 6f6f6b41 68656164 00000036 04022301 ookAhead...6..#. + 2e5f0 08506f73 7456616c 69640000 00168402 .PostValid...... + 2e600 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ + 2e610 74000000 040d0600 00364d01 03000036 t........6M....6 + 2e620 60040002 01030000 366d0400 14040000 `.......6m...... + 2e630 36eb0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C + 2e640 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID + 2e650 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP + 2e660 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI + 2e670 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO + 2e680 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF + 2e690 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. + 2e6a0 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... + 2e6b0 36760201 03000036 fc040006 00002649 6v.....6......&I + 2e6c0 01030000 37050400 06000026 49010300 ....7......&I... + 2e6d0 00371204 00020103 0000371f 04000762 .7........7....b + 2e6e0 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 + 2e6f0 c1085f69 6e697400 00003666 02230008 .._init...6f.#.. + 2e700 5f736875 74646f77 6e000000 366f0223 _shutdown...6o.# + 2e710 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. + 2e720 0036fe02 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu + 2e730 66000000 370b0223 0c085f61 6c6c6f63 f...7..#.._alloc + 2e740 5f627566 5f616c69 676e0000 00371802 _buf_align...7.. + 2e750 2310085f 66726565 5f627566 00000037 #.._free_buf...7 + 2e760 21022314 08705265 73657276 65640000 !.#..pReserved.. + 2e770 00040d02 23180007 5f485443 5f534552 ....#..._HTC_SER + 2e780 56494345 001c0000 38a00870 4e657874 VICE....8..pNext + 2e790 00000038 a0022300 0850726f 63657373 ...8..#..Process + 2e7a0 52656376 4d736700 00003955 02230408 RecvMsg...9U.#.. + 2e7b0 50726f63 65737353 656e6442 75666665 ProcessSendBuffe + 2e7c0 72436f6d 706c6574 65000000 395e0223 rComplete...9^.# + 2e7d0 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect + 2e7e0 00000039 7202230c 08536572 76696365 ...9r.#..Service + 2e7f0 49440000 0012f202 23100853 65727669 ID......#..Servi + 2e800 6365466c 61677300 000012f2 02231208 ceFlags......#.. + 2e810 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... + 2e820 12f20223 14085472 61696c65 72537063 ...#..TrailerSpc + 2e830 43686563 6b4c696d 69740000 0012f202 CheckLimit...... + 2e840 23160853 65727669 63654374 78000000 #..ServiceCtx... + 2e850 040d0223 18000300 0037c104 00140400 ...#.....7...... + 2e860 00393e19 454e4450 4f494e54 5f554e55 .9>.ENDPOINT_UNU + 2e870 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN + 2e880 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. + 2e890 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END + 2e8a0 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN + 2e8b0 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. + 2e8c0 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END + 2e8d0 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN + 2e8e0 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA + 2e8f0 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN + 2e900 545f4944 00000038 a7020103 00003953 T_ID...8......9S + 2e910 04000201 03000039 5c040003 00000118 .......9\....... + 2e920 04000600 0012de01 03000039 6c040003 ...........9l... + 2e930 000037c1 0400075f 4854435f 434f4e46 ..7...._HTC_CONF + 2e940 49470014 000039f1 08437265 64697453 IG....9..CreditS + 2e950 697a6500 00000118 02230008 43726564 ize......#..Cred + 2e960 69744e75 6d626572 00000001 18022304 itNumber......#. + 2e970 084f5348 616e646c 65000000 1a480223 .OSHandle....H.# + 2e980 08084849 4648616e 646c6500 000028b1 ..HIFHandle...(. + 2e990 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 2e9a0 00364d02 23100007 5f485443 5f425546 .6M.#..._HTC_BUF + 2e9b0 5f434f4e 54455854 00020000 3a2d0865 _CONTEXT....:-.e + 2e9c0 6e645f70 6f696e74 00000012 de022300 nd_point......#. + 2e9d0 08687463 5f666c61 67730000 0012de02 .htc_flags...... + 2e9e0 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t + 2e9f0 00000004 0d094854 435f5345 5455505f ......HTC_SETUP_ + 2ea00 434f4d50 4c455445 5f434200 00000111 COMPLETE_CB..... + 2ea10 09485443 5f434f4e 46494700 00003980 .HTC_CONFIG...9. + 2ea20 0300003a 5a040006 00003a2d 01030000 ...:Z.....:-.... + 2ea30 3a710400 02010300 003a7e04 00094854 :q.......:~...HT + 2ea40 435f5345 52564943 45000000 37c10300 C_SERVICE...7... + 2ea50 003a8704 00020103 00003a9f 04000201 .:........:..... + 2ea60 0300003a a8040002 01030000 3ab10400 ...:........:... + 2ea70 06000001 18010300 003aba04 00076874 .........:....ht + 2ea80 635f6170 69730034 00003c37 085f4854 c_apis.4..<7._HT + 2ea90 435f496e 69740000 003a7702 2300085f C_Init...:w.#.._ + 2eaa0 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: + 2eab0 80022304 085f4854 435f5265 67697374 ..#.._HTC_Regist + 2eac0 65725365 72766963 65000000 3aa10223 erService...:..# + 2ead0 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: + 2eae0 8002230c 085f4854 435f5265 7475726e ..#.._HTC_Return + 2eaf0 42756666 65727300 00003aaa 02231008 Buffers...:..#.. + 2eb00 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 2eb10 72734c69 73740000 003ab302 2314085f rsList...:..#.._ + 2eb20 4854435f 53656e64 4d736700 00003aaa HTC_SendMsg...:. + 2eb30 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese + 2eb40 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: + 2eb50 c002231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec + 2eb60 7648616e 646c6572 00000028 5e022320 vHandler...(^.# + 2eb70 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa + 2eb80 6e646c65 72000000 28550223 24085f48 ndler...(U.#$._H + 2eb90 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro + 2eba0 63657373 4d736700 00003955 02232808 cessMsg...9U.#(. + 2ebb0 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP + 2ebc0 726f6365 73735365 6e64436f 6d706c65 rocessSendComple + 2ebd0 74650000 00395e02 232c0870 52657365 te...9^.#,.pRese + 2ebe0 72766564 00000004 0d022330 0007686f rved......#0..ho + 2ebf0 73745f61 70705f61 7265615f 73000400 st_app_area_s... + 2ec00 003c6708 776d695f 70726f74 6f636f6c ...tupleNumL.. + 2eda0 001cac02 23000874 75706c65 4e756d48 ....#..tupleNumH + 2edb0 0000001c ac022302 08617674 0000003d ......#..avt...= + 2edc0 cb022304 00120100 003e3108 62656163 ..#......>1.beac + 2edd0 6f6e5065 6e64696e 67436f75 6e740000 onPendingCount.. + 2ede0 00168402 23000007 5f574d49 5f535643 ....#..._WMI_SVC + 2edf0 5f434f4e 46494700 1000003e 9a084874 _CONFIG....>..Ht + 2ee00 6348616e 646c6500 00003a2d 02230008 cHandle...:-.#.. + 2ee10 506f6f6c 48616e64 6c650000 00364d02 PoolHandle...6M. + 2ee20 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv + 2ee30 74730000 00011802 2308084d 61784576 ts......#..MaxEv + 2ee40 656e7445 76747300 00000118 02230c00 entEvts......#.. + 2ee50 02010300 003e9a04 0009574d 495f434d .....>....WMI_CM + 2ee60 445f4841 4e444c45 52000000 3e9c075f D_HANDLER...>.._ + 2ee70 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT + 2ee80 52590008 00003f03 0870436d 6448616e RY....?..pCmdHan + 2ee90 646c6572 0000003e a3022300 08436d64 dler...>..#..Cmd + 2eea0 49440000 0012f202 23040846 6c616773 ID......#..Flags + 2eeb0 00000012 f2022306 00075f57 4d495f44 ......#..._WMI_D + 2eec0 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... + 2eed0 003f6408 704e6578 74000000 3f640223 .?d.pNext...?d.# + 2eee0 00087043 6f6e7465 78740000 00040d02 ..pContext...... + 2eef0 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri + 2ef00 65730000 00011802 23080870 5461626c es......#..pTabl + 2ef10 65000000 3f830223 0c000300 003f0304 e...?..#.....?.. + 2ef20 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E + 2ef30 4e545259 0000003e b8030000 3f6b0400 NTRY...>....?k.. + 2ef40 0300003f 03040009 4854435f 4255465f ...?....HTC_BUF_ + 2ef50 434f4e54 45585400 000039f1 0d574d49 CONTEXT...9..WMI + 2ef60 5f455654 5f434c41 53530004 0000401b _EVT_CLASS....@. + 2ef70 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N + 2ef80 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT + 2ef90 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT + 2efa0 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 2efb0 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI + 2efc0 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. + 2efd0 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. + 2efe0 00003fa6 075f574d 495f4255 465f434f ..?.._WMI_BUF_CO + 2eff0 4e544558 54000c00 00407908 48746342 NTEXT....@y.HtcB + 2f000 75664374 78000000 3f910223 00084576 ufCtx...?..#..Ev + 2f010 656e7443 6c617373 00000040 1b022304 entClass...@..#. + 2f020 08466c61 67730000 0012f202 23080009 .Flags......#... + 2f030 776d695f 68616e64 6c655f74 00000004 wmi_handle_t.... + 2f040 0d09574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG + 2f050 0000003e 31030000 408b0400 06000040 ...>1...@......@ + 2f060 79010300 0040a604 0009574d 495f4449 y....@....WMI_DI + 2f070 53504154 43485f54 41424c45 0000003f SPATCH_TABLE...? + 2f080 03030000 40b30400 02010300 0040d204 ....@........@.. + 2f090 00060000 26490103 000040db 04000201 ....&I....@..... + 2f0a0 03000040 e8040006 00000118 01030000 ...@............ + 2f0b0 40f10400 02010300 0040fe04 00060000 @........@...... + 2f0c0 12de0103 00004107 0400075f 776d695f ......A...._wmi_ + 2f0d0 7376635f 61706973 002c0000 424f085f svc_apis.,..BO._ + 2f0e0 574d495f 496e6974 00000040 ac022300 WMI_Init...@..#. + 2f0f0 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi + 2f100 73706174 63685461 626c6500 000040d4 spatchTable...@. + 2f110 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv + 2f120 656e7400 000040e1 02230808 5f574d49 ent...@..#.._WMI + 2f130 5f53656e 64457665 6e740000 0040ea02 _SendEvent...@.. + 2f140 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi + 2f150 6e674576 656e7473 436f756e 74000000 ngEventsCount... + 2f160 40f70223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC + 2f170 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. + 2f180 00395e02 2314085f 574d495f 47657443 .9^.#.._WMI_GetC + 2f190 6f6e7472 6f6c4570 00000040 f7022318 ontrolEp...@..#. + 2f1a0 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. + 2f1b0 00410002 231c085f 574d495f 52656376 .A..#.._WMI_Recv + 2f1c0 4d657373 61676548 616e646c 65720000 MessageHandler.. + 2f1d0 00395502 2320085f 574d495f 53657276 .9U.# ._WMI_Serv + 2f1e0 69636543 6f6e6e65 63740000 00410d02 iceConnect...A.. + 2f1f0 23240870 52657365 72766564 00000004 #$.pReserved.... + 2f200 0d022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. + 2f210 14000042 d1086374 726c0000 00017c02 ...B..ctrl....|. + 2f220 23000873 74617475 73000000 017c0223 #..status....|.# + 2f230 0208746f 74616c4c 656e0000 00017c02 ..totalLen....|. + 2f240 23040864 61746153 697a6500 0000017c #..dataSize....| + 2f250 02230608 6c617374 41646472 00000042 .#..lastAddr...B + 2f260 d1022308 08646174 61416464 72000000 ..#..dataAddr... + 2f270 01a00223 0c086e65 78744164 64720000 ...#..nextAddr.. + 2f280 0042d102 23100003 0000424f 04000300 .B..#.....BO.... + 2f290 00424f04 00077a73 446d6151 75657565 .BO...zsDmaQueue + 2f2a0 00080000 43110868 65616400 000042d8 ....C..head...B. + 2f2b0 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 2f2c0 0042d802 23040007 7a735478 446d6151 .B..#...zsTxDmaQ + 2f2d0 75657565 00100000 43750868 65616400 ueue....Cu.head. + 2f2e0 000042d8 02230008 7465726d 696e6174 ..B..#..terminat + 2f2f0 6f720000 0042d802 23040878 6d697465 or...B..#..xmite + 2f300 645f6275 665f6865 61640000 00143d02 d_buf_head....=. + 2f310 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta + 2f320 696c0000 00143d02 230c0002 01030000 il....=.#....... + 2f330 43750400 03000042 df040002 01030000 Cu.....B........ + 2f340 43850400 03000043 11040002 01030000 C......C........ + 2f350 43950400 02010300 00439e04 00020103 C........C...... + 2f360 000043a7 04000600 00143d01 03000043 ..C.......=....C + 2f370 b0040002 01030000 43bd0400 06000014 ........C....... + 2f380 3d010300 0043c604 00020103 000043d3 =....C........C. + 2f390 04000600 00011801 03000043 dc040006 ...........C.... + 2f3a0 000042d8 01030000 43e90400 02010300 ..B.....C....... + 2f3b0 0043f604 0007646d 615f656e 67696e65 .C....dma_engine + 2f3c0 5f617069 00400000 456c085f 696e6974 _api.@..El._init + 2f3d0 00000043 77022300 085f696e 69745f72 ...Cw.#.._init_r + 2f3e0 785f7175 65756500 00004387 02230408 x_queue...C..#.. + 2f3f0 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. + 2f400 00439702 2308085f 636f6e66 69675f72 .C..#.._config_r + 2f410 785f7175 65756500 000043a0 02230c08 x_queue...C..#.. + 2f420 5f786d69 745f6275 66000000 43a90223 _xmit_buf...C..# + 2f430 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... + 2f440 43870223 14085f72 6561705f 72656376 C..#.._reap_recv + 2f450 5f627566 00000043 b6022318 085f7265 _buf...C..#.._re + 2f460 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 2f470 43bf0223 1c085f72 6561705f 786d6974 C..#.._reap_xmit + 2f480 65645f62 75660000 0043cc02 2320085f ed_buf...C..# ._ + 2f490 73776170 5f646174 61000000 43d50223 swap_data...C..# + 2f4a0 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac + 2f4b0 6b657473 00000043 e2022328 085f6465 kets...C..#(._de + 2f4c0 73635f64 756d7000 00004387 02232c08 sc_dump...C..#,. + 2f4d0 5f676574 5f706163 6b657400 000043ef _get_packet...C. + 2f4e0 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac + 2f4f0 6b657400 000043f8 02233408 5f707574 ket...C..#4._put + 2f500 5f706163 6b657400 000043f8 02233808 _packet...C..#8. + 2f510 70526573 65727665 64000000 040d0223 pReserved......# + 2f520 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi + 2f530 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 2f540 000030ab 09574d49 5f535643 5f415049 ..0..WMI_SVC_API + 2f550 53000000 4114175f 415f6d61 67706965 S...A.._A_magpie + 2f560 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 2f570 6c650003 4c000046 9a08636d 6e6f7300 le..L..F..cmnos. + 2f580 0000456c 02230008 64626700 000003da ..El.#..dbg..... + 2f590 0323b803 08686966 00000029 540323c0 .#...hif...)T.#. + 2f5a0 03086874 63000000 3ac70323 f8030877 ..htc...:..#...w + 2f5b0 6d695f73 76635f61 70690000 00458e03 mi_svc_api...E.. + 2f5c0 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. + 2f5d0 0000327f 0323d804 08627566 5f706f6f ..2..#...buf_poo + 2f5e0 6c000000 37280323 e4040876 62756600 l...7(.#...vbuf. + 2f5f0 00001467 03238005 08766465 73630000 ...g.#...vdesc.. + 2f600 00134903 23940508 616c6c6f 6372616d ..I.#...allocram + 2f610 00000009 3f0323a8 0508646d 615f656e ....?.#...dma_en + 2f620 67696e65 00000043 ff0323b4 0508646d gine...C..#...dm + 2f630 615f6c69 62000000 2be80323 f4050868 a_lib...+..#...h + 2f640 69665f70 63690000 002e4803 23a80600 if_pci....H.#... + 2f650 095f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 2f660 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 2f670 0045a003 00000693 04000201 1a010d63 .E.............c + 2f680 6d6e6f73 5f737472 696e675f 696e6974 mnos_string_init + 2f690 00010103 92012002 9000008e 2abc008e ...... .....*... + 2f6a0 2ac11b01 12636d6e 6f735f73 7472696e *....cmnos_strin + 2f6b0 675f6d6f 64756c65 5f696e73 74616c6c g_module_install + 2f6c0 00010103 92012002 9000008e 2ac4008e ...... .....*... + 2f6d0 2ae71c01 1274626c 00000046 bd015200 *....tbl...F..R. + 2f6e0 00000000 48ad0002 000011a4 04012f72 ....H........./r + 2f6f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2f700 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2f710 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 2f720 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 2f730 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 2f740 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 2f750 732f7461 736b6c65 742f7372 632f636d s/tasklet/src/cm + 2f760 6e6f735f 7461736b 6c65742e 63002f72 nos_tasklet.c./r + 2f770 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2f780 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2f790 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 2f7a0 2f636d6e 6f732f74 61736b6c 65740078 /cmnos/tasklet.x + 2f7b0 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 + 2f7c0 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr + 2f7d0 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - + 2f7e0 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... + 2f7f0 0000a848 02010300 00011204 0004696e ...H..........in + 2f800 74000504 04636861 72000701 05000001 t....char....... + 2f810 22050000 01220300 00012f04 00060000 "...."..../..... + 2f820 011b0103 0000013b 04000770 72696e74 .......;...print + 2f830 665f6170 69000800 00017f08 5f707269 f_api......._pri + 2f840 6e74665f 696e6974 00000001 14022300 ntf_init......#. + 2f850 085f7072 696e7466 00000001 41022304 ._printf....A.#. + 2f860 00047368 6f727420 756e7369 676e6564 ..short unsigned + 2f870 20696e74 00070209 75696e74 31365f74 int....uint16_t + 2f880 00000001 7f046c6f 6e672075 6e736967 ......long unsig + 2f890 6e656420 696e7400 07040975 696e7433 ned int....uint3 + 2f8a0 325f7400 000001a3 07756172 745f6669 2_t......uart_fi + 2f8b0 666f0008 00000211 08737461 72745f69 fo.......start_i + 2f8c0 6e646578 00000001 95022300 08656e64 ndex......#..end + 2f8d0 5f696e64 65780000 00019502 2302086f _index......#..o + 2f8e0 76657272 756e5f65 72720000 0001b802 verrun_err...... + 2f8f0 23040007 75617274 5f617069 00200000 #...uart_api. .. + 2f900 02ca085f 75617274 5f696e69 74000000 ..._uart_init... + 2f910 03210223 00085f75 6172745f 63686172 .!.#.._uart_char + 2f920 5f707574 00000003 48022304 085f7561 _put....H.#.._ua + 2f930 72745f63 6861725f 67657400 0000035c rt_char_get....\ + 2f940 02230808 5f756172 745f7374 725f6f75 .#.._uart_str_ou + 2f950 74000000 03650223 0c085f75 6172745f t....e.#.._uart_ + 2f960 7461736b 00000001 14022310 085f7561 task......#.._ua + 2f970 72745f73 74617475 73000000 03210223 rt_status....!.# + 2f980 14085f75 6172745f 636f6e66 69670000 .._uart_config.. + 2f990 00036e02 2318085f 75617274 5f687769 ..n.#.._uart_hwi + 2f9a0 6e697400 00000377 02231c00 03000002 nit....w.#...... + 2f9b0 11040007 75617274 5f626c6b 00100000 ....uart_blk.... + 2f9c0 031b0864 65627567 5f6d6f64 65000000 ...debug_mode... + 2f9d0 01950223 00086261 75640000 00019502 ...#..baud...... + 2f9e0 2302085f 75617274 00000002 ca022304 #.._uart......#. + 2f9f0 085f7478 00000001 c6022308 00060000 ._tx......#..... + 2fa00 01b80103 0000031b 04000475 6e736967 ...........unsig + 2fa10 6e656420 63686172 00070109 75696e74 ned char....uint + 2fa20 385f7400 00000328 02010300 00034604 8_t....(......F. + 2fa30 00030000 03390400 06000001 95010300 .....9.......... + 2fa40 00035604 00020103 00000363 04000201 ..V........c.... + 2fa50 03000003 6c040002 01030000 03750400 ....l........u.. + 2fa60 03000001 22040006 0000011b 01030000 ...."........... + 2fa70 03850400 0744425f 434f4d4d 414e445f .....DB_COMMAND_ + 2fa80 53545255 4354000c 000003dd 08636d64 STRUCT.......cmd + 2fa90 5f737472 00000003 7e022300 0868656c _str....~.#..hel + 2faa0 705f7374 72000000 037e0223 0408636d p_str....~.#..cm + 2fab0 645f6675 6e630000 00038b02 23080007 d_func......#... + 2fac0 6462675f 61706900 08000004 10085f64 dbg_api......._d + 2fad0 62675f69 6e697400 00000114 02230008 bg_init......#.. + 2fae0 5f646267 5f746173 6b000000 01140223 _dbg_task......# + 2faf0 04000a04 0004756e 7369676e 65642069 ......unsigned i + 2fb00 6e740007 04060000 04100103 00000423 nt.............# + 2fb10 04000b0b 03000004 31040006 00000410 ........1....... + 2fb20 01030000 04390400 06000001 1b010300 .....9.......... + 2fb30 00044604 00076d65 6d5f6170 69001400 ..F...mem_api... + 2fb40 0004b508 5f6d656d 5f696e69 74000000 ...._mem_init... + 2fb50 01140223 00085f6d 656d7365 74000000 ...#.._memset... + 2fb60 04290223 04085f6d 656d6370 79000000 .).#.._memcpy... + 2fb70 043f0223 08085f6d 656d6d6f 76650000 .?.#.._memmove.. + 2fb80 00043f02 230c085f 6d656d63 6d700000 ..?.#.._memcmp.. + 2fb90 00044c02 2310000c 72656769 73746572 ..L.#...register + 2fba0 5f64756d 705f7300 00010300 0004b504 _dump_s......... + 2fbb0 00020103 000004cf 04000201 03000004 ................ + 2fbc0 d8040006 0000011b 01030000 04e10400 ................ + 2fbd0 0d686f73 7469665f 73000400 00053d0e .hostif_s.....=. + 2fbe0 4849465f 55534200 000e4849 465f5043 HIF_USB...HIF_PC + 2fbf0 49450001 0e484946 5f474d41 4300020e IE...HIF_GMAC... + 2fc00 4849465f 50434900 030e4849 465f4e55 HIF_PCI...HIF_NU + 2fc10 4d00040e 4849465f 4e4f4e45 00050009 M...HIF_NONE.... + 2fc20 415f484f 53544946 00000004 ee060000 A_HOSTIF........ + 2fc30 053d0103 0000054b 04000600 00033901 .=.....K......9. + 2fc40 03000005 58040006 00000195 01030000 ....X........... + 2fc50 05650400 076d6973 635f6170 69002400 .e...misc_api.$. + 2fc60 00065508 5f737973 74656d5f 72657365 ..U._system_rese + 2fc70 74000000 01140223 00085f6d 61635f72 t......#.._mac_r + 2fc80 65736574 00000001 14022304 085f6173 eset......#.._as + 2fc90 73666169 6c000000 04d10223 08085f6d sfail......#.._m + 2fca0 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 2fcb0 616e646c 65720000 0004d102 230c085f andler......#.._ + 2fcc0 7265706f 72745f66 61696c75 72655f74 report_failure_t + 2fcd0 6f5f686f 73740000 0004da02 2310085f o_host......#.._ + 2fce0 74617267 65745f69 645f6765 74000000 target_id_get... + 2fcf0 04e70223 14085f69 735f686f 73745f70 ...#.._is_host_p + 2fd00 72657365 6e740000 00055102 2318085f resent....Q.#.._ + 2fd10 6b626869 74000000 055e0223 1c085f72 kbhit....^.#.._r + 2fd20 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 2fd30 00056b02 23200006 0000037e 01030000 ..k.# .....~.... + 2fd40 06550400 06000003 7e010300 00066204 .U......~.....b. + 2fd50 00060000 011b0103 0000066f 04000600 ...........o.... + 2fd60 00011b01 03000006 7c040006 0000011b ........|....... + 2fd70 01030000 06890400 07737472 696e675f .........string_ + 2fd80 61706900 18000007 0f085f73 7472696e api......._strin + 2fd90 675f696e 69740000 00011402 2300085f g_init......#.._ + 2fda0 73747263 70790000 00065b02 2304085f strcpy....[.#.._ + 2fdb0 7374726e 63707900 00000668 02230808 strncpy....h.#.. + 2fdc0 5f737472 6c656e00 00000675 02230c08 _strlen....u.#.. + 2fdd0 5f737472 636d7000 00000682 02231008 _strcmp......#.. + 2fde0 5f737472 6e636d70 00000006 8f022314 _strncmp......#. + 2fdf0 000f0000 04131400 00071c10 0400095f ..............._ + 2fe00 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 2fe10 070f0941 5f74696d 65725f74 00000007 ...A_timer_t.... + 2fe20 1c030000 07300400 02010300 00074604 .....0........F. + 2fe30 00020103 0000074f 04000941 5f48414e .......O...A_HAN + 2fe40 444c4500 00000413 02010941 5f54494d DLE........A_TIM + 2fe50 45525f46 554e4300 00000766 03000007 ER_FUNC....f.... + 2fe60 68040002 01030000 07810400 0774696d h............tim + 2fe70 65725f61 70690014 00000800 085f7469 er_api......._ti + 2fe80 6d65725f 696e6974 00000001 14022300 mer_init......#. + 2fe90 085f7469 6d65725f 61726d00 00000748 ._timer_arm....H + 2fea0 02230408 5f74696d 65725f64 69736172 .#.._timer_disar + 2feb0 6d000000 07510223 08085f74 696d6572 m....Q.#.._timer + 2fec0 5f736574 666e0000 00078302 230c085f _setfn......#.._ + 2fed0 74696d65 725f7275 6e000000 01140223 timer_run......# + 2fee0 10000942 4f4f4c45 414e0000 00019506 ...BOOLEAN...... + 2fef0 00000800 01030000 080d0400 06000008 ................ + 2ff00 00010300 00081a04 00060000 08000103 ................ + 2ff10 00000827 04000772 6f6d705f 61706900 ...'...romp_api. + 2ff20 10000008 99085f72 6f6d705f 696e6974 ......_romp_init + 2ff30 00000001 14022300 085f726f 6d705f64 ......#.._romp_d + 2ff40 6f776e6c 6f616400 00000813 02230408 ownload......#.. + 2ff50 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 2ff60 08200223 08085f72 6f6d705f 6465636f . .#.._romp_deco + 2ff70 64650000 00082d02 230c0007 726f6d5f de....-.#...rom_ + 2ff80 70617463 685f7374 00100000 08f50863 patch_st.......c + 2ff90 72633136 00000001 95022300 086c656e rc16......#..len + 2ffa0 00000001 95022302 086c645f 61646472 ......#..ld_addr + 2ffb0 00000001 b8022304 0866756e 5f616464 ......#..fun_add + 2ffc0 72000000 01b80223 08087066 756e0000 r......#..pfun.. + 2ffd0 00034f02 230c0007 6565705f 72656469 ..O.#...eep_redi + 2ffe0 725f6164 64720004 00000927 086f6666 r_addr.....'.off + 2fff0 73657400 00000195 02230008 73697a65 set......#..size + 30000 00000001 95022302 0009415f 55494e54 ......#...A_UINT + 30010 33320000 00041306 00000410 01030000 32.............. + 30020 09350400 07616c6c 6f637261 6d5f6170 .5...allocram_ap + 30030 69000c00 0009a608 636d6e6f 735f616c i.......cmnos_al + 30040 6c6f6372 616d5f69 6e697400 0000093b locram_init....; + 30050 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 30060 616d0000 00093b02 23040863 6d6e6f73 am....;.#..cmnos + 30070 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 30080 00000114 02230800 02010300 0009a604 .....#.......... + 30090 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 300a0 00000009 a8075f74 61736b6c 65740010 ......_tasklet.. + 300b0 00000a07 0866756e 63000000 09af0223 .....func......# + 300c0 00086172 67000000 04100223 04087374 ..arg......#..st + 300d0 61746500 0000011b 02230808 6e657874 ate......#..next + 300e0 0000000a 0702230c 00030000 09c30400 ......#......... + 300f0 03000009 c3040009 415f7461 736b6c65 ........A_taskle + 30100 745f7400 000009c3 0300000a 15040002 t_t............. + 30110 01030000 0a2d0400 02010300 000a3604 .....-........6. + 30120 00077461 736b6c65 745f6170 69001400 ..tasklet_api... + 30130 000acb08 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 30140 74000000 01140223 00085f74 61736b6c t......#.._taskl + 30150 65745f69 6e69745f 7461736b 0000000a et_init_task.... + 30160 2f022304 085f7461 736b6c65 745f6469 /.#.._tasklet_di + 30170 7361626c 65000000 0a380223 08085f74 sable....8.#.._t + 30180 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 30190 00000a38 02230c08 5f746173 6b6c6574 ...8.#.._tasklet + 301a0 5f72756e 00000001 14022310 00020103 _run......#..... + 301b0 00000acb 04000600 00092701 0300000a ..........'..... + 301c0 d4040002 01030000 0ae10400 07636c6f .............clo + 301d0 636b5f61 70690024 00000bc3 085f636c ck_api.$....._cl + 301e0 6f636b5f 696e6974 0000000a cd022300 ock_init......#. + 301f0 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init + 30200 00000001 14022304 085f7561 72745f66 ......#.._uart_f + 30210 72657175 656e6379 0000000a da022308 requency......#. + 30220 085f6465 6c61795f 75730000 000ae302 ._delay_us...... + 30230 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se + 30240 74000000 0ae30223 10085f72 6566636c t......#.._refcl + 30250 6b5f7370 6565645f 67657400 00000ada k_speed_get..... + 30260 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond + 30270 73000000 0ada0223 18085f73 7973636c s......#.._syscl + 30280 6b5f6368 616e6765 00000001 1402231c k_change......#. + 30290 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... + 302a0 14022320 00060000 01b80103 00000bc3 ..# ............ + 302b0 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. + 302c0 000001b8 0600000b d0010300 000be204 ................ + 302d0 00020103 00000bef 04000201 0300000b ................ + 302e0 f8040006 000001b8 01030000 0c010400 ................ + 302f0 09415f69 73725f74 0000000c 07020103 .A_isr_t........ + 30300 00000c1b 04000600 00041301 0300000c ................ + 30310 24040002 01030000 0c310400 07696e74 $........1...int + 30320 725f6170 69002c00 000d5308 5f696e74 r_api.,...S._int + 30330 725f696e 69740000 00011402 2300085f r_init......#.._ + 30340 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. + 30350 00000bc9 02230408 5f696e74 725f6469 .....#.._intr_di + 30360 7361626c 65000000 0be80223 08085f69 sable......#.._i + 30370 6e74725f 72657374 6f726500 00000bf1 ntr_restore..... + 30380 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i + 30390 6e756d00 00000bfa 02231008 5f696e74 num......#.._int + 303a0 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... + 303b0 0bfa0223 14085f69 6e74725f 61747461 ...#.._intr_atta + 303c0 63685f69 73720000 000c1d02 2318085f ch_isr......#.._ + 303d0 6765745f 696e7472 656e6162 6c650000 get_intrenable.. + 303e0 000c2a02 231c085f 7365745f 696e7472 ..*.#.._set_intr + 303f0 656e6162 6c650000 000c3302 2320085f enable....3.# ._ + 30400 6765745f 696e7472 70656e64 696e6700 get_intrpending. + 30410 00000c2a 02232408 5f756e62 6c6f636b ...*.#$._unblock + 30420 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... + 30430 14022328 00110400 000d7908 74696d65 ..#(......y.time + 30440 6f757400 000001b8 02230008 61637469 out......#..acti + 30450 6f6e0000 0001b802 23000012 0800000d on......#....... + 30460 9408636d 64000000 01b80223 00130000 ..cmd......#.... + 30470 0d530223 04000954 5f574454 5f434d44 .S.#...T_WDT_CMD + 30480 0000000d 79020103 00000da3 04001404 ....y........... + 30490 00000df9 0e454e55 4d5f5744 545f424f .....ENUM_WDT_BO + 304a0 4f540001 0e454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B + 304b0 4f4f5400 020e454e 554d5f53 5553505f OOT...ENUM_SUSP_ + 304c0 424f4f54 00030e45 4e554d5f 554e4b4e BOOT...ENUM_UNKN + 304d0 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO + 304e0 4f545f54 59504500 00000dac 0600000d OT_TYPE......... + 304f0 f9010300 000e0a04 00077764 745f6170 ..........wdt_ap + 30500 69001c00 000eae08 5f776474 5f696e69 i......._wdt_ini + 30510 74000000 01140223 00085f77 64745f65 t......#.._wdt_e + 30520 6e61626c 65000000 01140223 04085f77 nable......#.._w + 30530 64745f64 69736162 6c650000 00011402 dt_disable...... + 30540 2308085f 7764745f 73657400 00000da5 #.._wdt_set..... + 30550 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... + 30560 01140223 10085f77 64745f72 65736574 ...#.._wdt_reset + 30570 00000001 14022314 085f7764 745f6c61 ......#.._wdt_la + 30580 73745f62 6f6f7400 00000e10 02231800 st_boot......#.. + 30590 14040000 0f150e52 45545f53 55434345 .......RET_SUCCE + 305a0 53530000 0e524554 5f4e4f54 5f494e49 SS...RET_NOT_INI + 305b0 5400010e 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS + 305c0 5400020e 5245545f 4545505f 434f5252 T...RET_EEP_CORR + 305d0 55505400 030e5245 545f4545 505f4f56 UPT...RET_EEP_OV + 305e0 4552464c 4f570004 0e524554 5f554e4b ERFLOW...RET_UNK + 305f0 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE + 30600 54000000 0eae0300 00019504 00060000 T............... + 30610 0f150103 00000f2b 04000600 000f1501 .......+........ + 30620 0300000f 38040007 6565705f 61706900 ....8...eep_api. + 30630 1000000f a1085f65 65705f69 6e697400 ......_eep_init. + 30640 00000114 02230008 5f656570 5f726561 .....#.._eep_rea + 30650 64000000 0f310223 04085f65 65705f77 d....1.#.._eep_w + 30660 72697465 0000000f 31022308 085f6565 rite....1.#.._ee + 30670 705f6973 5f657869 73740000 000f3e02 p_is_exist....>. + 30680 230c0007 7573625f 61706900 70000012 #...usb_api.p... + 30690 4e085f75 73625f69 6e697400 00000114 N._usb_init..... + 306a0 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas + 306b0 6b000000 01140223 04085f75 73625f66 k......#.._usb_f + 306c0 775f7461 736b0000 00011402 2308085f w_task......#.._ + 306d0 7573625f 696e6974 5f706879 00000001 usb_init_phy.... + 306e0 1402230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se + 306f0 74757000 00000114 02231008 5f757362 tup......#.._usb + 30700 5f657030 5f747800 00000114 02231408 _ep0_tx......#.. + 30710 5f757362 5f657030 5f727800 00000114 _usb_ep0_rx..... + 30720 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int + 30730 65726661 63650000 00082002 231c085f erface.... .#.._ + 30740 7573625f 7365745f 696e7465 72666163 usb_set_interfac + 30750 65000000 08200223 20085f75 73625f67 e.... .# ._usb_g + 30760 65745f63 6f6e6669 67757261 74696f6e et_configuration + 30770 00000008 20022324 085f7573 625f7365 .... .#$._usb_se + 30780 745f636f 6e666967 75726174 696f6e00 t_configuration. + 30790 00000820 02232808 5f757362 5f737461 ... .#(._usb_sta + 307a0 6e646172 645f636d 64000000 08200223 ndard_cmd.... .# + 307b0 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm + 307c0 64000000 01140223 30085f75 73625f70 d......#0._usb_p + 307d0 6f776572 5f6f6666 00000001 14022334 ower_off......#4 + 307e0 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo + 307f0 00000001 14022338 085f7573 625f6765 ......#8._usb_ge + 30800 6e5f7764 74000000 01140223 3c085f75 n_wdt......#<._u + 30810 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... + 30820 14022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe + 30830 61747572 65000000 08200223 44085f75 ature.... .#D._u + 30840 73625f73 65745f66 65617475 72650000 sb_set_feature.. + 30850 00082002 2348085f 7573625f 7365745f .. .#H._usb_set_ + 30860 61646472 65737300 00000820 02234c08 address.... .#L. + 30870 5f757362 5f676574 5f646573 63726970 _usb_get_descrip + 30880 746f7200 00000820 02235008 5f757362 tor.... .#P._usb + 30890 5f676574 5f737461 74757300 00000820 _get_status.... + 308a0 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d + 308b0 65736300 00000114 02235808 5f757362 esc......#X._usb + 308c0 5f726567 5f6f7574 00000001 1402235c _reg_out......#\ + 308d0 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. + 308e0 00000114 02236008 5f757362 5f657030 .....#`._usb_ep0 + 308f0 5f74785f 64617461 00000001 14022364 _tx_data......#d + 30900 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat + 30910 61000000 01140223 68085f75 73625f63 a......#h._usb_c + 30920 6c6b5f69 6e697400 00000114 02236c00 lk_init......#l. + 30930 075f5644 45534300 24000012 da086e65 ._VDESC.$.....ne + 30940 78745f64 65736300 000012da 02230008 xt_desc......#.. + 30950 6275665f 61646472 00000012 ee022304 buf_addr......#. + 30960 08627566 5f73697a 65000000 12f50223 .buf_size......# + 30970 08086461 74615f6f 66667365 74000000 ..data_offset... + 30980 12f50223 0a086461 74615f73 697a6500 ...#..data_size. + 30990 000012f5 02230c08 636f6e74 726f6c00 .....#..control. + 309a0 000012f5 02230e08 68775f64 6573635f .....#..hw_desc_ + 309b0 62756600 00001303 02231000 03000012 buf......#...... + 309c0 4e040009 415f5549 4e543800 00000328 N...A_UINT8....( + 309d0 03000012 e1040009 415f5549 4e543136 ........A_UINT16 + 309e0 00000001 7f0f0000 12e11400 00131010 ................ + 309f0 13000300 00124e04 00095644 45534300 ......N...VDESC. + 30a00 0000124e 03000013 17040006 00001322 ...N..........." + 30a10 01030000 13290400 06000012 ee010300 .....).......... + 30a20 00133604 00020103 00001343 04000776 ..6........C...v + 30a30 64657363 5f617069 00140000 13bb085f desc_api......._ + 30a40 696e6974 0000000a e3022300 085f616c init......#.._al + 30a50 6c6f635f 76646573 63000000 132f0223 loc_vdesc..../.# + 30a60 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. + 30a70 00133c02 2308085f 73776170 5f766465 ..<.#.._swap_vde + 30a80 73630000 00134502 230c0870 52657365 sc....E.#..pRese + 30a90 72766564 00000004 10022310 00075f56 rved......#..._V + 30aa0 42554600 20000014 1b086465 73635f6c BUF. .....desc_l + 30ab0 69737400 00001322 02230008 6e657874 ist....".#..next + 30ac0 5f627566 00000014 1b022304 08627566 _buf......#..buf + 30ad0 5f6c656e 67746800 000012f5 02230808 _length......#.. + 30ae0 72657365 72766564 00000014 2202230a reserved....".#. + 30af0 08637478 00000013 0302230c 00030000 .ctx......#..... + 30b00 13bb0400 0f000012 e1020000 142f1001 ............./.. + 30b10 00030000 13bb0400 09564255 46000000 .........VBUF... + 30b20 13bb0300 00143604 00060000 14400103 ......6......@.. + 30b30 00001447 04000600 00144001 03000014 ...G......@..... + 30b40 54040002 01030000 14610400 07766275 T........a...vbu + 30b50 665f6170 69001400 0014df08 5f696e69 f_api......._ini + 30b60 74000000 0ae30223 00085f61 6c6c6f63 t......#.._alloc + 30b70 5f766275 66000000 144d0223 04085f61 _vbuf....M.#.._a + 30b80 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s + 30b90 697a6500 0000145a 02230808 5f667265 ize....Z.#.._fre + 30ba0 655f7662 75660000 00146302 230c0870 e_vbuf....c.#..p + 30bb0 52657365 72766564 00000004 10022310 Reserved......#. + 30bc0 00075f5f 6164665f 64657669 63650004 ..__adf_device.. + 30bd0 00001501 0864756d 6d790000 00011b02 .....dummy...... + 30be0 23000003 00000927 0400075f 5f616466 #......'...__adf + 30bf0 5f646d61 5f6d6170 000c0000 15480862 _dma_map.....H.b + 30c00 75660000 00144002 23000864 735f6164 uf....@.#..ds_ad + 30c10 64720000 00150102 23040864 735f6c65 dr......#..ds_le + 30c20 6e000000 12f50223 0800120c 00001582 n......#........ + 30c30 085f5f76 615f7374 6b000000 037e0223 .__va_stk....~.# + 30c40 00085f5f 76615f72 65670000 00037e02 ..__va_reg....~. + 30c50 2304085f 5f76615f 6e647800 0000011b #..__va_ndx..... + 30c60 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm + 30c70 615f6164 64725f74 00000009 27096164 a_addr_t....'.ad + 30c80 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 30c90 00001582 095f5f61 64665f6f 735f646d .....__adf_os_dm + 30ca0 615f7369 7a655f74 00000009 27096164 a_size_t....'.ad + 30cb0 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 30cc0 000015b2 075f5f64 6d615f73 65677300 .....__dma_segs. + 30cd0 08000016 0e087061 64647200 0000159b ......paddr..... + 30ce0 02230008 6c656e00 000015cb 02230400 .#..len......#.. + 30cf0 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... + 30d00 09270961 5f75696e 7433325f 74000000 .'.a_uint32_t... + 30d10 160e0f00 0015e208 0000163d 10000007 ...........=.... + 30d20 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 30d30 666f000c 00001676 086e7365 67730000 fo.....v.nsegs.. + 30d40 00162002 23000864 6d615f73 65677300 .. .#..dma_segs. + 30d50 00001630 02230400 095f5f61 5f75696e ...0.#...__a_uin + 30d60 74385f74 00000012 e109615f 75696e74 t8_t......a_uint + 30d70 385f7400 00001676 03000016 87040007 8_t....v........ + 30d80 5f5f7367 5f736567 73000800 0016c808 __sg_segs....... + 30d90 76616464 72000000 16960223 00086c65 vaddr......#..le + 30da0 6e000000 16200223 04000f00 00169d20 n.... .#....... + 30db0 000016d5 10030007 6164665f 6f735f73 ........adf_os_s + 30dc0 676c6973 74002400 00170808 6e736567 glist.$.....nseg + 30dd0 73000000 16200223 00087367 5f736567 s.... .#..sg_seg + 30de0 73000000 16c80223 04001210 00001751 s......#.......Q + 30df0 0876656e 646f7200 00001620 02230008 .vendor.... .#.. + 30e00 64657669 63650000 00162002 23040873 device.... .#..s + 30e10 75627665 6e646f72 00000016 20022308 ubvendor.... .#. + 30e20 08737562 64657669 63650000 00162002 .subdevice.... . + 30e30 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un + 30e40 7369676e 65642069 6e740007 0809415f signed int....A_ + 30e50 55494e54 36340000 00175109 5f5f615f UINT64....Q.__a_ + 30e60 75696e74 36345f74 00000017 6b09615f uint64_t....k.a_ + 30e70 75696e74 36345f74 00000017 79140400 uint64_t....y... + 30e80 0017d70e 4144465f 4f535f52 45534f55 ....ADF_OS_RESOU + 30e90 5243455f 54595045 5f4d454d 00000e41 RCE_TYPE_MEM...A + 30ea0 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 30eb0 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os + 30ec0 5f726573 6f757263 655f7479 70655f74 _resource_type_t + 30ed0 00000017 9b121800 00182108 73746172 ..........!.star + 30ee0 74000000 178b0223 0008656e 64000000 t......#..end... + 30ef0 178b0223 08087479 70650000 0017d702 ...#..type...... + 30f00 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d + 30f10 65765f69 645f7400 00001708 03000018 ev_id_t......... + 30f20 21040011 04000018 60087063 69000000 !.......`.pci... + 30f30 183a0223 00087261 77000000 04100223 .:.#..raw......# + 30f40 00001110 0000187f 08706369 00000018 .........pci.... + 30f50 21022300 08726177 00000004 10022300 !.#..raw......#. + 30f60 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle + 30f70 5f740000 00041009 6164665f 6f735f72 _t......adf_os_r + 30f80 65736f75 7263655f 74000000 17f30300 esource_t....... + 30f90 00189504 00096164 665f6f73 5f617474 ......adf_os_att + 30fa0 6163685f 64617461 5f740000 00186003 ach_data_t....`. + 30fb0 000018b3 04000300 0014df04 00095f5f ..............__ + 30fc0 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 30fd0 000018d4 09616466 5f6f735f 64657669 .....adf_os_devi + 30fe0 63655f74 00000018 db060000 187f0103 ce_t............ + 30ff0 00001907 04000201 03000019 14040009 ................ + 31000 6164665f 6f735f70 6d5f7400 00000410 adf_os_pm_t..... + 31010 02010300 00192e04 00140400 00196e0e ..............n. + 31020 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 31030 50434900 010e4144 465f4f53 5f425553 PCI...ADF_OS_BUS + 31040 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... + 31050 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type + 31060 5f740000 00193709 6164665f 6f735f62 _t....7.adf_os_b + 31070 75735f72 65675f64 6174615f 74000000 us_reg_data_t... + 31080 18410300 00032804 00075f61 64665f64 .A....(..._adf_d + 31090 72765f69 6e666f00 2000001a 4b086472 rv_info. ...K.dr + 310a0 765f6174 74616368 00000019 0d022300 v_attach......#. + 310b0 08647276 5f646574 61636800 00001916 .drv_detach..... + 310c0 02230408 6472765f 73757370 656e6400 .#..drv_suspend. + 310d0 00001930 02230808 6472765f 72657375 ...0.#..drv_resu + 310e0 6d650000 00191602 230c0862 75735f74 me......#..bus_t + 310f0 79706500 0000196e 02231008 6275735f ype....n.#..bus_ + 31100 64617461 00000019 85022314 086d6f64 data......#..mod + 31110 5f6e616d 65000000 19a00223 18086966 _name......#..if + 31120 6e616d65 00000019 a002231c 00096164 name......#...ad + 31130 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... + 31140 04100300 00167604 00020102 01095f5f ......v.......__ + 31150 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 31160 04131404 00001a9a 0e415f46 414c5345 .........A_FALSE + 31170 00000e41 5f545255 45000100 09615f62 ...A_TRUE....a_b + 31180 6f6f6c5f 74000000 1a800300 00150804 ool_t........... + 31190 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m + 311a0 61705f74 0000001a a802010d 6164665f ap_t........adf_ + 311b0 6f735f63 61636865 5f73796e 63000400 os_cache_sync... + 311c0 001b320e 4144465f 53594e43 5f505245 ..2.ADF_SYNC_PRE + 311d0 52454144 00000e41 44465f53 594e435f READ...ADF_SYNC_ + 311e0 50524557 52495445 00020e41 44465f53 PREWRITE...ADF_S + 311f0 594e435f 504f5354 52454144 00010e41 YNC_POSTREAD...A + 31200 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT + 31210 45000300 09616466 5f6f735f 63616368 E....adf_os_cach + 31220 655f7379 6e635f74 0000001a c9020109 e_sync_t........ + 31230 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 31240 1a6b0600 001b4d01 09616466 5f6f735f .k....M..adf_os_ + 31250 646d615f 6d61705f 74000000 1aaf0300 dma_map_t....... + 31260 001b6604 00060000 04100103 00001aaf ..f............. + 31270 04000600 00041001 02010600 00159b01 ................ + 31280 02010473 686f7274 20696e74 00050209 ...short int.... + 31290 415f494e 54313600 00001ba0 095f5f61 A_INT16......__a + 312a0 5f696e74 31365f74 0000001b ad09615f _int16_t......a_ + 312b0 696e7431 365f7400 00001bba 04736967 int16_t......sig + 312c0 6e656420 63686172 00050109 415f494e ned char....A_IN + 312d0 54380000 001bda09 5f5f615f 696e7438 T8......__a_int8 + 312e0 5f740000 001be909 615f696e 74385f74 _t......a_int8_t + 312f0 0000001b f5120c00 001c6c08 73757070 ..........l.supp + 31300 6f727465 64000000 16200223 00086164 orted.... .#..ad + 31310 76657274 697a6564 00000016 20022304 vertized.... .#. + 31320 08737065 65640000 001bcb02 23080864 .speed......#..d + 31330 75706c65 78000000 1c050223 0a086175 uplex......#..au + 31340 746f6e65 67000000 16870223 0b000f00 toneg......#.... + 31350 00168706 00001c79 10050007 6164665f .......y....adf_ + 31360 6e65745f 65746861 64647200 0600001c net_ethaddr..... + 31370 9d086164 64720000 001c6c02 23000009 ..addr....l.#... + 31380 5f5f615f 75696e74 31365f74 00000012 __a_uint16_t.... + 31390 f509615f 75696e74 31365f74 0000001c ..a_uint16_t.... + 313a0 9d120e00 001d0108 65746865 725f6468 ........ether_dh + 313b0 6f737400 00001c6c 02230008 65746865 ost....l.#..ethe + 313c0 725f7368 6f737400 00001c6c 02230608 r_shost....l.#.. + 313d0 65746865 725f7479 70650000 001caf02 ether_type...... + 313e0 230c0012 1400001d c2156970 5f766572 #.........ip_ver + 313f0 73696f6e 00000016 87010004 02230015 sion.........#.. + 31400 69705f68 6c000000 16870104 04022300 ip_hl.........#. + 31410 0869705f 746f7300 00001687 02230108 .ip_tos......#.. + 31420 69705f6c 656e0000 001caf02 23020869 ip_len......#..i + 31430 705f6964 0000001c af022304 0869705f p_id......#..ip_ + 31440 66726167 5f6f6666 0000001c af022306 frag_off......#. + 31450 0869705f 74746c00 00001687 02230808 .ip_ttl......#.. + 31460 69705f70 726f746f 00000016 87022309 ip_proto......#. + 31470 0869705f 63686563 6b000000 1caf0223 .ip_check......# + 31480 0a086970 5f736164 64720000 00162002 ..ip_saddr.... . + 31490 230c0869 705f6461 64647200 00001620 #..ip_daddr.... + 314a0 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla + 314b0 6e686472 00040000 1e140874 70696400 nhdr.......tpid. + 314c0 00001caf 02230015 7072696f 00000016 .....#..prio.... + 314d0 87010003 02230215 63666900 00001687 .....#..cfi..... + 314e0 01030102 23021576 69640000 001caf02 ....#..vid...... + 314f0 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v + 31500 69640002 00001e45 15726573 00000016 id.....E.res.... + 31510 87010004 02230015 76616c00 00001caf .....#..val..... + 31520 02040c02 23000012 0c00001e 81087278 ....#.........rx + 31530 5f627566 73697a65 00000016 20022300 _bufsize.... .#. + 31540 0872785f 6e646573 63000000 16200223 .rx_ndesc.... .# + 31550 04087478 5f6e6465 73630000 00162002 ..tx_ndesc.... . + 31560 23080012 0800001e a708706f 6c6c6564 #.........polled + 31570 0000001a 9a022300 08706f6c 6c5f7774 ......#..poll_wt + 31580 00000016 20022304 000f0000 16874000 .... .#.......@. + 31590 001eb410 3f001246 00001edc 0869665f ....?..F.....if_ + 315a0 6e616d65 0000001e a7022300 08646576 name......#..dev + 315b0 5f616464 72000000 1c6c0223 40001404 _addr....l.#@... + 315c0 00001f13 0e414446 5f4f535f 444d415f .....ADF_OS_DMA_ + 315d0 4d41534b 5f333242 49540000 0e414446 MASK_32BIT...ADF + 315e0 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B + 315f0 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma + 31600 5f6d6173 6b5f7400 00001edc 07616466 _mask_t......adf + 31610 5f646d61 5f696e66 6f000800 001f6008 _dma_info.....`. + 31620 646d615f 6d61736b 0000001f 13022300 dma_mask......#. + 31630 0873675f 6e736567 73000000 16200223 .sg_nsegs.... .# + 31640 04001404 00001fb6 0e414446 5f4e4554 .........ADF_NET + 31650 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD + 31660 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 31670 5544505f 49507634 00010e41 44465f4e UDP_IPv4...ADF_N + 31680 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 31690 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net + 316a0 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 316b0 1f601208 00001ff9 0874785f 636b7375 .`.......tx_cksu + 316c0 6d000000 1fb60223 00087278 5f636b73 m......#..rx_cks + 316d0 756d0000 001fb602 23040009 6164665f um......#...adf_ + 316e0 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t + 316f0 0000001f d0140400 0020520e 4144465f ......... R.ADF_ + 31700 4e45545f 54534f5f 4e4f4e45 00000e41 NET_TSO_NONE...A + 31710 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. + 31720 010e4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL + 31730 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso + 31740 5f747970 655f7400 00002013 12100000 _type_t... ..... + 31750 20a60863 6b73756d 5f636170 0000001f ..cksum_cap.... + 31760 f9022300 0874736f 00000020 52022308 ..#..tso... R.#. + 31770 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. + 31780 00001687 02230c00 12200000 213f0874 .....#... ..!?.t + 31790 785f7061 636b6574 73000000 16200223 x_packets.... .# + 317a0 00087278 5f706163 6b657473 00000016 ..rx_packets.... + 317b0 20022304 0874785f 62797465 73000000 .#..tx_bytes... + 317c0 16200223 08087278 5f627974 65730000 . .#..rx_bytes.. + 317d0 00162002 230c0874 785f6472 6f707065 .. .#..tx_droppe + 317e0 64000000 16200223 10087278 5f64726f d.... .#..rx_dro + 317f0 70706564 00000016 20022314 0872785f pped.... .#..rx_ + 31800 6572726f 72730000 00162002 23180874 errors.... .#..t + 31810 785f6572 726f7273 00000016 2002231c x_errors.... .#. + 31820 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 31830 725f7400 00001c79 16000021 3f030000 r_t....y...!?... + 31840 00216410 7f001761 64665f6e 65745f63 .!d....adf_net_c + 31850 6d645f6d 63616464 72000304 0000219b md_mcaddr.....!. + 31860 086e656c 656d0000 00162002 2300086d .nelem.... .#..m + 31870 63617374 00000021 56022304 00096164 cast...!V.#...ad + 31880 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i + 31890 6e666f5f 74000000 1c130961 64665f6e nfo_t......adf_n + 318a0 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info + 318b0 5f740000 001e8109 6164665f 6e65745f _t......adf_net_ + 318c0 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t + 318d0 0000001f f9096164 665f6e65 745f636d ......adf_net_cm + 318e0 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... + 318f0 1e450961 64665f6e 65745f63 6d645f64 .E.adf_net_cmd_d + 31900 6d615f69 6e666f5f 74000000 1f2a0961 ma_info_t....*.a + 31910 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t + 31920 0000001c af096164 665f6e65 745f636d ......adf_net_cm + 31930 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. + 31940 0000206a 09616466 5f6e6574 5f636d64 .. j.adf_net_cmd + 31950 5f737461 74735f74 00000020 a6096164 _stats_t... ..ad + 31960 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 31970 5f740000 0021640d 6164665f 6e65745f _t...!d.adf_net_ + 31980 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... + 31990 0022dd0e 4144465f 4e45545f 4d434153 ."..ADF_NET_MCAS + 319a0 545f5355 5000000e 4144465f 4e45545f T_SUP...ADF_NET_ + 319b0 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... + 319c0 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 319d0 745f6361 705f7400 00002295 18030400 t_cap_t..."..... + 319e0 0023af08 6c696e6b 5f696e66 6f000000 .#..link_info... + 319f0 219b0223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. + 31a00 000021b8 02230008 636b7375 6d5f696e ..!..#..cksum_in + 31a10 666f0000 0021d502 23000872 696e675f fo...!..#..ring_ + 31a20 696e666f 00000021 f3022300 08646d61 info...!..#..dma + 31a30 5f696e66 6f000000 22100223 00087669 _info..."..#..vi + 31a40 64000000 222c0223 00086f66 666c6f61 d...",.#..offloa + 31a50 645f6361 70000000 22430223 00087374 d_cap..."C.#..st + 31a60 61747300 00002262 02230008 6d636173 ats..."b.#..mcas + 31a70 745f696e 666f0000 00227b02 2300086d t_info..."{.#..m + 31a80 63617374 5f636170 00000022 dd022300 cast_cap..."..#. + 31a90 00140400 0024060e 4144465f 4e425546 .....$..ADF_NBUF + 31aa0 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. + 31ab0 0e414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 31ac0 554d5f48 5700010e 4144465f 4e425546 UM_HW...ADF_NBUF + 31ad0 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE + 31ae0 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu + 31af0 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ + 31b00 74000000 23af1208 00002446 08726573 t...#.....$F.res + 31b10 756c7400 00002406 02230008 76616c00 ult...$..#..val. + 31b20 00001620 02230400 12080000 24760874 ... .#......$v.t + 31b30 79706500 00002052 02230008 6d737300 ype... R.#..mss. + 31b40 00001caf 02230408 6864725f 6f666600 .....#..hdr_off. + 31b50 00001687 02230600 075f5f61 64665f6e .....#...__adf_n + 31b60 6275665f 71686561 64000c00 0024b508 buf_qhead....$.. + 31b70 68656164 00000014 40022300 08746169 head....@.#..tai + 31b80 6c000000 14400223 0408716c 656e0000 l....@.#..qlen.. + 31b90 00162002 23080009 5f5f6164 665f6e62 .. .#...__adf_nb + 31ba0 75665f74 00000014 40030000 16960400 uf_t....@....... + 31bb0 03000016 20040002 01060000 13220106 .... ........".. + 31bc0 00001620 01060000 16960106 00001696 ... ............ + 31bd0 01030000 13030400 095f5f61 64665f6e .........__adf_n + 31be0 6275665f 71686561 645f7400 00002476 buf_qhead_t...$v + 31bf0 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu + 31c00 655f7400 000024f6 03000025 0e040006 e_t...$....%.... + 31c10 000024b5 01060000 24b50114 04000026 ..$.....$......& + 31c20 2e0e415f 53544154 55535f4f 4b00000e ..A_STATUS_OK... + 31c30 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. + 31c40 010e415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN + 31c50 5400020e 415f5354 41545553 5f454e4f T...A_STATUS_ENO + 31c60 4d454d00 030e415f 53544154 55535f45 MEM...A_STATUS_E + 31c70 494e5641 4c00040e 415f5354 41545553 INVAL...A_STATUS + 31c80 5f45494e 50524f47 52455353 00050e41 _EINPROGRESS...A + 31c90 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP + 31ca0 00060e41 5f535441 5455535f 45425553 ...A_STATUS_EBUS + 31cb0 5900070e 415f5354 41545553 5f453242 Y...A_STATUS_E2B + 31cc0 49470008 0e415f53 54415455 535f4541 IG...A_STATUS_EA + 31cd0 4444524e 4f544156 41494c00 090e415f DDRNOTAVAIL...A_ + 31ce0 53544154 55535f45 4e58494f 000a0e41 STATUS_ENXIO...A + 31cf0 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. + 31d00 0e415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... + 31d10 09615f73 74617475 735f7400 00002539 .a_status_t...%9 + 31d20 06000026 2e010600 00011b01 02010961 ...&...........a + 31d30 64665f6e 6275665f 74000000 24b51404 df_nbuf_t...$... + 31d40 00002693 0e414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ + 31d50 544f5f44 45564943 4500000e 4144465f TO_DEVICE...ADF_ + 31d60 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI + 31d70 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma + 31d80 5f646972 5f740000 00265c06 0000262e _dir_t...&\...&. + 31d90 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama + 31da0 705f696e 666f5f74 00000016 3d030000 p_info_t....=... + 31db0 26b10400 02010201 06000026 4c010600 &..........&L... + 31dc0 0024b501 02010201 06000026 4c010600 .$.........&L... + 31dd0 0024b501 06000026 4c010600 0024b501 .$.....&L....$.. + 31de0 06000026 4c010201 02010600 00162001 ...&L......... . + 31df0 06000016 96010201 02010600 001b4d01 ..............M. + 31e00 0600001a 9a010600 001a9a01 09616466 .............adf + 31e10 5f6f735f 73676c69 73745f74 00000016 _os_sglist_t.... + 31e20 d5030000 272a0400 02010201 02010600 ....'*.......... + 31e30 00169601 09616466 5f6e6275 665f7175 .....adf_nbuf_qu + 31e40 6575655f 74000000 250e0300 00275204 eue_t...%....'R. + 31e50 00020103 000024f6 04000201 02010201 ......$......... + 31e60 06000026 4c010600 0024b501 06000016 ...&L....$...... + 31e70 20010600 00162001 0600001a 9a010600 ..... ......... + 31e80 001a9a01 0600001f b6010600 00162001 .............. . + 31e90 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 31ea0 756d5f74 00000024 24030000 27ae0400 um_t...$$...'... + 31eb0 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts + 31ec0 6f5f7400 00002446 03000027 d2040002 o_t...$F...'.... + 31ed0 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand + 31ee0 6c655f74 00000004 10096164 665f6e65 le_t......adf_ne + 31ef0 745f766c 616e6864 725f7400 00001dc2 t_vlanhdr_t..... + 31f00 03000028 07040006 0000262e 01060000 ...(......&..... + 31f10 262e0102 01020107 5f484946 5f434f4e &......._HIF_CON + 31f20 46494700 04000028 56086475 6d6d7900 FIG....(V.dummy. + 31f30 0000011b 02230000 02010300 00285604 .....#.......(V. + 31f40 00020103 0000285f 0400075f 4849465f ......(_..._HIF_ + 31f50 43414c4c 4241434b 000c0000 28b40873 CALLBACK....(..s + 31f60 656e645f 6275665f 646f6e65 00000028 end_buf_done...( + 31f70 58022300 08726563 765f6275 66000000 X.#..recv_buf... + 31f80 28610223 0408636f 6e746578 74000000 (a.#..context... + 31f90 04100223 08000968 69665f68 616e646c ...#...hif_handl + 31fa0 655f7400 00000410 09484946 5f434f4e e_t......HIF_CON + 31fb0 46494700 00002835 03000028 c6040006 FIG...(5...(.... + 31fc0 000028b4 01030000 28dd0400 02010300 ..(.....(....... + 31fd0 0028ea04 00094849 465f4341 4c4c4241 .(....HIF_CALLBA + 31fe0 434b0000 00286803 000028f3 04000201 CK...(h...(..... + 31ff0 03000029 0c040006 0000011b 01030000 ...)............ + 32000 29150400 02010300 00292204 00060000 )........)"..... + 32010 011b0103 0000292b 04000201 03000029 ......)+.......) + 32020 38040006 0000011b 01030000 29410400 8...........)A.. + 32030 02010300 00294e04 00076869 665f6170 .....)N...hif_ap + 32040 69003800 002aa708 5f696e69 74000000 i.8..*.._init... + 32050 28e30223 00085f73 68757464 6f776e00 (..#.._shutdown. + 32060 000028ec 02230408 5f726567 69737465 ..(..#.._registe + 32070 725f6361 6c6c6261 636b0000 00290e02 r_callback...).. + 32080 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr + 32090 65646974 5f636f75 6e740000 00291b02 edit_count...).. + 320a0 230c085f 73746172 74000000 28ec0223 #.._start...(..# + 320b0 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. + 320c0 00292402 2314085f 73656e64 5f627566 .)$.#.._send_buf + 320d0 66657200 00002931 02231808 5f726574 fer...)1.#.._ret + 320e0 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) + 320f0 3a02231c 085f6973 5f706970 655f7375 :.#.._is_pipe_su + 32100 70706f72 74656400 00002947 02232008 pported...)G.# . + 32110 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len + 32120 00000029 47022324 085f6765 745f7265 ...)G.#$._get_re + 32130 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 32140 0000291b 02232808 5f697372 5f68616e ..)..#(._isr_han + 32150 646c6572 00000028 ec02232c 085f6765 dler...(..#,._ge + 32160 745f6465 6661756c 745f7069 70650000 t_default_pipe.. + 32170 00295002 23300870 52657365 72766564 .)P.#0.pReserved + 32180 00000004 10022334 000d646d 615f656e ......#4..dma_en + 32190 67696e65 00040000 2b300e44 4d415f45 gine....+0.DMA_E + 321a0 4e47494e 455f5258 3000000e 444d415f NGINE_RX0...DMA_ + 321b0 454e4749 4e455f52 58310001 0e444d41 ENGINE_RX1...DMA + 321c0 5f454e47 494e455f 52583200 020e444d _ENGINE_RX2...DM + 321d0 415f454e 47494e45 5f525833 00030e44 A_ENGINE_RX3...D + 321e0 4d415f45 4e47494e 455f5458 3000040e MA_ENGINE_TX0... + 321f0 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. + 32200 0e444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. + 32210 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. + 32220 00002aa7 0d646d61 5f696674 79706500 ..*..dma_iftype. + 32230 0400002b 7d0e444d 415f4946 5f474d41 ...+}.DMA_IF_GMA + 32240 4300000e 444d415f 49465f50 43490001 C...DMA_IF_PCI.. + 32250 0e444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... + 32260 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ + 32270 42060000 12f50103 00002b8f 04000201 B.........+..... + 32280 0300002b 9c040002 01030000 2ba50400 ...+........+... + 32290 06000009 27010300 002bae04 00060000 ....'....+...... + 322a0 12f50103 00002bbb 04000600 0012f501 ......+......... + 322b0 0300002b c8040006 00001440 01030000 ...+.......@.... + 322c0 2bd50400 02010300 002be204 0007646d +........+....dm + 322d0 615f6c69 625f6170 69003400 002ce908 a_lib_api.4..,.. + 322e0 74785f69 6e697400 00002b95 02230008 tx_init...+..#.. + 322f0 74785f73 74617274 0000002b 9e022304 tx_start...+..#. + 32300 0872785f 696e6974 0000002b 95022308 .rx_init...+..#. + 32310 0872785f 636f6e66 69670000 002ba702 .rx_config...+.. + 32320 230c0872 785f7374 61727400 00002b9e #..rx_start...+. + 32330 02231008 696e7472 5f737461 74757300 .#..intr_status. + 32340 00002bb4 02231408 68617264 5f786d69 ..+..#..hard_xmi + 32350 74000000 2bc10223 1808666c 7573685f t...+..#..flush_ + 32360 786d6974 0000002b 9e02231c 08786d69 xmit...+..#..xmi + 32370 745f646f 6e650000 002bce02 23200872 t_done...+..# .r + 32380 6561705f 786d6974 74656400 00002bdb eap_xmitted...+. + 32390 02232408 72656170 5f726563 76000000 .#$.reap_recv... + 323a0 2bdb0223 28087265 7475726e 5f726563 +..#(.return_rec + 323b0 76000000 2be40223 2c087265 63765f70 v...+..#,.recv_p + 323c0 6b740000 002bce02 23300007 5f5f7063 kt...+..#0..__pc + 323d0 695f736f 66746300 0c00002d 07087377 i_softc....-..sw + 323e0 00000028 f3022300 00095f5f 7063695f ...(..#...__pci_ + 323f0 736f6674 635f7400 00002ce9 0300002d softc_t...,....- + 32400 07040002 01030000 2d210400 06000012 ........-!...... + 32410 e1010300 002d2a04 000d6869 665f7063 .....-*...hif_pc + 32420 695f7069 70655f74 78000400 002d8a0e i_pipe_tx....-.. + 32430 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 + 32440 00000e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 32450 54583100 010e4849 465f5043 495f5049 TX1...HIF_PCI_PI + 32460 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif + 32470 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. + 32480 002d3706 00002b30 01030000 2da10400 .-7...+0....-... + 32490 0d686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 324a0 00040000 2e270e48 49465f50 43495f50 .....'.HIF_PCI_P + 324b0 4950455f 52583000 000e4849 465f5043 IPE_RX0...HIF_PC + 324c0 495f5049 50455f52 58310001 0e484946 I_PIPE_RX1...HIF + 324d0 5f504349 5f504950 455f5258 3200020e _PCI_PIPE_RX2... + 324e0 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 + 324f0 00030e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 32500 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc + 32510 695f7069 70655f72 785f7400 00002dae i_pipe_rx_t...-. + 32520 0600002b 30010300 002e3e04 00076869 ...+0.....>...hi + 32530 665f7063 695f6170 69002400 002f1c08 f_pci_api.$../.. + 32540 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... + 32550 01140223 00087063 695f696e 69740000 ...#..pci_init.. + 32560 0028e302 23040870 63695f72 65736574 .(..#..pci_reset + 32570 00000001 14022308 08706369 5f656e61 ......#..pci_ena + 32580 626c6500 00000114 02230c08 7063695f ble......#..pci_ + 32590 72656170 5f786d69 74746564 0000002d reap_xmitted...- + 325a0 23022310 08706369 5f726561 705f7265 #.#..pci_reap_re + 325b0 63760000 002d2302 23140870 63695f67 cv...-#.#..pci_g + 325c0 65745f70 69706500 00002d30 02231808 et_pipe...-0.#.. + 325d0 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. + 325e0 002da702 231c0870 63695f67 65745f72 .-..#..pci_get_r + 325f0 785f656e 67000000 2e440223 20000767 x_eng....D.# ..g + 32600 6d61635f 61706900 0400002f 4308676d mac_api..../C.gm + 32610 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... + 32620 14022300 000f0000 03280600 002f5010 ..#......(.../P. + 32630 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ + 32640 86086473 74000000 2f430223 00087372 ..dst.../C.#..sr + 32650 63000000 2f430223 06086574 79706500 c.../C.#..etype. + 32660 000012f5 02230c00 075f5f61 74686864 .....#...__athhd + 32670 72000400 002fd415 72657300 000012e1 r..../..res..... + 32680 01000202 23001570 726f746f 00000012 ....#..proto.... + 32690 e1010206 02230008 7265735f 6c6f0000 .....#..res_lo.. + 326a0 0012e102 23010872 65735f68 69000000 ....#..res_hi... + 326b0 12f50223 0200075f 5f676d61 635f6864 ...#...__gmac_hd + 326c0 72001400 00301008 65746800 00002f50 r....0..eth.../P + 326d0 02230008 61746800 00002f86 02230e08 .#..ath.../..#.. + 326e0 616c6967 6e5f7061 64000000 12f50223 align_pad......# + 326f0 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. + 32700 00002fd4 075f5f67 6d61635f 736f6674 ../..__gmac_soft + 32710 63002400 00305a08 68647200 00003010 c.$..0Z.hdr...0. + 32720 02230008 6772616e 00000012 f5022314 .#..gran......#. + 32730 08737700 000028f3 02231800 075f415f .sw...(..#..._A_ + 32740 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check + 32750 00080000 30930876 65727369 6f6e0000 ....0..version.. + 32760 00011b02 23000874 61626c65 00000001 ....#..table.... + 32770 1b022304 00030000 305a0400 06000001 ..#.....0Z...... + 32780 1b010300 00309a04 00030000 04130400 .....0.......... + 32790 175f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire + 327a0 6374696f 6e5f7461 626c6500 01b80000 ction_table..... + 327b0 31ea0868 616c5f6c 696e6b61 67655f63 1..hal_linkage_c + 327c0 6865636b 00000030 a0022300 08737461 heck...0..#..sta + 327d0 72745f62 73730000 0030a702 23040861 rt_bss...0..#..a + 327e0 70705f73 74617274 00000001 14022308 pp_start......#. + 327f0 086d656d 00000004 5302230c 086d6973 .mem....S.#..mis + 32800 63000000 05720223 20087072 696e7466 c....r.# .printf + 32810 00000001 48022344 08756172 74000000 ....H.#D.uart... + 32820 02110223 4c08676d 61630000 002f1c02 ...#L.gmac.../.. + 32830 236c0875 73620000 000fa102 23700863 #l.usb......#p.c + 32840 6c6f636b 0000000a ea0323e0 01087469 lock......#...ti + 32850 6d657200 0000078a 03238402 08696e74 mer......#...int + 32860 72000000 0c3a0323 98020861 6c6c6f63 r....:.#...alloc + 32870 72616d00 00000942 0323c402 08726f6d ram....B.#...rom + 32880 70000000 08340323 d0020877 64745f74 p....4.#...wdt_t + 32890 696d6572 0000000e 170323e0 02086565 imer......#...ee + 328a0 70000000 0f450323 fc020873 7472696e p....E.#...strin + 328b0 67000000 06960323 8c030874 61736b6c g......#...taskl + 328c0 65740000 000a3f03 23a40300 075f5553 et....?.#...._US + 328d0 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... + 328e0 00325d08 6765745f 636f6d6d 616e645f .2].get_command_ + 328f0 62756600 0000144d 02230008 72656376 buf....M.#..recv + 32900 5f636f6d 6d616e64 00000014 63022304 _command....c.#. + 32910 08676574 5f657665 6e745f62 75660000 .get_event_buf.. + 32920 00144d02 23080873 656e645f 6576656e ..M.#..send_even + 32930 745f646f 6e650000 00146302 230c0009 t_done....c.#... + 32940 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 32950 000031ea 03000032 5d040002 01030000 ..1....2]....... + 32960 32790400 07757362 6669666f 5f617069 2y...usbfifo_api + 32970 000c0000 32cf085f 696e6974 00000032 ....2.._init...2 + 32980 7b022300 085f656e 61626c65 5f657665 {.#.._enable_eve + 32990 6e745f69 73720000 00011402 23040870 nt_isr......#..p + 329a0 52657365 72766564 00000004 10022308 Reserved......#. + 329b0 000f0000 16870200 0032dc10 0100075f .........2....._ + 329c0 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... + 329d0 00334e08 456e6470 6f696e74 49440000 .3N.EndpointID.. + 329e0 00168702 23000846 6c616773 00000016 ....#..Flags.... + 329f0 87022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. + 32a00 00001caf 02230208 436f6e74 726f6c42 .....#..ControlB + 32a10 79746573 00000032 cf022304 08486f73 ytes...2..#..Hos + 32a20 74536571 4e756d00 00001caf 02230600 tSeqNum......#.. + 32a30 12020000 3367084d 65737361 67654944 ....3g.MessageID + 32a40 0000001c af022300 00120800 0033ca08 ......#......3.. + 32a50 4d657373 61676549 44000000 1caf0223 MessageID......# + 32a60 00084372 65646974 436f756e 74000000 ..CreditCount... + 32a70 1caf0223 02084372 65646974 53697a65 ...#..CreditSize + 32a80 0000001c af022304 084d6178 456e6470 ......#..MaxEndp + 32a90 6f696e74 73000000 16870223 06085f50 oints......#.._P + 32aa0 61643100 00001687 02230700 120a0000 ad1......#...... + 32ab0 3461084d 65737361 67654944 0000001c 4a.MessageID.... + 32ac0 af022300 08536572 76696365 49440000 ..#..ServiceID.. + 32ad0 001caf02 23020843 6f6e6e65 6374696f ....#..Connectio + 32ae0 6e466c61 67730000 001caf02 23040844 nFlags......#..D + 32af0 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 32b00 16870223 06085570 4c696e6b 50697065 ...#..UpLinkPipe + 32b10 49440000 00168702 23070853 65727669 ID......#..Servi + 32b20 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 32b30 87022308 085f5061 64310000 00168702 ..#.._Pad1...... + 32b40 23090012 0a000034 e9084d65 73736167 #......4..Messag + 32b50 65494400 00001caf 02230008 53657276 eID......#..Serv + 32b60 69636549 44000000 1caf0223 02085374 iceID......#..St + 32b70 61747573 00000016 87022304 08456e64 atus......#..End + 32b80 706f696e 74494400 00001687 02230508 pointID......#.. + 32b90 4d61784d 73675369 7a650000 001caf02 MaxMsgSize...... + 32ba0 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe + 32bb0 6e677468 00000016 87022308 085f5061 ngth......#.._Pa + 32bc0 64310000 00168702 23090012 02000035 d1......#......5 + 32bd0 02084d65 73736167 65494400 00001caf ..MessageID..... + 32be0 02230000 12040000 353e084d 65737361 .#......5>.Messa + 32bf0 67654944 0000001c af022300 08506970 geID......#..Pip + 32c00 65494400 00001687 02230208 43726564 eID......#..Cred + 32c10 6974436f 756e7400 00001687 02230300 itCount......#.. + 32c20 12040000 3575084d 65737361 67654944 ....5u.MessageID + 32c30 0000001c af022300 08506970 65494400 ......#..PipeID. + 32c40 00001687 02230208 53746174 75730000 .....#..Status.. + 32c50 00168702 23030012 02000035 9c085265 ....#......5..Re + 32c60 636f7264 49440000 00168702 2300084c cordID......#..L + 32c70 656e6774 68000000 16870223 01001202 ength......#.... + 32c80 000035c6 08456e64 706f696e 74494400 ..5..EndpointID. + 32c90 00001687 02230008 43726564 69747300 .....#..Credits. + 32ca0 00001687 02230100 12040000 36070845 .....#......6..E + 32cb0 6e64706f 696e7449 44000000 16870223 ndpointID......# + 32cc0 00084372 65646974 73000000 16870223 ..Credits......# + 32cd0 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo + 32ce0 0000001c af022302 000f0000 16870400 ......#......... + 32cf0 00361410 03001206 00003650 08507265 .6........6P.Pre + 32d00 56616c69 64000000 16870223 00084c6f Valid......#..Lo + 32d10 6f6b4168 65616400 00003607 02230108 okAhead...6..#.. + 32d20 506f7374 56616c69 64000000 16870223 PostValid......# + 32d30 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t + 32d40 00000004 10060000 36500103 00003663 ........6P....6c + 32d50 04000201 03000036 70040014 04000036 .......6p......6 + 32d60 ee0e504f 4f4c5f49 445f4854 435f434f ..POOL_ID_HTC_CO + 32d70 4e54524f 4c00000e 504f4f4c 5f49445f NTROL...POOL_ID_ + 32d80 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL + 32d90 5900010e 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ + 32da0 5356435f 4556454e 5400020e 504f4f4c SVC_EVENT...POOL + 32db0 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. + 32dc0 030e504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... + 32dd0 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 + 32de0 79020103 000036ff 04000600 00264c01 y.....6......&L. + 32df0 03000037 08040006 0000264c 01030000 ...7......&L.... + 32e00 37150400 02010300 00372204 00076275 7........7"...bu + 32e10 665f706f 6f6c5f61 7069001c 000037c4 f_pool_api....7. + 32e20 085f696e 69740000 00366902 2300085f ._init...6i.#.._ + 32e30 73687574 646f776e 00000036 72022304 shutdown...6r.#. + 32e40 085f6372 65617465 5f706f6f 6c000000 ._create_pool... + 32e50 37010223 08085f61 6c6c6f63 5f627566 7..#.._alloc_buf + 32e60 00000037 0e02230c 085f616c 6c6f635f ...7..#.._alloc_ + 32e70 6275665f 616c6967 6e000000 371b0223 buf_align...7..# + 32e80 10085f66 7265655f 62756600 00003724 .._free_buf...7$ + 32e90 02231408 70526573 65727665 64000000 .#..pReserved... + 32ea0 04100223 1800075f 4854435f 53455256 ...#..._HTC_SERV + 32eb0 49434500 1c000038 a308704e 65787400 ICE....8..pNext. + 32ec0 000038a3 02230008 50726f63 65737352 ..8..#..ProcessR + 32ed0 6563764d 73670000 00395802 23040850 ecvMsg...9X.#..P + 32ee0 726f6365 73735365 6e644275 66666572 rocessSendBuffer + 32ef0 436f6d70 6c657465 00000039 61022308 Complete...9a.#. + 32f00 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. + 32f10 00003975 02230c08 53657276 69636549 ..9u.#..ServiceI + 32f20 44000000 12f50223 10085365 72766963 D......#..Servic + 32f30 65466c61 67730000 0012f502 2312084d eFlags......#..M + 32f40 61785376 634d7367 53697a65 00000012 axSvcMsgSize.... + 32f50 f5022314 08547261 696c6572 53706343 ..#..TrailerSpcC + 32f60 6865636b 4c696d69 74000000 12f50223 heckLimit......# + 32f70 16085365 72766963 65437478 00000004 ..ServiceCtx.... + 32f80 10022318 00030000 37c40400 14040000 ..#.....7....... + 32f90 39411945 4e44504f 494e545f 554e5553 9A.ENDPOINT_UNUS + 32fa0 454400ff ffffff0e 454e4450 4f494e54 ED......ENDPOINT + 32fb0 3000000e 454e4450 4f494e54 3100010e 0...ENDPOINT1... + 32fc0 454e4450 4f494e54 3200020e 454e4450 ENDPOINT2...ENDP + 32fd0 4f494e54 3300030e 454e4450 4f494e54 OINT3...ENDPOINT + 32fe0 3400040e 454e4450 4f494e54 3500050e 4...ENDPOINT5... + 32ff0 454e4450 4f494e54 3600060e 454e4450 ENDPOINT6...ENDP + 33000 4f494e54 3700070e 454e4450 4f494e54 OINT7...ENDPOINT + 33010 3800080e 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX + 33020 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT + 33030 5f494400 000038aa 02010300 00395604 _ID...8......9V. + 33040 00020103 0000395f 04000300 00011b04 ......9_........ + 33050 00060000 12e10103 0000396f 04000300 ..........9o.... + 33060 0037c404 00075f48 54435f43 4f4e4649 .7...._HTC_CONFI + 33070 47001400 0039f408 43726564 69745369 G....9..CreditSi + 33080 7a650000 00011b02 23000843 72656469 ze......#..Credi + 33090 744e756d 62657200 0000011b 02230408 tNumber......#.. + 330a0 4f534861 6e646c65 0000001a 4b022308 OSHandle....K.#. + 330b0 08484946 48616e64 6c650000 0028b402 .HIFHandle...(.. + 330c0 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 330d0 36500223 1000075f 4854435f 4255465f 6P.#..._HTC_BUF_ + 330e0 434f4e54 45585400 0200003a 3008656e CONTEXT....:0.en + 330f0 645f706f 696e7400 000012e1 02230008 d_point......#.. + 33100 6874635f 666c6167 73000000 12e10223 htc_flags......# + 33110 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. + 33120 00000410 09485443 5f534554 55505f43 .....HTC_SETUP_C + 33130 4f4d504c 4554455f 43420000 00011409 OMPLETE_CB...... + 33140 4854435f 434f4e46 49470000 00398303 HTC_CONFIG...9.. + 33150 00003a5d 04000600 003a3001 0300003a ..:].....:0....: + 33160 74040002 01030000 3a810400 09485443 t.......:....HTC + 33170 5f534552 56494345 00000037 c4030000 _SERVICE...7.... + 33180 3a8a0400 02010300 003aa204 00020103 :........:...... + 33190 00003aab 04000201 0300003a b4040006 ..:........:.... + 331a0 0000011b 01030000 3abd0400 07687463 ........:....htc + 331b0 5f617069 73003400 003c3a08 5f485443 _apis.4..<:._HTC + 331c0 5f496e69 74000000 3a7a0223 00085f48 _Init...:z.#.._H + 331d0 54435f53 68757464 6f776e00 00003a83 TC_Shutdown...:. + 331e0 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe + 331f0 72536572 76696365 0000003a a4022308 rService...:..#. + 33200 085f4854 435f5265 61647900 00003a83 ._HTC_Ready...:. + 33210 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 33220 75666665 72730000 003aad02 2310085f uffers...:..#.._ + 33230 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 33240 734c6973 74000000 3ab60223 14085f48 sList...:..#.._H + 33250 54435f53 656e644d 73670000 003aad02 TC_SendMsg...:.. + 33260 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser + 33270 76656448 65616472 6f6f6d00 00003ac3 vedHeadroom...:. + 33280 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv + 33290 48616e64 6c657200 00002861 02232008 Handler...(a.# . + 332a0 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan + 332b0 646c6572 00000028 58022324 085f4854 dler...(X.#$._HT + 332c0 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 332d0 6573734d 73670000 00395802 2328085f essMsg...9X.#(._ + 332e0 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 332f0 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet + 33300 65000000 39610223 2c087052 65736572 e...9a.#,.pReser + 33310 76656400 00000410 02233000 07686f73 ved......#0..hos + 33320 745f6170 705f6172 65615f73 00040000 t_app_area_s.... + 33330 3c6a0877 6d695f70 726f746f 636f6c5f ..tupleNumL... + 334d0 1caf0223 00087475 706c654e 756d4800 ...#..tupleNumH. + 334e0 00001caf 02230208 61767400 00003dce .....#..avt...=. + 334f0 02230400 12010000 3e340862 6561636f .#......>4.beaco + 33500 6e50656e 64696e67 436f756e 74000000 nPendingCount... + 33510 16870223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ + 33520 434f4e46 49470010 00003e9d 08487463 CONFIG....>..Htc + 33530 48616e64 6c650000 003a3002 23000850 Handle...:0.#..P + 33540 6f6f6c48 616e646c 65000000 36500223 oolHandle...6P.# + 33550 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt + 33560 73000000 011b0223 08084d61 78457665 s......#..MaxEve + 33570 6e744576 74730000 00011b02 230c0002 ntEvts......#... + 33580 01030000 3e9d0400 09574d49 5f434d44 ....>....WMI_CMD + 33590 5f48414e 444c4552 0000003e 9f075f57 _HANDLER...>.._W + 335a0 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 335b0 59000800 003f0608 70436d64 48616e64 Y....?..pCmdHand + 335c0 6c657200 00003ea6 02230008 436d6449 ler...>..#..CmdI + 335d0 44000000 12f50223 0408466c 61677300 D......#..Flags. + 335e0 000012f5 02230600 075f574d 495f4449 .....#..._WMI_DI + 335f0 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... + 33600 3f670870 4e657874 0000003f 67022300 ?g.pNext...?g.#. + 33610 0870436f 6e746578 74000000 04100223 .pContext......# + 33620 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie + 33630 73000000 011b0223 08087054 61626c65 s......#..pTable + 33640 0000003f 8602230c 00030000 3f060400 ...?..#.....?... + 33650 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN + 33660 54525900 00003ebb 0300003f 6e040003 TRY...>....?n... + 33670 00003f06 04000948 54435f42 55465f43 ..?....HTC_BUF_C + 33680 4f4e5445 58540000 0039f40d 574d495f ONTEXT...9..WMI_ + 33690 4556545f 434c4153 53000400 00401e19 EVT_CLASS....@.. + 336a0 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO + 336b0 4e4500ff ffffff0e 574d495f 4556545f NE......WMI_EVT_ + 336c0 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. + 336d0 000e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 336e0 434d445f 5245504c 5900010e 574d495f CMD_REPLY...WMI_ + 336f0 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... + 33700 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. + 33710 003fa907 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON + 33720 54455854 000c0000 407c0848 74634275 TEXT....@|.HtcBu + 33730 66437478 0000003f 94022300 08457665 fCtx...?..#..Eve + 33740 6e74436c 61737300 0000401e 02230408 ntClass...@..#.. + 33750 466c6167 73000000 12f50223 08000977 Flags......#...w + 33760 6d695f68 616e646c 655f7400 00000410 mi_handle_t..... + 33770 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. + 33780 00003e34 03000040 8e040006 0000407c ..>4...@......@| + 33790 01030000 40a90400 09574d49 5f444953 ....@....WMI_DIS + 337a0 50415443 485f5441 424c4500 00003f06 PATCH_TABLE...?. + 337b0 03000040 b6040002 01030000 40d50400 ...@........@... + 337c0 06000026 4c010300 0040de04 00020103 ...&L....@...... + 337d0 000040eb 04000600 00011b01 03000040 ..@............@ + 337e0 f4040002 01030000 41010400 06000012 ........A....... + 337f0 e1010300 00410a04 00075f77 6d695f73 .....A...._wmi_s + 33800 76635f61 70697300 2c000042 52085f57 vc_apis.,..BR._W + 33810 4d495f49 6e697400 000040af 02230008 MI_Init...@..#.. + 33820 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis + 33830 70617463 68546162 6c650000 0040d702 patchTable...@.. + 33840 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve + 33850 6e740000 0040e402 2308085f 574d495f nt...@..#.._WMI_ + 33860 53656e64 4576656e 74000000 40ed0223 SendEvent...@..# + 33870 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin + 33880 67457665 6e747343 6f756e74 00000040 gEventsCount...@ + 33890 fa022310 085f574d 495f5365 6e64436f ..#.._WMI_SendCo + 338a0 6d706c65 74654861 6e646c65 72000000 mpleteHandler... + 338b0 39610223 14085f57 4d495f47 6574436f 9a.#.._WMI_GetCo + 338c0 6e74726f 6c457000 000040fa 02231808 ntrolEp...@..#.. + 338d0 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... + 338e0 41030223 1c085f57 4d495f52 6563764d A..#.._WMI_RecvM + 338f0 65737361 67654861 6e646c65 72000000 essageHandler... + 33900 39580223 20085f57 4d495f53 65727669 9X.# ._WMI_Servi + 33910 6365436f 6e6e6563 74000000 41100223 ceConnect...A..# + 33920 24087052 65736572 76656400 00000410 $.pReserved..... + 33930 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. + 33940 000042d4 08637472 6c000000 017f0223 ..B..ctrl......# + 33950 00087374 61747573 00000001 7f022302 ..status......#. + 33960 08746f74 616c4c65 6e000000 017f0223 .totalLen......# + 33970 04086461 74615369 7a650000 00017f02 ..dataSize...... + 33980 2306086c 61737441 64647200 000042d4 #..lastAddr...B. + 33990 02230808 64617461 41646472 00000001 .#..dataAddr.... + 339a0 a302230c 086e6578 74416464 72000000 ..#..nextAddr... + 339b0 42d40223 10000300 00425204 00030000 B..#.....BR..... + 339c0 42520400 077a7344 6d615175 65756500 BR...zsDmaQueue. + 339d0 08000043 14086865 61640000 0042db02 ...C..head...B.. + 339e0 23000874 65726d69 6e61746f 72000000 #..terminator... + 339f0 42db0223 0400077a 73547844 6d615175 B..#...zsTxDmaQu + 33a00 65756500 10000043 78086865 61640000 eue....Cx.head.. + 33a10 0042db02 23000874 65726d69 6e61746f .B..#..terminato + 33a20 72000000 42db0223 0408786d 69746564 r...B..#..xmited + 33a30 5f627566 5f686561 64000000 14400223 _buf_head....@.# + 33a40 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai + 33a50 6c000000 14400223 0c000201 03000043 l....@.#.......C + 33a60 78040003 000042e2 04000201 03000043 x.....B........C + 33a70 88040003 00004314 04000201 03000043 ......C........C + 33a80 98040002 01030000 43a10400 02010300 ........C....... + 33a90 0043aa04 00060000 14400103 000043b3 .C.......@....C. + 33aa0 04000201 03000043 c0040006 00001440 .......C.......@ + 33ab0 01030000 43c90400 02010300 0043d604 ....C........C.. + 33ac0 00060000 011b0103 000043df 04000600 ..........C..... + 33ad0 0042db01 03000043 ec040002 01030000 .B.....C........ + 33ae0 43f90400 07646d61 5f656e67 696e655f C....dma_engine_ + 33af0 61706900 40000045 6f085f69 6e697400 api.@..Eo._init. + 33b00 0000437a 02230008 5f696e69 745f7278 ..Cz.#.._init_rx + 33b10 5f717565 75650000 00438a02 2304085f _queue...C..#.._ + 33b20 696e6974 5f74785f 71756575 65000000 init_tx_queue... + 33b30 439a0223 08085f63 6f6e6669 675f7278 C..#.._config_rx + 33b40 5f717565 75650000 0043a302 230c085f _queue...C..#.._ + 33b50 786d6974 5f627566 00000043 ac022310 xmit_buf...C..#. + 33b60 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C + 33b70 8a022314 085f7265 61705f72 6563765f ..#.._reap_recv_ + 33b80 62756600 000043b9 02231808 5f726574 buf...C..#.._ret + 33b90 75726e5f 72656376 5f627566 00000043 urn_recv_buf...C + 33ba0 c202231c 085f7265 61705f78 6d697465 ..#.._reap_xmite + 33bb0 645f6275 66000000 43cf0223 20085f73 d_buf...C..# ._s + 33bc0 7761705f 64617461 00000043 d8022324 wap_data...C..#$ + 33bd0 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack + 33be0 65747300 000043e5 02232808 5f646573 ets...C..#(._des + 33bf0 635f6475 6d700000 00438a02 232c085f c_dump...C..#,._ + 33c00 6765745f 7061636b 65740000 0043f202 get_packet...C.. + 33c10 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack + 33c20 65740000 0043fb02 2334085f 7075745f et...C..#4._put_ + 33c30 7061636b 65740000 0043fb02 23380870 packet...C..#8.p + 33c40 52657365 72766564 00000004 1002233c Reserved......#< + 33c50 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 33c60 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 33c70 0030ae09 574d495f 5356435f 41504953 .0..WMI_SVC_APIS + 33c80 00000041 17175f41 5f6d6167 7069655f ...A.._A_magpie_ + 33c90 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 33ca0 6500034c 0000469d 08636d6e 6f730000 e..L..F..cmnos.. + 33cb0 00456f02 23000864 62670000 0003dd03 .Eo.#..dbg...... + 33cc0 23b80308 68696600 00002957 0323c003 #...hif...)W.#.. + 33cd0 08687463 0000003a ca0323f8 0308776d .htc...:..#...wm + 33ce0 695f7376 635f6170 69000000 45910323 i_svc_api...E..# + 33cf0 ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. + 33d00 00328203 23d80408 6275665f 706f6f6c .2..#...buf_pool + 33d10 00000037 2b0323e4 04087662 75660000 ...7+.#...vbuf.. + 33d20 00146a03 23800508 76646573 63000000 ..j.#...vdesc... + 33d30 134c0323 94050861 6c6c6f63 72616d00 .L.#...allocram. + 33d40 00000942 0323a805 08646d61 5f656e67 ...B.#...dma_eng + 33d50 696e6500 00004402 0323b405 08646d61 ine...D..#...dma + 33d60 5f6c6962 0000002b eb0323f4 05086869 _lib...+..#...hi + 33d70 665f7063 69000000 2e4b0323 a8060009 f_pci....K.#.... + 33d80 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 33d90 6374696f 6e5f7461 626c655f 74000000 ction_table_t... + 33da0 45a3075f 7461736b 6c65745f 636f6e74 E.._tasklet_cont + 33db0 65787400 04000046 ef087363 68656475 ext....F..schedu + 33dc0 6c655f74 61736b73 0000000a 26022300 le_tasks....&.#. + 33dd0 00097461 736b6c65 745f636f 6e746578 ..tasklet_contex + 33de0 74000000 46c01a67 5f746173 6b6c6574 t...F..g_tasklet + 33df0 5f637478 00000046 ef050300 500a3003 _ctx...F....P.0. + 33e00 00000a3f 04000201 1b011163 6d6e6f73 ...?.......cmnos + 33e10 5f746173 6b6c6574 5f696e69 74000101 _tasklet_init... + 33e20 03920120 02900000 8e2ae800 8e2af41c ... .....*...*.. + 33e30 0118636d 6e6f735f 7461736b 6c65745f ..cmnos_tasklet_ + 33e40 696e6974 5f746173 6b000101 03920120 init_task...... + 33e50 02900000 8e2af400 8e2b0300 0047a81d .....*...+...G.. + 33e60 0118666e 00000009 af01521d 01186172 ..fn......R...ar + 33e70 67000000 04100153 1d011874 61736b6c g......S...taskl + 33e80 65740000 000a2601 54001c01 21636d6e et....&.T...!cmn + 33e90 6f735f74 61736b6c 65745f73 63686564 os_tasklet_sched + 33ea0 756c6500 01010392 01200290 00008e2b ule...... .....+ + 33eb0 04008e2b 1d000047 e91d0121 7461736b ...+...G...!task + 33ec0 6c657400 00000a26 0152001c 0131636d let....&.R...1cm + 33ed0 6e6f735f 7461736b 6c65745f 64697361 nos_tasklet_disa + 33ee0 626c6500 01010392 01200290 00008e2b ble...... .....+ + 33ef0 20008e2b 51000048 3c1d0131 7461736b ..+Q..H<..1task + 33f00 6c657400 00000a26 01521e70 72657600 let....&.R.prev. + 33f10 00000a26 1e746d70 0000000a 26001c01 ...&.tmp....&... + 33f20 4d636d6e 6f735f74 61736b6c 65745f72 Mcmnos_tasklet_r + 33f30 756e0001 01039201 20029000 008e2b54 un...... .....+T + 33f40 008e2b7c 00004870 1e746d70 0000000a ..+|..Hp.tmp.... + 33f50 26001f01 61636d6e 6f735f74 61736b6c &...acmnos_taskl + 33f60 65745f6d 6f64756c 655f696e 7374616c et_module_instal + 33f70 6c000101 03920120 02900000 8e2b7c00 l...... .....+|. + 33f80 8e2b9a1d 01617462 6c000000 471d0152 .+...atbl...G..R + 33f90 00000000 00496f00 02000013 0804012f .....Io......../ + 33fa0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 33fb0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 33fc0 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 33fd0 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 33fe0 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 33ff0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 34000 6f732f74 696d6572 2f737263 2f636d6e os/timer/src/cmn + 34010 6f735f74 696d6572 2e63002f 726f6f74 os_timer.c./root + 34020 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 34030 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 34040 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 34050 6e6f732f 74696d65 72007874 2d786363 nos/timer.xt-xcc + 34060 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: + 34070 616c6967 6e5f696e 73747275 6374696f align_instructio + 34080 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O + 34090 50543a73 70616365 00010000 00b69c02 PT:space........ + 340a0 01030000 010c0400 04696e74 00050404 .........int.... + 340b0 63686172 00070105 0000011c 05000001 char............ + 340c0 1c030000 01290400 06000001 15010300 .....).......... + 340d0 00013504 00077072 696e7466 5f617069 ..5...printf_api + 340e0 00080000 0179085f 7072696e 74665f69 .....y._printf_i + 340f0 6e697400 0000010e 02230008 5f707269 nit......#.._pri + 34100 6e746600 0000013b 02230400 0473686f ntf....;.#...sho + 34110 72742075 6e736967 6e656420 696e7400 rt unsigned int. + 34120 07020975 696e7431 365f7400 00000179 ...uint16_t....y + 34130 046c6f6e 6720756e 7369676e 65642069 .long unsigned i + 34140 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. + 34150 00019d07 75617274 5f666966 6f000800 ....uart_fifo... + 34160 00020b08 73746172 745f696e 64657800 ....start_index. + 34170 0000018f 02230008 656e645f 696e6465 .....#..end_inde + 34180 78000000 018f0223 02086f76 65727275 x......#..overru + 34190 6e5f6572 72000000 01b20223 04000775 n_err......#...u + 341a0 6172745f 61706900 20000002 c4085f75 art_api. ....._u + 341b0 6172745f 696e6974 00000003 1b022300 art_init......#. + 341c0 085f7561 72745f63 6861725f 70757400 ._uart_char_put. + 341d0 00000342 02230408 5f756172 745f6368 ...B.#.._uart_ch + 341e0 61725f67 65740000 00035602 2308085f ar_get....V.#.._ + 341f0 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 34200 5f02230c 085f7561 72745f74 61736b00 _.#.._uart_task. + 34210 0000010e 02231008 5f756172 745f7374 .....#.._uart_st + 34220 61747573 00000003 1b022314 085f7561 atus......#.._ua + 34230 72745f63 6f6e6669 67000000 03680223 rt_config....h.# + 34240 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 34250 00037102 231c0003 0000020b 04000775 ..q.#..........u + 34260 6172745f 626c6b00 10000003 15086465 art_blk.......de + 34270 6275675f 6d6f6465 00000001 8f022300 bug_mode......#. + 34280 08626175 64000000 018f0223 02085f75 .baud......#.._u + 34290 61727400 000002c4 02230408 5f747800 art......#.._tx. + 342a0 000001c0 02230800 06000001 b2010300 .....#.......... + 342b0 00031504 0004756e 7369676e 65642063 ......unsigned c + 342c0 68617200 07010975 696e7438 5f740000 har....uint8_t.. + 342d0 00032202 01030000 03400400 03000003 .."......@...... + 342e0 33040006 0000018f 01030000 03500400 3............P.. + 342f0 02010300 00035d04 00020103 00000366 ......]........f + 34300 04000201 03000003 6f040003 0000011c ........o....... + 34310 04000600 00011501 03000003 7f040007 ................ + 34320 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC + 34330 54000c00 0003d708 636d645f 73747200 T.......cmd_str. + 34340 00000378 02230008 68656c70 5f737472 ...x.#..help_str + 34350 00000003 78022304 08636d64 5f66756e ....x.#..cmd_fun + 34360 63000000 03850223 08000764 62675f61 c......#...dbg_a + 34370 70690008 0000040a 085f6462 675f696e pi......._dbg_in + 34380 69740000 00010e02 2300085f 6462675f it......#.._dbg_ + 34390 7461736b 00000001 0e022304 000a0400 task......#..... + 343a0 04756e73 69676e65 6420696e 74000704 .unsigned int... + 343b0 06000004 0a010300 00041d04 000b0b03 ................ + 343c0 0000042b 04000600 00040a01 03000004 ...+............ + 343d0 33040006 00000115 01030000 04400400 3............@.. + 343e0 076d656d 5f617069 00140000 04af085f .mem_api......._ + 343f0 6d656d5f 696e6974 00000001 0e022300 mem_init......#. + 34400 085f6d65 6d736574 00000004 23022304 ._memset....#.#. + 34410 085f6d65 6d637079 00000004 39022308 ._memcpy....9.#. + 34420 085f6d65 6d6d6f76 65000000 04390223 ._memmove....9.# + 34430 0c085f6d 656d636d 70000000 04460223 .._memcmp....F.# + 34440 10000c72 65676973 7465725f 64756d70 ...register_dump + 34450 5f730000 01030000 04af0400 02010300 _s.............. + 34460 0004c904 00020103 000004d2 04000600 ................ + 34470 00011501 03000004 db04000d 686f7374 ............host + 34480 69665f73 00040000 05370e48 49465f55 if_s.....7.HIF_U + 34490 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... + 344a0 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P + 344b0 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H + 344c0 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS + 344d0 54494600 000004e8 06000005 37010300 TIF.........7... + 344e0 00054504 00060000 03330103 00000552 ..E......3.....R + 344f0 04000600 00018f01 03000005 5f040007 ............_... + 34500 6d697363 5f617069 00240000 064f085f misc_api.$...O._ + 34510 73797374 656d5f72 65736574 00000001 system_reset.... + 34520 0e022300 085f6d61 635f7265 73657400 ..#.._mac_reset. + 34530 0000010e 02230408 5f617373 6661696c .....#.._assfail + 34540 00000004 cb022308 085f6d69 73616c69 ......#.._misali + 34550 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle + 34560 72000000 04cb0223 0c085f72 65706f72 r......#.._repor + 34570 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos + 34580 74000000 04d40223 10085f74 61726765 t......#.._targe + 34590 745f6964 5f676574 00000004 e1022314 t_id_get......#. + 345a0 085f6973 5f686f73 745f7072 6573656e ._is_host_presen + 345b0 74000000 054b0223 18085f6b 62686974 t....K.#.._kbhit + 345c0 00000005 5802231c 085f726f 6d5f7665 ....X.#.._rom_ve + 345d0 7273696f 6e5f6765 74000000 05650223 rsion_get....e.# + 345e0 20000600 00037801 03000006 4f040006 .....x.....O... + 345f0 00000378 01030000 065c0400 06000001 ...x.....\...... + 34600 15010300 00066904 00060000 01150103 ......i......... + 34610 00000676 04000600 00011501 03000006 ...v............ + 34620 83040007 73747269 6e675f61 70690018 ....string_api.. + 34630 00000709 085f7374 72696e67 5f696e69 ....._string_ini + 34640 74000000 010e0223 00085f73 74726370 t......#.._strcp + 34650 79000000 06550223 04085f73 74726e63 y....U.#.._strnc + 34660 70790000 00066202 2308085f 7374726c py....b.#.._strl + 34670 656e0000 00066f02 230c085f 73747263 en....o.#.._strc + 34680 6d700000 00067c02 2310085f 7374726e mp....|.#.._strn + 34690 636d7000 00000689 02231400 0f000004 cmp......#...... + 346a0 0d140000 07161004 00095f41 5f54494d .........._A_TIM + 346b0 45525f53 50414345 00000007 0909415f ER_SPACE......A_ + 346c0 74696d65 725f7400 00000716 03000007 timer_t......... + 346d0 2a040002 01030000 07400400 02010300 *........@...... + 346e0 00074904 0009415f 48414e44 4c450000 ..I...A_HANDLE.. + 346f0 00040d02 0109415f 54494d45 525f4655 ......A_TIMER_FU + 34700 4e430000 00076003 00000762 04000201 NC....`....b.... + 34710 03000007 7b040007 74696d65 725f6170 ....{...timer_ap + 34720 69001400 0007fa08 5f74696d 65725f69 i......._timer_i + 34730 6e697400 0000010e 02230008 5f74696d nit......#.._tim + 34740 65725f61 726d0000 00074202 2304085f er_arm....B.#.._ + 34750 74696d65 725f6469 7361726d 00000007 timer_disarm.... + 34760 4b022308 085f7469 6d65725f 73657466 K.#.._timer_setf + 34770 6e000000 077d0223 0c085f74 696d6572 n....}.#.._timer + 34780 5f72756e 00000001 0e022310 0009424f _run......#...BO + 34790 4f4c4541 4e000000 018f0600 0007fa01 OLEAN........... + 347a0 03000008 07040006 000007fa 01030000 ................ + 347b0 08140400 06000007 fa010300 00082104 ..............!. + 347c0 0007726f 6d705f61 70690010 00000893 ..romp_api...... + 347d0 085f726f 6d705f69 6e697400 0000010e ._romp_init..... + 347e0 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo + 347f0 61640000 00080d02 2304085f 726f6d70 ad......#.._romp + 34800 5f696e73 74616c6c 00000008 1a022308 _install......#. + 34810 085f726f 6d705f64 65636f64 65000000 ._romp_decode... + 34820 08270223 0c000772 6f6d5f70 61746368 .'.#...rom_patch + 34830 5f737400 10000008 ef086372 63313600 _st.......crc16. + 34840 0000018f 02230008 6c656e00 0000018f .....#..len..... + 34850 02230208 6c645f61 64647200 000001b2 .#..ld_addr..... + 34860 02230408 66756e5f 61646472 00000001 .#..fun_addr.... + 34870 b2022308 08706675 6e000000 03490223 ..#..pfun....I.# + 34880 0c000765 65705f72 65646972 5f616464 ...eep_redir_add + 34890 72000400 00092108 6f666673 65740000 r.....!.offset.. + 348a0 00018f02 23000873 697a6500 0000018f ....#..size..... + 348b0 02230200 09415f55 494e5433 32000000 .#...A_UINT32... + 348c0 040d0600 00040a01 03000009 2f040007 ............/... + 348d0 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... + 348e0 09a00863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra + 348f0 6d5f696e 69740000 00093502 23000863 m_init....5.#..c + 34900 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... + 34910 09350223 0408636d 6e6f735f 616c6c6f .5.#..cmnos_allo + 34920 6372616d 5f646562 75670000 00010e02 cram_debug...... + 34930 23080002 01030000 09a00400 09415f54 #............A_T + 34940 41534b4c 45545f46 554e4300 000009a2 ASKLET_FUNC..... + 34950 075f7461 736b6c65 74001000 000a0108 ._tasklet....... + 34960 66756e63 00000009 a9022300 08617267 func......#..arg + 34970 00000004 0a022304 08737461 74650000 ......#..state.. + 34980 00011502 2308086e 65787400 00000a01 ....#..next..... + 34990 02230c00 03000009 bd040003 000009bd .#.............. + 349a0 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. + 349b0 0009bd03 00000a0f 04000201 0300000a ................ + 349c0 27040002 01030000 0a300400 07746173 '........0...tas + 349d0 6b6c6574 5f617069 00140000 0ac5085f klet_api......._ + 349e0 7461736b 6c65745f 696e6974 00000001 tasklet_init.... + 349f0 0e022300 085f7461 736b6c65 745f696e ..#.._tasklet_in + 34a00 69745f74 61736b00 00000a29 02230408 it_task....).#.. + 34a10 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable + 34a20 0000000a 32022308 085f7461 736b6c65 ....2.#.._taskle + 34a30 745f7363 68656475 6c650000 000a3202 t_schedule....2. + 34a40 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. + 34a50 0000010e 02231000 02010300 000ac504 .....#.......... + 34a60 00060000 09210103 00000ace 04000201 .....!.......... + 34a70 0300000a db040007 636c6f63 6b5f6170 ........clock_ap + 34a80 69002400 000bbd08 5f636c6f 636b5f69 i.$....._clock_i + 34a90 6e697400 00000ac7 02230008 5f636c6f nit......#.._clo + 34aa0 636b7265 67735f69 6e697400 0000010e ckregs_init..... + 34ab0 02230408 5f756172 745f6672 65717565 .#.._uart_freque + 34ac0 6e637900 00000ad4 02230808 5f64656c ncy......#.._del + 34ad0 61795f75 73000000 0add0223 0c085f77 ay_us......#.._w + 34ae0 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... + 34af0 dd022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe + 34b00 65645f67 65740000 000ad402 2314085f ed_get......#.._ + 34b10 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... + 34b20 d4022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha + 34b30 6e676500 0000010e 02231c08 5f636c6f nge......#.._clo + 34b40 636b5f74 69636b00 0000010e 02232000 ck_tick......# . + 34b50 06000001 b2010300 000bbd04 0009415f ..............A_ + 34b60 6f6c645f 696e7472 5f740000 0001b206 old_intr_t...... + 34b70 00000bca 01030000 0bdc0400 02010300 ................ + 34b80 000be904 00020103 00000bf2 04000600 ................ + 34b90 0001b201 0300000b fb040009 415f6973 ............A_is + 34ba0 725f7400 00000c01 02010300 000c1504 r_t............. + 34bb0 00060000 040d0103 00000c1e 04000201 ................ + 34bc0 0300000c 2b040007 696e7472 5f617069 ....+...intr_api + 34bd0 002c0000 0d4d085f 696e7472 5f696e69 .,...M._intr_ini + 34be0 74000000 010e0223 00085f69 6e74725f t......#.._intr_ + 34bf0 696e766f 6b655f69 73720000 000bc302 invoke_isr...... + 34c00 2304085f 696e7472 5f646973 61626c65 #.._intr_disable + 34c10 0000000b e2022308 085f696e 74725f72 ......#.._intr_r + 34c20 6573746f 72650000 000beb02 230c085f estore......#.._ + 34c30 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. + 34c40 000bf402 2310085f 696e7472 5f756e6d ....#.._intr_unm + 34c50 61736b5f 696e756d 0000000b f4022314 ask_inum......#. + 34c60 085f696e 74725f61 74746163 685f6973 ._intr_attach_is + 34c70 72000000 0c170223 18085f67 65745f69 r......#.._get_i + 34c80 6e747265 6e61626c 65000000 0c240223 ntrenable....$.# + 34c90 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl + 34ca0 65000000 0c2d0223 20085f67 65745f69 e....-.# ._get_i + 34cb0 6e747270 656e6469 6e670000 000c2402 ntrpending....$. + 34cc0 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ + 34cd0 696e7472 6c766c00 0000010e 02232800 intrlvl......#(. + 34ce0 11040000 0d730874 696d656f 75740000 .....s.timeout.. + 34cf0 0001b202 23000861 6374696f 6e000000 ....#..action... + 34d00 01b20223 00001208 00000d8e 08636d64 ...#.........cmd + 34d10 00000001 b2022300 1300000d 4d022304 ......#.....M.#. + 34d20 0009545f 5744545f 434d4400 00000d73 ..T_WDT_CMD....s + 34d30 02010300 000d9d04 00140400 000df30e ................ + 34d40 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... + 34d50 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. + 34d60 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. + 34d70 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B + 34d80 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY + 34d90 50450000 000da606 00000df3 01030000 PE.............. + 34da0 0e040400 07776474 5f617069 001c0000 .....wdt_api.... + 34db0 0ea8085f 7764745f 696e6974 00000001 ..._wdt_init.... + 34dc0 0e022300 085f7764 745f656e 61626c65 ..#.._wdt_enable + 34dd0 00000001 0e022304 085f7764 745f6469 ......#.._wdt_di + 34de0 7361626c 65000000 010e0223 08085f77 sable......#.._w + 34df0 64745f73 65740000 000d9f02 230c085f dt_set......#.._ + 34e00 7764745f 7461736b 00000001 0e022310 wdt_task......#. + 34e10 085f7764 745f7265 73657400 0000010e ._wdt_reset..... + 34e20 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo + 34e30 6f740000 000e0a02 23180014 0400000f ot......#....... + 34e40 0f0e5245 545f5355 43434553 5300000e ..RET_SUCCESS... + 34e50 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R + 34e60 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R + 34e70 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. + 34e80 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO + 34e90 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. + 34ea0 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... + 34eb0 a8030000 018f0400 0600000f 0f010300 ................ + 34ec0 000f2504 00060000 0f0f0103 00000f32 ..%............2 + 34ed0 04000765 65705f61 70690010 00000f9b ...eep_api...... + 34ee0 085f6565 705f696e 69740000 00010e02 ._eep_init...... + 34ef0 2300085f 6565705f 72656164 0000000f #.._eep_read.... + 34f00 2b022304 085f6565 705f7772 69746500 +.#.._eep_write. + 34f10 00000f2b 02230808 5f656570 5f69735f ...+.#.._eep_is_ + 34f20 65786973 74000000 0f380223 0c000775 exist....8.#...u + 34f30 73625f61 70690070 00001248 085f7573 sb_api.p...H._us + 34f40 625f696e 69740000 00010e02 2300085f b_init......#.._ + 34f50 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... + 34f60 0e022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas + 34f70 6b000000 010e0223 08085f75 73625f69 k......#.._usb_i + 34f80 6e69745f 70687900 0000010e 02230c08 nit_phy......#.. + 34f90 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. + 34fa0 00010e02 2310085f 7573625f 6570305f ....#.._usb_ep0_ + 34fb0 74780000 00010e02 2314085f 7573625f tx......#.._usb_ + 34fc0 6570305f 72780000 00010e02 2318085f ep0_rx......#.._ + 34fd0 7573625f 6765745f 696e7465 72666163 usb_get_interfac + 34fe0 65000000 081a0223 1c085f75 73625f73 e......#.._usb_s + 34ff0 65745f69 6e746572 66616365 00000008 et_interface.... + 35000 1a022320 085f7573 625f6765 745f636f ..# ._usb_get_co + 35010 6e666967 75726174 696f6e00 0000081a nfiguration..... + 35020 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con + 35030 66696775 72617469 6f6e0000 00081a02 figuration...... + 35040 2328085f 7573625f 7374616e 64617264 #(._usb_standard + 35050 5f636d64 00000008 1a02232c 085f7573 _cmd......#,._us + 35060 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... + 35070 0e022330 085f7573 625f706f 7765725f ..#0._usb_power_ + 35080 6f666600 0000010e 02233408 5f757362 off......#4._usb + 35090 5f726573 65745f66 69666f00 0000010e _reset_fifo..... + 350a0 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt + 350b0 00000001 0e02233c 085f7573 625f6a75 ......#<._usb_ju + 350c0 6d705f62 6f6f7400 0000010e 02234008 mp_boot......#@. + 350d0 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature + 350e0 00000008 1a022344 085f7573 625f7365 ......#D._usb_se + 350f0 745f6665 61747572 65000000 081a0223 t_feature......# + 35100 48085f75 73625f73 65745f61 64647265 H._usb_set_addre + 35110 73730000 00081a02 234c085f 7573625f ss......#L._usb_ + 35120 6765745f 64657363 72697074 6f720000 get_descriptor.. + 35130 00081a02 2350085f 7573625f 6765745f ....#P._usb_get_ + 35140 73746174 75730000 00081a02 2354085f status......#T._ + 35150 7573625f 73657475 705f6465 73630000 usb_setup_desc.. + 35160 00010e02 2358085f 7573625f 7265675f ....#X._usb_reg_ + 35170 6f757400 0000010e 02235c08 5f757362 out......#\._usb + 35180 5f737461 7475735f 696e0000 00010e02 _status_in...... + 35190 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d + 351a0 61746100 0000010e 02236408 5f757362 ata......#d._usb + 351b0 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... + 351c0 0e022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in + 351d0 69740000 00010e02 236c0007 5f564445 it......#l.._VDE + 351e0 53430024 000012d4 086e6578 745f6465 SC.$.....next_de + 351f0 73630000 0012d402 23000862 75665f61 sc......#..buf_a + 35200 64647200 000012e8 02230408 6275665f ddr......#..buf_ + 35210 73697a65 00000012 ef022308 08646174 size......#..dat + 35220 615f6f66 66736574 00000012 ef02230a a_offset......#. + 35230 08646174 615f7369 7a650000 0012ef02 .data_size...... + 35240 230c0863 6f6e7472 6f6c0000 0012ef02 #..control...... + 35250 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. + 35260 0012fd02 23100003 00001248 04000941 ....#......H...A + 35270 5f55494e 54380000 00032203 000012db _UINT8...."..... + 35280 04000941 5f55494e 54313600 00000179 ...A_UINT16....y + 35290 0f000012 db140000 130a1013 00030000 ................ + 352a0 12480400 09564445 53430000 00124803 .H...VDESC....H. + 352b0 00001311 04000600 00131c01 03000013 ................ + 352c0 23040006 000012e8 01030000 13300400 #............0.. + 352d0 02010300 00133d04 00077664 6573635f ......=...vdesc_ + 352e0 61706900 14000013 b5085f69 6e697400 api......._init. + 352f0 00000add 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 35300 64657363 00000013 29022304 085f6765 desc....).#.._ge + 35310 745f6877 5f646573 63000000 13360223 t_hw_desc....6.# + 35320 08085f73 7761705f 76646573 63000000 .._swap_vdesc... + 35330 133f0223 0c087052 65736572 76656400 .?.#..pReserved. + 35340 0000040a 02231000 075f5642 55460020 .....#..._VBUF. + 35350 00001415 08646573 635f6c69 73740000 .....desc_list.. + 35360 00131c02 2300086e 6578745f 62756600 ....#..next_buf. + 35370 00001415 02230408 6275665f 6c656e67 .....#..buf_leng + 35380 74680000 0012ef02 23080872 65736572 th......#..reser + 35390 76656400 0000141c 02230a08 63747800 ved......#..ctx. + 353a0 000012fd 02230c00 03000013 b504000f .....#.......... + 353b0 000012db 02000014 29100100 03000013 ........)....... + 353c0 b5040009 56425546 00000013 b5030000 ....VBUF........ + 353d0 14300400 06000014 3a010300 00144104 .0......:.....A. + 353e0 00060000 143a0103 0000144e 04000201 .....:.....N.... + 353f0 03000014 5b040007 76627566 5f617069 ....[...vbuf_api + 35400 00140000 14d9085f 696e6974 0000000a ......._init.... + 35410 dd022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf + 35420 00000014 47022304 085f616c 6c6f635f ....G.#.._alloc_ + 35430 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. + 35440 00145402 2308085f 66726565 5f766275 ..T.#.._free_vbu + 35450 66000000 145d0223 0c087052 65736572 f....].#..pReser + 35460 76656400 0000040a 02231000 075f5f61 ved......#...__a + 35470 64665f64 65766963 65000400 0014fb08 df_device....... + 35480 64756d6d 79000000 01150223 00000300 dummy......#.... + 35490 00092104 00075f5f 6164665f 646d615f ..!...__adf_dma_ + 354a0 6d617000 0c000015 42086275 66000000 map.....B.buf... + 354b0 143a0223 00086473 5f616464 72000000 .:.#..ds_addr... + 354c0 14fb0223 04086473 5f6c656e 00000012 ...#..ds_len.... + 354d0 ef022308 00120c00 00157c08 5f5f7661 ..#.......|.__va + 354e0 5f73746b 00000003 78022300 085f5f76 _stk....x.#..__v + 354f0 615f7265 67000000 03780223 04085f5f a_reg....x.#..__ + 35500 76615f6e 64780000 00011502 23080009 va_ndx......#... + 35510 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add + 35520 725f7400 00000921 09616466 5f6f735f r_t....!.adf_os_ + 35530 646d615f 61646472 5f740000 00157c09 dma_addr_t....|. + 35540 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz + 35550 655f7400 00000921 09616466 5f6f735f e_t....!.adf_os_ + 35560 646d615f 73697a65 5f740000 0015ac07 dma_size_t...... + 35570 5f5f646d 615f7365 67730008 00001608 __dma_segs...... + 35580 08706164 64720000 00159502 2300086c .paddr......#..l + 35590 656e0000 0015c502 23040009 5f5f615f en......#...__a_ + 355a0 75696e74 33325f74 00000009 2109615f uint32_t....!.a_ + 355b0 75696e74 33325f74 00000016 080f0000 uint32_t........ + 355c0 15dc0800 00163710 00000761 64665f6f ......7....adf_o + 355d0 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... + 355e0 00167008 6e736567 73000000 161a0223 ..p.nsegs......# + 355f0 0008646d 615f7365 67730000 00162a02 ..dma_segs....*. + 35600 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. + 35610 000012db 09615f75 696e7438 5f740000 .....a_uint8_t.. + 35620 00167003 00001681 0400075f 5f73675f ..p........__sg_ + 35630 73656773 00080000 16c20876 61646472 segs.......vaddr + 35640 00000016 90022300 086c656e 00000016 ......#..len.... + 35650 1a022304 000f0000 16972000 0016cf10 ..#....... ..... + 35660 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist + 35670 00240000 1702086e 73656773 00000016 .$.....nsegs.... + 35680 1a022300 0873675f 73656773 00000016 ..#..sg_segs.... + 35690 c2022304 00121000 00174b08 76656e64 ..#.......K.vend + 356a0 6f720000 00161a02 23000864 65766963 or......#..devic + 356b0 65000000 161a0223 04087375 6276656e e......#..subven + 356c0 646f7200 0000161a 02230808 73756264 dor......#..subd + 356d0 65766963 65000000 161a0223 0c00046c evice......#...l + 356e0 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne + 356f0 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 + 35700 34000000 174b095f 5f615f75 696e7436 4....K.__a_uint6 + 35710 345f7400 00001765 09615f75 696e7436 4_t....e.a_uint6 + 35720 345f7400 00001773 14040000 17d10e41 4_t....s.......A + 35730 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 35740 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS + 35750 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I + 35760 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso + 35770 75726365 5f747970 655f7400 00001795 urce_type_t..... + 35780 12180000 181b0873 74617274 00000017 .......start.... + 35790 85022300 08656e64 00000017 85022308 ..#..end......#. + 357a0 08747970 65000000 17d10223 10000961 .type......#...a + 357b0 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id + 357c0 5f740000 00170203 0000181b 04001104 _t.............. + 357d0 0000185a 08706369 00000018 34022300 ...Z.pci....4.#. + 357e0 08726177 00000004 0a022300 00111000 .raw......#..... + 357f0 00187908 70636900 0000181b 02230008 ..y.pci......#.. + 35800 72617700 0000040a 02230000 09616466 raw......#...adf + 35810 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... + 35820 040a0961 64665f6f 735f7265 736f7572 ...adf_os_resour + 35830 63655f74 00000017 ed030000 188f0400 ce_t............ + 35840 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d + 35850 6174615f 74000000 185a0300 0018ad04 ata_t....Z...... + 35860 00030000 14d90400 095f5f61 64665f6f .........__adf_o + 35870 735f6465 76696365 5f740000 0018ce09 s_device_t...... + 35880 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 35890 000018d5 06000018 79010300 00190104 ........y....... + 358a0 00020103 0000190e 04000961 64665f6f ...........adf_o + 358b0 735f706d 5f740000 00040a02 01030000 s_pm_t.......... + 358c0 19280400 14040000 19680e41 44465f4f .(.......h.ADF_O + 358d0 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. + 358e0 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 358f0 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ + 35900 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... + 35910 19310961 64665f6f 735f6275 735f7265 .1.adf_os_bus_re + 35920 675f6461 74615f74 00000018 3b030000 g_data_t....;... + 35930 03220400 075f6164 665f6472 765f696e ."..._adf_drv_in + 35940 666f0020 00001a45 08647276 5f617474 fo. ...E.drv_att + 35950 61636800 00001907 02230008 6472765f ach......#..drv_ + 35960 64657461 63680000 00191002 23040864 detach......#..d + 35970 72765f73 75737065 6e640000 00192a02 rv_suspend....*. + 35980 23080864 72765f72 6573756d 65000000 #..drv_resume... + 35990 19100223 0c086275 735f7479 70650000 ...#..bus_type.. + 359a0 00196802 23100862 75735f64 61746100 ..h.#..bus_data. + 359b0 0000197f 02231408 6d6f645f 6e616d65 .....#..mod_name + 359c0 00000019 9a022318 0869666e 616d6500 ......#..ifname. + 359d0 0000199a 02231c00 09616466 5f6f735f .....#...adf_os_ + 359e0 68616e64 6c655f74 00000004 0a030000 handle_t........ + 359f0 16700400 02010201 095f5f61 64665f6f .p.......__adf_o + 35a00 735f7369 7a655f74 00000004 0d140400 s_size_t........ + 35a10 001a940e 415f4641 4c534500 000e415f ....A_FALSE...A_ + 35a20 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t + 35a30 0000001a 7a030000 15020400 095f5f61 ....z........__a + 35a40 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 35a50 00001aa2 02010d61 64665f6f 735f6361 .......adf_os_ca + 35a60 6368655f 73796e63 00040000 1b2c0e41 che_sync.....,.A + 35a70 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. + 35a80 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR + 35a90 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P + 35aa0 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY + 35ab0 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... + 35ac0 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn + 35ad0 635f7400 00001ac3 02010961 64665f6f c_t........adf_o + 35ae0 735f7369 7a655f74 0000001a 65060000 s_size_t....e... + 35af0 1b470109 6164665f 6f735f64 6d615f6d .G..adf_os_dma_m + 35b00 61705f74 0000001a a9030000 1b600400 ap_t.........`.. + 35b10 06000004 0a010300 001aa904 00060000 ................ + 35b20 040a0102 01060000 15950102 01047368 ..............sh + 35b30 6f727420 696e7400 05020941 5f494e54 ort int....A_INT + 35b40 31360000 001b9a09 5f5f615f 696e7431 16......__a_int1 + 35b50 365f7400 00001ba7 09615f69 6e743136 6_t......a_int16 + 35b60 5f740000 001bb404 7369676e 65642063 _t......signed c + 35b70 68617200 05010941 5f494e54 38000000 har....A_INT8... + 35b80 1bd4095f 5f615f69 6e74385f 74000000 ...__a_int8_t... + 35b90 1be30961 5f696e74 385f7400 00001bef ...a_int8_t..... + 35ba0 120c0000 1c660873 7570706f 72746564 .....f.supported + 35bb0 00000016 1a022300 08616476 65727469 ......#..adverti + 35bc0 7a656400 0000161a 02230408 73706565 zed......#..spee + 35bd0 64000000 1bc50223 08086475 706c6578 d......#..duplex + 35be0 0000001b ff02230a 08617574 6f6e6567 ......#..autoneg + 35bf0 00000016 8102230b 000f0000 16810600 ......#......... + 35c00 001c7310 05000761 64665f6e 65745f65 ..s....adf_net_e + 35c10 74686164 64720006 00001c97 08616464 thaddr.......add + 35c20 72000000 1c660223 0000095f 5f615f75 r....f.#...__a_u + 35c30 696e7431 365f7400 000012ef 09615f75 int16_t......a_u + 35c40 696e7431 365f7400 00001c97 120e0000 int16_t......... + 35c50 1cfb0865 74686572 5f64686f 73740000 ...ether_dhost.. + 35c60 001c6602 23000865 74686572 5f73686f ..f.#..ether_sho + 35c70 73740000 001c6602 23060865 74686572 st....f.#..ether + 35c80 5f747970 65000000 1ca90223 0c001214 _type......#.... + 35c90 00001dbc 1569705f 76657273 696f6e00 .....ip_version. + 35ca0 00001681 01000402 23001569 705f686c ........#..ip_hl + 35cb0 00000016 81010404 02230008 69705f74 .........#..ip_t + 35cc0 6f730000 00168102 23010869 705f6c65 os......#..ip_le + 35cd0 6e000000 1ca90223 02086970 5f696400 n......#..ip_id. + 35ce0 00001ca9 02230408 69705f66 7261675f .....#..ip_frag_ + 35cf0 6f666600 00001ca9 02230608 69705f74 off......#..ip_t + 35d00 746c0000 00168102 23080869 705f7072 tl......#..ip_pr + 35d10 6f746f00 00001681 02230908 69705f63 oto......#..ip_c + 35d20 6865636b 0000001c a902230a 0869705f heck......#..ip_ + 35d30 73616464 72000000 161a0223 0c086970 saddr......#..ip + 35d40 5f646164 64720000 00161a02 23100007 _daddr......#... + 35d50 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. + 35d60 0400001e 0e087470 69640000 001ca902 ......tpid...... + 35d70 23001570 72696f00 00001681 01000302 #..prio......... + 35d80 23021563 66690000 00168101 03010223 #..cfi.........# + 35d90 02157669 64000000 1ca90204 0c022302 ..vid.........#. + 35da0 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... + 35db0 001e3f15 72657300 00001681 01000402 ..?.res......... + 35dc0 23001576 616c0000 001ca902 040c0223 #..val.........# + 35dd0 0000120c 00001e7b 0872785f 62756673 .......{.rx_bufs + 35de0 697a6500 0000161a 02230008 72785f6e ize......#..rx_n + 35df0 64657363 00000016 1a022304 0874785f desc......#..tx_ + 35e00 6e646573 63000000 161a0223 08001208 ndesc......#.... + 35e10 00001ea1 08706f6c 6c656400 00001a94 .....polled..... + 35e20 02230008 706f6c6c 5f777400 0000161a .#..poll_wt..... + 35e30 02230400 0f000016 81400000 1eae103f .#.......@.....? + 35e40 00124600 001ed608 69665f6e 616d6500 ..F.....if_name. + 35e50 00001ea1 02230008 6465765f 61646472 .....#..dev_addr + 35e60 0000001c 66022340 00140400 001f0d0e ....f.#@........ + 35e70 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 35e80 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D + 35e90 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... + 35ea0 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask + 35eb0 5f740000 001ed607 6164665f 646d615f _t......adf_dma_ + 35ec0 696e666f 00080000 1f5a0864 6d615f6d info.....Z.dma_m + 35ed0 61736b00 00001f0d 02230008 73675f6e ask......#..sg_n + 35ee0 73656773 00000016 1a022304 00140400 segs......#..... + 35ef0 001fb00e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU + 35f00 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET + 35f10 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 35f20 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK + 35f30 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 + 35f40 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu + 35f50 6d5f7479 70655f74 0000001f 5a120800 m_type_t....Z... + 35f60 001ff308 74785f63 6b73756d 0000001f ....tx_cksum.... + 35f70 b0022300 0872785f 636b7375 6d000000 ..#..rx_cksum... + 35f80 1fb00223 04000961 64665f6e 65745f63 ...#...adf_net_c + 35f90 6b73756d 5f696e66 6f5f7400 00001fca ksum_info_t..... + 35fa0 14040000 204c0e41 44465f4e 45545f54 .... L.ADF_NET_T + 35fb0 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE + 35fc0 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF + 35fd0 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... + 35fe0 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type + 35ff0 5f740000 00200d12 10000020 a008636b _t... ..... ..ck + 36000 73756d5f 63617000 00001ff3 02230008 sum_cap......#.. + 36010 74736f00 0000204c 02230808 766c616e tso... L.#..vlan + 36020 5f737570 706f7274 65640000 00168102 _supported...... + 36030 230c0012 20000021 39087478 5f706163 #... ..!9.tx_pac + 36040 6b657473 00000016 1a022300 0872785f kets......#..rx_ + 36050 7061636b 65747300 0000161a 02230408 packets......#.. + 36060 74785f62 79746573 00000016 1a022308 tx_bytes......#. + 36070 0872785f 62797465 73000000 161a0223 .rx_bytes......# + 36080 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... + 36090 1a022310 0872785f 64726f70 70656400 ..#..rx_dropped. + 360a0 0000161a 02231408 72785f65 72726f72 .....#..rx_error + 360b0 73000000 161a0223 18087478 5f657272 s......#..tx_err + 360c0 6f727300 0000161a 02231c00 09616466 ors......#...adf + 360d0 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. + 360e0 001c7316 00002139 03000000 215e107f ..s...!9....!^.. + 360f0 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 36100 61646472 00030400 00219508 6e656c65 addr.....!..nele + 36110 6d000000 161a0223 00086d63 61737400 m......#..mcast. + 36120 00002150 02230400 09616466 5f6e6574 ..!P.#...adf_net + 36130 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t + 36140 0000001c 0d096164 665f6e65 745f636d ......adf_net_cm + 36150 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... + 36160 1e7b0961 64665f6e 65745f63 6d645f63 .{.adf_net_cmd_c + 36170 6b73756d 5f696e66 6f5f7400 00001ff3 ksum_info_t..... + 36180 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin + 36190 675f696e 666f5f74 0000001e 3f096164 g_info_t....?.ad + 361a0 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in + 361b0 666f5f74 0000001f 24096164 665f6e65 fo_t....$.adf_ne + 361c0 745f636d 645f7669 645f7400 00001ca9 t_cmd_vid_t..... + 361d0 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off + 361e0 6c6f6164 5f636170 5f740000 00206409 load_cap_t... d. + 361f0 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat + 36200 735f7400 000020a0 09616466 5f6e6574 s_t... ..adf_net + 36210 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... + 36220 215e0d61 64665f6e 65745f63 6d645f6d !^.adf_net_cmd_m + 36230 63617374 5f636170 00040000 22d70e41 cast_cap...."..A + 36240 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP + 36250 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST + 36260 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n + 36270 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 36280 5f740000 00228f18 03040000 23a9086c _t..."......#..l + 36290 696e6b5f 696e666f 00000021 95022300 ink_info...!..#. + 362a0 08706f6c 6c5f696e 666f0000 0021b202 .poll_info...!.. + 362b0 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... + 362c0 21cf0223 00087269 6e675f69 6e666f00 !..#..ring_info. + 362d0 000021ed 02230008 646d615f 696e666f ..!..#..dma_info + 362e0 00000022 0a022300 08766964 00000022 ..."..#..vid..." + 362f0 26022300 086f6666 6c6f6164 5f636170 &.#..offload_cap + 36300 00000022 3d022300 08737461 74730000 ..."=.#..stats.. + 36310 00225c02 2300086d 63617374 5f696e66 ."\.#..mcast_inf + 36320 6f000000 22750223 00086d63 6173745f o..."u.#..mcast_ + 36330 63617000 000022d7 02230000 14040000 cap..."..#...... + 36340 24000e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C + 36350 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 36360 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW + 36370 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 36380 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY + 36390 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 363a0 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# + 363b0 a9120800 00244008 72657375 6c740000 .....$@.result.. + 363c0 00240002 23000876 616c0000 00161a02 .$..#..val...... + 363d0 23040012 08000024 70087479 70650000 #......$p.type.. + 363e0 00204c02 2300086d 73730000 001ca902 . L.#..mss...... + 363f0 23040868 64725f6f 66660000 00168102 #..hdr_off...... + 36400 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q + 36410 68656164 000c0000 24af0868 65616400 head....$..head. + 36420 0000143a 02230008 7461696c 00000014 ...:.#..tail.... + 36430 3a022304 08716c65 6e000000 161a0223 :.#..qlen......# + 36440 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. + 36450 0000143a 03000016 90040003 0000161a ...:............ + 36460 04000201 06000013 1c010600 00161a01 ................ + 36470 06000016 90010600 00169001 03000012 ................ + 36480 fd040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q + 36490 68656164 5f740000 00247009 5f5f6164 head_t...$p.__ad + 364a0 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 364b0 0024f003 00002508 04000600 0024af01 .$....%......$.. + 364c0 06000024 af011404 00002628 0e415f53 ...$......&(.A_S + 364d0 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA + 364e0 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S + 364f0 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A + 36500 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. + 36510 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL + 36520 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP + 36530 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT + 36540 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ + 36550 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A + 36560 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... + 36570 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO + 36580 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU + 36590 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT + 365a0 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST + 365b0 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st + 365c0 61747573 5f740000 00253306 00002628 atus_t...%3...&( + 365d0 01060000 01150102 01096164 665f6e62 ..........adf_nb + 365e0 75665f74 00000024 af140400 00268d0e uf_t...$.....&.. + 365f0 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE + 36600 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM + 36610 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... + 36620 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ + 36630 74000000 26560600 00262801 02010961 t...&V...&(....a + 36640 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf + 36650 6f5f7400 00001637 03000026 ab040002 o_t....7...&.... + 36660 01020106 00002646 01060000 24af0102 ......&F....$... + 36670 01020106 00002646 01060000 24af0106 ......&F....$... + 36680 00002646 01060000 24af0106 00002646 ..&F....$.....&F + 36690 01020102 01060000 161a0106 00001690 ................ + 366a0 01020102 01060000 1b470106 00001a94 .........G...... + 366b0 01060000 1a940109 6164665f 6f735f73 ........adf_os_s + 366c0 676c6973 745f7400 000016cf 03000027 glist_t........' + 366d0 24040002 01020102 01060000 16900109 $............... + 366e0 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 366f0 00000025 08030000 274c0400 02010300 ...%....'L...... + 36700 0024f004 00020102 01020106 00002646 .$............&F + 36710 01060000 24af0106 0000161a 01060000 ....$........... + 36720 161a0106 00001a94 01060000 1a940106 ................ + 36730 00001fb0 01060000 161a0109 6164665f ............adf_ + 36740 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. + 36750 0000241e 03000027 a8040002 01020109 ..$....'........ + 36760 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. + 36770 00244003 000027cc 04000201 02010961 .$@...'........a + 36780 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. + 36790 0000040a 09616466 5f6e6574 5f766c61 .....adf_net_vla + 367a0 6e686472 5f740000 001dbc03 00002801 nhdr_t........(. + 367b0 04000600 00262801 06000026 28010201 .....&(....&(... + 367c0 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. + 367d0 00002850 0864756d 6d790000 00011502 ..(P.dummy...... + 367e0 23000002 01030000 28500400 02010300 #.......(P...... + 367f0 00285904 00075f48 49465f43 414c4c42 .(Y..._HIF_CALLB + 36800 41434b00 0c000028 ae087365 6e645f62 ACK....(..send_b + 36810 75665f64 6f6e6500 00002852 02230008 uf_done...(R.#.. + 36820 72656376 5f627566 00000028 5b022304 recv_buf...([.#. + 36830 08636f6e 74657874 00000004 0a022308 .context......#. + 36840 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. + 36850 00040a09 4849465f 434f4e46 49470000 ....HIF_CONFIG.. + 36860 00282f03 000028c0 04000600 0028ae01 .(/...(......(.. + 36870 03000028 d7040002 01030000 28e40400 ...(........(... + 36880 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... + 36890 28620300 0028ed04 00020103 00002906 (b...(........). + 368a0 04000600 00011501 03000029 0f040002 ...........).... + 368b0 01030000 291c0400 06000001 15010300 ....)........... + 368c0 00292504 00020103 00002932 04000600 .)%.......)2.... + 368d0 00011501 03000029 3b040002 01030000 .......);....... + 368e0 29480400 07686966 5f617069 00380000 )H...hif_api.8.. + 368f0 2aa1085f 696e6974 00000028 dd022300 *.._init...(..#. + 36900 085f7368 7574646f 776e0000 0028e602 ._shutdown...(.. + 36910 2304085f 72656769 73746572 5f63616c #.._register_cal + 36920 6c626163 6b000000 29080223 08085f67 lback...)..#.._g + 36930 65745f74 6f74616c 5f637265 6469745f et_total_credit_ + 36940 636f756e 74000000 29150223 0c085f73 count...)..#.._s + 36950 74617274 00000028 e6022310 085f636f tart...(..#.._co + 36960 6e666967 5f706970 65000000 291e0223 nfig_pipe...)..# + 36970 14085f73 656e645f 62756666 65720000 .._send_buffer.. + 36980 00292b02 2318085f 72657475 726e5f72 .)+.#.._return_r + 36990 6563765f 62756600 00002934 02231c08 ecv_buf...)4.#.. + 369a0 5f69735f 70697065 5f737570 706f7274 _is_pipe_support + 369b0 65640000 00294102 2320085f 6765745f ed...)A.# ._get_ + 369c0 6d61785f 6d73675f 6c656e00 00002941 max_msg_len...)A + 369d0 02232408 5f676574 5f726573 65727665 .#$._get_reserve + 369e0 645f6865 6164726f 6f6d0000 00291502 d_headroom...).. + 369f0 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. + 36a00 000028e6 02232c08 5f676574 5f646566 ..(..#,._get_def + 36a10 61756c74 5f706970 65000000 294a0223 ault_pipe...)J.# + 36a20 30087052 65736572 76656400 0000040a 0.pReserved..... + 36a30 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. + 36a40 0400002b 2a0e444d 415f454e 47494e45 ...+*.DMA_ENGINE + 36a50 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN + 36a60 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI + 36a70 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG + 36a80 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN + 36a90 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E + 36aa0 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ + 36ab0 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm + 36ac0 615f656e 67696e65 5f740000 002aa10d a_engine_t...*.. + 36ad0 646d615f 69667479 70650004 00002b77 dma_iftype....+w + 36ae0 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D + 36af0 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ + 36b00 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i + 36b10 66747970 655f7400 00002b3c 06000012 ftype_t...+<.... + 36b20 ef010300 002b8904 00020103 00002b96 .....+........+. + 36b30 04000201 0300002b 9f040006 00000921 .......+.......! + 36b40 01030000 2ba80400 06000012 ef010300 ....+........... + 36b50 002bb504 00060000 12ef0103 00002bc2 .+............+. + 36b60 04000600 00143a01 0300002b cf040002 ......:....+.... + 36b70 01030000 2bdc0400 07646d61 5f6c6962 ....+....dma_lib + 36b80 5f617069 00340000 2ce30874 785f696e _api.4..,..tx_in + 36b90 69740000 002b8f02 23000874 785f7374 it...+..#..tx_st + 36ba0 61727400 00002b98 02230408 72785f69 art...+..#..rx_i + 36bb0 6e697400 00002b8f 02230808 72785f63 nit...+..#..rx_c + 36bc0 6f6e6669 67000000 2ba10223 0c087278 onfig...+..#..rx + 36bd0 5f737461 72740000 002b9802 23100869 _start...+..#..i + 36be0 6e74725f 73746174 75730000 002bae02 ntr_status...+.. + 36bf0 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ + 36c00 bb022318 08666c75 73685f78 6d697400 ..#..flush_xmit. + 36c10 00002b98 02231c08 786d6974 5f646f6e ..+..#..xmit_don + 36c20 65000000 2bc80223 20087265 61705f78 e...+..# .reap_x + 36c30 6d697474 65640000 002bd502 23240872 mitted...+..#$.r + 36c40 6561705f 72656376 0000002b d5022328 eap_recv...+..#( + 36c50 08726574 75726e5f 72656376 0000002b .return_recv...+ + 36c60 de02232c 08726563 765f706b 74000000 ..#,.recv_pkt... + 36c70 2bc80223 3000075f 5f706369 5f736f66 +..#0..__pci_sof + 36c80 7463000c 00002d01 08737700 000028ed tc....-..sw...(. + 36c90 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc + 36ca0 5f740000 002ce303 00002d01 04000201 _t...,....-..... + 36cb0 0300002d 1b040006 000012db 01030000 ...-............ + 36cc0 2d240400 0d686966 5f706369 5f706970 -$...hif_pci_pip + 36cd0 655f7478 00040000 2d840e48 49465f50 e_tx....-..HIF_P + 36ce0 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI + 36cf0 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. + 36d00 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 36d10 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ + 36d20 70697065 5f74785f 74000000 2d310600 pipe_tx_t...-1.. + 36d30 002b2a01 0300002d 9b04000d 6869665f .+*....-....hif_ + 36d40 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... + 36d50 210e4849 465f5043 495f5049 50455f52 !.HIF_PCI_PIPE_R + 36d60 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 36d70 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ + 36d80 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P + 36d90 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI + 36da0 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA + 36db0 58000400 09686966 5f706369 5f706970 X....hif_pci_pip + 36dc0 655f7278 5f740000 002da806 00002b2a e_rx_t...-....+* + 36dd0 01030000 2e380400 07686966 5f706369 .....8...hif_pci + 36de0 5f617069 00240000 2f160870 63695f62 _api.$../..pci_b + 36df0 6f6f745f 696e6974 00000001 0e022300 oot_init......#. + 36e00 08706369 5f696e69 74000000 28dd0223 .pci_init...(..# + 36e10 04087063 695f7265 73657400 0000010e ..pci_reset..... + 36e20 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. + 36e30 00010e02 230c0870 63695f72 6561705f ....#..pci_reap_ + 36e40 786d6974 74656400 00002d1d 02231008 xmitted...-..#.. + 36e50 7063695f 72656170 5f726563 76000000 pci_reap_recv... + 36e60 2d1d0223 14087063 695f6765 745f7069 -..#..pci_get_pi + 36e70 70650000 002d2a02 23180870 63695f67 pe...-*.#..pci_g + 36e80 65745f74 785f656e 67000000 2da10223 et_tx_eng...-..# + 36e90 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng + 36ea0 0000002e 3e022320 0007676d 61635f61 ....>.# ..gmac_a + 36eb0 70690004 00002f3d 08676d61 635f626f pi..../=.gmac_bo + 36ec0 6f745f69 6e697400 0000010e 02230000 ot_init......#.. + 36ed0 0f000003 22060000 2f4a1005 00075f5f ....".../J....__ + 36ee0 65746868 6472000e 00002f80 08647374 ethhdr..../..dst + 36ef0 0000002f 3d022300 08737263 0000002f .../=.#..src.../ + 36f00 3d022306 08657479 70650000 0012ef02 =.#..etype...... + 36f10 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... + 36f20 2fce1572 65730000 0012db01 00020223 /..res.........# + 36f30 00157072 6f746f00 000012db 01020602 ..proto......... + 36f40 23000872 65735f6c 6f000000 12db0223 #..res_lo......# + 36f50 01087265 735f6869 00000012 ef022302 ..res_hi......#. + 36f60 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... + 36f70 300a0865 74680000 002f4a02 23000861 0..eth.../J.#..a + 36f80 74680000 002f8002 230e0861 6c69676e th.../..#..align + 36f90 5f706164 00000012 ef022312 00095f5f _pad......#...__ + 36fa0 676d6163 5f686472 5f740000 002fce07 gmac_hdr_t.../.. + 36fb0 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. + 36fc0 30540868 64720000 00300a02 23000867 0T.hdr...0..#..g + 36fd0 72616e00 000012ef 02231408 73770000 ran......#..sw.. + 36fe0 0028ed02 23180007 5f415f6f 735f6c69 .(..#..._A_os_li + 36ff0 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 + 37000 8d087665 7273696f 6e000000 01150223 ..version......# + 37010 00087461 626c6500 00000115 02230400 ..table......#.. + 37020 03000030 54040006 00000115 01030000 ...0T........... + 37030 30940400 03000004 0d040017 5f415f63 0..........._A_c + 37040 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 37050 5f746162 6c650001 b8000031 e4086861 _table.....1..ha + 37060 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. + 37070 0000309a 02230008 73746172 745f6273 ..0..#..start_bs + 37080 73000000 30a10223 04086170 705f7374 s...0..#..app_st + 37090 61727400 0000010e 02230808 6d656d00 art......#..mem. + 370a0 0000044d 02230c08 6d697363 00000005 ...M.#..misc.... + 370b0 6c022320 08707269 6e746600 00000142 l.# .printf....B + 370c0 02234408 75617274 00000002 0b02234c .#D.uart......#L + 370d0 08676d61 63000000 2f160223 6c087573 .gmac.../..#l.us + 370e0 62000000 0f9b0223 7008636c 6f636b00 b......#p.clock. + 370f0 00000ae4 0323e001 0874696d 65720000 .....#...timer.. + 37100 00078403 23840208 696e7472 0000000c ....#...intr.... + 37110 34032398 0208616c 6c6f6372 616d0000 4.#...allocram.. + 37120 00093c03 23c40208 726f6d70 00000008 ..<.#...romp.... + 37130 2e0323d0 02087764 745f7469 6d657200 ..#...wdt_timer. + 37140 00000e11 0323e002 08656570 0000000f .....#...eep.... + 37150 3f0323fc 02087374 72696e67 00000006 ?.#...string.... + 37160 9003238c 03087461 736b6c65 74000000 ..#...tasklet... + 37170 0a390323 a4030007 5f555342 5f464946 .9.#...._USB_FIF + 37180 4f5f434f 4e464947 00100000 32570867 O_CONFIG....2W.g + 37190 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. + 371a0 00144702 23000872 6563765f 636f6d6d ..G.#..recv_comm + 371b0 616e6400 0000145d 02230408 6765745f and....].#..get_ + 371c0 6576656e 745f6275 66000000 14470223 event_buf....G.# + 371d0 08087365 6e645f65 76656e74 5f646f6e ..send_event_don + 371e0 65000000 145d0223 0c000955 53425f46 e....].#...USB_F + 371f0 49464f5f 434f4e46 49470000 0031e403 IFO_CONFIG...1.. + 37200 00003257 04000201 03000032 73040007 ..2W.......2s... + 37210 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 + 37220 c9085f69 6e697400 00003275 02230008 .._init...2u.#.. + 37230 5f656e61 626c655f 6576656e 745f6973 _enable_event_is + 37240 72000000 010e0223 04087052 65736572 r......#..pReser + 37250 76656400 0000040a 02230800 0f000016 ved......#...... + 37260 81020000 32d61001 00075f48 54435f46 ....2....._HTC_F + 37270 52414d45 5f484452 00080000 33480845 RAME_HDR....3H.E + 37280 6e64706f 696e7449 44000000 16810223 ndpointID......# + 37290 0008466c 61677300 00001681 02230108 ..Flags......#.. + 372a0 5061796c 6f61644c 656e0000 001ca902 PayloadLen...... + 372b0 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. + 372c0 000032c9 02230408 486f7374 5365714e ..2..#..HostSeqN + 372d0 756d0000 001ca902 23060012 02000033 um......#......3 + 372e0 61084d65 73736167 65494400 00001ca9 a.MessageID..... + 372f0 02230000 12080000 33c4084d 65737361 .#......3..Messa + 37300 67654944 0000001c a9022300 08437265 geID......#..Cre + 37310 64697443 6f756e74 0000001c a9022302 ditCount......#. + 37320 08437265 64697453 697a6500 00001ca9 .CreditSize..... + 37330 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints + 37340 00000016 81022306 085f5061 64310000 ......#.._Pad1.. + 37350 00168102 23070012 0a000034 5b084d65 ....#......4[.Me + 37360 73736167 65494400 00001ca9 02230008 ssageID......#.. + 37370 53657276 69636549 44000000 1ca90223 ServiceID......# + 37380 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag + 37390 73000000 1ca90223 0408446f 776e4c69 s......#..DownLi + 373a0 6e6b5069 70654944 00000016 81022306 nkPipeID......#. + 373b0 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... + 373c0 16810223 07085365 72766963 654d6574 ...#..ServiceMet + 373d0 614c656e 67746800 00001681 02230808 aLength......#.. + 373e0 5f506164 31000000 16810223 0900120a _Pad1......#.... + 373f0 000034e3 084d6573 73616765 49440000 ..4..MessageID.. + 37400 001ca902 23000853 65727669 63654944 ....#..ServiceID + 37410 0000001c a9022302 08537461 74757300 ......#..Status. + 37420 00001681 02230408 456e6470 6f696e74 .....#..Endpoint + 37430 49440000 00168102 2305084d 61784d73 ID......#..MaxMs + 37440 6753697a 65000000 1ca90223 06085365 gSize......#..Se + 37450 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 37460 00001681 02230808 5f506164 31000000 .....#.._Pad1... + 37470 16810223 09001202 000034fc 084d6573 ...#......4..Mes + 37480 73616765 49440000 001ca902 23000012 sageID......#... + 37490 04000035 38084d65 73736167 65494400 ...58.MessageID. + 374a0 00001ca9 02230008 50697065 49440000 .....#..PipeID.. + 374b0 00168102 23020843 72656469 74436f75 ....#..CreditCou + 374c0 6e740000 00168102 23030012 04000035 nt......#......5 + 374d0 6f084d65 73736167 65494400 00001ca9 o.MessageID..... + 374e0 02230008 50697065 49440000 00168102 .#..PipeID...... + 374f0 23020853 74617475 73000000 16810223 #..Status......# + 37500 03001202 00003596 08526563 6f726449 ......5..RecordI + 37510 44000000 16810223 00084c65 6e677468 D......#..Length + 37520 00000016 81022301 00120200 0035c008 ......#......5.. + 37530 456e6470 6f696e74 49440000 00168102 EndpointID...... + 37540 23000843 72656469 74730000 00168102 #..Credits...... + 37550 23010012 04000036 0108456e 64706f69 #......6..Endpoi + 37560 6e744944 00000016 81022300 08437265 ntID......#..Cre + 37570 64697473 00000016 81022301 08546774 dits......#..Tgt + 37580 43726564 69745365 714e6f00 00001ca9 CreditSeqNo..... + 37590 02230200 0f000016 81040000 360e1003 .#..........6... + 375a0 00120600 00364a08 50726556 616c6964 .....6J.PreValid + 375b0 00000016 81022300 084c6f6f 6b416865 ......#..LookAhe + 375c0 61640000 00360102 23010850 6f737456 ad...6..#..PostV + 375d0 616c6964 00000016 81022305 0009706f alid......#...po + 375e0 6f6c5f68 616e646c 655f7400 0000040a ol_handle_t..... + 375f0 06000036 4a010300 00365d04 00020103 ...6J....6]..... + 37600 0000366a 04001404 000036e8 0e504f4f ..6j......6..POO + 37610 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL + 37620 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S + 37630 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P + 37640 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E + 37650 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W + 37660 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO + 37670 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ + 37680 504f4f4c 5f494400 00003673 02010300 POOL_ID...6s.... + 37690 0036f904 00060000 26460103 00003702 .6......&F....7. + 376a0 04000600 00264601 03000037 0f040002 .....&F....7.... + 376b0 01030000 371c0400 07627566 5f706f6f ....7....buf_poo + 376c0 6c5f6170 69001c00 0037be08 5f696e69 l_api....7.._ini + 376d0 74000000 36630223 00085f73 68757464 t...6c.#.._shutd + 376e0 6f776e00 0000366c 02230408 5f637265 own...6l.#.._cre + 376f0 6174655f 706f6f6c 00000036 fb022308 ate_pool...6..#. + 37700 085f616c 6c6f635f 62756600 00003708 ._alloc_buf...7. + 37710 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a + 37720 6c69676e 00000037 15022310 085f6672 lign...7..#.._fr + 37730 65655f62 75660000 00371e02 23140870 ee_buf...7..#..p + 37740 52657365 72766564 00000004 0a022318 Reserved......#. + 37750 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. + 37760 0000389d 08704e65 78740000 00389d02 ..8..pNext...8.. + 37770 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs + 37780 67000000 39520223 04085072 6f636573 g...9R.#..Proces + 37790 7353656e 64427566 66657243 6f6d706c sSendBufferCompl + 377a0 65746500 0000395b 02230808 50726f63 ete...9[.#..Proc + 377b0 65737343 6f6e6e65 63740000 00396f02 essConnect...9o. + 377c0 230c0853 65727669 63654944 00000012 #..ServiceID.... + 377d0 ef022310 08536572 76696365 466c6167 ..#..ServiceFlag + 377e0 73000000 12ef0223 12084d61 78537663 s......#..MaxSvc + 377f0 4d736753 697a6500 000012ef 02231408 MsgSize......#.. + 37800 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL + 37810 696d6974 00000012 ef022316 08536572 imit......#..Ser + 37820 76696365 43747800 0000040a 02231800 viceCtx......#.. + 37830 03000037 be040014 04000039 3b19454e ...7.......9;.EN + 37840 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... + 37850 ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E + 37860 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO + 37870 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 + 37880 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E + 37890 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO + 378a0 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 + 378b0 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E + 378c0 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H + 378d0 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. + 378e0 0038a402 01030000 39500400 02010300 .8......9P...... + 378f0 00395904 00030000 01150400 06000012 .9Y............. + 37900 db010300 00396904 00030000 37be0400 .....9i.....7... + 37910 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... + 37920 39ee0843 72656469 7453697a 65000000 9..CreditSize... + 37930 01150223 00084372 65646974 4e756d62 ...#..CreditNumb + 37940 65720000 00011502 2304084f 5348616e er......#..OSHan + 37950 646c6500 00001a45 02230808 48494648 dle....E.#..HIFH + 37960 616e646c 65000000 28ae0223 0c08506f andle...(..#..Po + 37970 6f6c4861 6e646c65 00000036 4a022310 olHandle...6J.#. + 37980 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE + 37990 58540002 00003a2a 08656e64 5f706f69 XT....:*.end_poi + 379a0 6e740000 0012db02 23000868 74635f66 nt......#..htc_f + 379b0 6c616773 00000012 db022301 00096874 lags......#...ht + 379c0 635f6861 6e646c65 5f740000 00040a09 c_handle_t...... + 379d0 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE + 379e0 54455f43 42000000 010e0948 54435f43 TE_CB......HTC_C + 379f0 4f4e4649 47000000 397d0300 003a5704 ONFIG...9}...:W. + 37a00 00060000 3a2a0103 00003a6e 04000201 ....:*....:n.... + 37a10 0300003a 7b040009 4854435f 53455256 ...:{...HTC_SERV + 37a20 49434500 000037be 0300003a 84040002 ICE...7....:.... + 37a30 01030000 3a9c0400 02010300 003aa504 ....:........:.. + 37a40 00020103 00003aae 04000600 00011501 ......:......... + 37a50 0300003a b7040007 6874635f 61706973 ...:....htc_apis + 37a60 00340000 3c34085f 4854435f 496e6974 .4..<4._HTC_Init + 37a70 0000003a 74022300 085f4854 435f5368 ...:t.#.._HTC_Sh + 37a80 7574646f 776e0000 003a7d02 2304085f utdown...:}.#.._ + 37a90 4854435f 52656769 73746572 53657276 HTC_RegisterServ + 37aa0 69636500 00003a9e 02230808 5f485443 ice...:..#.._HTC + 37ab0 5f526561 64790000 003a7d02 230c085f _Ready...:}.#.._ + 37ac0 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 37ad0 73000000 3aa70223 10085f48 54435f52 s...:..#.._HTC_R + 37ae0 65747572 6e427566 66657273 4c697374 eturnBuffersList + 37af0 0000003a b0022314 085f4854 435f5365 ...:..#.._HTC_Se + 37b00 6e644d73 67000000 3aa70223 18085f48 ndMsg...:..#.._H + 37b10 54435f47 65745265 73657276 65644865 TC_GetReservedHe + 37b20 6164726f 6f6d0000 003abd02 231c085f adroom...:..#.._ + 37b30 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl + 37b40 65720000 00285b02 2320085f 4854435f er...([.# ._HTC_ + 37b50 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. + 37b60 00002852 02232408 5f485443 5f436f6e ..(R.#$._HTC_Con + 37b70 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs + 37b80 67000000 39520223 28085f48 54435f43 g...9R.#(._HTC_C + 37b90 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 37ba0 53656e64 436f6d70 6c657465 00000039 SendComplete...9 + 37bb0 5b02232c 08705265 73657276 65640000 [.#,.pReserved.. + 37bc0 00040a02 23300007 686f7374 5f617070 ....#0..host_app + 37bd0 5f617265 615f7300 0400003c 6408776d _area_s......t + 37d70 75706c65 4e756d4c 0000001c a9022300 upleNumL......#. + 37d80 08747570 6c654e75 6d480000 001ca902 .tupleNumH...... + 37d90 23020861 76740000 003dc802 23040012 #..avt...=..#... + 37da0 0100003e 2e086265 61636f6e 50656e64 ...>..beaconPend + 37db0 696e6743 6f756e74 00000016 81022300 ingCount......#. + 37dc0 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI + 37dd0 47001000 003e9708 48746348 616e646c G....>..HtcHandl + 37de0 65000000 3a2a0223 0008506f 6f6c4861 e...:*.#..PoolHa + 37df0 6e646c65 00000036 4a022304 084d6178 ndle...6J.#..Max + 37e00 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... + 37e10 15022308 084d6178 4576656e 74457674 ..#..MaxEventEvt + 37e20 73000000 01150223 0c000201 0300003e s......#.......> + 37e30 97040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND + 37e40 4c455200 00003e99 075f574d 495f4449 LER...>.._WMI_DI + 37e50 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... + 37e60 3f000870 436d6448 616e646c 65720000 ?..pCmdHandler.. + 37e70 003ea002 23000843 6d644944 00000012 .>..#..CmdID.... + 37e80 ef022304 08466c61 67730000 0012ef02 ..#..Flags...... + 37e90 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC + 37ea0 485f5441 424c4500 1000003f 6108704e H_TABLE....?a.pN + 37eb0 65787400 00003f61 02230008 70436f6e ext...?a.#..pCon + 37ec0 74657874 00000004 0a022304 084e756d text......#..Num + 37ed0 6265724f 66456e74 72696573 00000001 berOfEntries.... + 37ee0 15022308 08705461 626c6500 00003f80 ..#..pTable...?. + 37ef0 02230c00 0300003f 00040009 574d495f .#.....?....WMI_ + 37f00 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. + 37f10 003eb503 00003f68 04000300 003f0004 .>....?h.....?.. + 37f20 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX + 37f30 54000000 39ee0d57 4d495f45 56545f43 T...9..WMI_EVT_C + 37f40 4c415353 00040000 40181957 4d495f45 LASS....@..WMI_E + 37f50 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... + 37f60 ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 37f70 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI + 37f80 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R + 37f90 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C + 37fa0 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ + 37fb0 4556545f 434c4153 53000000 3fa3075f EVT_CLASS...?.._ + 37fc0 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. + 37fd0 0c000040 76084874 63427566 43747800 ...@v.HtcBufCtx. + 37fe0 00003f8e 02230008 4576656e 74436c61 ..?..#..EventCla + 37ff0 73730000 00401802 23040846 6c616773 ss...@..#..Flags + 38000 00000012 ef022308 0009776d 695f6861 ......#...wmi_ha + 38010 6e646c65 5f740000 00040a09 574d495f ndle_t......WMI_ + 38020 5356435f 434f4e46 49470000 003e2e03 SVC_CONFIG...>.. + 38030 00004088 04000600 00407601 03000040 ..@......@v....@ + 38040 a3040009 574d495f 44495350 41544348 ....WMI_DISPATCH + 38050 5f544142 4c450000 003f0003 000040b0 _TABLE...?....@. + 38060 04000201 03000040 cf040006 00002646 .......@......&F + 38070 01030000 40d80400 02010300 0040e504 ....@........@.. + 38080 00060000 01150103 000040ee 04000201 ..........@..... + 38090 03000040 fb040006 000012db 01030000 ...@............ + 380a0 41040400 075f776d 695f7376 635f6170 A...._wmi_svc_ap + 380b0 6973002c 0000424c 085f574d 495f496e is.,..BL._WMI_In + 380c0 69740000 0040a902 2300085f 574d495f it...@..#.._WMI_ + 380d0 52656769 73746572 44697370 61746368 RegisterDispatch + 380e0 5461626c 65000000 40d10223 04085f57 Table...@..#.._W + 380f0 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... + 38100 40de0223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE + 38110 76656e74 00000040 e702230c 085f574d vent...@..#.._WM + 38120 495f4765 7450656e 64696e67 4576656e I_GetPendingEven + 38130 7473436f 756e7400 000040f4 02231008 tsCount...@..#.. + 38140 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet + 38150 6548616e 646c6572 00000039 5b022314 eHandler...9[.#. + 38160 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl + 38170 45700000 0040f402 2318085f 574d495f Ep...@..#.._WMI_ + 38180 53687574 646f776e 00000040 fd02231c Shutdown...@..#. + 38190 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag + 381a0 6548616e 646c6572 00000039 52022320 eHandler...9R.# + 381b0 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon + 381c0 6e656374 00000041 0a022324 08705265 nect...A..#$.pRe + 381d0 73657276 65640000 00040a02 23280007 served......#(.. + 381e0 7a73446d 61446573 63001400 0042ce08 zsDmaDesc....B.. + 381f0 6374726c 00000001 79022300 08737461 ctrl....y.#..sta + 38200 74757300 00000179 02230208 746f7461 tus....y.#..tota + 38210 6c4c656e 00000001 79022304 08646174 lLen....y.#..dat + 38220 6153697a 65000000 01790223 06086c61 aSize....y.#..la + 38230 73744164 64720000 0042ce02 23080864 stAddr...B..#..d + 38240 61746141 64647200 0000019d 02230c08 ataAddr......#.. + 38250 6e657874 41646472 00000042 ce022310 nextAddr...B..#. + 38260 00030000 424c0400 03000042 4c040007 ....BL.....BL... + 38270 7a73446d 61517565 75650008 0000430e zsDmaQueue....C. + 38280 08686561 64000000 42d50223 00087465 .head...B..#..te + 38290 726d696e 61746f72 00000042 d5022304 rminator...B..#. + 382a0 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. + 382b0 00004372 08686561 64000000 42d50223 ..Cr.head...B..# + 382c0 00087465 726d696e 61746f72 00000042 ..terminator...B + 382d0 d5022304 08786d69 7465645f 6275665f ..#..xmited_buf_ + 382e0 68656164 00000014 3a022308 08786d69 head....:.#..xmi + 382f0 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... + 38300 3a02230c 00020103 00004372 04000300 :.#.......Cr.... + 38310 0042dc04 00020103 00004382 04000300 .B........C..... + 38320 00430e04 00020103 00004392 04000201 .C........C..... + 38330 03000043 9b040002 01030000 43a40400 ...C........C... + 38340 06000014 3a010300 0043ad04 00020103 ....:....C...... + 38350 000043ba 04000600 00143a01 03000043 ..C.......:....C + 38360 c3040002 01030000 43d00400 06000001 ........C....... + 38370 15010300 0043d904 00060000 42d50103 .....C......B... + 38380 000043e6 04000201 03000043 f3040007 ..C........C.... + 38390 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ + 383a0 00004569 085f696e 69740000 00437402 ..Ei._init...Ct. + 383b0 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu + 383c0 65000000 43840223 04085f69 6e69745f e...C..#.._init_ + 383d0 74785f71 75657565 00000043 94022308 tx_queue...C..#. + 383e0 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu + 383f0 65000000 439d0223 0c085f78 6d69745f e...C..#.._xmit_ + 38400 62756600 000043a6 02231008 5f666c75 buf...C..#.._flu + 38410 73685f78 6d697400 00004384 02231408 sh_xmit...C..#.. + 38420 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. + 38430 0043b302 2318085f 72657475 726e5f72 .C..#.._return_r + 38440 6563765f 62756600 000043bc 02231c08 ecv_buf...C..#.. + 38450 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf + 38460 00000043 c9022320 085f7377 61705f64 ...C..# ._swap_d + 38470 61746100 000043d2 02232408 5f686173 ata...C..#$._has + 38480 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. + 38490 0043df02 2328085f 64657363 5f64756d .C..#(._desc_dum + 384a0 70000000 43840223 2c085f67 65745f70 p...C..#,._get_p + 384b0 61636b65 74000000 43ec0223 30085f72 acket...C..#0._r + 384c0 65636c61 696d5f70 61636b65 74000000 eclaim_packet... + 384d0 43f50223 34085f70 75745f70 61636b65 C..#4._put_packe + 384e0 74000000 43f50223 38087052 65736572 t...C..#8.pReser + 384f0 76656400 0000040a 02233c00 095f415f ved......#<.._A_ + 38500 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 38510 6e5f7461 626c655f 74000000 30a80957 n_table_t...0..W + 38520 4d495f53 56435f41 50495300 00004111 MI_SVC_APIS...A. + 38530 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 38540 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. + 38550 00469708 636d6e6f 73000000 45690223 .F..cmnos...Ei.# + 38560 00086462 67000000 03d70323 b8030868 ..dbg......#...h + 38570 69660000 00295103 23c00308 68746300 if...)Q.#...htc. + 38580 00003ac4 0323f803 08776d69 5f737663 ..:..#...wmi_svc + 38590 5f617069 00000045 8b0323ac 04087573 _api...E..#...us + 385a0 62666966 6f5f6170 69000000 327c0323 bfifo_api...2|.# + 385b0 d8040862 75665f70 6f6f6c00 00003725 ...buf_pool...7% + 385c0 0323e404 08766275 66000000 14640323 .#...vbuf....d.# + 385d0 80050876 64657363 00000013 46032394 ...vdesc....F.#. + 385e0 0508616c 6c6f6372 616d0000 00093c03 ..allocram....<. + 385f0 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. + 38600 0043fc03 23b40508 646d615f 6c696200 .C..#...dma_lib. + 38610 00002be5 0323f405 08686966 5f706369 ..+..#...hif_pci + 38620 0000002e 450323a8 0600095f 415f6d61 ....E.#...._A_ma + 38630 67706965 5f696e64 69726563 74696f6e gpie_indirection + 38640 5f746162 6c655f74 00000045 9d07636d _table_t...E..cm + 38650 6e6f735f 74696d65 725f7300 14000047 nos_timer_s....G + 38660 35087469 6d65725f 6e657874 00000047 5.timer_next...G + 38670 35022300 0874696d 65725f65 78706972 5.#..timer_expir + 38680 65000000 09210223 04087469 6d65725f e....!.#..timer_ + 38690 70657269 6f640000 00092102 23080874 period....!.#..t + 386a0 696d6572 5f66756e 6374696f 6e000000 imer_function... + 386b0 07740223 0c087469 6d65725f 61726700 .t.#..timer_arg. + 386c0 0000040a 02231000 03000046 ba040003 .....#.....F.... + 386d0 000046ba 04000963 6d6e6f73 5f74696d ..F....cmnos_tim + 386e0 65725f74 00000046 ba030000 47430400 er_t...F....GC.. + 386f0 1a74696d 65725f6c 69737400 00004756 .timer_list...GV + 38700 05030050 0a340103 00000784 04000201 ...P.4.......... + 38710 1b012163 6d6e6f73 5f74696d 65725f73 ..!cmnos_timer_s + 38720 6574666e 00010103 92012002 9000008e etfn...... ..... + 38730 2b9c008e 2bab0000 47e61c01 21415f74 +...+...G...!A_t + 38740 696d6572 00000007 3901521c 01217066 imer....9.R..!pf + 38750 756e6374 696f6e00 00000774 01531c01 unction....t.S.. + 38760 21706172 67000000 040a0154 1d707469 !parg......T.pti + 38770 6d657200 00004756 001b012e 636d6e6f mer...GV....cmno + 38780 735f7469 6d65725f 61726d00 01010392 s_timer_arm..... + 38790 01200290 00008e2b ac008e2b ee000048 . .....+...+...H + 387a0 791c012e 415f7469 6d657200 00000739 y...A_timer....9 + 387b0 01521c01 2e6d696c 6c697365 636f6e64 .R...millisecond + 387c0 73000000 040d0153 1d707469 6d657200 s......S.ptimer. + 387d0 00004756 1d707265 76000000 47561d74 ..GV.prev...GV.t + 387e0 696d6572 5f746963 6b730000 0009211d imer_ticks....!. + 387f0 74696d65 725f6578 70697265 00000009 timer_expire.... + 38800 211d6375 72720000 00475600 1b015363 !.curr...GV...Sc + 38810 6d6e6f73 5f74696d 65725f64 69736172 mnos_timer_disar + 38820 6d000101 03920120 02900000 8e2bf000 m...... .....+.. + 38830 8e2c2000 0048d61c 0153415f 74696d65 ., ..H...SA_time + 38840 72000000 07390152 1d707469 6d657200 r....9.R.ptimer. + 38850 00004756 1d707265 76000000 47561d63 ..GV.prev...GV.c + 38860 75727200 00004756 001e0172 636d6e6f urr...GV...rcmno + 38870 735f7469 6d65725f 696e6974 00010103 s_timer_init.... + 38880 92012002 9000008e 2c20008e 2c2c1b01 .. ....., ..,,.. + 38890 79636d6e 6f735f74 696d6572 5f68616e ycmnos_timer_han + 388a0 646c6572 00010103 92012002 9000008e dler...... ..... + 388b0 2c2c008e 2c590000 49341d70 74696d65 ,,..,Y..I4.ptime + 388c0 72000000 4756001f 0189636d 6e6f735f r...GV....cmnos_ + 388d0 74696d65 725f6d6f 64756c65 5f696e73 timer_module_ins + 388e0 74616c6c 00010103 92012002 9000008e tall...... ..... + 388f0 2c5c008e 2c7a1c01 8974626c 00000047 ,\..,z...tbl...G + 38900 74015200 00000000 493f0002 0000146e t.R.....I?.....n + 38910 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 38920 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 38930 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 38940 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 38950 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 38960 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 38970 636d6e6f 732f7764 742f7372 632f636d cmnos/wdt/src/cm + 38980 6e6f735f 7764742e 63002f72 6f6f742f nos_wdt.c./root/ + 38990 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 389a0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 389b0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 389c0 6f732f77 64740078 742d7863 6320666f os/wdt.xt-xcc fo + 389d0 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali + 389e0 676e5f69 6e737472 75637469 6f6e733d gn_instructions= + 389f0 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: + 38a00 73706163 65000100 0000c4c8 02010300 space........... + 38a10 00010604 0004696e 74000504 04636861 ......int....cha + 38a20 72000701 05000001 16050000 01160300 r............... + 38a30 00012304 00060000 010f0103 0000012f ..#............/ + 38a40 04000770 72696e74 665f6170 69000800 ...printf_api... + 38a50 00017308 5f707269 6e74665f 696e6974 ..s._printf_init + 38a60 00000001 08022300 085f7072 696e7466 ......#.._printf + 38a70 00000001 35022304 00047368 6f727420 ....5.#...short + 38a80 756e7369 676e6564 20696e74 00070209 unsigned int.... + 38a90 75696e74 31365f74 00000001 73046c6f uint16_t....s.lo + 38aa0 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 38ab0 07040975 696e7433 325f7400 00000197 ...uint32_t..... + 38ac0 07756172 745f6669 666f0008 00000205 .uart_fifo...... + 38ad0 08737461 72745f69 6e646578 00000001 .start_index.... + 38ae0 89022300 08656e64 5f696e64 65780000 ..#..end_index.. + 38af0 00018902 2302086f 76657272 756e5f65 ....#..overrun_e + 38b00 72720000 0001ac02 23040007 75617274 rr......#...uart + 38b10 5f617069 00200000 02be085f 75617274 _api. ....._uart + 38b20 5f696e69 74000000 03150223 00085f75 _init......#.._u + 38b30 6172745f 63686172 5f707574 00000003 art_char_put.... + 38b40 3c022304 085f7561 72745f63 6861725f <.#.._uart_char_ + 38b50 67657400 00000350 02230808 5f756172 get....P.#.._uar + 38b60 745f7374 725f6f75 74000000 03590223 t_str_out....Y.# + 38b70 0c085f75 6172745f 7461736b 00000001 .._uart_task.... + 38b80 08022310 085f7561 72745f73 74617475 ..#.._uart_statu + 38b90 73000000 03150223 14085f75 6172745f s......#.._uart_ + 38ba0 636f6e66 69670000 00036202 2318085f config....b.#.._ + 38bb0 75617274 5f687769 6e697400 0000036b uart_hwinit....k + 38bc0 02231c00 03000002 05040007 75617274 .#..........uart + 38bd0 5f626c6b 00100000 030f0864 65627567 _blk.......debug + 38be0 5f6d6f64 65000000 01890223 00086261 _mode......#..ba + 38bf0 75640000 00018902 2302085f 75617274 ud......#.._uart + 38c00 00000002 be022304 085f7478 00000001 ......#.._tx.... + 38c10 ba022308 00060000 01ac0103 0000030f ..#............. + 38c20 04000475 6e736967 6e656420 63686172 ...unsigned char + 38c30 00070109 75696e74 385f7400 0000031c ....uint8_t..... + 38c40 02010300 00033a04 00030000 032d0400 ......:......-.. + 38c50 06000001 89010300 00034a04 00020103 ..........J..... + 38c60 00000357 04000201 03000003 60040002 ...W........`... + 38c70 01030000 03690400 03000001 16040006 .....i.......... + 38c80 0000010f 01030000 03790400 0744425f .........y...DB_ + 38c90 434f4d4d 414e445f 53545255 4354000c COMMAND_STRUCT.. + 38ca0 000003d1 08636d64 5f737472 00000003 .....cmd_str.... + 38cb0 72022300 0868656c 705f7374 72000000 r.#..help_str... + 38cc0 03720223 0408636d 645f6675 6e630000 .r.#..cmd_func.. + 38cd0 00037f02 23080007 6462675f 61706900 ....#...dbg_api. + 38ce0 08000004 04085f64 62675f69 6e697400 ......_dbg_init. + 38cf0 00000108 02230008 5f646267 5f746173 .....#.._dbg_tas + 38d00 6b000000 01080223 04000a04 0004756e k......#......un + 38d10 7369676e 65642069 6e740007 04060000 signed int...... + 38d20 04040103 00000417 04000b0b 03000004 ................ + 38d30 25040006 00000404 01030000 042d0400 %............-.. + 38d40 06000001 0f010300 00043a04 00076d65 ..........:...me + 38d50 6d5f6170 69001400 0004a908 5f6d656d m_api......._mem + 38d60 5f696e69 74000000 01080223 00085f6d _init......#.._m + 38d70 656d7365 74000000 041d0223 04085f6d emset......#.._m + 38d80 656d6370 79000000 04330223 08085f6d emcpy....3.#.._m + 38d90 656d6d6f 76650000 00043302 230c085f emmove....3.#.._ + 38da0 6d656d63 6d700000 00044002 2310000c memcmp....@.#... + 38db0 72656769 73746572 5f64756d 705f7300 register_dump_s. + 38dc0 00010300 0004a904 00020103 000004c3 ................ + 38dd0 04000201 03000004 cc040006 0000010f ................ + 38de0 01030000 04d50400 0d686f73 7469665f .........hostif_ + 38df0 73000400 0005310e 4849465f 55534200 s.....1.HIF_USB. + 38e00 000e4849 465f5043 49450001 0e484946 ..HIF_PCIE...HIF + 38e10 5f474d41 4300020e 4849465f 50434900 _GMAC...HIF_PCI. + 38e20 030e4849 465f4e55 4d00040e 4849465f ..HIF_NUM...HIF_ + 38e30 4e4f4e45 00050009 415f484f 53544946 NONE....A_HOSTIF + 38e40 00000004 e2060000 05310103 0000053f .........1.....? + 38e50 04000600 00032d01 03000005 4c040006 ......-.....L... + 38e60 00000189 01030000 05590400 076d6973 .........Y...mis + 38e70 635f6170 69002400 00064908 5f737973 c_api.$...I._sys + 38e80 74656d5f 72657365 74000000 01080223 tem_reset......# + 38e90 00085f6d 61635f72 65736574 00000001 .._mac_reset.... + 38ea0 08022304 085f6173 73666169 6c000000 ..#.._assfail... + 38eb0 04c50223 08085f6d 6973616c 69676e65 ...#.._misaligne + 38ec0 645f6c6f 61645f68 616e646c 65720000 d_load_handler.. + 38ed0 0004c502 230c085f 7265706f 72745f66 ....#.._report_f + 38ee0 61696c75 72655f74 6f5f686f 73740000 ailure_to_host.. + 38ef0 0004ce02 2310085f 74617267 65745f69 ....#.._target_i + 38f00 645f6765 74000000 04db0223 14085f69 d_get......#.._i + 38f10 735f686f 73745f70 72657365 6e740000 s_host_present.. + 38f20 00054502 2318085f 6b626869 74000000 ..E.#.._kbhit... + 38f30 05520223 1c085f72 6f6d5f76 65727369 .R.#.._rom_versi + 38f40 6f6e5f67 65740000 00055f02 23200006 on_get...._.# .. + 38f50 00000372 01030000 06490400 06000003 ...r.....I...... + 38f60 72010300 00065604 00060000 010f0103 r.....V......... + 38f70 00000663 04000600 00010f01 03000006 ...c............ + 38f80 70040006 0000010f 01030000 067d0400 p............}.. + 38f90 07737472 696e675f 61706900 18000007 .string_api..... + 38fa0 03085f73 7472696e 675f696e 69740000 .._string_init.. + 38fb0 00010802 2300085f 73747263 70790000 ....#.._strcpy.. + 38fc0 00064f02 2304085f 7374726e 63707900 ..O.#.._strncpy. + 38fd0 0000065c 02230808 5f737472 6c656e00 ...\.#.._strlen. + 38fe0 00000669 02230c08 5f737472 636d7000 ...i.#.._strcmp. + 38ff0 00000676 02231008 5f737472 6e636d70 ...v.#.._strncmp + 39000 00000006 83022314 000f0000 04071400 ......#......... + 39010 00071010 0400095f 415f5449 4d45525f ......._A_TIMER_ + 39020 53504143 45000000 07030941 5f74696d SPACE......A_tim + 39030 65725f74 00000007 10030000 07240400 er_t.........$.. + 39040 02010300 00073a04 00020103 00000743 ......:........C + 39050 04000941 5f48414e 444c4500 00000407 ...A_HANDLE..... + 39060 02010941 5f54494d 45525f46 554e4300 ...A_TIMER_FUNC. + 39070 0000075a 03000007 5c040002 01030000 ...Z....\....... + 39080 07750400 0774696d 65725f61 70690014 .u...timer_api.. + 39090 000007f4 085f7469 6d65725f 696e6974 ....._timer_init + 390a0 00000001 08022300 085f7469 6d65725f ......#.._timer_ + 390b0 61726d00 0000073c 02230408 5f74696d arm....<.#.._tim + 390c0 65725f64 69736172 6d000000 07450223 er_disarm....E.# + 390d0 08085f74 696d6572 5f736574 666e0000 .._timer_setfn.. + 390e0 00077702 230c085f 74696d65 725f7275 ..w.#.._timer_ru + 390f0 6e000000 01080223 10000942 4f4f4c45 n......#...BOOLE + 39100 414e0000 00018906 000007f4 01030000 AN.............. + 39110 08010400 06000007 f4010300 00080e04 ................ + 39120 00060000 07f40103 0000081b 04000772 ...............r + 39130 6f6d705f 61706900 10000008 8d085f72 omp_api......._r + 39140 6f6d705f 696e6974 00000001 08022300 omp_init......#. + 39150 085f726f 6d705f64 6f776e6c 6f616400 ._romp_download. + 39160 00000807 02230408 5f726f6d 705f696e .....#.._romp_in + 39170 7374616c 6c000000 08140223 08085f72 stall......#.._r + 39180 6f6d705f 6465636f 64650000 00082102 omp_decode....!. + 39190 230c0007 726f6d5f 70617463 685f7374 #...rom_patch_st + 391a0 00100000 08e90863 72633136 00000001 .......crc16.... + 391b0 89022300 086c656e 00000001 89022302 ..#..len......#. + 391c0 086c645f 61646472 00000001 ac022304 .ld_addr......#. + 391d0 0866756e 5f616464 72000000 01ac0223 .fun_addr......# + 391e0 08087066 756e0000 00034302 230c0007 ..pfun....C.#... + 391f0 6565705f 72656469 725f6164 64720004 eep_redir_addr.. + 39200 0000091b 086f6666 73657400 00000189 .....offset..... + 39210 02230008 73697a65 00000001 89022302 .#..size......#. + 39220 0009415f 55494e54 33320000 00040706 ..A_UINT32...... + 39230 00000404 01030000 09290400 07616c6c .........)...all + 39240 6f637261 6d5f6170 69000c00 00099a08 ocram_api....... + 39250 636d6e6f 735f616c 6c6f6372 616d5f69 cmnos_allocram_i + 39260 6e697400 0000092f 02230008 636d6e6f nit..../.#..cmno + 39270 735f616c 6c6f6372 616d0000 00092f02 s_allocram..../. + 39280 23040863 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra + 39290 6d5f6465 62756700 00000108 02230800 m_debug......#.. + 392a0 02010300 00099a04 0009415f 5441534b ..........A_TASK + 392b0 4c45545f 46554e43 00000009 9c075f74 LET_FUNC......_t + 392c0 61736b6c 65740010 000009fb 0866756e asklet.......fun + 392d0 63000000 09a30223 00086172 67000000 c......#..arg... + 392e0 04040223 04087374 61746500 0000010f ...#..state..... + 392f0 02230808 6e657874 00000009 fb02230c .#..next......#. + 39300 00030000 09b70400 03000009 b7040009 ................ + 39310 415f7461 736b6c65 745f7400 000009b7 A_tasklet_t..... + 39320 0300000a 09040002 01030000 0a210400 .............!.. + 39330 02010300 000a2a04 00077461 736b6c65 ......*...taskle + 39340 745f6170 69001400 000abf08 5f746173 t_api......._tas + 39350 6b6c6574 5f696e69 74000000 01080223 klet_init......# + 39360 00085f74 61736b6c 65745f69 6e69745f .._tasklet_init_ + 39370 7461736b 0000000a 23022304 085f7461 task....#.#.._ta + 39380 736b6c65 745f6469 7361626c 65000000 sklet_disable... + 39390 0a2c0223 08085f74 61736b6c 65745f73 .,.#.._tasklet_s + 393a0 63686564 756c6500 00000a2c 02230c08 chedule....,.#.. + 393b0 5f746173 6b6c6574 5f72756e 00000001 _tasklet_run.... + 393c0 08022310 00020103 00000abf 04000600 ..#............. + 393d0 00091b01 0300000a c8040002 01030000 ................ + 393e0 0ad50400 07636c6f 636b5f61 70690024 .....clock_api.$ + 393f0 00000bb7 085f636c 6f636b5f 696e6974 ....._clock_init + 39400 0000000a c1022300 085f636c 6f636b72 ......#.._clockr + 39410 6567735f 696e6974 00000001 08022304 egs_init......#. + 39420 085f7561 72745f66 72657175 656e6379 ._uart_frequency + 39430 0000000a ce022308 085f6465 6c61795f ......#.._delay_ + 39440 75730000 000ad702 230c085f 776c616e us......#.._wlan + 39450 5f62616e 645f7365 74000000 0ad70223 _band_set......# + 39460 10085f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 39470 67657400 00000ace 02231408 5f6d696c get......#.._mil + 39480 6c697365 636f6e64 73000000 0ace0223 liseconds......# + 39490 18085f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 394a0 00000001 0802231c 085f636c 6f636b5f ......#.._clock_ + 394b0 7469636b 00000001 08022320 00060000 tick......# .... + 394c0 01ac0103 00000bb7 04000941 5f6f6c64 ...........A_old + 394d0 5f696e74 725f7400 000001ac 0600000b _intr_t......... + 394e0 c4010300 000bd604 00020103 00000be3 ................ + 394f0 04000201 0300000b ec040006 000001ac ................ + 39500 01030000 0bf50400 09415f69 73725f74 .........A_isr_t + 39510 0000000b fb020103 00000c0f 04000600 ................ + 39520 00040701 0300000c 18040002 01030000 ................ + 39530 0c250400 07696e74 725f6170 69002c00 .%...intr_api.,. + 39540 000d4708 5f696e74 725f696e 69740000 ..G._intr_init.. + 39550 00010802 2300085f 696e7472 5f696e76 ....#.._intr_inv + 39560 6f6b655f 69737200 00000bbd 02230408 oke_isr......#.. + 39570 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 39580 0bdc0223 08085f69 6e74725f 72657374 ...#.._intr_rest + 39590 6f726500 00000be5 02230c08 5f696e74 ore......#.._int + 395a0 725f6d61 736b5f69 6e756d00 00000bee r_mask_inum..... + 395b0 02231008 5f696e74 725f756e 6d61736b .#.._intr_unmask + 395c0 5f696e75 6d000000 0bee0223 14085f69 _inum......#.._i + 395d0 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 395e0 000c1102 2318085f 6765745f 696e7472 ....#.._get_intr + 395f0 656e6162 6c650000 000c1e02 231c085f enable......#.._ + 39600 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 39610 000c2702 2320085f 6765745f 696e7472 ..'.# ._get_intr + 39620 70656e64 696e6700 00000c1e 02232408 pending......#$. + 39630 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 39640 726c766c 00000001 08022328 00110400 rlvl......#(.... + 39650 000d6d08 74696d65 6f757400 000001ac ..m.timeout..... + 39660 02230008 61637469 6f6e0000 0001ac02 .#..action...... + 39670 23000012 0800000d 8808636d 64000000 #.........cmd... + 39680 01ac0223 00130000 0d470223 04000954 ...#.....G.#...T + 39690 5f574454 5f434d44 0000000d 6d020103 _WDT_CMD....m... + 396a0 00000d97 04001404 00000ded 0e454e55 .............ENU + 396b0 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU + 396c0 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN + 396d0 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E + 396e0 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 396f0 00040009 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 39700 00000da0 0600000d ed010300 000dfe04 ................ + 39710 00077764 745f6170 69001c00 000ea208 ..wdt_api....... + 39720 5f776474 5f696e69 74000000 01080223 _wdt_init......# + 39730 00085f77 64745f65 6e61626c 65000000 .._wdt_enable... + 39740 01080223 04085f77 64745f64 69736162 ...#.._wdt_disab + 39750 6c650000 00010802 2308085f 7764745f le......#.._wdt_ + 39760 73657400 00000d99 02230c08 5f776474 set......#.._wdt + 39770 5f746173 6b000000 01080223 10085f77 _task......#.._w + 39780 64745f72 65736574 00000001 08022314 dt_reset......#. + 39790 085f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 397a0 00000e04 02231800 14040000 0f090e52 .....#.........R + 397b0 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET + 397c0 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ + 397d0 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ + 397e0 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE + 397f0 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 39800 0e524554 5f554e4b 4e4f574e 00050009 .RET_UNKNOWN.... + 39810 545f4545 505f5245 54000000 0ea20300 T_EEP_RET....... + 39820 00018904 00060000 0f090103 00000f1f ................ + 39830 04000600 000f0901 0300000f 2c040007 ............,... + 39840 6565705f 61706900 1000000f 95085f65 eep_api......._e + 39850 65705f69 6e697400 00000108 02230008 ep_init......#.. + 39860 5f656570 5f726561 64000000 0f250223 _eep_read....%.# + 39870 04085f65 65705f77 72697465 0000000f .._eep_write.... + 39880 25022308 085f6565 705f6973 5f657869 %.#.._eep_is_exi + 39890 73740000 000f3202 230c0007 7573625f st....2.#...usb_ + 398a0 61706900 70000012 42085f75 73625f69 api.p...B._usb_i + 398b0 6e697400 00000108 02230008 5f757362 nit......#.._usb + 398c0 5f726f6d 5f746173 6b000000 01080223 _rom_task......# + 398d0 04085f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 398e0 00010802 2308085f 7573625f 696e6974 ....#.._usb_init + 398f0 5f706879 00000001 0802230c 085f7573 _phy......#.._us + 39900 625f6570 305f7365 74757000 00000108 b_ep0_setup..... + 39910 02231008 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 39920 00000108 02231408 5f757362 5f657030 .....#.._usb_ep0 + 39930 5f727800 00000108 02231808 5f757362 _rx......#.._usb + 39940 5f676574 5f696e74 65726661 63650000 _get_interface.. + 39950 00081402 231c085f 7573625f 7365745f ....#.._usb_set_ + 39960 696e7465 72666163 65000000 08140223 interface......# + 39970 20085f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 39980 67757261 74696f6e 00000008 14022324 guration......#$ + 39990 085f7573 625f7365 745f636f 6e666967 ._usb_set_config + 399a0 75726174 696f6e00 00000814 02232808 uration......#(. + 399b0 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 399c0 64000000 08140223 2c085f75 73625f76 d......#,._usb_v + 399d0 656e646f 725f636d 64000000 01080223 endor_cmd......# + 399e0 30085f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 399f0 00000001 08022334 085f7573 625f7265 ......#4._usb_re + 39a00 7365745f 6669666f 00000001 08022338 set_fifo......#8 + 39a10 085f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 39a20 01080223 3c085f75 73625f6a 756d705f ...#<._usb_jump_ + 39a30 626f6f74 00000001 08022340 085f7573 boot......#@._us + 39a40 625f636c 725f6665 61747572 65000000 b_clr_feature... + 39a50 08140223 44085f75 73625f73 65745f66 ...#D._usb_set_f + 39a60 65617475 72650000 00081402 2348085f eature......#H._ + 39a70 7573625f 7365745f 61646472 65737300 usb_set_address. + 39a80 00000814 02234c08 5f757362 5f676574 .....#L._usb_get + 39a90 5f646573 63726970 746f7200 00000814 _descriptor..... + 39aa0 02235008 5f757362 5f676574 5f737461 .#P._usb_get_sta + 39ab0 74757300 00000814 02235408 5f757362 tus......#T._usb + 39ac0 5f736574 75705f64 65736300 00000108 _setup_desc..... + 39ad0 02235808 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 39ae0 00000001 0802235c 085f7573 625f7374 ......#\._usb_st + 39af0 61747573 5f696e00 00000108 02236008 atus_in......#`. + 39b00 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 39b10 00000001 08022364 085f7573 625f6570 ......#d._usb_ep + 39b20 305f7278 5f646174 61000000 01080223 0_rx_data......# + 39b30 68085f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 39b40 00000108 02236c00 075f5644 45534300 .....#l.._VDESC. + 39b50 24000012 ce086e65 78745f64 65736300 $.....next_desc. + 39b60 000012ce 02230008 6275665f 61646472 .....#..buf_addr + 39b70 00000012 e2022304 08627566 5f73697a ......#..buf_siz + 39b80 65000000 12e90223 08086461 74615f6f e......#..data_o + 39b90 66667365 74000000 12e90223 0a086461 ffset......#..da + 39ba0 74615f73 697a6500 000012e9 02230c08 ta_size......#.. + 39bb0 636f6e74 726f6c00 000012e9 02230e08 control......#.. + 39bc0 68775f64 6573635f 62756600 000012f7 hw_desc_buf..... + 39bd0 02231000 03000012 42040009 415f5549 .#......B...A_UI + 39be0 4e543800 0000031c 03000012 d5040009 NT8............. + 39bf0 415f5549 4e543136 00000001 730f0000 A_UINT16....s... + 39c00 12d51400 00130410 13000300 00124204 ..............B. + 39c10 00095644 45534300 00001242 03000013 ..VDESC....B.... + 39c20 0b040006 00001316 01030000 131d0400 ................ + 39c30 06000012 e2010300 00132a04 00020103 ..........*..... + 39c40 00001337 04000776 64657363 5f617069 ...7...vdesc_api + 39c50 00140000 13af085f 696e6974 0000000a ......._init.... + 39c60 d7022300 085f616c 6c6f635f 76646573 ..#.._alloc_vdes + 39c70 63000000 13230223 04085f67 65745f68 c....#.#.._get_h + 39c80 775f6465 73630000 00133002 2308085f w_desc....0.#.._ + 39c90 73776170 5f766465 73630000 00133902 swap_vdesc....9. + 39ca0 230c0870 52657365 72766564 00000004 #..pReserved.... + 39cb0 04022310 00075f56 42554600 20000014 ..#..._VBUF. ... + 39cc0 0f086465 73635f6c 69737400 00001316 ..desc_list..... + 39cd0 02230008 6e657874 5f627566 00000014 .#..next_buf.... + 39ce0 0f022304 08627566 5f6c656e 67746800 ..#..buf_length. + 39cf0 000012e9 02230808 72657365 72766564 .....#..reserved + 39d00 00000014 1602230a 08637478 00000012 ......#..ctx.... + 39d10 f702230c 00030000 13af0400 0f000012 ..#............. + 39d20 d5020000 14231001 00030000 13af0400 .....#.......... + 39d30 09564255 46000000 13af0300 00142a04 .VBUF.........*. + 39d40 00060000 14340103 0000143b 04000600 .....4.....;.... + 39d50 00143401 03000014 48040002 01030000 ..4.....H....... + 39d60 14550400 07766275 665f6170 69001400 .U...vbuf_api... + 39d70 0014d308 5f696e69 74000000 0ad70223 ...._init......# + 39d80 00085f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... + 39d90 14410223 04085f61 6c6c6f63 5f766275 .A.#.._alloc_vbu + 39da0 665f7769 74685f73 697a6500 0000144e f_with_size....N + 39db0 02230808 5f667265 655f7662 75660000 .#.._free_vbuf.. + 39dc0 00145702 230c0870 52657365 72766564 ..W.#..pReserved + 39dd0 00000004 04022310 00075f5f 6164665f ......#...__adf_ + 39de0 64657669 63650004 000014f5 0864756d device.......dum + 39df0 6d790000 00010f02 23000003 0000091b my......#....... + 39e00 0400075f 5f616466 5f646d61 5f6d6170 ...__adf_dma_map + 39e10 000c0000 153c0862 75660000 00143402 .....<.buf....4. + 39e20 23000864 735f6164 64720000 0014f502 #..ds_addr...... + 39e30 23040864 735f6c65 6e000000 12e90223 #..ds_len......# + 39e40 0800120c 00001576 085f5f76 615f7374 .......v.__va_st + 39e50 6b000000 03720223 00085f5f 76615f72 k....r.#..__va_r + 39e60 65670000 00037202 2304085f 5f76615f eg....r.#..__va_ + 39e70 6e647800 0000010f 02230800 095f5f61 ndx......#...__a + 39e80 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t + 39e90 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma + 39ea0 5f616464 725f7400 00001576 095f5f61 _addr_t....v.__a + 39eb0 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t + 39ec0 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma + 39ed0 5f73697a 655f7400 000015a6 075f5f64 _size_t......__d + 39ee0 6d615f73 65677300 08000016 02087061 ma_segs.......pa + 39ef0 64647200 0000158f 02230008 6c656e00 ddr......#..len. + 39f00 000015bf 02230400 095f5f61 5f75696e .....#...__a_uin + 39f10 7433325f 74000000 091b0961 5f75696e t32_t......a_uin + 39f20 7433325f 74000000 16020f00 0015d608 t32_t........... + 39f30 00001631 10000007 6164665f 6f735f64 ...1....adf_os_d + 39f40 6d616d61 705f696e 666f000c 0000166a mamap_info.....j + 39f50 086e7365 67730000 00161402 23000864 .nsegs......#..d + 39f60 6d615f73 65677300 00001624 02230400 ma_segs....$.#.. + 39f70 095f5f61 5f75696e 74385f74 00000012 .__a_uint8_t.... + 39f80 d509615f 75696e74 385f7400 0000166a ..a_uint8_t....j + 39f90 03000016 7b040007 5f5f7367 5f736567 ....{...__sg_seg + 39fa0 73000800 0016bc08 76616464 72000000 s.......vaddr... + 39fb0 168a0223 00086c65 6e000000 16140223 ...#..len......# + 39fc0 04000f00 00169120 000016c9 10030007 ....... ........ + 39fd0 6164665f 6f735f73 676c6973 74002400 adf_os_sglist.$. + 39fe0 0016fc08 6e736567 73000000 16140223 ....nsegs......# + 39ff0 00087367 5f736567 73000000 16bc0223 ..sg_segs......# + 3a000 04001210 00001745 0876656e 646f7200 .......E.vendor. + 3a010 00001614 02230008 64657669 63650000 .....#..device.. + 3a020 00161402 23040873 75627665 6e646f72 ....#..subvendor + 3a030 00000016 14022308 08737562 64657669 ......#..subdevi + 3a040 63650000 00161402 230c0004 6c6f6e67 ce......#...long + 3a050 206c6f6e 6720756e 7369676e 65642069 long unsigned i + 3a060 6e740007 0809415f 55494e54 36340000 nt....A_UINT64.. + 3a070 00174509 5f5f615f 75696e74 36345f74 ..E.__a_uint64_t + 3a080 00000017 5f09615f 75696e74 36345f74 ...._.a_uint64_t + 3a090 00000017 6d140400 0017cb0e 4144465f ....m.......ADF_ + 3a0a0 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 3a0b0 5f4d454d 00000e41 44465f4f 535f5245 _MEM...ADF_OS_RE + 3a0c0 534f5552 43455f54 5950455f 494f0001 SOURCE_TYPE_IO.. + 3a0d0 00096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 3a0e0 655f7479 70655f74 00000017 8f121800 e_type_t........ + 3a0f0 00181508 73746172 74000000 177f0223 ....start......# + 3a100 0008656e 64000000 177f0223 08087479 ..end......#..ty + 3a110 70650000 0017cb02 23100009 6164665f pe......#...adf_ + 3a120 6f735f70 63695f64 65765f69 645f7400 os_pci_dev_id_t. + 3a130 000016fc 03000018 15040011 04000018 ................ + 3a140 54087063 69000000 182e0223 00087261 T.pci......#..ra + 3a150 77000000 04040223 00001110 00001873 w......#.......s + 3a160 08706369 00000018 15022300 08726177 .pci......#..raw + 3a170 00000004 04022300 00096164 665f6472 ......#...adf_dr + 3a180 765f6861 6e646c65 5f740000 00040409 v_handle_t...... + 3a190 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 3a1a0 74000000 17e70300 00188904 00096164 t.............ad + 3a1b0 665f6f73 5f617474 6163685f 64617461 f_os_attach_data + 3a1c0 5f740000 00185403 000018a7 04000300 _t....T......... + 3a1d0 0014d304 00095f5f 6164665f 6f735f64 ......__adf_os_d + 3a1e0 65766963 655f7400 000018c8 09616466 evice_t......adf + 3a1f0 5f6f735f 64657669 63655f74 00000018 _os_device_t.... + 3a200 cf060000 18730103 000018fb 04000201 .....s.......... + 3a210 03000019 08040009 6164665f 6f735f70 ........adf_os_p + 3a220 6d5f7400 00000404 02010300 00192204 m_t...........". + 3a230 00140400 0019620e 4144465f 4f535f42 ......b.ADF_OS_B + 3a240 55535f54 5950455f 50434900 010e4144 US_TYPE_PCI...AD + 3a250 465f4f53 5f425553 5f545950 455f4745 F_OS_BUS_TYPE_GE + 3a260 4e455249 43000200 09616466 5f6f735f NERIC....adf_os_ + 3a270 6275735f 74797065 5f740000 00192b09 bus_type_t....+. + 3a280 6164665f 6f735f62 75735f72 65675f64 adf_os_bus_reg_d + 3a290 6174615f 74000000 18350300 00031c04 ata_t....5...... + 3a2a0 00075f61 64665f64 72765f69 6e666f00 .._adf_drv_info. + 3a2b0 2000001a 3f086472 765f6174 74616368 ...?.drv_attach + 3a2c0 00000019 01022300 08647276 5f646574 ......#..drv_det + 3a2d0 61636800 0000190a 02230408 6472765f ach......#..drv_ + 3a2e0 73757370 656e6400 00001924 02230808 suspend....$.#.. + 3a2f0 6472765f 72657375 6d650000 00190a02 drv_resume...... + 3a300 230c0862 75735f74 79706500 00001962 #..bus_type....b + 3a310 02231008 6275735f 64617461 00000019 .#..bus_data.... + 3a320 79022314 086d6f64 5f6e616d 65000000 y.#..mod_name... + 3a330 19940223 18086966 6e616d65 00000019 ...#..ifname.... + 3a340 9402231c 00096164 665f6f73 5f68616e ..#...adf_os_han + 3a350 646c655f 74000000 04040300 00166a04 dle_t.........j. + 3a360 00020102 01095f5f 6164665f 6f735f73 ......__adf_os_s + 3a370 697a655f 74000000 04071404 00001a8e ize_t........... + 3a380 0e415f46 414c5345 00000e41 5f545255 .A_FALSE...A_TRU + 3a390 45000100 09615f62 6f6f6c5f 74000000 E....a_bool_t... + 3a3a0 1a740300 0014fc04 00095f5f 6164665f .t........__adf_ + 3a3b0 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... + 3a3c0 9c02010d 6164665f 6f735f63 61636865 ....adf_os_cache + 3a3d0 5f73796e 63000400 001b260e 4144465f _sync.....&.ADF_ + 3a3e0 53594e43 5f505245 52454144 00000e41 SYNC_PREREAD...A + 3a3f0 44465f53 594e435f 50524557 52495445 DF_SYNC_PREWRITE + 3a400 00020e41 44465f53 594e435f 504f5354 ...ADF_SYNC_POST + 3a410 52454144 00010e41 44465f53 594e435f READ...ADF_SYNC_ + 3a420 504f5354 57524954 45000300 09616466 POSTWRITE....adf + 3a430 5f6f735f 63616368 655f7379 6e635f74 _os_cache_sync_t + 3a440 0000001a bd020109 6164665f 6f735f73 ........adf_os_s + 3a450 697a655f 74000000 1a5f0600 001b4101 ize_t...._....A. + 3a460 09616466 5f6f735f 646d615f 6d61705f .adf_os_dma_map_ + 3a470 74000000 1aa30300 001b5a04 00060000 t.........Z..... + 3a480 04040103 00001aa3 04000600 00040401 ................ + 3a490 02010600 00158f01 02010473 686f7274 ...........short + 3a4a0 20696e74 00050209 415f494e 54313600 int....A_INT16. + 3a4b0 00001b94 095f5f61 5f696e74 31365f74 .....__a_int16_t + 3a4c0 0000001b a109615f 696e7431 365f7400 ......a_int16_t. + 3a4d0 00001bae 04736967 6e656420 63686172 .....signed char + 3a4e0 00050109 415f494e 54380000 001bce09 ....A_INT8...... + 3a4f0 5f5f615f 696e7438 5f740000 001bdd09 __a_int8_t...... + 3a500 615f696e 74385f74 0000001b e9120c00 a_int8_t........ + 3a510 001c6008 73757070 6f727465 64000000 ..`.supported... + 3a520 16140223 00086164 76657274 697a6564 ...#..advertized + 3a530 00000016 14022304 08737065 65640000 ......#..speed.. + 3a540 001bbf02 23080864 75706c65 78000000 ....#..duplex... + 3a550 1bf90223 0a086175 746f6e65 67000000 ...#..autoneg... + 3a560 167b0223 0b000f00 00167b06 00001c6d .{.#......{....m + 3a570 10050007 6164665f 6e65745f 65746861 ....adf_net_etha + 3a580 64647200 0600001c 91086164 64720000 ddr.......addr.. + 3a590 001c6002 23000009 5f5f615f 75696e74 ..`.#...__a_uint + 3a5a0 31365f74 00000012 e909615f 75696e74 16_t......a_uint + 3a5b0 31365f74 0000001c 91120e00 001cf508 16_t............ + 3a5c0 65746865 725f6468 6f737400 00001c60 ether_dhost....` + 3a5d0 02230008 65746865 725f7368 6f737400 .#..ether_shost. + 3a5e0 00001c60 02230608 65746865 725f7479 ...`.#..ether_ty + 3a5f0 70650000 001ca302 230c0012 1400001d pe......#....... + 3a600 b6156970 5f766572 73696f6e 00000016 ..ip_version.... + 3a610 7b010004 02230015 69705f68 6c000000 {....#..ip_hl... + 3a620 167b0104 04022300 0869705f 746f7300 .{....#..ip_tos. + 3a630 0000167b 02230108 69705f6c 656e0000 ...{.#..ip_len.. + 3a640 001ca302 23020869 705f6964 0000001c ....#..ip_id.... + 3a650 a3022304 0869705f 66726167 5f6f6666 ..#..ip_frag_off + 3a660 0000001c a3022306 0869705f 74746c00 ......#..ip_ttl. + 3a670 0000167b 02230808 69705f70 726f746f ...{.#..ip_proto + 3a680 00000016 7b022309 0869705f 63686563 ....{.#..ip_chec + 3a690 6b000000 1ca30223 0a086970 5f736164 k......#..ip_sad + 3a6a0 64720000 00161402 230c0869 705f6461 dr......#..ip_da + 3a6b0 64647200 00001614 02231000 07616466 ddr......#...adf + 3a6c0 5f6e6574 5f766c61 6e686472 00040000 _net_vlanhdr.... + 3a6d0 1e080874 70696400 00001ca3 02230015 ...tpid......#.. + 3a6e0 7072696f 00000016 7b010003 02230215 prio....{....#.. + 3a6f0 63666900 0000167b 01030102 23021576 cfi....{....#..v + 3a700 69640000 001ca302 040c0223 02000761 id.........#...a + 3a710 64665f6e 65745f76 69640002 00001e39 df_net_vid.....9 + 3a720 15726573 00000016 7b010004 02230015 .res....{....#.. + 3a730 76616c00 00001ca3 02040c02 23000012 val.........#... + 3a740 0c00001e 75087278 5f627566 73697a65 ....u.rx_bufsize + 3a750 00000016 14022300 0872785f 6e646573 ......#..rx_ndes + 3a760 63000000 16140223 04087478 5f6e6465 c......#..tx_nde + 3a770 73630000 00161402 23080012 0800001e sc......#....... + 3a780 9b08706f 6c6c6564 0000001a 8e022300 ..polled......#. + 3a790 08706f6c 6c5f7774 00000016 14022304 .poll_wt......#. + 3a7a0 000f0000 167b4000 001ea810 3f001246 .....{@.....?..F + 3a7b0 00001ed0 0869665f 6e616d65 0000001e .....if_name.... + 3a7c0 9b022300 08646576 5f616464 72000000 ..#..dev_addr... + 3a7d0 1c600223 40001404 00001f07 0e414446 .`.#@........ADF + 3a7e0 5f4f535f 444d415f 4d41534b 5f333242 _OS_DMA_MASK_32B + 3a7f0 49540000 0e414446 5f4f535f 444d415f IT...ADF_OS_DMA_ + 3a800 4d41534b 5f363442 49540001 00096164 MASK_64BIT....ad + 3a810 665f6f73 5f646d61 5f6d6173 6b5f7400 f_os_dma_mask_t. + 3a820 00001ed0 07616466 5f646d61 5f696e66 .....adf_dma_inf + 3a830 6f000800 001f5408 646d615f 6d61736b o.....T.dma_mask + 3a840 0000001f 07022300 0873675f 6e736567 ......#..sg_nseg + 3a850 73000000 16140223 04001404 00001faa s......#........ + 3a860 0e414446 5f4e4554 5f434b53 554d5f4e .ADF_NET_CKSUM_N + 3a870 4f4e4500 000e4144 465f4e45 545f434b ONE...ADF_NET_CK + 3a880 53554d5f 5443505f 5544505f 49507634 SUM_TCP_UDP_IPv4 + 3a890 00010e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 3a8a0 5f544350 5f554450 5f495076 36000200 _TCP_UDP_IPv6... + 3a8b0 09616466 5f6e6574 5f636b73 756d5f74 .adf_net_cksum_t + 3a8c0 7970655f 74000000 1f541208 00001fed ype_t....T...... + 3a8d0 0874785f 636b7375 6d000000 1faa0223 .tx_cksum......# + 3a8e0 00087278 5f636b73 756d0000 001faa02 ..rx_cksum...... + 3a8f0 23040009 6164665f 6e65745f 636b7375 #...adf_net_cksu + 3a900 6d5f696e 666f5f74 0000001f c4140400 m_info_t........ + 3a910 0020460e 4144465f 4e45545f 54534f5f . F.ADF_NET_TSO_ + 3a920 4e4f4e45 00000e41 44465f4e 45545f54 NONE...ADF_NET_T + 3a930 534f5f49 50563400 010e4144 465f4e45 SO_IPV4...ADF_NE + 3a940 545f5453 4f5f414c 4c000200 09616466 T_TSO_ALL....adf + 3a950 5f6e6574 5f74736f 5f747970 655f7400 _net_tso_type_t. + 3a960 00002007 12100000 209a0863 6b73756d .. ..... ..cksum + 3a970 5f636170 0000001f ed022300 0874736f _cap......#..tso + 3a980 00000020 46022308 08766c61 6e5f7375 ... F.#..vlan_su + 3a990 70706f72 74656400 0000167b 02230c00 pported....{.#.. + 3a9a0 12200000 21330874 785f7061 636b6574 . ..!3.tx_packet + 3a9b0 73000000 16140223 00087278 5f706163 s......#..rx_pac + 3a9c0 6b657473 00000016 14022304 0874785f kets......#..tx_ + 3a9d0 62797465 73000000 16140223 08087278 bytes......#..rx + 3a9e0 5f627974 65730000 00161402 230c0874 _bytes......#..t + 3a9f0 785f6472 6f707065 64000000 16140223 x_dropped......# + 3aa00 10087278 5f64726f 70706564 00000016 ..rx_dropped.... + 3aa10 14022314 0872785f 6572726f 72730000 ..#..rx_errors.. + 3aa20 00161402 23180874 785f6572 726f7273 ....#..tx_errors + 3aa30 00000016 1402231c 00096164 665f6e65 ......#...adf_ne + 3aa40 745f6574 68616464 725f7400 00001c6d t_ethaddr_t....m + 3aa50 16000021 33030000 00215810 7f001761 ...!3....!X....a + 3aa60 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd + 3aa70 72000304 0000218f 086e656c 656d0000 r.....!..nelem.. + 3aa80 00161402 2300086d 63617374 00000021 ....#..mcast...! + 3aa90 4a022304 00096164 665f6e65 745f636d J.#...adf_net_cm + 3aaa0 645f6c69 6e6b5f69 6e666f5f 74000000 d_link_info_t... + 3aab0 1c070961 64665f6e 65745f63 6d645f70 ...adf_net_cmd_p + 3aac0 6f6c6c5f 696e666f 5f740000 001e7509 oll_info_t....u. + 3aad0 6164665f 6e65745f 636d645f 636b7375 adf_net_cmd_cksu + 3aae0 6d5f696e 666f5f74 0000001f ed096164 m_info_t......ad + 3aaf0 665f6e65 745f636d 645f7269 6e675f69 f_net_cmd_ring_i + 3ab00 6e666f5f 74000000 1e390961 64665f6e nfo_t....9.adf_n + 3ab10 65745f63 6d645f64 6d615f69 6e666f5f et_cmd_dma_info_ + 3ab20 74000000 1f1e0961 64665f6e 65745f63 t......adf_net_c + 3ab30 6d645f76 69645f74 0000001c a3096164 md_vid_t......ad + 3ab40 665f6e65 745f636d 645f6f66 666c6f61 f_net_cmd_offloa + 3ab50 645f6361 705f7400 0000205e 09616466 d_cap_t... ^.adf + 3ab60 5f6e6574 5f636d64 5f737461 74735f74 _net_cmd_stats_t + 3ab70 00000020 9a096164 665f6e65 745f636d ... ..adf_net_cm + 3ab80 645f6d63 61646472 5f740000 0021580d d_mcaddr_t...!X. + 3ab90 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 3aba0 745f6361 70000400 0022d10e 4144465f t_cap...."..ADF_ + 3abb0 4e45545f 4d434153 545f5355 5000000e NET_MCAST_SUP... + 3abc0 4144465f 4e45545f 4d434153 545f4e4f ADF_NET_MCAST_NO + 3abd0 54535550 00010009 6164665f 6e65745f TSUP....adf_net_ + 3abe0 636d645f 6d636173 745f6361 705f7400 cmd_mcast_cap_t. + 3abf0 00002289 18030400 0023a308 6c696e6b .."......#..link + 3ac00 5f696e66 6f000000 218f0223 0008706f _info...!..#..po + 3ac10 6c6c5f69 6e666f00 000021ac 02230008 ll_info...!..#.. + 3ac20 636b7375 6d5f696e 666f0000 0021c902 cksum_info...!.. + 3ac30 23000872 696e675f 696e666f 00000021 #..ring_info...! + 3ac40 e7022300 08646d61 5f696e66 6f000000 ..#..dma_info... + 3ac50 22040223 00087669 64000000 22200223 "..#..vid..." .# + 3ac60 00086f66 666c6f61 645f6361 70000000 ..offload_cap... + 3ac70 22370223 00087374 61747300 00002256 "7.#..stats..."V + 3ac80 02230008 6d636173 745f696e 666f0000 .#..mcast_info.. + 3ac90 00226f02 2300086d 63617374 5f636170 ."o.#..mcast_cap + 3aca0 00000022 d1022300 00140400 0023fa0e ..."..#......#.. + 3acb0 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU + 3acc0 4d5f4e4f 4e450000 0e414446 5f4e4255 M_NONE...ADF_NBU + 3acd0 465f5258 5f434b53 554d5f48 5700010e F_RX_CKSUM_HW... + 3ace0 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU + 3acf0 4d5f554e 4e454345 53534152 59000200 M_UNNECESSARY... + 3ad00 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 3ad10 756d5f74 7970655f 74000000 23a31208 um_type_t...#... + 3ad20 0000243a 08726573 756c7400 000023fa ..$:.result...#. + 3ad30 02230008 76616c00 00001614 02230400 .#..val......#.. + 3ad40 12080000 246a0874 79706500 00002046 ....$j.type... F + 3ad50 02230008 6d737300 00001ca3 02230408 .#..mss......#.. + 3ad60 6864725f 6f666600 0000167b 02230600 hdr_off....{.#.. + 3ad70 075f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea + 3ad80 64000c00 0024a908 68656164 00000014 d....$..head.... + 3ad90 34022300 08746169 6c000000 14340223 4.#..tail....4.# + 3ada0 0408716c 656e0000 00161402 23080009 ..qlen......#... + 3adb0 5f5f6164 665f6e62 75665f74 00000014 __adf_nbuf_t.... + 3adc0 34030000 168a0400 03000016 14040002 4............... + 3add0 01060000 13160106 00001614 01060000 ................ + 3ade0 168a0106 0000168a 01030000 12f70400 ................ + 3adf0 095f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea + 3ae00 645f7400 0000246a 095f5f61 64665f6e d_t...$j.__adf_n + 3ae10 6275665f 71756575 655f7400 000024ea buf_queue_t...$. + 3ae20 03000025 02040006 000024a9 01060000 ...%......$..... + 3ae30 24a90114 04000026 220e415f 53544154 $......&".A_STAT + 3ae40 55535f4f 4b00000e 415f5354 41545553 US_OK...A_STATUS + 3ae50 5f464149 4c454400 010e415f 53544154 _FAILED...A_STAT + 3ae60 55535f45 4e4f454e 5400020e 415f5354 US_ENOENT...A_ST + 3ae70 41545553 5f454e4f 4d454d00 030e415f ATUS_ENOMEM...A_ + 3ae80 53544154 55535f45 494e5641 4c00040e STATUS_EINVAL... + 3ae90 415f5354 41545553 5f45494e 50524f47 A_STATUS_EINPROG + 3aea0 52455353 00050e41 5f535441 5455535f RESS...A_STATUS_ + 3aeb0 454e4f54 53555050 00060e41 5f535441 ENOTSUPP...A_STA + 3aec0 5455535f 45425553 5900070e 415f5354 TUS_EBUSY...A_ST + 3aed0 41545553 5f453242 49470008 0e415f53 ATUS_E2BIG...A_S + 3aee0 54415455 535f4541 4444524e 4f544156 TATUS_EADDRNOTAV + 3aef0 41494c00 090e415f 53544154 55535f45 AIL...A_STATUS_E + 3af00 4e58494f 000a0e41 5f535441 5455535f NXIO...A_STATUS_ + 3af10 45464155 4c54000b 0e415f53 54415455 EFAULT...A_STATU + 3af20 535f4549 4f000c00 09615f73 74617475 S_EIO....a_statu + 3af30 735f7400 0000252d 06000026 22010600 s_t...%-...&"... + 3af40 00010f01 02010961 64665f6e 6275665f .......adf_nbuf_ + 3af50 74000000 24a91404 00002687 0e414446 t...$.....&..ADF + 3af60 5f4f535f 444d415f 544f5f44 45564943 _OS_DMA_TO_DEVIC + 3af70 4500000e 4144465f 4f535f44 4d415f46 E...ADF_OS_DMA_F + 3af80 524f4d5f 44455649 43450001 00096164 ROM_DEVICE....ad + 3af90 665f6f73 5f646d61 5f646972 5f740000 f_os_dma_dir_t.. + 3afa0 00265006 00002622 01020109 6164665f .&P...&"....adf_ + 3afb0 6f735f64 6d616d61 705f696e 666f5f74 os_dmamap_info_t + 3afc0 00000016 31030000 26a50400 02010201 ....1...&....... + 3afd0 06000026 40010600 0024a901 02010201 ...&@....$...... + 3afe0 06000026 40010600 0024a901 06000026 ...&@....$.....& + 3aff0 40010600 0024a901 06000026 40010201 @....$.....&@... + 3b000 02010600 00161401 06000016 8a010201 ................ + 3b010 02010600 001b4101 0600001a 8e010600 ......A......... + 3b020 001a8e01 09616466 5f6f735f 73676c69 .....adf_os_sgli + 3b030 73745f74 00000016 c9030000 271e0400 st_t........'... + 3b040 02010201 02010600 00168a01 09616466 .............adf + 3b050 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 3b060 25020300 00274604 00020103 000024ea %....'F.......$. + 3b070 04000201 02010201 06000026 40010600 ...........&@... + 3b080 0024a901 06000016 14010600 00161401 .$.............. + 3b090 0600001a 8e010600 001a8e01 0600001f ................ + 3b0a0 aa010600 00161401 09616466 5f6e6275 .........adf_nbu + 3b0b0 665f7278 5f636b73 756d5f74 00000024 f_rx_cksum_t...$ + 3b0c0 18030000 27a20400 02010201 09616466 ....'........adf + 3b0d0 5f6e6275 665f7473 6f5f7400 0000243a _nbuf_tso_t...$: + 3b0e0 03000027 c6040002 01020109 6164665f ...'........adf_ + 3b0f0 6e65745f 68616e64 6c655f74 00000004 net_handle_t.... + 3b100 04096164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 3b110 725f7400 00001db6 03000027 fb040006 r_t........'.... + 3b120 00002622 01060000 26220102 01020107 ..&"....&"...... + 3b130 5f484946 5f434f4e 46494700 04000028 _HIF_CONFIG....( + 3b140 4a086475 6d6d7900 0000010f 02230000 J.dummy......#.. + 3b150 02010300 00284a04 00020103 00002853 .....(J.......(S + 3b160 0400075f 4849465f 43414c4c 4241434b ..._HIF_CALLBACK + 3b170 000c0000 28a80873 656e645f 6275665f ....(..send_buf_ + 3b180 646f6e65 00000028 4c022300 08726563 done...(L.#..rec + 3b190 765f6275 66000000 28550223 0408636f v_buf...(U.#..co + 3b1a0 6e746578 74000000 04040223 08000968 ntext......#...h + 3b1b0 69665f68 616e646c 655f7400 00000404 if_handle_t..... + 3b1c0 09484946 5f434f4e 46494700 00002829 .HIF_CONFIG...() + 3b1d0 03000028 ba040006 000028a8 01030000 ...(......(..... + 3b1e0 28d10400 02010300 0028de04 00094849 (........(....HI + 3b1f0 465f4341 4c4c4241 434b0000 00285c03 F_CALLBACK...(\. + 3b200 000028e7 04000201 03000029 00040006 ..(........).... + 3b210 0000010f 01030000 29090400 02010300 ........)....... + 3b220 00291604 00060000 010f0103 0000291f .)............). + 3b230 04000201 03000029 2c040006 0000010f .......),....... + 3b240 01030000 29350400 02010300 00294204 ....)5.......)B. + 3b250 00076869 665f6170 69003800 002a9b08 ..hif_api.8..*.. + 3b260 5f696e69 74000000 28d70223 00085f73 _init...(..#.._s + 3b270 68757464 6f776e00 000028e0 02230408 hutdown...(..#.. + 3b280 5f726567 69737465 725f6361 6c6c6261 _register_callba + 3b290 636b0000 00290202 2308085f 6765745f ck...)..#.._get_ + 3b2a0 746f7461 6c5f6372 65646974 5f636f75 total_credit_cou + 3b2b0 6e740000 00290f02 230c085f 73746172 nt...)..#.._star + 3b2c0 74000000 28e00223 10085f63 6f6e6669 t...(..#.._confi + 3b2d0 675f7069 70650000 00291802 2314085f g_pipe...)..#.._ + 3b2e0 73656e64 5f627566 66657200 00002925 send_buffer...)% + 3b2f0 02231808 5f726574 75726e5f 72656376 .#.._return_recv + 3b300 5f627566 00000029 2e02231c 085f6973 _buf...)..#.._is + 3b310 5f706970 655f7375 70706f72 74656400 _pipe_supported. + 3b320 0000293b 02232008 5f676574 5f6d6178 ..);.# ._get_max + 3b330 5f6d7367 5f6c656e 00000029 3b022324 _msg_len...);.#$ + 3b340 085f6765 745f7265 73657276 65645f68 ._get_reserved_h + 3b350 65616472 6f6f6d00 0000290f 02232808 eadroom...)..#(. + 3b360 5f697372 5f68616e 646c6572 00000028 _isr_handler...( + 3b370 e002232c 085f6765 745f6465 6661756c ..#,._get_defaul + 3b380 745f7069 70650000 00294402 23300870 t_pipe...)D.#0.p + 3b390 52657365 72766564 00000004 04022334 Reserved......#4 + 3b3a0 000d646d 615f656e 67696e65 00040000 ..dma_engine.... + 3b3b0 2b240e44 4d415f45 4e47494e 455f5258 +$.DMA_ENGINE_RX + 3b3c0 3000000e 444d415f 454e4749 4e455f52 0...DMA_ENGINE_R + 3b3d0 58310001 0e444d41 5f454e47 494e455f X1...DMA_ENGINE_ + 3b3e0 52583200 020e444d 415f454e 47494e45 RX2...DMA_ENGINE + 3b3f0 5f525833 00030e44 4d415f45 4e47494e _RX3...DMA_ENGIN + 3b400 455f5458 3000040e 444d415f 454e4749 E_TX0...DMA_ENGI + 3b410 4e455f54 58310005 0e444d41 5f454e47 NE_TX1...DMA_ENG + 3b420 494e455f 4d415800 06000964 6d615f65 INE_MAX....dma_e + 3b430 6e67696e 655f7400 00002a9b 0d646d61 ngine_t...*..dma + 3b440 5f696674 79706500 0400002b 710e444d _iftype....+q.DM + 3b450 415f4946 5f474d41 4300000e 444d415f A_IF_GMAC...DMA_ + 3b460 49465f50 43490001 0e444d41 5f49465f IF_PCI...DMA_IF_ + 3b470 50434945 00020009 646d615f 69667479 PCIE....dma_ifty + 3b480 70655f74 0000002b 36060000 12e90103 pe_t...+6....... + 3b490 00002b83 04000201 0300002b 90040002 ..+........+.... + 3b4a0 01030000 2b990400 06000009 1b010300 ....+........... + 3b4b0 002ba204 00060000 12e90103 00002baf .+............+. + 3b4c0 04000600 0012e901 0300002b bc040006 ...........+.... + 3b4d0 00001434 01030000 2bc90400 02010300 ...4....+....... + 3b4e0 002bd604 0007646d 615f6c69 625f6170 .+....dma_lib_ap + 3b4f0 69003400 002cdd08 74785f69 6e697400 i.4..,..tx_init. + 3b500 00002b89 02230008 74785f73 74617274 ..+..#..tx_start + 3b510 0000002b 92022304 0872785f 696e6974 ...+..#..rx_init + 3b520 0000002b 89022308 0872785f 636f6e66 ...+..#..rx_conf + 3b530 69670000 002b9b02 230c0872 785f7374 ig...+..#..rx_st + 3b540 61727400 00002b92 02231008 696e7472 art...+..#..intr + 3b550 5f737461 74757300 00002ba8 02231408 _status...+..#.. + 3b560 68617264 5f786d69 74000000 2bb50223 hard_xmit...+..# + 3b570 1808666c 7573685f 786d6974 0000002b ..flush_xmit...+ + 3b580 9202231c 08786d69 745f646f 6e650000 ..#..xmit_done.. + 3b590 002bc202 23200872 6561705f 786d6974 .+..# .reap_xmit + 3b5a0 74656400 00002bcf 02232408 72656170 ted...+..#$.reap + 3b5b0 5f726563 76000000 2bcf0223 28087265 _recv...+..#(.re + 3b5c0 7475726e 5f726563 76000000 2bd80223 turn_recv...+..# + 3b5d0 2c087265 63765f70 6b740000 002bc202 ,.recv_pkt...+.. + 3b5e0 23300007 5f5f7063 695f736f 66746300 #0..__pci_softc. + 3b5f0 0c00002c fb087377 00000028 e7022300 ...,..sw...(..#. + 3b600 00095f5f 7063695f 736f6674 635f7400 ..__pci_softc_t. + 3b610 00002cdd 0300002c fb040002 01030000 ..,....,........ + 3b620 2d150400 06000012 d5010300 002d1e04 -............-.. + 3b630 000d6869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 3b640 78000400 002d7e0e 4849465f 5043495f x....-~.HIF_PCI_ + 3b650 50495045 5f545830 00000e48 49465f50 PIPE_TX0...HIF_P + 3b660 43495f50 4950455f 54583100 010e4849 CI_PIPE_TX1...HI + 3b670 465f5043 495f5049 50455f54 585f4d41 F_PCI_PIPE_TX_MA + 3b680 58000200 09686966 5f706369 5f706970 X....hif_pci_pip + 3b690 655f7478 5f740000 002d2b06 00002b24 e_tx_t...-+...+$ + 3b6a0 01030000 2d950400 0d686966 5f706369 ....-....hif_pci + 3b6b0 5f706970 655f7278 00040000 2e1b0e48 _pipe_rx.......H + 3b6c0 49465f50 43495f50 4950455f 52583000 IF_PCI_PIPE_RX0. + 3b6d0 000e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 3b6e0 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP + 3b6f0 455f5258 3200020e 4849465f 5043495f E_RX2...HIF_PCI_ + 3b700 50495045 5f525833 00030e48 49465f50 PIPE_RX3...HIF_P + 3b710 43495f50 4950455f 52585f4d 41580004 CI_PIPE_RX_MAX.. + 3b720 00096869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r + 3b730 785f7400 00002da2 0600002b 24010300 x_t...-....+$... + 3b740 002e3204 00076869 665f7063 695f6170 ..2...hif_pci_ap + 3b750 69002400 002f1008 7063695f 626f6f74 i.$../..pci_boot + 3b760 5f696e69 74000000 01080223 00087063 _init......#..pc + 3b770 695f696e 69740000 0028d702 23040870 i_init...(..#..p + 3b780 63695f72 65736574 00000001 08022308 ci_reset......#. + 3b790 08706369 5f656e61 626c6500 00000108 .pci_enable..... + 3b7a0 02230c08 7063695f 72656170 5f786d69 .#..pci_reap_xmi + 3b7b0 74746564 0000002d 17022310 08706369 tted...-..#..pci + 3b7c0 5f726561 705f7265 63760000 002d1702 _reap_recv...-.. + 3b7d0 23140870 63695f67 65745f70 69706500 #..pci_get_pipe. + 3b7e0 00002d24 02231808 7063695f 6765745f ..-$.#..pci_get_ + 3b7f0 74785f65 6e670000 002d9b02 231c0870 tx_eng...-..#..p + 3b800 63695f67 65745f72 785f656e 67000000 ci_get_rx_eng... + 3b810 2e380223 20000767 6d61635f 61706900 .8.# ..gmac_api. + 3b820 0400002f 3708676d 61635f62 6f6f745f .../7.gmac_boot_ + 3b830 696e6974 00000001 08022300 000f0000 init......#..... + 3b840 031c0600 002f4410 0500075f 5f657468 ...../D....__eth + 3b850 68647200 0e00002f 7a086473 74000000 hdr..../z.dst... + 3b860 2f370223 00087372 63000000 2f370223 /7.#..src.../7.# + 3b870 06086574 79706500 000012e9 02230c00 ..etype......#.. + 3b880 075f5f61 74686864 72000400 002fc815 .__athhdr..../.. + 3b890 72657300 000012d5 01000202 23001570 res.........#..p + 3b8a0 726f746f 00000012 d5010206 02230008 roto.........#.. + 3b8b0 7265735f 6c6f0000 0012d502 23010872 res_lo......#..r + 3b8c0 65735f68 69000000 12e90223 0200075f es_hi......#..._ + 3b8d0 5f676d61 635f6864 72001400 00300408 _gmac_hdr....0.. + 3b8e0 65746800 00002f44 02230008 61746800 eth.../D.#..ath. + 3b8f0 00002f7a 02230e08 616c6967 6e5f7061 ../z.#..align_pa + 3b900 64000000 12e90223 1200095f 5f676d61 d......#...__gma + 3b910 635f6864 725f7400 00002fc8 075f5f67 c_hdr_t.../..__g + 3b920 6d61635f 736f6674 63002400 00304e08 mac_softc.$..0N. + 3b930 68647200 00003004 02230008 6772616e hdr...0..#..gran + 3b940 00000012 e9022314 08737700 000028e7 ......#..sw...(. + 3b950 02231800 075f415f 6f735f6c 696e6b61 .#..._A_os_linka + 3b960 67655f63 6865636b 00080000 30870876 ge_check....0..v + 3b970 65727369 6f6e0000 00010f02 23000874 ersion......#..t + 3b980 61626c65 00000001 0f022304 00030000 able......#..... + 3b990 304e0400 06000001 0f010300 00308e04 0N...........0.. + 3b9a0 00030000 04070400 175f415f 636d6e6f ........._A_cmno + 3b9b0 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta + 3b9c0 626c6500 01b80000 31de0868 616c5f6c ble.....1..hal_l + 3b9d0 696e6b61 67655f63 6865636b 00000030 inkage_check...0 + 3b9e0 94022300 08737461 72745f62 73730000 ..#..start_bss.. + 3b9f0 00309b02 23040861 70705f73 74617274 .0..#..app_start + 3ba00 00000001 08022308 086d656d 00000004 ......#..mem.... + 3ba10 4702230c 086d6973 63000000 05660223 G.#..misc....f.# + 3ba20 20087072 696e7466 00000001 3c022344 .printf....<.#D + 3ba30 08756172 74000000 02050223 4c08676d .uart......#L.gm + 3ba40 61630000 002f1002 236c0875 73620000 ac.../..#l.usb.. + 3ba50 000f9502 23700863 6c6f636b 0000000a ....#p.clock.... + 3ba60 de0323e0 01087469 6d657200 0000077e ..#...timer....~ + 3ba70 03238402 08696e74 72000000 0c2e0323 .#...intr......# + 3ba80 98020861 6c6c6f63 72616d00 00000936 ...allocram....6 + 3ba90 0323c402 08726f6d 70000000 08280323 .#...romp....(.# + 3baa0 d0020877 64745f74 696d6572 0000000e ...wdt_timer.... + 3bab0 0b0323e0 02086565 70000000 0f390323 ..#...eep....9.# + 3bac0 fc020873 7472696e 67000000 068a0323 ...string......# + 3bad0 8c030874 61736b6c 65740000 000a3303 ...tasklet....3. + 3bae0 23a40300 075f5553 425f4649 464f5f43 #...._USB_FIFO_C + 3baf0 4f4e4649 47001000 00325108 6765745f ONFIG....2Q.get_ + 3bb00 636f6d6d 616e645f 62756600 00001441 command_buf....A + 3bb10 02230008 72656376 5f636f6d 6d616e64 .#..recv_command + 3bb20 00000014 57022304 08676574 5f657665 ....W.#..get_eve + 3bb30 6e745f62 75660000 00144102 23080873 nt_buf....A.#..s + 3bb40 656e645f 6576656e 745f646f 6e650000 end_event_done.. + 3bb50 00145702 230c0009 5553425f 4649464f ..W.#...USB_FIFO + 3bb60 5f434f4e 46494700 000031de 03000032 _CONFIG...1....2 + 3bb70 51040002 01030000 326d0400 07757362 Q.......2m...usb + 3bb80 6669666f 5f617069 000c0000 32c3085f fifo_api....2.._ + 3bb90 696e6974 00000032 6f022300 085f656e init...2o.#.._en + 3bba0 61626c65 5f657665 6e745f69 73720000 able_event_isr.. + 3bbb0 00010802 23040870 52657365 72766564 ....#..pReserved + 3bbc0 00000004 04022308 000f0000 167b0200 ......#......{.. + 3bbd0 0032d010 0100075f 4854435f 4652414d .2....._HTC_FRAM + 3bbe0 455f4844 52000800 00334208 456e6470 E_HDR....3B.Endp + 3bbf0 6f696e74 49440000 00167b02 23000846 ointID....{.#..F + 3bc00 6c616773 00000016 7b022301 08506179 lags....{.#..Pay + 3bc10 6c6f6164 4c656e00 00001ca3 02230208 loadLen......#.. + 3bc20 436f6e74 726f6c42 79746573 00000032 ControlBytes...2 + 3bc30 c3022304 08486f73 74536571 4e756d00 ..#..HostSeqNum. + 3bc40 00001ca3 02230600 12020000 335b084d .....#......3[.M + 3bc50 65737361 67654944 0000001c a3022300 essageID......#. + 3bc60 00120800 0033be08 4d657373 61676549 .....3..MessageI + 3bc70 44000000 1ca30223 00084372 65646974 D......#..Credit + 3bc80 436f756e 74000000 1ca30223 02084372 Count......#..Cr + 3bc90 65646974 53697a65 0000001c a3022304 editSize......#. + 3bca0 084d6178 456e6470 6f696e74 73000000 .MaxEndpoints... + 3bcb0 167b0223 06085f50 61643100 0000167b .{.#.._Pad1....{ + 3bcc0 02230700 120a0000 3455084d 65737361 .#......4U.Messa + 3bcd0 67654944 0000001c a3022300 08536572 geID......#..Ser + 3bce0 76696365 49440000 001ca302 23020843 viceID......#..C + 3bcf0 6f6e6e65 6374696f 6e466c61 67730000 onnectionFlags.. + 3bd00 001ca302 23040844 6f776e4c 696e6b50 ....#..DownLinkP + 3bd10 69706549 44000000 167b0223 06085570 ipeID....{.#..Up + 3bd20 4c696e6b 50697065 49440000 00167b02 LinkPipeID....{. + 3bd30 23070853 65727669 63654d65 74614c65 #..ServiceMetaLe + 3bd40 6e677468 00000016 7b022308 085f5061 ngth....{.#.._Pa + 3bd50 64310000 00167b02 23090012 0a000034 d1....{.#......4 + 3bd60 dd084d65 73736167 65494400 00001ca3 ..MessageID..... + 3bd70 02230008 53657276 69636549 44000000 .#..ServiceID... + 3bd80 1ca30223 02085374 61747573 00000016 ...#..Status.... + 3bd90 7b022304 08456e64 706f696e 74494400 {.#..EndpointID. + 3bda0 0000167b 02230508 4d61784d 73675369 ...{.#..MaxMsgSi + 3bdb0 7a650000 001ca302 23060853 65727669 ze......#..Servi + 3bdc0 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 3bdd0 7b022308 085f5061 64310000 00167b02 {.#.._Pad1....{. + 3bde0 23090012 02000034 f6084d65 73736167 #......4..Messag + 3bdf0 65494400 00001ca3 02230000 12040000 eID......#...... + 3be00 3532084d 65737361 67654944 0000001c 52.MessageID.... + 3be10 a3022300 08506970 65494400 0000167b ..#..PipeID....{ + 3be20 02230208 43726564 6974436f 756e7400 .#..CreditCount. + 3be30 0000167b 02230300 12040000 3569084d ...{.#......5i.M + 3be40 65737361 67654944 0000001c a3022300 essageID......#. + 3be50 08506970 65494400 0000167b 02230208 .PipeID....{.#.. + 3be60 53746174 75730000 00167b02 23030012 Status....{.#... + 3be70 02000035 90085265 636f7264 49440000 ...5..RecordID.. + 3be80 00167b02 2300084c 656e6774 68000000 ..{.#..Length... + 3be90 167b0223 01001202 000035ba 08456e64 .{.#......5..End + 3bea0 706f696e 74494400 0000167b 02230008 pointID....{.#.. + 3beb0 43726564 69747300 0000167b 02230100 Credits....{.#.. + 3bec0 12040000 35fb0845 6e64706f 696e7449 ....5..EndpointI + 3bed0 44000000 167b0223 00084372 65646974 D....{.#..Credit + 3bee0 73000000 167b0223 01085467 74437265 s....{.#..TgtCre + 3bef0 64697453 65714e6f 0000001c a3022302 ditSeqNo......#. + 3bf00 000f0000 167b0400 00360810 03001206 .....{...6...... + 3bf10 00003644 08507265 56616c69 64000000 ..6D.PreValid... + 3bf20 167b0223 00084c6f 6f6b4168 65616400 .{.#..LookAhead. + 3bf30 000035fb 02230108 506f7374 56616c69 ..5..#..PostVali + 3bf40 64000000 167b0223 05000970 6f6f6c5f d....{.#...pool_ + 3bf50 68616e64 6c655f74 00000004 04060000 handle_t........ + 3bf60 36440103 00003657 04000201 03000036 6D....6W.......6 + 3bf70 64040014 04000036 e20e504f 4f4c5f49 d......6..POOL_I + 3bf80 445f4854 435f434f 4e54524f 4c00000e D_HTC_CONTROL... + 3bf90 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 3bfa0 434d445f 5245504c 5900010e 504f4f4c CMD_REPLY...POOL + 3bfb0 5f49445f 574d495f 5356435f 4556454e _ID_WMI_SVC_EVEN + 3bfc0 5400020e 504f4f4c 5f49445f 574c414e T...POOL_ID_WLAN + 3bfd0 5f52585f 42554600 030e504f 4f4c5f49 _RX_BUF...POOL_I + 3bfe0 445f4d41 58000a00 09425546 5f504f4f D_MAX....BUF_POO + 3bff0 4c5f4944 00000036 6d020103 000036f3 L_ID...6m.....6. + 3c000 04000600 00264001 03000036 fc040006 .....&@....6.... + 3c010 00002640 01030000 37090400 02010300 ..&@....7....... + 3c020 00371604 00076275 665f706f 6f6c5f61 .7....buf_pool_a + 3c030 7069001c 000037b8 085f696e 69740000 pi....7.._init.. + 3c040 00365d02 2300085f 73687574 646f776e .6].#.._shutdown + 3c050 00000036 66022304 085f6372 65617465 ...6f.#.._create + 3c060 5f706f6f 6c000000 36f50223 08085f61 _pool...6..#.._a + 3c070 6c6c6f63 5f627566 00000037 0202230c lloc_buf...7..#. + 3c080 085f616c 6c6f635f 6275665f 616c6967 ._alloc_buf_alig + 3c090 6e000000 370f0223 10085f66 7265655f n...7..#.._free_ + 3c0a0 62756600 00003718 02231408 70526573 buf...7..#..pRes + 3c0b0 65727665 64000000 04040223 1800075f erved......#..._ + 3c0c0 4854435f 53455256 49434500 1c000038 HTC_SERVICE....8 + 3c0d0 9708704e 65787400 00003897 02230008 ..pNext...8..#.. + 3c0e0 50726f63 65737352 6563764d 73670000 ProcessRecvMsg.. + 3c0f0 00394c02 23040850 726f6365 73735365 .9L.#..ProcessSe + 3c100 6e644275 66666572 436f6d70 6c657465 ndBufferComplete + 3c110 00000039 55022308 0850726f 63657373 ...9U.#..Process + 3c120 436f6e6e 65637400 00003969 02230c08 Connect...9i.#.. + 3c130 53657276 69636549 44000000 12e90223 ServiceID......# + 3c140 10085365 72766963 65466c61 67730000 ..ServiceFlags.. + 3c150 0012e902 2312084d 61785376 634d7367 ....#..MaxSvcMsg + 3c160 53697a65 00000012 e9022314 08547261 Size......#..Tra + 3c170 696c6572 53706343 6865636b 4c696d69 ilerSpcCheckLimi + 3c180 74000000 12e90223 16085365 72766963 t......#..Servic + 3c190 65437478 00000004 04022318 00030000 eCtx......#..... + 3c1a0 37b80400 14040000 39351945 4e44504f 7.......95.ENDPO + 3c1b0 494e545f 554e5553 454400ff ffffff0e INT_UNUSED...... + 3c1c0 454e4450 4f494e54 3000000e 454e4450 ENDPOINT0...ENDP + 3c1d0 4f494e54 3100010e 454e4450 4f494e54 OINT1...ENDPOINT + 3c1e0 3200020e 454e4450 4f494e54 3300030e 2...ENDPOINT3... + 3c1f0 454e4450 4f494e54 3400040e 454e4450 ENDPOINT4...ENDP + 3c200 4f494e54 3500050e 454e4450 4f494e54 OINT5...ENDPOINT + 3c210 3600060e 454e4450 4f494e54 3700070e 6...ENDPOINT7... + 3c220 454e4450 4f494e54 3800080e 454e4450 ENDPOINT8...ENDP + 3c230 4f494e54 5f4d4158 00160009 4854435f OINT_MAX....HTC_ + 3c240 454e4450 4f494e54 5f494400 0000389e ENDPOINT_ID...8. + 3c250 02010300 00394a04 00020103 00003953 .....9J.......9S + 3c260 04000300 00010f04 00060000 12d50103 ................ + 3c270 00003963 04000300 0037b804 00075f48 ..9c.....7...._H + 3c280 54435f43 4f4e4649 47001400 0039e808 TC_CONFIG....9.. + 3c290 43726564 69745369 7a650000 00010f02 CreditSize...... + 3c2a0 23000843 72656469 744e756d 62657200 #..CreditNumber. + 3c2b0 0000010f 02230408 4f534861 6e646c65 .....#..OSHandle + 3c2c0 0000001a 3f022308 08484946 48616e64 ....?.#..HIFHand + 3c2d0 6c650000 0028a802 230c0850 6f6f6c48 le...(..#..PoolH + 3c2e0 616e646c 65000000 36440223 1000075f andle...6D.#..._ + 3c2f0 4854435f 4255465f 434f4e54 45585400 HTC_BUF_CONTEXT. + 3c300 0200003a 2408656e 645f706f 696e7400 ...:$.end_point. + 3c310 000012d5 02230008 6874635f 666c6167 .....#..htc_flag + 3c320 73000000 12d50223 01000968 74635f68 s......#...htc_h + 3c330 616e646c 655f7400 00000404 09485443 andle_t......HTC + 3c340 5f534554 55505f43 4f4d504c 4554455f _SETUP_COMPLETE_ + 3c350 43420000 00010809 4854435f 434f4e46 CB......HTC_CONF + 3c360 49470000 00397703 00003a51 04000600 IG...9w...:Q.... + 3c370 003a2401 0300003a 68040002 01030000 .:$....:h....... + 3c380 3a750400 09485443 5f534552 56494345 :u...HTC_SERVICE + 3c390 00000037 b8030000 3a7e0400 02010300 ...7....:~...... + 3c3a0 003a9604 00020103 00003a9f 04000201 .:........:..... + 3c3b0 0300003a a8040006 0000010f 01030000 ...:............ + 3c3c0 3ab10400 07687463 5f617069 73003400 :....htc_apis.4. + 3c3d0 003c2e08 5f485443 5f496e69 74000000 .<.._HTC_Init... + 3c3e0 3a6e0223 00085f48 54435f53 68757464 :n.#.._HTC_Shutd + 3c3f0 6f776e00 00003a77 02230408 5f485443 own...:w.#.._HTC + 3c400 5f526567 69737465 72536572 76696365 _RegisterService + 3c410 0000003a 98022308 085f4854 435f5265 ...:..#.._HTC_Re + 3c420 61647900 00003a77 02230c08 5f485443 ady...:w.#.._HTC + 3c430 5f526574 75726e42 75666665 72730000 _ReturnBuffers.. + 3c440 003aa102 2310085f 4854435f 52657475 .:..#.._HTC_Retu + 3c450 726e4275 66666572 734c6973 74000000 rnBuffersList... + 3c460 3aaa0223 14085f48 54435f53 656e644d :..#.._HTC_SendM + 3c470 73670000 003aa102 2318085f 4854435f sg...:..#.._HTC_ + 3c480 47657452 65736572 76656448 65616472 GetReservedHeadr + 3c490 6f6f6d00 00003ab7 02231c08 5f485443 oom...:..#.._HTC + 3c4a0 5f4d7367 52656376 48616e64 6c657200 _MsgRecvHandler. + 3c4b0 00002855 02232008 5f485443 5f53656e ..(U.# ._HTC_Sen + 3c4c0 64446f6e 6548616e 646c6572 00000028 dDoneHandler...( + 3c4d0 4c022324 085f4854 435f436f 6e74726f L.#$._HTC_Contro + 3c4e0 6c537663 50726f63 6573734d 73670000 lSvcProcessMsg.. + 3c4f0 00394c02 2328085f 4854435f 436f6e74 .9L.#(._HTC_Cont + 3c500 726f6c53 76635072 6f636573 7353656e rolSvcProcessSen + 3c510 64436f6d 706c6574 65000000 39550223 dComplete...9U.# + 3c520 2c087052 65736572 76656400 00000404 ,.pReserved..... + 3c530 02233000 07686f73 745f6170 705f6172 .#0..host_app_ar + 3c540 65615f73 00040000 3c5e0877 6d695f70 ea_s....<^.wmi_p + 3c550 726f746f 636f6c5f 76657200 00001614 rotocol_ver..... + 3c560 02230000 120e0000 3c950864 73744d61 .#......<..dstMa + 3c570 63000000 1c600223 00087372 634d6163 c....`.#..srcMac + 3c580 0000001c 60022306 08747970 654f724c ....`.#..typeOrL + 3c590 656e0000 001ca302 230c000f 0000167b en......#......{ + 3c5a0 0300003c a2100200 12080000 3cf20864 ...<........<..d + 3c5b0 73617000 0000167b 02230008 73736170 sap....{.#..ssap + 3c5c0 00000016 7b022301 08636e74 6c000000 ....{.#..cntl... + 3c5d0 167b0223 02086f72 67436f64 65000000 .{.#..orgCode... + 3c5e0 3c950223 03086574 68657254 79706500 <..#..etherType. + 3c5f0 00001ca3 02230600 12020000 3d130872 .....#......=..r + 3c600 73736900 00001bf9 02230008 696e666f ssi......#..info + 3c610 00000016 7b022301 00120400 003d3a08 ....{.#......=:. + 3c620 636f6d6d 616e6449 64000000 1ca30223 commandId......# + 3c630 00087365 714e6f00 00001ca3 02230200 ..seqNo......#.. + 3c640 0f000016 7b010000 3d471000 00120200 ....{...=G...... + 3c650 003d6e08 6d736753 697a6500 0000167b .=n.msgSize....{ + 3c660 02230008 6d736744 61746100 00003d3a .#..msgData...=: + 3c670 02230100 12080000 3db50861 64647265 .#......=..addre + 3c680 73734c00 00001ca3 02230008 61646472 ssL......#..addr + 3c690 65737348 0000001c a3022302 0876616c essH......#..val + 3c6a0 75654c00 00001ca3 02230408 76616c75 ueL......#..valu + 3c6b0 65480000 001ca302 23060009 574d495f eH......#...WMI_ + 3c6c0 41565400 00003d6e 0f00003d b5080000 AVT...=n...=.... + 3c6d0 3dcf1000 00120c00 003e0608 7475706c =........>..tupl + 3c6e0 654e756d 4c000000 1ca30223 00087475 eNumL......#..tu + 3c6f0 706c654e 756d4800 00001ca3 02230208 pleNumH......#.. + 3c700 61767400 00003dc2 02230400 12010000 avt...=..#...... + 3c710 3e280862 6561636f 6e50656e 64696e67 >(.beaconPending + 3c720 436f756e 74000000 167b0223 0000075f Count....{.#..._ + 3c730 574d495f 5356435f 434f4e46 49470010 WMI_SVC_CONFIG.. + 3c740 00003e91 08487463 48616e64 6c650000 ..>..HtcHandle.. + 3c750 003a2402 23000850 6f6f6c48 616e646c .:$.#..PoolHandl + 3c760 65000000 36440223 04084d61 78436d64 e...6D.#..MaxCmd + 3c770 5265706c 79457674 73000000 010f0223 ReplyEvts......# + 3c780 08084d61 78457665 6e744576 74730000 ..MaxEventEvts.. + 3c790 00010f02 230c0002 01030000 3e910400 ....#.......>... + 3c7a0 09574d49 5f434d44 5f48414e 444c4552 .WMI_CMD_HANDLER + 3c7b0 0000003e 93075f57 4d495f44 49535041 ...>.._WMI_DISPA + 3c7c0 5443485f 454e5452 59000800 003efa08 TCH_ENTRY....>.. + 3c7d0 70436d64 48616e64 6c657200 00003e9a pCmdHandler...>. + 3c7e0 02230008 436d6449 44000000 12e90223 .#..CmdID......# + 3c7f0 0408466c 61677300 000012e9 02230600 ..Flags......#.. + 3c800 075f574d 495f4449 53504154 43485f54 ._WMI_DISPATCH_T + 3c810 41424c45 00100000 3f5b0870 4e657874 ABLE....?[.pNext + 3c820 0000003f 5b022300 0870436f 6e746578 ...?[.#..pContex + 3c830 74000000 04040223 04084e75 6d626572 t......#..Number + 3c840 4f66456e 74726965 73000000 010f0223 OfEntries......# + 3c850 08087054 61626c65 0000003f 7a02230c ..pTable...?z.#. + 3c860 00030000 3efa0400 09574d49 5f444953 ....>....WMI_DIS + 3c870 50415443 485f454e 54525900 00003eaf PATCH_ENTRY...>. + 3c880 0300003f 62040003 00003efa 04000948 ...?b.....>....H + 3c890 54435f42 55465f43 4f4e5445 58540000 TC_BUF_CONTEXT.. + 3c8a0 0039e80d 574d495f 4556545f 434c4153 .9..WMI_EVT_CLAS + 3c8b0 53000400 00401219 574d495f 4556545f S....@..WMI_EVT_ + 3c8c0 434c4153 535f4e4f 4e4500ff ffffff0e CLASS_NONE...... + 3c8d0 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM + 3c8e0 445f4556 454e5400 000e574d 495f4556 D_EVENT...WMI_EV + 3c8f0 545f434c 4153535f 434d445f 5245504c T_CLASS_CMD_REPL + 3c900 5900010e 574d495f 4556545f 434c4153 Y...WMI_EVT_CLAS + 3c910 535f4d41 58000200 09574d49 5f455654 S_MAX....WMI_EVT + 3c920 5f434c41 53530000 003f9d07 5f574d49 _CLASS...?.._WMI + 3c930 5f425546 5f434f4e 54455854 000c0000 _BUF_CONTEXT.... + 3c940 40700848 74634275 66437478 0000003f @p.HtcBufCtx...? + 3c950 88022300 08457665 6e74436c 61737300 ..#..EventClass. + 3c960 00004012 02230408 466c6167 73000000 ..@..#..Flags... + 3c970 12e90223 08000977 6d695f68 616e646c ...#...wmi_handl + 3c980 655f7400 00000404 09574d49 5f535643 e_t......WMI_SVC + 3c990 5f434f4e 46494700 00003e28 03000040 _CONFIG...>(...@ + 3c9a0 82040006 00004070 01030000 409d0400 ......@p....@... + 3c9b0 09574d49 5f444953 50415443 485f5441 .WMI_DISPATCH_TA + 3c9c0 424c4500 00003efa 03000040 aa040002 BLE...>....@.... + 3c9d0 01030000 40c90400 06000026 40010300 ....@......&@... + 3c9e0 0040d204 00020103 000040df 04000600 .@........@..... + 3c9f0 00010f01 03000040 e8040002 01030000 .......@........ + 3ca00 40f50400 06000012 d5010300 0040fe04 @............@.. + 3ca10 00075f77 6d695f73 76635f61 70697300 .._wmi_svc_apis. + 3ca20 2c000042 46085f57 4d495f49 6e697400 ,..BF._WMI_Init. + 3ca30 000040a3 02230008 5f574d49 5f526567 ..@..#.._WMI_Reg + 3ca40 69737465 72446973 70617463 68546162 isterDispatchTab + 3ca50 6c650000 0040cb02 2304085f 574d495f le...@..#.._WMI_ + 3ca60 416c6c6f 63457665 6e740000 0040d802 AllocEvent...@.. + 3ca70 2308085f 574d495f 53656e64 4576656e #.._WMI_SendEven + 3ca80 74000000 40e10223 0c085f57 4d495f47 t...@..#.._WMI_G + 3ca90 65745065 6e64696e 67457665 6e747343 etPendingEventsC + 3caa0 6f756e74 00000040 ee022310 085f574d ount...@..#.._WM + 3cab0 495f5365 6e64436f 6d706c65 74654861 I_SendCompleteHa + 3cac0 6e646c65 72000000 39550223 14085f57 ndler...9U.#.._W + 3cad0 4d495f47 6574436f 6e74726f 6c457000 MI_GetControlEp. + 3cae0 000040ee 02231808 5f574d49 5f536875 ..@..#.._WMI_Shu + 3caf0 74646f77 6e000000 40f70223 1c085f57 tdown...@..#.._W + 3cb00 4d495f52 6563764d 65737361 67654861 MI_RecvMessageHa + 3cb10 6e646c65 72000000 394c0223 20085f57 ndler...9L.# ._W + 3cb20 4d495f53 65727669 6365436f 6e6e6563 MI_ServiceConnec + 3cb30 74000000 41040223 24087052 65736572 t...A..#$.pReser + 3cb40 76656400 00000404 02232800 077a7344 ved......#(..zsD + 3cb50 6d614465 73630014 000042c8 08637472 maDesc....B..ctr + 3cb60 6c000000 01730223 00087374 61747573 l....s.#..status + 3cb70 00000001 73022302 08746f74 616c4c65 ....s.#..totalLe + 3cb80 6e000000 01730223 04086461 74615369 n....s.#..dataSi + 3cb90 7a650000 00017302 2306086c 61737441 ze....s.#..lastA + 3cba0 64647200 000042c8 02230808 64617461 ddr...B..#..data + 3cbb0 41646472 00000001 9702230c 086e6578 Addr......#..nex + 3cbc0 74416464 72000000 42c80223 10000300 tAddr...B..#.... + 3cbd0 00424604 00030000 42460400 077a7344 .BF.....BF...zsD + 3cbe0 6d615175 65756500 08000043 08086865 maQueue....C..he + 3cbf0 61640000 0042cf02 23000874 65726d69 ad...B..#..termi + 3cc00 6e61746f 72000000 42cf0223 0400077a nator...B..#...z + 3cc10 73547844 6d615175 65756500 10000043 sTxDmaQueue....C + 3cc20 6c086865 61640000 0042cf02 23000874 l.head...B..#..t + 3cc30 65726d69 6e61746f 72000000 42cf0223 erminator...B..# + 3cc40 0408786d 69746564 5f627566 5f686561 ..xmited_buf_hea + 3cc50 64000000 14340223 0808786d 69746564 d....4.#..xmited + 3cc60 5f627566 5f746169 6c000000 14340223 _buf_tail....4.# + 3cc70 0c000201 03000043 6c040003 000042d6 .......Cl.....B. + 3cc80 04000201 03000043 7c040003 00004308 .......C|.....C. + 3cc90 04000201 03000043 8c040002 01030000 .......C........ + 3cca0 43950400 02010300 00439e04 00060000 C........C...... + 3ccb0 14340103 000043a7 04000201 03000043 .4....C........C + 3ccc0 b4040006 00001434 01030000 43bd0400 .......4....C... + 3ccd0 02010300 0043ca04 00060000 010f0103 .....C.......... + 3cce0 000043d3 04000600 0042cf01 03000043 ..C......B.....C + 3ccf0 e0040002 01030000 43ed0400 07646d61 ........C....dma + 3cd00 5f656e67 696e655f 61706900 40000045 _engine_api.@..E + 3cd10 63085f69 6e697400 0000436e 02230008 c._init...Cn.#.. + 3cd20 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. + 3cd30 00437e02 2304085f 696e6974 5f74785f .C~.#.._init_tx_ + 3cd40 71756575 65000000 438e0223 08085f63 queue...C..#.._c + 3cd50 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. + 3cd60 00439702 230c085f 786d6974 5f627566 .C..#.._xmit_buf + 3cd70 00000043 a0022310 085f666c 7573685f ...C..#.._flush_ + 3cd80 786d6974 00000043 7e022314 085f7265 xmit...C~.#.._re + 3cd90 61705f72 6563765f 62756600 000043ad ap_recv_buf...C. + 3cda0 02231808 5f726574 75726e5f 72656376 .#.._return_recv + 3cdb0 5f627566 00000043 b602231c 085f7265 _buf...C..#.._re + 3cdc0 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... + 3cdd0 43c30223 20085f73 7761705f 64617461 C..# ._swap_data + 3cde0 00000043 cc022324 085f6861 735f636f ...C..#$._has_co + 3cdf0 6d706c5f 7061636b 65747300 000043d9 mpl_packets...C. + 3ce00 02232808 5f646573 635f6475 6d700000 .#(._desc_dump.. + 3ce10 00437e02 232c085f 6765745f 7061636b .C~.#,._get_pack + 3ce20 65740000 0043e602 2330085f 7265636c et...C..#0._recl + 3ce30 61696d5f 7061636b 65740000 0043ef02 aim_packet...C.. + 3ce40 2334085f 7075745f 7061636b 65740000 #4._put_packet.. + 3ce50 0043ef02 23380870 52657365 72766564 .C..#8.pReserved + 3ce60 00000004 0402233c 00095f41 5f636d6e ......#<.._A_cmn + 3ce70 6f735f69 6e646972 65637469 6f6e5f74 os_indirection_t + 3ce80 61626c65 5f740000 0030a209 574d495f able_t...0..WMI_ + 3ce90 5356435f 41504953 00000041 0b175f41 SVC_APIS...A.._A + 3cea0 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect + 3ceb0 696f6e5f 7461626c 6500034c 00004691 ion_table..L..F. + 3cec0 08636d6e 6f730000 00456302 23000864 .cmnos...Ec.#..d + 3ced0 62670000 0003d103 23b80308 68696600 bg......#...hif. + 3cee0 0000294b 0323c003 08687463 0000003a ..)K.#...htc...: + 3cef0 be0323f8 0308776d 695f7376 635f6170 ..#...wmi_svc_ap + 3cf00 69000000 45850323 ac040875 73626669 i...E..#...usbfi + 3cf10 666f5f61 70690000 00327603 23d80408 fo_api...2v.#... + 3cf20 6275665f 706f6f6c 00000037 1f0323e4 buf_pool...7..#. + 3cf30 04087662 75660000 00145e03 23800508 ..vbuf....^.#... + 3cf40 76646573 63000000 13400323 94050861 vdesc....@.#...a + 3cf50 6c6c6f63 72616d00 00000936 0323a805 llocram....6.#.. + 3cf60 08646d61 5f656e67 696e6500 000043f6 .dma_engine...C. + 3cf70 0323b405 08646d61 5f6c6962 0000002b .#...dma_lib...+ + 3cf80 df0323f4 05086869 665f7063 69000000 ..#...hif_pci... + 3cf90 2e3f0323 a8060009 5f415f6d 61677069 .?.#...._A_magpi + 3cfa0 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta + 3cfb0 626c655f 74000000 45971404 00004716 ble_t...E.....G. + 3cfc0 0e574454 5f414354 494f4e5f 4e4f0000 .WDT_ACTION_NO.. + 3cfd0 0e574454 5f414354 494f4e5f 494e5452 .WDT_ACTION_INTR + 3cfe0 00010e57 44545f41 4354494f 4e5f4e4d ...WDT_ACTION_NM + 3cff0 4900020e 5744545f 41435449 4f4e5f52 I...WDT_ACTION_R + 3d000 45534554 00030e57 44545f41 4354494f ESET...WDT_ACTIO + 3d010 4e5f554e 4b4e4f57 4e000400 09545f57 N_UNKNOWN....T_W + 3d020 44545f41 4354494f 4e5f5459 50450000 DT_ACTION_TYPE.. + 3d030 0046b412 0c000047 61087374 61746500 .F.....Ga.state. + 3d040 000007f4 02230008 61637469 6f6e0000 .....#..action.. + 3d050 00471602 23040874 696d656f 75740000 .G..#..timeout.. + 3d060 0001ac02 23080009 545f5744 545f4354 ....#...T_WDT_CT + 3d070 524c0000 00472d1a 7764745f 6374726c RL...G-.wdt_ctrl + 3d080 00000047 61050300 500a3801 03000001 ...Ga...P.8..... + 3d090 ac040003 00000e0b 04000201 03000043 ...............C + 3d0a0 6c040003 0000436c 04000300 00436c04 l.....Cl.....Cl. + 3d0b0 00030000 436c0400 1b011763 6d6e6f73 ....Cl.....cmnos + 3d0c0 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 3d0d0 000ded01 01039201 20029000 008e2c7c ........ .....,| + 3d0e0 008e2ca7 1c013763 6d6e6f73 5f776474 ..,...7cmnos_wdt + 3d0f0 5f726573 65740001 03920120 02900000 _reset..... .... + 3d100 8e2ca800 8e2cc21d 0143636d 6e6f735f .,...,...Ccmnos_ + 3d110 7764745f 73657400 01010392 01200290 wdt_set...... .. + 3d120 00008e2c c4008e2c ec000048 391e0143 ...,...,...H9..C + 3d130 7764745f 636d6400 00000d88 0152001f wdt_cmd......R.. + 3d140 015d636d 6e6f735f 7764745f 656e6162 .]cmnos_wdt_enab + 3d150 6c650001 03920130 02900000 8e2cec00 le.....0.....,.. + 3d160 8e2d0a00 00487220 7764745f 636d6400 .-...Hr wdt_cmd. + 3d170 00000d88 02915000 1f016c63 6d6e6f73 ......P...lcmnos + 3d180 5f776474 5f646973 61626c65 00010392 _wdt_disable.... + 3d190 01300290 00008e2d 0c008e2d 28000048 .0.....-...-(..H + 3d1a0 ac207764 745f636d 64000000 0d880291 . wdt_cmd....... + 3d1b0 50001d01 7e636d6e 6f735f77 64745f69 P...~cmnos_wdt_i + 3d1c0 6e697400 01010392 01300290 00008e2d nit......0.....- + 3d1d0 28008e2d 50000048 e4207764 745f636d (..-P..H. wdt_cm + 3d1e0 64000000 0d880291 50001c01 94636d6e d.......P....cmn + 3d1f0 6f735f77 64745f74 61736b00 01039201 os_wdt_task..... + 3d200 20029000 008e2d50 008e2d68 21019f63 .....-P..-h!..c + 3d210 6d6e6f73 5f776474 5f6d6f64 756c655f mnos_wdt_module_ + 3d220 696e7374 616c6c00 01010392 01200290 install...... .. + 3d230 00008e2d 68008e2d 901e019f 74626c00 ...-h..-....tbl. + 3d240 0000478d 01520000 00000049 a9000200 ..G..R.....I.... + 3d250 00160404 012f726f 6f742f57 6f726b73 ...../root/Works + 3d260 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 3d270 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 3d280 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 3d290 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 3d2a0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 3d2b0 6f6d2f63 6d6e6f73 2f756172 742f7372 om/cmnos/uart/sr + 3d2c0 632f7561 72745f61 70692e63 002f726f c/uart_api.c./ro + 3d2d0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 3d2e0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 3d2f0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 3d300 636d6e6f 732f7561 72740078 742d7863 cmnos/uart.xt-xc + 3d310 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 3d320 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 3d330 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - + 3d340 4f50543a 73706163 65000100 0000d36e OPT:space......n + 3d350 02010300 00010704 0004696e 74000504 ..........int... + 3d360 04636861 72000701 05000001 17050000 .char........... + 3d370 01170300 00012404 00060000 01100103 ......$......... + 3d380 00000130 04000770 72696e74 665f6170 ...0...printf_ap + 3d390 69000800 00017408 5f707269 6e74665f i.....t._printf_ + 3d3a0 696e6974 00000001 09022300 085f7072 init......#.._pr + 3d3b0 696e7466 00000001 36022304 00047368 intf....6.#...sh + 3d3c0 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 3d3d0 00070209 75696e74 31365f74 00000001 ....uint16_t.... + 3d3e0 74046c6f 6e672075 6e736967 6e656420 t.long unsigned + 3d3f0 696e7400 07040975 696e7433 325f7400 int....uint32_t. + 3d400 00000198 07756172 745f6669 666f0008 .....uart_fifo.. + 3d410 00000206 08737461 72745f69 6e646578 .....start_index + 3d420 00000001 8a022300 08656e64 5f696e64 ......#..end_ind + 3d430 65780000 00018a02 2302086f 76657272 ex......#..overr + 3d440 756e5f65 72720000 0001ad02 23040007 un_err......#... + 3d450 75617274 5f617069 00200000 02bf085f uart_api. ....._ + 3d460 75617274 5f696e69 74000000 03160223 uart_init......# + 3d470 00085f75 6172745f 63686172 5f707574 .._uart_char_put + 3d480 00000003 3d022304 085f7561 72745f63 ....=.#.._uart_c + 3d490 6861725f 67657400 00000351 02230808 har_get....Q.#.. + 3d4a0 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 3d4b0 035a0223 0c085f75 6172745f 7461736b .Z.#.._uart_task + 3d4c0 00000001 09022310 085f7561 72745f73 ......#.._uart_s + 3d4d0 74617475 73000000 03160223 14085f75 tatus......#.._u + 3d4e0 6172745f 636f6e66 69670000 00036302 art_config....c. + 3d4f0 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. + 3d500 0000036c 02231c00 03000002 06040007 ...l.#.......... + 3d510 75617274 5f626c6b 00100000 03100864 uart_blk.......d + 3d520 65627567 5f6d6f64 65000000 018a0223 ebug_mode......# + 3d530 00086261 75640000 00018a02 2302085f ..baud......#.._ + 3d540 75617274 00000002 bf022304 085f7478 uart......#.._tx + 3d550 00000001 bb022308 00060000 01ad0103 ......#......... + 3d560 00000310 04000475 6e736967 6e656420 .......unsigned + 3d570 63686172 00070109 75696e74 385f7400 char....uint8_t. + 3d580 0000031d 02010300 00033b04 00030000 ..........;..... + 3d590 032e0400 06000001 8a010300 00034b04 ..............K. + 3d5a0 00020103 00000358 04000201 03000003 .......X........ + 3d5b0 61040002 01030000 036a0400 03000001 a........j...... + 3d5c0 17040006 00000110 01030000 037a0400 .............z.. + 3d5d0 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU + 3d5e0 4354000c 000003d2 08636d64 5f737472 CT.......cmd_str + 3d5f0 00000003 73022300 0868656c 705f7374 ....s.#..help_st + 3d600 72000000 03730223 0408636d 645f6675 r....s.#..cmd_fu + 3d610 6e630000 00038002 23080007 6462675f nc......#...dbg_ + 3d620 61706900 08000004 05085f64 62675f69 api......._dbg_i + 3d630 6e697400 00000109 02230008 5f646267 nit......#.._dbg + 3d640 5f746173 6b000000 01090223 04000a04 _task......#.... + 3d650 0004756e 7369676e 65642069 6e740007 ..unsigned int.. + 3d660 04060000 04050103 00000418 04000b0b ................ + 3d670 03000004 26040006 00000405 01030000 ....&........... + 3d680 042e0400 06000001 10010300 00043b04 ..............;. + 3d690 00076d65 6d5f6170 69001400 0004aa08 ..mem_api....... + 3d6a0 5f6d656d 5f696e69 74000000 01090223 _mem_init......# + 3d6b0 00085f6d 656d7365 74000000 041e0223 .._memset......# + 3d6c0 04085f6d 656d6370 79000000 04340223 .._memcpy....4.# + 3d6d0 08085f6d 656d6d6f 76650000 00043402 .._memmove....4. + 3d6e0 230c085f 6d656d63 6d700000 00044102 #.._memcmp....A. + 3d6f0 2310000c 72656769 73746572 5f64756d #...register_dum + 3d700 705f7300 00010300 0004aa04 00020103 p_s............. + 3d710 000004c4 04000201 03000004 cd040006 ................ + 3d720 00000110 01030000 04d60400 0d686f73 .............hos + 3d730 7469665f 73000400 0005320e 4849465f tif_s.....2.HIF_ + 3d740 55534200 000e4849 465f5043 49450001 USB...HIF_PCIE.. + 3d750 0e484946 5f474d41 4300020e 4849465f .HIF_GMAC...HIF_ + 3d760 50434900 030e4849 465f4e55 4d00040e PCI...HIF_NUM... + 3d770 4849465f 4e4f4e45 00050009 415f484f HIF_NONE....A_HO + 3d780 53544946 00000004 e3060000 05320103 STIF.........2.. + 3d790 00000540 04000600 00032e01 03000005 ...@............ + 3d7a0 4d040006 0000018a 01030000 055a0400 M............Z.. + 3d7b0 076d6973 635f6170 69002400 00064a08 .misc_api.$...J. + 3d7c0 5f737973 74656d5f 72657365 74000000 _system_reset... + 3d7d0 01090223 00085f6d 61635f72 65736574 ...#.._mac_reset + 3d7e0 00000001 09022304 085f6173 73666169 ......#.._assfai + 3d7f0 6c000000 04c60223 08085f6d 6973616c l......#.._misal + 3d800 69676e65 645f6c6f 61645f68 616e646c igned_load_handl + 3d810 65720000 0004c602 230c085f 7265706f er......#.._repo + 3d820 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho + 3d830 73740000 0004cf02 2310085f 74617267 st......#.._targ + 3d840 65745f69 645f6765 74000000 04dc0223 et_id_get......# + 3d850 14085f69 735f686f 73745f70 72657365 .._is_host_prese + 3d860 6e740000 00054602 2318085f 6b626869 nt....F.#.._kbhi + 3d870 74000000 05530223 1c085f72 6f6d5f76 t....S.#.._rom_v + 3d880 65727369 6f6e5f67 65740000 00056002 ersion_get....`. + 3d890 23200006 00000373 01030000 064a0400 # .....s.....J.. + 3d8a0 06000003 73010300 00065704 00060000 ....s.....W..... + 3d8b0 01100103 00000664 04000600 00011001 .......d........ + 3d8c0 03000006 71040006 00000110 01030000 ....q........... + 3d8d0 067e0400 07737472 696e675f 61706900 .~...string_api. + 3d8e0 18000007 04085f73 7472696e 675f696e ......_string_in + 3d8f0 69740000 00010902 2300085f 73747263 it......#.._strc + 3d900 70790000 00065002 2304085f 7374726e py....P.#.._strn + 3d910 63707900 0000065d 02230808 5f737472 cpy....].#.._str + 3d920 6c656e00 0000066a 02230c08 5f737472 len....j.#.._str + 3d930 636d7000 00000677 02231008 5f737472 cmp....w.#.._str + 3d940 6e636d70 00000006 84022314 000f0000 ncmp......#..... + 3d950 04081400 00071110 0400095f 415f5449 ..........._A_TI + 3d960 4d45525f 53504143 45000000 07040941 MER_SPACE......A + 3d970 5f74696d 65725f74 00000007 11030000 _timer_t........ + 3d980 07250400 02010300 00073b04 00020103 .%........;..... + 3d990 00000744 04000941 5f48414e 444c4500 ...D...A_HANDLE. + 3d9a0 00000408 02010941 5f54494d 45525f46 .......A_TIMER_F + 3d9b0 554e4300 0000075b 03000007 5d040002 UNC....[....]... + 3d9c0 01030000 07760400 0774696d 65725f61 .....v...timer_a + 3d9d0 70690014 000007f5 085f7469 6d65725f pi......._timer_ + 3d9e0 696e6974 00000001 09022300 085f7469 init......#.._ti + 3d9f0 6d65725f 61726d00 0000073d 02230408 mer_arm....=.#.. + 3da00 5f74696d 65725f64 69736172 6d000000 _timer_disarm... + 3da10 07460223 08085f74 696d6572 5f736574 .F.#.._timer_set + 3da20 666e0000 00077802 230c085f 74696d65 fn....x.#.._time + 3da30 725f7275 6e000000 01090223 10000942 r_run......#...B + 3da40 4f4f4c45 414e0000 00018a06 000007f5 OOLEAN.......... + 3da50 01030000 08020400 06000007 f5010300 ................ + 3da60 00080f04 00060000 07f50103 0000081c ................ + 3da70 04000772 6f6d705f 61706900 10000008 ...romp_api..... + 3da80 8e085f72 6f6d705f 696e6974 00000001 .._romp_init.... + 3da90 09022300 085f726f 6d705f64 6f776e6c ..#.._romp_downl + 3daa0 6f616400 00000808 02230408 5f726f6d oad......#.._rom + 3dab0 705f696e 7374616c 6c000000 08150223 p_install......# + 3dac0 08085f72 6f6d705f 6465636f 64650000 .._romp_decode.. + 3dad0 00082202 230c0007 726f6d5f 70617463 ..".#...rom_patc + 3dae0 685f7374 00100000 08ea0863 72633136 h_st.......crc16 + 3daf0 00000001 8a022300 086c656e 00000001 ......#..len.... + 3db00 8a022302 086c645f 61646472 00000001 ..#..ld_addr.... + 3db10 ad022304 0866756e 5f616464 72000000 ..#..fun_addr... + 3db20 01ad0223 08087066 756e0000 00034402 ...#..pfun....D. + 3db30 230c0007 6565705f 72656469 725f6164 #...eep_redir_ad + 3db40 64720004 0000091c 086f6666 73657400 dr.......offset. + 3db50 0000018a 02230008 73697a65 00000001 .....#..size.... + 3db60 8a022302 0009415f 55494e54 33320000 ..#...A_UINT32.. + 3db70 00040806 00000405 01030000 092a0400 .............*.. + 3db80 07616c6c 6f637261 6d5f6170 69000c00 .allocram_api... + 3db90 00099b08 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr + 3dba0 616d5f69 6e697400 00000930 02230008 am_init....0.#.. + 3dbb0 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 3dbc0 00093002 23040863 6d6e6f73 5f616c6c ..0.#..cmnos_all + 3dbd0 6f637261 6d5f6465 62756700 00000109 ocram_debug..... + 3dbe0 02230800 02010300 00099b04 0009415f .#............A_ + 3dbf0 5441534b 4c45545f 46554e43 00000009 TASKLET_FUNC.... + 3dc00 9d075f74 61736b6c 65740010 000009fc .._tasklet...... + 3dc10 0866756e 63000000 09a40223 00086172 .func......#..ar + 3dc20 67000000 04050223 04087374 61746500 g......#..state. + 3dc30 00000110 02230808 6e657874 00000009 .....#..next.... + 3dc40 fc02230c 00030000 09b80400 03000009 ..#............. + 3dc50 b8040009 415f7461 736b6c65 745f7400 ....A_tasklet_t. + 3dc60 000009b8 0300000a 0a040002 01030000 ................ + 3dc70 0a220400 02010300 000a2b04 00077461 ."........+...ta + 3dc80 736b6c65 745f6170 69001400 000ac008 sklet_api....... + 3dc90 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 3dca0 01090223 00085f74 61736b6c 65745f69 ...#.._tasklet_i + 3dcb0 6e69745f 7461736b 0000000a 24022304 nit_task....$.#. + 3dcc0 085f7461 736b6c65 745f6469 7361626c ._tasklet_disabl + 3dcd0 65000000 0a2d0223 08085f74 61736b6c e....-.#.._taskl + 3dce0 65745f73 63686564 756c6500 00000a2d et_schedule....- + 3dcf0 02230c08 5f746173 6b6c6574 5f72756e .#.._tasklet_run + 3dd00 00000001 09022310 00020103 00000ac0 ......#......... + 3dd10 04000600 00091c01 0300000a c9040002 ................ + 3dd20 01030000 0ad60400 07636c6f 636b5f61 .........clock_a + 3dd30 70690024 00000bb8 085f636c 6f636b5f pi.$....._clock_ + 3dd40 696e6974 0000000a c2022300 085f636c init......#.._cl + 3dd50 6f636b72 6567735f 696e6974 00000001 ockregs_init.... + 3dd60 09022304 085f7561 72745f66 72657175 ..#.._uart_frequ + 3dd70 656e6379 0000000a cf022308 085f6465 ency......#.._de + 3dd80 6c61795f 75730000 000ad802 230c085f lay_us......#.._ + 3dd90 776c616e 5f62616e 645f7365 74000000 wlan_band_set... + 3dda0 0ad80223 10085f72 6566636c 6b5f7370 ...#.._refclk_sp + 3ddb0 6565645f 67657400 00000acf 02231408 eed_get......#.. + 3ddc0 5f6d696c 6c697365 636f6e64 73000000 _milliseconds... + 3ddd0 0acf0223 18085f73 7973636c 6b5f6368 ...#.._sysclk_ch + 3dde0 616e6765 00000001 0902231c 085f636c ange......#.._cl + 3ddf0 6f636b5f 7469636b 00000001 09022320 ock_tick......# + 3de00 00060000 01ad0103 00000bb8 04000941 ...............A + 3de10 5f6f6c64 5f696e74 725f7400 000001ad _old_intr_t..... + 3de20 0600000b c5010300 000bd704 00020103 ................ + 3de30 00000be4 04000201 0300000b ed040006 ................ + 3de40 000001ad 01030000 0bf60400 09415f69 .............A_i + 3de50 73725f74 0000000b fc020103 00000c10 sr_t............ + 3de60 04000600 00040801 0300000c 19040002 ................ + 3de70 01030000 0c260400 07696e74 725f6170 .....&...intr_ap + 3de80 69002c00 000d4808 5f696e74 725f696e i.,...H._intr_in + 3de90 69740000 00010902 2300085f 696e7472 it......#.._intr + 3dea0 5f696e76 6f6b655f 69737200 00000bbe _invoke_isr..... + 3deb0 02230408 5f696e74 725f6469 7361626c .#.._intr_disabl + 3dec0 65000000 0bdd0223 08085f69 6e74725f e......#.._intr_ + 3ded0 72657374 6f726500 00000be6 02230c08 restore......#.. + 3dee0 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. + 3def0 00000bef 02231008 5f696e74 725f756e .....#.._intr_un + 3df00 6d61736b 5f696e75 6d000000 0bef0223 mask_inum......# + 3df10 14085f69 6e74725f 61747461 63685f69 .._intr_attach_i + 3df20 73720000 000c1202 2318085f 6765745f sr......#.._get_ + 3df30 696e7472 656e6162 6c650000 000c1f02 intrenable...... + 3df40 231c085f 7365745f 696e7472 656e6162 #.._set_intrenab + 3df50 6c650000 000c2802 2320085f 6765745f le....(.# ._get_ + 3df60 696e7472 70656e64 696e6700 00000c1f intrpending..... + 3df70 02232408 5f756e62 6c6f636b 5f616c6c .#$._unblock_all + 3df80 5f696e74 726c766c 00000001 09022328 _intrlvl......#( + 3df90 00110400 000d6e08 74696d65 6f757400 ......n.timeout. + 3dfa0 000001ad 02230008 61637469 6f6e0000 .....#..action.. + 3dfb0 0001ad02 23000012 0800000d 8908636d ....#.........cm + 3dfc0 64000000 01ad0223 00130000 0d480223 d......#.....H.# + 3dfd0 04000954 5f574454 5f434d44 0000000d ...T_WDT_CMD.... + 3dfe0 6e020103 00000d98 04001404 00000dee n............... + 3dff0 0e454e55 4d5f5744 545f424f 4f540001 .ENUM_WDT_BOOT.. + 3e000 0e454e55 4d5f434f 4c445f42 4f4f5400 .ENUM_COLD_BOOT. + 3e010 020e454e 554d5f53 5553505f 424f4f54 ..ENUM_SUSP_BOOT + 3e020 00030e45 4e554d5f 554e4b4e 4f574e5f ...ENUM_UNKNOWN_ + 3e030 424f4f54 00040009 545f424f 4f545f54 BOOT....T_BOOT_T + 3e040 59504500 00000da1 0600000d ee010300 YPE............. + 3e050 000dff04 00077764 745f6170 69001c00 ......wdt_api... + 3e060 000ea308 5f776474 5f696e69 74000000 ...._wdt_init... + 3e070 01090223 00085f77 64745f65 6e61626c ...#.._wdt_enabl + 3e080 65000000 01090223 04085f77 64745f64 e......#.._wdt_d + 3e090 69736162 6c650000 00010902 2308085f isable......#.._ + 3e0a0 7764745f 73657400 00000d9a 02230c08 wdt_set......#.. + 3e0b0 5f776474 5f746173 6b000000 01090223 _wdt_task......# + 3e0c0 10085f77 64745f72 65736574 00000001 .._wdt_reset.... + 3e0d0 09022314 085f7764 745f6c61 73745f62 ..#.._wdt_last_b + 3e0e0 6f6f7400 00000e05 02231800 14040000 oot......#...... + 3e0f0 0f0a0e52 45545f53 55434345 53530000 ...RET_SUCCESS.. + 3e100 0e524554 5f4e4f54 5f494e49 5400010e .RET_NOT_INIT... + 3e110 5245545f 4e4f545f 45584953 5400020e RET_NOT_EXIST... + 3e120 5245545f 4545505f 434f5252 55505400 RET_EEP_CORRUPT. + 3e130 030e5245 545f4545 505f4f56 4552464c ..RET_EEP_OVERFL + 3e140 4f570004 0e524554 5f554e4b 4e4f574e OW...RET_UNKNOWN + 3e150 00050009 545f4545 505f5245 54000000 ....T_EEP_RET... + 3e160 0ea30300 00018a04 00060000 0f0a0103 ................ + 3e170 00000f20 04000600 000f0a01 0300000f ... ............ + 3e180 2d040007 6565705f 61706900 1000000f -...eep_api..... + 3e190 96085f65 65705f69 6e697400 00000109 .._eep_init..... + 3e1a0 02230008 5f656570 5f726561 64000000 .#.._eep_read... + 3e1b0 0f260223 04085f65 65705f77 72697465 .&.#.._eep_write + 3e1c0 0000000f 26022308 085f6565 705f6973 ....&.#.._eep_is + 3e1d0 5f657869 73740000 000f3302 230c0007 _exist....3.#... + 3e1e0 7573625f 61706900 70000012 43085f75 usb_api.p...C._u + 3e1f0 73625f69 6e697400 00000109 02230008 sb_init......#.. + 3e200 5f757362 5f726f6d 5f746173 6b000000 _usb_rom_task... + 3e210 01090223 04085f75 73625f66 775f7461 ...#.._usb_fw_ta + 3e220 736b0000 00010902 2308085f 7573625f sk......#.._usb_ + 3e230 696e6974 5f706879 00000001 0902230c init_phy......#. + 3e240 085f7573 625f6570 305f7365 74757000 ._usb_ep0_setup. + 3e250 00000109 02231008 5f757362 5f657030 .....#.._usb_ep0 + 3e260 5f747800 00000109 02231408 5f757362 _tx......#.._usb + 3e270 5f657030 5f727800 00000109 02231808 _ep0_rx......#.. + 3e280 5f757362 5f676574 5f696e74 65726661 _usb_get_interfa + 3e290 63650000 00081502 231c085f 7573625f ce......#.._usb_ + 3e2a0 7365745f 696e7465 72666163 65000000 set_interface... + 3e2b0 08150223 20085f75 73625f67 65745f63 ...# ._usb_get_c + 3e2c0 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 3e2d0 15022324 085f7573 625f7365 745f636f ..#$._usb_set_co + 3e2e0 6e666967 75726174 696f6e00 00000815 nfiguration..... + 3e2f0 02232808 5f757362 5f737461 6e646172 .#(._usb_standar + 3e300 645f636d 64000000 08150223 2c085f75 d_cmd......#,._u + 3e310 73625f76 656e646f 725f636d 64000000 sb_vendor_cmd... + 3e320 01090223 30085f75 73625f70 6f776572 ...#0._usb_power + 3e330 5f6f6666 00000001 09022334 085f7573 _off......#4._us + 3e340 625f7265 7365745f 6669666f 00000001 b_reset_fifo.... + 3e350 09022338 085f7573 625f6765 6e5f7764 ..#8._usb_gen_wd + 3e360 74000000 01090223 3c085f75 73625f6a t......#<._usb_j + 3e370 756d705f 626f6f74 00000001 09022340 ump_boot......#@ + 3e380 085f7573 625f636c 725f6665 61747572 ._usb_clr_featur + 3e390 65000000 08150223 44085f75 73625f73 e......#D._usb_s + 3e3a0 65745f66 65617475 72650000 00081502 et_feature...... + 3e3b0 2348085f 7573625f 7365745f 61646472 #H._usb_set_addr + 3e3c0 65737300 00000815 02234c08 5f757362 ess......#L._usb + 3e3d0 5f676574 5f646573 63726970 746f7200 _get_descriptor. + 3e3e0 00000815 02235008 5f757362 5f676574 .....#P._usb_get + 3e3f0 5f737461 74757300 00000815 02235408 _status......#T. + 3e400 5f757362 5f736574 75705f64 65736300 _usb_setup_desc. + 3e410 00000109 02235808 5f757362 5f726567 .....#X._usb_reg + 3e420 5f6f7574 00000001 0902235c 085f7573 _out......#\._us + 3e430 625f7374 61747573 5f696e00 00000109 b_status_in..... + 3e440 02236008 5f757362 5f657030 5f74785f .#`._usb_ep0_tx_ + 3e450 64617461 00000001 09022364 085f7573 data......#d._us + 3e460 625f6570 305f7278 5f646174 61000000 b_ep0_rx_data... + 3e470 01090223 68085f75 73625f63 6c6b5f69 ...#h._usb_clk_i + 3e480 6e697400 00000109 02236c00 075f5644 nit......#l.._VD + 3e490 45534300 24000012 cf086e65 78745f64 ESC.$.....next_d + 3e4a0 65736300 000012cf 02230008 6275665f esc......#..buf_ + 3e4b0 61646472 00000012 e3022304 08627566 addr......#..buf + 3e4c0 5f73697a 65000000 12ea0223 08086461 _size......#..da + 3e4d0 74615f6f 66667365 74000000 12ea0223 ta_offset......# + 3e4e0 0a086461 74615f73 697a6500 000012ea ..data_size..... + 3e4f0 02230c08 636f6e74 726f6c00 000012ea .#..control..... + 3e500 02230e08 68775f64 6573635f 62756600 .#..hw_desc_buf. + 3e510 000012f8 02231000 03000012 43040009 .....#......C... + 3e520 415f5549 4e543800 0000031d 03000012 A_UINT8......... + 3e530 d6040009 415f5549 4e543136 00000001 ....A_UINT16.... + 3e540 740f0000 12d61400 00130510 13000300 t............... + 3e550 00124304 00095644 45534300 00001243 ..C...VDESC....C + 3e560 03000013 0c040006 00001317 01030000 ................ + 3e570 131e0400 06000012 e3010300 00132b04 ..............+. + 3e580 00020103 00001338 04000776 64657363 .......8...vdesc + 3e590 5f617069 00140000 13b0085f 696e6974 _api......._init + 3e5a0 0000000a d8022300 085f616c 6c6f635f ......#.._alloc_ + 3e5b0 76646573 63000000 13240223 04085f67 vdesc....$.#.._g + 3e5c0 65745f68 775f6465 73630000 00133102 et_hw_desc....1. + 3e5d0 2308085f 73776170 5f766465 73630000 #.._swap_vdesc.. + 3e5e0 00133a02 230c0870 52657365 72766564 ..:.#..pReserved + 3e5f0 00000004 05022310 00075f56 42554600 ......#..._VBUF. + 3e600 20000014 10086465 73635f6c 69737400 .....desc_list. + 3e610 00001317 02230008 6e657874 5f627566 .....#..next_buf + 3e620 00000014 10022304 08627566 5f6c656e ......#..buf_len + 3e630 67746800 000012ea 02230808 72657365 gth......#..rese + 3e640 72766564 00000014 1702230a 08637478 rved......#..ctx + 3e650 00000012 f802230c 00030000 13b00400 ......#......... + 3e660 0f000012 d6020000 14241001 00030000 .........$...... + 3e670 13b00400 09564255 46000000 13b00300 .....VBUF....... + 3e680 00142b04 00060000 14350103 0000143c ..+......5.....< + 3e690 04000600 00143501 03000014 49040002 ......5.....I... + 3e6a0 01030000 14560400 07766275 665f6170 .....V...vbuf_ap + 3e6b0 69001400 0014d408 5f696e69 74000000 i......._init... + 3e6c0 0ad80223 00085f61 6c6c6f63 5f766275 ...#.._alloc_vbu + 3e6d0 66000000 14420223 04085f61 6c6c6f63 f....B.#.._alloc + 3e6e0 5f766275 665f7769 74685f73 697a6500 _vbuf_with_size. + 3e6f0 0000144f 02230808 5f667265 655f7662 ...O.#.._free_vb + 3e700 75660000 00145802 230c0870 52657365 uf....X.#..pRese + 3e710 72766564 00000004 05022310 00075f5f rved......#...__ + 3e720 6164665f 64657669 63650004 000014f6 adf_device...... + 3e730 0864756d 6d790000 00011002 23000003 .dummy......#... + 3e740 0000091c 0400075f 5f616466 5f646d61 .......__adf_dma + 3e750 5f6d6170 000c0000 153d0862 75660000 _map.....=.buf.. + 3e760 00143502 23000864 735f6164 64720000 ..5.#..ds_addr.. + 3e770 0014f602 23040864 735f6c65 6e000000 ....#..ds_len... + 3e780 12ea0223 0800120c 00001577 085f5f76 ...#.......w.__v + 3e790 615f7374 6b000000 03730223 00085f5f a_stk....s.#..__ + 3e7a0 76615f72 65670000 00037302 2304085f va_reg....s.#.._ + 3e7b0 5f76615f 6e647800 00000110 02230800 _va_ndx......#.. + 3e7c0 095f5f61 64665f6f 735f646d 615f6164 .__adf_os_dma_ad + 3e7d0 64725f74 00000009 1c096164 665f6f73 dr_t......adf_os + 3e7e0 5f646d61 5f616464 725f7400 00001577 _dma_addr_t....w + 3e7f0 095f5f61 64665f6f 735f646d 615f7369 .__adf_os_dma_si + 3e800 7a655f74 00000009 1c096164 665f6f73 ze_t......adf_os + 3e810 5f646d61 5f73697a 655f7400 000015a7 _dma_size_t..... + 3e820 075f5f64 6d615f73 65677300 08000016 .__dma_segs..... + 3e830 03087061 64647200 00001590 02230008 ..paddr......#.. + 3e840 6c656e00 000015c0 02230400 095f5f61 len......#...__a + 3e850 5f75696e 7433325f 74000000 091c0961 _uint32_t......a + 3e860 5f75696e 7433325f 74000000 16030f00 _uint32_t....... + 3e870 0015d708 00001632 10000007 6164665f .......2....adf_ + 3e880 6f735f64 6d616d61 705f696e 666f000c os_dmamap_info.. + 3e890 0000166b 086e7365 67730000 00161502 ...k.nsegs...... + 3e8a0 23000864 6d615f73 65677300 00001625 #..dma_segs....% + 3e8b0 02230400 095f5f61 5f75696e 74385f74 .#...__a_uint8_t + 3e8c0 00000012 d609615f 75696e74 385f7400 ......a_uint8_t. + 3e8d0 0000166b 03000016 7c040007 5f5f7367 ...k....|...__sg + 3e8e0 5f736567 73000800 0016bd08 76616464 _segs.......vadd + 3e8f0 72000000 168b0223 00086c65 6e000000 r......#..len... + 3e900 16150223 04000f00 00169220 000016ca ...#....... .... + 3e910 10030007 6164665f 6f735f73 676c6973 ....adf_os_sglis + 3e920 74002400 0016fd08 6e736567 73000000 t.$.....nsegs... + 3e930 16150223 00087367 5f736567 73000000 ...#..sg_segs... + 3e940 16bd0223 04001210 00001746 0876656e ...#.......F.ven + 3e950 646f7200 00001615 02230008 64657669 dor......#..devi + 3e960 63650000 00161502 23040873 75627665 ce......#..subve + 3e970 6e646f72 00000016 15022308 08737562 ndor......#..sub + 3e980 64657669 63650000 00161502 230c0004 device......#... + 3e990 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign + 3e9a0 65642069 6e740007 0809415f 55494e54 ed int....A_UINT + 3e9b0 36340000 00174609 5f5f615f 75696e74 64....F.__a_uint + 3e9c0 36345f74 00000017 6009615f 75696e74 64_t....`.a_uint + 3e9d0 36345f74 00000017 6e140400 0017cc0e 64_t....n....... + 3e9e0 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ + 3e9f0 54595045 5f4d454d 00000e41 44465f4f TYPE_MEM...ADF_O + 3ea00 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 3ea10 494f0001 00096164 665f6f73 5f726573 IO....adf_os_res + 3ea20 6f757263 655f7479 70655f74 00000017 ource_type_t.... + 3ea30 90121800 00181608 73746172 74000000 ........start... + 3ea40 17800223 0008656e 64000000 17800223 ...#..end......# + 3ea50 08087479 70650000 0017cc02 23100009 ..type......#... + 3ea60 6164665f 6f735f70 63695f64 65765f69 adf_os_pci_dev_i + 3ea70 645f7400 000016fd 03000018 16040011 d_t............. + 3ea80 04000018 55087063 69000000 182f0223 ....U.pci..../.# + 3ea90 00087261 77000000 04050223 00001110 ..raw......#.... + 3eaa0 00001874 08706369 00000018 16022300 ...t.pci......#. + 3eab0 08726177 00000004 05022300 00096164 .raw......#...ad + 3eac0 665f6472 765f6861 6e646c65 5f740000 f_drv_handle_t.. + 3ead0 00040509 6164665f 6f735f72 65736f75 ....adf_os_resou + 3eae0 7263655f 74000000 17e80300 00188a04 rce_t........... + 3eaf0 00096164 665f6f73 5f617474 6163685f ..adf_os_attach_ + 3eb00 64617461 5f740000 00185503 000018a8 data_t....U..... + 3eb10 04000300 0014d404 00095f5f 6164665f ..........__adf_ + 3eb20 6f735f64 65766963 655f7400 000018c9 os_device_t..... + 3eb30 09616466 5f6f735f 64657669 63655f74 .adf_os_device_t + 3eb40 00000018 d0060000 18740103 000018fc .........t...... + 3eb50 04000201 03000019 09040009 6164665f ............adf_ + 3eb60 6f735f70 6d5f7400 00000405 02010300 os_pm_t......... + 3eb70 00192304 00140400 0019630e 4144465f ..#.......c.ADF_ + 3eb80 4f535f42 55535f54 5950455f 50434900 OS_BUS_TYPE_PCI. + 3eb90 010e4144 465f4f53 5f425553 5f545950 ..ADF_OS_BUS_TYP + 3eba0 455f4745 4e455249 43000200 09616466 E_GENERIC....adf + 3ebb0 5f6f735f 6275735f 74797065 5f740000 _os_bus_type_t.. + 3ebc0 00192c09 6164665f 6f735f62 75735f72 ..,.adf_os_bus_r + 3ebd0 65675f64 6174615f 74000000 18360300 eg_data_t....6.. + 3ebe0 00031d04 00075f61 64665f64 72765f69 ......_adf_drv_i + 3ebf0 6e666f00 2000001a 40086472 765f6174 nfo. ...@.drv_at + 3ec00 74616368 00000019 02022300 08647276 tach......#..drv + 3ec10 5f646574 61636800 0000190b 02230408 _detach......#.. + 3ec20 6472765f 73757370 656e6400 00001925 drv_suspend....% + 3ec30 02230808 6472765f 72657375 6d650000 .#..drv_resume.. + 3ec40 00190b02 230c0862 75735f74 79706500 ....#..bus_type. + 3ec50 00001963 02231008 6275735f 64617461 ...c.#..bus_data + 3ec60 00000019 7a022314 086d6f64 5f6e616d ....z.#..mod_nam + 3ec70 65000000 19950223 18086966 6e616d65 e......#..ifname + 3ec80 00000019 9502231c 00096164 665f6f73 ......#...adf_os + 3ec90 5f68616e 646c655f 74000000 04050300 _handle_t....... + 3eca0 00166b04 00020102 01095f5f 6164665f ..k.......__adf_ + 3ecb0 6f735f73 697a655f 74000000 04081404 os_size_t....... + 3ecc0 00001a8f 0e415f46 414c5345 00000e41 .....A_FALSE...A + 3ecd0 5f545255 45000100 09615f62 6f6f6c5f _TRUE....a_bool_ + 3ece0 74000000 1a750300 0014fd04 00095f5f t....u........__ + 3ecf0 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 3ed00 0000001a 9d02010d 6164665f 6f735f63 ........adf_os_c + 3ed10 61636865 5f73796e 63000400 001b270e ache_sync.....'. + 3ed20 4144465f 53594e43 5f505245 52454144 ADF_SYNC_PREREAD + 3ed30 00000e41 44465f53 594e435f 50524557 ...ADF_SYNC_PREW + 3ed40 52495445 00020e41 44465f53 594e435f RITE...ADF_SYNC_ + 3ed50 504f5354 52454144 00010e41 44465f53 POSTREAD...ADF_S + 3ed60 594e435f 504f5354 57524954 45000300 YNC_POSTWRITE... + 3ed70 09616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 3ed80 6e635f74 0000001a be020109 6164665f nc_t........adf_ + 3ed90 6f735f73 697a655f 74000000 1a600600 os_size_t....`.. + 3eda0 001b4201 09616466 5f6f735f 646d615f ..B..adf_os_dma_ + 3edb0 6d61705f 74000000 1aa40300 001b5b04 map_t.........[. + 3edc0 00060000 04050103 00001aa4 04000600 ................ + 3edd0 00040501 02010600 00159001 02010473 ...............s + 3ede0 686f7274 20696e74 00050209 415f494e hort int....A_IN + 3edf0 54313600 00001b95 095f5f61 5f696e74 T16......__a_int + 3ee00 31365f74 0000001b a209615f 696e7431 16_t......a_int1 + 3ee10 365f7400 00001baf 04736967 6e656420 6_t......signed + 3ee20 63686172 00050109 415f494e 54380000 char....A_INT8.. + 3ee30 001bcf09 5f5f615f 696e7438 5f740000 ....__a_int8_t.. + 3ee40 001bde09 615f696e 74385f74 0000001b ....a_int8_t.... + 3ee50 ea120c00 001c6108 73757070 6f727465 ......a.supporte + 3ee60 64000000 16150223 00086164 76657274 d......#..advert + 3ee70 697a6564 00000016 15022304 08737065 ized......#..spe + 3ee80 65640000 001bc002 23080864 75706c65 ed......#..duple + 3ee90 78000000 1bfa0223 0a086175 746f6e65 x......#..autone + 3eea0 67000000 167c0223 0b000f00 00167c06 g....|.#......|. + 3eeb0 00001c6e 10050007 6164665f 6e65745f ...n....adf_net_ + 3eec0 65746861 64647200 0600001c 92086164 ethaddr.......ad + 3eed0 64720000 001c6102 23000009 5f5f615f dr....a.#...__a_ + 3eee0 75696e74 31365f74 00000012 ea09615f uint16_t......a_ + 3eef0 75696e74 31365f74 0000001c 92120e00 uint16_t........ + 3ef00 001cf608 65746865 725f6468 6f737400 ....ether_dhost. + 3ef10 00001c61 02230008 65746865 725f7368 ...a.#..ether_sh + 3ef20 6f737400 00001c61 02230608 65746865 ost....a.#..ethe + 3ef30 725f7479 70650000 001ca402 230c0012 r_type......#... + 3ef40 1400001d b7156970 5f766572 73696f6e ......ip_version + 3ef50 00000016 7c010004 02230015 69705f68 ....|....#..ip_h + 3ef60 6c000000 167c0104 04022300 0869705f l....|....#..ip_ + 3ef70 746f7300 0000167c 02230108 69705f6c tos....|.#..ip_l + 3ef80 656e0000 001ca402 23020869 705f6964 en......#..ip_id + 3ef90 0000001c a4022304 0869705f 66726167 ......#..ip_frag + 3efa0 5f6f6666 0000001c a4022306 0869705f _off......#..ip_ + 3efb0 74746c00 0000167c 02230808 69705f70 ttl....|.#..ip_p + 3efc0 726f746f 00000016 7c022309 0869705f roto....|.#..ip_ + 3efd0 63686563 6b000000 1ca40223 0a086970 check......#..ip + 3efe0 5f736164 64720000 00161502 230c0869 _saddr......#..i + 3eff0 705f6461 64647200 00001615 02231000 p_daddr......#.. + 3f000 07616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 3f010 00040000 1e090874 70696400 00001ca4 .......tpid..... + 3f020 02230015 7072696f 00000016 7c010003 .#..prio....|... + 3f030 02230215 63666900 0000167c 01030102 .#..cfi....|.... + 3f040 23021576 69640000 001ca402 040c0223 #..vid.........# + 3f050 02000761 64665f6e 65745f76 69640002 ...adf_net_vid.. + 3f060 00001e3a 15726573 00000016 7c010004 ...:.res....|... + 3f070 02230015 76616c00 00001ca4 02040c02 .#..val......... + 3f080 23000012 0c00001e 76087278 5f627566 #.......v.rx_buf + 3f090 73697a65 00000016 15022300 0872785f size......#..rx_ + 3f0a0 6e646573 63000000 16150223 04087478 ndesc......#..tx + 3f0b0 5f6e6465 73630000 00161502 23080012 _ndesc......#... + 3f0c0 0800001e 9c08706f 6c6c6564 0000001a ......polled.... + 3f0d0 8f022300 08706f6c 6c5f7774 00000016 ..#..poll_wt.... + 3f0e0 15022304 000f0000 167c4000 001ea910 ..#......|@..... + 3f0f0 3f001246 00001ed1 0869665f 6e616d65 ?..F.....if_name + 3f100 0000001e 9c022300 08646576 5f616464 ......#..dev_add + 3f110 72000000 1c610223 40001404 00001f08 r....a.#@....... + 3f120 0e414446 5f4f535f 444d415f 4d41534b .ADF_OS_DMA_MASK + 3f130 5f333242 49540000 0e414446 5f4f535f _32BIT...ADF_OS_ + 3f140 444d415f 4d41534b 5f363442 49540001 DMA_MASK_64BIT.. + 3f150 00096164 665f6f73 5f646d61 5f6d6173 ..adf_os_dma_mas + 3f160 6b5f7400 00001ed1 07616466 5f646d61 k_t......adf_dma + 3f170 5f696e66 6f000800 001f5508 646d615f _info.....U.dma_ + 3f180 6d61736b 0000001f 08022300 0873675f mask......#..sg_ + 3f190 6e736567 73000000 16150223 04001404 nsegs......#.... + 3f1a0 00001fab 0e414446 5f4e4554 5f434b53 .....ADF_NET_CKS + 3f1b0 554d5f4e 4f4e4500 000e4144 465f4e45 UM_NONE...ADF_NE + 3f1c0 545f434b 53554d5f 5443505f 5544505f T_CKSUM_TCP_UDP_ + 3f1d0 49507634 00010e41 44465f4e 45545f43 IPv4...ADF_NET_C + 3f1e0 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv + 3f1f0 36000200 09616466 5f6e6574 5f636b73 6....adf_net_cks + 3f200 756d5f74 7970655f 74000000 1f551208 um_type_t....U.. + 3f210 00001fee 0874785f 636b7375 6d000000 .....tx_cksum... + 3f220 1fab0223 00087278 5f636b73 756d0000 ...#..rx_cksum.. + 3f230 001fab02 23040009 6164665f 6e65745f ....#...adf_net_ + 3f240 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... + 3f250 c5140400 0020470e 4144465f 4e45545f ..... G.ADF_NET_ + 3f260 54534f5f 4e4f4e45 00000e41 44465f4e TSO_NONE...ADF_N + 3f270 45545f54 534f5f49 50563400 010e4144 ET_TSO_IPV4...AD + 3f280 465f4e45 545f5453 4f5f414c 4c000200 F_NET_TSO_ALL... + 3f290 09616466 5f6e6574 5f74736f 5f747970 .adf_net_tso_typ + 3f2a0 655f7400 00002008 12100000 209b0863 e_t... ..... ..c + 3f2b0 6b73756d 5f636170 0000001f ee022300 ksum_cap......#. + 3f2c0 0874736f 00000020 47022308 08766c61 .tso... G.#..vla + 3f2d0 6e5f7375 70706f72 74656400 0000167c n_supported....| + 3f2e0 02230c00 12200000 21340874 785f7061 .#... ..!4.tx_pa + 3f2f0 636b6574 73000000 16150223 00087278 ckets......#..rx + 3f300 5f706163 6b657473 00000016 15022304 _packets......#. + 3f310 0874785f 62797465 73000000 16150223 .tx_bytes......# + 3f320 08087278 5f627974 65730000 00161502 ..rx_bytes...... + 3f330 230c0874 785f6472 6f707065 64000000 #..tx_dropped... + 3f340 16150223 10087278 5f64726f 70706564 ...#..rx_dropped + 3f350 00000016 15022314 0872785f 6572726f ......#..rx_erro + 3f360 72730000 00161502 23180874 785f6572 rs......#..tx_er + 3f370 726f7273 00000016 1502231c 00096164 rors......#...ad + 3f380 665f6e65 745f6574 68616464 725f7400 f_net_ethaddr_t. + 3f390 00001c6e 16000021 34030000 00215910 ...n...!4....!Y. + 3f3a0 7f001761 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m + 3f3b0 63616464 72000304 00002190 086e656c caddr.....!..nel + 3f3c0 656d0000 00161502 2300086d 63617374 em......#..mcast + 3f3d0 00000021 4b022304 00096164 665f6e65 ...!K.#...adf_ne + 3f3e0 745f636d 645f6c69 6e6b5f69 6e666f5f t_cmd_link_info_ + 3f3f0 74000000 1c080961 64665f6e 65745f63 t......adf_net_c + 3f400 6d645f70 6f6c6c5f 696e666f 5f740000 md_poll_info_t.. + 3f410 001e7609 6164665f 6e65745f 636d645f ..v.adf_net_cmd_ + 3f420 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... + 3f430 ee096164 665f6e65 745f636d 645f7269 ..adf_net_cmd_ri + 3f440 6e675f69 6e666f5f 74000000 1e3a0961 ng_info_t....:.a + 3f450 64665f6e 65745f63 6d645f64 6d615f69 df_net_cmd_dma_i + 3f460 6e666f5f 74000000 1f1f0961 64665f6e nfo_t......adf_n + 3f470 65745f63 6d645f76 69645f74 0000001c et_cmd_vid_t.... + 3f480 a4096164 665f6e65 745f636d 645f6f66 ..adf_net_cmd_of + 3f490 666c6f61 645f6361 705f7400 0000205f fload_cap_t... _ + 3f4a0 09616466 5f6e6574 5f636d64 5f737461 .adf_net_cmd_sta + 3f4b0 74735f74 00000020 9b096164 665f6e65 ts_t... ..adf_ne + 3f4c0 745f636d 645f6d63 61646472 5f740000 t_cmd_mcaddr_t.. + 3f4d0 0021590d 6164665f 6e65745f 636d645f .!Y.adf_net_cmd_ + 3f4e0 6d636173 745f6361 70000400 0022d20e mcast_cap....".. + 3f4f0 4144465f 4e45545f 4d434153 545f5355 ADF_NET_MCAST_SU + 3f500 5000000e 4144465f 4e45545f 4d434153 P...ADF_NET_MCAS + 3f510 545f4e4f 54535550 00010009 6164665f T_NOTSUP....adf_ + 3f520 6e65745f 636d645f 6d636173 745f6361 net_cmd_mcast_ca + 3f530 705f7400 0000228a 18030400 0023a408 p_t..."......#.. + 3f540 6c696e6b 5f696e66 6f000000 21900223 link_info...!..# + 3f550 0008706f 6c6c5f69 6e666f00 000021ad ..poll_info...!. + 3f560 02230008 636b7375 6d5f696e 666f0000 .#..cksum_info.. + 3f570 0021ca02 23000872 696e675f 696e666f .!..#..ring_info + 3f580 00000021 e8022300 08646d61 5f696e66 ...!..#..dma_inf + 3f590 6f000000 22050223 00087669 64000000 o..."..#..vid... + 3f5a0 22210223 00086f66 666c6f61 645f6361 "!.#..offload_ca + 3f5b0 70000000 22380223 00087374 61747300 p..."8.#..stats. + 3f5c0 00002257 02230008 6d636173 745f696e .."W.#..mcast_in + 3f5d0 666f0000 00227002 2300086d 63617374 fo..."p.#..mcast + 3f5e0 5f636170 00000022 d2022300 00140400 _cap..."..#..... + 3f5f0 0023fb0e 4144465f 4e425546 5f52585f .#..ADF_NBUF_RX_ + 3f600 434b5355 4d5f4e4f 4e450000 0e414446 CKSUM_NONE...ADF + 3f610 5f4e4255 465f5258 5f434b53 554d5f48 _NBUF_RX_CKSUM_H + 3f620 5700010e 4144465f 4e425546 5f52585f W...ADF_NBUF_RX_ + 3f630 434b5355 4d5f554e 4e454345 53534152 CKSUM_UNNECESSAR + 3f640 59000200 09616466 5f6e6275 665f7278 Y....adf_nbuf_rx + 3f650 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 3f660 23a41208 0000243b 08726573 756c7400 #.....$;.result. + 3f670 000023fb 02230008 76616c00 00001615 ..#..#..val..... + 3f680 02230400 12080000 246b0874 79706500 .#......$k.type. + 3f690 00002047 02230008 6d737300 00001ca4 .. G.#..mss..... + 3f6a0 02230408 6864725f 6f666600 0000167c .#..hdr_off....| + 3f6b0 02230600 075f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 3f6c0 71686561 64000c00 0024aa08 68656164 qhead....$..head + 3f6d0 00000014 35022300 08746169 6c000000 ....5.#..tail... + 3f6e0 14350223 0408716c 656e0000 00161502 .5.#..qlen...... + 3f6f0 23080009 5f5f6164 665f6e62 75665f74 #...__adf_nbuf_t + 3f700 00000014 35030000 168b0400 03000016 ....5........... + 3f710 15040002 01060000 13170106 00001615 ................ + 3f720 01060000 168b0106 0000168b 01030000 ................ + 3f730 12f80400 095f5f61 64665f6e 6275665f .....__adf_nbuf_ + 3f740 71686561 645f7400 0000246b 095f5f61 qhead_t...$k.__a + 3f750 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 3f760 000024eb 03000025 03040006 000024aa ..$....%......$. + 3f770 01060000 24aa0114 04000026 230e415f ....$......&#.A_ + 3f780 53544154 55535f4f 4b00000e 415f5354 STATUS_OK...A_ST + 3f790 41545553 5f464149 4c454400 010e415f ATUS_FAILED...A_ + 3f7a0 53544154 55535f45 4e4f454e 5400020e STATUS_ENOENT... + 3f7b0 415f5354 41545553 5f454e4f 4d454d00 A_STATUS_ENOMEM. + 3f7c0 030e415f 53544154 55535f45 494e5641 ..A_STATUS_EINVA + 3f7d0 4c00040e 415f5354 41545553 5f45494e L...A_STATUS_EIN + 3f7e0 50524f47 52455353 00050e41 5f535441 PROGRESS...A_STA + 3f7f0 5455535f 454e4f54 53555050 00060e41 TUS_ENOTSUPP...A + 3f800 5f535441 5455535f 45425553 5900070e _STATUS_EBUSY... + 3f810 415f5354 41545553 5f453242 49470008 A_STATUS_E2BIG.. + 3f820 0e415f53 54415455 535f4541 4444524e .A_STATUS_EADDRN + 3f830 4f544156 41494c00 090e415f 53544154 OTAVAIL...A_STAT + 3f840 55535f45 4e58494f 000a0e41 5f535441 US_ENXIO...A_STA + 3f850 5455535f 45464155 4c54000b 0e415f53 TUS_EFAULT...A_S + 3f860 54415455 535f4549 4f000c00 09615f73 TATUS_EIO....a_s + 3f870 74617475 735f7400 0000252e 06000026 tatus_t...%....& + 3f880 23010600 00011001 02010961 64665f6e #..........adf_n + 3f890 6275665f 74000000 24aa1404 00002688 buf_t...$.....&. + 3f8a0 0e414446 5f4f535f 444d415f 544f5f44 .ADF_OS_DMA_TO_D + 3f8b0 45564943 4500000e 4144465f 4f535f44 EVICE...ADF_OS_D + 3f8c0 4d415f46 524f4d5f 44455649 43450001 MA_FROM_DEVICE.. + 3f8d0 00096164 665f6f73 5f646d61 5f646972 ..adf_os_dma_dir + 3f8e0 5f740000 00265106 00002623 01020109 _t...&Q...&#.... + 3f8f0 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 3f900 666f5f74 00000016 32030000 26a60400 fo_t....2...&... + 3f910 02010201 06000026 41010600 0024aa01 .......&A....$.. + 3f920 02010201 06000026 41010600 0024aa01 .......&A....$.. + 3f930 06000026 41010600 0024aa01 06000026 ...&A....$.....& + 3f940 41010201 02010600 00161501 06000016 A............... + 3f950 8b010201 02010600 001b4201 0600001a ..........B..... + 3f960 8f010600 001a8f01 09616466 5f6f735f .........adf_os_ + 3f970 73676c69 73745f74 00000016 ca030000 sglist_t........ + 3f980 271f0400 02010201 02010600 00168b01 '............... + 3f990 09616466 5f6e6275 665f7175 6575655f .adf_nbuf_queue_ + 3f9a0 74000000 25030300 00274704 00020103 t...%....'G..... + 3f9b0 000024eb 04000201 02010201 06000026 ..$............& + 3f9c0 41010600 0024aa01 06000016 15010600 A....$.......... + 3f9d0 00161501 0600001a 8f010600 001a8f01 ................ + 3f9e0 0600001f ab010600 00161501 09616466 .............adf + 3f9f0 5f6e6275 665f7278 5f636b73 756d5f74 _nbuf_rx_cksum_t + 3fa00 00000024 19030000 27a30400 02010201 ...$....'....... + 3fa10 09616466 5f6e6275 665f7473 6f5f7400 .adf_nbuf_tso_t. + 3fa20 0000243b 03000027 c7040002 01020109 ..$;...'........ + 3fa30 6164665f 6e65745f 68616e64 6c655f74 adf_net_handle_t + 3fa40 00000004 05096164 665f6e65 745f766c ......adf_net_vl + 3fa50 616e6864 725f7400 00001db7 03000027 anhdr_t........' + 3fa60 fc040006 00002623 01060000 26230102 ......&#....&#.. + 3fa70 01020107 5f484946 5f434f4e 46494700 ...._HIF_CONFIG. + 3fa80 04000028 4b086475 6d6d7900 00000110 ...(K.dummy..... + 3fa90 02230000 02010300 00284b04 00020103 .#.......(K..... + 3faa0 00002854 0400075f 4849465f 43414c4c ..(T..._HIF_CALL + 3fab0 4241434b 000c0000 28a90873 656e645f BACK....(..send_ + 3fac0 6275665f 646f6e65 00000028 4d022300 buf_done...(M.#. + 3fad0 08726563 765f6275 66000000 28560223 .recv_buf...(V.# + 3fae0 0408636f 6e746578 74000000 04050223 ..context......# + 3faf0 08000968 69665f68 616e646c 655f7400 ...hif_handle_t. + 3fb00 00000405 09484946 5f434f4e 46494700 .....HIF_CONFIG. + 3fb10 0000282a 03000028 bb040006 000028a9 ..(*...(......(. + 3fb20 01030000 28d20400 02010300 0028df04 ....(........(.. + 3fb30 00094849 465f4341 4c4c4241 434b0000 ..HIF_CALLBACK.. + 3fb40 00285d03 000028e8 04000201 03000029 .(]...(........) + 3fb50 01040006 00000110 01030000 290a0400 ............)... + 3fb60 02010300 00291704 00060000 01100103 .....).......... + 3fb70 00002920 04000201 03000029 2d040006 ..) .......)-... + 3fb80 00000110 01030000 29360400 02010300 ........)6...... + 3fb90 00294304 00076869 665f6170 69003800 .)C...hif_api.8. + 3fba0 002a9c08 5f696e69 74000000 28d80223 .*.._init...(..# + 3fbb0 00085f73 68757464 6f776e00 000028e1 .._shutdown...(. + 3fbc0 02230408 5f726567 69737465 725f6361 .#.._register_ca + 3fbd0 6c6c6261 636b0000 00290302 2308085f llback...)..#.._ + 3fbe0 6765745f 746f7461 6c5f6372 65646974 get_total_credit + 3fbf0 5f636f75 6e740000 00291002 230c085f _count...)..#.._ + 3fc00 73746172 74000000 28e10223 10085f63 start...(..#.._c + 3fc10 6f6e6669 675f7069 70650000 00291902 onfig_pipe...).. + 3fc20 2314085f 73656e64 5f627566 66657200 #.._send_buffer. + 3fc30 00002926 02231808 5f726574 75726e5f ..)&.#.._return_ + 3fc40 72656376 5f627566 00000029 2f02231c recv_buf...)/.#. + 3fc50 085f6973 5f706970 655f7375 70706f72 ._is_pipe_suppor + 3fc60 74656400 0000293c 02232008 5f676574 ted...)<.# ._get + 3fc70 5f6d6178 5f6d7367 5f6c656e 00000029 _max_msg_len...) + 3fc80 3c022324 085f6765 745f7265 73657276 <.#$._get_reserv + 3fc90 65645f68 65616472 6f6f6d00 00002910 ed_headroom...). + 3fca0 02232808 5f697372 5f68616e 646c6572 .#(._isr_handler + 3fcb0 00000028 e102232c 085f6765 745f6465 ...(..#,._get_de + 3fcc0 6661756c 745f7069 70650000 00294502 fault_pipe...)E. + 3fcd0 23300870 52657365 72766564 00000004 #0.pReserved.... + 3fce0 05022334 000d646d 615f656e 67696e65 ..#4..dma_engine + 3fcf0 00040000 2b250e44 4d415f45 4e47494e ....+%.DMA_ENGIN + 3fd00 455f5258 3000000e 444d415f 454e4749 E_RX0...DMA_ENGI + 3fd10 4e455f52 58310001 0e444d41 5f454e47 NE_RX1...DMA_ENG + 3fd20 494e455f 52583200 020e444d 415f454e INE_RX2...DMA_EN + 3fd30 47494e45 5f525833 00030e44 4d415f45 GINE_RX3...DMA_E + 3fd40 4e47494e 455f5458 3000040e 444d415f NGINE_TX0...DMA_ + 3fd50 454e4749 4e455f54 58310005 0e444d41 ENGINE_TX1...DMA + 3fd60 5f454e47 494e455f 4d415800 06000964 _ENGINE_MAX....d + 3fd70 6d615f65 6e67696e 655f7400 00002a9c ma_engine_t...*. + 3fd80 0d646d61 5f696674 79706500 0400002b .dma_iftype....+ + 3fd90 720e444d 415f4946 5f474d41 4300000e r.DMA_IF_GMAC... + 3fda0 444d415f 49465f50 43490001 0e444d41 DMA_IF_PCI...DMA + 3fdb0 5f49465f 50434945 00020009 646d615f _IF_PCIE....dma_ + 3fdc0 69667479 70655f74 0000002b 37060000 iftype_t...+7... + 3fdd0 12ea0103 00002b84 04000201 0300002b ......+........+ + 3fde0 91040002 01030000 2b9a0400 06000009 ........+....... + 3fdf0 1c010300 002ba304 00060000 12ea0103 .....+.......... + 3fe00 00002bb0 04000600 0012ea01 0300002b ..+............+ + 3fe10 bd040006 00001435 01030000 2bca0400 .......5....+... + 3fe20 02010300 002bd704 0007646d 615f6c69 .....+....dma_li + 3fe30 625f6170 69003400 002cde08 74785f69 b_api.4..,..tx_i + 3fe40 6e697400 00002b8a 02230008 74785f73 nit...+..#..tx_s + 3fe50 74617274 0000002b 93022304 0872785f tart...+..#..rx_ + 3fe60 696e6974 0000002b 8a022308 0872785f init...+..#..rx_ + 3fe70 636f6e66 69670000 002b9c02 230c0872 config...+..#..r + 3fe80 785f7374 61727400 00002b93 02231008 x_start...+..#.. + 3fe90 696e7472 5f737461 74757300 00002ba9 intr_status...+. + 3fea0 02231408 68617264 5f786d69 74000000 .#..hard_xmit... + 3feb0 2bb60223 1808666c 7573685f 786d6974 +..#..flush_xmit + 3fec0 0000002b 9302231c 08786d69 745f646f ...+..#..xmit_do + 3fed0 6e650000 002bc302 23200872 6561705f ne...+..# .reap_ + 3fee0 786d6974 74656400 00002bd0 02232408 xmitted...+..#$. + 3fef0 72656170 5f726563 76000000 2bd00223 reap_recv...+..# + 3ff00 28087265 7475726e 5f726563 76000000 (.return_recv... + 3ff10 2bd90223 2c087265 63765f70 6b740000 +..#,.recv_pkt.. + 3ff20 002bc302 23300007 5f5f7063 695f736f .+..#0..__pci_so + 3ff30 66746300 0c00002c fc087377 00000028 ftc....,..sw...( + 3ff40 e8022300 00095f5f 7063695f 736f6674 ..#...__pci_soft + 3ff50 635f7400 00002cde 0300002c fc040002 c_t...,....,.... + 3ff60 01030000 2d160400 06000012 d6010300 ....-........... + 3ff70 002d1f04 000d6869 665f7063 695f7069 .-....hif_pci_pi + 3ff80 70655f74 78000400 002d7f0e 4849465f pe_tx....-..HIF_ + 3ff90 5043495f 50495045 5f545830 00000e48 PCI_PIPE_TX0...H + 3ffa0 49465f50 43495f50 4950455f 54583100 IF_PCI_PIPE_TX1. + 3ffb0 010e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 3ffc0 585f4d41 58000200 09686966 5f706369 X_MAX....hif_pci + 3ffd0 5f706970 655f7478 5f740000 002d2c06 _pipe_tx_t...-,. + 3ffe0 00002b25 01030000 2d960400 0d686966 ..+%....-....hif + 3fff0 5f706369 5f706970 655f7278 00040000 _pci_pipe_rx.... + 40000 2e1c0e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 40010 52583000 000e4849 465f5043 495f5049 RX0...HIF_PCI_PI + 40020 50455f52 58310001 0e484946 5f504349 PE_RX1...HIF_PCI + 40030 5f504950 455f5258 3200020e 4849465f _PIPE_RX2...HIF_ + 40040 5043495f 50495045 5f525833 00030e48 PCI_PIPE_RX3...H + 40050 49465f50 43495f50 4950455f 52585f4d IF_PCI_PIPE_RX_M + 40060 41580004 00096869 665f7063 695f7069 AX....hif_pci_pi + 40070 70655f72 785f7400 00002da3 0600002b pe_rx_t...-....+ + 40080 25010300 002e3304 00076869 665f7063 %.....3...hif_pc + 40090 695f6170 69002400 002f1108 7063695f i_api.$../..pci_ + 400a0 626f6f74 5f696e69 74000000 01090223 boot_init......# + 400b0 00087063 695f696e 69740000 0028d802 ..pci_init...(.. + 400c0 23040870 63695f72 65736574 00000001 #..pci_reset.... + 400d0 09022308 08706369 5f656e61 626c6500 ..#..pci_enable. + 400e0 00000109 02230c08 7063695f 72656170 .....#..pci_reap + 400f0 5f786d69 74746564 0000002d 18022310 _xmitted...-..#. + 40100 08706369 5f726561 705f7265 63760000 .pci_reap_recv.. + 40110 002d1802 23140870 63695f67 65745f70 .-..#..pci_get_p + 40120 69706500 00002d25 02231808 7063695f ipe...-%.#..pci_ + 40130 6765745f 74785f65 6e670000 002d9c02 get_tx_eng...-.. + 40140 231c0870 63695f67 65745f72 785f656e #..pci_get_rx_en + 40150 67000000 2e390223 20000767 6d61635f g....9.# ..gmac_ + 40160 61706900 0400002f 3808676d 61635f62 api..../8.gmac_b + 40170 6f6f745f 696e6974 00000001 09022300 oot_init......#. + 40180 000f0000 031d0600 002f4510 0500075f ........./E...._ + 40190 5f657468 68647200 0e00002f 7b086473 _ethhdr..../{.ds + 401a0 74000000 2f380223 00087372 63000000 t.../8.#..src... + 401b0 2f380223 06086574 79706500 000012ea /8.#..etype..... + 401c0 02230c00 075f5f61 74686864 72000400 .#...__athhdr... + 401d0 002fc915 72657300 000012d6 01000202 ./..res......... + 401e0 23001570 726f746f 00000012 d6010206 #..proto........ + 401f0 02230008 7265735f 6c6f0000 0012d602 .#..res_lo...... + 40200 23010872 65735f68 69000000 12ea0223 #..res_hi......# + 40210 0200075f 5f676d61 635f6864 72001400 ...__gmac_hdr... + 40220 00300508 65746800 00002f45 02230008 .0..eth.../E.#.. + 40230 61746800 00002f7b 02230e08 616c6967 ath.../{.#..alig + 40240 6e5f7061 64000000 12ea0223 1200095f n_pad......#..._ + 40250 5f676d61 635f6864 725f7400 00002fc9 _gmac_hdr_t.../. + 40260 075f5f67 6d61635f 736f6674 63002400 .__gmac_softc.$. + 40270 00304f08 68647200 00003005 02230008 .0O.hdr...0..#.. + 40280 6772616e 00000012 ea022314 08737700 gran......#..sw. + 40290 000028e8 02231800 075f415f 6f735f6c ..(..#..._A_os_l + 402a0 696e6b61 67655f63 6865636b 00080000 inkage_check.... + 402b0 30880876 65727369 6f6e0000 00011002 0..version...... + 402c0 23000874 61626c65 00000001 10022304 #..table......#. + 402d0 00030000 304f0400 06000001 10010300 ....0O.......... + 402e0 00308f04 00030000 04080400 175f415f .0..........._A_ + 402f0 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 40300 6e5f7461 626c6500 01b80000 31df0868 n_table.....1..h + 40310 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check + 40320 00000030 95022300 08737461 72745f62 ...0..#..start_b + 40330 73730000 00309c02 23040861 70705f73 ss...0..#..app_s + 40340 74617274 00000001 09022308 086d656d tart......#..mem + 40350 00000004 4802230c 086d6973 63000000 ....H.#..misc... + 40360 05670223 20087072 696e7466 00000001 .g.# .printf.... + 40370 3d022344 08756172 74000000 02060223 =.#D.uart......# + 40380 4c08676d 61630000 002f1102 236c0875 L.gmac.../..#l.u + 40390 73620000 000f9602 23700863 6c6f636b sb......#p.clock + 403a0 0000000a df0323e0 01087469 6d657200 ......#...timer. + 403b0 0000077f 03238402 08696e74 72000000 .....#...intr... + 403c0 0c2f0323 98020861 6c6c6f63 72616d00 ./.#...allocram. + 403d0 00000937 0323c402 08726f6d 70000000 ...7.#...romp... + 403e0 08290323 d0020877 64745f74 696d6572 .).#...wdt_timer + 403f0 0000000e 0c0323e0 02086565 70000000 ......#...eep... + 40400 0f3a0323 fc020873 7472696e 67000000 .:.#...string... + 40410 068b0323 8c030874 61736b6c 65740000 ...#...tasklet.. + 40420 000a3403 23a40300 075f5553 425f4649 ..4.#...._USB_FI + 40430 464f5f43 4f4e4649 47001000 00325208 FO_CONFIG....2R. + 40440 6765745f 636f6d6d 616e645f 62756600 get_command_buf. + 40450 00001442 02230008 72656376 5f636f6d ...B.#..recv_com + 40460 6d616e64 00000014 58022304 08676574 mand....X.#..get + 40470 5f657665 6e745f62 75660000 00144202 _event_buf....B. + 40480 23080873 656e645f 6576656e 745f646f #..send_event_do + 40490 6e650000 00145802 230c0009 5553425f ne....X.#...USB_ + 404a0 4649464f 5f434f4e 46494700 000031df FIFO_CONFIG...1. + 404b0 03000032 52040002 01030000 326e0400 ...2R.......2n.. + 404c0 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... + 404d0 32c4085f 696e6974 00000032 70022300 2.._init...2p.#. + 404e0 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i + 404f0 73720000 00010902 23040870 52657365 sr......#..pRese + 40500 72766564 00000004 05022308 000f0000 rved......#..... + 40510 167c0200 0032d110 0100075f 4854435f .|...2....._HTC_ + 40520 4652414d 455f4844 52000800 00334308 FRAME_HDR....3C. + 40530 456e6470 6f696e74 49440000 00167c02 EndpointID....|. + 40540 23000846 6c616773 00000016 7c022301 #..Flags....|.#. + 40550 08506179 6c6f6164 4c656e00 00001ca4 .PayloadLen..... + 40560 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes + 40570 00000032 c4022304 08486f73 74536571 ...2..#..HostSeq + 40580 4e756d00 00001ca4 02230600 12020000 Num......#...... + 40590 335c084d 65737361 67654944 0000001c 3\.MessageID.... + 405a0 a4022300 00120800 0033bf08 4d657373 ..#......3..Mess + 405b0 61676549 44000000 1ca40223 00084372 ageID......#..Cr + 405c0 65646974 436f756e 74000000 1ca40223 editCount......# + 405d0 02084372 65646974 53697a65 0000001c ..CreditSize.... + 405e0 a4022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint + 405f0 73000000 167c0223 06085f50 61643100 s....|.#.._Pad1. + 40600 0000167c 02230700 120a0000 3456084d ...|.#......4V.M + 40610 65737361 67654944 0000001c a4022300 essageID......#. + 40620 08536572 76696365 49440000 001ca402 .ServiceID...... + 40630 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla + 40640 67730000 001ca402 23040844 6f776e4c gs......#..DownL + 40650 696e6b50 69706549 44000000 167c0223 inkPipeID....|.# + 40660 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. + 40670 00167c02 23070853 65727669 63654d65 ..|.#..ServiceMe + 40680 74614c65 6e677468 00000016 7c022308 taLength....|.#. + 40690 085f5061 64310000 00167c02 23090012 ._Pad1....|.#... + 406a0 0a000034 de084d65 73736167 65494400 ...4..MessageID. + 406b0 00001ca4 02230008 53657276 69636549 .....#..ServiceI + 406c0 44000000 1ca40223 02085374 61747573 D......#..Status + 406d0 00000016 7c022304 08456e64 706f696e ....|.#..Endpoin + 406e0 74494400 0000167c 02230508 4d61784d tID....|.#..MaxM + 406f0 73675369 7a650000 001ca402 23060853 sgSize......#..S + 40700 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 40710 00000016 7c022308 085f5061 64310000 ....|.#.._Pad1.. + 40720 00167c02 23090012 02000034 f7084d65 ..|.#......4..Me + 40730 73736167 65494400 00001ca4 02230000 ssageID......#.. + 40740 12040000 3533084d 65737361 67654944 ....53.MessageID + 40750 0000001c a4022300 08506970 65494400 ......#..PipeID. + 40760 0000167c 02230208 43726564 6974436f ...|.#..CreditCo + 40770 756e7400 0000167c 02230300 12040000 unt....|.#...... + 40780 356a084d 65737361 67654944 0000001c 5j.MessageID.... + 40790 a4022300 08506970 65494400 0000167c ..#..PipeID....| + 407a0 02230208 53746174 75730000 00167c02 .#..Status....|. + 407b0 23030012 02000035 91085265 636f7264 #......5..Record + 407c0 49440000 00167c02 2300084c 656e6774 ID....|.#..Lengt + 407d0 68000000 167c0223 01001202 000035bb h....|.#......5. + 407e0 08456e64 706f696e 74494400 0000167c .EndpointID....| + 407f0 02230008 43726564 69747300 0000167c .#..Credits....| + 40800 02230100 12040000 35fc0845 6e64706f .#......5..Endpo + 40810 696e7449 44000000 167c0223 00084372 intID....|.#..Cr + 40820 65646974 73000000 167c0223 01085467 edits....|.#..Tg + 40830 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... + 40840 a4022302 000f0000 167c0400 00360910 ..#......|...6.. + 40850 03001206 00003645 08507265 56616c69 ......6E.PreVali + 40860 64000000 167c0223 00084c6f 6f6b4168 d....|.#..LookAh + 40870 65616400 000035fc 02230108 506f7374 ead...5..#..Post + 40880 56616c69 64000000 167c0223 05000970 Valid....|.#...p + 40890 6f6f6c5f 68616e64 6c655f74 00000004 ool_handle_t.... + 408a0 05060000 36450103 00003658 04000201 ....6E....6X.... + 408b0 03000036 65040014 04000036 e30e504f ...6e......6..PO + 408c0 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO + 408d0 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ + 408e0 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... + 408f0 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 40900 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ + 40910 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO + 40920 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF + 40930 5f504f4f 4c5f4944 00000036 6e020103 _POOL_ID...6n... + 40940 000036f4 04000600 00264101 03000036 ..6......&A....6 + 40950 fd040006 00002641 01030000 370a0400 ......&A....7... + 40960 02010300 00371704 00076275 665f706f .....7....buf_po + 40970 6f6c5f61 7069001c 000037b9 085f696e ol_api....7.._in + 40980 69740000 00365e02 2300085f 73687574 it...6^.#.._shut + 40990 646f776e 00000036 67022304 085f6372 down...6g.#.._cr + 409a0 65617465 5f706f6f 6c000000 36f60223 eate_pool...6..# + 409b0 08085f61 6c6c6f63 5f627566 00000037 .._alloc_buf...7 + 409c0 0302230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ + 409d0 616c6967 6e000000 37100223 10085f66 align...7..#.._f + 409e0 7265655f 62756600 00003719 02231408 ree_buf...7..#.. + 409f0 70526573 65727665 64000000 04050223 pReserved......# + 40a00 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. + 40a10 1c000038 9808704e 65787400 00003898 ...8..pNext...8. + 40a20 02230008 50726f63 65737352 6563764d .#..ProcessRecvM + 40a30 73670000 00394d02 23040850 726f6365 sg...9M.#..Proce + 40a40 73735365 6e644275 66666572 436f6d70 ssSendBufferComp + 40a50 6c657465 00000039 56022308 0850726f lete...9V.#..Pro + 40a60 63657373 436f6e6e 65637400 0000396a cessConnect...9j + 40a70 02230c08 53657276 69636549 44000000 .#..ServiceID... + 40a80 12ea0223 10085365 72766963 65466c61 ...#..ServiceFla + 40a90 67730000 0012ea02 2312084d 61785376 gs......#..MaxSv + 40aa0 634d7367 53697a65 00000012 ea022314 cMsgSize......#. + 40ab0 08547261 696c6572 53706343 6865636b .TrailerSpcCheck + 40ac0 4c696d69 74000000 12ea0223 16085365 Limit......#..Se + 40ad0 72766963 65437478 00000004 05022318 rviceCtx......#. + 40ae0 00030000 37b90400 14040000 39361945 ....7.......96.E + 40af0 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. + 40b00 ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... + 40b10 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP + 40b20 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT + 40b30 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... + 40b40 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP + 40b50 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT + 40b60 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... + 40b70 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... + 40b80 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. + 40b90 0000389f 02010300 00394b04 00020103 ..8......9K..... + 40ba0 00003954 04000300 00011004 00060000 ..9T............ + 40bb0 12d60103 00003964 04000300 0037b904 ......9d.....7.. + 40bc0 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... + 40bd0 0039e908 43726564 69745369 7a650000 .9..CreditSize.. + 40be0 00011002 23000843 72656469 744e756d ....#..CreditNum + 40bf0 62657200 00000110 02230408 4f534861 ber......#..OSHa + 40c00 6e646c65 0000001a 40022308 08484946 ndle....@.#..HIF + 40c10 48616e64 6c650000 0028a902 230c0850 Handle...(..#..P + 40c20 6f6f6c48 616e646c 65000000 36450223 oolHandle...6E.# + 40c30 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT + 40c40 45585400 0200003a 2508656e 645f706f EXT....:%.end_po + 40c50 696e7400 000012d6 02230008 6874635f int......#..htc_ + 40c60 666c6167 73000000 12d60223 01000968 flags......#...h + 40c70 74635f68 616e646c 655f7400 00000405 tc_handle_t..... + 40c80 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL + 40c90 4554455f 43420000 00010909 4854435f ETE_CB......HTC_ + 40ca0 434f4e46 49470000 00397803 00003a52 CONFIG...9x...:R + 40cb0 04000600 003a2501 0300003a 69040002 .....:%....:i... + 40cc0 01030000 3a760400 09485443 5f534552 ....:v...HTC_SER + 40cd0 56494345 00000037 b9030000 3a7f0400 VICE...7....:... + 40ce0 02010300 003a9704 00020103 00003aa0 .....:........:. + 40cf0 04000201 0300003a a9040006 00000110 .......:........ + 40d00 01030000 3ab20400 07687463 5f617069 ....:....htc_api + 40d10 73003400 003c2f08 5f485443 5f496e69 s.4.... + 41020 7475706c 654e756d 4c000000 1ca40223 tupleNumL......# + 41030 00087475 706c654e 756d4800 00001ca4 ..tupleNumH..... + 41040 02230208 61767400 00003dc3 02230400 .#..avt...=..#.. + 41050 12010000 3e290862 6561636f 6e50656e ....>).beaconPen + 41060 64696e67 436f756e 74000000 167c0223 dingCount....|.# + 41070 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF + 41080 49470010 00003e92 08487463 48616e64 IG....>..HtcHand + 41090 6c650000 003a2502 23000850 6f6f6c48 le...:%.#..PoolH + 410a0 616e646c 65000000 36450223 04084d61 andle...6E.#..Ma + 410b0 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... + 410c0 01100223 08084d61 78457665 6e744576 ...#..MaxEventEv + 410d0 74730000 00011002 230c0002 01030000 ts......#....... + 410e0 3e920400 09574d49 5f434d44 5f48414e >....WMI_CMD_HAN + 410f0 444c4552 0000003e 94075f57 4d495f44 DLER...>.._WMI_D + 41100 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... + 41110 003efb08 70436d64 48616e64 6c657200 .>..pCmdHandler. + 41120 00003e9b 02230008 436d6449 44000000 ..>..#..CmdID... + 41130 12ea0223 0408466c 61677300 000012ea ...#..Flags..... + 41140 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT + 41150 43485f54 41424c45 00100000 3f5c0870 CH_TABLE....?\.p + 41160 4e657874 0000003f 5c022300 0870436f Next...?\.#..pCo + 41170 6e746578 74000000 04050223 04084e75 ntext......#..Nu + 41180 6d626572 4f66456e 74726965 73000000 mberOfEntries... + 41190 01100223 08087054 61626c65 0000003f ...#..pTable...? + 411a0 7b02230c 00030000 3efb0400 09574d49 {.#.....>....WMI + 411b0 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 411c0 00003eb0 0300003f 63040003 00003efb ..>....?c.....>. + 411d0 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE + 411e0 58540000 0039e90d 574d495f 4556545f XT...9..WMI_EVT_ + 411f0 434c4153 53000400 00401319 574d495f CLASS....@..WMI_ + 41200 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. + 41210 ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 41220 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM + 41230 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 41240 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ + 41250 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI + 41260 5f455654 5f434c41 53530000 003f9e07 _EVT_CLASS...?.. + 41270 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT + 41280 000c0000 40710848 74634275 66437478 ....@q.HtcBufCtx + 41290 0000003f 89022300 08457665 6e74436c ...?..#..EventCl + 412a0 61737300 00004013 02230408 466c6167 ass...@..#..Flag + 412b0 73000000 12ea0223 08000977 6d695f68 s......#...wmi_h + 412c0 616e646c 655f7400 00000405 09574d49 andle_t......WMI + 412d0 5f535643 5f434f4e 46494700 00003e29 _SVC_CONFIG...>) + 412e0 03000040 83040006 00004071 01030000 ...@......@q.... + 412f0 409e0400 09574d49 5f444953 50415443 @....WMI_DISPATC + 41300 485f5441 424c4500 00003efb 03000040 H_TABLE...>....@ + 41310 ab040002 01030000 40ca0400 06000026 ........@......& + 41320 41010300 0040d304 00020103 000040e0 A....@........@. + 41330 04000600 00011001 03000040 e9040002 ...........@.... + 41340 01030000 40f60400 06000012 d6010300 ....@........... + 41350 0040ff04 00075f77 6d695f73 76635f61 .@...._wmi_svc_a + 41360 70697300 2c000042 47085f57 4d495f49 pis.,..BG._WMI_I + 41370 6e697400 000040a4 02230008 5f574d49 nit...@..#.._WMI + 41380 5f526567 69737465 72446973 70617463 _RegisterDispatc + 41390 68546162 6c650000 0040cc02 2304085f hTable...@..#.._ + 413a0 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. + 413b0 0040d902 2308085f 574d495f 53656e64 .@..#.._WMI_Send + 413c0 4576656e 74000000 40e20223 0c085f57 Event...@..#.._W + 413d0 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve + 413e0 6e747343 6f756e74 00000040 ef022310 ntsCount...@..#. + 413f0 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple + 41400 74654861 6e646c65 72000000 39560223 teHandler...9V.# + 41410 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro + 41420 6c457000 000040ef 02231808 5f574d49 lEp...@..#.._WMI + 41430 5f536875 74646f77 6e000000 40f80223 _Shutdown...@..# + 41440 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa + 41450 67654861 6e646c65 72000000 394d0223 geHandler...9M.# + 41460 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo + 41470 6e6e6563 74000000 41050223 24087052 nnect...A..#$.pR + 41480 65736572 76656400 00000405 02232800 eserved......#(. + 41490 077a7344 6d614465 73630014 000042c9 .zsDmaDesc....B. + 414a0 08637472 6c000000 01740223 00087374 .ctrl....t.#..st + 414b0 61747573 00000001 74022302 08746f74 atus....t.#..tot + 414c0 616c4c65 6e000000 01740223 04086461 alLen....t.#..da + 414d0 74615369 7a650000 00017402 2306086c taSize....t.#..l + 414e0 61737441 64647200 000042c9 02230808 astAddr...B..#.. + 414f0 64617461 41646472 00000001 9802230c dataAddr......#. + 41500 086e6578 74416464 72000000 42c90223 .nextAddr...B..# + 41510 10000300 00424704 00030000 42470400 .....BG.....BG.. + 41520 077a7344 6d615175 65756500 08000043 .zsDmaQueue....C + 41530 09086865 61640000 0042d002 23000874 ..head...B..#..t + 41540 65726d69 6e61746f 72000000 42d00223 erminator...B..# + 41550 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. + 41560 10000043 6d086865 61640000 0042d002 ...Cm.head...B.. + 41570 23000874 65726d69 6e61746f 72000000 #..terminator... + 41580 42d00223 0408786d 69746564 5f627566 B..#..xmited_buf + 41590 5f686561 64000000 14350223 0808786d _head....5.#..xm + 415a0 69746564 5f627566 5f746169 6c000000 ited_buf_tail... + 415b0 14350223 0c000201 03000043 6d040003 .5.#.......Cm... + 415c0 000042d7 04000201 03000043 7d040003 ..B........C}... + 415d0 00004309 04000201 03000043 8d040002 ..C........C.... + 415e0 01030000 43960400 02010300 00439f04 ....C........C.. + 415f0 00060000 14350103 000043a8 04000201 .....5....C..... + 41600 03000043 b5040006 00001435 01030000 ...C.......5.... + 41610 43be0400 02010300 0043cb04 00060000 C........C...... + 41620 01100103 000043d4 04000600 0042d001 ......C......B.. + 41630 03000043 e1040002 01030000 43ee0400 ...C........C... + 41640 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. + 41650 40000045 64085f69 6e697400 0000436f @..Ed._init...Co + 41660 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que + 41670 75650000 00437f02 2304085f 696e6974 ue...C..#.._init + 41680 5f74785f 71756575 65000000 438f0223 _tx_queue...C..# + 41690 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que + 416a0 75650000 00439802 230c085f 786d6974 ue...C..#.._xmit + 416b0 5f627566 00000043 a1022310 085f666c _buf...C..#.._fl + 416c0 7573685f 786d6974 00000043 7f022314 ush_xmit...C..#. + 416d0 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. + 416e0 000043ae 02231808 5f726574 75726e5f ..C..#.._return_ + 416f0 72656376 5f627566 00000043 b702231c recv_buf...C..#. + 41700 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu + 41710 66000000 43c40223 20085f73 7761705f f...C..# ._swap_ + 41720 64617461 00000043 cd022324 085f6861 data...C..#$._ha + 41730 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. + 41740 000043da 02232808 5f646573 635f6475 ..C..#(._desc_du + 41750 6d700000 00437f02 232c085f 6765745f mp...C..#,._get_ + 41760 7061636b 65740000 0043e702 2330085f packet...C..#0._ + 41770 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. + 41780 0043f002 2334085f 7075745f 7061636b .C..#4._put_pack + 41790 65740000 0043f002 23380870 52657365 et...C..#8.pRese + 417a0 72766564 00000004 0502233c 00095f41 rved......#<.._A + 417b0 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 417c0 6f6e5f74 61626c65 5f740000 0030a309 on_table_t...0.. + 417d0 574d495f 5356435f 41504953 00000041 WMI_SVC_APIS...A + 417e0 0c175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 417f0 72656374 696f6e5f 7461626c 6500034c rection_table..L + 41800 00004692 08636d6e 6f730000 00456402 ..F..cmnos...Ed. + 41810 23000864 62670000 0003d203 23b80308 #..dbg......#... + 41820 68696600 0000294c 0323c003 08687463 hif...)L.#...htc + 41830 0000003a bf0323f8 0308776d 695f7376 ...:..#...wmi_sv + 41840 635f6170 69000000 45860323 ac040875 c_api...E..#...u + 41850 73626669 666f5f61 70690000 00327703 sbfifo_api...2w. + 41860 23d80408 6275665f 706f6f6c 00000037 #...buf_pool...7 + 41870 200323e4 04087662 75660000 00145f03 .#...vbuf...._. + 41880 23800508 76646573 63000000 13410323 #...vdesc....A.# + 41890 94050861 6c6c6f63 72616d00 00000937 ...allocram....7 + 418a0 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. + 418b0 000043f7 0323b405 08646d61 5f6c6962 ..C..#...dma_lib + 418c0 0000002b e00323f4 05086869 665f7063 ...+..#...hif_pc + 418d0 69000000 2e400323 a8060009 5f415f6d i....@.#...._A_m + 418e0 61677069 655f696e 64697265 6374696f agpie_indirectio + 418f0 6e5f7461 626c655f 74000000 45980300 n_table_t...E... + 41900 0001ad04 001a7561 72745f63 746c5f62 ......uart_ctl_b + 41910 6c6b0000 0002c605 0300500a 44060000 lk........P.D... + 41920 01ad0102 01030000 46d40400 1b01195f ........F......_ + 41930 75617274 5f696e69 74000000 01ad0101 uart_init....... + 41940 03920120 02900000 8e2d9000 8e2dce00 ... .....-...-.. + 41950 0047151c 5f6c6372 00000001 ad001d01 .G.._lcr........ + 41960 405f7561 72745f63 6861725f 7075745f @_uart_char_put_ + 41970 6e6f7468 696e6700 01010392 01200290 nothing...... .. + 41980 00008e2d d0008e2d d5000047 511e0140 ...-...-...GQ..@ + 41990 63680000 00032e01 52001b01 4a5f7561 ch......R...J_ua + 419a0 72745f63 6861725f 6765745f 6e6f7468 rt_char_get_noth + 419b0 696e6700 0000018a 01010392 01200290 ing.......... .. + 419c0 00008e2d d8008e2d df000047 911e014a ...-...-...G...J + 419d0 63680000 00034401 52001d01 545f7561 ch....D.R...T_ua + 419e0 72745f63 6861725f 70757400 01010392 rt_char_put..... + 419f0 01200290 00008e2d e0008e2e 0c000047 . .....-.......G + 41a00 d51e0154 63680000 00032e01 521c6900 ...Tch......R.i. + 41a10 00000110 1c6c7372 00000001 ad001d01 .....lsr........ + 41a20 8e5f7561 72745f63 6861725f 7075745f ._uart_char_put_ + 41a30 6e6f7761 69740001 01039201 20029000 nowait...... ... + 41a40 008e2e0c 008e2e38 00004820 1e018e63 .......8..H ...c + 41a50 68000000 032e0152 1c690000 0001101c h......R.i...... + 41a60 6c737200 000001ad 001b01b3 5f756172 lsr........._uar + 41a70 745f6368 61725f67 65740000 00018a01 t_char_get...... + 41a80 01039201 20029000 008e2e38 008e2e58 .... ......8...X + 41a90 00004858 1e01b363 68000000 03440152 ..HX...ch....D.R + 41aa0 001f01c7 5f756172 745f7461 736b0001 ...._uart_task.. + 41ab0 01039201 20029000 008e2e58 008e2e5d .... ......X...] + 41ac0 2001e55f 75617274 5f737461 74757300 .._uart_status. + 41ad0 000001ad 01039201 20029000 008e2e60 ........ ......` + 41ae0 008e2e6a 1d01ee5f 75617274 5f737472 ...j..._uart_str + 41af0 5f6f7574 00010103 92012002 9000008e _out...... ..... + 41b00 2e6c008e 2e890000 48d61e01 ee737472 .l......H....str + 41b10 00000003 4401521c 69000000 01ad0021 ....D.R.i......! + 41b20 0101005f 75617274 5f636f6e 66696700 ..._uart_config. + 41b30 01010392 01200290 00008e2e 8c008e2e ..... .......... + 41b40 b3000049 0c220101 00666c61 67000000 ...I."...flag... + 41b50 018a0152 00230101 135f7561 72745f68 ...R.#..._uart_h + 41b60 77696e69 74000103 92012002 9000008e winit..... ..... + 41b70 2eb4008e 2ef40000 496c2201 01136672 ........Il"...fr + 41b80 65710000 0001ad01 52220101 13626175 eq......R"...bau + 41b90 64000000 01ad0153 1c626175 645f6469 d......S.baud_di + 41ba0 7669736f 72000000 01ad1c5f 6c637200 visor......_lcr. + 41bb0 000001ad 00240101 28636d6e 6f735f75 .....$..(cmnos_u + 41bc0 6172745f 6d6f6475 6c655f69 6e737461 art_module_insta + 41bd0 6c6c0001 01039201 20029000 008e2ef4 ll...... ....... + 41be0 008e2f2b 22010128 61706973 00000002 ../+"..(apis.... + 41bf0 bf015200 00000000 4de80002 000017d9 ..R.....M....... + 41c00 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 41c10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 41c20 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 41c30 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 41c40 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 41c50 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 41c60 6869662f 7063692f 2f686966 5f706369 hif/pci//hif_pci + 41c70 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa + 41c80 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 41c90 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 41ca0 312f726f 6d2f6869 662f7063 69007874 1/rom/hif/pci.xt + 41cb0 2d786363 20666f72 20372e31 2e30202d -xcc for 7.1.0 - + 41cc0 4f50543a 616c6967 6e5f696e 73747275 OPT:align_instru + 41cd0 6374696f 6e733d33 32202d4f 32202d67 ctions=32 -O2 -g + 41ce0 33202d4f 50543a73 70616365 00010000 3 -OPT:space.... + 41cf0 00e26e02 5f564445 53430024 00000189 ..n._VDESC.$.... + 41d00 036e6578 745f6465 73630000 00018902 .next_desc...... + 41d10 23000362 75665f61 64647200 000001ae #..buf_addr..... + 41d20 02230403 6275665f 73697a65 00000001 .#..buf_size.... + 41d30 cb022308 03646174 615f6f66 66736574 ..#..data_offset + 41d40 00000001 cb02230a 03646174 615f7369 ......#..data_si + 41d50 7a650000 0001cb02 230c0363 6f6e7472 ze......#..contr + 41d60 6f6c0000 0001cb02 230e0368 775f6465 ol......#..hw_de + 41d70 73635f62 75660000 0001d902 23100004 sc_buf......#... + 41d80 000000fd 04000575 6e736967 6e656420 .......unsigned + 41d90 63686172 00070106 415f5549 4e543800 char....A_UINT8. + 41da0 00000190 04000001 a1040005 73686f72 ............shor + 41db0 7420756e 7369676e 65642069 6e740007 t unsigned int.. + 41dc0 0206415f 55494e54 31360000 0001b507 ..A_UINT16...... + 41dd0 000001a1 14000001 e6081300 04000000 ................ + 41de0 fd040005 696e7400 05040901 04000001 ....int......... + 41df0 f4040006 56444553 43000000 00fd0400 ....VDESC....... + 41e00 0001fd04 000a0000 02080104 0000020f ................ + 41e10 04000a00 0001ae01 04000002 1c040009 ................ + 41e20 01040000 02290400 0b040002 76646573 .....)......vdes + 41e30 635f6170 69001400 0002a403 5f696e69 c_api......._ini + 41e40 74000000 01f60223 00035f61 6c6c6f63 t......#.._alloc + 41e50 5f766465 73630000 00021502 2304035f _vdesc......#.._ + 41e60 6765745f 68775f64 65736300 00000222 get_hw_desc...." + 41e70 02230803 5f737761 705f7664 65736300 .#.._swap_vdesc. + 41e80 0000022b 02230c03 70526573 65727665 ...+.#..pReserve + 41e90 64000000 02320223 1000025f 56425546 d....2.#..._VBUF + 41ea0 00200000 03040364 6573635f 6c697374 . .....desc_list + 41eb0 00000002 08022300 036e6578 745f6275 ......#..next_bu + 41ec0 66000000 03040223 04036275 665f6c65 f......#..buf_le + 41ed0 6e677468 00000001 cb022308 03726573 ngth......#..res + 41ee0 65727665 64000000 030b0223 0a036374 erved......#..ct + 41ef0 78000000 01d90223 0c000400 0002a404 x......#........ + 41f00 00070000 01a10200 00031808 01000400 ................ + 41f10 0002a404 00065642 55460000 0002a404 ......VBUF...... + 41f20 0000031f 04000a00 00032901 04000003 ..........)..... + 41f30 3004000a 00000329 01040000 033d0400 0......).....=.. + 41f40 09010400 00034a04 00027662 75665f61 ......J...vbuf_a + 41f50 70690014 000003c8 035f696e 69740000 pi......._init.. + 41f60 0001f602 2300035f 616c6c6f 635f7662 ....#.._alloc_vb + 41f70 75660000 00033602 2304035f 616c6c6f uf....6.#.._allo + 41f80 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 41f90 00000003 43022308 035f6672 65655f76 ....C.#.._free_v + 41fa0 62756600 0000034c 02230c03 70526573 buf....L.#..pRes + 41fb0 65727665 64000000 02320223 1000025f erved....2.#..._ + 41fc0 5f616466 5f646576 69636500 04000003 _adf_device..... + 41fd0 ea036475 6d6d7900 000001ed 02230000 ..dummy......#.. + 41fe0 05756e73 69676e65 6420696e 74000704 .unsigned int... + 41ff0 06415f55 494e5433 32000000 03ea0400 .A_UINT32....... + 42000 0003fa04 00025f5f 6164665f 646d615f ......__adf_dma_ + 42010 6d617000 0c000004 4f036275 66000000 map.....O.buf... + 42020 03290223 00036473 5f616464 72000000 .).#..ds_addr... + 42030 04080223 04036473 5f6c656e 00000001 ...#..ds_len.... + 42040 cb022308 00056368 61720007 01040000 ..#...char...... + 42050 044f0400 0c0c0000 0498035f 5f76615f .O.........__va_ + 42060 73746b00 00000457 02230003 5f5f7661 stk....W.#..__va + 42070 5f726567 00000004 57022304 035f5f76 _reg....W.#..__v + 42080 615f6e64 78000000 01ed0223 0800065f a_ndx......#..._ + 42090 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 420a0 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d + 420b0 6d615f61 6464725f 74000000 0498065f ma_addr_t......_ + 420c0 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 420d0 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d + 420e0 6d615f73 697a655f 74000000 04c8025f ma_size_t......_ + 420f0 5f646d61 5f736567 73000800 00052403 _dma_segs.....$. + 42100 70616464 72000000 04b10223 00036c65 paddr......#..le + 42110 6e000000 04e10223 0400065f 5f615f75 n......#...__a_u + 42120 696e7433 325f7400 000003fa 06615f75 int32_t......a_u + 42130 696e7433 325f7400 00000524 07000004 int32_t....$.... + 42140 f8080000 05530800 00026164 665f6f73 .....S....adf_os + 42150 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 42160 058c036e 73656773 00000005 36022300 ...nsegs....6.#. + 42170 03646d61 5f736567 73000000 05460223 .dma_segs....F.# + 42180 0400065f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 42190 0001a106 615f7569 6e74385f 74000000 ....a_uint8_t... + 421a0 058c0400 00059d04 00025f5f 73675f73 ..........__sg_s + 421b0 65677300 08000005 de037661 64647200 egs.......vaddr. + 421c0 000005ac 02230003 6c656e00 00000536 .....#..len....6 + 421d0 02230400 07000005 b3200000 05eb0803 .#....... ...... + 421e0 00026164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 421f0 24000006 1e036e73 65677300 00000536 $.....nsegs....6 + 42200 02230003 73675f73 65677300 000005de .#..sg_segs..... + 42210 02230400 0c100000 06670376 656e646f .#.......g.vendo + 42220 72000000 05360223 00036465 76696365 r....6.#..device + 42230 00000005 36022304 03737562 76656e64 ....6.#..subvend + 42240 6f720000 00053602 23080373 75626465 or....6.#..subde + 42250 76696365 00000005 3602230c 00056c6f vice....6.#...lo + 42260 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 42270 20696e74 00070806 415f5549 4e543634 int....A_UINT64 + 42280 00000006 67065f5f 615f7569 6e743634 ....g.__a_uint64 + 42290 5f740000 00068106 615f7569 6e743634 _t......a_uint64 + 422a0 5f740000 00068f0d 04000006 ed0e4144 _t............AD + 422b0 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 422c0 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 422d0 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 422e0 00010006 6164665f 6f735f72 65736f75 ....adf_os_resou + 422f0 7263655f 74797065 5f740000 0006b10c rce_type_t...... + 42300 18000007 37037374 61727400 000006a1 ....7.start..... + 42310 02230003 656e6400 000006a1 02230803 .#..end......#.. + 42320 74797065 00000006 ed022310 00066164 type......#...ad + 42330 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 42340 74000000 061e0400 00073704 000f0400 t.........7..... + 42350 00077603 70636900 00000750 02230003 ..v.pci....P.#.. + 42360 72617700 00000232 02230000 0f100000 raw....2.#...... + 42370 07950370 63690000 00073702 23000372 ...pci....7.#..r + 42380 61770000 00023202 23000006 6164665f aw....2.#...adf_ + 42390 6472765f 68616e64 6c655f74 00000002 drv_handle_t.... + 423a0 32066164 665f6f73 5f726573 6f757263 2.adf_os_resourc + 423b0 655f7400 00000709 04000007 ab040006 e_t............. + 423c0 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 423d0 74615f74 00000007 76040000 07c90400 ta_t....v....... + 423e0 04000003 c8040006 5f5f6164 665f6f73 ........__adf_os + 423f0 5f646576 6963655f 74000000 07ea0661 _device_t......a + 42400 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 42410 0007f10a 00000795 01040000 081d0400 ................ + 42420 09010400 00082a04 00066164 665f6f73 ......*...adf_os + 42430 5f706d5f 74000000 02320901 04000008 _pm_t....2...... + 42440 4404000d 04000008 840e4144 465f4f53 D.........ADF_OS + 42450 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 42460 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 42470 47454e45 52494300 02000661 64665f6f GENERIC....adf_o + 42480 735f6275 735f7479 70655f74 00000008 s_bus_type_t.... + 42490 4d066164 665f6f73 5f627573 5f726567 M.adf_os_bus_reg + 424a0 5f646174 615f7400 00000757 04000001 _data_t....W.... + 424b0 90040002 5f616466 5f647276 5f696e66 ...._adf_drv_inf + 424c0 6f002000 00096103 6472765f 61747461 o. ...a.drv_atta + 424d0 63680000 00082302 23000364 72765f64 ch....#.#..drv_d + 424e0 65746163 68000000 082c0223 04036472 etach....,.#..dr + 424f0 765f7375 7370656e 64000000 08460223 v_suspend....F.# + 42500 08036472 765f7265 73756d65 00000008 ..drv_resume.... + 42510 2c02230c 03627573 5f747970 65000000 ,.#..bus_type... + 42520 08840223 10036275 735f6461 74610000 ...#..bus_data.. + 42530 00089b02 2314036d 6f645f6e 616d6500 ....#..mod_name. + 42540 000008b6 02231803 69666e61 6d650000 .....#..ifname.. + 42550 0008b602 231c0006 6164665f 6f735f68 ....#...adf_os_h + 42560 616e646c 655f7400 00000232 04000005 andle_t....2.... + 42570 8c040009 01090106 5f5f6164 665f6f73 ........__adf_os + 42580 5f73697a 655f7400 000003ea 0d040000 _size_t......... + 42590 09b00e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 425a0 52554500 01000661 5f626f6f 6c5f7400 RUE....a_bool_t. + 425b0 00000996 04000004 0f040006 5f5f6164 ............__ad + 425c0 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 425d0 0009be09 01106164 665f6f73 5f636163 ......adf_os_cac + 425e0 68655f73 796e6300 0400000a 480e4144 he_sync.....H.AD + 425f0 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 42600 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 42610 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 42620 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 42630 435f504f 53545752 49544500 03000661 C_POSTWRITE....a + 42640 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 42650 5f740000 0009df09 01066164 665f6f73 _t........adf_os + 42660 5f73697a 655f7400 00000981 0a00000a _size_t......... + 42670 63010661 64665f6f 735f646d 615f6d61 c..adf_os_dma_ma + 42680 705f7400 000009c5 0400000a 7c04000a p_t.........|... + 42690 00000232 01040000 09c50400 0a000002 ...2............ + 426a0 32010901 0a000004 b1010901 0573686f 2............sho + 426b0 72742069 6e740005 0206415f 494e5431 rt int....A_INT1 + 426c0 36000000 0ab6065f 5f615f69 6e743136 6......__a_int16 + 426d0 5f740000 000ac306 615f696e 7431365f _t......a_int16_ + 426e0 74000000 0ad00573 69676e65 64206368 t......signed ch + 426f0 61720005 0106415f 494e5438 0000000a ar....A_INT8.... + 42700 f0065f5f 615f696e 74385f74 0000000a ..__a_int8_t.... + 42710 ff06615f 696e7438 5f740000 000b0b0c ..a_int8_t...... + 42720 0c00000b 82037375 70706f72 74656400 ......supported. + 42730 00000536 02230003 61647665 7274697a ...6.#..advertiz + 42740 65640000 00053602 23040373 70656564 ed....6.#..speed + 42750 0000000a e1022308 03647570 6c657800 ......#..duplex. + 42760 00000b1b 02230a03 6175746f 6e656700 .....#..autoneg. + 42770 0000059d 02230b00 07000005 9d060000 .....#.......... + 42780 0b8f0805 00026164 665f6e65 745f6574 ......adf_net_et + 42790 68616464 72000600 000bb303 61646472 haddr.......addr + 427a0 0000000b 82022300 00065f5f 615f7569 ......#...__a_ui + 427b0 6e743136 5f740000 0001cb06 615f7569 nt16_t......a_ui + 427c0 6e743136 5f740000 000bb30c 0e00000c nt16_t.......... + 427d0 17036574 6865725f 64686f73 74000000 ..ether_dhost... + 427e0 0b820223 00036574 6865725f 73686f73 ...#..ether_shos + 427f0 74000000 0b820223 06036574 6865725f t......#..ether_ + 42800 74797065 0000000b c502230c 000c1400 type......#..... + 42810 000cd811 69705f76 65727369 6f6e0000 ....ip_version.. + 42820 00059d01 00040223 00116970 5f686c00 .......#..ip_hl. + 42830 0000059d 01040402 23000369 705f746f ........#..ip_to + 42840 73000000 059d0223 01036970 5f6c656e s......#..ip_len + 42850 0000000b c5022302 0369705f 69640000 ......#..ip_id.. + 42860 000bc502 23040369 705f6672 61675f6f ....#..ip_frag_o + 42870 66660000 000bc502 23060369 705f7474 ff......#..ip_tt + 42880 6c000000 059d0223 08036970 5f70726f l......#..ip_pro + 42890 746f0000 00059d02 23090369 705f6368 to......#..ip_ch + 428a0 65636b00 00000bc5 02230a03 69705f73 eck......#..ip_s + 428b0 61646472 00000005 3602230c 0369705f addr....6.#..ip_ + 428c0 64616464 72000000 05360223 10000261 daddr....6.#...a + 428d0 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 428e0 00000d2a 03747069 64000000 0bc50223 ...*.tpid......# + 428f0 00117072 696f0000 00059d01 00030223 ..prio.........# + 42900 02116366 69000000 059d0103 01022302 ..cfi.........#. + 42910 11766964 0000000b c502040c 02230200 .vid.........#.. + 42920 02616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 42930 0d5b1172 65730000 00059d01 00040223 .[.res.........# + 42940 00117661 6c000000 0bc50204 0c022300 ..val.........#. + 42950 000c0c00 000d9703 72785f62 75667369 ........rx_bufsi + 42960 7a650000 00053602 23000372 785f6e64 ze....6.#..rx_nd + 42970 65736300 00000536 02230403 74785f6e esc....6.#..tx_n + 42980 64657363 00000005 36022308 000c0800 desc....6.#..... + 42990 000dbd03 706f6c6c 65640000 0009b002 ....polled...... + 429a0 23000370 6f6c6c5f 77740000 00053602 #..poll_wt....6. + 429b0 23040007 0000059d 4000000d ca083f00 #.......@.....?. + 429c0 0c460000 0df20369 665f6e61 6d650000 .F.....if_name.. + 429d0 000dbd02 23000364 65765f61 64647200 ....#..dev_addr. + 429e0 00000b82 02234000 0d040000 0e290e41 .....#@......).A + 429f0 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 42a00 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 42a10 415f4d41 534b5f36 34424954 00010006 A_MASK_64BIT.... + 42a20 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 42a30 74000000 0df20261 64665f64 6d615f69 t......adf_dma_i + 42a40 6e666f00 0800000e 7603646d 615f6d61 nfo.....v.dma_ma + 42a50 736b0000 000e2902 23000373 675f6e73 sk....).#..sg_ns + 42a60 65677300 00000536 02230400 0d040000 egs....6.#...... + 42a70 0ecc0e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 42a80 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 42a90 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 42aa0 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 42ab0 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 42ac0 02000661 64665f6e 65745f63 6b73756d ...adf_net_cksum + 42ad0 5f747970 655f7400 00000e76 0c080000 _type_t....v.... + 42ae0 0f0f0374 785f636b 73756d00 00000ecc ...tx_cksum..... + 42af0 02230003 72785f63 6b73756d 0000000e .#..rx_cksum.... + 42b00 cc022304 00066164 665f6e65 745f636b ..#...adf_net_ck + 42b10 73756d5f 696e666f 5f740000 000ee60d sum_info_t...... + 42b20 0400000f 680e4144 465f4e45 545f5453 ....h.ADF_NET_TS + 42b30 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 42b40 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 42b50 4e45545f 54534f5f 414c4c00 02000661 NET_TSO_ALL....a + 42b60 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 42b70 74000000 0f290c10 00000fbc 03636b73 t....).......cks + 42b80 756d5f63 61700000 000f0f02 23000374 um_cap......#..t + 42b90 736f0000 000f6802 23080376 6c616e5f so....h.#..vlan_ + 42ba0 73757070 6f727465 64000000 059d0223 supported......# + 42bb0 0c000c20 00001055 0374785f 7061636b ... ...U.tx_pack + 42bc0 65747300 00000536 02230003 72785f70 ets....6.#..rx_p + 42bd0 61636b65 74730000 00053602 23040374 ackets....6.#..t + 42be0 785f6279 74657300 00000536 02230803 x_bytes....6.#.. + 42bf0 72785f62 79746573 00000005 3602230c rx_bytes....6.#. + 42c00 0374785f 64726f70 70656400 00000536 .tx_dropped....6 + 42c10 02231003 72785f64 726f7070 65640000 .#..rx_dropped.. + 42c20 00053602 23140372 785f6572 726f7273 ..6.#..rx_errors + 42c30 00000005 36022318 0374785f 6572726f ....6.#..tx_erro + 42c40 72730000 00053602 231c0006 6164665f rs....6.#...adf_ + 42c50 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 42c60 0b8f1200 00105503 00000010 7a087f00 ......U.....z... + 42c70 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 42c80 64647200 03040000 10b1036e 656c656d ddr........nelem + 42c90 00000005 36022300 036d6361 73740000 ....6.#..mcast.. + 42ca0 00106c02 23040006 6164665f 6e65745f ..l.#...adf_net_ + 42cb0 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 42cc0 00000b29 06616466 5f6e6574 5f636d64 ...).adf_net_cmd + 42cd0 5f706f6c 6c5f696e 666f5f74 0000000d _poll_info_t.... + 42ce0 97066164 665f6e65 745f636d 645f636b ..adf_net_cmd_ck + 42cf0 73756d5f 696e666f 5f740000 000f0f06 sum_info_t...... + 42d00 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 42d10 5f696e66 6f5f7400 00000d5b 06616466 _info_t....[.adf + 42d20 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 42d30 6f5f7400 00000e40 06616466 5f6e6574 o_t....@.adf_net + 42d40 5f636d64 5f766964 5f740000 000bc506 _cmd_vid_t...... + 42d50 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 42d60 6f61645f 6361705f 74000000 0f800661 oad_cap_t......a + 42d70 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 42d80 5f740000 000fbc06 6164665f 6e65745f _t......adf_net_ + 42d90 636d645f 6d636164 64725f74 00000010 cmd_mcaddr_t.... + 42da0 7a106164 665f6e65 745f636d 645f6d63 z.adf_net_cmd_mc + 42db0 6173745f 63617000 04000011 f30e4144 ast_cap.......AD + 42dc0 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 42dd0 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 42de0 4e4f5453 55500001 00066164 665f6e65 NOTSUP....adf_ne + 42df0 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 42e00 74000000 11ab1403 04000012 c5036c69 t.............li + 42e10 6e6b5f69 6e666f00 000010b1 02230003 nk_info......#.. + 42e20 706f6c6c 5f696e66 6f000000 10ce0223 poll_info......# + 42e30 0003636b 73756d5f 696e666f 00000010 ..cksum_info.... + 42e40 eb022300 0372696e 675f696e 666f0000 ..#..ring_info.. + 42e50 00110902 23000364 6d615f69 6e666f00 ....#..dma_info. + 42e60 00001126 02230003 76696400 00001142 ...&.#..vid....B + 42e70 02230003 6f66666c 6f61645f 63617000 .#..offload_cap. + 42e80 00001159 02230003 73746174 73000000 ...Y.#..stats... + 42e90 11780223 00036d63 6173745f 696e666f .x.#..mcast_info + 42ea0 00000011 91022300 036d6361 73745f63 ......#..mcast_c + 42eb0 61700000 0011f302 2300000d 04000013 ap......#....... + 42ec0 1c0e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 42ed0 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 42ee0 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 42ef0 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 42f00 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 42f10 02000661 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 42f20 6b73756d 5f747970 655f7400 000012c5 ksum_type_t..... + 42f30 0c080000 135c0372 6573756c 74000000 .....\.result... + 42f40 131c0223 00037661 6c000000 05360223 ...#..val....6.# + 42f50 04000c08 0000138c 03747970 65000000 .........type... + 42f60 0f680223 00036d73 73000000 0bc50223 .h.#..mss......# + 42f70 04036864 725f6f66 66000000 059d0223 ..hdr_off......# + 42f80 0600025f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 42f90 65616400 0c000013 cb036865 61640000 ead.......head.. + 42fa0 00032902 23000374 61696c00 00000329 ..).#..tail....) + 42fb0 02230403 716c656e 00000005 36022308 .#..qlen....6.#. + 42fc0 00065f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 42fd0 00032904 000005ac 04000400 00053604 ..)...........6. + 42fe0 0009010a 00000208 010a0000 0536010a .............6.. + 42ff0 000005ac 010a0000 05ac0104 000001d9 ................ + 43000 0400065f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 43010 6561645f 74000000 138c065f 5f616466 ead_t......__adf + 43020 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 43030 140c0400 00142404 000a0000 13cb010a ......$......... + 43040 000013cb 010d0400 0015440e 415f5354 ..........D.A_ST + 43050 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 43060 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 43070 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 43080 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 43090 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 430a0 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 430b0 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 430c0 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 430d0 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 430e0 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 430f0 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 43100 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 43110 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 43120 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 43130 5455535f 45494f00 0c000661 5f737461 TUS_EIO....a_sta + 43140 7475735f 74000000 144f0a00 00154401 tus_t....O....D. + 43150 0a000001 ed010901 06616466 5f6e6275 .........adf_nbu + 43160 665f7400 000013cb 0d040000 15a90e41 f_t............A + 43170 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 43180 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 43190 5f46524f 4d5f4445 56494345 00010006 _FROM_DEVICE.... + 431a0 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 431b0 00000015 720a0000 15440109 01066164 ....r....D....ad + 431c0 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 431d0 5f740000 00055304 000015c7 04000901 _t....S......... + 431e0 09010a00 00156201 0a000013 cb010901 ......b......... + 431f0 09010a00 00156201 0a000013 cb010a00 ......b......... + 43200 00156201 0a000013 cb010a00 00156201 ..b...........b. + 43210 09010901 0a000005 36010a00 0005ac01 ........6....... + 43220 09010901 0a00000a 63010a00 0009b001 ........c....... + 43230 0a000009 b0010661 64665f6f 735f7367 .......adf_os_sg + 43240 6c697374 5f740000 0005eb04 00001640 list_t.........@ + 43250 04000901 09010901 0a000005 ac010661 ...............a + 43260 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 43270 00001424 04000016 68040009 01040000 ...$....h....... + 43280 140c0400 09010901 09010a00 00156201 ..............b. + 43290 0a000013 cb010a00 00053601 0a000005 ..........6..... + 432a0 36010a00 0009b001 0a000009 b0010a00 6............... + 432b0 000ecc01 0a000005 36010661 64665f6e ........6..adf_n + 432c0 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 432d0 00133a04 000016c4 04000901 09010661 ..:............a + 432e0 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 432f0 135c0400 0016e804 00090109 01066164 .\............ad + 43300 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 43310 00023206 6164665f 6e65745f 766c616e ..2.adf_net_vlan + 43320 6864725f 74000000 0cd80400 00171d04 hdr_t........... + 43330 000a0000 1544010a 00001544 01090109 .....D.....D.... + 43340 01025f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 43350 00176c03 64756d6d 79000000 01ed0223 ..l.dummy......# + 43360 00000901 04000017 6c040009 01040000 ........l....... + 43370 17750400 025f4849 465f4341 4c4c4241 .u..._HIF_CALLBA + 43380 434b000c 000017ca 0373656e 645f6275 CK.......send_bu + 43390 665f646f 6e650000 00176e02 23000372 f_done....n.#..r + 433a0 6563765f 62756600 00001777 02230403 ecv_buf....w.#.. + 433b0 636f6e74 65787400 00000232 02230800 context....2.#.. + 433c0 06686966 5f68616e 646c655f 74000000 .hif_handle_t... + 433d0 02320648 49465f43 4f4e4649 47000000 .2.HIF_CONFIG... + 433e0 174b0400 0017dc04 000a0000 17ca0104 .K.............. + 433f0 000017f3 04000901 04000018 00040006 ................ + 43400 4849465f 43414c4c 4241434b 00000017 HIF_CALLBACK.... + 43410 7e040000 18090400 09010400 00182204 ~.............". + 43420 000a0000 01ed0104 0000182b 04000901 ...........+.... + 43430 04000018 3804000a 000001ed 01040000 ....8........... + 43440 18410400 09010400 00184e04 000a0000 .A........N..... + 43450 01ed0104 00001857 04000901 04000018 .......W........ + 43460 64040002 6869665f 61706900 38000019 d...hif_api.8... + 43470 bd035f69 6e697400 000017f9 02230003 .._init......#.. + 43480 5f736875 74646f77 6e000000 18020223 _shutdown......# + 43490 04035f72 65676973 7465725f 63616c6c .._register_call + 434a0 6261636b 00000018 24022308 035f6765 back....$.#.._ge + 434b0 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 434c0 6f756e74 00000018 3102230c 035f7374 ount....1.#.._st + 434d0 61727400 00001802 02231003 5f636f6e art......#.._con + 434e0 6669675f 70697065 00000018 3a022314 fig_pipe....:.#. + 434f0 035f7365 6e645f62 75666665 72000000 ._send_buffer... + 43500 18470223 18035f72 65747572 6e5f7265 .G.#.._return_re + 43510 63765f62 75660000 00185002 231c035f cv_buf....P.#.._ + 43520 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 43530 64000000 185d0223 20035f67 65745f6d d....].# ._get_m + 43540 61785f6d 73675f6c 656e0000 00185d02 ax_msg_len....]. + 43550 2324035f 6765745f 72657365 72766564 #$._get_reserved + 43560 5f686561 64726f6f 6d000000 18310223 _headroom....1.# + 43570 28035f69 73725f68 616e646c 65720000 (._isr_handler.. + 43580 00180202 232c035f 6765745f 64656661 ....#,._get_defa + 43590 756c745f 70697065 00000018 66022330 ult_pipe....f.#0 + 435a0 03705265 73657276 65640000 00023202 .pReserved....2. + 435b0 23340009 01040000 19bd0400 15000004 #4.............. + 435c0 4f150000 044f0400 0019cb04 000a0000 O....O.......... + 435d0 01ed0104 000019d7 04000270 72696e74 ...........print + 435e0 665f6170 69000800 001a1b03 5f707269 f_api......._pri + 435f0 6e74665f 696e6974 00000019 bf022300 ntf_init......#. + 43600 035f7072 696e7466 00000019 dd022304 ._printf......#. + 43610 00067569 6e743136 5f740000 0001b505 ..uint16_t...... + 43620 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 43630 74000704 0675696e 7433325f 74000000 t....uint32_t... + 43640 1a290275 6172745f 6669666f 00080000 .).uart_fifo.... + 43650 1a970373 74617274 5f696e64 65780000 ...start_index.. + 43660 001a1b02 23000365 6e645f69 6e646578 ....#..end_index + 43670 0000001a 1b022302 036f7665 7272756e ......#..overrun + 43680 5f657272 0000001a 3e022304 00027561 _err....>.#...ua + 43690 72745f61 70690020 00001b50 035f7561 rt_api. ...P._ua + 436a0 72745f69 6e697400 00001ba7 02230003 rt_init......#.. + 436b0 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + 436c0 001bbd02 2304035f 75617274 5f636861 ....#.._uart_cha + 436d0 725f6765 74000000 1bd10223 08035f75 r_get......#.._u + 436e0 6172745f 7374725f 6f757400 00001bda art_str_out..... + 436f0 02230c03 5f756172 745f7461 736b0000 .#.._uart_task.. + 43700 0019bf02 2310035f 75617274 5f737461 ....#.._uart_sta + 43710 74757300 00001ba7 02231403 5f756172 tus......#.._uar + 43720 745f636f 6e666967 0000001b e3022318 t_config......#. + 43730 035f7561 72745f68 77696e69 74000000 ._uart_hwinit... + 43740 1bec0223 1c000400 001a9704 00027561 ...#..........ua + 43750 72745f62 6c6b0010 00001ba1 03646562 rt_blk.......deb + 43760 75675f6d 6f646500 00001a1b 02230003 ug_mode......#.. + 43770 62617564 0000001a 1b022302 035f7561 baud......#.._ua + 43780 72740000 001b5002 2304035f 74780000 rt....P.#.._tx.. + 43790 001a4c02 2308000a 00001a3e 01040000 ..L.#......>.... + 437a0 1ba10400 0675696e 74385f74 00000001 .....uint8_t.... + 437b0 90090104 00001bbb 04000400 001bae04 ................ + 437c0 000a0000 1a1b0104 00001bcb 04000901 ................ + 437d0 0400001b d8040009 01040000 1be10400 ................ + 437e0 09010400 001bea04 000a0000 01ed0104 ................ + 437f0 00001bf3 04000244 425f434f 4d4d414e .......DB_COMMAN + 43800 445f5354 52554354 000c0000 1c4b0363 D_STRUCT.....K.c + 43810 6d645f73 74720000 00045702 23000368 md_str....W.#..h + 43820 656c705f 73747200 00000457 02230403 elp_str....W.#.. + 43830 636d645f 66756e63 0000001b f9022308 cmd_func......#. + 43840 00026462 675f6170 69000800 001c7e03 ..dbg_api.....~. + 43850 5f646267 5f696e69 74000000 19bf0223 _dbg_init......# + 43860 00035f64 62675f74 61736b00 000019bf .._dbg_task..... + 43870 02230400 0a000002 32010400 001c7e04 .#......2.....~. + 43880 00161604 00001c8c 04000a00 00023201 ..............2. + 43890 0400001c 9404000a 000001ed 01040000 ................ + 438a0 1ca10400 026d656d 5f617069 00140000 .....mem_api.... + 438b0 1d10035f 6d656d5f 696e6974 00000019 ..._mem_init.... + 438c0 bf022300 035f6d65 6d736574 0000001c ..#.._memset.... + 438d0 84022304 035f6d65 6d637079 0000001c ..#.._memcpy.... + 438e0 9a022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... + 438f0 1c9a0223 0c035f6d 656d636d 70000000 ...#.._memcmp... + 43900 1ca70223 10001772 65676973 7465725f ...#...register_ + 43910 64756d70 5f730000 01040000 1d100400 dump_s.......... + 43920 09010400 001d2a04 00090104 00001d33 ......*........3 + 43930 04000a00 0001ed01 0400001d 3c040010 ............<... + 43940 686f7374 69665f73 00040000 1d980e48 hostif_s.......H + 43950 49465f55 53420000 0e484946 5f504349 IF_USB...HIF_PCI + 43960 4500010e 4849465f 474d4143 00020e48 E...HIF_GMAC...H + 43970 49465f50 43490003 0e484946 5f4e554d IF_PCI...HIF_NUM + 43980 00040e48 49465f4e 4f4e4500 05000641 ...HIF_NONE....A + 43990 5f484f53 54494600 00001d49 0a00001d _HOSTIF....I.... + 439a0 98010400 001da604 000a0000 1bae0104 ................ + 439b0 00001db3 04000a00 001a1b01 0400001d ................ + 439c0 c0040002 6d697363 5f617069 00240000 ....misc_api.$.. + 439d0 1eb0035f 73797374 656d5f72 65736574 ..._system_reset + 439e0 00000019 bf022300 035f6d61 635f7265 ......#.._mac_re + 439f0 73657400 000019bf 02230403 5f617373 set......#.._ass + 43a00 6661696c 0000001d 2c022308 035f6d69 fail....,.#.._mi + 43a10 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha + 43a20 6e646c65 72000000 1d2c0223 0c035f72 ndler....,.#.._r + 43a30 65706f72 745f6661 696c7572 655f746f eport_failure_to + 43a40 5f686f73 74000000 1d350223 10035f74 _host....5.#.._t + 43a50 61726765 745f6964 5f676574 0000001d arget_id_get.... + 43a60 42022314 035f6973 5f686f73 745f7072 B.#.._is_host_pr + 43a70 6573656e 74000000 1dac0223 18035f6b esent......#.._k + 43a80 62686974 0000001d b902231c 035f726f bhit......#.._ro + 43a90 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... + 43aa0 1dc60223 20000a00 00045701 0400001e ...# .....W..... + 43ab0 b004000a 00000457 01040000 1ebd0400 .......W........ + 43ac0 0a000001 ed010400 001eca04 000a0000 ................ + 43ad0 01ed0104 00001ed7 04000a00 0001ed01 ................ + 43ae0 0400001e e4040002 73747269 6e675f61 ........string_a + 43af0 70690018 00001f6a 035f7374 72696e67 pi.....j._string + 43b00 5f696e69 74000000 19bf0223 00035f73 _init......#.._s + 43b10 74726370 79000000 1eb60223 04035f73 trcpy......#.._s + 43b20 74726e63 70790000 001ec302 2308035f trncpy......#.._ + 43b30 7374726c 656e0000 001ed002 230c035f strlen......#.._ + 43b40 73747263 6d700000 001edd02 2310035f strcmp......#.._ + 43b50 7374726e 636d7000 00001eea 02231400 strncmp......#.. + 43b60 07000003 ea140000 1f770804 00065f41 .........w...._A + 43b70 5f54494d 45525f53 50414345 0000001f _TIMER_SPACE.... + 43b80 6a06415f 74696d65 725f7400 00001f77 j.A_timer_t....w + 43b90 0400001f 8b040009 01040000 1fa10400 ................ + 43ba0 09010400 001faa04 0006415f 48414e44 ..........A_HAND + 43bb0 4c450000 0003ea09 0106415f 54494d45 LE........A_TIME + 43bc0 525f4655 4e430000 001fc104 00001fc3 R_FUNC.......... + 43bd0 04000901 0400001f dc040002 74696d65 ............time + 43be0 725f6170 69001400 00205b03 5f74696d r_api.... [._tim + 43bf0 65725f69 6e697400 000019bf 02230003 er_init......#.. + 43c00 5f74696d 65725f61 726d0000 001fa302 _timer_arm...... + 43c10 2304035f 74696d65 725f6469 7361726d #.._timer_disarm + 43c20 0000001f ac022308 035f7469 6d65725f ......#.._timer_ + 43c30 73657466 6e000000 1fde0223 0c035f74 setfn......#.._t + 43c40 696d6572 5f72756e 00000019 bf022310 imer_run......#. + 43c50 0006424f 4f4c4541 4e000000 1a1b0a00 ..BOOLEAN....... + 43c60 00205b01 04000020 6804000a 0000205b . [.... h..... [ + 43c70 01040000 20750400 0a000020 5b010400 .... u..... [... + 43c80 00208204 0002726f 6d705f61 70690010 . ....romp_api.. + 43c90 000020f4 035f726f 6d705f69 6e697400 .. .._romp_init. + 43ca0 000019bf 02230003 5f726f6d 705f646f .....#.._romp_do + 43cb0 776e6c6f 61640000 00206e02 2304035f wnload... n.#.._ + 43cc0 726f6d70 5f696e73 74616c6c 00000020 romp_install... + 43cd0 7b022308 035f726f 6d705f64 65636f64 {.#.._romp_decod + 43ce0 65000000 20880223 0c000272 6f6d5f70 e... ..#...rom_p + 43cf0 61746368 5f737400 10000021 50036372 atch_st....!P.cr + 43d00 63313600 00001a1b 02230003 6c656e00 c16......#..len. + 43d10 00001a1b 02230203 6c645f61 64647200 .....#..ld_addr. + 43d20 00001a3e 02230403 66756e5f 61646472 ...>.#..fun_addr + 43d30 0000001a 3e022308 03706675 6e000000 ....>.#..pfun... + 43d40 1bc40223 0c000265 65705f72 65646972 ...#...eep_redir + 43d50 5f616464 72000400 00218203 6f666673 _addr....!..offs + 43d60 65740000 001a1b02 23000373 697a6500 et......#..size. + 43d70 00001a1b 02230200 0a000002 32010400 .....#......2... + 43d80 00218204 0002616c 6c6f6372 616d5f61 .!....allocram_a + 43d90 7069000c 000021f3 03636d6e 6f735f61 pi....!..cmnos_a + 43da0 6c6c6f63 72616d5f 696e6974 00000021 llocram_init...! + 43db0 88022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc + 43dc0 72616d00 00002188 02230403 636d6e6f ram...!..#..cmno + 43dd0 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 43de0 00000019 bf022308 00090104 000021f3 ......#.......!. + 43df0 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 43e00 43000000 21f5025f 7461736b 6c657400 C...!.._tasklet. + 43e10 10000022 54036675 6e630000 0021fc02 ..."T.func...!.. + 43e20 23000361 72670000 00023202 23040373 #..arg....2.#..s + 43e30 74617465 00000001 ed022308 036e6578 tate......#..nex + 43e40 74000000 22540223 0c000400 00221004 t..."T.#.....".. + 43e50 00040000 22100400 06415f74 61736b6c ...."....A_taskl + 43e60 65745f74 00000022 10040000 22620400 et_t..."...."b.. + 43e70 09010400 00227a04 00090104 00002283 ....."z.......". + 43e80 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. + 43e90 00002318 035f7461 736b6c65 745f696e ..#.._tasklet_in + 43ea0 69740000 0019bf02 2300035f 7461736b it......#.._task + 43eb0 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 43ec0 227c0223 04035f74 61736b6c 65745f64 "|.#.._tasklet_d + 43ed0 69736162 6c650000 00228502 2308035f isable..."..#.._ + 43ee0 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 43ef0 00000022 8502230c 035f7461 736b6c65 ..."..#.._taskle + 43f00 745f7275 6e000000 19bf0223 10000901 t_run......#.... + 43f10 04000023 1804000a 000003fa 01040000 ...#............ + 43f20 23210400 02636c6f 636b5f61 70690024 #!...clock_api.$ + 43f30 00002407 035f636c 6f636b5f 696e6974 ..$.._clock_init + 43f40 00000023 1a022300 035f636c 6f636b72 ...#..#.._clockr + 43f50 6567735f 696e6974 00000019 bf022304 egs_init......#. + 43f60 035f7561 72745f66 72657175 656e6379 ._uart_frequency + 43f70 00000023 27022308 035f6465 6c61795f ...#'.#.._delay_ + 43f80 75730000 0001f602 230c035f 776c616e us......#.._wlan + 43f90 5f62616e 645f7365 74000000 01f60223 _band_set......# + 43fa0 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 43fb0 67657400 00002327 02231403 5f6d696c get...#'.#.._mil + 43fc0 6c697365 636f6e64 73000000 23270223 liseconds...#'.# + 43fd0 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 43fe0 00000019 bf02231c 035f636c 6f636b5f ......#.._clock_ + 43ff0 7469636b 00000019 bf022320 000a0000 tick......# .... + 44000 1a3e0104 00002407 04000641 5f6f6c64 .>....$....A_old + 44010 5f696e74 725f7400 00001a3e 0a000024 _intr_t....>...$ + 44020 14010400 00242604 00090104 00002433 .....$&.......$3 + 44030 04000901 04000024 3c04000a 00001a3e .......$<......> + 44040 01040000 24450400 06415f69 73725f74 ....$E...A_isr_t + 44050 00000024 4b090104 0000245f 04000a00 ...$K.....$_.... + 44060 0003ea01 04000024 68040009 01040000 .......$h....... + 44070 24750400 02696e74 725f6170 69002c00 $u...intr_api.,. + 44080 00259703 5f696e74 725f696e 69740000 .%.._intr_init.. + 44090 0019bf02 2300035f 696e7472 5f696e76 ....#.._intr_inv + 440a0 6f6b655f 69737200 0000240d 02230403 oke_isr...$..#.. + 440b0 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 440c0 242c0223 08035f69 6e74725f 72657374 $,.#.._intr_rest + 440d0 6f726500 00002435 02230c03 5f696e74 ore...$5.#.._int + 440e0 725f6d61 736b5f69 6e756d00 0000243e r_mask_inum...$> + 440f0 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask + 44100 5f696e75 6d000000 243e0223 14035f69 _inum...$>.#.._i + 44110 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 44120 00246102 2318035f 6765745f 696e7472 .$a.#.._get_intr + 44130 656e6162 6c650000 00246e02 231c035f enable...$n.#.._ + 44140 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 44150 00247702 2320035f 6765745f 696e7472 .$w.# ._get_intr + 44160 70656e64 696e6700 0000246e 02232403 pending...$n.#$. + 44170 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 44180 726c766c 00000019 bf022328 000f0400 rlvl......#(.... + 44190 0025bd03 74696d65 6f757400 00001a3e .%..timeout....> + 441a0 02230003 61637469 6f6e0000 001a3e02 .#..action....>. + 441b0 2300000c 08000025 d803636d 64000000 #......%..cmd... + 441c0 1a3e0223 00180000 25970223 04000654 .>.#....%..#...T + 441d0 5f574454 5f434d44 00000025 bd090104 _WDT_CMD...%.... + 441e0 000025e7 04000d04 0000263d 0e454e55 ..%.......&=.ENU + 441f0 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU + 44200 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN + 44210 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E + 44220 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 44230 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 44240 000025f0 0a000026 3d010400 00264e04 ..%....&=....&N. + 44250 00027764 745f6170 69001c00 0026f203 ..wdt_api....&.. + 44260 5f776474 5f696e69 74000000 19bf0223 _wdt_init......# + 44270 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... + 44280 19bf0223 04035f77 64745f64 69736162 ...#.._wdt_disab + 44290 6c650000 0019bf02 2308035f 7764745f le......#.._wdt_ + 442a0 73657400 000025e9 02230c03 5f776474 set...%..#.._wdt + 442b0 5f746173 6b000000 19bf0223 10035f77 _task......#.._w + 442c0 64745f72 65736574 00000019 bf022314 dt_reset......#. + 442d0 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 442e0 00002654 02231800 0d040000 27590e52 ..&T.#......'Y.R + 442f0 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET + 44300 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ + 44310 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ + 44320 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE + 44330 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 44340 0e524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... + 44350 545f4545 505f5245 54000000 26f20400 T_EEP_RET...&... + 44360 001a1b04 000a0000 27590104 0000276f ........'Y....'o + 44370 04000a00 00275901 04000027 7c040002 .....'Y....'|... + 44380 6565705f 61706900 10000027 e5035f65 eep_api....'.._e + 44390 65705f69 6e697400 000019bf 02230003 ep_init......#.. + 443a0 5f656570 5f726561 64000000 27750223 _eep_read...'u.# + 443b0 04035f65 65705f77 72697465 00000027 .._eep_write...' + 443c0 75022308 035f6565 705f6973 5f657869 u.#.._eep_is_exi + 443d0 73740000 00278202 230c0002 7573625f st...'..#...usb_ + 443e0 61706900 7000002a 92035f75 73625f69 api.p..*.._usb_i + 443f0 6e697400 000019bf 02230003 5f757362 nit......#.._usb + 44400 5f726f6d 5f746173 6b000000 19bf0223 _rom_task......# + 44410 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 44420 0019bf02 2308035f 7573625f 696e6974 ....#.._usb_init + 44430 5f706879 00000019 bf02230c 035f7573 _phy......#.._us + 44440 625f6570 305f7365 74757000 000019bf b_ep0_setup..... + 44450 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 44460 000019bf 02231403 5f757362 5f657030 .....#.._usb_ep0 + 44470 5f727800 000019bf 02231803 5f757362 _rx......#.._usb + 44480 5f676574 5f696e74 65726661 63650000 _get_interface.. + 44490 00207b02 231c035f 7573625f 7365745f . {.#.._usb_set_ + 444a0 696e7465 72666163 65000000 207b0223 interface... {.# + 444b0 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 444c0 67757261 74696f6e 00000020 7b022324 guration... {.#$ + 444d0 035f7573 625f7365 745f636f 6e666967 ._usb_set_config + 444e0 75726174 696f6e00 0000207b 02232803 uration... {.#(. + 444f0 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 44500 64000000 207b0223 2c035f75 73625f76 d... {.#,._usb_v + 44510 656e646f 725f636d 64000000 19bf0223 endor_cmd......# + 44520 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 44530 00000019 bf022334 035f7573 625f7265 ......#4._usb_re + 44540 7365745f 6669666f 00000019 bf022338 set_fifo......#8 + 44550 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 44560 19bf0223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ + 44570 626f6f74 00000019 bf022340 035f7573 boot......#@._us + 44580 625f636c 725f6665 61747572 65000000 b_clr_feature... + 44590 207b0223 44035f75 73625f73 65745f66 {.#D._usb_set_f + 445a0 65617475 72650000 00207b02 2348035f eature... {.#H._ + 445b0 7573625f 7365745f 61646472 65737300 usb_set_address. + 445c0 0000207b 02234c03 5f757362 5f676574 .. {.#L._usb_get + 445d0 5f646573 63726970 746f7200 0000207b _descriptor... { + 445e0 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta + 445f0 74757300 0000207b 02235403 5f757362 tus... {.#T._usb + 44600 5f736574 75705f64 65736300 000019bf _setup_desc..... + 44610 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 44620 00000019 bf02235c 035f7573 625f7374 ......#\._usb_st + 44630 61747573 5f696e00 000019bf 02236003 atus_in......#`. + 44640 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 44650 00000019 bf022364 035f7573 625f6570 ......#d._usb_ep + 44660 305f7278 5f646174 61000000 19bf0223 0_rx_data......# + 44670 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 44680 000019bf 02236c00 10646d61 5f656e67 .....#l..dma_eng + 44690 696e6500 0400002b 1b0e444d 415f454e ine....+..DMA_EN + 446a0 47494e45 5f525830 00000e44 4d415f45 GINE_RX0...DMA_E + 446b0 4e47494e 455f5258 3100010e 444d415f NGINE_RX1...DMA_ + 446c0 454e4749 4e455f52 58320002 0e444d41 ENGINE_RX2...DMA + 446d0 5f454e47 494e455f 52583300 030e444d _ENGINE_RX3...DM + 446e0 415f454e 47494e45 5f545830 00040e44 A_ENGINE_TX0...D + 446f0 4d415f45 4e47494e 455f5458 3100050e MA_ENGINE_TX1... + 44700 444d415f 454e4749 4e455f4d 41580006 DMA_ENGINE_MAX.. + 44710 0006646d 615f656e 67696e65 5f740000 ..dma_engine_t.. + 44720 002a9210 646d615f 69667479 70650004 .*..dma_iftype.. + 44730 00002b68 0e444d41 5f49465f 474d4143 ..+h.DMA_IF_GMAC + 44740 00000e44 4d415f49 465f5043 4900010e ...DMA_IF_PCI... + 44750 444d415f 49465f50 43494500 02000664 DMA_IF_PCIE....d + 44760 6d615f69 66747970 655f7400 00002b2d ma_iftype_t...+- + 44770 0a000001 cb010400 002b7a04 00090104 .........+z..... + 44780 00002b87 04000901 0400002b 9004000a ..+........+.... + 44790 000003fa 01040000 2b990400 0a000001 ........+....... + 447a0 cb010400 002ba604 000a0000 01cb0104 .....+.......... + 447b0 00002bb3 04000a00 00032901 0400002b ..+.......)....+ + 447c0 c0040009 01040000 2bcd0400 02646d61 ........+....dma + 447d0 5f6c6962 5f617069 00340000 2cd40374 _lib_api.4..,..t + 447e0 785f696e 69740000 002b8002 23000374 x_init...+..#..t + 447f0 785f7374 61727400 00002b89 02230403 x_start...+..#.. + 44800 72785f69 6e697400 00002b80 02230803 rx_init...+..#.. + 44810 72785f63 6f6e6669 67000000 2b920223 rx_config...+..# + 44820 0c037278 5f737461 72740000 002b8902 ..rx_start...+.. + 44830 23100369 6e74725f 73746174 75730000 #..intr_status.. + 44840 002b9f02 23140368 6172645f 786d6974 .+..#..hard_xmit + 44850 0000002b ac022318 03666c75 73685f78 ...+..#..flush_x + 44860 6d697400 00002b89 02231c03 786d6974 mit...+..#..xmit + 44870 5f646f6e 65000000 2bb90223 20037265 _done...+..# .re + 44880 61705f78 6d697474 65640000 002bc602 ap_xmitted...+.. + 44890 23240372 6561705f 72656376 0000002b #$.reap_recv...+ + 448a0 c6022328 03726574 75726e5f 72656376 ..#(.return_recv + 448b0 0000002b cf02232c 03726563 765f706b ...+..#,.recv_pk + 448c0 74000000 2bb90223 3000025f 5f706369 t...+..#0..__pci + 448d0 5f736f66 7463000c 00002cf2 03737700 _softc....,..sw. + 448e0 00001809 02230000 065f5f70 63695f73 .....#...__pci_s + 448f0 6f667463 5f740000 002cd404 00002cf2 oftc_t...,....,. + 44900 04000901 0400002d 0c04000a 000001a1 .......-........ + 44910 01040000 2d150400 10686966 5f706369 ....-....hif_pci + 44920 5f706970 655f7478 00040000 2d750e48 _pipe_tx....-u.H + 44930 49465f50 43495f50 4950455f 54583000 IF_PCI_PIPE_TX0. + 44940 000e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 44950 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP + 44960 455f5458 5f4d4158 00020006 6869665f E_TX_MAX....hif_ + 44970 7063695f 70697065 5f74785f 74000000 pci_pipe_tx_t... + 44980 2d220a00 002b1b01 0400002d 8c040010 -"...+.....-.... + 44990 6869665f 7063695f 70697065 5f727800 hif_pci_pipe_rx. + 449a0 0400002e 120e4849 465f5043 495f5049 ......HIF_PCI_PI + 449b0 50455f52 58300000 0e484946 5f504349 PE_RX0...HIF_PCI + 449c0 5f504950 455f5258 3100010e 4849465f _PIPE_RX1...HIF_ + 449d0 5043495f 50495045 5f525832 00020e48 PCI_PIPE_RX2...H + 449e0 49465f50 43495f50 4950455f 52583300 IF_PCI_PIPE_RX3. + 449f0 030e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 44a00 585f4d41 58000400 06686966 5f706369 X_MAX....hif_pci + 44a10 5f706970 655f7278 5f740000 002d990a _pipe_rx_t...-.. + 44a20 00002b1b 01040000 2e290400 02686966 ..+......)...hif + 44a30 5f706369 5f617069 00240000 2f070370 _pci_api.$../..p + 44a40 63695f62 6f6f745f 696e6974 00000019 ci_boot_init.... + 44a50 bf022300 03706369 5f696e69 74000000 ..#..pci_init... + 44a60 17f90223 04037063 695f7265 73657400 ...#..pci_reset. + 44a70 000019bf 02230803 7063695f 656e6162 .....#..pci_enab + 44a80 6c650000 0019bf02 230c0370 63695f72 le......#..pci_r + 44a90 6561705f 786d6974 74656400 00002d0e eap_xmitted...-. + 44aa0 02231003 7063695f 72656170 5f726563 .#..pci_reap_rec + 44ab0 76000000 2d0e0223 14037063 695f6765 v...-..#..pci_ge + 44ac0 745f7069 70650000 002d1b02 23180370 t_pipe...-..#..p + 44ad0 63695f67 65745f74 785f656e 67000000 ci_get_tx_eng... + 44ae0 2d920223 1c037063 695f6765 745f7278 -..#..pci_get_rx + 44af0 5f656e67 0000002e 2f022320 0002676d _eng..../.# ..gm + 44b00 61635f61 70690004 00002f2e 03676d61 ac_api..../..gma + 44b10 635f626f 6f745f69 6e697400 000019bf c_boot_init..... + 44b20 02230000 07000001 90060000 2f3b0805 .#........../;.. + 44b30 00025f5f 65746868 6472000e 00002f71 ..__ethhdr..../q + 44b40 03647374 0000002f 2e022300 03737263 .dst.../..#..src + 44b50 0000002f 2e022306 03657479 70650000 .../..#..etype.. + 44b60 0001cb02 230c0002 5f5f6174 68686472 ....#...__athhdr + 44b70 00040000 2fbf1172 65730000 0001a101 ..../..res...... + 44b80 00020223 00117072 6f746f00 000001a1 ...#..proto..... + 44b90 01020602 23000372 65735f6c 6f000000 ....#..res_lo... + 44ba0 01a10223 01037265 735f6869 00000001 ...#..res_hi.... + 44bb0 cb022302 00025f5f 676d6163 5f686472 ..#...__gmac_hdr + 44bc0 00140000 2ffb0365 74680000 002f3b02 ..../..eth.../;. + 44bd0 23000361 74680000 002f7102 230e0361 #..ath.../q.#..a + 44be0 6c69676e 5f706164 00000001 cb022312 lign_pad......#. + 44bf0 00065f5f 676d6163 5f686472 5f740000 ..__gmac_hdr_t.. + 44c00 002fbf02 5f5f676d 61635f73 6f667463 ./..__gmac_softc + 44c10 00240000 30450368 64720000 002ffb02 .$..0E.hdr.../.. + 44c20 23000367 72616e00 000001cb 02231403 #..gran......#.. + 44c30 73770000 00180902 23180017 5f415f6f sw......#..._A_o + 44c40 735f6c69 6e6b6167 655f6368 65636b00 s_linkage_check. + 44c50 00010400 00304504 000a0000 01ed0104 .....0E......... + 44c60 00003063 04000400 0003ea04 00135f41 ..0c.........._A + 44c70 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 44c80 6f6e5f74 61626c65 0001b800 0031b303 on_table.....1.. + 44c90 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 44ca0 6b000000 30690223 00037374 6172745f k...0i.#..start_ + 44cb0 62737300 00003070 02230403 6170705f bss...0p.#..app_ + 44cc0 73746172 74000000 19bf0223 08036d65 start......#..me + 44cd0 6d000000 1cae0223 0c036d69 73630000 m......#..misc.. + 44ce0 001dcd02 23200370 72696e74 66000000 ....# .printf... + 44cf0 19e40223 44037561 72740000 001a9702 ...#D.uart...... + 44d00 234c0367 6d616300 00002f07 02236c03 #L.gmac.../..#l. + 44d10 75736200 000027e5 02237003 636c6f63 usb...'..#p.cloc + 44d20 6b000000 232e0323 e0010374 696d6572 k...#..#...timer + 44d30 0000001f e5032384 0203696e 74720000 ......#...intr.. + 44d40 00247e03 23980203 616c6c6f 6372616d .$~.#...allocram + 44d50 00000021 8f0323c4 0203726f 6d700000 ...!..#...romp.. + 44d60 00208f03 23d00203 7764745f 74696d65 . ..#...wdt_time + 44d70 72000000 265b0323 e0020365 65700000 r...&[.#...eep.. + 44d80 00278903 23fc0203 73747269 6e670000 .'..#...string.. + 44d90 001ef103 238c0303 7461736b 6c657400 ....#...tasklet. + 44da0 0000228c 0323a403 00025f55 53425f46 .."..#...._USB_F + 44db0 49464f5f 434f4e46 49470010 00003226 IFO_CONFIG....2& + 44dc0 03676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 44dd0 00000003 36022300 03726563 765f636f ....6.#..recv_co + 44de0 6d6d616e 64000000 034c0223 04036765 mmand....L.#..ge + 44df0 745f6576 656e745f 62756600 00000336 t_event_buf....6 + 44e00 02230803 73656e64 5f657665 6e745f64 .#..send_event_d + 44e10 6f6e6500 0000034c 02230c00 06555342 one....L.#...USB + 44e20 5f464946 4f5f434f 4e464947 00000031 _FIFO_CONFIG...1 + 44e30 b3040000 32260400 09010400 00324204 ....2&.......2B. + 44e40 00027573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 44e50 00329803 5f696e69 74000000 32440223 .2.._init...2D.# + 44e60 00035f65 6e61626c 655f6576 656e745f .._enable_event_ + 44e70 69737200 000019bf 02230403 70526573 isr......#..pRes + 44e80 65727665 64000000 02320223 08000700 erved....2.#.... + 44e90 00059d02 000032a5 08010002 5f485443 ......2....._HTC + 44ea0 5f465241 4d455f48 44520008 00003317 _FRAME_HDR....3. + 44eb0 03456e64 706f696e 74494400 0000059d .EndpointID..... + 44ec0 02230003 466c6167 73000000 059d0223 .#..Flags......# + 44ed0 01035061 796c6f61 644c656e 0000000b ..PayloadLen.... + 44ee0 c5022302 03436f6e 74726f6c 42797465 ..#..ControlByte + 44ef0 73000000 32980223 0403486f 73745365 s...2..#..HostSe + 44f00 714e756d 0000000b c5022306 000c0200 qNum......#..... + 44f10 00333003 4d657373 61676549 44000000 .30.MessageID... + 44f20 0bc50223 00000c08 00003393 034d6573 ...#......3..Mes + 44f30 73616765 49440000 000bc502 23000343 sageID......#..C + 44f40 72656469 74436f75 6e740000 000bc502 reditCount...... + 44f50 23020343 72656469 7453697a 65000000 #..CreditSize... + 44f60 0bc50223 04034d61 78456e64 706f696e ...#..MaxEndpoin + 44f70 74730000 00059d02 2306035f 50616431 ts......#.._Pad1 + 44f80 00000005 9d022307 000c0a00 00342a03 ......#......4*. + 44f90 4d657373 61676549 44000000 0bc50223 MessageID......# + 44fa0 00035365 72766963 65494400 00000bc5 ..ServiceID..... + 44fb0 02230203 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 44fc0 61677300 00000bc5 02230403 446f776e ags......#..Down + 44fd0 4c696e6b 50697065 49440000 00059d02 LinkPipeID...... + 44fe0 23060355 704c696e 6b506970 65494400 #..UpLinkPipeID. + 44ff0 0000059d 02230703 53657276 6963654d .....#..ServiceM + 45000 6574614c 656e6774 68000000 059d0223 etaLength......# + 45010 08035f50 61643100 0000059d 02230900 .._Pad1......#.. + 45020 0c0a0000 34b2034d 65737361 67654944 ....4..MessageID + 45030 0000000b c5022300 03536572 76696365 ......#..Service + 45040 49440000 000bc502 23020353 74617475 ID......#..Statu + 45050 73000000 059d0223 0403456e 64706f69 s......#..Endpoi + 45060 6e744944 00000005 9d022305 034d6178 ntID......#..Max + 45070 4d736753 697a6500 00000bc5 02230603 MsgSize......#.. + 45080 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 45090 68000000 059d0223 08035f50 61643100 h......#.._Pad1. + 450a0 0000059d 02230900 0c020000 34cb034d .....#......4..M + 450b0 65737361 67654944 0000000b c5022300 essageID......#. + 450c0 000c0400 00350703 4d657373 61676549 .....5..MessageI + 450d0 44000000 0bc50223 00035069 70654944 D......#..PipeID + 450e0 00000005 9d022302 03437265 64697443 ......#..CreditC + 450f0 6f756e74 00000005 9d022303 000c0400 ount......#..... + 45100 00353e03 4d657373 61676549 44000000 .5>.MessageID... + 45110 0bc50223 00035069 70654944 00000005 ...#..PipeID.... + 45120 9d022302 03537461 74757300 0000059d ..#..Status..... + 45130 02230300 0c020000 35650352 65636f72 .#......5e.Recor + 45140 64494400 0000059d 02230003 4c656e67 dID......#..Leng + 45150 74680000 00059d02 2301000c 02000035 th......#......5 + 45160 8f03456e 64706f69 6e744944 00000005 ..EndpointID.... + 45170 9d022300 03437265 64697473 00000005 ..#..Credits.... + 45180 9d022301 000c0400 0035d003 456e6470 ..#......5..Endp + 45190 6f696e74 49440000 00059d02 23000343 ointID......#..C + 451a0 72656469 74730000 00059d02 23010354 redits......#..T + 451b0 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 451c0 0bc50223 02000700 00059d04 000035dd ...#..........5. + 451d0 0803000c 06000036 19035072 6556616c .......6..PreVal + 451e0 69640000 00059d02 2300034c 6f6f6b41 id......#..LookA + 451f0 68656164 00000035 d0022301 03506f73 head...5..#..Pos + 45200 7456616c 69640000 00059d02 23050006 tValid......#... + 45210 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 45220 02320a00 00361901 04000036 2c040009 .2...6.....6,... + 45230 01040000 36390400 0d040000 36b70e50 ....69......6..P + 45240 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 45250 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 45260 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 45270 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 45280 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID + 45290 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P + 452a0 4f4f4c5f 49445f4d 4158000a 00064255 OOL_ID_MAX....BU + 452b0 465f504f 4f4c5f49 44000000 36420901 F_POOL_ID...6B.. + 452c0 04000036 c804000a 00001562 01040000 ...6.......b.... + 452d0 36d10400 0a000015 62010400 0036de04 6.......b....6.. + 452e0 00090104 000036eb 04000262 75665f70 ......6....buf_p + 452f0 6f6f6c5f 61706900 1c000037 8d035f69 ool_api....7.._i + 45300 6e697400 00003632 02230003 5f736875 nit...62.#.._shu + 45310 74646f77 6e000000 363b0223 04035f63 tdown...6;.#.._c + 45320 72656174 655f706f 6f6c0000 0036ca02 reate_pool...6.. + 45330 2308035f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 45340 36d70223 0c035f61 6c6c6f63 5f627566 6..#.._alloc_buf + 45350 5f616c69 676e0000 0036e402 2310035f _align...6..#.._ + 45360 66726565 5f627566 00000036 ed022314 free_buf...6..#. + 45370 03705265 73657276 65640000 00023202 .pReserved....2. + 45380 23180002 5f485443 5f534552 56494345 #..._HTC_SERVICE + 45390 001c0000 386c0370 4e657874 00000038 ....8l.pNext...8 + 453a0 6c022300 0350726f 63657373 52656376 l.#..ProcessRecv + 453b0 4d736700 00003921 02230403 50726f63 Msg...9!.#..Proc + 453c0 65737353 656e6442 75666665 72436f6d essSendBufferCom + 453d0 706c6574 65000000 392a0223 08035072 plete...9*.#..Pr + 453e0 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 453f0 3e02230c 03536572 76696365 49440000 >.#..ServiceID.. + 45400 0001cb02 23100353 65727669 6365466c ....#..ServiceFl + 45410 61677300 000001cb 02231203 4d617853 ags......#..MaxS + 45420 76634d73 6753697a 65000000 01cb0223 vcMsgSize......# + 45430 14035472 61696c65 72537063 43686563 ..TrailerSpcChec + 45440 6b4c696d 69740000 0001cb02 23160353 kLimit......#..S + 45450 65727669 63654374 78000000 02320223 erviceCtx....2.# + 45460 18000400 00378d04 000d0400 00390a19 .....7.......9.. + 45470 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 45480 ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. + 45490 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END + 454a0 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN + 454b0 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. + 454c0 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END + 454d0 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN + 454e0 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. + 454f0 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 45500 06485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 45510 00000038 73090104 0000391f 04000901 ...8s.....9..... + 45520 04000039 28040004 000001ed 04000a00 ...9(........... + 45530 0001a101 04000039 38040004 0000378d .......98.....7. + 45540 0400025f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 45550 000039bd 03437265 64697453 697a6500 ..9..CreditSize. + 45560 000001ed 02230003 43726564 69744e75 .....#..CreditNu + 45570 6d626572 00000001 ed022304 034f5348 mber......#..OSH + 45580 616e646c 65000000 09610223 08034849 andle....a.#..HI + 45590 4648616e 646c6500 000017ca 02230c03 FHandle......#.. + 455a0 506f6f6c 48616e64 6c650000 00361902 PoolHandle...6.. + 455b0 23100002 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 455c0 54455854 00020000 39f90365 6e645f70 TEXT....9..end_p + 455d0 6f696e74 00000001 a1022300 03687463 oint......#..htc + 455e0 5f666c61 67730000 0001a102 23010006 _flags......#... + 455f0 6874635f 68616e64 6c655f74 00000002 htc_handle_t.... + 45600 32064854 435f5345 5455505f 434f4d50 2.HTC_SETUP_COMP + 45610 4c455445 5f434200 000019bf 06485443 LETE_CB......HTC + 45620 5f434f4e 46494700 0000394c 0400003a _CONFIG...9L...: + 45630 2604000a 000039f9 01040000 3a3d0400 &.....9.....:=.. + 45640 09010400 003a4a04 00064854 435f5345 .....:J...HTC_SE + 45650 52564943 45000000 378d0400 003a5304 RVICE...7....:S. + 45660 00090104 00003a6b 04000901 0400003a ......:k.......: + 45670 74040009 01040000 3a7d0400 0a000001 t.......:}...... + 45680 ed010400 003a8604 00026874 635f6170 .....:....htc_ap + 45690 69730034 00003c03 035f4854 435f496e is.4..<.._HTC_In + 456a0 69740000 003a4302 2300035f 4854435f it...:C.#.._HTC_ + 456b0 53687574 646f776e 0000003a 4c022304 Shutdown...:L.#. + 456c0 035f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 456d0 72766963 65000000 3a6d0223 08035f48 rvice...:m.#.._H + 456e0 54435f52 65616479 0000003a 4c02230c TC_Ready...:L.#. + 456f0 035f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 45700 65727300 00003a76 02231003 5f485443 ers...:v.#.._HTC + 45710 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 45720 73740000 003a7f02 2314035f 4854435f st...:..#.._HTC_ + 45730 53656e64 4d736700 00003a76 02231803 SendMsg...:v.#.. + 45740 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 45750 48656164 726f6f6d 0000003a 8c02231c Headroom...:..#. + 45760 035f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 45770 646c6572 00000017 77022320 035f4854 dler....w.# ._HT + 45780 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 45790 72000000 176e0223 24035f48 54435f43 r....n.#$._HTC_C + 457a0 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 457b0 4d736700 00003921 02232803 5f485443 Msg...9!.#(._HTC + 457c0 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 457d0 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 457e0 00392a02 232c0370 52657365 72766564 .9*.#,.pReserved + 457f0 00000002 32022330 0002686f 73745f61 ....2.#0..host_a + 45800 70705f61 7265615f 73000400 003c3303 pp_area_s....<3. + 45810 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 45820 00000005 36022300 000c0e00 003c6a03 ....6.#......f.HtcHan + 45a10 646c6500 000039f9 02230003 506f6f6c dle...9..#..Pool + 45a20 48616e64 6c650000 00361902 2304034d Handle...6..#..M + 45a30 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 45a40 0001ed02 2308034d 61784576 656e7445 ....#..MaxEventE + 45a50 76747300 000001ed 02230c00 09010400 vts......#...... + 45a60 003e6604 0006574d 495f434d 445f4841 .>f...WMI_CMD_HA + 45a70 4e444c45 52000000 3e68025f 574d495f NDLER...>h._WMI_ + 45a80 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 45a90 00003ecf 0370436d 6448616e 646c6572 ..>..pCmdHandler + 45aa0 0000003e 6f022300 03436d64 49440000 ...>o.#..CmdID.. + 45ab0 0001cb02 23040346 6c616773 00000001 ....#..Flags.... + 45ac0 cb022306 00025f57 4d495f44 49535041 ..#..._WMI_DISPA + 45ad0 5443485f 5441424c 45001000 003f3003 TCH_TABLE....?0. + 45ae0 704e6578 74000000 3f300223 00037043 pNext...?0.#..pC + 45af0 6f6e7465 78740000 00023202 2304034e ontext....2.#..N + 45b00 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 45b10 0001ed02 23080370 5461626c 65000000 ....#..pTable... + 45b20 3f4f0223 0c000400 003ecf04 0006574d ?O.#.....>....WM + 45b30 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 45b40 0000003e 84040000 3f370400 0400003e ...>....?7.....> + 45b50 cf040006 4854435f 4255465f 434f4e54 ....HTC_BUF_CONT + 45b60 45585400 000039bd 10574d49 5f455654 EXT...9..WMI_EVT + 45b70 5f434c41 53530004 00003fe7 19574d49 _CLASS....?..WMI + 45b80 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 45b90 ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 45ba0 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W + 45bb0 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 45bc0 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT + 45bd0 5f434c41 53535f4d 41580002 0006574d _CLASS_MAX....WM + 45be0 495f4556 545f434c 41535300 00003f72 I_EVT_CLASS...?r + 45bf0 025f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 45c00 54000c00 00404503 48746342 75664374 T....@E.HtcBufCt + 45c10 78000000 3f5d0223 00034576 656e7443 x...?].#..EventC + 45c20 6c617373 0000003f e7022304 03466c61 lass...?..#..Fla + 45c30 67730000 0001cb02 23080006 776d695f gs......#...wmi_ + 45c40 68616e64 6c655f74 00000002 3206574d handle_t....2.WM + 45c50 495f5356 435f434f 4e464947 0000003d I_SVC_CONFIG...= + 45c60 fd040000 40570400 0a000040 45010400 ....@W.....@E... + 45c70 00407204 0006574d 495f4449 53504154 .@r...WMI_DISPAT + 45c80 43485f54 41424c45 0000003e cf040000 CH_TABLE...>.... + 45c90 407f0400 09010400 00409e04 000a0000 @........@...... + 45ca0 15620104 000040a7 04000901 04000040 .b....@........@ + 45cb0 b404000a 000001ed 01040000 40bd0400 ............@... + 45cc0 09010400 0040ca04 000a0000 01a10104 .....@.......... + 45cd0 000040d3 0400025f 776d695f 7376635f ..@...._wmi_svc_ + 45ce0 61706973 002c0000 421b035f 574d495f apis.,..B.._WMI_ + 45cf0 496e6974 00000040 78022300 035f574d Init...@x.#.._WM + 45d00 495f5265 67697374 65724469 73706174 I_RegisterDispat + 45d10 63685461 626c6500 000040a0 02230403 chTable...@..#.. + 45d20 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 45d30 000040ad 02230803 5f574d49 5f53656e ..@..#.._WMI_Sen + 45d40 64457665 6e740000 0040b602 230c035f dEvent...@..#.._ + 45d50 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 45d60 656e7473 436f756e 74000000 40c30223 entsCount...@..# + 45d70 10035f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 45d80 65746548 616e646c 65720000 00392a02 eteHandler...9*. + 45d90 2314035f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 45da0 6f6c4570 00000040 c3022318 035f574d olEp...@..#.._WM + 45db0 495f5368 7574646f 776e0000 0040cc02 I_Shutdown...@.. + 45dc0 231c035f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 45dd0 61676548 616e646c 65720000 00392102 ageHandler...9!. + 45de0 2320035f 574d495f 53657276 69636543 # ._WMI_ServiceC + 45df0 6f6e6e65 63740000 0040d902 23240370 onnect...@..#$.p + 45e00 52657365 72766564 00000002 32022328 Reserved....2.#( + 45e10 00027a73 446d6144 65736300 14000042 ..zsDmaDesc....B + 45e20 9d036374 726c0000 0001b502 23000373 ..ctrl......#..s + 45e30 74617475 73000000 01b50223 0203746f tatus......#..to + 45e40 74616c4c 656e0000 0001b502 23040364 talLen......#..d + 45e50 61746153 697a6500 000001b5 02230603 ataSize......#.. + 45e60 6c617374 41646472 00000042 9d022308 lastAddr...B..#. + 45e70 03646174 61416464 72000000 1a290223 .dataAddr....).# + 45e80 0c036e65 78744164 64720000 00429d02 ..nextAddr...B.. + 45e90 23100004 0000421b 04000400 00421b04 #.....B......B.. + 45ea0 00027a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 45eb0 42dd0368 65616400 000042a4 02230003 B..head...B..#.. + 45ec0 7465726d 696e6174 6f720000 0042a402 terminator...B.. + 45ed0 23040002 7a735478 446d6151 75657565 #...zsTxDmaQueue + 45ee0 00100000 43410368 65616400 000042a4 ....CA.head...B. + 45ef0 02230003 7465726d 696e6174 6f720000 .#..terminator.. + 45f00 0042a402 23040378 6d697465 645f6275 .B..#..xmited_bu + 45f10 665f6865 61640000 00032902 23080378 f_head....).#..x + 45f20 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 45f30 00032902 230c0009 01040000 43410400 ..).#.......CA.. + 45f40 04000042 ab040009 01040000 43510400 ...B........CQ.. + 45f50 04000042 dd040009 01040000 43610400 ...B........Ca.. + 45f60 09010400 00436a04 00090104 00004373 .....Cj.......Cs + 45f70 04000a00 00032901 04000043 7c040009 ......)....C|... + 45f80 01040000 43890400 0a000003 29010400 ....C.......)... + 45f90 00439204 00090104 0000439f 04000a00 .C........C..... + 45fa0 0001ed01 04000043 a804000a 000042a4 .......C......B. + 45fb0 01040000 43b50400 09010400 0043c204 ....C........C.. + 45fc0 0002646d 615f656e 67696e65 5f617069 ..dma_engine_api + 45fd0 00400000 4538035f 696e6974 00000043 .@..E8._init...C + 45fe0 43022300 035f696e 69745f72 785f7175 C.#.._init_rx_qu + 45ff0 65756500 00004353 02230403 5f696e69 eue...CS.#.._ini + 46000 745f7478 5f717565 75650000 00436302 t_tx_queue...Cc. + 46010 2308035f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 46020 65756500 0000436c 02230c03 5f786d69 eue...Cl.#.._xmi + 46030 745f6275 66000000 43750223 10035f66 t_buf...Cu.#.._f + 46040 6c757368 5f786d69 74000000 43530223 lush_xmit...CS.# + 46050 14035f72 6561705f 72656376 5f627566 .._reap_recv_buf + 46060 00000043 82022318 035f7265 7475726e ...C..#.._return + 46070 5f726563 765f6275 66000000 438b0223 _recv_buf...C..# + 46080 1c035f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 46090 75660000 00439802 2320035f 73776170 uf...C..# ._swap + 460a0 5f646174 61000000 43a10223 24035f68 _data...C..#$._h + 460b0 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 460c0 00000043 ae022328 035f6465 73635f64 ...C..#(._desc_d + 460d0 756d7000 00004353 02232c03 5f676574 ump...CS.#,._get + 460e0 5f706163 6b657400 000043bb 02233003 _packet...C..#0. + 460f0 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 46100 000043c4 02233403 5f707574 5f706163 ..C..#4._put_pac + 46110 6b657400 000043c4 02233803 70526573 ket...C..#8.pRes + 46120 65727665 64000000 02320223 3c00065f erved....2.#<.._ + 46130 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 46140 696f6e5f 7461626c 655f7400 00003077 ion_table_t...0w + 46150 06574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 46160 40e0135f 415f6d61 67706965 5f696e64 @.._A_magpie_ind + 46170 69726563 74696f6e 5f746162 6c650003 irection_table.. + 46180 4c000046 6603636d 6e6f7300 00004538 L..Ff.cmnos...E8 + 46190 02230003 64626700 00001c4b 0323b803 .#..dbg....K.#.. + 461a0 03686966 00000018 6d0323c0 03036874 .hif....m.#...ht + 461b0 63000000 3a930323 f8030377 6d695f73 c...:..#...wmi_s + 461c0 76635f61 70690000 00455a03 23ac0403 vc_api...EZ.#... + 461d0 75736266 69666f5f 61706900 0000324b usbfifo_api...2K + 461e0 0323d804 03627566 5f706f6f 6c000000 .#...buf_pool... + 461f0 36f40323 e4040376 62756600 00000353 6..#...vbuf....S + 46200 03238005 03766465 73630000 00023503 .#...vdesc....5. + 46210 23940503 616c6c6f 6372616d 00000021 #...allocram...! + 46220 8f0323a8 0503646d 615f656e 67696e65 ..#...dma_engine + 46230 00000043 cb0323b4 0503646d 615f6c69 ...C..#...dma_li + 46240 62000000 2bd60323 f4050368 69665f70 b...+..#...hif_p + 46250 63690000 002e3603 23a80600 1a706369 ci....6.#....pci + 46260 5f736300 00002cf2 05030050 0708010a _sc...,....P.... + 46270 000003fa 01040000 03fa0400 0901065f ..............._ + 46280 415f6d61 67706965 5f696e64 69726563 A_magpie_indirec + 46290 74696f6e 5f746162 6c655f74 00000045 tion_table_t...E + 462a0 6c070000 044f1900 0046b808 18000400 l....O...F...... + 462b0 0046ab04 00070000 044f0b00 0046cc08 .F.......O...F.. + 462c0 0a000400 0046bf04 00070000 044f1300 .....F.......O.. + 462d0 0046e008 12000400 0046d304 00070000 .F.......F...... + 462e0 044f0f00 0046f408 0e000400 0046e704 .O...F.......F.. + 462f0 00070000 044f1000 00470808 0f000400 .....O...G...... + 46300 0046fb04 000a0000 01ed0107 0000044f .F.............O + 46310 15000047 22081400 04000047 15040009 ...G"......G.... + 46320 01040000 186d0400 09010400 00470f04 .....m.......G.. + 46330 00040000 47290400 0400002e 36040009 ....G)......6... + 46340 011b0131 5f5f7063 695f7265 675f7265 ...1__pci_reg_re + 46350 61640000 0003fa01 01039201 20029000 ad.......... ... + 46360 008e2f2c 008e2f36 00004785 1c013161 ../,../6..G...1a + 46370 64647200 000003fa 0152001d 01375f5f ddr......R...7__ + 46380 7063695f 7265675f 77726974 65000101 pci_reg_write... + 46390 03920120 02900000 8e2f3800 8e2f4200 ... ...../8../B. + 463a0 0047c91c 01376164 64720000 0003fa01 .G...7addr...... + 463b0 521c0137 76616c00 000003fa 0153001b R..7val......S.. + 463c0 013d5f5f 7063695f 6765745f 70697065 .=__pci_get_pipe + 463d0 00000001 a1010103 92012002 9000008e .......... ..... + 463e0 2f44008e 2f6b0000 48021c01 3d656e67 /D../k..H...=eng + 463f0 0000002b 1b015200 1b01525f 5f706369 ...+..R...R__pci + 46400 5f676574 5f74785f 656e6700 00002b1b _get_tx_eng...+. + 46410 01010392 01200290 00008e2f 6c008e2f ..... ...../l../ + 46420 80000048 3e1c0152 70697065 0000002d ...H>..Rpipe...- + 46430 75015200 1b01605f 5f706369 5f676574 u.R...`__pci_get + 46440 5f72785f 656e6700 00002b1b 01010392 _rx_eng...+..... + 46450 01200290 00008e2f 80008e2f a3000048 . ...../.../...H + 46460 7a1c0160 70697065 0000002e 12015200 z..`pipe......R. + 46470 1d01765f 5f706369 5f656e61 626c6500 ..v__pci_enable. + 46480 01010392 01200290 00008e2f a4008e2f ..... ...../.../ + 46490 ba000048 ac1e725f 64617461 00000003 ...H..r_data.... + 464a0 fa001d01 875f5f70 63695f72 65736574 .....__pci_reset + 464b0 00010103 92013002 9000008e 2fbc008e ......0...../... + 464c0 30700000 48e01f72 5f646174 61000000 0p..H..r_data... + 464d0 03fa0291 50002001 b95f5f70 63695f62 ....P. ..__pci_b + 464e0 6f6f745f 696e6974 00010103 92012002 oot_init...... . + 464f0 9000008e 3070008e 30a51b01 cd5f5f70 ....0p..0....__p + 46500 63695f69 6e697400 000017ca 01010392 ci_init......... + 46510 01200290 00008e30 a8008e30 fb000049 . .....0...0...I + 46520 3d1c01cd 70436f6e 66696700 000017ec =...pConfig..... + 46530 0152001d 01ea5f5f 7063695f 6366675f .R....__pci_cfg_ + 46540 70697065 00010103 92012002 9000008e pipe...... ..... + 46550 30fc008e 31360000 49a91c01 ea68646c 0...16..I....hdl + 46560 00000017 ca01521c 01ea7069 70650000 ......R...pipe.. + 46570 0001ed01 531c01ea 6e756d5f 64657363 ....S...num_desc + 46580 00000001 ed01541e 656e6700 00002b1b ......T.eng...+. + 46590 1e646573 635f6c65 6e000000 01cb0021 .desc_len......! + 465a0 0101005f 5f706369 5f737461 72740001 ...__pci_start.. + 465b0 01039201 20029000 008e3138 008e313d .... .....18..1= + 465c0 000049dd 22010100 68646c00 000017ca ..I."...hdl..... + 465d0 01520021 01010b5f 5f706369 5f726567 .R.!...__pci_reg + 465e0 5f63616c 6c626163 6b000101 03920120 _callback...... + 465f0 02900000 8e314000 8e315400 004a2d22 .....1@..1T..J-" + 46600 01010b68 646c0000 0017ca01 52220101 ...hdl......R".. + 46610 0b737700 0000181b 01531e73 63000000 .sw......S.sc... + 46620 2d050021 01011b5f 5f706369 5f726561 -..!...__pci_rea + 46630 705f786d 69747465 64000101 03920120 p_xmitted...... + 46640 02900000 8e315400 8e318000 004a8c22 .....1T..1...J." + 46650 01011b73 63000000 2d050152 2201011b ...sc...-..R"... + 46660 656e675f 6e6f0000 002b1b01 531e7662 eng_no...+..S.vb + 46670 75660000 0003291e 70697065 00000001 uf....).pipe.... + 46680 a1002101 01345f5f 7063695f 72656170 ..!..4__pci_reap + 46690 5f726563 76000101 03920120 02900000 _recv...... .... + 466a0 8e318000 8e31a800 004ade22 01013473 .1...1...J."..4s + 466b0 63000000 2d050152 22010134 656e675f c...-..R"..4eng_ + 466c0 6e6f0000 002b1b01 531e7662 75660000 no...+..S.vbuf.. + 466d0 00032900 21010145 5f5f7063 695f6973 ..).!..E__pci_is + 466e0 725f6861 6e646c65 72000101 03920120 r_handler...... + 466f0 02900000 8e31a800 8e324700 004b2a22 .....1...2G..K*" + 46700 01014568 646c0000 0017ca01 521e7363 ..Ehdl......R.sc + 46710 0000002d 051e6d6f 72650000 0001cb00 ...-..more...... + 46720 23010171 5f5f7063 695f786d 69745f62 #..q__pci_xmit_b + 46730 75660000 0001ed01 01039201 20029000 uf.......... ... + 46740 008e3248 008e3272 00004b8c 22010171 ..2H..2r..K."..q + 46750 68646c00 000017ca 01522201 01717069 hdl......R"..qpi + 46760 70650000 0001ed01 53220101 71766275 pe......S"..qvbu + 46770 66000000 03290154 1e656e67 0000002b f....).T.eng...+ + 46780 1b002101 01865f5f 7063695f 72657475 ..!...__pci_retu + 46790 726e5f72 65637600 01010392 01200290 rn_recv...... .. + 467a0 00008e32 74008e32 8f00004b ec220101 ...2t..2...K.".. + 467b0 8668646c 00000017 ca015222 01018670 .hdl......R"...p + 467c0 69706500 000001ed 01532201 01866275 ipe......S"...bu + 467d0 66000000 03290154 1e656e67 0000002b f....).T.eng...+ + 467e0 1b002301 019a5f5f 7063695f 69735f70 ..#...__pci_is_p + 467f0 6970655f 73757070 6f727465 64000000 ipe_supported... + 46800 01ed0101 03920120 02900000 8e329000 ....... .....2.. + 46810 8e329e00 004c3f22 01019a68 646c0000 .2...L?"...hdl.. + 46820 0017ca01 52220101 9a706970 65000000 ....R"...pipe... + 46830 01ed0153 00230101 aa5f5f70 63695f67 ...S.#...__pci_g + 46840 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 46850 0001ed01 01039201 20029000 008e32a0 ........ .....2. + 46860 008e32ae 00004c90 220101aa 68646c00 ..2...L."...hdl. + 46870 000017ca 01522201 01aa7069 70650000 .....R"...pipe.. + 46880 0001ed01 53002301 01b95f5f 7063695f ....S.#...__pci_ + 46890 6765745f 72657365 72766564 5f686561 get_reserved_hea + 468a0 64726f6f 6d000000 01ed0101 03920120 droom.......... + 468b0 02900000 8e32b000 8e32b700 004cd822 .....2...2...L." + 468c0 0101b968 646c0000 0017ca01 52002101 ...hdl......R.!. + 468d0 01c35f5f 7063695f 73687574 646f776e ..__pci_shutdown + 468e0 00010103 92012002 9000008e 32b8008e ...... .....2... + 468f0 32bd0000 4d0f2201 01c36864 6c000000 2...M."...hdl... + 46900 17ca0152 00210101 c95f5f70 63695f67 ...R.!...__pci_g + 46910 65745f64 65665f70 69706500 01010392 et_def_pipe..... + 46920 01200290 00008e32 c0008e32 cd00004d . .....2...2...M + 46930 71220101 c968616e 646c6500 000017ca q"...handle..... + 46940 01522201 01c97069 70655f72 78000000 .R"...pipe_rx... + 46950 01ae0153 220101c9 70697065 5f747800 ...S"...pipe_tx. + 46960 000001ae 01540021 0101d468 69665f70 .....T.!...hif_p + 46970 63695f6d 6f64756c 655f696e 7374616c ci_module_instal + 46980 6c000101 03920120 02900000 8e32d000 l...... .....2.. + 46990 8e331100 004db122 0101d461 70697300 .3...M."...apis. + 469a0 0000472b 01520024 0101e668 69665f70 ..G+.R.$...hif_p + 469b0 63695f61 70695f69 6e737461 6c6c0001 ci_api_install.. + 469c0 01039201 20029000 008e3314 008e3346 .... .....3...3F + 469d0 220101e6 61706973 00000047 42015200 "...apis...GB.R. + 469e0 00000000 4f6f0002 000019a8 04012f72 ....Oo......../r + 469f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 46a00 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 46a10 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 46a20 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 46a30 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 46a40 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ + 46a50 7573622f 7372632f 4849465f 7573622e usb/src/HIF_usb. + 46a60 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 46a70 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 46a80 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 46a90 2f726f6d 2f686966 2f757362 0078742d /rom/hif/usb.xt- + 46aa0 78636320 666f7220 372e312e 30202d4f xcc for 7.1.0 -O + 46ab0 50543a61 6c69676e 5f696e73 74727563 PT:align_instruc + 46ac0 74696f6e 733d3332 202d4f32 202d6733 tions=32 -O2 -g3 + 46ad0 202d4f50 543a7370 61636500 01000000 -OPT:space..... + 46ae0 f3c20201 03000001 00040004 696e7400 ............int. + 46af0 05040463 68617200 07010500 00011005 ...char......... + 46b00 00000110 03000001 1d040006 00000109 ................ + 46b10 01030000 01290400 07707269 6e74665f .....)...printf_ + 46b20 61706900 08000001 6d085f70 72696e74 api.....m._print + 46b30 665f696e 69740000 00010202 2300085f f_init......#.._ + 46b40 7072696e 74660000 00012f02 23040004 printf..../.#... + 46b50 73686f72 7420756e 7369676e 65642069 short unsigned i + 46b60 6e740007 02097569 6e743136 5f740000 nt....uint16_t.. + 46b70 00016d04 6c6f6e67 20756e73 69676e65 ..m.long unsigne + 46b80 6420696e 74000704 0975696e 7433325f d int....uint32_ + 46b90 74000000 01910775 6172745f 6669666f t......uart_fifo + 46ba0 00080000 01ff0873 74617274 5f696e64 .......start_ind + 46bb0 65780000 00018302 23000865 6e645f69 ex......#..end_i + 46bc0 6e646578 00000001 83022302 086f7665 ndex......#..ove + 46bd0 7272756e 5f657272 00000001 a6022304 rrun_err......#. + 46be0 00077561 72745f61 70690020 000002b8 ..uart_api. .... + 46bf0 085f7561 72745f69 6e697400 0000030f ._uart_init..... + 46c00 02230008 5f756172 745f6368 61725f70 .#.._uart_char_p + 46c10 75740000 00033602 2304085f 75617274 ut....6.#.._uart + 46c20 5f636861 725f6765 74000000 034a0223 _char_get....J.# + 46c30 08085f75 6172745f 7374725f 6f757400 .._uart_str_out. + 46c40 00000353 02230c08 5f756172 745f7461 ...S.#.._uart_ta + 46c50 736b0000 00010202 2310085f 75617274 sk......#.._uart + 46c60 5f737461 74757300 0000030f 02231408 _status......#.. + 46c70 5f756172 745f636f 6e666967 00000003 _uart_config.... + 46c80 5c022318 085f7561 72745f68 77696e69 \.#.._uart_hwini + 46c90 74000000 03650223 1c000300 0001ff04 t....e.#........ + 46ca0 00077561 72745f62 6c6b0010 00000309 ..uart_blk...... + 46cb0 08646562 75675f6d 6f646500 00000183 .debug_mode..... + 46cc0 02230008 62617564 00000001 83022302 .#..baud......#. + 46cd0 085f7561 72740000 0002b802 2304085f ._uart......#.._ + 46ce0 74780000 0001b402 23080006 000001a6 tx......#....... + 46cf0 01030000 03090400 04756e73 69676e65 .........unsigne + 46d00 64206368 61720007 01097569 6e74385f d char....uint8_ + 46d10 74000000 03160201 03000003 34040003 t...........4... + 46d20 00000327 04000600 00018301 03000003 ...'............ + 46d30 44040002 01030000 03510400 02010300 D........Q...... + 46d40 00035a04 00020103 00000363 04000300 ..Z........c.... + 46d50 00011004 00060000 01090103 00000373 ...............s + 46d60 04000744 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST + 46d70 52554354 000c0000 03cb0863 6d645f73 RUCT.......cmd_s + 46d80 74720000 00036c02 23000868 656c705f tr....l.#..help_ + 46d90 73747200 0000036c 02230408 636d645f str....l.#..cmd_ + 46da0 66756e63 00000003 79022308 00076462 func....y.#...db + 46db0 675f6170 69000800 0003fe08 5f646267 g_api......._dbg + 46dc0 5f696e69 74000000 01020223 00085f64 _init......#.._d + 46dd0 62675f74 61736b00 00000102 02230400 bg_task......#.. + 46de0 0a040004 756e7369 676e6564 20696e74 ....unsigned int + 46df0 00070406 000003fe 01030000 04110400 ................ + 46e00 0b0b0300 00041f04 00060000 03fe0103 ................ + 46e10 00000427 04000600 00010901 03000004 ...'............ + 46e20 34040007 6d656d5f 61706900 14000004 4...mem_api..... + 46e30 a3085f6d 656d5f69 6e697400 00000102 .._mem_init..... + 46e40 02230008 5f6d656d 73657400 00000417 .#.._memset..... + 46e50 02230408 5f6d656d 63707900 0000042d .#.._memcpy....- + 46e60 02230808 5f6d656d 6d6f7665 00000004 .#.._memmove.... + 46e70 2d02230c 085f6d65 6d636d70 00000004 -.#.._memcmp.... + 46e80 3a022310 000c7265 67697374 65725f64 :.#...register_d + 46e90 756d705f 73000001 03000004 a3040002 ump_s........... + 46ea0 01030000 04bd0400 02010300 0004c604 ................ + 46eb0 00060000 01090103 000004cf 04000d68 ...............h + 46ec0 6f737469 665f7300 04000005 2b0e4849 ostif_s.....+.HI + 46ed0 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE + 46ee0 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI + 46ef0 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. + 46f00 040e4849 465f4e4f 4e450005 0009415f ..HIF_NONE....A_ + 46f10 484f5354 49460000 0004dc06 0000052b HOSTIF.........+ + 46f20 01030000 05390400 06000003 27010300 .....9......'... + 46f30 00054604 00060000 01830103 00000553 ..F............S + 46f40 0400076d 6973635f 61706900 24000006 ...misc_api.$... + 46f50 43085f73 79737465 6d5f7265 73657400 C._system_reset. + 46f60 00000102 02230008 5f6d6163 5f726573 .....#.._mac_res + 46f70 65740000 00010202 2304085f 61737366 et......#.._assf + 46f80 61696c00 000004bf 02230808 5f6d6973 ail......#.._mis + 46f90 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han + 46fa0 646c6572 00000004 bf02230c 085f7265 dler......#.._re + 46fb0 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ + 46fc0 686f7374 00000004 c8022310 085f7461 host......#.._ta + 46fd0 72676574 5f69645f 67657400 000004d5 rget_id_get..... + 46fe0 02231408 5f69735f 686f7374 5f707265 .#.._is_host_pre + 46ff0 73656e74 00000005 3f022318 085f6b62 sent....?.#.._kb + 47000 68697400 0000054c 02231c08 5f726f6d hit....L.#.._rom + 47010 5f766572 73696f6e 5f676574 00000005 _version_get.... + 47020 59022320 00060000 036c0103 00000643 Y.# .....l.....C + 47030 04000600 00036c01 03000006 50040006 ......l.....P... + 47040 00000109 01030000 065d0400 06000001 .........]...... + 47050 09010300 00066a04 00060000 01090103 ......j......... + 47060 00000677 04000773 7472696e 675f6170 ...w...string_ap + 47070 69001800 0006fd08 5f737472 696e675f i......._string_ + 47080 696e6974 00000001 02022300 085f7374 init......#.._st + 47090 72637079 00000006 49022304 085f7374 rcpy....I.#.._st + 470a0 726e6370 79000000 06560223 08085f73 rncpy....V.#.._s + 470b0 74726c65 6e000000 06630223 0c085f73 trlen....c.#.._s + 470c0 7472636d 70000000 06700223 10085f73 trcmp....p.#.._s + 470d0 74726e63 6d700000 00067d02 2314000f trncmp....}.#... + 470e0 00000401 14000007 0a100400 095f415f ............._A_ + 470f0 54494d45 525f5350 41434500 000006fd TIMER_SPACE..... + 47100 09415f74 696d6572 5f740000 00070a03 .A_timer_t...... + 47110 0000071e 04000201 03000007 34040002 ............4... + 47120 01030000 073d0400 09415f48 414e444c .....=...A_HANDL + 47130 45000000 04010201 09415f54 494d4552 E........A_TIMER + 47140 5f46554e 43000000 07540300 00075604 _FUNC....T....V. + 47150 00020103 0000076f 04000774 696d6572 .......o...timer + 47160 5f617069 00140000 07ee085f 74696d65 _api......._time + 47170 725f696e 69740000 00010202 2300085f r_init......#.._ + 47180 74696d65 725f6172 6d000000 07360223 timer_arm....6.# + 47190 04085f74 696d6572 5f646973 61726d00 .._timer_disarm. + 471a0 0000073f 02230808 5f74696d 65725f73 ...?.#.._timer_s + 471b0 6574666e 00000007 7102230c 085f7469 etfn....q.#.._ti + 471c0 6d65725f 72756e00 00000102 02231000 mer_run......#.. + 471d0 09424f4f 4c45414e 00000001 83060000 .BOOLEAN........ + 471e0 07ee0103 000007fb 04000600 0007ee01 ................ + 471f0 03000008 08040006 000007ee 01030000 ................ + 47200 08150400 07726f6d 705f6170 69001000 .....romp_api... + 47210 00088708 5f726f6d 705f696e 69740000 ...._romp_init.. + 47220 00010202 2300085f 726f6d70 5f646f77 ....#.._romp_dow + 47230 6e6c6f61 64000000 08010223 04085f72 nload......#.._r + 47240 6f6d705f 696e7374 616c6c00 0000080e omp_install..... + 47250 02230808 5f726f6d 705f6465 636f6465 .#.._romp_decode + 47260 00000008 1b02230c 0007726f 6d5f7061 ......#...rom_pa + 47270 7463685f 73740010 000008e3 08637263 tch_st.......crc + 47280 31360000 00018302 2300086c 656e0000 16......#..len.. + 47290 00018302 2302086c 645f6164 64720000 ....#..ld_addr.. + 472a0 0001a602 23040866 756e5f61 64647200 ....#..fun_addr. + 472b0 000001a6 02230808 7066756e 00000003 .....#..pfun.... + 472c0 3d02230c 00076565 705f7265 6469725f =.#...eep_redir_ + 472d0 61646472 00040000 0915086f 66667365 addr.......offse + 472e0 74000000 01830223 00087369 7a650000 t......#..size.. + 472f0 00018302 23020009 415f5549 4e543332 ....#...A_UINT32 + 47300 00000004 01060000 03fe0103 00000923 ...............# + 47310 04000761 6c6c6f63 72616d5f 61706900 ...allocram_api. + 47320 0c000009 9408636d 6e6f735f 616c6c6f ......cmnos_allo + 47330 6372616d 5f696e69 74000000 09290223 cram_init....).# + 47340 0008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 47350 00000009 29022304 08636d6e 6f735f61 ....).#..cmnos_a + 47360 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... + 47370 01020223 08000201 03000009 94040009 ...#............ + 47380 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. + 47390 00099607 5f746173 6b6c6574 00100000 ...._tasklet.... + 473a0 09f50866 756e6300 0000099d 02230008 ...func......#.. + 473b0 61726700 000003fe 02230408 73746174 arg......#..stat + 473c0 65000000 01090223 08086e65 78740000 e......#..next.. + 473d0 0009f502 230c0003 000009b1 04000300 ....#........... + 473e0 0009b104 0009415f 7461736b 6c65745f ......A_tasklet_ + 473f0 74000000 09b10300 000a0304 00020103 t............... + 47400 00000a1b 04000201 0300000a 24040007 ............$... + 47410 7461736b 6c65745f 61706900 1400000a tasklet_api..... + 47420 b9085f74 61736b6c 65745f69 6e697400 .._tasklet_init. + 47430 00000102 02230008 5f746173 6b6c6574 .....#.._tasklet + 47440 5f696e69 745f7461 736b0000 000a1d02 _init_task...... + 47450 2304085f 7461736b 6c65745f 64697361 #.._tasklet_disa + 47460 626c6500 00000a26 02230808 5f746173 ble....&.#.._tas + 47470 6b6c6574 5f736368 6564756c 65000000 klet_schedule... + 47480 0a260223 0c085f74 61736b6c 65745f72 .&.#.._tasklet_r + 47490 756e0000 00010202 23100002 01030000 un......#....... + 474a0 0ab90400 06000009 15010300 000ac204 ................ + 474b0 00020103 00000acf 04000763 6c6f636b ...........clock + 474c0 5f617069 00240000 0bb1085f 636c6f63 _api.$....._cloc + 474d0 6b5f696e 69740000 000abb02 2300085f k_init......#.._ + 474e0 636c6f63 6b726567 735f696e 69740000 clockregs_init.. + 474f0 00010202 2304085f 75617274 5f667265 ....#.._uart_fre + 47500 7175656e 63790000 000ac802 2308085f quency......#.._ + 47510 64656c61 795f7573 0000000a d102230c delay_us......#. + 47520 085f776c 616e5f62 616e645f 73657400 ._wlan_band_set. + 47530 00000ad1 02231008 5f726566 636c6b5f .....#.._refclk_ + 47540 73706565 645f6765 74000000 0ac80223 speed_get......# + 47550 14085f6d 696c6c69 7365636f 6e647300 .._milliseconds. + 47560 00000ac8 02231808 5f737973 636c6b5f .....#.._sysclk_ + 47570 6368616e 67650000 00010202 231c085f change......#.._ + 47580 636c6f63 6b5f7469 636b0000 00010202 clock_tick...... + 47590 23200006 000001a6 01030000 0bb10400 # .............. + 475a0 09415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... + 475b0 01a60600 000bbe01 0300000b d0040002 ................ + 475c0 01030000 0bdd0400 02010300 000be604 ................ + 475d0 00060000 01a60103 00000bef 04000941 ...............A + 475e0 5f697372 5f740000 000bf502 01030000 _isr_t.......... + 475f0 0c090400 06000004 01010300 000c1204 ................ + 47600 00020103 00000c1f 04000769 6e74725f ...........intr_ + 47610 61706900 2c00000d 41085f69 6e74725f api.,...A._intr_ + 47620 696e6974 00000001 02022300 085f696e init......#.._in + 47630 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... + 47640 0bb70223 04085f69 6e74725f 64697361 ...#.._intr_disa + 47650 626c6500 00000bd6 02230808 5f696e74 ble......#.._int + 47660 725f7265 73746f72 65000000 0bdf0223 r_restore......# + 47670 0c085f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu + 47680 6d000000 0be80223 10085f69 6e74725f m......#.._intr_ + 47690 756e6d61 736b5f69 6e756d00 00000be8 unmask_inum..... + 476a0 02231408 5f696e74 725f6174 74616368 .#.._intr_attach + 476b0 5f697372 0000000c 0b022318 085f6765 _isr......#.._ge + 476c0 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 476d0 1802231c 085f7365 745f696e 7472656e ..#.._set_intren + 476e0 61626c65 0000000c 21022320 085f6765 able....!.# ._ge + 476f0 745f696e 74727065 6e64696e 67000000 t_intrpending... + 47700 0c180223 24085f75 6e626c6f 636b5f61 ...#$._unblock_a + 47710 6c6c5f69 6e74726c 766c0000 00010202 ll_intrlvl...... + 47720 23280011 0400000d 67087469 6d656f75 #(......g.timeou + 47730 74000000 01a60223 00086163 74696f6e t......#..action + 47740 00000001 a6022300 00120800 000d8208 ......#......... + 47750 636d6400 000001a6 02230013 00000d41 cmd......#.....A + 47760 02230400 09545f57 44545f43 4d440000 .#...T_WDT_CMD.. + 47770 000d6702 01030000 0d910400 14040000 ..g............. + 47780 0de70e45 4e554d5f 5744545f 424f4f54 ...ENUM_WDT_BOOT + 47790 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO + 477a0 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO + 477b0 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW + 477c0 4e5f424f 4f540004 0009545f 424f4f54 N_BOOT....T_BOOT + 477d0 5f545950 45000000 0d9a0600 000de701 _TYPE........... + 477e0 0300000d f8040007 7764745f 61706900 ........wdt_api. + 477f0 1c00000e 9c085f77 64745f69 6e697400 ......_wdt_init. + 47800 00000102 02230008 5f776474 5f656e61 .....#.._wdt_ena + 47810 626c6500 00000102 02230408 5f776474 ble......#.._wdt + 47820 5f646973 61626c65 00000001 02022308 _disable......#. + 47830 085f7764 745f7365 74000000 0d930223 ._wdt_set......# + 47840 0c085f77 64745f74 61736b00 00000102 .._wdt_task..... + 47850 02231008 5f776474 5f726573 65740000 .#.._wdt_reset.. + 47860 00010202 2314085f 7764745f 6c617374 ....#.._wdt_last + 47870 5f626f6f 74000000 0dfe0223 18001404 _boot......#.... + 47880 00000f03 0e524554 5f535543 43455353 .....RET_SUCCESS + 47890 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. + 478a0 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. + 478b0 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP + 478c0 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER + 478d0 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO + 478e0 574e0005 0009545f 4545505f 52455400 WN....T_EEP_RET. + 478f0 00000e9c 03000001 83040006 00000f03 ................ + 47900 01030000 0f190400 0600000f 03010300 ................ + 47910 000f2604 00076565 705f6170 69001000 ..&...eep_api... + 47920 000f8f08 5f656570 5f696e69 74000000 ...._eep_init... + 47930 01020223 00085f65 65705f72 65616400 ...#.._eep_read. + 47940 00000f1f 02230408 5f656570 5f777269 .....#.._eep_wri + 47950 74650000 000f1f02 2308085f 6565705f te......#.._eep_ + 47960 69735f65 78697374 0000000f 2c02230c is_exist....,.#. + 47970 00077573 625f6170 69007000 00123c08 ..usb_api.p...<. + 47980 5f757362 5f696e69 74000000 01020223 _usb_init......# + 47990 00085f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. + 479a0 00000102 02230408 5f757362 5f66775f .....#.._usb_fw_ + 479b0 7461736b 00000001 02022308 085f7573 task......#.._us + 479c0 625f696e 69745f70 68790000 00010202 b_init_phy...... + 479d0 230c085f 7573625f 6570305f 73657475 #.._usb_ep0_setu + 479e0 70000000 01020223 10085f75 73625f65 p......#.._usb_e + 479f0 70305f74 78000000 01020223 14085f75 p0_tx......#.._u + 47a00 73625f65 70305f72 78000000 01020223 sb_ep0_rx......# + 47a10 18085f75 73625f67 65745f69 6e746572 .._usb_get_inter + 47a20 66616365 00000008 0e02231c 085f7573 face......#.._us + 47a30 625f7365 745f696e 74657266 61636500 b_set_interface. + 47a40 0000080e 02232008 5f757362 5f676574 .....# ._usb_get + 47a50 5f636f6e 66696775 72617469 6f6e0000 _configuration.. + 47a60 00080e02 2324085f 7573625f 7365745f ....#$._usb_set_ + 47a70 636f6e66 69677572 6174696f 6e000000 configuration... + 47a80 080e0223 28085f75 73625f73 74616e64 ...#(._usb_stand + 47a90 6172645f 636d6400 0000080e 02232c08 ard_cmd......#,. + 47aa0 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. + 47ab0 00000102 02233008 5f757362 5f706f77 .....#0._usb_pow + 47ac0 65725f6f 66660000 00010202 2334085f er_off......#4._ + 47ad0 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. + 47ae0 00010202 2338085f 7573625f 67656e5f ....#8._usb_gen_ + 47af0 77647400 00000102 02233c08 5f757362 wdt......#<._usb + 47b00 5f6a756d 705f626f 6f740000 00010202 _jump_boot...... + 47b10 2340085f 7573625f 636c725f 66656174 #@._usb_clr_feat + 47b20 75726500 0000080e 02234408 5f757362 ure......#D._usb + 47b30 5f736574 5f666561 74757265 00000008 _set_feature.... + 47b40 0e022348 085f7573 625f7365 745f6164 ..#H._usb_set_ad + 47b50 64726573 73000000 080e0223 4c085f75 dress......#L._u + 47b60 73625f67 65745f64 65736372 6970746f sb_get_descripto + 47b70 72000000 080e0223 50085f75 73625f67 r......#P._usb_g + 47b80 65745f73 74617475 73000000 080e0223 et_status......# + 47b90 54085f75 73625f73 65747570 5f646573 T._usb_setup_des + 47ba0 63000000 01020223 58085f75 73625f72 c......#X._usb_r + 47bb0 65675f6f 75740000 00010202 235c085f eg_out......#\._ + 47bc0 7573625f 73746174 75735f69 6e000000 usb_status_in... + 47bd0 01020223 60085f75 73625f65 70305f74 ...#`._usb_ep0_t + 47be0 785f6461 74610000 00010202 2364085f x_data......#d._ + 47bf0 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. + 47c00 00000102 02236808 5f757362 5f636c6b .....#h._usb_clk + 47c10 5f696e69 74000000 01020223 6c00075f _init......#l.._ + 47c20 56444553 43002400 0012c808 6e657874 VDESC.$.....next + 47c30 5f646573 63000000 12c80223 00086275 _desc......#..bu + 47c40 665f6164 64720000 0012dc02 23040862 f_addr......#..b + 47c50 75665f73 697a6500 000012e3 02230808 uf_size......#.. + 47c60 64617461 5f6f6666 73657400 000012e3 data_offset..... + 47c70 02230a08 64617461 5f73697a 65000000 .#..data_size... + 47c80 12e30223 0c08636f 6e74726f 6c000000 ...#..control... + 47c90 12e30223 0e086877 5f646573 635f6275 ...#..hw_desc_bu + 47ca0 66000000 12f10223 10000300 00123c04 f......#......<. + 47cb0 0009415f 55494e54 38000000 03160300 ..A_UINT8....... + 47cc0 0012cf04 0009415f 55494e54 31360000 ......A_UINT16.. + 47cd0 00016d0f 000012cf 14000012 fe101300 ..m............. + 47ce0 03000012 3c040009 56444553 43000000 ....<...VDESC... + 47cf0 123c0300 00130504 00060000 13100103 .<.............. + 47d00 00001317 04000600 0012dc01 03000013 ................ + 47d10 24040002 01030000 13310400 07766465 $........1...vde + 47d20 73635f61 70690014 000013a9 085f696e sc_api......._in + 47d30 69740000 000ad102 2300085f 616c6c6f it......#.._allo + 47d40 635f7664 65736300 0000131d 02230408 c_vdesc......#.. + 47d50 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... + 47d60 2a022308 085f7377 61705f76 64657363 *.#.._swap_vdesc + 47d70 00000013 3302230c 08705265 73657276 ....3.#..pReserv + 47d80 65640000 0003fe02 23100007 5f564255 ed......#..._VBU + 47d90 46002000 00140908 64657363 5f6c6973 F. .....desc_lis + 47da0 74000000 13100223 00086e65 78745f62 t......#..next_b + 47db0 75660000 00140902 23040862 75665f6c uf......#..buf_l + 47dc0 656e6774 68000000 12e30223 08087265 ength......#..re + 47dd0 73657276 65640000 00141002 230a0863 served......#..c + 47de0 74780000 0012f102 230c0003 000013a9 tx......#....... + 47df0 04000f00 0012cf02 0000141d 10010003 ................ + 47e00 000013a9 04000956 42554600 000013a9 .......VBUF..... + 47e10 03000014 24040006 0000142e 01030000 ....$........... + 47e20 14350400 06000014 2e010300 00144204 .5............B. + 47e30 00020103 0000144f 04000776 6275665f .......O...vbuf_ + 47e40 61706900 14000014 cd085f69 6e697400 api......._init. + 47e50 00000ad1 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 47e60 62756600 0000143b 02230408 5f616c6c buf....;.#.._all + 47e70 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz + 47e80 65000000 14480223 08085f66 7265655f e....H.#.._free_ + 47e90 76627566 00000014 5102230c 08705265 vbuf....Q.#..pRe + 47ea0 73657276 65640000 0003fe02 23100007 served......#... + 47eb0 5f5f6164 665f6465 76696365 00040000 __adf_device.... + 47ec0 14ef0864 756d6d79 00000001 09022300 ...dummy......#. + 47ed0 00030000 09150400 075f5f61 64665f64 .........__adf_d + 47ee0 6d615f6d 6170000c 00001536 08627566 ma_map.....6.buf + 47ef0 00000014 2e022300 0864735f 61646472 ......#..ds_addr + 47f00 00000014 ef022304 0864735f 6c656e00 ......#..ds_len. + 47f10 000012e3 02230800 120c0000 1570085f .....#.......p._ + 47f20 5f76615f 73746b00 0000036c 02230008 _va_stk....l.#.. + 47f30 5f5f7661 5f726567 00000003 6c022304 __va_reg....l.#. + 47f40 085f5f76 615f6e64 78000000 01090223 .__va_ndx......# + 47f50 0800095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 47f60 61646472 5f740000 00091509 6164665f addr_t......adf_ + 47f70 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 47f80 1570095f 5f616466 5f6f735f 646d615f .p.__adf_os_dma_ + 47f90 73697a65 5f740000 00091509 6164665f size_t......adf_ + 47fa0 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 47fb0 15a0075f 5f646d61 5f736567 73000800 ...__dma_segs... + 47fc0 0015fc08 70616464 72000000 15890223 ....paddr......# + 47fd0 00086c65 6e000000 15b90223 0400095f ..len......#..._ + 47fe0 5f615f75 696e7433 325f7400 00000915 _a_uint32_t..... + 47ff0 09615f75 696e7433 325f7400 000015fc .a_uint32_t..... + 48000 0f000015 d0080000 162b1000 00076164 .........+....ad + 48010 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 48020 000c0000 1664086e 73656773 00000016 .....d.nsegs.... + 48030 0e022300 08646d61 5f736567 73000000 ..#..dma_segs... + 48040 161e0223 0400095f 5f615f75 696e7438 ...#...__a_uint8 + 48050 5f740000 0012cf09 615f7569 6e74385f _t......a_uint8_ + 48060 74000000 16640300 00167504 00075f5f t....d....u...__ + 48070 73675f73 65677300 08000016 b6087661 sg_segs.......va + 48080 64647200 00001684 02230008 6c656e00 ddr......#..len. + 48090 0000160e 02230400 0f000016 8b200000 .....#....... .. + 480a0 16c31003 00076164 665f6f73 5f73676c ......adf_os_sgl + 480b0 69737400 24000016 f6086e73 65677300 ist.$.....nsegs. + 480c0 0000160e 02230008 73675f73 65677300 .....#..sg_segs. + 480d0 000016b6 02230400 12100000 173f0876 .....#.......?.v + 480e0 656e646f 72000000 160e0223 00086465 endor......#..de + 480f0 76696365 00000016 0e022304 08737562 vice......#..sub + 48100 76656e64 6f720000 00160e02 23080873 vendor......#..s + 48110 75626465 76696365 00000016 0e02230c ubdevice......#. + 48120 00046c6f 6e67206c 6f6e6720 756e7369 ..long long unsi + 48130 676e6564 20696e74 00070809 415f5549 gned int....A_UI + 48140 4e543634 00000017 3f095f5f 615f7569 NT64....?.__a_ui + 48150 6e743634 5f740000 00175909 615f7569 nt64_t....Y.a_ui + 48160 6e743634 5f740000 00176714 04000017 nt64_t....g..... + 48170 c50e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC + 48180 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF + 48190 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP + 481a0 455f494f 00010009 6164665f 6f735f72 E_IO....adf_os_r + 481b0 65736f75 7263655f 74797065 5f740000 esource_type_t.. + 481c0 00178912 18000018 0f087374 61727400 ..........start. + 481d0 00001779 02230008 656e6400 00001779 ...y.#..end....y + 481e0 02230808 74797065 00000017 c5022310 .#..type......#. + 481f0 00096164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev + 48200 5f69645f 74000000 16f60300 00180f04 _id_t........... + 48210 00110400 00184e08 70636900 00001828 ......N.pci....( + 48220 02230008 72617700 000003fe 02230000 .#..raw......#.. + 48230 11100000 186d0870 63690000 00180f02 .....m.pci...... + 48240 23000872 61770000 0003fe02 23000009 #..raw......#... + 48250 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t + 48260 00000003 fe096164 665f6f73 5f726573 ......adf_os_res + 48270 6f757263 655f7400 000017e1 03000018 ource_t......... + 48280 83040009 6164665f 6f735f61 74746163 ....adf_os_attac + 48290 685f6461 74615f74 00000018 4e030000 h_data_t....N... + 482a0 18a10400 03000014 cd040009 5f5f6164 ............__ad + 482b0 665f6f73 5f646576 6963655f 74000000 f_os_device_t... + 482c0 18c20961 64665f6f 735f6465 76696365 ...adf_os_device + 482d0 5f740000 0018c906 0000186d 01030000 _t.........m.... + 482e0 18f50400 02010300 00190204 00096164 ..............ad + 482f0 665f6f73 5f706d5f 74000000 03fe0201 f_os_pm_t....... + 48300 03000019 1c040014 04000019 5c0e4144 ............\.AD + 48310 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC + 48320 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T + 48330 5950455f 47454e45 52494300 02000961 YPE_GENERIC....a + 48340 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t + 48350 00000019 25096164 665f6f73 5f627573 ....%.adf_os_bus + 48360 5f726567 5f646174 615f7400 0000182f _reg_data_t..../ + 48370 03000003 16040007 5f616466 5f647276 ........_adf_drv + 48380 5f696e66 6f002000 001a3908 6472765f _info. ...9.drv_ + 48390 61747461 63680000 0018fb02 23000864 attach......#..d + 483a0 72765f64 65746163 68000000 19040223 rv_detach......# + 483b0 04086472 765f7375 7370656e 64000000 ..drv_suspend... + 483c0 191e0223 08086472 765f7265 73756d65 ...#..drv_resume + 483d0 00000019 0402230c 08627573 5f747970 ......#..bus_typ + 483e0 65000000 195c0223 10086275 735f6461 e....\.#..bus_da + 483f0 74610000 00197302 2314086d 6f645f6e ta....s.#..mod_n + 48400 616d6500 0000198e 02231808 69666e61 ame......#..ifna + 48410 6d650000 00198e02 231c0009 6164665f me......#...adf_ + 48420 6f735f68 616e646c 655f7400 000003fe os_handle_t..... + 48430 03000016 64040002 01020109 5f5f6164 ....d.......__ad + 48440 665f6f73 5f73697a 655f7400 00000401 f_os_size_t..... + 48450 14040000 1a880e41 5f46414c 53450000 .......A_FALSE.. + 48460 0e415f54 52554500 01000961 5f626f6f .A_TRUE....a_boo + 48470 6c5f7400 00001a6e 03000014 f6040009 l_t....n........ + 48480 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map + 48490 5f740000 001a9602 010d6164 665f6f73 _t........adf_os + 484a0 5f636163 68655f73 796e6300 0400001b _cache_sync..... + 484b0 200e4144 465f5359 4e435f50 52455245 .ADF_SYNC_PRERE + 484c0 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR + 484d0 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN + 484e0 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF + 484f0 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. + 48500 03000961 64665f6f 735f6361 6368655f ...adf_os_cache_ + 48510 73796e63 5f740000 001ab702 01096164 sync_t........ad + 48520 665f6f73 5f73697a 655f7400 00001a59 f_os_size_t....Y + 48530 0600001b 3b010961 64665f6f 735f646d ....;..adf_os_dm + 48540 615f6d61 705f7400 00001a9d 0300001b a_map_t......... + 48550 54040006 000003fe 01030000 1a9d0400 T............... + 48560 06000003 fe010201 06000015 89010201 ................ + 48570 0473686f 72742069 6e740005 0209415f .short int....A_ + 48580 494e5431 36000000 1b8e095f 5f615f69 INT16......__a_i + 48590 6e743136 5f740000 001b9b09 615f696e nt16_t......a_in + 485a0 7431365f 74000000 1ba80473 69676e65 t16_t......signe + 485b0 64206368 61720005 0109415f 494e5438 d char....A_INT8 + 485c0 0000001b c8095f5f 615f696e 74385f74 ......__a_int8_t + 485d0 0000001b d709615f 696e7438 5f740000 ......a_int8_t.. + 485e0 001be312 0c00001c 5a087375 70706f72 ........Z.suppor + 485f0 74656400 0000160e 02230008 61647665 ted......#..adve + 48600 7274697a 65640000 00160e02 23040873 rtized......#..s + 48610 70656564 0000001b b9022308 08647570 peed......#..dup + 48620 6c657800 00001bf3 02230a08 6175746f lex......#..auto + 48630 6e656700 00001675 02230b00 0f000016 neg....u.#...... + 48640 75060000 1c671005 00076164 665f6e65 u....g....adf_ne + 48650 745f6574 68616464 72000600 001c8b08 t_ethaddr....... + 48660 61646472 0000001c 5a022300 00095f5f addr....Z.#...__ + 48670 615f7569 6e743136 5f740000 0012e309 a_uint16_t...... + 48680 615f7569 6e743136 5f740000 001c8b12 a_uint16_t...... + 48690 0e00001c ef086574 6865725f 64686f73 ......ether_dhos + 486a0 74000000 1c5a0223 00086574 6865725f t....Z.#..ether_ + 486b0 73686f73 74000000 1c5a0223 06086574 shost....Z.#..et + 486c0 6865725f 74797065 0000001c 9d02230c her_type......#. + 486d0 00121400 001db015 69705f76 65727369 ........ip_versi + 486e0 6f6e0000 00167501 00040223 00156970 on....u....#..ip + 486f0 5f686c00 00001675 01040402 23000869 _hl....u....#..i + 48700 705f746f 73000000 16750223 01086970 p_tos....u.#..ip + 48710 5f6c656e 0000001c 9d022302 0869705f _len......#..ip_ + 48720 69640000 001c9d02 23040869 705f6672 id......#..ip_fr + 48730 61675f6f 66660000 001c9d02 23060869 ag_off......#..i + 48740 705f7474 6c000000 16750223 08086970 p_ttl....u.#..ip + 48750 5f70726f 746f0000 00167502 23090869 _proto....u.#..i + 48760 705f6368 65636b00 00001c9d 02230a08 p_check......#.. + 48770 69705f73 61646472 00000016 0e02230c ip_saddr......#. + 48780 0869705f 64616464 72000000 160e0223 .ip_daddr......# + 48790 10000761 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 487a0 64720004 00001e02 08747069 64000000 dr.......tpid... + 487b0 1c9d0223 00157072 696f0000 00167501 ...#..prio....u. + 487c0 00030223 02156366 69000000 16750103 ...#..cfi....u.. + 487d0 01022302 15766964 0000001c 9d02040c ..#..vid........ + 487e0 02230200 07616466 5f6e6574 5f766964 .#...adf_net_vid + 487f0 00020000 1e331572 65730000 00167501 .....3.res....u. + 48800 00040223 00157661 6c000000 1c9d0204 ...#..val....... + 48810 0c022300 00120c00 001e6f08 72785f62 ..#.......o.rx_b + 48820 75667369 7a650000 00160e02 23000872 ufsize......#..r + 48830 785f6e64 65736300 0000160e 02230408 x_ndesc......#.. + 48840 74785f6e 64657363 00000016 0e022308 tx_ndesc......#. + 48850 00120800 001e9508 706f6c6c 65640000 ........polled.. + 48860 001a8802 23000870 6f6c6c5f 77740000 ....#..poll_wt.. + 48870 00160e02 2304000f 00001675 4000001e ....#......u@... + 48880 a2103f00 12460000 1eca0869 665f6e61 ..?..F.....if_na + 48890 6d650000 001e9502 23000864 65765f61 me......#..dev_a + 488a0 64647200 00001c5a 02234000 14040000 ddr....Z.#@..... + 488b0 1f010e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 488c0 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O + 488d0 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT + 488e0 00010009 6164665f 6f735f64 6d615f6d ....adf_os_dma_m + 488f0 61736b5f 74000000 1eca0761 64665f64 ask_t......adf_d + 48900 6d615f69 6e666f00 0800001f 4e08646d ma_info.....N.dm + 48910 615f6d61 736b0000 001f0102 23000873 a_mask......#..s + 48920 675f6e73 65677300 0000160e 02230400 g_nsegs......#.. + 48930 14040000 1fa40e41 44465f4e 45545f43 .......ADF_NET_C + 48940 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 48950 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 48960 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET + 48970 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 48980 50763600 02000961 64665f6e 65745f63 Pv6....adf_net_c + 48990 6b73756d 5f747970 655f7400 00001f4e ksum_type_t....N + 489a0 12080000 1fe70874 785f636b 73756d00 .......tx_cksum. + 489b0 00001fa4 02230008 72785f63 6b73756d .....#..rx_cksum + 489c0 0000001f a4022304 00096164 665f6e65 ......#...adf_ne + 489d0 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. + 489e0 001fbe14 04000020 400e4144 465f4e45 ....... @.ADF_NE + 489f0 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF + 48a00 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... + 48a10 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. + 48a20 02000961 64665f6e 65745f74 736f5f74 ...adf_net_tso_t + 48a30 7970655f 74000000 20011210 00002094 ype_t... ..... . + 48a40 08636b73 756d5f63 61700000 001fe702 .cksum_cap...... + 48a50 23000874 736f0000 00204002 23080876 #..tso... @.#..v + 48a60 6c616e5f 73757070 6f727465 64000000 lan_supported... + 48a70 16750223 0c001220 0000212d 0874785f .u.#... ..!-.tx_ + 48a80 7061636b 65747300 0000160e 02230008 packets......#.. + 48a90 72785f70 61636b65 74730000 00160e02 rx_packets...... + 48aa0 23040874 785f6279 74657300 0000160e #..tx_bytes..... + 48ab0 02230808 72785f62 79746573 00000016 .#..rx_bytes.... + 48ac0 0e02230c 0874785f 64726f70 70656400 ..#..tx_dropped. + 48ad0 0000160e 02231008 72785f64 726f7070 .....#..rx_dropp + 48ae0 65640000 00160e02 23140872 785f6572 ed......#..rx_er + 48af0 726f7273 00000016 0e022318 0874785f rors......#..tx_ + 48b00 6572726f 72730000 00160e02 231c0009 errors......#... + 48b10 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ + 48b20 74000000 1c671600 00212d03 00000021 t....g...!-....! + 48b30 52107f00 17616466 5f6e6574 5f636d64 R....adf_net_cmd + 48b40 5f6d6361 64647200 03040000 2189086e _mcaddr.....!..n + 48b50 656c656d 00000016 0e022300 086d6361 elem......#..mca + 48b60 73740000 00214402 23040009 6164665f st...!D.#...adf_ + 48b70 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf + 48b80 6f5f7400 00001c01 09616466 5f6e6574 o_t......adf_net + 48b90 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t + 48ba0 0000001e 6f096164 665f6e65 745f636d ....o.adf_net_cm + 48bb0 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. + 48bc0 001fe709 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 48bd0 72696e67 5f696e66 6f5f7400 00001e33 ring_info_t....3 + 48be0 09616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma + 48bf0 5f696e66 6f5f7400 00001f18 09616466 _info_t......adf + 48c00 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. + 48c10 001c9d09 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 48c20 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... + 48c30 20580961 64665f6e 65745f63 6d645f73 X.adf_net_cmd_s + 48c40 74617473 5f740000 00209409 6164665f tats_t... ..adf_ + 48c50 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t + 48c60 00000021 520d6164 665f6e65 745f636d ...!R.adf_net_cm + 48c70 645f6d63 6173745f 63617000 04000022 d_mcast_cap...." + 48c80 cb0e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 48c90 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC + 48ca0 4153545f 4e4f5453 55500001 00096164 AST_NOTSUP....ad + 48cb0 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 48cc0 6361705f 74000000 22831803 04000023 cap_t..."......# + 48cd0 9d086c69 6e6b5f69 6e666f00 00002189 ..link_info...!. + 48ce0 02230008 706f6c6c 5f696e66 6f000000 .#..poll_info... + 48cf0 21a60223 0008636b 73756d5f 696e666f !..#..cksum_info + 48d00 00000021 c3022300 0872696e 675f696e ...!..#..ring_in + 48d10 666f0000 0021e102 23000864 6d615f69 fo...!..#..dma_i + 48d20 6e666f00 000021fe 02230008 76696400 nfo...!..#..vid. + 48d30 0000221a 02230008 6f66666c 6f61645f .."..#..offload_ + 48d40 63617000 00002231 02230008 73746174 cap..."1.#..stat + 48d50 73000000 22500223 00086d63 6173745f s..."P.#..mcast_ + 48d60 696e666f 00000022 69022300 086d6361 info..."i.#..mca + 48d70 73745f63 61700000 0022cb02 23000014 st_cap..."..#... + 48d80 04000023 f40e4144 465f4e42 55465f52 ...#..ADF_NBUF_R + 48d90 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A + 48da0 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 48db0 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R + 48dc0 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS + 48dd0 41525900 02000961 64665f6e 6275665f ARY....adf_nbuf_ + 48de0 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. + 48df0 0000239d 12080000 24340872 6573756c ..#.....$4.resul + 48e00 74000000 23f40223 00087661 6c000000 t...#..#..val... + 48e10 160e0223 04001208 00002464 08747970 ...#......$d.typ + 48e20 65000000 20400223 00086d73 73000000 e... @.#..mss... + 48e30 1c9d0223 04086864 725f6f66 66000000 ...#..hdr_off... + 48e40 16750223 0600075f 5f616466 5f6e6275 .u.#...__adf_nbu + 48e50 665f7168 65616400 0c000024 a3086865 f_qhead....$..he + 48e60 61640000 00142e02 23000874 61696c00 ad......#..tail. + 48e70 0000142e 02230408 716c656e 00000016 .....#..qlen.... + 48e80 0e022308 00095f5f 6164665f 6e627566 ..#...__adf_nbuf + 48e90 5f740000 00142e03 00001684 04000300 _t.............. + 48ea0 00160e04 00020106 00001310 01060000 ................ + 48eb0 160e0106 00001684 01060000 16840103 ................ + 48ec0 000012f1 0400095f 5f616466 5f6e6275 .......__adf_nbu + 48ed0 665f7168 6561645f 74000000 2464095f f_qhead_t...$d._ + 48ee0 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ + 48ef0 74000000 24e40300 0024fc04 00060000 t...$....$...... + 48f00 24a30106 000024a3 01140400 00261c0e $.....$......&.. + 48f10 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ + 48f20 53544154 55535f46 41494c45 4400010e STATUS_FAILED... + 48f30 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. + 48f40 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME + 48f50 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN + 48f60 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E + 48f70 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S + 48f80 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. + 48f90 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. + 48fa0 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG + 48fb0 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD + 48fc0 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST + 48fd0 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S + 48fe0 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A + 48ff0 5f535441 5455535f 45494f00 0c000961 _STATUS_EIO....a + 49000 5f737461 7475735f 74000000 25270600 _status_t...%'.. + 49010 00261c01 06000001 09010201 09616466 .&...........adf + 49020 5f6e6275 665f7400 000024a3 14040000 _nbuf_t...$..... + 49030 26810e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO + 49040 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS + 49050 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE + 49060 00010009 6164665f 6f735f64 6d615f64 ....adf_os_dma_d + 49070 69725f74 00000026 4a060000 261c0102 ir_t...&J...&... + 49080 01096164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 49090 696e666f 5f740000 00162b03 0000269f info_t....+...&. + 490a0 04000201 02010600 00263a01 06000024 .........&:....$ + 490b0 a3010201 02010600 00263a01 06000024 .........&:....$ + 490c0 a3010600 00263a01 06000024 a3010600 .....&:....$.... + 490d0 00263a01 02010201 06000016 0e010600 .&:............. + 490e0 00168401 02010201 0600001b 3b010600 ............;... + 490f0 001a8801 0600001a 88010961 64665f6f ...........adf_o + 49100 735f7367 6c697374 5f740000 0016c303 s_sglist_t...... + 49110 00002718 04000201 02010201 06000016 ..'............. + 49120 84010961 64665f6e 6275665f 71756575 ...adf_nbuf_queu + 49130 655f7400 000024fc 03000027 40040002 e_t...$....'@... + 49140 01030000 24e40400 02010201 02010600 ....$........... + 49150 00263a01 06000024 a3010600 00160e01 .&:....$........ + 49160 06000016 0e010600 001a8801 0600001a ................ + 49170 88010600 001fa401 06000016 0e010961 ...............a + 49180 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 49190 5f740000 00241203 0000279c 04000201 _t...$....'..... + 491a0 02010961 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ + 491b0 74000000 24340300 0027c004 00020102 t...$4...'...... + 491c0 01096164 665f6e65 745f6861 6e646c65 ..adf_net_handle + 491d0 5f740000 0003fe09 6164665f 6e65745f _t......adf_net_ + 491e0 766c616e 6864725f 74000000 1db00300 vlanhdr_t....... + 491f0 0027f504 00060000 261c0106 0000261c .'......&.....&. + 49200 01020102 01075f48 49465f43 4f4e4649 ......_HIF_CONFI + 49210 47000400 00284408 64756d6d 79000000 G....(D.dummy... + 49220 01090223 00000201 03000028 44040002 ...#.......(D... + 49230 01030000 284d0400 075f4849 465f4341 ....(M..._HIF_CA + 49240 4c4c4241 434b000c 000028a2 0873656e LLBACK....(..sen + 49250 645f6275 665f646f 6e650000 00284602 d_buf_done...(F. + 49260 23000872 6563765f 62756600 0000284f #..recv_buf...(O + 49270 02230408 636f6e74 65787400 000003fe .#..context..... + 49280 02230800 09686966 5f68616e 646c655f .#...hif_handle_ + 49290 74000000 03fe0948 49465f43 4f4e4649 t......HIF_CONFI + 492a0 47000000 28230300 0028b404 00060000 G...(#...(...... + 492b0 28a20103 000028cb 04000201 03000028 (.....(........( + 492c0 d8040009 4849465f 43414c4c 4241434b ....HIF_CALLBACK + 492d0 00000028 56030000 28e10400 02010300 ...(V...(....... + 492e0 0028fa04 00060000 01090103 00002903 .(............). + 492f0 04000201 03000029 10040006 00000109 .......)........ + 49300 01030000 29190400 02010300 00292604 ....)........)&. + 49310 00060000 01090103 0000292f 04000201 ..........)/.... + 49320 03000029 3c040007 6869665f 61706900 ...)<...hif_api. + 49330 3800002a 95085f69 6e697400 000028d1 8..*.._init...(. + 49340 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 49350 28da0223 04085f72 65676973 7465725f (..#.._register_ + 49360 63616c6c 6261636b 00000028 fc022308 callback...(..#. + 49370 085f6765 745f746f 74616c5f 63726564 ._get_total_cred + 49380 69745f63 6f756e74 00000029 0902230c it_count...)..#. + 49390 085f7374 61727400 000028da 02231008 ._start...(..#.. + 493a0 5f636f6e 6669675f 70697065 00000029 _config_pipe...) + 493b0 12022314 085f7365 6e645f62 75666665 ..#.._send_buffe + 493c0 72000000 291f0223 18085f72 65747572 r...)..#.._retur + 493d0 6e5f7265 63765f62 75660000 00292802 n_recv_buf...)(. + 493e0 231c085f 69735f70 6970655f 73757070 #.._is_pipe_supp + 493f0 6f727465 64000000 29350223 20085f67 orted...)5.# ._g + 49400 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 49410 00293502 2324085f 6765745f 72657365 .)5.#$._get_rese + 49420 72766564 5f686561 64726f6f 6d000000 rved_headroom... + 49430 29090223 28085f69 73725f68 616e646c )..#(._isr_handl + 49440 65720000 0028da02 232c085f 6765745f er...(..#,._get_ + 49450 64656661 756c745f 70697065 00000029 default_pipe...) + 49460 3e022330 08705265 73657276 65640000 >.#0.pReserved.. + 49470 0003fe02 2334000d 646d615f 656e6769 ....#4..dma_engi + 49480 6e650004 00002b1e 0e444d41 5f454e47 ne....+..DMA_ENG + 49490 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN + 494a0 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E + 494b0 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ + 494c0 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA + 494d0 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM + 494e0 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D + 494f0 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... + 49500 09646d61 5f656e67 696e655f 74000000 .dma_engine_t... + 49510 2a950d64 6d615f69 66747970 65000400 *..dma_iftype... + 49520 002b6b0e 444d415f 49465f47 4d414300 .+k.DMA_IF_GMAC. + 49530 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D + 49540 4d415f49 465f5043 49450002 0009646d MA_IF_PCIE....dm + 49550 615f6966 74797065 5f740000 002b3006 a_iftype_t...+0. + 49560 000012e3 01030000 2b7d0400 02010300 ........+}...... + 49570 002b8a04 00020103 00002b93 04000600 .+........+..... + 49580 00091501 0300002b 9c040006 000012e3 .......+........ + 49590 01030000 2ba90400 06000012 e3010300 ....+........... + 495a0 002bb604 00060000 142e0103 00002bc3 .+............+. + 495b0 04000201 0300002b d0040007 646d615f .......+....dma_ + 495c0 6c69625f 61706900 3400002c d7087478 lib_api.4..,..tx + 495d0 5f696e69 74000000 2b830223 00087478 _init...+..#..tx + 495e0 5f737461 72740000 002b8c02 23040872 _start...+..#..r + 495f0 785f696e 69740000 002b8302 23080872 x_init...+..#..r + 49600 785f636f 6e666967 0000002b 9502230c x_config...+..#. + 49610 0872785f 73746172 74000000 2b8c0223 .rx_start...+..# + 49620 1008696e 74725f73 74617475 73000000 ..intr_status... + 49630 2ba20223 14086861 72645f78 6d697400 +..#..hard_xmit. + 49640 00002baf 02231808 666c7573 685f786d ..+..#..flush_xm + 49650 69740000 002b8c02 231c0878 6d69745f it...+..#..xmit_ + 49660 646f6e65 0000002b bc022320 08726561 done...+..# .rea + 49670 705f786d 69747465 64000000 2bc90223 p_xmitted...+..# + 49680 24087265 61705f72 65637600 00002bc9 $.reap_recv...+. + 49690 02232808 72657475 726e5f72 65637600 .#(.return_recv. + 496a0 00002bd2 02232c08 72656376 5f706b74 ..+..#,.recv_pkt + 496b0 0000002b bc022330 00075f5f 7063695f ...+..#0..__pci_ + 496c0 736f6674 63000c00 002cf508 73770000 softc....,..sw.. + 496d0 0028e102 23000009 5f5f7063 695f736f .(..#...__pci_so + 496e0 6674635f 74000000 2cd70300 002cf504 ftc_t...,....,.. + 496f0 00020103 00002d0f 04000600 0012cf01 ......-......... + 49700 0300002d 1804000d 6869665f 7063695f ...-....hif_pci_ + 49710 70697065 5f747800 0400002d 780e4849 pipe_tx....-x.HI + 49720 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. + 49730 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 49740 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 49750 5f54585f 4d415800 02000968 69665f70 _TX_MAX....hif_p + 49760 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- + 49770 25060000 2b1e0103 00002d8f 04000d68 %...+.....-....h + 49780 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. + 49790 00002e15 0e484946 5f504349 5f504950 .....HIF_PCI_PIP + 497a0 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ + 497b0 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P + 497c0 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI + 497d0 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. + 497e0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 497f0 5f4d4158 00040009 6869665f 7063695f _MAX....hif_pci_ + 49800 70697065 5f72785f 74000000 2d9c0600 pipe_rx_t...-... + 49810 002b1e01 0300002e 2c040007 6869665f .+......,...hif_ + 49820 7063695f 61706900 2400002f 0a087063 pci_api.$../..pc + 49830 695f626f 6f745f69 6e697400 00000102 i_boot_init..... + 49840 02230008 7063695f 696e6974 00000028 .#..pci_init...( + 49850 d1022304 08706369 5f726573 65740000 ..#..pci_reset.. + 49860 00010202 23080870 63695f65 6e61626c ....#..pci_enabl + 49870 65000000 01020223 0c087063 695f7265 e......#..pci_re + 49880 61705f78 6d697474 65640000 002d1102 ap_xmitted...-.. + 49890 23100870 63695f72 6561705f 72656376 #..pci_reap_recv + 498a0 0000002d 11022314 08706369 5f676574 ...-..#..pci_get + 498b0 5f706970 65000000 2d1e0223 18087063 _pipe...-..#..pc + 498c0 695f6765 745f7478 5f656e67 0000002d i_get_tx_eng...- + 498d0 9502231c 08706369 5f676574 5f72785f ..#..pci_get_rx_ + 498e0 656e6700 00002e32 02232000 07676d61 eng....2.# ..gma + 498f0 635f6170 69000400 002f3108 676d6163 c_api..../1.gmac + 49900 5f626f6f 745f696e 69740000 00010202 _boot_init...... + 49910 2300000f 00000316 0600002f 3e100500 #........../>... + 49920 075f5f65 74686864 72000e00 002f7408 .__ethhdr..../t. + 49930 64737400 00002f31 02230008 73726300 dst.../1.#..src. + 49940 00002f31 02230608 65747970 65000000 ../1.#..etype... + 49950 12e30223 0c00075f 5f617468 68647200 ...#...__athhdr. + 49960 0400002f c2157265 73000000 12cf0100 .../..res....... + 49970 02022300 1570726f 746f0000 0012cf01 ..#..proto...... + 49980 02060223 00087265 735f6c6f 00000012 ...#..res_lo.... + 49990 cf022301 08726573 5f686900 000012e3 ..#..res_hi..... + 499a0 02230200 075f5f67 6d61635f 68647200 .#...__gmac_hdr. + 499b0 1400002f fe086574 68000000 2f3e0223 .../..eth.../>.# + 499c0 00086174 68000000 2f740223 0e08616c ..ath.../t.#..al + 499d0 69676e5f 70616400 000012e3 02231200 ign_pad......#.. + 499e0 095f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... + 499f0 2fc2075f 5f676d61 635f736f 66746300 /..__gmac_softc. + 49a00 24000030 48086864 72000000 2ffe0223 $..0H.hdr.../..# + 49a10 00086772 616e0000 0012e302 23140873 ..gran......#..s + 49a20 77000000 28e10223 18000c5f 415f6f73 w...(..#..._A_os + 49a30 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 49a40 01030000 30480400 06000001 09010300 ....0H.......... + 49a50 00306604 00030000 04010400 175f415f .0f.........._A_ + 49a60 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 49a70 6e5f7461 626c6500 01b80000 31b60868 n_table.....1..h + 49a80 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check + 49a90 00000030 6c022300 08737461 72745f62 ...0l.#..start_b + 49aa0 73730000 00307302 23040861 70705f73 ss...0s.#..app_s + 49ab0 74617274 00000001 02022308 086d656d tart......#..mem + 49ac0 00000004 4102230c 086d6973 63000000 ....A.#..misc... + 49ad0 05600223 20087072 696e7466 00000001 .`.# .printf.... + 49ae0 36022344 08756172 74000000 01ff0223 6.#D.uart......# + 49af0 4c08676d 61630000 002f0a02 236c0875 L.gmac.../..#l.u + 49b00 73620000 000f8f02 23700863 6c6f636b sb......#p.clock + 49b10 0000000a d80323e0 01087469 6d657200 ......#...timer. + 49b20 00000778 03238402 08696e74 72000000 ...x.#...intr... + 49b30 0c280323 98020861 6c6c6f63 72616d00 .(.#...allocram. + 49b40 00000930 0323c402 08726f6d 70000000 ...0.#...romp... + 49b50 08220323 d0020877 64745f74 696d6572 .".#...wdt_timer + 49b60 0000000e 050323e0 02086565 70000000 ......#...eep... + 49b70 0f330323 fc020873 7472696e 67000000 .3.#...string... + 49b80 06840323 8c030874 61736b6c 65740000 ...#...tasklet.. + 49b90 000a2d03 23a40300 075f5553 425f4649 ..-.#...._USB_FI + 49ba0 464f5f43 4f4e4649 47001000 00322908 FO_CONFIG....2). + 49bb0 6765745f 636f6d6d 616e645f 62756600 get_command_buf. + 49bc0 0000143b 02230008 72656376 5f636f6d ...;.#..recv_com + 49bd0 6d616e64 00000014 51022304 08676574 mand....Q.#..get + 49be0 5f657665 6e745f62 75660000 00143b02 _event_buf....;. + 49bf0 23080873 656e645f 6576656e 745f646f #..send_event_do + 49c00 6e650000 00145102 230c0009 5553425f ne....Q.#...USB_ + 49c10 4649464f 5f434f4e 46494700 000031b6 FIFO_CONFIG...1. + 49c20 03000032 29040002 01030000 32450400 ...2).......2E.. + 49c30 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... + 49c40 329b085f 696e6974 00000032 47022300 2.._init...2G.#. + 49c50 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i + 49c60 73720000 00010202 23040870 52657365 sr......#..pRese + 49c70 72766564 00000003 fe022308 000f0000 rved......#..... + 49c80 16750200 0032a810 0100075f 4854435f .u...2....._HTC_ + 49c90 4652414d 455f4844 52000800 00331a08 FRAME_HDR....3.. + 49ca0 456e6470 6f696e74 49440000 00167502 EndpointID....u. + 49cb0 23000846 6c616773 00000016 75022301 #..Flags....u.#. + 49cc0 08506179 6c6f6164 4c656e00 00001c9d .PayloadLen..... + 49cd0 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes + 49ce0 00000032 9b022304 08486f73 74536571 ...2..#..HostSeq + 49cf0 4e756d00 00001c9d 02230600 12020000 Num......#...... + 49d00 3333084d 65737361 67654944 0000001c 33.MessageID.... + 49d10 9d022300 00120800 00339608 4d657373 ..#......3..Mess + 49d20 61676549 44000000 1c9d0223 00084372 ageID......#..Cr + 49d30 65646974 436f756e 74000000 1c9d0223 editCount......# + 49d40 02084372 65646974 53697a65 0000001c ..CreditSize.... + 49d50 9d022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint + 49d60 73000000 16750223 06085f50 61643100 s....u.#.._Pad1. + 49d70 00001675 02230700 120a0000 342d084d ...u.#......4-.M + 49d80 65737361 67654944 0000001c 9d022300 essageID......#. + 49d90 08536572 76696365 49440000 001c9d02 .ServiceID...... + 49da0 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla + 49db0 67730000 001c9d02 23040844 6f776e4c gs......#..DownL + 49dc0 696e6b50 69706549 44000000 16750223 inkPipeID....u.# + 49dd0 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. + 49de0 00167502 23070853 65727669 63654d65 ..u.#..ServiceMe + 49df0 74614c65 6e677468 00000016 75022308 taLength....u.#. + 49e00 085f5061 64310000 00167502 23090012 ._Pad1....u.#... + 49e10 0a000034 b5084d65 73736167 65494400 ...4..MessageID. + 49e20 00001c9d 02230008 53657276 69636549 .....#..ServiceI + 49e30 44000000 1c9d0223 02085374 61747573 D......#..Status + 49e40 00000016 75022304 08456e64 706f696e ....u.#..Endpoin + 49e50 74494400 00001675 02230508 4d61784d tID....u.#..MaxM + 49e60 73675369 7a650000 001c9d02 23060853 sgSize......#..S + 49e70 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 49e80 00000016 75022308 085f5061 64310000 ....u.#.._Pad1.. + 49e90 00167502 23090012 02000034 ce084d65 ..u.#......4..Me + 49ea0 73736167 65494400 00001c9d 02230000 ssageID......#.. + 49eb0 12040000 350a084d 65737361 67654944 ....5..MessageID + 49ec0 0000001c 9d022300 08506970 65494400 ......#..PipeID. + 49ed0 00001675 02230208 43726564 6974436f ...u.#..CreditCo + 49ee0 756e7400 00001675 02230300 12040000 unt....u.#...... + 49ef0 3541084d 65737361 67654944 0000001c 5A.MessageID.... + 49f00 9d022300 08506970 65494400 00001675 ..#..PipeID....u + 49f10 02230208 53746174 75730000 00167502 .#..Status....u. + 49f20 23030012 02000035 68085265 636f7264 #......5h.Record + 49f30 49440000 00167502 2300084c 656e6774 ID....u.#..Lengt + 49f40 68000000 16750223 01001202 00003592 h....u.#......5. + 49f50 08456e64 706f696e 74494400 00001675 .EndpointID....u + 49f60 02230008 43726564 69747300 00001675 .#..Credits....u + 49f70 02230100 12040000 35d30845 6e64706f .#......5..Endpo + 49f80 696e7449 44000000 16750223 00084372 intID....u.#..Cr + 49f90 65646974 73000000 16750223 01085467 edits....u.#..Tg + 49fa0 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... + 49fb0 9d022302 000f0000 16750400 0035e010 ..#......u...5.. + 49fc0 03001206 0000361c 08507265 56616c69 ......6..PreVali + 49fd0 64000000 16750223 00084c6f 6f6b4168 d....u.#..LookAh + 49fe0 65616400 000035d3 02230108 506f7374 ead...5..#..Post + 49ff0 56616c69 64000000 16750223 05000970 Valid....u.#...p + 4a000 6f6f6c5f 68616e64 6c655f74 00000003 ool_handle_t.... + 4a010 fe060000 361c0103 0000362f 04000201 ....6.....6/.... + 4a020 03000036 3c040014 04000036 ba0e504f ...6<......6..PO + 4a030 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO + 4a040 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ + 4a050 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... + 4a060 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 4a070 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ + 4a080 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO + 4a090 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF + 4a0a0 5f504f4f 4c5f4944 00000036 45020103 _POOL_ID...6E... + 4a0b0 000036cb 04000600 00263a01 03000036 ..6......&:....6 + 4a0c0 d4040006 0000263a 01030000 36e10400 ......&:....6... + 4a0d0 02010300 0036ee04 00076275 665f706f .....6....buf_po + 4a0e0 6f6c5f61 7069001c 00003790 085f696e ol_api....7.._in + 4a0f0 69740000 00363502 2300085f 73687574 it...65.#.._shut + 4a100 646f776e 00000036 3e022304 085f6372 down...6>.#.._cr + 4a110 65617465 5f706f6f 6c000000 36cd0223 eate_pool...6..# + 4a120 08085f61 6c6c6f63 5f627566 00000036 .._alloc_buf...6 + 4a130 da02230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ + 4a140 616c6967 6e000000 36e70223 10085f66 align...6..#.._f + 4a150 7265655f 62756600 000036f0 02231408 ree_buf...6..#.. + 4a160 70526573 65727665 64000000 03fe0223 pReserved......# + 4a170 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. + 4a180 1c000038 6f08704e 65787400 0000386f ...8o.pNext...8o + 4a190 02230008 50726f63 65737352 6563764d .#..ProcessRecvM + 4a1a0 73670000 00392402 23040850 726f6365 sg...9$.#..Proce + 4a1b0 73735365 6e644275 66666572 436f6d70 ssSendBufferComp + 4a1c0 6c657465 00000039 2d022308 0850726f lete...9-.#..Pro + 4a1d0 63657373 436f6e6e 65637400 00003941 cessConnect...9A + 4a1e0 02230c08 53657276 69636549 44000000 .#..ServiceID... + 4a1f0 12e30223 10085365 72766963 65466c61 ...#..ServiceFla + 4a200 67730000 0012e302 2312084d 61785376 gs......#..MaxSv + 4a210 634d7367 53697a65 00000012 e3022314 cMsgSize......#. + 4a220 08547261 696c6572 53706343 6865636b .TrailerSpcCheck + 4a230 4c696d69 74000000 12e30223 16085365 Limit......#..Se + 4a240 72766963 65437478 00000003 fe022318 rviceCtx......#. + 4a250 00030000 37900400 14040000 390d1945 ....7.......9..E + 4a260 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. + 4a270 ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... + 4a280 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP + 4a290 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT + 4a2a0 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... + 4a2b0 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP + 4a2c0 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT + 4a2d0 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... + 4a2e0 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... + 4a2f0 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. + 4a300 00003876 02010300 00392204 00020103 ..8v.....9"..... + 4a310 0000392b 04000300 00010904 00060000 ..9+............ + 4a320 12cf0103 0000393b 04000300 00379004 ......9;.....7.. + 4a330 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... + 4a340 0039c008 43726564 69745369 7a650000 .9..CreditSize.. + 4a350 00010902 23000843 72656469 744e756d ....#..CreditNum + 4a360 62657200 00000109 02230408 4f534861 ber......#..OSHa + 4a370 6e646c65 0000001a 39022308 08484946 ndle....9.#..HIF + 4a380 48616e64 6c650000 0028a202 230c0850 Handle...(..#..P + 4a390 6f6f6c48 616e646c 65000000 361c0223 oolHandle...6..# + 4a3a0 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT + 4a3b0 45585400 02000039 fc08656e 645f706f EXT....9..end_po + 4a3c0 696e7400 000012cf 02230008 6874635f int......#..htc_ + 4a3d0 666c6167 73000000 12cf0223 01000968 flags......#...h + 4a3e0 74635f68 616e646c 655f7400 000003fe tc_handle_t..... + 4a3f0 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL + 4a400 4554455f 43420000 00010209 4854435f ETE_CB......HTC_ + 4a410 434f4e46 49470000 00394f03 00003a29 CONFIG...9O...:) + 4a420 04000600 0039fc01 0300003a 40040002 .....9.....:@... + 4a430 01030000 3a4d0400 09485443 5f534552 ....:M...HTC_SER + 4a440 56494345 00000037 90030000 3a560400 VICE...7....:V.. + 4a450 02010300 003a6e04 00020103 00003a77 .....:n.......:w + 4a460 04000201 0300003a 80040006 00000109 .......:........ + 4a470 01030000 3a890400 07687463 5f617069 ....:....htc_api + 4a480 73003400 003c0608 5f485443 5f496e69 s.4..<.._HTC_Ini + 4a490 74000000 3a460223 00085f48 54435f53 t...:F.#.._HTC_S + 4a4a0 68757464 6f776e00 00003a4f 02230408 hutdown...:O.#.. + 4a4b0 5f485443 5f526567 69737465 72536572 _HTC_RegisterSer + 4a4c0 76696365 0000003a 70022308 085f4854 vice...:p.#.._HT + 4a4d0 435f5265 61647900 00003a4f 02230c08 C_Ready...:O.#.. + 4a4e0 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 4a4f0 72730000 003a7902 2310085f 4854435f rs...:y.#.._HTC_ + 4a500 52657475 726e4275 66666572 734c6973 ReturnBuffersLis + 4a510 74000000 3a820223 14085f48 54435f53 t...:..#.._HTC_S + 4a520 656e644d 73670000 003a7902 2318085f endMsg...:y.#.._ + 4a530 4854435f 47657452 65736572 76656448 HTC_GetReservedH + 4a540 65616472 6f6f6d00 00003a8f 02231c08 eadroom...:..#.. + 4a550 5f485443 5f4d7367 52656376 48616e64 _HTC_MsgRecvHand + 4a560 6c657200 0000284f 02232008 5f485443 ler...(O.# ._HTC + 4a570 5f53656e 64446f6e 6548616e 646c6572 _SendDoneHandler + 4a580 00000028 46022324 085f4854 435f436f ...(F.#$._HTC_Co + 4a590 6e74726f 6c537663 50726f63 6573734d ntrolSvcProcessM + 4a5a0 73670000 00392402 2328085f 4854435f sg...9$.#(._HTC_ + 4a5b0 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces + 4a5c0 7353656e 64436f6d 706c6574 65000000 sSendComplete... + 4a5d0 392d0223 2c087052 65736572 76656400 9-.#,.pReserved. + 4a5e0 000003fe 02233000 07686f73 745f6170 .....#0..host_ap + 4a5f0 705f6172 65615f73 00040000 3c360877 p_area_s....<6.w + 4a600 6d695f70 726f746f 636f6c5f 76657200 mi_protocol_ver. + 4a610 0000160e 02230000 120e0000 3c6d0864 .....#........beaconPen + 4a7d0 64696e67 436f756e 74000000 16750223 dingCount....u.# + 4a7e0 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF + 4a7f0 49470010 00003e69 08487463 48616e64 IG....>i.HtcHand + 4a800 6c650000 0039fc02 23000850 6f6f6c48 le...9..#..PoolH + 4a810 616e646c 65000000 361c0223 04084d61 andle...6..#..Ma + 4a820 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... + 4a830 01090223 08084d61 78457665 6e744576 ...#..MaxEventEv + 4a840 74730000 00010902 230c0002 01030000 ts......#....... + 4a850 3e690400 09574d49 5f434d44 5f48414e >i...WMI_CMD_HAN + 4a860 444c4552 0000003e 6b075f57 4d495f44 DLER...>k._WMI_D + 4a870 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... + 4a880 003ed208 70436d64 48616e64 6c657200 .>..pCmdHandler. + 4a890 00003e72 02230008 436d6449 44000000 ..>r.#..CmdID... + 4a8a0 12e30223 0408466c 61677300 000012e3 ...#..Flags..... + 4a8b0 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT + 4a8c0 43485f54 41424c45 00100000 3f330870 CH_TABLE....?3.p + 4a8d0 4e657874 0000003f 33022300 0870436f Next...?3.#..pCo + 4a8e0 6e746578 74000000 03fe0223 04084e75 ntext......#..Nu + 4a8f0 6d626572 4f66456e 74726965 73000000 mberOfEntries... + 4a900 01090223 08087054 61626c65 0000003f ...#..pTable...? + 4a910 5202230c 00030000 3ed20400 09574d49 R.#.....>....WMI + 4a920 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 4a930 00003e87 0300003f 3a040003 00003ed2 ..>....?:.....>. + 4a940 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE + 4a950 58540000 0039c00d 574d495f 4556545f XT...9..WMI_EVT_ + 4a960 434c4153 53000400 003fea19 574d495f CLASS....?..WMI_ + 4a970 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. + 4a980 ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 4a990 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM + 4a9a0 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 4a9b0 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ + 4a9c0 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI + 4a9d0 5f455654 5f434c41 53530000 003f7507 _EVT_CLASS...?u. + 4a9e0 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT + 4a9f0 000c0000 40480848 74634275 66437478 ....@H.HtcBufCtx + 4aa00 0000003f 60022300 08457665 6e74436c ...?`.#..EventCl + 4aa10 61737300 00003fea 02230408 466c6167 ass...?..#..Flag + 4aa20 73000000 12e30223 08000977 6d695f68 s......#...wmi_h + 4aa30 616e646c 655f7400 000003fe 09574d49 andle_t......WMI + 4aa40 5f535643 5f434f4e 46494700 00003e00 _SVC_CONFIG...>. + 4aa50 03000040 5a040006 00004048 01030000 ...@Z.....@H.... + 4aa60 40750400 09574d49 5f444953 50415443 @u...WMI_DISPATC + 4aa70 485f5441 424c4500 00003ed2 03000040 H_TABLE...>....@ + 4aa80 82040002 01030000 40a10400 06000026 ........@......& + 4aa90 3a010300 0040aa04 00020103 000040b7 :....@........@. + 4aaa0 04000600 00010901 03000040 c0040002 ...........@.... + 4aab0 01030000 40cd0400 06000012 cf010300 ....@........... + 4aac0 0040d604 00075f77 6d695f73 76635f61 .@...._wmi_svc_a + 4aad0 70697300 2c000042 1e085f57 4d495f49 pis.,..B.._WMI_I + 4aae0 6e697400 0000407b 02230008 5f574d49 nit...@{.#.._WMI + 4aaf0 5f526567 69737465 72446973 70617463 _RegisterDispatc + 4ab00 68546162 6c650000 0040a302 2304085f hTable...@..#.._ + 4ab10 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. + 4ab20 0040b002 2308085f 574d495f 53656e64 .@..#.._WMI_Send + 4ab30 4576656e 74000000 40b90223 0c085f57 Event...@..#.._W + 4ab40 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve + 4ab50 6e747343 6f756e74 00000040 c6022310 ntsCount...@..#. + 4ab60 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple + 4ab70 74654861 6e646c65 72000000 392d0223 teHandler...9-.# + 4ab80 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro + 4ab90 6c457000 000040c6 02231808 5f574d49 lEp...@..#.._WMI + 4aba0 5f536875 74646f77 6e000000 40cf0223 _Shutdown...@..# + 4abb0 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa + 4abc0 67654861 6e646c65 72000000 39240223 geHandler...9$.# + 4abd0 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo + 4abe0 6e6e6563 74000000 40dc0223 24087052 nnect...@..#$.pR + 4abf0 65736572 76656400 000003fe 02232800 eserved......#(. + 4ac00 077a7344 6d614465 73630014 000042a0 .zsDmaDesc....B. + 4ac10 08637472 6c000000 016d0223 00087374 .ctrl....m.#..st + 4ac20 61747573 00000001 6d022302 08746f74 atus....m.#..tot + 4ac30 616c4c65 6e000000 016d0223 04086461 alLen....m.#..da + 4ac40 74615369 7a650000 00016d02 2306086c taSize....m.#..l + 4ac50 61737441 64647200 000042a0 02230808 astAddr...B..#.. + 4ac60 64617461 41646472 00000001 9102230c dataAddr......#. + 4ac70 086e6578 74416464 72000000 42a00223 .nextAddr...B..# + 4ac80 10000300 00421e04 00030000 421e0400 .....B......B... + 4ac90 077a7344 6d615175 65756500 08000042 .zsDmaQueue....B + 4aca0 e0086865 61640000 0042a702 23000874 ..head...B..#..t + 4acb0 65726d69 6e61746f 72000000 42a70223 erminator...B..# + 4acc0 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. + 4acd0 10000043 44086865 61640000 0042a702 ...CD.head...B.. + 4ace0 23000874 65726d69 6e61746f 72000000 #..terminator... + 4acf0 42a70223 0408786d 69746564 5f627566 B..#..xmited_buf + 4ad00 5f686561 64000000 142e0223 0808786d _head......#..xm + 4ad10 69746564 5f627566 5f746169 6c000000 ited_buf_tail... + 4ad20 142e0223 0c000201 03000043 44040003 ...#.......CD... + 4ad30 000042ae 04000201 03000043 54040003 ..B........CT... + 4ad40 000042e0 04000201 03000043 64040002 ..B........Cd... + 4ad50 01030000 436d0400 02010300 00437604 ....Cm.......Cv. + 4ad60 00060000 142e0103 0000437f 04000201 ..........C..... + 4ad70 03000043 8c040006 0000142e 01030000 ...C............ + 4ad80 43950400 02010300 0043a204 00060000 C........C...... + 4ad90 01090103 000043ab 04000600 0042a701 ......C......B.. + 4ada0 03000043 b8040002 01030000 43c50400 ...C........C... + 4adb0 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. + 4adc0 40000045 3b085f69 6e697400 00004346 @..E;._init...CF + 4add0 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que + 4ade0 75650000 00435602 2304085f 696e6974 ue...CV.#.._init + 4adf0 5f74785f 71756575 65000000 43660223 _tx_queue...Cf.# + 4ae00 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que + 4ae10 75650000 00436f02 230c085f 786d6974 ue...Co.#.._xmit + 4ae20 5f627566 00000043 78022310 085f666c _buf...Cx.#.._fl + 4ae30 7573685f 786d6974 00000043 56022314 ush_xmit...CV.#. + 4ae40 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. + 4ae50 00004385 02231808 5f726574 75726e5f ..C..#.._return_ + 4ae60 72656376 5f627566 00000043 8e02231c recv_buf...C..#. + 4ae70 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu + 4ae80 66000000 439b0223 20085f73 7761705f f...C..# ._swap_ + 4ae90 64617461 00000043 a4022324 085f6861 data...C..#$._ha + 4aea0 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. + 4aeb0 000043b1 02232808 5f646573 635f6475 ..C..#(._desc_du + 4aec0 6d700000 00435602 232c085f 6765745f mp...CV.#,._get_ + 4aed0 7061636b 65740000 0043be02 2330085f packet...C..#0._ + 4aee0 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. + 4aef0 0043c702 2334085f 7075745f 7061636b .C..#4._put_pack + 4af00 65740000 0043c702 23380870 52657365 et...C..#8.pRese + 4af10 72766564 00000003 fe02233c 00095f41 rved......#<.._A + 4af20 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 4af30 6f6e5f74 61626c65 5f740000 00307a09 on_table_t...0z. + 4af40 574d495f 5356435f 41504953 00000040 WMI_SVC_APIS...@ + 4af50 e3175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 4af60 72656374 696f6e5f 7461626c 6500034c rection_table..L + 4af70 00004669 08636d6e 6f730000 00453b02 ..Fi.cmnos...E;. + 4af80 23000864 62670000 0003cb03 23b80308 #..dbg......#... + 4af90 68696600 00002945 0323c003 08687463 hif...)E.#...htc + 4afa0 0000003a 960323f8 0308776d 695f7376 ...:..#...wmi_sv + 4afb0 635f6170 69000000 455d0323 ac040875 c_api...E].#...u + 4afc0 73626669 666f5f61 70690000 00324e03 sbfifo_api...2N. + 4afd0 23d80408 6275665f 706f6f6c 00000036 #...buf_pool...6 + 4afe0 f70323e4 04087662 75660000 00145803 ..#...vbuf....X. + 4aff0 23800508 76646573 63000000 133a0323 #...vdesc....:.# + 4b000 94050861 6c6c6f63 72616d00 00000930 ...allocram....0 + 4b010 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. + 4b020 000043ce 0323b405 08646d61 5f6c6962 ..C..#...dma_lib + 4b030 0000002b d90323f4 05086869 665f7063 ...+..#...hif_pc + 4b040 69000000 2e390323 a8060006 000003fe i....9.#........ + 4b050 01095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 4b060 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 4b070 0000456f 02010201 02010600 00010901 ..Eo............ + 4b080 07564255 465f5155 45554500 08000046 .VBUF_QUEUE....F + 4b090 ca086865 61640000 00142e02 23000874 ..head......#..t + 4b0a0 61696c00 0000142e 02230400 075f4849 ail......#..._HI + 4b0b0 465f5553 425f434f 4e544558 54004400 F_USB_CONTEXT.D. + 4b0c0 00475908 68696643 62000000 28e10223 .GY.hifCb...(..# + 4b0d0 0008646e 51000000 42ae0223 0c087570 ..dnQ...B..#..up + 4b0e0 51000000 42e00223 14086870 646e5100 Q...B..#..hpdnQ. + 4b0f0 000042ae 02232408 6d70646e 51000000 ..B..#$.mpdnQ... + 4b100 42ae0223 2c08636d 64517565 75650000 B..#,.cmdQueue.. + 4b110 00142e02 23340865 76656e74 42756651 ....#4.eventBufQ + 4b120 00000046 9e022338 08705265 73657276 ...F..#8.pReserv + 4b130 65640000 0003fe02 23400009 4849465f ed......#@..HIF_ + 4b140 5553425f 434f4e54 45585400 000046ca USB_CONTEXT...F. + 4b150 1a675f68 69665553 42437478 00000047 .g_hifUSBCtx...G + 4b160 59050300 500a5401 06000014 2e010600 Y...P.T......... + 4b170 00142e01 03000047 86040003 0000478c .......G......G. + 4b180 04000300 00475904 000f0000 01101500 .....GY......... + 4b190 0047b410 14000300 0047a704 000f0000 .G.......G...... + 4b1a0 01101300 0047c810 12000300 0047bb04 .....G.......G.. + 4b1b0 001b0000 01911b00 00019103 000047d4 ..............G. + 4b1c0 04000f00 00011016 000047ed 10150003 ..........G..... + 4b1d0 000047e0 04000201 06000043 4d010600 ..G........CM... + 4b1e0 00010901 02010201 03000029 45040002 ...........)E... + 4b1f0 01030000 47fc0400 03000048 0204000f ....G......H.... + 4b200 00000110 14000048 2a101300 03000048 .......H*......H + 4b210 1d04000f 00000110 18000048 3e101700 ...........H>... + 4b220 03000048 31040003 000001a6 04000f00 ...H1........... + 4b230 00011011 00004859 10100003 0000484c ......HY......HL + 4b240 04000f00 00011012 0000486d 10110003 ..........Hm.... + 4b250 00004860 04001c01 27757362 6669666f ..H`....'usbfifo + 4b260 5f676574 5f636f6d 6d616e64 5f627566 _get_command_buf + 4b270 00000014 2e039201 20029000 008e3348 ........ .....3H + 4b280 008e335a 000048b0 1d627566 00000014 ..3Z..H..buf.... + 4b290 2e001e01 31757362 6669666f 5f726563 ....1usbfifo_rec + 4b2a0 765f636f 6d6d616e 64000103 92012002 v_command..... . + 4b2b0 9000008e 335c008e 33920000 49061f01 ....3\..3...I... + 4b2c0 31627566 00000014 2e01521d 63757272 1buf......R.curr + 4b2d0 56646573 63000000 13101d75 73624465 Vdesc......usbDe + 4b2e0 73630000 0042a700 1c014875 73626669 sc...B....Husbfi + 4b2f0 666f5f67 65745f65 76656e74 5f627566 fo_get_event_buf + 4b300 00000014 2e039201 20029000 008e3394 ........ .....3. + 4b310 008e33b2 00004940 1d627566 00000014 ..3...I@.buf.... + 4b320 2e001e01 58757362 6669666f 5f73656e ....Xusbfifo_sen + 4b330 645f6576 656e745f 646f6e65 00010392 d_event_done.... + 4b340 01200290 00008e33 b4008e33 c5000049 . .....3...3...I + 4b350 7d1f0158 62756600 0000142e 01520020 }..Xbuf......R. + 4b360 01645f48 49467573 625f696e 69740000 .d_HIFusb_init.. + 4b370 0028a201 01039201 30029000 008e33c8 .(......0.....3. + 4b380 008e341b 000049c8 1f016470 436f6e66 ..4...I...dpConf + 4b390 69670000 0028c401 52217573 62666966 ig...(..R!usbfif + 4b3a0 6f000000 32290291 50002201 835f4849 o...2)..P.".._HI + 4b3b0 46757362 5f736875 74646f77 6e000101 Fusb_shutdown... + 4b3c0 03920120 02900000 8e341c00 8e342100 ... .....4...4!. + 4b3d0 004a021f 01836861 6e646c65 00000028 .J....handle...( + 4b3e0 a2015200 2201885f 48494675 73625f72 ..R.".._HIFusb_r + 4b3f0 65676973 7465725f 63616c6c 6261636b egister_callback + 4b400 00010103 92012002 9000008e 3424008e ...... .....4$.. + 4b410 34380000 4a561f01 8868616e 646c6500 48..JV...handle. + 4b420 000028a2 01521f01 8870436f 6e666967 ..(..R...pConfig + 4b430 00000028 f3015300 2201945f 48494675 ...(..S.".._HIFu + 4b440 73625f73 74617274 00010103 92012002 sb_start...... . + 4b450 9000008e 3438008e 34b20000 4a8d1f01 ....48..4...J... + 4b460 9468616e 646c6500 000028a2 01520023 .handle...(..R.# + 4b470 01b95f48 49467573 625f6765 745f7265 .._HIFusb_get_re + 4b480 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 4b490 00000109 01039201 20029000 008e34b4 ........ .....4. + 4b4a0 008e34bb 00004ad7 1f01b968 616e646c ..4...J....handl + 4b4b0 65000000 28a20152 001e01d4 656e6162 e...(..R....enab + 4b4c0 6c655f72 78000103 92012002 9000008e le_rx..... ..... + 4b4d0 34bc008e 34e70000 4b071f01 d4706970 4...4...K....pip + 4b4e0 65000000 01090152 002301e9 6765745f e......R.#..get_ + 4b4f0 71756575 655f6672 6f6d5f70 69706500 queue_from_pipe. + 4b500 0000434d 01039201 20029000 008e34e8 ..CM.... .....4. + 4b510 008e3506 00004b4c 1f01e970 69706500 ..5...KL...pipe. + 4b520 00000109 01521d71 00000043 4d002401 .....R.q...CM.$. + 4b530 01075f48 49467573 625f636f 6e666967 .._HIFusb_config + 4b540 5f706970 65000101 01039201 30029000 _pipe.......0... + 4b550 008e3508 008e35b3 00004bd3 25010107 ..5...5...K.%... + 4b560 68616e64 6c650000 0028a201 52250101 handle...(..R%.. + 4b570 07706970 65000000 01090153 25010107 .pipe......S%... + 4b580 63726564 6974436f 756e7400 00000109 creditCount..... + 4b590 01541d68 65616400 00001310 1d690000 .T.head......i.. + 4b5a0 0001091d 64657363 00000013 101d7100 ....desc......q. + 4b5b0 0000434d 00260101 4f5f4849 46757362 ..CM.&..O_HIFusb + 4b5c0 5f73656e 645f6275 66666572 00000001 _send_buffer.... + 4b5d0 09010101 03920120 02900000 8e35b400 ....... .....5.. + 4b5e0 8e361200 004c3425 01014f68 616e646c .6...L4%..Ohandl + 4b5f0 65000000 28a20152 2501014f 70697065 e...(..R%..Opipe + 4b600 00000001 09015325 01014f62 75660000 ......S%..Obuf.. + 4b610 00142e01 54002701 01605f48 49467573 ....T.'..`_HIFus + 4b620 625f7265 7475726e 5f726563 765f6275 b_return_recv_bu + 4b630 66000101 03920120 02900000 8e361400 f...... .....6.. + 4b640 8e363e00 004c9b25 01016068 616e646c .6>..L.%..`handl + 4b650 65000000 28a20152 25010160 70697065 e...(..R%..`pipe + 4b660 00000001 09015325 01016062 75660000 ......S%..`buf.. + 4b670 00142e01 541d7100 0000434d 00270101 ....T.q...CM.'.. + 4b680 725f4849 46757362 5f736574 5f726563 r_HIFusb_set_rec + 4b690 765f6275 66737a00 01010392 01200290 v_bufsz...... .. + 4b6a0 00008e36 40008e36 4500004c fc250101 ...6@..6E..L.%.. + 4b6b0 7268616e 646c6500 000028a2 01522501 rhandle...(..R%. + 4b6c0 01727069 70650000 00010901 53250101 .rpipe......S%.. + 4b6d0 72627566 737a0000 00010901 54002701 rbufsz......T.'. + 4b6e0 01785f48 49467573 625f7061 7573655f .x_HIFusb_pause_ + 4b6f0 72656376 00010103 92012002 9000008e recv...... ..... + 4b700 3648008e 364d0000 4d492501 01786861 6H..6M..MI%..xha + 4b710 6e646c65 00000028 a2015225 01017870 ndle...(..R%..xp + 4b720 69706500 00000109 01530027 01017d5f ipe......S.'..}_ + 4b730 48494675 73625f72 6573756d 655f7265 HIFusb_resume_re + 4b740 63760001 01039201 20029000 008e3650 cv...... .....6P + 4b750 008e3655 00004d97 2501017d 68616e64 ..6U..M.%..}hand + 4b760 6c650000 0028a201 52250101 7d706970 le...(..R%..}pip + 4b770 65000000 01090153 00280101 825f4849 e......S.(..._HI + 4b780 46757362 5f69735f 70697065 5f737570 Fusb_is_pipe_sup + 4b790 706f7274 65640000 00010901 01039201 ported.......... + 4b7a0 20029000 008e3658 008e3668 00004def .....6X..6h..M. + 4b7b0 25010182 68616e64 6c650000 0028a201 %...handle...(.. + 4b7c0 52250101 82706970 65000000 01090153 R%...pipe......S + 4b7d0 00280101 8b5f4849 46757362 5f676574 .(..._HIFusb_get + 4b7e0 5f6d6178 5f6d7367 5f6c656e 00000001 _max_msg_len.... + 4b7f0 09010103 92012002 9000008e 3668008e ...... .....6h.. + 4b800 367a0000 4e452501 018b6861 6e646c65 6z..NE%...handle + 4b810 00000028 a2015225 01018b70 69706500 ...(..R%...pipe. + 4b820 00000109 01530024 0102065f 48494675 .....S.$..._HIFu + 4b830 73625f69 73725f68 616e646c 65720001 sb_isr_handler.. + 4b840 01010392 01200290 00008e36 7c008e37 ..... .....6|..7 + 4b850 2900004e 95250102 06680000 0028a201 )..N.%...h...(.. + 4b860 521d696e 74720000 0001911d 63686563 R.intr......chec + 4b870 6b000000 01090027 0102515f 48494675 k......'..Q_HIFu + 4b880 73625f67 65745f64 65666175 6c745f70 sb_get_default_p + 4b890 69706500 01010392 01200290 00008e37 ipe...... .....7 + 4b8a0 2c008e37 3b00004f 07250102 5168616e ,..7;..O.%..Qhan + 4b8b0 646c6500 000028a2 01522501 02517069 dle...(..R%..Qpi + 4b8c0 70655f75 706c696e 6b000000 12dc0153 pe_uplink......S + 4b8d0 25010251 70697065 5f646f77 6e6c696e %..Qpipe_downlin + 4b8e0 6b000000 12dc0154 00270102 59686966 k......T.'..Yhif + 4b8f0 5f757362 5f6d6f64 756c655f 696e7374 _usb_module_inst + 4b900 616c6c00 01010392 01200290 00008e37 all...... .....7 + 4b910 3c008e37 7d00004f 47250102 59617069 <..7}..OG%..Yapi + 4b920 73000000 48060152 00290102 6d484946 s...H..R.)..mHIF + 4b930 7573625f 44657363 54726163 6544756d usb_DescTraceDum + 4b940 70000101 03920120 02900000 8e378000 p...... .....7.. + 4b950 8e381c00 00000053 0f000200 001be804 .8.....S........ + 4b960 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 4b970 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 4b980 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 4b990 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ + 4b9a0 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ + 4b9b0 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 4b9c0 69662f75 73622f73 72632f75 73625f61 if/usb/src/usb_a + 4b9d0 70692e63 002f726f 6f742f57 6f726b73 pi.c./root/Works + 4b9e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 4b9f0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 4ba00 315f312f 726f6d2f 6869662f 75736200 1_1/rom/hif/usb. + 4ba10 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 + 4ba20 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst + 4ba30 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 + 4ba40 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. + 4ba50 00000106 6302756e 7369676e 65642063 ....c.unsigned c + 4ba60 68617200 07010375 696e7438 5f740000 har....uint8_t.. + 4ba70 00010002 73686f72 7420756e 7369676e ....short unsign + 4ba80 65642069 6e740007 02037569 6e743136 ed int....uint16 + 4ba90 5f740000 00011e04 53657475 705f5061 _t......Setup_Pa + 4baa0 636b6574 000c0000 01bf0544 69726563 cket.......Direc + 4bab0 74696f6e 00000001 11022300 05547970 tion......#..Typ + 4bac0 65000000 01110223 01054f62 6a656374 e......#..Object + 4bad0 00000001 11022302 05526571 75657374 ......#..Request + 4bae0 00000001 34022304 0556616c 75650000 ....4.#..Value.. + 4baf0 00013402 23060549 6e646578 00000001 ..4.#..Index.... + 4bb00 34022308 054c656e 67746800 00000134 4.#..Length....4 + 4bb10 02230a00 06010700 0001bf04 0002696e .#............in + 4bb20 74000504 02636861 72000701 08000001 t....char....... + 4bb30 cf080000 01cf0700 0001dc04 00090000 ................ + 4bb40 01c80107 000001e8 04000470 72696e74 ...........print + 4bb50 665f6170 69000800 00022c05 5f707269 f_api.....,._pri + 4bb60 6e74665f 696e6974 00000001 c1022300 ntf_init......#. + 4bb70 055f7072 696e7466 00000001 ee022304 ._printf......#. + 4bb80 00026c6f 6e672075 6e736967 6e656420 ..long unsigned + 4bb90 696e7400 07040375 696e7433 325f7400 int....uint32_t. + 4bba0 0000022c 04756172 745f6669 666f0008 ...,.uart_fifo.. + 4bbb0 0000029a 05737461 72745f69 6e646578 .....start_index + 4bbc0 00000001 34022300 05656e64 5f696e64 ....4.#..end_ind + 4bbd0 65780000 00013402 2302056f 76657272 ex....4.#..overr + 4bbe0 756e5f65 72720000 00024102 23040004 un_err....A.#... + 4bbf0 75617274 5f617069 00200000 0353055f uart_api. ...S._ + 4bc00 75617274 5f696e69 74000000 03aa0223 uart_init......# + 4bc10 00055f75 6172745f 63686172 5f707574 .._uart_char_put + 4bc20 00000003 b3022304 055f7561 72745f63 ......#.._uart_c + 4bc30 6861725f 67657400 000003c7 02230805 har_get......#.. + 4bc40 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 4bc50 03d00223 0c055f75 6172745f 7461736b ...#.._uart_task + 4bc60 00000001 c1022310 055f7561 72745f73 ......#.._uart_s + 4bc70 74617475 73000000 03aa0223 14055f75 tatus......#.._u + 4bc80 6172745f 636f6e66 69670000 0003d902 art_config...... + 4bc90 2318055f 75617274 5f687769 6e697400 #.._uart_hwinit. + 4bca0 000003e2 02231c00 07000002 9a040004 .....#.......... + 4bcb0 75617274 5f626c6b 00100000 03a40564 uart_blk.......d + 4bcc0 65627567 5f6d6f64 65000000 01340223 ebug_mode....4.# + 4bcd0 00056261 75640000 00013402 2302055f ..baud....4.#.._ + 4bce0 75617274 00000003 53022304 055f7478 uart....S.#.._tx + 4bcf0 00000002 4f022308 00090000 02410107 ....O.#......A.. + 4bd00 000003a4 04000601 07000003 b1040007 ................ + 4bd10 00000111 04000900 00013401 07000003 ..........4..... + 4bd20 c1040006 01070000 03ce0400 06010700 ................ + 4bd30 0003d704 00060107 000003e0 04000700 ................ + 4bd40 0001cf04 00090000 01c80107 000003f0 ................ + 4bd50 04000444 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST + 4bd60 52554354 000c0000 04480563 6d645f73 RUCT.....H.cmd_s + 4bd70 74720000 0003e902 23000568 656c705f tr......#..help_ + 4bd80 73747200 000003e9 02230405 636d645f str......#..cmd_ + 4bd90 66756e63 00000003 f6022308 00046462 func......#...db + 4bda0 675f6170 69000800 00047b05 5f646267 g_api.....{._dbg + 4bdb0 5f696e69 74000000 01c10223 00055f64 _init......#.._d + 4bdc0 62675f74 61736b00 000001c1 02230400 bg_task......#.. + 4bdd0 0a040002 756e7369 676e6564 20696e74 ....unsigned int + 4bde0 00070409 0000047b 01070000 048e0400 .......{........ + 4bdf0 0b0b0700 00049c04 00090000 047b0107 .............{.. + 4be00 000004a4 04000900 0001c801 07000004 ................ + 4be10 b1040004 6d656d5f 61706900 14000005 ....mem_api..... + 4be20 20055f6d 656d5f69 6e697400 000001c1 ._mem_init..... + 4be30 02230005 5f6d656d 73657400 00000494 .#.._memset..... + 4be40 02230405 5f6d656d 63707900 000004aa .#.._memcpy..... + 4be50 02230805 5f6d656d 6d6f7665 00000004 .#.._memmove.... + 4be60 aa02230c 055f6d65 6d636d70 00000004 ..#.._memcmp.... + 4be70 b7022310 000c7265 67697374 65725f64 ..#...register_d + 4be80 756d705f 73000001 07000005 20040006 ump_s....... ... + 4be90 01070000 053a0400 06010700 00054304 .....:........C. + 4bea0 00090000 01c80107 0000054c 04000d68 ...........L...h + 4beb0 6f737469 665f7300 04000005 a80e4849 ostif_s.......HI + 4bec0 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE + 4bed0 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI + 4bee0 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. + 4bef0 040e4849 465f4e4f 4e450005 0003415f ..HIF_NONE....A_ + 4bf00 484f5354 49460000 00055909 000005a8 HOSTIF....Y..... + 4bf10 01070000 05b60400 09000001 11010700 ................ + 4bf20 0005c304 00090000 01340107 000005d0 .........4...... + 4bf30 0400046d 6973635f 61706900 24000006 ...misc_api.$... + 4bf40 c0055f73 79737465 6d5f7265 73657400 .._system_reset. + 4bf50 000001c1 02230005 5f6d6163 5f726573 .....#.._mac_res + 4bf60 65740000 0001c102 2304055f 61737366 et......#.._assf + 4bf70 61696c00 0000053c 02230805 5f6d6973 ail....<.#.._mis + 4bf80 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han + 4bf90 646c6572 00000005 3c02230c 055f7265 dler....<.#.._re + 4bfa0 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ + 4bfb0 686f7374 00000005 45022310 055f7461 host....E.#.._ta + 4bfc0 72676574 5f69645f 67657400 00000552 rget_id_get....R + 4bfd0 02231405 5f69735f 686f7374 5f707265 .#.._is_host_pre + 4bfe0 73656e74 00000005 bc022318 055f6b62 sent......#.._kb + 4bff0 68697400 000005c9 02231c05 5f726f6d hit......#.._rom + 4c000 5f766572 73696f6e 5f676574 00000005 _version_get.... + 4c010 d6022320 00090000 03e90107 000006c0 ..# ............ + 4c020 04000900 0003e901 07000006 cd040009 ................ + 4c030 000001c8 01070000 06da0400 09000001 ................ + 4c040 c8010700 0006e704 00090000 01c80107 ................ + 4c050 000006f4 04000473 7472696e 675f6170 .......string_ap + 4c060 69001800 00077a05 5f737472 696e675f i.....z._string_ + 4c070 696e6974 00000001 c1022300 055f7374 init......#.._st + 4c080 72637079 00000006 c6022304 055f7374 rcpy......#.._st + 4c090 726e6370 79000000 06d30223 08055f73 rncpy......#.._s + 4c0a0 74726c65 6e000000 06e00223 0c055f73 trlen......#.._s + 4c0b0 7472636d 70000000 06ed0223 10055f73 trcmp......#.._s + 4c0c0 74726e63 6d700000 0006fa02 2314000f trncmp......#... + 4c0d0 0000047e 14000007 87100400 035f415f ...~........._A_ + 4c0e0 54494d45 525f5350 41434500 0000077a TIMER_SPACE....z + 4c0f0 03415f74 696d6572 5f740000 00078707 .A_timer_t...... + 4c100 0000079b 04000601 07000007 b1040006 ................ + 4c110 01070000 07ba0400 03415f48 414e444c .........A_HANDL + 4c120 45000000 047e0601 03415f54 494d4552 E....~...A_TIMER + 4c130 5f46554e 43000000 07d10700 0007d304 _FUNC........... + 4c140 00060107 000007ec 04000474 696d6572 ...........timer + 4c150 5f617069 00140000 086b055f 74696d65 _api.....k._time + 4c160 725f696e 69740000 0001c102 2300055f r_init......#.._ + 4c170 74696d65 725f6172 6d000000 07b30223 timer_arm......# + 4c180 04055f74 696d6572 5f646973 61726d00 .._timer_disarm. + 4c190 000007bc 02230805 5f74696d 65725f73 .....#.._timer_s + 4c1a0 6574666e 00000007 ee02230c 055f7469 etfn......#.._ti + 4c1b0 6d65725f 72756e00 000001c1 02231000 mer_run......#.. + 4c1c0 03424f4f 4c45414e 00000001 34090000 .BOOLEAN....4... + 4c1d0 086b0107 00000878 04000900 00086b01 .k.....x......k. + 4c1e0 07000008 85040009 0000086b 01070000 ...........k.... + 4c1f0 08920400 04726f6d 705f6170 69001000 .....romp_api... + 4c200 00090405 5f726f6d 705f696e 69740000 ...._romp_init.. + 4c210 0001c102 2300055f 726f6d70 5f646f77 ....#.._romp_dow + 4c220 6e6c6f61 64000000 087e0223 04055f72 nload....~.#.._r + 4c230 6f6d705f 696e7374 616c6c00 0000088b omp_install..... + 4c240 02230805 5f726f6d 705f6465 636f6465 .#.._romp_decode + 4c250 00000008 9802230c 0004726f 6d5f7061 ......#...rom_pa + 4c260 7463685f 73740010 00000960 05637263 tch_st.....`.crc + 4c270 31360000 00013402 2300056c 656e0000 16....4.#..len.. + 4c280 00013402 2302056c 645f6164 64720000 ..4.#..ld_addr.. + 4c290 00024102 23040566 756e5f61 64647200 ..A.#..fun_addr. + 4c2a0 00000241 02230805 7066756e 00000003 ...A.#..pfun.... + 4c2b0 ba02230c 00046565 705f7265 6469725f ..#...eep_redir_ + 4c2c0 61646472 00040000 0992056f 66667365 addr.......offse + 4c2d0 74000000 01340223 00057369 7a650000 t....4.#..size.. + 4c2e0 00013402 23020003 415f5549 4e543332 ..4.#...A_UINT32 + 4c2f0 00000004 7e090000 047b0107 000009a0 ....~....{...... + 4c300 04000461 6c6c6f63 72616d5f 61706900 ...allocram_api. + 4c310 0c00000a 1105636d 6e6f735f 616c6c6f ......cmnos_allo + 4c320 6372616d 5f696e69 74000000 09a60223 cram_init......# + 4c330 0005636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 4c340 00000009 a6022304 05636d6e 6f735f61 ......#..cmnos_a + 4c350 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... + 4c360 01c10223 08000601 0700000a 11040003 ...#............ + 4c370 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. + 4c380 000a1304 5f746173 6b6c6574 00100000 ...._tasklet.... + 4c390 0a720566 756e6300 00000a1a 02230005 .r.func......#.. + 4c3a0 61726700 0000047b 02230405 73746174 arg....{.#..stat + 4c3b0 65000000 01c80223 08056e65 78740000 e......#..next.. + 4c3c0 000a7202 230c0007 00000a2e 04000700 ..r.#........... + 4c3d0 000a2e04 0003415f 7461736b 6c65745f ......A_tasklet_ + 4c3e0 74000000 0a2e0700 000a8004 00060107 t............... + 4c3f0 00000a98 04000601 0700000a a1040004 ................ + 4c400 7461736b 6c65745f 61706900 1400000b tasklet_api..... + 4c410 36055f74 61736b6c 65745f69 6e697400 6._tasklet_init. + 4c420 000001c1 02230005 5f746173 6b6c6574 .....#.._tasklet + 4c430 5f696e69 745f7461 736b0000 000a9a02 _init_task...... + 4c440 2304055f 7461736b 6c65745f 64697361 #.._tasklet_disa + 4c450 626c6500 00000aa3 02230805 5f746173 ble......#.._tas + 4c460 6b6c6574 5f736368 6564756c 65000000 klet_schedule... + 4c470 0aa30223 0c055f74 61736b6c 65745f72 ...#.._tasklet_r + 4c480 756e0000 0001c102 23100006 01070000 un......#....... + 4c490 0b360400 09000009 92010700 000b3f04 .6............?. + 4c4a0 00060107 00000b4c 04000463 6c6f636b .......L...clock + 4c4b0 5f617069 00240000 0c2e055f 636c6f63 _api.$....._cloc + 4c4c0 6b5f696e 69740000 000b3802 2300055f k_init....8.#.._ + 4c4d0 636c6f63 6b726567 735f696e 69740000 clockregs_init.. + 4c4e0 0001c102 2304055f 75617274 5f667265 ....#.._uart_fre + 4c4f0 7175656e 63790000 000b4502 2308055f quency....E.#.._ + 4c500 64656c61 795f7573 0000000b 4e02230c delay_us....N.#. + 4c510 055f776c 616e5f62 616e645f 73657400 ._wlan_band_set. + 4c520 00000b4e 02231005 5f726566 636c6b5f ...N.#.._refclk_ + 4c530 73706565 645f6765 74000000 0b450223 speed_get....E.# + 4c540 14055f6d 696c6c69 7365636f 6e647300 .._milliseconds. + 4c550 00000b45 02231805 5f737973 636c6b5f ...E.#.._sysclk_ + 4c560 6368616e 67650000 0001c102 231c055f change......#.._ + 4c570 636c6f63 6b5f7469 636b0000 0001c102 clock_tick...... + 4c580 23200009 00000241 01070000 0c2e0400 # .....A........ + 4c590 03415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... + 4c5a0 02410900 000c3b01 0700000c 4d040006 .A....;.....M... + 4c5b0 01070000 0c5a0400 06010700 000c6304 .....Z........c. + 4c5c0 00090000 02410107 00000c6c 04000341 .....A.....l...A + 4c5d0 5f697372 5f740000 000c7206 01070000 _isr_t....r..... + 4c5e0 0c860400 09000004 7e010700 000c8f04 ........~....... + 4c5f0 00060107 00000c9c 04000469 6e74725f ...........intr_ + 4c600 61706900 2c00000d be055f69 6e74725f api.,....._intr_ + 4c610 696e6974 00000001 c1022300 055f696e init......#.._in + 4c620 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... + 4c630 0c340223 04055f69 6e74725f 64697361 .4.#.._intr_disa + 4c640 626c6500 00000c53 02230805 5f696e74 ble....S.#.._int + 4c650 725f7265 73746f72 65000000 0c5c0223 r_restore....\.# + 4c660 0c055f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu + 4c670 6d000000 0c650223 10055f69 6e74725f m....e.#.._intr_ + 4c680 756e6d61 736b5f69 6e756d00 00000c65 unmask_inum....e + 4c690 02231405 5f696e74 725f6174 74616368 .#.._intr_attach + 4c6a0 5f697372 0000000c 88022318 055f6765 _isr......#.._ge + 4c6b0 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 4c6c0 9502231c 055f7365 745f696e 7472656e ..#.._set_intren + 4c6d0 61626c65 0000000c 9e022320 055f6765 able......# ._ge + 4c6e0 745f696e 74727065 6e64696e 67000000 t_intrpending... + 4c6f0 0c950223 24055f75 6e626c6f 636b5f61 ...#$._unblock_a + 4c700 6c6c5f69 6e74726c 766c0000 0001c102 ll_intrlvl...... + 4c710 23280011 0400000d e4057469 6d656f75 #(........timeou + 4c720 74000000 02410223 00056163 74696f6e t....A.#..action + 4c730 00000002 41022300 00120800 000dff05 ....A.#......... + 4c740 636d6400 00000241 02230013 00000dbe cmd....A.#...... + 4c750 02230400 03545f57 44545f43 4d440000 .#...T_WDT_CMD.. + 4c760 000de406 01070000 0e0e0400 14040000 ................ + 4c770 0e640e45 4e554d5f 5744545f 424f4f54 .d.ENUM_WDT_BOOT + 4c780 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO + 4c790 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO + 4c7a0 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW + 4c7b0 4e5f424f 4f540004 0003545f 424f4f54 N_BOOT....T_BOOT + 4c7c0 5f545950 45000000 0e170900 000e6401 _TYPE.........d. + 4c7d0 0700000e 75040004 7764745f 61706900 ....u...wdt_api. + 4c7e0 1c00000f 19055f77 64745f69 6e697400 ......_wdt_init. + 4c7f0 000001c1 02230005 5f776474 5f656e61 .....#.._wdt_ena + 4c800 626c6500 000001c1 02230405 5f776474 ble......#.._wdt + 4c810 5f646973 61626c65 00000001 c1022308 _disable......#. + 4c820 055f7764 745f7365 74000000 0e100223 ._wdt_set......# + 4c830 0c055f77 64745f74 61736b00 000001c1 .._wdt_task..... + 4c840 02231005 5f776474 5f726573 65740000 .#.._wdt_reset.. + 4c850 0001c102 2314055f 7764745f 6c617374 ....#.._wdt_last + 4c860 5f626f6f 74000000 0e7b0223 18001404 _boot....{.#.... + 4c870 00000f80 0e524554 5f535543 43455353 .....RET_SUCCESS + 4c880 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. + 4c890 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. + 4c8a0 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP + 4c8b0 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER + 4c8c0 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO + 4c8d0 574e0005 0003545f 4545505f 52455400 WN....T_EEP_RET. + 4c8e0 00000f19 07000001 34040009 00000f80 ........4....... + 4c8f0 01070000 0f960400 0900000f 80010700 ................ + 4c900 000fa304 00046565 705f6170 69001000 ......eep_api... + 4c910 00100c05 5f656570 5f696e69 74000000 ...._eep_init... + 4c920 01c10223 00055f65 65705f72 65616400 ...#.._eep_read. + 4c930 00000f9c 02230405 5f656570 5f777269 .....#.._eep_wri + 4c940 74650000 000f9c02 2308055f 6565705f te......#.._eep_ + 4c950 69735f65 78697374 0000000f a902230c is_exist......#. + 4c960 00047573 625f6170 69007000 0012b905 ..usb_api.p..... + 4c970 5f757362 5f696e69 74000000 01c10223 _usb_init......# + 4c980 00055f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. + 4c990 000001c1 02230405 5f757362 5f66775f .....#.._usb_fw_ + 4c9a0 7461736b 00000001 c1022308 055f7573 task......#.._us + 4c9b0 625f696e 69745f70 68790000 0001c102 b_init_phy...... + 4c9c0 230c055f 7573625f 6570305f 73657475 #.._usb_ep0_setu + 4c9d0 70000000 01c10223 10055f75 73625f65 p......#.._usb_e + 4c9e0 70305f74 78000000 01c10223 14055f75 p0_tx......#.._u + 4c9f0 73625f65 70305f72 78000000 01c10223 sb_ep0_rx......# + 4ca00 18055f75 73625f67 65745f69 6e746572 .._usb_get_inter + 4ca10 66616365 00000008 8b02231c 055f7573 face......#.._us + 4ca20 625f7365 745f696e 74657266 61636500 b_set_interface. + 4ca30 0000088b 02232005 5f757362 5f676574 .....# ._usb_get + 4ca40 5f636f6e 66696775 72617469 6f6e0000 _configuration.. + 4ca50 00088b02 2324055f 7573625f 7365745f ....#$._usb_set_ + 4ca60 636f6e66 69677572 6174696f 6e000000 configuration... + 4ca70 088b0223 28055f75 73625f73 74616e64 ...#(._usb_stand + 4ca80 6172645f 636d6400 0000088b 02232c05 ard_cmd......#,. + 4ca90 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. + 4caa0 000001c1 02233005 5f757362 5f706f77 .....#0._usb_pow + 4cab0 65725f6f 66660000 0001c102 2334055f er_off......#4._ + 4cac0 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. + 4cad0 0001c102 2338055f 7573625f 67656e5f ....#8._usb_gen_ + 4cae0 77647400 000001c1 02233c05 5f757362 wdt......#<._usb + 4caf0 5f6a756d 705f626f 6f740000 0001c102 _jump_boot...... + 4cb00 2340055f 7573625f 636c725f 66656174 #@._usb_clr_feat + 4cb10 75726500 0000088b 02234405 5f757362 ure......#D._usb + 4cb20 5f736574 5f666561 74757265 00000008 _set_feature.... + 4cb30 8b022348 055f7573 625f7365 745f6164 ..#H._usb_set_ad + 4cb40 64726573 73000000 088b0223 4c055f75 dress......#L._u + 4cb50 73625f67 65745f64 65736372 6970746f sb_get_descripto + 4cb60 72000000 088b0223 50055f75 73625f67 r......#P._usb_g + 4cb70 65745f73 74617475 73000000 088b0223 et_status......# + 4cb80 54055f75 73625f73 65747570 5f646573 T._usb_setup_des + 4cb90 63000000 01c10223 58055f75 73625f72 c......#X._usb_r + 4cba0 65675f6f 75740000 0001c102 235c055f eg_out......#\._ + 4cbb0 7573625f 73746174 75735f69 6e000000 usb_status_in... + 4cbc0 01c10223 60055f75 73625f65 70305f74 ...#`._usb_ep0_t + 4cbd0 785f6461 74610000 0001c102 2364055f x_data......#d._ + 4cbe0 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. + 4cbf0 000001c1 02236805 5f757362 5f636c6b .....#h._usb_clk + 4cc00 5f696e69 74000000 01c10223 6c00045f _init......#l.._ + 4cc10 56444553 43002400 00134505 6e657874 VDESC.$...E.next + 4cc20 5f646573 63000000 13450223 00056275 _desc....E.#..bu + 4cc30 665f6164 64720000 00135902 23040562 f_addr....Y.#..b + 4cc40 75665f73 697a6500 00001360 02230805 uf_size....`.#.. + 4cc50 64617461 5f6f6666 73657400 00001360 data_offset....` + 4cc60 02230a05 64617461 5f73697a 65000000 .#..data_size... + 4cc70 13600223 0c05636f 6e74726f 6c000000 .`.#..control... + 4cc80 13600223 0e056877 5f646573 635f6275 .`.#..hw_desc_bu + 4cc90 66000000 136e0223 10000700 0012b904 f....n.#........ + 4cca0 0003415f 55494e54 38000000 01000700 ..A_UINT8....... + 4ccb0 00134c04 0003415f 55494e54 31360000 ..L...A_UINT16.. + 4ccc0 00011e0f 0000134c 14000013 7b101300 .......L....{... + 4ccd0 07000012 b9040003 56444553 43000000 ........VDESC... + 4cce0 12b90700 00138204 00090000 138d0107 ................ + 4ccf0 00001394 04000900 00135901 07000013 ..........Y..... + 4cd00 a1040006 01070000 13ae0400 04766465 .............vde + 4cd10 73635f61 70690014 00001426 055f696e sc_api.....&._in + 4cd20 69740000 000b4e02 2300055f 616c6c6f it....N.#.._allo + 4cd30 635f7664 65736300 0000139a 02230405 c_vdesc......#.. + 4cd40 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... + 4cd50 a7022308 055f7377 61705f76 64657363 ..#.._swap_vdesc + 4cd60 00000013 b002230c 05705265 73657276 ......#..pReserv + 4cd70 65640000 00047b02 23100004 5f564255 ed....{.#..._VBU + 4cd80 46002000 00148605 64657363 5f6c6973 F. .....desc_lis + 4cd90 74000000 138d0223 00056e65 78745f62 t......#..next_b + 4cda0 75660000 00148602 23040562 75665f6c uf......#..buf_l + 4cdb0 656e6774 68000000 13600223 08057265 ength....`.#..re + 4cdc0 73657276 65640000 00148d02 230a0563 served......#..c + 4cdd0 74780000 00136e02 230c0007 00001426 tx....n.#......& + 4cde0 04000f00 00134c02 0000149a 10010007 ......L......... + 4cdf0 00001426 04000356 42554600 00001426 ...&...VBUF....& + 4ce00 07000014 a1040009 000014ab 01070000 ................ + 4ce10 14b20400 09000014 ab010700 0014bf04 ................ + 4ce20 00060107 000014cc 04000476 6275665f ...........vbuf_ + 4ce30 61706900 14000015 4a055f69 6e697400 api.....J._init. + 4ce40 00000b4e 02230005 5f616c6c 6f635f76 ...N.#.._alloc_v + 4ce50 62756600 000014b8 02230405 5f616c6c buf......#.._all + 4ce60 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz + 4ce70 65000000 14c50223 08055f66 7265655f e......#.._free_ + 4ce80 76627566 00000014 ce02230c 05705265 vbuf......#..pRe + 4ce90 73657276 65640000 00047b02 23100004 served....{.#... + 4cea0 5f5f6164 665f6465 76696365 00040000 __adf_device.... + 4ceb0 156c0564 756d6d79 00000001 c8022300 .l.dummy......#. + 4cec0 00070000 09920400 045f5f61 64665f64 .........__adf_d + 4ced0 6d615f6d 6170000c 000015b3 05627566 ma_map.......buf + 4cee0 00000014 ab022300 0564735f 61646472 ......#..ds_addr + 4cef0 00000015 6c022304 0564735f 6c656e00 ....l.#..ds_len. + 4cf00 00001360 02230800 120c0000 15ed055f ...`.#........._ + 4cf10 5f76615f 73746b00 000003e9 02230005 _va_stk......#.. + 4cf20 5f5f7661 5f726567 00000003 e9022304 __va_reg......#. + 4cf30 055f5f76 615f6e64 78000000 01c80223 .__va_ndx......# + 4cf40 0800035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 4cf50 61646472 5f740000 00099203 6164665f addr_t......adf_ + 4cf60 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 4cf70 15ed035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 4cf80 73697a65 5f740000 00099203 6164665f size_t......adf_ + 4cf90 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 4cfa0 161d045f 5f646d61 5f736567 73000800 ...__dma_segs... + 4cfb0 00167905 70616464 72000000 16060223 ..y.paddr......# + 4cfc0 00056c65 6e000000 16360223 0400035f ..len....6.#..._ + 4cfd0 5f615f75 696e7433 325f7400 00000992 _a_uint32_t..... + 4cfe0 03615f75 696e7433 325f7400 00001679 .a_uint32_t....y + 4cff0 0f000016 4d080000 16a81000 00046164 ....M.........ad + 4d000 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 4d010 000c0000 16e1056e 73656773 00000016 .......nsegs.... + 4d020 8b022300 05646d61 5f736567 73000000 ..#..dma_segs... + 4d030 169b0223 0400035f 5f615f75 696e7438 ...#...__a_uint8 + 4d040 5f740000 00134c03 615f7569 6e74385f _t....L.a_uint8_ + 4d050 74000000 16e10700 0016f204 00045f5f t.............__ + 4d060 73675f73 65677300 08000017 33057661 sg_segs.....3.va + 4d070 64647200 00001701 02230005 6c656e00 ddr......#..len. + 4d080 0000168b 02230400 0f000017 08200000 .....#....... .. + 4d090 17401003 00046164 665f6f73 5f73676c .@....adf_os_sgl + 4d0a0 69737400 24000017 73056e73 65677300 ist.$...s.nsegs. + 4d0b0 0000168b 02230005 73675f73 65677300 .....#..sg_segs. + 4d0c0 00001733 02230400 12100000 17bc0576 ...3.#.........v + 4d0d0 656e646f 72000000 168b0223 00056465 endor......#..de + 4d0e0 76696365 00000016 8b022304 05737562 vice......#..sub + 4d0f0 76656e64 6f720000 00168b02 23080573 vendor......#..s + 4d100 75626465 76696365 00000016 8b02230c ubdevice......#. + 4d110 00026c6f 6e67206c 6f6e6720 756e7369 ..long long unsi + 4d120 676e6564 20696e74 00070803 415f5549 gned int....A_UI + 4d130 4e543634 00000017 bc035f5f 615f7569 NT64......__a_ui + 4d140 6e743634 5f740000 0017d603 615f7569 nt64_t......a_ui + 4d150 6e743634 5f740000 0017e414 04000018 nt64_t.......... + 4d160 420e4144 465f4f53 5f524553 4f555243 B.ADF_OS_RESOURC + 4d170 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF + 4d180 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP + 4d190 455f494f 00010003 6164665f 6f735f72 E_IO....adf_os_r + 4d1a0 65736f75 7263655f 74797065 5f740000 esource_type_t.. + 4d1b0 00180612 18000018 8c057374 61727400 ..........start. + 4d1c0 000017f6 02230005 656e6400 000017f6 .....#..end..... + 4d1d0 02230805 74797065 00000018 42022310 .#..type....B.#. + 4d1e0 00036164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev + 4d1f0 5f69645f 74000000 17730700 00188c04 _id_t....s...... + 4d200 00110400 0018cb05 70636900 000018a5 ........pci..... + 4d210 02230005 72617700 0000047b 02230000 .#..raw....{.#.. + 4d220 11100000 18ea0570 63690000 00188c02 .......pci...... + 4d230 23000572 61770000 00047b02 23000003 #..raw....{.#... + 4d240 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t + 4d250 00000004 7b036164 665f6f73 5f726573 ....{.adf_os_res + 4d260 6f757263 655f7400 0000185e 07000019 ource_t....^.... + 4d270 00040003 6164665f 6f735f61 74746163 ....adf_os_attac + 4d280 685f6461 74615f74 00000018 cb070000 h_data_t........ + 4d290 191e0400 07000015 4a040003 5f5f6164 ........J...__ad + 4d2a0 665f6f73 5f646576 6963655f 74000000 f_os_device_t... + 4d2b0 193f0361 64665f6f 735f6465 76696365 .?.adf_os_device + 4d2c0 5f740000 00194609 000018ea 01070000 _t....F......... + 4d2d0 19720400 06010700 00197f04 00036164 .r............ad + 4d2e0 665f6f73 5f706d5f 74000000 047b0601 f_os_pm_t....{.. + 4d2f0 07000019 99040014 04000019 d90e4144 ..............AD + 4d300 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC + 4d310 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T + 4d320 5950455f 47454e45 52494300 02000361 YPE_GENERIC....a + 4d330 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t + 4d340 00000019 a2036164 665f6f73 5f627573 ......adf_os_bus + 4d350 5f726567 5f646174 615f7400 000018ac _reg_data_t..... + 4d360 07000001 00040004 5f616466 5f647276 ........_adf_drv + 4d370 5f696e66 6f002000 001ab605 6472765f _info. .....drv_ + 4d380 61747461 63680000 00197802 23000564 attach....x.#..d + 4d390 72765f64 65746163 68000000 19810223 rv_detach......# + 4d3a0 04056472 765f7375 7370656e 64000000 ..drv_suspend... + 4d3b0 199b0223 08056472 765f7265 73756d65 ...#..drv_resume + 4d3c0 00000019 8102230c 05627573 5f747970 ......#..bus_typ + 4d3d0 65000000 19d90223 10056275 735f6461 e......#..bus_da + 4d3e0 74610000 0019f002 2314056d 6f645f6e ta......#..mod_n + 4d3f0 616d6500 00001a0b 02231805 69666e61 ame......#..ifna + 4d400 6d650000 001a0b02 231c0003 6164665f me......#...adf_ + 4d410 6f735f68 616e646c 655f7400 0000047b os_handle_t....{ + 4d420 07000016 e1040006 01060103 5f5f6164 ............__ad + 4d430 665f6f73 5f73697a 655f7400 0000047e f_os_size_t....~ + 4d440 14040000 1b050e41 5f46414c 53450000 .......A_FALSE.. + 4d450 0e415f54 52554500 01000361 5f626f6f .A_TRUE....a_boo + 4d460 6c5f7400 00001aeb 07000015 73040003 l_t.........s... + 4d470 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map + 4d480 5f740000 001b1306 010d6164 665f6f73 _t........adf_os + 4d490 5f636163 68655f73 796e6300 0400001b _cache_sync..... + 4d4a0 9d0e4144 465f5359 4e435f50 52455245 ..ADF_SYNC_PRERE + 4d4b0 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR + 4d4c0 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN + 4d4d0 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF + 4d4e0 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. + 4d4f0 03000361 64665f6f 735f6361 6368655f ...adf_os_cache_ + 4d500 73796e63 5f740000 001b3406 01036164 sync_t....4...ad + 4d510 665f6f73 5f73697a 655f7400 00001ad6 f_os_size_t..... + 4d520 0900001b b8010361 64665f6f 735f646d .......adf_os_dm + 4d530 615f6d61 705f7400 00001b1a 0700001b a_map_t......... + 4d540 d1040009 0000047b 01070000 1b1a0400 .......{........ + 4d550 09000004 7b010601 09000016 06010601 ....{........... + 4d560 0273686f 72742069 6e740005 0203415f .short int....A_ + 4d570 494e5431 36000000 1c0b035f 5f615f69 INT16......__a_i + 4d580 6e743136 5f740000 001c1803 615f696e nt16_t......a_in + 4d590 7431365f 74000000 1c250273 69676e65 t16_t....%.signe + 4d5a0 64206368 61720005 0103415f 494e5438 d char....A_INT8 + 4d5b0 0000001c 45035f5f 615f696e 74385f74 ....E.__a_int8_t + 4d5c0 0000001c 5403615f 696e7438 5f740000 ....T.a_int8_t.. + 4d5d0 001c6012 0c00001c d7057375 70706f72 ..`.......suppor + 4d5e0 74656400 0000168b 02230005 61647665 ted......#..adve + 4d5f0 7274697a 65640000 00168b02 23040573 rtized......#..s + 4d600 70656564 0000001c 36022308 05647570 peed....6.#..dup + 4d610 6c657800 00001c70 02230a05 6175746f lex....p.#..auto + 4d620 6e656700 000016f2 02230b00 0f000016 neg......#...... + 4d630 f2060000 1ce41005 00046164 665f6e65 ..........adf_ne + 4d640 745f6574 68616464 72000600 001d0805 t_ethaddr....... + 4d650 61646472 0000001c d7022300 00035f5f addr......#...__ + 4d660 615f7569 6e743136 5f740000 00136003 a_uint16_t....`. + 4d670 615f7569 6e743136 5f740000 001d0812 a_uint16_t...... + 4d680 0e00001d 6c056574 6865725f 64686f73 ....l.ether_dhos + 4d690 74000000 1cd70223 00056574 6865725f t......#..ether_ + 4d6a0 73686f73 74000000 1cd70223 06056574 shost......#..et + 4d6b0 6865725f 74797065 0000001d 1a02230c her_type......#. + 4d6c0 00121400 001e2d15 69705f76 65727369 ......-.ip_versi + 4d6d0 6f6e0000 0016f201 00040223 00156970 on.........#..ip + 4d6e0 5f686c00 000016f2 01040402 23000569 _hl.........#..i + 4d6f0 705f746f 73000000 16f20223 01056970 p_tos......#..ip + 4d700 5f6c656e 0000001d 1a022302 0569705f _len......#..ip_ + 4d710 69640000 001d1a02 23040569 705f6672 id......#..ip_fr + 4d720 61675f6f 66660000 001d1a02 23060569 ag_off......#..i + 4d730 705f7474 6c000000 16f20223 08056970 p_ttl......#..ip + 4d740 5f70726f 746f0000 0016f202 23090569 _proto......#..i + 4d750 705f6368 65636b00 00001d1a 02230a05 p_check......#.. + 4d760 69705f73 61646472 00000016 8b02230c ip_saddr......#. + 4d770 0569705f 64616464 72000000 168b0223 .ip_daddr......# + 4d780 10000461 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 4d790 64720004 00001e7f 05747069 64000000 dr.......tpid... + 4d7a0 1d1a0223 00157072 696f0000 0016f201 ...#..prio...... + 4d7b0 00030223 02156366 69000000 16f20103 ...#..cfi....... + 4d7c0 01022302 15766964 0000001d 1a02040c ..#..vid........ + 4d7d0 02230200 04616466 5f6e6574 5f766964 .#...adf_net_vid + 4d7e0 00020000 1eb01572 65730000 0016f201 .......res...... + 4d7f0 00040223 00157661 6c000000 1d1a0204 ...#..val....... + 4d800 0c022300 00120c00 001eec05 72785f62 ..#.........rx_b + 4d810 75667369 7a650000 00168b02 23000572 ufsize......#..r + 4d820 785f6e64 65736300 0000168b 02230405 x_ndesc......#.. + 4d830 74785f6e 64657363 00000016 8b022308 tx_ndesc......#. + 4d840 00120800 001f1205 706f6c6c 65640000 ........polled.. + 4d850 001b0502 23000570 6f6c6c5f 77740000 ....#..poll_wt.. + 4d860 00168b02 2304000f 000016f2 4000001f ....#.......@... + 4d870 1f103f00 12460000 1f470569 665f6e61 ..?..F...G.if_na + 4d880 6d650000 001f1202 23000564 65765f61 me......#..dev_a + 4d890 64647200 00001cd7 02234000 14040000 ddr......#@..... + 4d8a0 1f7e0e41 44465f4f 535f444d 415f4d41 .~.ADF_OS_DMA_MA + 4d8b0 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O + 4d8c0 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT + 4d8d0 00010003 6164665f 6f735f64 6d615f6d ....adf_os_dma_m + 4d8e0 61736b5f 74000000 1f470461 64665f64 ask_t....G.adf_d + 4d8f0 6d615f69 6e666f00 0800001f cb05646d ma_info.......dm + 4d900 615f6d61 736b0000 001f7e02 23000573 a_mask....~.#..s + 4d910 675f6e73 65677300 0000168b 02230400 g_nsegs......#.. + 4d920 14040000 20210e41 44465f4e 45545f43 .... !.ADF_NET_C + 4d930 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 4d940 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 4d950 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET + 4d960 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 4d970 50763600 02000361 64665f6e 65745f63 Pv6....adf_net_c + 4d980 6b73756d 5f747970 655f7400 00001fcb ksum_type_t..... + 4d990 12080000 20640574 785f636b 73756d00 .... d.tx_cksum. + 4d9a0 00002021 02230005 72785f63 6b73756d .. !.#..rx_cksum + 4d9b0 00000020 21022304 00036164 665f6e65 ... !.#...adf_ne + 4d9c0 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. + 4d9d0 00203b14 04000020 bd0e4144 465f4e45 . ;.... ..ADF_NE + 4d9e0 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF + 4d9f0 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... + 4da00 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. + 4da10 02000361 64665f6e 65745f74 736f5f74 ...adf_net_tso_t + 4da20 7970655f 74000000 207e1210 00002111 ype_t... ~....!. + 4da30 05636b73 756d5f63 61700000 00206402 .cksum_cap... d. + 4da40 23000574 736f0000 0020bd02 23080576 #..tso... ..#..v + 4da50 6c616e5f 73757070 6f727465 64000000 lan_supported... + 4da60 16f20223 0c001220 000021aa 0574785f ...#... ..!..tx_ + 4da70 7061636b 65747300 0000168b 02230005 packets......#.. + 4da80 72785f70 61636b65 74730000 00168b02 rx_packets...... + 4da90 23040574 785f6279 74657300 0000168b #..tx_bytes..... + 4daa0 02230805 72785f62 79746573 00000016 .#..rx_bytes.... + 4dab0 8b02230c 0574785f 64726f70 70656400 ..#..tx_dropped. + 4dac0 0000168b 02231005 72785f64 726f7070 .....#..rx_dropp + 4dad0 65640000 00168b02 23140572 785f6572 ed......#..rx_er + 4dae0 726f7273 00000016 8b022318 0574785f rors......#..tx_ + 4daf0 6572726f 72730000 00168b02 231c0003 errors......#... + 4db00 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ + 4db10 74000000 1ce41600 0021aa03 00000021 t........!.....! + 4db20 cf107f00 17616466 5f6e6574 5f636d64 .....adf_net_cmd + 4db30 5f6d6361 64647200 03040000 2206056e _mcaddr....."..n + 4db40 656c656d 00000016 8b022300 056d6361 elem......#..mca + 4db50 73740000 0021c102 23040003 6164665f st...!..#...adf_ + 4db60 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf + 4db70 6f5f7400 00001c7e 03616466 5f6e6574 o_t....~.adf_net + 4db80 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t + 4db90 0000001e ec036164 665f6e65 745f636d ......adf_net_cm + 4dba0 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. + 4dbb0 00206403 6164665f 6e65745f 636d645f . d.adf_net_cmd_ + 4dbc0 72696e67 5f696e66 6f5f7400 00001eb0 ring_info_t..... + 4dbd0 03616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma + 4dbe0 5f696e66 6f5f7400 00001f95 03616466 _info_t......adf + 4dbf0 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. + 4dc00 001d1a03 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 4dc10 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... + 4dc20 20d50361 64665f6e 65745f63 6d645f73 ..adf_net_cmd_s + 4dc30 74617473 5f740000 00211103 6164665f tats_t...!..adf_ + 4dc40 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t + 4dc50 00000021 cf0d6164 665f6e65 745f636d ...!..adf_net_cm + 4dc60 645f6d63 6173745f 63617000 04000023 d_mcast_cap....# + 4dc70 480e4144 465f4e45 545f4d43 4153545f H.ADF_NET_MCAST_ + 4dc80 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC + 4dc90 4153545f 4e4f5453 55500001 00036164 AST_NOTSUP....ad + 4dca0 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 4dcb0 6361705f 74000000 23001803 04000024 cap_t...#......$ + 4dcc0 1a056c69 6e6b5f69 6e666f00 00002206 ..link_info...". + 4dcd0 02230005 706f6c6c 5f696e66 6f000000 .#..poll_info... + 4dce0 22230223 0005636b 73756d5f 696e666f "#.#..cksum_info + 4dcf0 00000022 40022300 0572696e 675f696e ..."@.#..ring_in + 4dd00 666f0000 00225e02 23000564 6d615f69 fo..."^.#..dma_i + 4dd10 6e666f00 0000227b 02230005 76696400 nfo..."{.#..vid. + 4dd20 00002297 02230005 6f66666c 6f61645f .."..#..offload_ + 4dd30 63617000 000022ae 02230005 73746174 cap..."..#..stat + 4dd40 73000000 22cd0223 00056d63 6173745f s..."..#..mcast_ + 4dd50 696e666f 00000022 e6022300 056d6361 info..."..#..mca + 4dd60 73745f63 61700000 00234802 23000014 st_cap...#H.#... + 4dd70 04000024 710e4144 465f4e42 55465f52 ...$q.ADF_NBUF_R + 4dd80 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A + 4dd90 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 4dda0 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R + 4ddb0 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS + 4ddc0 41525900 02000361 64665f6e 6275665f ARY....adf_nbuf_ + 4ddd0 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. + 4dde0 0000241a 12080000 24b10572 6573756c ..$.....$..resul + 4ddf0 74000000 24710223 00057661 6c000000 t...$q.#..val... + 4de00 168b0223 04001208 000024e1 05747970 ...#......$..typ + 4de10 65000000 20bd0223 00056d73 73000000 e... ..#..mss... + 4de20 1d1a0223 04056864 725f6f66 66000000 ...#..hdr_off... + 4de30 16f20223 0600045f 5f616466 5f6e6275 ...#...__adf_nbu + 4de40 665f7168 65616400 0c000025 20056865 f_qhead....% .he + 4de50 61640000 0014ab02 23000574 61696c00 ad......#..tail. + 4de60 000014ab 02230405 716c656e 00000016 .....#..qlen.... + 4de70 8b022308 00035f5f 6164665f 6e627566 ..#...__adf_nbuf + 4de80 5f740000 0014ab07 00001701 04000700 _t.............. + 4de90 00168b04 00060109 0000138d 01090000 ................ + 4dea0 168b0109 00001701 01090000 17010107 ................ + 4deb0 0000136e 0400035f 5f616466 5f6e6275 ...n...__adf_nbu + 4dec0 665f7168 6561645f 74000000 24e1035f f_qhead_t...$.._ + 4ded0 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ + 4dee0 74000000 25610700 00257904 00090000 t...%a...%y..... + 4def0 25200109 00002520 01140400 0026990e % ....% .....&.. + 4df00 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ + 4df10 53544154 55535f46 41494c45 4400010e STATUS_FAILED... + 4df20 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. + 4df30 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME + 4df40 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN + 4df50 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E + 4df60 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S + 4df70 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. + 4df80 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. + 4df90 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG + 4dfa0 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD + 4dfb0 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST + 4dfc0 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S + 4dfd0 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A + 4dfe0 5f535441 5455535f 45494f00 0c000361 _STATUS_EIO....a + 4dff0 5f737461 7475735f 74000000 25a40900 _status_t...%... + 4e000 00269901 09000001 c8010601 03616466 .&...........adf + 4e010 5f6e6275 665f7400 00002520 14040000 _nbuf_t...% .... + 4e020 26fe0e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO + 4e030 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS + 4e040 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE + 4e050 00010003 6164665f 6f735f64 6d615f64 ....adf_os_dma_d + 4e060 69725f74 00000026 c7090000 26990106 ir_t...&....&... + 4e070 01036164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 4e080 696e666f 5f740000 0016a807 0000271c info_t........'. + 4e090 04000601 06010900 0026b701 09000025 .........&.....% + 4e0a0 20010601 06010900 0026b701 09000025 ........&.....% + 4e0b0 20010900 0026b701 09000025 20010900 ....&.....% ... + 4e0c0 0026b701 06010601 09000016 8b010900 .&.............. + 4e0d0 00170101 06010601 0900001b b8010900 ................ + 4e0e0 001b0501 0900001b 05010361 64665f6f ...........adf_o + 4e0f0 735f7367 6c697374 5f740000 00174007 s_sglist_t....@. + 4e100 00002795 04000601 06010601 09000017 ..'............. + 4e110 01010361 64665f6e 6275665f 71756575 ...adf_nbuf_queu + 4e120 655f7400 00002579 07000027 bd040006 e_t...%y...'.... + 4e130 01070000 25610400 06010601 06010900 ....%a.......... + 4e140 0026b701 09000025 20010900 00168b01 .&.....% ....... + 4e150 09000016 8b010900 001b0501 0900001b ................ + 4e160 05010900 00202101 09000016 8b010361 ..... !........a + 4e170 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 4e180 5f740000 00248f07 00002819 04000601 _t...$....(..... + 4e190 06010361 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ + 4e1a0 74000000 24b10700 00283d04 00060106 t...$....(=..... + 4e1b0 01036164 665f6e65 745f6861 6e646c65 ..adf_net_handle + 4e1c0 5f740000 00047b03 6164665f 6e65745f _t....{.adf_net_ + 4e1d0 766c616e 6864725f 74000000 1e2d0700 vlanhdr_t....-.. + 4e1e0 00287204 00090000 26990109 00002699 .(r.....&.....&. + 4e1f0 01060106 01045f48 49465f43 4f4e4649 ......_HIF_CONFI + 4e200 47000400 0028c105 64756d6d 79000000 G....(..dummy... + 4e210 01c80223 00000601 07000028 c1040006 ...#.......(.... + 4e220 01070000 28ca0400 045f4849 465f4341 ....(...._HIF_CA + 4e230 4c4c4241 434b000c 0000291f 0573656e LLBACK....)..sen + 4e240 645f6275 665f646f 6e650000 0028c302 d_buf_done...(.. + 4e250 23000572 6563765f 62756600 000028cc #..recv_buf...(. + 4e260 02230405 636f6e74 65787400 0000047b .#..context....{ + 4e270 02230800 03686966 5f68616e 646c655f .#...hif_handle_ + 4e280 74000000 047b0348 49465f43 4f4e4649 t....{.HIF_CONFI + 4e290 47000000 28a00700 00293104 00090000 G...(....)1..... + 4e2a0 291f0107 00002948 04000601 07000029 ).....)H.......) + 4e2b0 55040003 4849465f 43414c4c 4241434b U...HIF_CALLBACK + 4e2c0 00000028 d3070000 295e0400 06010700 ...(....)^...... + 4e2d0 00297704 00090000 01c80107 00002980 .)w...........). + 4e2e0 04000601 07000029 8d040009 000001c8 .......)........ + 4e2f0 01070000 29960400 06010700 0029a304 ....)........).. + 4e300 00090000 01c80107 000029ac 04000601 ..........)..... + 4e310 07000029 b9040004 6869665f 61706900 ...)....hif_api. + 4e320 3800002b 12055f69 6e697400 0000294e 8..+.._init...)N + 4e330 02230005 5f736875 74646f77 6e000000 .#.._shutdown... + 4e340 29570223 04055f72 65676973 7465725f )W.#.._register_ + 4e350 63616c6c 6261636b 00000029 79022308 callback...)y.#. + 4e360 055f6765 745f746f 74616c5f 63726564 ._get_total_cred + 4e370 69745f63 6f756e74 00000029 8602230c it_count...)..#. + 4e380 055f7374 61727400 00002957 02231005 ._start...)W.#.. + 4e390 5f636f6e 6669675f 70697065 00000029 _config_pipe...) + 4e3a0 8f022314 055f7365 6e645f62 75666665 ..#.._send_buffe + 4e3b0 72000000 299c0223 18055f72 65747572 r...)..#.._retur + 4e3c0 6e5f7265 63765f62 75660000 0029a502 n_recv_buf...).. + 4e3d0 231c055f 69735f70 6970655f 73757070 #.._is_pipe_supp + 4e3e0 6f727465 64000000 29b20223 20055f67 orted...)..# ._g + 4e3f0 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 4e400 0029b202 2324055f 6765745f 72657365 .)..#$._get_rese + 4e410 72766564 5f686561 64726f6f 6d000000 rved_headroom... + 4e420 29860223 28055f69 73725f68 616e646c )..#(._isr_handl + 4e430 65720000 00295702 232c055f 6765745f er...)W.#,._get_ + 4e440 64656661 756c745f 70697065 00000029 default_pipe...) + 4e450 bb022330 05705265 73657276 65640000 ..#0.pReserved.. + 4e460 00047b02 2334000d 646d615f 656e6769 ..{.#4..dma_engi + 4e470 6e650004 00002b9b 0e444d41 5f454e47 ne....+..DMA_ENG + 4e480 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN + 4e490 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E + 4e4a0 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ + 4e4b0 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA + 4e4c0 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM + 4e4d0 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D + 4e4e0 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... + 4e4f0 03646d61 5f656e67 696e655f 74000000 .dma_engine_t... + 4e500 2b120d64 6d615f69 66747970 65000400 +..dma_iftype... + 4e510 002be80e 444d415f 49465f47 4d414300 .+..DMA_IF_GMAC. + 4e520 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D + 4e530 4d415f49 465f5043 49450002 0003646d MA_IF_PCIE....dm + 4e540 615f6966 74797065 5f740000 002bad09 a_iftype_t...+.. + 4e550 00001360 01070000 2bfa0400 06010700 ...`....+....... + 4e560 002c0704 00060107 00002c10 04000900 .,........,..... + 4e570 00099201 0700002c 19040009 00001360 .......,.......` + 4e580 01070000 2c260400 09000013 60010700 ....,&......`... + 4e590 002c3304 00090000 14ab0107 00002c40 .,3...........,@ + 4e5a0 04000601 0700002c 4d040004 646d615f .......,M...dma_ + 4e5b0 6c69625f 61706900 3400002d 54057478 lib_api.4..-T.tx + 4e5c0 5f696e69 74000000 2c000223 00057478 _init...,..#..tx + 4e5d0 5f737461 72740000 002c0902 23040572 _start...,..#..r + 4e5e0 785f696e 69740000 002c0002 23080572 x_init...,..#..r + 4e5f0 785f636f 6e666967 0000002c 1202230c x_config...,..#. + 4e600 0572785f 73746172 74000000 2c090223 .rx_start...,..# + 4e610 1005696e 74725f73 74617475 73000000 ..intr_status... + 4e620 2c1f0223 14056861 72645f78 6d697400 ,..#..hard_xmit. + 4e630 00002c2c 02231805 666c7573 685f786d ..,,.#..flush_xm + 4e640 69740000 002c0902 231c0578 6d69745f it...,..#..xmit_ + 4e650 646f6e65 0000002c 39022320 05726561 done...,9.# .rea + 4e660 705f786d 69747465 64000000 2c460223 p_xmitted...,F.# + 4e670 24057265 61705f72 65637600 00002c46 $.reap_recv...,F + 4e680 02232805 72657475 726e5f72 65637600 .#(.return_recv. + 4e690 00002c4f 02232c05 72656376 5f706b74 ..,O.#,.recv_pkt + 4e6a0 0000002c 39022330 00045f5f 7063695f ...,9.#0..__pci_ + 4e6b0 736f6674 63000c00 002d7205 73770000 softc....-r.sw.. + 4e6c0 00295e02 23000003 5f5f7063 695f736f .)^.#...__pci_so + 4e6d0 6674635f 74000000 2d540700 002d7204 ftc_t...-T...-r. + 4e6e0 00060107 00002d8c 04000900 00134c01 ......-.......L. + 4e6f0 0700002d 9504000d 6869665f 7063695f ...-....hif_pci_ + 4e700 70697065 5f747800 0400002d f50e4849 pipe_tx....-..HI + 4e710 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. + 4e720 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 4e730 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 4e740 5f54585f 4d415800 02000368 69665f70 _TX_MAX....hif_p + 4e750 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- + 4e760 a2090000 2b9b0107 00002e0c 04000d68 ....+..........h + 4e770 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. + 4e780 00002e92 0e484946 5f504349 5f504950 .....HIF_PCI_PIP + 4e790 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ + 4e7a0 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P + 4e7b0 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI + 4e7c0 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. + 4e7d0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 4e7e0 5f4d4158 00040003 6869665f 7063695f _MAX....hif_pci_ + 4e7f0 70697065 5f72785f 74000000 2e190900 pipe_rx_t....... + 4e800 002b9b01 0700002e a9040004 6869665f .+..........hif_ + 4e810 7063695f 61706900 2400002f 87057063 pci_api.$../..pc + 4e820 695f626f 6f745f69 6e697400 000001c1 i_boot_init..... + 4e830 02230005 7063695f 696e6974 00000029 .#..pci_init...) + 4e840 4e022304 05706369 5f726573 65740000 N.#..pci_reset.. + 4e850 0001c102 23080570 63695f65 6e61626c ....#..pci_enabl + 4e860 65000000 01c10223 0c057063 695f7265 e......#..pci_re + 4e870 61705f78 6d697474 65640000 002d8e02 ap_xmitted...-.. + 4e880 23100570 63695f72 6561705f 72656376 #..pci_reap_recv + 4e890 0000002d 8e022314 05706369 5f676574 ...-..#..pci_get + 4e8a0 5f706970 65000000 2d9b0223 18057063 _pipe...-..#..pc + 4e8b0 695f6765 745f7478 5f656e67 0000002e i_get_tx_eng.... + 4e8c0 1202231c 05706369 5f676574 5f72785f ..#..pci_get_rx_ + 4e8d0 656e6700 00002eaf 02232000 04676d61 eng......# ..gma + 4e8e0 635f6170 69000400 002fae05 676d6163 c_api..../..gmac + 4e8f0 5f626f6f 745f696e 69740000 0001c102 _boot_init...... + 4e900 2300000f 00000100 0600002f bb100500 #........../.... + 4e910 045f5f65 74686864 72000e00 002ff105 .__ethhdr..../.. + 4e920 64737400 00002fae 02230005 73726300 dst.../..#..src. + 4e930 00002fae 02230605 65747970 65000000 ../..#..etype... + 4e940 13600223 0c00045f 5f617468 68647200 .`.#...__athhdr. + 4e950 04000030 3f157265 73000000 134c0100 ...0?.res....L.. + 4e960 02022300 1570726f 746f0000 00134c01 ..#..proto....L. + 4e970 02060223 00057265 735f6c6f 00000013 ...#..res_lo.... + 4e980 4c022301 05726573 5f686900 00001360 L.#..res_hi....` + 4e990 02230200 045f5f67 6d61635f 68647200 .#...__gmac_hdr. + 4e9a0 14000030 7b056574 68000000 2fbb0223 ...0{.eth.../..# + 4e9b0 00056174 68000000 2ff10223 0e05616c ..ath.../..#..al + 4e9c0 69676e5f 70616400 00001360 02231200 ign_pad....`.#.. + 4e9d0 035f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... + 4e9e0 303f045f 5f676d61 635f736f 66746300 0?.__gmac_softc. + 4e9f0 24000030 c5056864 72000000 307b0223 $..0..hdr...0{.# + 4ea00 00056772 616e0000 00136002 23140573 ..gran....`.#..s + 4ea10 77000000 295e0223 1800045f 415f6f73 w...)^.#..._A_os + 4ea20 5f6c696e 6b616765 5f636865 636b0008 _linkage_check.. + 4ea30 000030fe 05766572 73696f6e 00000001 ..0..version.... + 4ea40 c8022300 05746162 6c650000 0001c802 ..#..table...... + 4ea50 23040007 000030c5 04000900 0001c801 #.....0......... + 4ea60 07000031 05040007 0000047e 0400175f ...1.......~..._ + 4ea70 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 4ea80 696f6e5f 7461626c 650001b8 00003255 ion_table.....2U + 4ea90 0568616c 5f6c696e 6b616765 5f636865 .hal_linkage_che + 4eaa0 636b0000 00310b02 23000573 74617274 ck...1..#..start + 4eab0 5f627373 00000031 12022304 05617070 _bss...1..#..app + 4eac0 5f737461 72740000 0001c102 2308056d _start......#..m + 4ead0 656d0000 0004be02 230c056d 69736300 em......#..misc. + 4eae0 000005dd 02232005 7072696e 74660000 .....# .printf.. + 4eaf0 0001f502 23440575 61727400 0000029a ....#D.uart..... + 4eb00 02234c05 676d6163 0000002f 8702236c .#L.gmac.../..#l + 4eb10 05757362 00000010 0c022370 05636c6f .usb......#p.clo + 4eb20 636b0000 000b5503 23e00105 74696d65 ck....U.#...time + 4eb30 72000000 07f50323 84020569 6e747200 r......#...intr. + 4eb40 00000ca5 03239802 05616c6c 6f637261 .....#...allocra + 4eb50 6d000000 09ad0323 c4020572 6f6d7000 m......#...romp. + 4eb60 0000089f 0323d002 05776474 5f74696d .....#...wdt_tim + 4eb70 65720000 000e8203 23e00205 65657000 er......#...eep. + 4eb80 00000fb0 0323fc02 05737472 696e6700 .....#...string. + 4eb90 00000701 03238c03 05746173 6b6c6574 .....#...tasklet + 4eba0 0000000a aa0323a4 0300045f 5553425f ......#...._USB_ + 4ebb0 4649464f 5f434f4e 46494700 10000032 FIFO_CONFIG....2 + 4ebc0 c8056765 745f636f 6d6d616e 645f6275 ..get_command_bu + 4ebd0 66000000 14b80223 00057265 63765f63 f......#..recv_c + 4ebe0 6f6d6d61 6e640000 0014ce02 23040567 ommand......#..g + 4ebf0 65745f65 76656e74 5f627566 00000014 et_event_buf.... + 4ec00 b8022308 0573656e 645f6576 656e745f ..#..send_event_ + 4ec10 646f6e65 00000014 ce02230c 00035553 done......#...US + 4ec20 425f4649 464f5f43 4f4e4649 47000000 B_FIFO_CONFIG... + 4ec30 32550700 0032c804 00060107 000032e4 2U...2........2. + 4ec40 04000475 73626669 666f5f61 7069000c ...usbfifo_api.. + 4ec50 0000333a 055f696e 69740000 0032e602 ..3:._init...2.. + 4ec60 2300055f 656e6162 6c655f65 76656e74 #.._enable_event + 4ec70 5f697372 00000001 c1022304 05705265 _isr......#..pRe + 4ec80 73657276 65640000 00047b02 2308000f served....{.#... + 4ec90 000016f2 02000033 47100100 045f4854 .......3G...._HT + 4eca0 435f4652 414d455f 48445200 08000033 C_FRAME_HDR....3 + 4ecb0 b905456e 64706f69 6e744944 00000016 ..EndpointID.... + 4ecc0 f2022300 05466c61 67730000 0016f202 ..#..Flags...... + 4ecd0 23010550 61796c6f 61644c65 6e000000 #..PayloadLen... + 4ece0 1d1a0223 0205436f 6e74726f 6c427974 ...#..ControlByt + 4ecf0 65730000 00333a02 23040548 6f737453 es...3:.#..HostS + 4ed00 65714e75 6d000000 1d1a0223 06001202 eqNum......#.... + 4ed10 000033d2 054d6573 73616765 49440000 ..3..MessageID.. + 4ed20 001d1a02 23000012 08000034 35054d65 ....#......45.Me + 4ed30 73736167 65494400 00001d1a 02230005 ssageID......#.. + 4ed40 43726564 6974436f 756e7400 00001d1a CreditCount..... + 4ed50 02230205 43726564 69745369 7a650000 .#..CreditSize.. + 4ed60 001d1a02 2304054d 6178456e 64706f69 ....#..MaxEndpoi + 4ed70 6e747300 000016f2 02230605 5f506164 nts......#.._Pad + 4ed80 31000000 16f20223 0700120a 000034cc 1......#......4. + 4ed90 054d6573 73616765 49440000 001d1a02 .MessageID...... + 4eda0 23000553 65727669 63654944 0000001d #..ServiceID.... + 4edb0 1a022302 05436f6e 6e656374 696f6e46 ..#..ConnectionF + 4edc0 6c616773 0000001d 1a022304 05446f77 lags......#..Dow + 4edd0 6e4c696e 6b506970 65494400 000016f2 nLinkPipeID..... + 4ede0 02230605 55704c69 6e6b5069 70654944 .#..UpLinkPipeID + 4edf0 00000016 f2022307 05536572 76696365 ......#..Service + 4ee00 4d657461 4c656e67 74680000 0016f202 MetaLength...... + 4ee10 2308055f 50616431 00000016 f2022309 #.._Pad1......#. + 4ee20 00120a00 00355405 4d657373 61676549 .....5T.MessageI + 4ee30 44000000 1d1a0223 00055365 72766963 D......#..Servic + 4ee40 65494400 00001d1a 02230205 53746174 eID......#..Stat + 4ee50 75730000 0016f202 23040545 6e64706f us......#..Endpo + 4ee60 696e7449 44000000 16f20223 05054d61 intID......#..Ma + 4ee70 784d7367 53697a65 0000001d 1a022306 xMsgSize......#. + 4ee80 05536572 76696365 4d657461 4c656e67 .ServiceMetaLeng + 4ee90 74680000 0016f202 2308055f 50616431 th......#.._Pad1 + 4eea0 00000016 f2022309 00120200 00356d05 ......#......5m. + 4eeb0 4d657373 61676549 44000000 1d1a0223 MessageID......# + 4eec0 00001204 000035a9 054d6573 73616765 ......5..Message + 4eed0 49440000 001d1a02 23000550 69706549 ID......#..PipeI + 4eee0 44000000 16f20223 02054372 65646974 D......#..Credit + 4eef0 436f756e 74000000 16f20223 03001204 Count......#.... + 4ef00 000035e0 054d6573 73616765 49440000 ..5..MessageID.. + 4ef10 001d1a02 23000550 69706549 44000000 ....#..PipeID... + 4ef20 16f20223 02055374 61747573 00000016 ...#..Status.... + 4ef30 f2022303 00120200 00360705 5265636f ..#......6..Reco + 4ef40 72644944 00000016 f2022300 054c656e rdID......#..Len + 4ef50 67746800 000016f2 02230100 12020000 gth......#...... + 4ef60 36310545 6e64706f 696e7449 44000000 61.EndpointID... + 4ef70 16f20223 00054372 65646974 73000000 ...#..Credits... + 4ef80 16f20223 01001204 00003672 05456e64 ...#......6r.End + 4ef90 706f696e 74494400 000016f2 02230005 pointID......#.. + 4efa0 43726564 69747300 000016f2 02230105 Credits......#.. + 4efb0 54677443 72656469 74536571 4e6f0000 TgtCreditSeqNo.. + 4efc0 001d1a02 2302000f 000016f2 04000036 ....#..........6 + 4efd0 7f100300 12060000 36bb0550 72655661 ........6..PreVa + 4efe0 6c696400 000016f2 02230005 4c6f6f6b lid......#..Look + 4eff0 41686561 64000000 36720223 0105506f Ahead...6r.#..Po + 4f000 73745661 6c696400 000016f2 02230500 stValid......#.. + 4f010 03706f6f 6c5f6861 6e646c65 5f740000 .pool_handle_t.. + 4f020 00047b09 000036bb 01070000 36ce0400 ..{...6.....6... + 4f030 06010700 0036db04 00140400 0037590e .....6.......7Y. + 4f040 504f4f4c 5f49445f 4854435f 434f4e54 POOL_ID_HTC_CONT + 4f050 524f4c00 000e504f 4f4c5f49 445f574d ROL...POOL_ID_WM + 4f060 495f5356 435f434d 445f5245 504c5900 I_SVC_CMD_REPLY. + 4f070 010e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 4f080 435f4556 454e5400 020e504f 4f4c5f49 C_EVENT...POOL_I + 4f090 445f574c 414e5f52 585f4255 4600030e D_WLAN_RX_BUF... + 4f0a0 504f4f4c 5f49445f 4d415800 0a000342 POOL_ID_MAX....B + 4f0b0 55465f50 4f4f4c5f 49440000 0036e406 UF_POOL_ID...6.. + 4f0c0 01070000 376a0400 09000026 b7010700 ....7j.....&.... + 4f0d0 00377304 00090000 26b70107 00003780 .7s.....&.....7. + 4f0e0 04000601 07000037 8d040004 6275665f .......7....buf_ + 4f0f0 706f6f6c 5f617069 001c0000 382f055f pool_api....8/._ + 4f100 696e6974 00000036 d4022300 055f7368 init...6..#.._sh + 4f110 7574646f 776e0000 0036dd02 2304055f utdown...6..#.._ + 4f120 63726561 74655f70 6f6f6c00 0000376c create_pool...7l + 4f130 02230805 5f616c6c 6f635f62 75660000 .#.._alloc_buf.. + 4f140 00377902 230c055f 616c6c6f 635f6275 .7y.#.._alloc_bu + 4f150 665f616c 69676e00 00003786 02231005 f_align...7..#.. + 4f160 5f667265 655f6275 66000000 378f0223 _free_buf...7..# + 4f170 14057052 65736572 76656400 0000047b ..pReserved....{ + 4f180 02231800 045f4854 435f5345 52564943 .#..._HTC_SERVIC + 4f190 45001c00 00390e05 704e6578 74000000 E....9..pNext... + 4f1a0 390e0223 00055072 6f636573 73526563 9..#..ProcessRec + 4f1b0 764d7367 00000039 c3022304 0550726f vMsg...9..#..Pro + 4f1c0 63657373 53656e64 42756666 6572436f cessSendBufferCo + 4f1d0 6d706c65 74650000 0039cc02 23080550 mplete...9..#..P + 4f1e0 726f6365 7373436f 6e6e6563 74000000 rocessConnect... + 4f1f0 39e00223 0c055365 72766963 65494400 9..#..ServiceID. + 4f200 00001360 02231005 53657276 69636546 ...`.#..ServiceF + 4f210 6c616773 00000013 60022312 054d6178 lags....`.#..Max + 4f220 5376634d 73675369 7a650000 00136002 SvcMsgSize....`. + 4f230 23140554 7261696c 65725370 63436865 #..TrailerSpcChe + 4f240 636b4c69 6d697400 00001360 02231605 ckLimit....`.#.. + 4f250 53657276 69636543 74780000 00047b02 ServiceCtx....{. + 4f260 23180007 0000382f 04001404 000039ac #.....8/......9. + 4f270 19454e44 504f494e 545f554e 55534544 .ENDPOINT_UNUSED + 4f280 00ffffff ff0e454e 44504f49 4e543000 ......ENDPOINT0. + 4f290 000e454e 44504f49 4e543100 010e454e ..ENDPOINT1...EN + 4f2a0 44504f49 4e543200 020e454e 44504f49 DPOINT2...ENDPOI + 4f2b0 4e543300 030e454e 44504f49 4e543400 NT3...ENDPOINT4. + 4f2c0 040e454e 44504f49 4e543500 050e454e ..ENDPOINT5...EN + 4f2d0 44504f49 4e543600 060e454e 44504f49 DPOINT6...ENDPOI + 4f2e0 4e543700 070e454e 44504f49 4e543800 NT7...ENDPOINT8. + 4f2f0 080e454e 44504f49 4e545f4d 41580016 ..ENDPOINT_MAX.. + 4f300 00034854 435f454e 44504f49 4e545f49 ..HTC_ENDPOINT_I + 4f310 44000000 39150601 07000039 c1040006 D...9......9.... + 4f320 01070000 39ca0400 07000001 c8040009 ....9........... + 4f330 0000134c 01070000 39da0400 07000038 ...L....9......8 + 4f340 2f040004 5f485443 5f434f4e 46494700 /..._HTC_CONFIG. + 4f350 1400003a 5f054372 65646974 53697a65 ...:_.CreditSize + 4f360 00000001 c8022300 05437265 6469744e ......#..CreditN + 4f370 756d6265 72000000 01c80223 04054f53 umber......#..OS + 4f380 48616e64 6c650000 001ab602 23080548 Handle......#..H + 4f390 49464861 6e646c65 00000029 1f02230c IFHandle...)..#. + 4f3a0 05506f6f 6c48616e 646c6500 000036bb .PoolHandle...6. + 4f3b0 02231000 045f4854 435f4255 465f434f .#..._HTC_BUF_CO + 4f3c0 4e544558 54000200 003a9b05 656e645f NTEXT....:..end_ + 4f3d0 706f696e 74000000 134c0223 00056874 point....L.#..ht + 4f3e0 635f666c 61677300 0000134c 02230100 c_flags....L.#.. + 4f3f0 03687463 5f68616e 646c655f 74000000 .htc_handle_t... + 4f400 047b0348 54435f53 45545550 5f434f4d .{.HTC_SETUP_COM + 4f410 504c4554 455f4342 00000001 c1034854 PLETE_CB......HT + 4f420 435f434f 4e464947 00000039 ee070000 C_CONFIG...9.... + 4f430 3ac80400 0900003a 9b010700 003adf04 :......:.....:.. + 4f440 00060107 00003aec 04000348 54435f53 ......:....HTC_S + 4f450 45525649 43450000 00382f07 00003af5 ERVICE...8/...:. + 4f460 04000601 0700003b 0d040006 01070000 .......;........ + 4f470 3b160400 06010700 003b1f04 00090000 ;........;...... + 4f480 01c80107 00003b28 04000468 74635f61 ......;(...htc_a + 4f490 70697300 3400003c a5055f48 54435f49 pis.4..<.._HTC_I + 4f4a0 6e697400 00003ae5 02230005 5f485443 nit...:..#.._HTC + 4f4b0 5f536875 74646f77 6e000000 3aee0223 _Shutdown...:..# + 4f4c0 04055f48 54435f52 65676973 74657253 .._HTC_RegisterS + 4f4d0 65727669 63650000 003b0f02 2308055f ervice...;..#.._ + 4f4e0 4854435f 52656164 79000000 3aee0223 HTC_Ready...:..# + 4f4f0 0c055f48 54435f52 65747572 6e427566 .._HTC_ReturnBuf + 4f500 66657273 0000003b 18022310 055f4854 fers...;..#.._HT + 4f510 435f5265 7475726e 42756666 6572734c C_ReturnBuffersL + 4f520 69737400 00003b21 02231405 5f485443 ist...;!.#.._HTC + 4f530 5f53656e 644d7367 0000003b 18022318 _SendMsg...;..#. + 4f540 055f4854 435f4765 74526573 65727665 ._HTC_GetReserve + 4f550 64486561 64726f6f 6d000000 3b2e0223 dHeadroom...;..# + 4f560 1c055f48 54435f4d 73675265 63764861 .._HTC_MsgRecvHa + 4f570 6e646c65 72000000 28cc0223 20055f48 ndler...(..# ._H + 4f580 54435f53 656e6444 6f6e6548 616e646c TC_SendDoneHandl + 4f590 65720000 0028c302 2324055f 4854435f er...(..#$._HTC_ + 4f5a0 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces + 4f5b0 734d7367 00000039 c3022328 055f4854 sMsg...9..#(._HT + 4f5c0 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 4f5d0 65737353 656e6443 6f6d706c 65746500 essSendComplete. + 4f5e0 000039cc 02232c05 70526573 65727665 ..9..#,.pReserve + 4f5f0 64000000 047b0223 30000468 6f73745f d....{.#0..host_ + 4f600 6170705f 61726561 5f730004 00003cd5 app_area_s....<. + 4f610 05776d69 5f70726f 746f636f 6c5f7665 .wmi_protocol_ve + 4f620 72000000 168b0223 0000120e 00003d0c r......#......=. + 4f630 05647374 4d616300 00001cd7 02230005 .dstMac......#.. + 4f640 7372634d 61630000 001cd702 23060574 srcMac......#..t + 4f650 7970654f 724c656e 0000001d 1a02230c ypeOrLen......#. + 4f660 000f0000 16f20300 003d1910 02001208 .........=...... + 4f670 00003d69 05647361 70000000 16f20223 ..=i.dsap......# + 4f680 00057373 61700000 0016f202 23010563 ..ssap......#..c + 4f690 6e746c00 000016f2 02230205 6f726743 ntl......#..orgC + 4f6a0 6f646500 00003d0c 02230305 65746865 ode...=..#..ethe + 4f6b0 72547970 65000000 1d1a0223 06001202 rType......#.... + 4f6c0 00003d8a 05727373 69000000 1c700223 ..=..rssi....p.# + 4f6d0 0005696e 666f0000 0016f202 23010012 ..info......#... + 4f6e0 0400003d b105636f 6d6d616e 64496400 ...=..commandId. + 4f6f0 00001d1a 02230005 7365714e 6f000000 .....#..seqNo... + 4f700 1d1a0223 02000f00 0016f201 00003dbe ...#..........=. + 4f710 10000012 0200003d e5056d73 6753697a .......=..msgSiz + 4f720 65000000 16f20223 00056d73 67446174 e......#..msgDat + 4f730 61000000 3db10223 01001208 00003e2c a...=..#......>, + 4f740 05616464 72657373 4c000000 1d1a0223 .addressL......# + 4f750 00056164 64726573 73480000 001d1a02 ..addressH...... + 4f760 23020576 616c7565 4c000000 1d1a0223 #..valueL......# + 4f770 04057661 6c756548 0000001d 1a022306 ..valueH......#. + 4f780 0003574d 495f4156 54000000 3de50f00 ..WMI_AVT...=... + 4f790 003e2c08 00003e46 10000012 0c00003e .>,...>F.......> + 4f7a0 7d057475 706c654e 756d4c00 00001d1a }.tupleNumL..... + 4f7b0 02230005 7475706c 654e756d 48000000 .#..tupleNumH... + 4f7c0 1d1a0223 02056176 74000000 3e390223 ...#..avt...>9.# + 4f7d0 04001201 00003e9f 05626561 636f6e50 ......>..beaconP + 4f7e0 656e6469 6e67436f 756e7400 000016f2 endingCount..... + 4f7f0 02230000 045f574d 495f5356 435f434f .#..._WMI_SVC_CO + 4f800 4e464947 00100000 3f080548 74634861 NFIG....?..HtcHa + 4f810 6e646c65 0000003a 9b022300 05506f6f ndle...:..#..Poo + 4f820 6c48616e 646c6500 000036bb 02230405 lHandle...6..#.. + 4f830 4d617843 6d645265 706c7945 76747300 MaxCmdReplyEvts. + 4f840 000001c8 02230805 4d617845 76656e74 .....#..MaxEvent + 4f850 45767473 00000001 c802230c 00060107 Evts......#..... + 4f860 00003f08 04000357 4d495f43 4d445f48 ..?....WMI_CMD_H + 4f870 414e444c 45520000 003f0a04 5f574d49 ANDLER...?.._WMI + 4f880 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 4f890 0800003f 71057043 6d644861 6e646c65 ...?q.pCmdHandle + 4f8a0 72000000 3f110223 0005436d 64494400 r...?..#..CmdID. + 4f8b0 00001360 02230405 466c6167 73000000 ...`.#..Flags... + 4f8c0 13600223 0600045f 574d495f 44495350 .`.#..._WMI_DISP + 4f8d0 41544348 5f544142 4c450010 00003fd2 ATCH_TABLE....?. + 4f8e0 05704e65 78740000 003fd202 23000570 .pNext...?..#..p + 4f8f0 436f6e74 65787400 0000047b 02230405 Context....{.#.. + 4f900 4e756d62 65724f66 456e7472 69657300 NumberOfEntries. + 4f910 000001c8 02230805 70546162 6c650000 .....#..pTable.. + 4f920 003ff102 230c0007 00003f71 04000357 .?..#.....?q...W + 4f930 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 4f940 59000000 3f260700 003fd904 00070000 Y...?&...?...... + 4f950 3f710400 03485443 5f425546 5f434f4e ?q...HTC_BUF_CON + 4f960 54455854 0000003a 5f0d574d 495f4556 TEXT...:_.WMI_EV + 4f970 545f434c 41535300 04000040 8919574d T_CLASS....@..WM + 4f980 495f4556 545f434c 4153535f 4e4f4e45 I_EVT_CLASS_NONE + 4f990 00ffffff ff0e574d 495f4556 545f434c ......WMI_EVT_CL + 4f9a0 4153535f 434d445f 4556454e 5400000e ASS_CMD_EVENT... + 4f9b0 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM + 4f9c0 445f5245 504c5900 010e574d 495f4556 D_REPLY...WMI_EV + 4f9d0 545f434c 4153535f 4d415800 02000357 T_CLASS_MAX....W + 4f9e0 4d495f45 56545f43 4c415353 00000040 MI_EVT_CLASS...@ + 4f9f0 14045f57 4d495f42 55465f43 4f4e5445 .._WMI_BUF_CONTE + 4fa00 5854000c 000040e7 05487463 42756643 XT....@..HtcBufC + 4fa10 74780000 003fff02 23000545 76656e74 tx...?..#..Event + 4fa20 436c6173 73000000 40890223 0405466c Class...@..#..Fl + 4fa30 61677300 00001360 02230800 03776d69 ags....`.#...wmi + 4fa40 5f68616e 646c655f 74000000 047b0357 _handle_t....{.W + 4fa50 4d495f53 56435f43 4f4e4649 47000000 MI_SVC_CONFIG... + 4fa60 3e9f0700 0040f904 00090000 40e70107 >....@......@... + 4fa70 00004114 04000357 4d495f44 49535041 ..A....WMI_DISPA + 4fa80 5443485f 5441424c 45000000 3f710700 TCH_TABLE...?q.. + 4fa90 00412104 00060107 00004140 04000900 .A!.......A@.... + 4faa0 0026b701 07000041 49040006 01070000 .&.....AI....... + 4fab0 41560400 09000001 c8010700 00415f04 AV...........A_. + 4fac0 00060107 0000416c 04000900 00134c01 ......Al......L. + 4fad0 07000041 75040004 5f776d69 5f737663 ...Au..._wmi_svc + 4fae0 5f617069 73002c00 0042bd05 5f574d49 _apis.,..B.._WMI + 4faf0 5f496e69 74000000 411a0223 00055f57 _Init...A..#.._W + 4fb00 4d495f52 65676973 74657244 69737061 MI_RegisterDispa + 4fb10 74636854 61626c65 00000041 42022304 tchTable...AB.#. + 4fb20 055f574d 495f416c 6c6f6345 76656e74 ._WMI_AllocEvent + 4fb30 00000041 4f022308 055f574d 495f5365 ...AO.#.._WMI_Se + 4fb40 6e644576 656e7400 00004158 02230c05 ndEvent...AX.#.. + 4fb50 5f574d49 5f476574 50656e64 696e6745 _WMI_GetPendingE + 4fb60 76656e74 73436f75 6e740000 00416502 ventsCount...Ae. + 4fb70 2310055f 574d495f 53656e64 436f6d70 #.._WMI_SendComp + 4fb80 6c657465 48616e64 6c657200 000039cc leteHandler...9. + 4fb90 02231405 5f574d49 5f476574 436f6e74 .#.._WMI_GetCont + 4fba0 726f6c45 70000000 41650223 18055f57 rolEp...Ae.#.._W + 4fbb0 4d495f53 68757464 6f776e00 0000416e MI_Shutdown...An + 4fbc0 02231c05 5f574d49 5f526563 764d6573 .#.._WMI_RecvMes + 4fbd0 73616765 48616e64 6c657200 000039c3 sageHandler...9. + 4fbe0 02232005 5f574d49 5f536572 76696365 .# ._WMI_Service + 4fbf0 436f6e6e 65637400 0000417b 02232405 Connect...A{.#$. + 4fc00 70526573 65727665 64000000 047b0223 pReserved....{.# + 4fc10 2800047a 73446d61 44657363 00140000 (..zsDmaDesc.... + 4fc20 433f0563 74726c00 0000011e 02230005 C?.ctrl......#.. + 4fc30 73746174 75730000 00011e02 23020574 status......#..t + 4fc40 6f74616c 4c656e00 0000011e 02230405 otalLen......#.. + 4fc50 64617461 53697a65 00000001 1e022306 dataSize......#. + 4fc60 056c6173 74416464 72000000 433f0223 .lastAddr...C?.# + 4fc70 08056461 74614164 64720000 00022c02 ..dataAddr....,. + 4fc80 230c056e 65787441 64647200 0000433f #..nextAddr...C? + 4fc90 02231000 07000042 bd040007 000042bd .#.....B......B. + 4fca0 0400047a 73446d61 51756575 65000800 ...zsDmaQueue... + 4fcb0 00437f05 68656164 00000043 46022300 .C..head...CF.#. + 4fcc0 05746572 6d696e61 746f7200 00004346 .terminator...CF + 4fcd0 02230400 047a7354 78446d61 51756575 .#...zsTxDmaQueu + 4fce0 65001000 0043e305 68656164 00000043 e....C..head...C + 4fcf0 46022300 05746572 6d696e61 746f7200 F.#..terminator. + 4fd00 00004346 02230405 786d6974 65645f62 ..CF.#..xmited_b + 4fd10 75665f68 65616400 000014ab 02230805 uf_head......#.. + 4fd20 786d6974 65645f62 75665f74 61696c00 xmited_buf_tail. + 4fd30 000014ab 02230c00 06010700 0043e304 .....#.......C.. + 4fd40 00070000 434d0400 06010700 0043f304 ....CM.......C.. + 4fd50 00070000 437f0400 06010700 00440304 ....C........D.. + 4fd60 00060107 0000440c 04000601 07000044 ......D........D + 4fd70 15040009 000014ab 01070000 441e0400 ............D... + 4fd80 06010700 00442b04 00090000 14ab0107 .....D+......... + 4fd90 00004434 04000601 07000044 41040009 ..D4.......DA... + 4fda0 000001c8 01070000 444a0400 09000043 ........DJ.....C + 4fdb0 46010700 00445704 00060107 00004464 F....DW.......Dd + 4fdc0 04000464 6d615f65 6e67696e 655f6170 ...dma_engine_ap + 4fdd0 69004000 0045da05 5f696e69 74000000 i.@..E.._init... + 4fde0 43e50223 00055f69 6e69745f 72785f71 C..#.._init_rx_q + 4fdf0 75657565 00000043 f5022304 055f696e ueue...C..#.._in + 4fe00 69745f74 785f7175 65756500 00004405 it_tx_queue...D. + 4fe10 02230805 5f636f6e 6669675f 72785f71 .#.._config_rx_q + 4fe20 75657565 00000044 0e02230c 055f786d ueue...D..#.._xm + 4fe30 69745f62 75660000 00441702 2310055f it_buf...D..#.._ + 4fe40 666c7573 685f786d 69740000 0043f502 flush_xmit...C.. + 4fe50 2314055f 72656170 5f726563 765f6275 #.._reap_recv_bu + 4fe60 66000000 44240223 18055f72 65747572 f...D$.#.._retur + 4fe70 6e5f7265 63765f62 75660000 00442d02 n_recv_buf...D-. + 4fe80 231c055f 72656170 5f786d69 7465645f #.._reap_xmited_ + 4fe90 62756600 0000443a 02232005 5f737761 buf...D:.# ._swa + 4fea0 705f6461 74610000 00444302 2324055f p_data...DC.#$._ + 4feb0 6861735f 636f6d70 6c5f7061 636b6574 has_compl_packet + 4fec0 73000000 44500223 28055f64 6573635f s...DP.#(._desc_ + 4fed0 64756d70 00000043 f502232c 055f6765 dump...C..#,._ge + 4fee0 745f7061 636b6574 00000044 5d022330 t_packet...D].#0 + 4fef0 055f7265 636c6169 6d5f7061 636b6574 ._reclaim_packet + 4ff00 00000044 66022334 055f7075 745f7061 ...Df.#4._put_pa + 4ff10 636b6574 00000044 66022338 05705265 cket...Df.#8.pRe + 4ff20 73657276 65640000 00047b02 233c0003 served....{.#<.. + 4ff30 5f415f63 6d6e6f73 5f696e64 69726563 _A_cmnos_indirec + 4ff40 74696f6e 5f746162 6c655f74 00000031 tion_table_t...1 + 4ff50 1903574d 495f5356 435f4150 49530000 ..WMI_SVC_APIS.. + 4ff60 00418217 5f415f6d 61677069 655f696e .A.._A_magpie_in + 4ff70 64697265 6374696f 6e5f7461 626c6500 direction_table. + 4ff80 034c0000 47080563 6d6e6f73 00000045 .L..G..cmnos...E + 4ff90 da022300 05646267 00000004 480323b8 ..#..dbg....H.#. + 4ffa0 03056869 66000000 29c20323 c0030568 ..hif...)..#...h + 4ffb0 74630000 003b3503 23f80305 776d695f tc...;5.#...wmi_ + 4ffc0 7376635f 61706900 000045fc 0323ac04 svc_api...E..#.. + 4ffd0 05757362 6669666f 5f617069 00000032 .usbfifo_api...2 + 4ffe0 ed0323d8 04056275 665f706f 6f6c0000 ..#...buf_pool.. + 4fff0 00379603 23e40405 76627566 00000014 .7..#...vbuf.... + 50000 d5032380 05057664 65736300 000013b7 ..#...vdesc..... + 50010 03239405 05616c6c 6f637261 6d000000 .#...allocram... + 50020 09ad0323 a8050564 6d615f65 6e67696e ...#...dma_engin + 50030 65000000 446d0323 b4050564 6d615f6c e...Dm.#...dma_l + 50040 69620000 002c5603 23f40505 6869665f ib...,V.#...hif_ + 50050 70636900 00002eb6 0323a806 00035f41 pci......#...._A + 50060 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect + 50070 696f6e5f 7461626c 655f7400 0000460e ion_table_t...F. + 50080 03536574 75705061 636b6574 00000001 .SetupPacket.... + 50090 421a436f 6e74726f 6c436d64 00000047 B.ControlCmd...G + 500a0 2b050300 500a9801 1a757362 4669666f +...P....usbFifo + 500b0 436f6e66 00000032 c8050300 500aa401 Conf...2....P... + 500c0 1a667743 6865636b 53756d00 00000241 .fwCheckSum....A + 500d0 05030050 0ab4010f 00000134 06000047 ...P.......4...G + 500e0 8f100200 1a557362 53746174 75730000 .....UsbStatus.. + 500f0 00478205 0300500a f0011a70 75384465 .G....P....pu8De + 50100 73637269 70746f72 45580000 000f8f05 scriptorEX...... + 50110 0300500a b8011a75 31365478 5278436f ..P....u16TxRxCo + 50120 756e7465 72000000 01340503 00500abc unter....4...P.. + 50130 011a7538 436f6e66 69674465 73637269 ..u8ConfigDescri + 50140 70746f72 45580000 000f8f05 0300500a ptorEX........P. + 50150 c0011404 00004826 0e414354 5f49444c ......H&.ACT_IDL + 50160 4500000e 4143545f 444f4e45 00010e41 E...ACT_DONE...A + 50170 43545f53 54414c4c 00020003 41637469 CT_STALL....Acti + 50180 6f6e0000 0047fd1a 65557362 43784669 on...G..eUsbCxFi + 50190 6e697368 41637469 6f6e0000 00482605 nishAction...H&. + 501a0 0300500a c4011404 0000488d 0e434d44 ..P.......H..CMD + 501b0 5f564f49 4400000e 434d445f 4745545f _VOID...CMD_GET_ + 501c0 44455343 52495054 4f520001 0e434d44 DESCRIPTOR...CMD + 501d0 5f534554 5f444553 43524950 544f5200 _SET_DESCRIPTOR. + 501e0 02000343 6f6d6d61 6e645479 70650000 ...CommandType.. + 501f0 0048511a 65557362 4378436f 6d6d616e .HQ.eUsbCxComman + 50200 64000000 488d0503 00500ac8 011a5573 d...H....P....Us + 50210 62436869 72704669 6e697368 00000008 bChirpFinish.... + 50220 6b050300 500acc01 1a753855 7362436f k...P....u8UsbCo + 50230 6e666967 56616c75 65000000 01340503 nfigValue....4.. + 50240 00500ace 011a7538 55736249 6e746572 .P....u8UsbInter + 50250 66616365 56616c75 65000000 01340503 faceValue....4.. + 50260 00500ad0 011a7538 55736249 6e746572 .P....u8UsbInter + 50270 66616365 416c7465 726e6174 65536574 faceAlternateSet + 50280 74696e67 00000001 34050300 500ad201 ting....4...P... + 50290 1a753136 4669726d 77617265 436f6d70 .u16FirmwareComp + 502a0 6c657465 00000001 34050300 500ad401 lete....4...P... + 502b0 1a753855 73624465 76696365 44657363 .u8UsbDeviceDesc + 502c0 72697074 6f720000 000f8f05 0300500a riptor........P. + 502d0 d8011a75 38537472 696e6730 30446573 ...u8String00Des + 502e0 63726970 746f7200 00000f8f 05030050 criptor........P + 502f0 0adc011a 75385374 72696e67 31304465 ....u8String10De + 50300 73637269 70746f72 0000000f 8f050300 scriptor........ + 50310 500ae001 1a753853 7472696e 67323044 P....u8String20D + 50320 65736372 6970746f 72000000 0f8f0503 escriptor....... + 50330 00500ae4 011a7538 53747269 6e673330 .P....u8String30 + 50340 44657363 72697074 6f720000 000f8f05 Descriptor...... + 50350 0300500a e8010700 00011104 00070000 ..P............. + 50360 02410400 1b000001 34010000 4a1c1002 .A......4...J... + 50370 001a5465 73745061 746e3000 00004a0f ..TestPatn0...J. + 50380 05030050 0720011b 00000241 0100004a ...P. .....A...J + 50390 3f100c00 1a546573 74506174 6e310000 ?....TestPatn1.. + 503a0 004a3205 03005007 30011b00 00013401 .J2...P.0.....4. + 503b0 00004a61 1c001b00 00013401 00004a6d ..Ja......4...Jm + 503c0 1c000700 00024104 000f0000 01cf1500 ......A......... + 503d0 004a8110 14000700 004a7404 00070000 .J.......Jt..... + 503e0 0f8f0400 1d000002 2c1d0000 022c0700 ........,....,.. + 503f0 004a9404 000f0000 01cf2500 004aad10 .J........%..J.. + 50400 24000700 004aa004 000f0000 01cf2000 $....J........ . + 50410 004ac110 1f000700 004ab404 000f0000 .J.......J...... + 50420 01cf0900 004ad510 08000700 004ac804 .....J.......J.. + 50430 000f0000 01cf1e00 004ae910 1d000700 .........J...... + 50440 004adc04 001b0000 01340100 004afc1c .J.......4...J.. + 50450 001b0000 01340100 004b081c 000f0000 .....4...K...... + 50460 01cf1100 004b1510 10000700 004b0804 .....K.......K.. + 50470 000f0000 01cf1000 004b2910 0f000700 .........K)..... + 50480 004b1c04 000f0000 01cf1600 004b3d10 .K...........K=. + 50490 15000700 004b3004 000f0000 01cf0d00 .....K0......... + 504a0 004b5110 0c000700 004b4404 000f0000 .KQ......KD..... + 504b0 01cf0f00 004b6510 0e000700 004b5804 .....Ke......KX. + 504c0 000f0000 01cf0e00 004b7910 0d000700 .........Ky..... + 504d0 004b6c04 000f0000 01cf1200 004b8d10 .Kl..........K.. + 504e0 11000700 004b8004 00070000 32ed0400 .....K......2... + 504f0 06010700 00100c04 0006011e 01013f76 ..............?v + 50500 5573625f 65703074 78000103 92012002 Usb_ep0tx..... . + 50510 9000008e 381c008e 38441e01 01597655 ....8...8D...YvU + 50520 73625f65 70307278 00010392 01200290 sb_ep0rx..... .. + 50530 00008e38 44008e38 661f0101 6d765573 ...8D..8f...mvUs + 50540 62436c72 45507800 01039201 20029000 bClrEPx..... ... + 50550 008e3868 008e38e9 00004c12 20753865 ..8h..8...L. u8e + 50560 70000000 01110021 01018662 4765745f p......!...bGet_ + 50570 73746174 75730000 00086b01 03920120 status....k.... + 50580 02900000 8e38ec00 8e392000 004c5320 .....8...9 ..LS + 50590 52656369 7069656e 74537461 7475734c RecipientStatusL + 505a0 6f770000 00011100 220101a1 62436c65 ow......"...bCle + 505b0 61725f66 65617475 72650000 00086b01 ar_feature....k. + 505c0 03920120 02900000 8e392000 8e394321 ... .....9 ..9C! + 505d0 0101c662 5365745f 66656174 75726500 ...bSet_feature. + 505e0 0000086b 01039201 20029000 008e3944 ...k.... .....9D + 505f0 008e3a14 00004cac 20696900 00000134 ..:...L. ii....4 + 50600 00220102 48625365 745f6164 64726573 ."..HbSet_addres + 50610 73000000 086b0103 92012002 9000008e s....k.... ..... + 50620 3a14008e 3a332201 02626247 65745f64 :...:3"..bbGet_d + 50630 65736372 6970746f 72000000 086b0103 escriptor....k.. + 50640 92012002 9000008e 3a34008e 3ac32201 .. .....:4..:.". + 50650 02c96247 65745f63 6f6e6669 67757261 ..bGet_configura + 50660 74696f6e 00000008 6b010392 01200290 tion....k.... .. + 50670 00008e3a c4008e3a ea220102 e7625365 ...:...:."...bSe + 50680 745f636f 6e666967 75726174 696f6e00 t_configuration. + 50690 0000086b 01039201 20029000 008e3aec ...k.... .....:. + 506a0 008e3b91 2201032a 62476574 5f696e74 ..;."..*bGet_int + 506b0 65726661 63650000 00086b01 03920120 erface....k.... + 506c0 02900000 8e3b9400 8e3bd922 01035f62 .....;...;.".._b + 506d0 5365745f 696e7465 72666163 65000000 Set_interface... + 506e0 086b0103 92012002 9000008e 3bdc008e .k.... .....;... + 506f0 3c691f01 03997655 73624550 30547844 .....Ve + 50840 6e646f72 436f6d6d 616e6400 01039201 ndorCommand..... + 50850 20029000 008e3efc 008e3fee 00004f28 .....>...?...O( + 50860 20746578 745f6164 64720000 000f8f20 text_addr..... + 50870 66756e63 50747200 000001c1 001f0105 funcPtr......... + 50880 2a765573 625f6570 30736574 75700001 *vUsb_ep0setup.. + 50890 03920130 02900000 8e3ff000 8e416600 ...0.....?...Af. + 508a0 004f7120 69690000 00011120 6b6b6b00 .Oq ii..... kkk. + 508b0 000001c8 23657030 5f646174 61000000 ....#ep0_data... + 508c0 02410291 50001e01 05bd6346 55534232 .A..P.....cFUSB2 + 508d0 3030496e 69740001 03920120 02900000 00Init..... .... + 508e0 8e416800 8e41e91e 0105ea5f 75736266 .Ah..A....._usbf + 508f0 69666f5f 656e6162 6c655f65 76656e74 ifo_enable_event + 50900 5f697372 00010392 01200290 00008e41 _isr..... .....A + 50910 ec008e42 061f0105 ef5f7573 62666966 ...B....._usbfif + 50920 6f5f696e 69740001 03920120 02900000 o_init..... .... + 50930 8e420800 8e422000 004ff924 0105ef70 .B...B ..O.$...p + 50940 436f6e66 69670000 0032dd01 52001f01 Config...2..R... + 50950 05f77655 73625f52 65675f4f 75740001 ..vUsb_Reg_Out.. + 50960 03920120 02900000 8e422000 8e428800 ... .....B ..B.. + 50970 00506720 62756600 000014ab 20726567 .Pg buf..... reg + 50980 61646472 0000004a 08207573 62666966 addr...J. usbfif + 50990 6f6c656e 00000001 3420636d 644c656e olen....4 cmdLen + 509a0 00000001 34206969 00000001 34206570 ....4 ii....4 ep + 509b0 345f6461 74610000 00024100 1f010636 4_data....A....6 + 509c0 76557362 5f537461 7475735f 496e0001 vUsb_Status_In.. + 509d0 03920120 02900000 8e428800 8e432a00 ... .....B...C*. + 509e0 0050d220 65766e74 62756600 000014ab .P. evntbuf..... + 509f0 20726567 61646472 0000004a 99205265 regaddr...J. Re + 50a00 67427566 4c656e00 0000011e 20636f75 gBufLen..... cou + 50a10 6e740000 00013420 72656d61 696e6465 nt....4 remainde + 50a20 72000000 0134001e 0106857a 66526573 r....4.....zfRes + 50a30 65745553 42464946 4f000103 92012002 etUSBFIFO..... . + 50a40 9000008e 432c008e 433d1e01 06927a66 ....C,..C=....zf + 50a50 5475726e 4f666650 6f776572 00010392 TurnOffPower.... + 50a60 01200290 00008e43 40008e43 511f0106 . .....C@..CQ... + 50a70 b37a6647 656e5761 74636844 6f674576 .zfGenWatchDogEv + 50a80 656e7400 01039201 20029000 008e4354 ent..... .....CT + 50a90 008e4396 0000514f 20657665 6e740000 ..C...QO event.. + 50aa0 00024100 1e0106c2 7a664a75 6d70546f ..A.....zfJumpTo + 50ab0 426f6f74 436f6465 00010392 01200290 BootCode..... .. + 50ac0 00008e43 98008e43 a01f0106 ca5f7573 ...C...C....._us + 50ad0 625f726f 6d5f7461 736b0001 03920120 b_rom_task..... + 50ae0 02900000 8e43a000 8e446600 0051cf20 .....C...Df..Q. + 50af0 7573625f 696e7465 72727570 745f6c65 usb_interrupt_le + 50b00 76656c31 00000001 11207573 625f696e vel1..... usb_in + 50b10 74657272 7570745f 6c657665 6c320000 terrupt_level2.. + 50b20 00011100 1f010715 5f757362 5f66775f ........_usb_fw_ + 50b30 7461736b 00010392 01200290 00008e44 task..... .....D + 50b40 68008e45 94000052 29207573 625f696e h..E...R) usb_in + 50b50 74657272 7570745f 6c657665 6c310000 terrupt_level1.. + 50b60 00011120 7573625f 696e7465 72727570 ... usb_interrup + 50b70 745f6c65 76656c32 00000001 11001f01 t_level2........ + 50b80 08315f75 73625f63 6c6b5f69 6e697400 .1_usb_clk_init. + 50b90 01039201 20029000 008e4594 008e4638 .... .....E...F8 + 50ba0 0000525f 206d426f 6f744d6f 64650000 ..R_ mBootMode.. + 50bb0 000e6400 2501085d 5f757362 5f696e69 ..d.%..]_usb_ini + 50bc0 74000101 03920120 02900000 8e463800 t...... .....F8. + 50bd0 8e470c00 00529320 636f6c64 5f737461 .G...R. cold_sta + 50be0 72740000 00022c00 250108cd 75736266 rt....,.%...usbf + 50bf0 69666f5f 6d6f6475 6c655f69 6e737461 ifo_module_insta + 50c00 6c6c0001 01039201 20029000 008e470c ll...... .....G. + 50c10 008e471b 000052d3 240108cd 61706973 ..G...R.$...apis + 50c20 0000004b 94015200 260108d5 636d6e6f ...K..R.&...cmno + 50c30 735f7573 625f6d6f 64756c65 5f696e73 s_usb_module_ins + 50c40 74616c6c 00010103 92012002 9000008e tall...... ..... + 50c50 471c008e 47b92401 08d56170 69730000 G...G.$...apis.. + 50c60 004b9d01 52000000 000003d7 00020000 .K..R........... + 50c70 1db50401 2f726f6f 742f576f 726b7370 ..../root/Worksp + 50c80 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 50c90 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 50ca0 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 50cb0 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 50cc0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 50cd0 6d2f6869 662f7573 622f7372 632f7573 m/hif/usb/src/us + 50ce0 625f7461 626c652e 63002f72 6f6f742f b_table.c./root/ + 50cf0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 50d00 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 50d10 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 50d20 2f757362 0078742d 78636320 666f7220 /usb.xt-xcc for + 50d30 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 50d40 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 50d50 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 50d60 61636500 01000275 6e736967 6e656420 ace....unsigned + 50d70 63686172 00070103 75696e74 385f7400 char....uint8_t. + 50d80 000000fe 0273686f 72742075 6e736967 .....short unsig + 50d90 6e656420 696e7400 07020375 696e7431 ned int....uint1 + 50da0 365f7400 0000011c 04536574 75705f50 6_t......Setup_P + 50db0 61636b65 74000c00 0001bd05 44697265 acket.......Dire + 50dc0 6374696f 6e000000 010f0223 00055479 ction......#..Ty + 50dd0 70650000 00010f02 2301054f 626a6563 pe......#..Objec + 50de0 74000000 010f0223 02055265 71756573 t......#..Reques + 50df0 74000000 01320223 04055661 6c756500 t....2.#..Value. + 50e00 00000132 02230605 496e6465 78000000 ...2.#..Index... + 50e10 01320223 08054c65 6e677468 00000001 .2.#..Length.... + 50e20 3202230a 00060000 01320100 0001c907 2.#......2...... + 50e30 00080000 01bd0600 00013201 000001da ..........2..... + 50e40 07000955 73624465 76696365 44657363 ...UsbDeviceDesc + 50e50 72697074 6f720000 0001c905 03004e01 riptor........N. + 50e60 00010600 00013201 00000206 07000800 ......2......... + 50e70 0001fa06 00000132 01000002 17070009 .......2........ + 50e80 75384853 436f6e66 69674465 73637269 u8HSConfigDescri + 50e90 70746f72 30310000 00020605 03004e01 ptor01........N. + 50ea0 20010600 00013201 00000246 07000800 .....2....F.... + 50eb0 00023a06 00000132 01000002 57070009 ..:....2....W... + 50ec0 75384653 436f6e66 69674465 73637269 u8FSConfigDescri + 50ed0 70746f72 30310000 00024605 03004e01 ptor01....F...N. + 50ee0 60010600 00013201 00000287 0a040009 `.....2......... + 50ef0 75384465 76696365 5175616c 69666965 u8DeviceQualifie + 50f00 72446573 63726970 746f7245 58000000 rDescriptorEX... + 50f10 027a0503 00500770 01060000 01320100 .z...P.p.....2.. + 50f20 0002be0a 1d000975 384f7468 65725370 .......u8OtherSp + 50f30 65656443 6f6e6669 67446573 63726970 eedConfigDescrip + 50f40 746f7245 58000000 02b10503 00500780 torEX........P.. + 50f50 01060000 01320100 0002f507 00080000 .....2.......... + 50f60 02e90600 00013201 00000306 07000953 ......2........S + 50f70 7472696e 67303044 65736372 6970746f tring00Descripto + 50f80 72000000 02f50503 004e01a0 01060000 r........N...... + 50f90 01320100 00033107 00080000 03250600 .2....1......%.. + 50fa0 00013201 00000342 07000953 7472696e ..2....B...Strin + 50fb0 67313044 65736372 6970746f 72000000 g10Descriptor... + 50fc0 03310503 004e01b0 01060000 01320100 .1...N.......2.. + 50fd0 00036d07 00080000 03610600 00013201 ..m......a....2. + 50fe0 0000037e 07000953 7472696e 67323044 ...~...String20D + 50ff0 65736372 6970746f 72000000 036d0503 escriptor....m.. + 51000 004e01c0 01060000 01320100 0003a907 .N.......2...... + 51010 00080000 039d0600 00013201 000003ba ..........2..... + 51020 07000953 7472696e 67333044 65736372 ...String30Descr + 51030 6970746f 72000000 03a90503 004e01e0 iptor........N.. + 51040 01000000 00499200 0200001e 1a04012f .....I........./ + 51050 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 51060 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 51070 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 51080 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 51090 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 510a0 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 510b0 2f757362 2f737263 2f757362 5f666966 /usb/src/usb_fif + 510c0 6f2e6300 2f726f6f 742f576f 726b7370 o.c./root/Worksp + 510d0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 510e0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 510f0 5f312f72 6f6d2f68 69662f75 73620078 _1/rom/hif/usb.x + 51100 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 + 51110 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr + 51120 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - + 51130 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... + 51140 0001249e 02756e73 69676e65 64206368 ..$..unsigned ch + 51150 61720007 01037569 6e74385f 74000000 ar....uint8_t... + 51160 01010273 686f7274 20756e73 69676e65 ...short unsigne + 51170 6420696e 74000702 0375696e 7431365f d int....uint16_ + 51180 74000000 011f0453 65747570 5f506163 t......Setup_Pac + 51190 6b657400 0c000001 c0054469 72656374 ket.......Direct + 511a0 696f6e00 00000112 02230005 54797065 ion......#..Type + 511b0 00000001 12022301 054f626a 65637400 ......#..Object. + 511c0 00000112 02230205 52657175 65737400 .....#..Request. + 511d0 00000135 02230405 56616c75 65000000 ...5.#..Value... + 511e0 01350223 0605496e 64657800 00000135 .5.#..Index....5 + 511f0 02230805 4c656e67 74680000 00013502 .#..Length....5. + 51200 230a0006 01070000 01c00400 02696e74 #............int + 51210 00050402 63686172 00070108 000001d0 ....char........ + 51220 08000001 d0070000 01dd0400 09000001 ................ + 51230 c9010700 0001e904 00047072 696e7466 ..........printf + 51240 5f617069 00080000 022d055f 7072696e _api.....-._prin + 51250 74665f69 6e697400 000001c2 02230005 tf_init......#.. + 51260 5f707269 6e746600 000001ef 02230400 _printf......#.. + 51270 026c6f6e 6720756e 7369676e 65642069 .long unsigned i + 51280 6e740007 04037569 6e743332 5f740000 nt....uint32_t.. + 51290 00022d04 75617274 5f666966 6f000800 ..-.uart_fifo... + 512a0 00029b05 73746172 745f696e 64657800 ....start_index. + 512b0 00000135 02230005 656e645f 696e6465 ...5.#..end_inde + 512c0 78000000 01350223 02056f76 65727275 x....5.#..overru + 512d0 6e5f6572 72000000 02420223 04000475 n_err....B.#...u + 512e0 6172745f 61706900 20000003 54055f75 art_api. ...T._u + 512f0 6172745f 696e6974 00000003 ab022300 art_init......#. + 51300 055f7561 72745f63 6861725f 70757400 ._uart_char_put. + 51310 000003b4 02230405 5f756172 745f6368 .....#.._uart_ch + 51320 61725f67 65740000 0003c802 2308055f ar_get......#.._ + 51330 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 51340 d102230c 055f7561 72745f74 61736b00 ..#.._uart_task. + 51350 000001c2 02231005 5f756172 745f7374 .....#.._uart_st + 51360 61747573 00000003 ab022314 055f7561 atus......#.._ua + 51370 72745f63 6f6e6669 67000000 03da0223 rt_config......# + 51380 18055f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 51390 0003e302 231c0007 0000029b 04000475 ....#..........u + 513a0 6172745f 626c6b00 10000003 a5056465 art_blk.......de + 513b0 6275675f 6d6f6465 00000001 35022300 bug_mode....5.#. + 513c0 05626175 64000000 01350223 02055f75 .baud....5.#.._u + 513d0 61727400 00000354 02230405 5f747800 art....T.#.._tx. + 513e0 00000250 02230800 09000002 42010700 ...P.#......B... + 513f0 0003a504 00060107 000003b2 04000700 ................ + 51400 00011204 00090000 01350107 000003c2 .........5...... + 51410 04000601 07000003 cf040006 01070000 ................ + 51420 03d80400 06010700 0003e104 00070000 ................ + 51430 01d00400 09000001 c9010700 0003f104 ................ + 51440 00044442 5f434f4d 4d414e44 5f535452 ..DB_COMMAND_STR + 51450 55435400 0c000004 4905636d 645f7374 UCT.....I.cmd_st + 51460 72000000 03ea0223 00056865 6c705f73 r......#..help_s + 51470 74720000 0003ea02 23040563 6d645f66 tr......#..cmd_f + 51480 756e6300 000003f7 02230800 04646267 unc......#...dbg + 51490 5f617069 00080000 047c055f 6462675f _api.....|._dbg_ + 514a0 696e6974 00000001 c2022300 055f6462 init......#.._db + 514b0 675f7461 736b0000 0001c202 2304000a g_task......#... + 514c0 04000275 6e736967 6e656420 696e7400 ...unsigned int. + 514d0 07040900 00047c01 07000004 8f04000b ......|......... + 514e0 0b070000 049d0400 09000004 7c010700 ............|... + 514f0 0004a504 00090000 01c90107 000004b2 ................ + 51500 0400046d 656d5f61 70690014 00000521 ...mem_api.....! + 51510 055f6d65 6d5f696e 69740000 0001c202 ._mem_init...... + 51520 2300055f 6d656d73 65740000 00049502 #.._memset...... + 51530 2304055f 6d656d63 70790000 0004ab02 #.._memcpy...... + 51540 2308055f 6d656d6d 6f766500 000004ab #.._memmove..... + 51550 02230c05 5f6d656d 636d7000 000004b8 .#.._memcmp..... + 51560 02231000 0c726567 69737465 725f6475 .#...register_du + 51570 6d705f73 00000107 00000521 04000601 mp_s.......!.... + 51580 07000005 3b040006 01070000 05440400 ....;........D.. + 51590 09000001 c9010700 00054d04 000d686f ..........M...ho + 515a0 73746966 5f730004 000005a9 0e484946 stif_s.......HIF + 515b0 5f555342 00000e48 49465f50 43494500 _USB...HIF_PCIE. + 515c0 010e4849 465f474d 41430002 0e484946 ..HIF_GMAC...HIF + 515d0 5f504349 00030e48 49465f4e 554d0004 _PCI...HIF_NUM.. + 515e0 0e484946 5f4e4f4e 45000500 03415f48 .HIF_NONE....A_H + 515f0 4f535449 46000000 055a0900 0005a901 OSTIF....Z...... + 51600 07000005 b7040009 00000112 01070000 ................ + 51610 05c40400 09000001 35010700 0005d104 ........5....... + 51620 00046d69 73635f61 70690024 000006c1 ..misc_api.$.... + 51630 055f7379 7374656d 5f726573 65740000 ._system_reset.. + 51640 0001c202 2300055f 6d61635f 72657365 ....#.._mac_rese + 51650 74000000 01c20223 04055f61 73736661 t......#.._assfa + 51660 696c0000 00053d02 2308055f 6d697361 il....=.#.._misa + 51670 6c69676e 65645f6c 6f61645f 68616e64 ligned_load_hand + 51680 6c657200 0000053d 02230c05 5f726570 ler....=.#.._rep + 51690 6f72745f 6661696c 7572655f 746f5f68 ort_failure_to_h + 516a0 6f737400 00000546 02231005 5f746172 ost....F.#.._tar + 516b0 6765745f 69645f67 65740000 00055302 get_id_get....S. + 516c0 2314055f 69735f68 6f73745f 70726573 #.._is_host_pres + 516d0 656e7400 000005bd 02231805 5f6b6268 ent......#.._kbh + 516e0 69740000 0005ca02 231c055f 726f6d5f it......#.._rom_ + 516f0 76657273 696f6e5f 67657400 000005d7 version_get..... + 51700 02232000 09000003 ea010700 0006c104 .# ............. + 51710 00090000 03ea0107 000006ce 04000900 ................ + 51720 0001c901 07000006 db040009 000001c9 ................ + 51730 01070000 06e80400 09000001 c9010700 ................ + 51740 0006f504 00047374 72696e67 5f617069 ......string_api + 51750 00180000 077b055f 73747269 6e675f69 .....{._string_i + 51760 6e697400 000001c2 02230005 5f737472 nit......#.._str + 51770 63707900 000006c7 02230405 5f737472 cpy......#.._str + 51780 6e637079 00000006 d4022308 055f7374 ncpy......#.._st + 51790 726c656e 00000006 e102230c 055f7374 rlen......#.._st + 517a0 72636d70 00000006 ee022310 055f7374 rcmp......#.._st + 517b0 726e636d 70000000 06fb0223 14000f00 rncmp......#.... + 517c0 00047f14 00000788 10040003 5f415f54 ............_A_T + 517d0 494d4552 5f535041 43450000 00077b03 IMER_SPACE....{. + 517e0 415f7469 6d65725f 74000000 07880700 A_timer_t....... + 517f0 00079c04 00060107 000007b2 04000601 ................ + 51800 07000007 bb040003 415f4841 4e444c45 ........A_HANDLE + 51810 00000004 7f060103 415f5449 4d45525f ........A_TIMER_ + 51820 46554e43 00000007 d2070000 07d40400 FUNC............ + 51830 06010700 0007ed04 00047469 6d65725f ..........timer_ + 51840 61706900 14000008 6c055f74 696d6572 api.....l._timer + 51850 5f696e69 74000000 01c20223 00055f74 _init......#.._t + 51860 696d6572 5f61726d 00000007 b4022304 imer_arm......#. + 51870 055f7469 6d65725f 64697361 726d0000 ._timer_disarm.. + 51880 0007bd02 2308055f 74696d65 725f7365 ....#.._timer_se + 51890 74666e00 000007ef 02230c05 5f74696d tfn......#.._tim + 518a0 65725f72 756e0000 0001c202 23100003 er_run......#... + 518b0 424f4f4c 45414e00 00000135 09000008 BOOLEAN....5.... + 518c0 6c010700 00087904 00090000 086c0107 l.....y......l.. + 518d0 00000886 04000900 00086c01 07000008 ..........l..... + 518e0 93040004 726f6d70 5f617069 00100000 ....romp_api.... + 518f0 0905055f 726f6d70 5f696e69 74000000 ..._romp_init... + 51900 01c20223 00055f72 6f6d705f 646f776e ...#.._romp_down + 51910 6c6f6164 00000008 7f022304 055f726f load......#.._ro + 51920 6d705f69 6e737461 6c6c0000 00088c02 mp_install...... + 51930 2308055f 726f6d70 5f646563 6f646500 #.._romp_decode. + 51940 00000899 02230c00 04726f6d 5f706174 .....#...rom_pat + 51950 63685f73 74001000 00096105 63726331 ch_st.....a.crc1 + 51960 36000000 01350223 00056c65 6e000000 6....5.#..len... + 51970 01350223 02056c64 5f616464 72000000 .5.#..ld_addr... + 51980 02420223 04056675 6e5f6164 64720000 .B.#..fun_addr.. + 51990 00024202 23080570 66756e00 000003bb ..B.#..pfun..... + 519a0 02230c00 04656570 5f726564 69725f61 .#...eep_redir_a + 519b0 64647200 04000009 93056f66 66736574 ddr.......offset + 519c0 00000001 35022300 0573697a 65000000 ....5.#..size... + 519d0 01350223 02000341 5f55494e 54333200 .5.#...A_UINT32. + 519e0 0000047f 09000004 7c010700 0009a104 ........|....... + 519f0 0004616c 6c6f6372 616d5f61 7069000c ..allocram_api.. + 51a00 00000a12 05636d6e 6f735f61 6c6c6f63 .....cmnos_alloc + 51a10 72616d5f 696e6974 00000009 a7022300 ram_init......#. + 51a20 05636d6e 6f735f61 6c6c6f63 72616d00 .cmnos_allocram. + 51a30 000009a7 02230405 636d6e6f 735f616c .....#..cmnos_al + 51a40 6c6f6372 616d5f64 65627567 00000001 locram_debug.... + 51a50 c2022308 00060107 00000a12 04000341 ..#............A + 51a60 5f544153 4b4c4554 5f46554e 43000000 _TASKLET_FUNC... + 51a70 0a14045f 7461736b 6c657400 1000000a ..._tasklet..... + 51a80 73056675 6e630000 000a1b02 23000561 s.func......#..a + 51a90 72670000 00047c02 23040573 74617465 rg....|.#..state + 51aa0 00000001 c9022308 056e6578 74000000 ......#..next... + 51ab0 0a730223 0c000700 000a2f04 00070000 .s.#....../..... + 51ac0 0a2f0400 03415f74 61736b6c 65745f74 ./...A_tasklet_t + 51ad0 0000000a 2f070000 0a810400 06010700 ..../........... + 51ae0 000a9904 00060107 00000aa2 04000474 ...............t + 51af0 61736b6c 65745f61 70690014 00000b37 asklet_api.....7 + 51b00 055f7461 736b6c65 745f696e 69740000 ._tasklet_init.. + 51b10 0001c202 2300055f 7461736b 6c65745f ....#.._tasklet_ + 51b20 696e6974 5f746173 6b000000 0a9b0223 init_task......# + 51b30 04055f74 61736b6c 65745f64 69736162 .._tasklet_disab + 51b40 6c650000 000aa402 2308055f 7461736b le......#.._task + 51b50 6c65745f 73636865 64756c65 0000000a let_schedule.... + 51b60 a402230c 055f7461 736b6c65 745f7275 ..#.._tasklet_ru + 51b70 6e000000 01c20223 10000601 0700000b n......#........ + 51b80 37040009 00000993 01070000 0b400400 7............@.. + 51b90 06010700 000b4d04 0004636c 6f636b5f ......M...clock_ + 51ba0 61706900 2400000c 2f055f63 6c6f636b api.$.../._clock + 51bb0 5f696e69 74000000 0b390223 00055f63 _init....9.#.._c + 51bc0 6c6f636b 72656773 5f696e69 74000000 lockregs_init... + 51bd0 01c20223 04055f75 6172745f 66726571 ...#.._uart_freq + 51be0 75656e63 79000000 0b460223 08055f64 uency....F.#.._d + 51bf0 656c6179 5f757300 00000b4f 02230c05 elay_us....O.#.. + 51c00 5f776c61 6e5f6261 6e645f73 65740000 _wlan_band_set.. + 51c10 000b4f02 2310055f 72656663 6c6b5f73 ..O.#.._refclk_s + 51c20 70656564 5f676574 0000000b 46022314 peed_get....F.#. + 51c30 055f6d69 6c6c6973 65636f6e 64730000 ._milliseconds.. + 51c40 000b4602 2318055f 73797363 6c6b5f63 ..F.#.._sysclk_c + 51c50 68616e67 65000000 01c20223 1c055f63 hange......#.._c + 51c60 6c6f636b 5f746963 6b000000 01c20223 lock_tick......# + 51c70 20000900 00024201 0700000c 2f040003 .....B...../... + 51c80 415f6f6c 645f696e 74725f74 00000002 A_old_intr_t.... + 51c90 42090000 0c3c0107 00000c4e 04000601 B....<.....N.... + 51ca0 0700000c 5b040006 01070000 0c640400 ....[........d.. + 51cb0 09000002 42010700 000c6d04 0003415f ....B.....m...A_ + 51cc0 6973725f 74000000 0c730601 0700000c isr_t....s...... + 51cd0 87040009 0000047f 01070000 0c900400 ................ + 51ce0 06010700 000c9d04 0004696e 74725f61 ..........intr_a + 51cf0 7069002c 00000dbf 055f696e 74725f69 pi.,....._intr_i + 51d00 6e697400 000001c2 02230005 5f696e74 nit......#.._int + 51d10 725f696e 766f6b65 5f697372 0000000c r_invoke_isr.... + 51d20 35022304 055f696e 74725f64 69736162 5.#.._intr_disab + 51d30 6c650000 000c5402 2308055f 696e7472 le....T.#.._intr + 51d40 5f726573 746f7265 0000000c 5d02230c _restore....].#. + 51d50 055f696e 74725f6d 61736b5f 696e756d ._intr_mask_inum + 51d60 0000000c 66022310 055f696e 74725f75 ....f.#.._intr_u + 51d70 6e6d6173 6b5f696e 756d0000 000c6602 nmask_inum....f. + 51d80 2314055f 696e7472 5f617474 6163685f #.._intr_attach_ + 51d90 69737200 00000c89 02231805 5f676574 isr......#.._get + 51da0 5f696e74 72656e61 626c6500 00000c96 _intrenable..... + 51db0 02231c05 5f736574 5f696e74 72656e61 .#.._set_intrena + 51dc0 626c6500 00000c9f 02232005 5f676574 ble......# ._get + 51dd0 5f696e74 7270656e 64696e67 0000000c _intrpending.... + 51de0 96022324 055f756e 626c6f63 6b5f616c ..#$._unblock_al + 51df0 6c5f696e 74726c76 6c000000 01c20223 l_intrlvl......# + 51e00 28001104 00000de5 0574696d 656f7574 (........timeout + 51e10 00000002 42022300 05616374 696f6e00 ....B.#..action. + 51e20 00000242 02230000 12080000 0e000563 ...B.#.........c + 51e30 6d640000 00024202 23001300 000dbf02 md....B.#....... + 51e40 23040003 545f5744 545f434d 44000000 #...T_WDT_CMD... + 51e50 0de50601 0700000e 0f040014 0400000e ................ + 51e60 650e454e 554d5f57 44545f42 4f4f5400 e.ENUM_WDT_BOOT. + 51e70 010e454e 554d5f43 4f4c445f 424f4f54 ..ENUM_COLD_BOOT + 51e80 00020e45 4e554d5f 53555350 5f424f4f ...ENUM_SUSP_BOO + 51e90 5400030e 454e554d 5f554e4b 4e4f574e T...ENUM_UNKNOWN + 51ea0 5f424f4f 54000400 03545f42 4f4f545f _BOOT....T_BOOT_ + 51eb0 54595045 0000000e 18090000 0e650107 TYPE.........e.. + 51ec0 00000e76 04000477 64745f61 7069001c ...v...wdt_api.. + 51ed0 00000f1a 055f7764 745f696e 69740000 ....._wdt_init.. + 51ee0 0001c202 2300055f 7764745f 656e6162 ....#.._wdt_enab + 51ef0 6c650000 0001c202 2304055f 7764745f le......#.._wdt_ + 51f00 64697361 626c6500 000001c2 02230805 disable......#.. + 51f10 5f776474 5f736574 0000000e 1102230c _wdt_set......#. + 51f20 055f7764 745f7461 736b0000 0001c202 ._wdt_task...... + 51f30 2310055f 7764745f 72657365 74000000 #.._wdt_reset... + 51f40 01c20223 14055f77 64745f6c 6173745f ...#.._wdt_last_ + 51f50 626f6f74 0000000e 7c022318 00140400 boot....|.#..... + 51f60 000f810e 5245545f 53554343 45535300 ....RET_SUCCESS. + 51f70 000e5245 545f4e4f 545f494e 49540001 ..RET_NOT_INIT.. + 51f80 0e524554 5f4e4f54 5f455849 53540002 .RET_NOT_EXIST.. + 51f90 0e524554 5f454550 5f434f52 52555054 .RET_EEP_CORRUPT + 51fa0 00030e52 45545f45 45505f4f 56455246 ...RET_EEP_OVERF + 51fb0 4c4f5700 040e5245 545f554e 4b4e4f57 LOW...RET_UNKNOW + 51fc0 4e000500 03545f45 45505f52 45540000 N....T_EEP_RET.. + 51fd0 000f1a07 00000135 04000900 000f8101 .......5........ + 51fe0 0700000f 97040009 00000f81 01070000 ................ + 51ff0 0fa40400 04656570 5f617069 00100000 .....eep_api.... + 52000 100d055f 6565705f 696e6974 00000001 ..._eep_init.... + 52010 c2022300 055f6565 705f7265 61640000 ..#.._eep_read.. + 52020 000f9d02 2304055f 6565705f 77726974 ....#.._eep_writ + 52030 65000000 0f9d0223 08055f65 65705f69 e......#.._eep_i + 52040 735f6578 69737400 00000faa 02230c00 s_exist......#.. + 52050 04757362 5f617069 00700000 12ba055f .usb_api.p....._ + 52060 7573625f 696e6974 00000001 c2022300 usb_init......#. + 52070 055f7573 625f726f 6d5f7461 736b0000 ._usb_rom_task.. + 52080 0001c202 2304055f 7573625f 66775f74 ....#.._usb_fw_t + 52090 61736b00 000001c2 02230805 5f757362 ask......#.._usb + 520a0 5f696e69 745f7068 79000000 01c20223 _init_phy......# + 520b0 0c055f75 73625f65 70305f73 65747570 .._usb_ep0_setup + 520c0 00000001 c2022310 055f7573 625f6570 ......#.._usb_ep + 520d0 305f7478 00000001 c2022314 055f7573 0_tx......#.._us + 520e0 625f6570 305f7278 00000001 c2022318 b_ep0_rx......#. + 520f0 055f7573 625f6765 745f696e 74657266 ._usb_get_interf + 52100 61636500 0000088c 02231c05 5f757362 ace......#.._usb + 52110 5f736574 5f696e74 65726661 63650000 _set_interface.. + 52120 00088c02 2320055f 7573625f 6765745f ....# ._usb_get_ + 52130 636f6e66 69677572 6174696f 6e000000 configuration... + 52140 088c0223 24055f75 73625f73 65745f63 ...#$._usb_set_c + 52150 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 52160 8c022328 055f7573 625f7374 616e6461 ..#(._usb_standa + 52170 72645f63 6d640000 00088c02 232c055f rd_cmd......#,._ + 52180 7573625f 76656e64 6f725f63 6d640000 usb_vendor_cmd.. + 52190 0001c202 2330055f 7573625f 706f7765 ....#0._usb_powe + 521a0 725f6f66 66000000 01c20223 34055f75 r_off......#4._u + 521b0 73625f72 65736574 5f666966 6f000000 sb_reset_fifo... + 521c0 01c20223 38055f75 73625f67 656e5f77 ...#8._usb_gen_w + 521d0 64740000 0001c202 233c055f 7573625f dt......#<._usb_ + 521e0 6a756d70 5f626f6f 74000000 01c20223 jump_boot......# + 521f0 40055f75 73625f63 6c725f66 65617475 @._usb_clr_featu + 52200 72650000 00088c02 2344055f 7573625f re......#D._usb_ + 52210 7365745f 66656174 75726500 0000088c set_feature..... + 52220 02234805 5f757362 5f736574 5f616464 .#H._usb_set_add + 52230 72657373 00000008 8c02234c 055f7573 ress......#L._us + 52240 625f6765 745f6465 73637269 70746f72 b_get_descriptor + 52250 00000008 8c022350 055f7573 625f6765 ......#P._usb_ge + 52260 745f7374 61747573 00000008 8c022354 t_status......#T + 52270 055f7573 625f7365 7475705f 64657363 ._usb_setup_desc + 52280 00000001 c2022358 055f7573 625f7265 ......#X._usb_re + 52290 675f6f75 74000000 01c20223 5c055f75 g_out......#\._u + 522a0 73625f73 74617475 735f696e 00000001 sb_status_in.... + 522b0 c2022360 055f7573 625f6570 305f7478 ..#`._usb_ep0_tx + 522c0 5f646174 61000000 01c20223 64055f75 _data......#d._u + 522d0 73625f65 70305f72 785f6461 74610000 sb_ep0_rx_data.. + 522e0 0001c202 2368055f 7573625f 636c6b5f ....#h._usb_clk_ + 522f0 696e6974 00000001 c202236c 00045f56 init......#l.._V + 52300 44455343 00240000 1346056e 6578745f DESC.$...F.next_ + 52310 64657363 00000013 46022300 05627566 desc....F.#..buf + 52320 5f616464 72000000 135a0223 04056275 _addr....Z.#..bu + 52330 665f7369 7a650000 00136102 23080564 f_size....a.#..d + 52340 6174615f 6f666673 65740000 00136102 ata_offset....a. + 52350 230a0564 6174615f 73697a65 00000013 #..data_size.... + 52360 6102230c 05636f6e 74726f6c 00000013 a.#..control.... + 52370 6102230e 0568775f 64657363 5f627566 a.#..hw_desc_buf + 52380 00000013 6f022310 00070000 12ba0400 ....o.#......... + 52390 03415f55 494e5438 00000001 01070000 .A_UINT8........ + 523a0 134d0400 03415f55 494e5431 36000000 .M...A_UINT16... + 523b0 011f0f00 00134d14 0000137c 10130007 ......M....|.... + 523c0 000012ba 04000356 44455343 00000012 .......VDESC.... + 523d0 ba070000 13830400 09000013 8e010700 ................ + 523e0 00139504 00090000 135a0107 000013a2 .........Z...... + 523f0 04000601 07000013 af040004 76646573 ............vdes + 52400 635f6170 69001400 00142705 5f696e69 c_api.....'._ini + 52410 74000000 0b4f0223 00055f61 6c6c6f63 t....O.#.._alloc + 52420 5f766465 73630000 00139b02 2304055f _vdesc......#.._ + 52430 6765745f 68775f64 65736300 000013a8 get_hw_desc..... + 52440 02230805 5f737761 705f7664 65736300 .#.._swap_vdesc. + 52450 000013b1 02230c05 70526573 65727665 .....#..pReserve + 52460 64000000 047c0223 1000045f 56425546 d....|.#..._VBUF + 52470 00200000 14870564 6573635f 6c697374 . .....desc_list + 52480 00000013 8e022300 056e6578 745f6275 ......#..next_bu + 52490 66000000 14870223 04056275 665f6c65 f......#..buf_le + 524a0 6e677468 00000013 61022308 05726573 ngth....a.#..res + 524b0 65727665 64000000 148e0223 0a056374 erved......#..ct + 524c0 78000000 136f0223 0c000700 00142704 x....o.#......'. + 524d0 000f0000 134d0200 00149b10 01000700 .....M.......... + 524e0 00142704 00035642 55460000 00142707 ..'...VBUF....'. + 524f0 000014a2 04000900 0014ac01 07000014 ................ + 52500 b3040009 000014ac 01070000 14c00400 ................ + 52510 06010700 0014cd04 00047662 75665f61 ..........vbuf_a + 52520 70690014 0000154b 055f696e 69740000 pi.....K._init.. + 52530 000b4f02 2300055f 616c6c6f 635f7662 ..O.#.._alloc_vb + 52540 75660000 0014b902 2304055f 616c6c6f uf......#.._allo + 52550 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 52560 00000014 c6022308 055f6672 65655f76 ......#.._free_v + 52570 62756600 000014cf 02230c05 70526573 buf......#..pRes + 52580 65727665 64000000 047c0223 1000045f erved....|.#..._ + 52590 5f616466 5f646576 69636500 04000015 _adf_device..... + 525a0 6d056475 6d6d7900 000001c9 02230000 m.dummy......#.. + 525b0 07000009 93040004 5f5f6164 665f646d ........__adf_dm + 525c0 615f6d61 70000c00 0015b405 62756600 a_map.......buf. + 525d0 000014ac 02230005 64735f61 64647200 .....#..ds_addr. + 525e0 0000156d 02230405 64735f6c 656e0000 ...m.#..ds_len.. + 525f0 00136102 23080012 0c000015 ee055f5f ..a.#.........__ + 52600 76615f73 746b0000 0003ea02 2300055f va_stk......#.._ + 52610 5f76615f 72656700 000003ea 02230405 _va_reg......#.. + 52620 5f5f7661 5f6e6478 00000001 c9022308 __va_ndx......#. + 52630 00035f5f 6164665f 6f735f64 6d615f61 ..__adf_os_dma_a + 52640 6464725f 74000000 09930361 64665f6f ddr_t......adf_o + 52650 735f646d 615f6164 64725f74 00000015 s_dma_addr_t.... + 52660 ee035f5f 6164665f 6f735f64 6d615f73 ..__adf_os_dma_s + 52670 697a655f 74000000 09930361 64665f6f ize_t......adf_o + 52680 735f646d 615f7369 7a655f74 00000016 s_dma_size_t.... + 52690 1e045f5f 646d615f 73656773 00080000 ..__dma_segs.... + 526a0 167a0570 61646472 00000016 07022300 .z.paddr......#. + 526b0 056c656e 00000016 37022304 00035f5f .len....7.#...__ + 526c0 615f7569 6e743332 5f740000 00099303 a_uint32_t...... + 526d0 615f7569 6e743332 5f740000 00167a0f a_uint32_t....z. + 526e0 0000164e 08000016 a9100000 04616466 ...N.........adf + 526f0 5f6f735f 646d616d 61705f69 6e666f00 _os_dmamap_info. + 52700 0c000016 e2056e73 65677300 0000168c ......nsegs..... + 52710 02230005 646d615f 73656773 00000016 .#..dma_segs.... + 52720 9c022304 00035f5f 615f7569 6e74385f ..#...__a_uint8_ + 52730 74000000 134d0361 5f75696e 74385f74 t....M.a_uint8_t + 52740 00000016 e2070000 16f30400 045f5f73 .............__s + 52750 675f7365 67730008 00001734 05766164 g_segs.....4.vad + 52760 64720000 00170202 2300056c 656e0000 dr......#..len.. + 52770 00168c02 2304000f 00001709 20000017 ....#....... ... + 52780 41100300 04616466 5f6f735f 73676c69 A....adf_os_sgli + 52790 73740024 00001774 056e7365 67730000 st.$...t.nsegs.. + 527a0 00168c02 23000573 675f7365 67730000 ....#..sg_segs.. + 527b0 00173402 23040012 10000017 bd057665 ..4.#.........ve + 527c0 6e646f72 00000016 8c022300 05646576 ndor......#..dev + 527d0 69636500 0000168c 02230405 73756276 ice......#..subv + 527e0 656e646f 72000000 168c0223 08057375 endor......#..su + 527f0 62646576 69636500 0000168c 02230c00 bdevice......#.. + 52800 026c6f6e 67206c6f 6e672075 6e736967 .long long unsig + 52810 6e656420 696e7400 07080341 5f55494e ned int....A_UIN + 52820 54363400 000017bd 035f5f61 5f75696e T64......__a_uin + 52830 7436345f 74000000 17d70361 5f75696e t64_t......a_uin + 52840 7436345f 74000000 17e51404 00001843 t64_t..........C + 52850 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 52860 5f545950 455f4d45 4d00000e 4144465f _TYPE_MEM...ADF_ + 52870 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 52880 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re + 52890 736f7572 63655f74 7970655f 74000000 source_type_t... + 528a0 18071218 0000188d 05737461 72740000 .........start.. + 528b0 0017f702 23000565 6e640000 0017f702 ....#..end...... + 528c0 23080574 79706500 00001843 02231000 #..type....C.#.. + 528d0 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 528e0 69645f74 00000017 74070000 188d0400 id_t....t....... + 528f0 11040000 18cc0570 63690000 0018a602 .......pci...... + 52900 23000572 61770000 00047c02 23000011 #..raw....|.#... + 52910 10000018 eb057063 69000000 188d0223 ......pci......# + 52920 00057261 77000000 047c0223 00000361 ..raw....|.#...a + 52930 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 52940 0000047c 03616466 5f6f735f 7265736f ...|.adf_os_reso + 52950 75726365 5f740000 00185f07 00001901 urce_t...._..... + 52960 04000361 64665f6f 735f6174 74616368 ...adf_os_attach + 52970 5f646174 615f7400 000018cc 07000019 _data_t......... + 52980 1f040007 0000154b 0400035f 5f616466 .......K...__adf + 52990 5f6f735f 64657669 63655f74 00000019 _os_device_t.... + 529a0 40036164 665f6f73 5f646576 6963655f @.adf_os_device_ + 529b0 74000000 19470900 0018eb01 07000019 t....G.......... + 529c0 73040006 01070000 19800400 03616466 s............adf + 529d0 5f6f735f 706d5f74 00000004 7c060107 _os_pm_t....|... + 529e0 0000199a 04001404 000019da 0e414446 .............ADF + 529f0 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 52a00 00010e41 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 52a10 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad + 52a20 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 52a30 000019a3 03616466 5f6f735f 6275735f .....adf_os_bus_ + 52a40 7265675f 64617461 5f740000 0018ad07 reg_data_t...... + 52a50 00000101 0400045f 6164665f 6472765f ......._adf_drv_ + 52a60 696e666f 00200000 1ab70564 72765f61 info. .....drv_a + 52a70 74746163 68000000 19790223 00056472 ttach....y.#..dr + 52a80 765f6465 74616368 00000019 82022304 v_detach......#. + 52a90 05647276 5f737573 70656e64 00000019 .drv_suspend.... + 52aa0 9c022308 05647276 5f726573 756d6500 ..#..drv_resume. + 52ab0 00001982 02230c05 6275735f 74797065 .....#..bus_type + 52ac0 00000019 da022310 05627573 5f646174 ......#..bus_dat + 52ad0 61000000 19f10223 14056d6f 645f6e61 a......#..mod_na + 52ae0 6d650000 001a0c02 23180569 666e616d me......#..ifnam + 52af0 65000000 1a0c0223 1c000361 64665f6f e......#...adf_o + 52b00 735f6861 6e646c65 5f740000 00047c07 s_handle_t....|. + 52b10 000016e2 04000601 0601035f 5f616466 ...........__adf + 52b20 5f6f735f 73697a65 5f740000 00047f14 _os_size_t...... + 52b30 0400001b 060e415f 46414c53 4500000e ......A_FALSE... + 52b40 415f5452 55450001 0003615f 626f6f6c A_TRUE....a_bool + 52b50 5f740000 001aec07 00001574 0400035f _t.........t..._ + 52b60 5f616466 5f6f735f 646d615f 6d61705f _adf_os_dma_map_ + 52b70 74000000 1b140601 0d616466 5f6f735f t........adf_os_ + 52b80 63616368 655f7379 6e630004 00001b9e cache_sync...... + 52b90 0e414446 5f53594e 435f5052 45524541 .ADF_SYNC_PREREA + 52ba0 4400000e 4144465f 53594e43 5f505245 D...ADF_SYNC_PRE + 52bb0 57524954 4500020e 4144465f 53594e43 WRITE...ADF_SYNC + 52bc0 5f504f53 54524541 4400010e 4144465f _POSTREAD...ADF_ + 52bd0 53594e43 5f504f53 54575249 54450003 SYNC_POSTWRITE.. + 52be0 00036164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 52bf0 796e635f 74000000 1b350601 03616466 ync_t....5...adf + 52c00 5f6f735f 73697a65 5f740000 001ad709 _os_size_t...... + 52c10 00001bb9 01036164 665f6f73 5f646d61 ......adf_os_dma + 52c20 5f6d6170 5f740000 001b1b07 00001bd2 _map_t.......... + 52c30 04000900 00047c01 0700001b 1b040009 ......|......... + 52c40 0000047c 01060109 00001607 01060102 ...|............ + 52c50 73686f72 7420696e 74000502 03415f49 short int....A_I + 52c60 4e543136 0000001c 0c035f5f 615f696e NT16......__a_in + 52c70 7431365f 74000000 1c190361 5f696e74 t16_t......a_int + 52c80 31365f74 0000001c 26027369 676e6564 16_t....&.signed + 52c90 20636861 72000501 03415f49 4e543800 char....A_INT8. + 52ca0 00001c46 035f5f61 5f696e74 385f7400 ...F.__a_int8_t. + 52cb0 00001c55 03615f69 6e74385f 74000000 ...U.a_int8_t... + 52cc0 1c61120c 00001cd8 05737570 706f7274 .a.......support + 52cd0 65640000 00168c02 23000561 64766572 ed......#..adver + 52ce0 74697a65 64000000 168c0223 04057370 tized......#..sp + 52cf0 65656400 00001c37 02230805 6475706c eed....7.#..dupl + 52d00 65780000 001c7102 230a0561 75746f6e ex....q.#..auton + 52d10 65670000 0016f302 230b000f 000016f3 eg......#....... + 52d20 0600001c e5100500 04616466 5f6e6574 .........adf_net + 52d30 5f657468 61646472 00060000 1d090561 _ethaddr.......a + 52d40 64647200 00001cd8 02230000 035f5f61 ddr......#...__a + 52d50 5f75696e 7431365f 74000000 13610361 _uint16_t....a.a + 52d60 5f75696e 7431365f 74000000 1d09120e _uint16_t....... + 52d70 00001d6d 05657468 65725f64 686f7374 ...m.ether_dhost + 52d80 0000001c d8022300 05657468 65725f73 ......#..ether_s + 52d90 686f7374 0000001c d8022306 05657468 host......#..eth + 52da0 65725f74 79706500 00001d1b 02230c00 er_type......#.. + 52db0 12140000 1e2e1569 705f7665 7273696f .......ip_versio + 52dc0 6e000000 16f30100 04022300 1569705f n.........#..ip_ + 52dd0 686c0000 0016f301 04040223 00056970 hl.........#..ip + 52de0 5f746f73 00000016 f3022301 0569705f _tos......#..ip_ + 52df0 6c656e00 00001d1b 02230205 69705f69 len......#..ip_i + 52e00 64000000 1d1b0223 04056970 5f667261 d......#..ip_fra + 52e10 675f6f66 66000000 1d1b0223 06056970 g_off......#..ip + 52e20 5f74746c 00000016 f3022308 0569705f _ttl......#..ip_ + 52e30 70726f74 6f000000 16f30223 09056970 proto......#..ip + 52e40 5f636865 636b0000 001d1b02 230a0569 _check......#..i + 52e50 705f7361 64647200 0000168c 02230c05 p_saddr......#.. + 52e60 69705f64 61646472 00000016 8c022310 ip_daddr......#. + 52e70 00046164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 52e80 72000400 001e8005 74706964 0000001d r.......tpid.... + 52e90 1b022300 15707269 6f000000 16f30100 ..#..prio....... + 52ea0 03022302 15636669 00000016 f3010301 ..#..cfi........ + 52eb0 02230215 76696400 00001d1b 02040c02 .#..vid......... + 52ec0 23020004 6164665f 6e65745f 76696400 #...adf_net_vid. + 52ed0 0200001e b1157265 73000000 16f30100 ......res....... + 52ee0 04022300 1576616c 0000001d 1b02040c ..#..val........ + 52ef0 02230000 120c0000 1eed0572 785f6275 .#.........rx_bu + 52f00 6673697a 65000000 168c0223 00057278 fsize......#..rx + 52f10 5f6e6465 73630000 00168c02 23040574 _ndesc......#..t + 52f20 785f6e64 65736300 0000168c 02230800 x_ndesc......#.. + 52f30 12080000 1f130570 6f6c6c65 64000000 .......polled... + 52f40 1b060223 0005706f 6c6c5f77 74000000 ...#..poll_wt... + 52f50 168c0223 04000f00 0016f340 00001f20 ...#.......@... + 52f60 103f0012 4600001f 48056966 5f6e616d .?..F...H.if_nam + 52f70 65000000 1f130223 00056465 765f6164 e......#..dev_ad + 52f80 64720000 001cd802 23400014 0400001f dr......#@...... + 52f90 7f0e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 52fa0 4b5f3332 42495400 000e4144 465f4f53 K_32BIT...ADF_OS + 52fb0 5f444d41 5f4d4153 4b5f3634 42495400 _DMA_MASK_64BIT. + 52fc0 01000361 64665f6f 735f646d 615f6d61 ...adf_os_dma_ma + 52fd0 736b5f74 0000001f 48046164 665f646d sk_t....H.adf_dm + 52fe0 615f696e 666f0008 00001fcc 05646d61 a_info.......dma + 52ff0 5f6d6173 6b000000 1f7f0223 00057367 _mask......#..sg + 53000 5f6e7365 67730000 00168c02 23040014 _nsegs......#... + 53010 04000020 220e4144 465f4e45 545f434b ... ".ADF_NET_CK + 53020 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 53030 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 53040 5f495076 3400010e 4144465f 4e45545f _IPv4...ADF_NET_ + 53050 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 53060 76360002 00036164 665f6e65 745f636b v6....adf_net_ck + 53070 73756d5f 74797065 5f740000 001fcc12 sum_type_t...... + 53080 08000020 65057478 5f636b73 756d0000 ... e.tx_cksum.. + 53090 00202202 23000572 785f636b 73756d00 . ".#..rx_cksum. + 530a0 00002022 02230400 03616466 5f6e6574 .. ".#...adf_net + 530b0 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 530c0 203c1404 000020be 0e414446 5f4e4554 <.... ..ADF_NET + 530d0 5f54534f 5f4e4f4e 4500000e 4144465f _TSO_NONE...ADF_ + 530e0 4e45545f 54534f5f 49505634 00010e41 NET_TSO_IPV4...A + 530f0 44465f4e 45545f54 534f5f41 4c4c0002 DF_NET_TSO_ALL.. + 53100 00036164 665f6e65 745f7473 6f5f7479 ..adf_net_tso_ty + 53110 70655f74 00000020 7f121000 00211205 pe_t... .....!.. + 53120 636b7375 6d5f6361 70000000 20650223 cksum_cap... e.# + 53130 00057473 6f000000 20be0223 0805766c ..tso... ..#..vl + 53140 616e5f73 7570706f 72746564 00000016 an_supported.... + 53150 f302230c 00122000 0021ab05 74785f70 ..#... ..!..tx_p + 53160 61636b65 74730000 00168c02 23000572 ackets......#..r + 53170 785f7061 636b6574 73000000 168c0223 x_packets......# + 53180 04057478 5f627974 65730000 00168c02 ..tx_bytes...... + 53190 23080572 785f6279 74657300 0000168c #..rx_bytes..... + 531a0 02230c05 74785f64 726f7070 65640000 .#..tx_dropped.. + 531b0 00168c02 23100572 785f6472 6f707065 ....#..rx_droppe + 531c0 64000000 168c0223 14057278 5f657272 d......#..rx_err + 531d0 6f727300 0000168c 02231805 74785f65 ors......#..tx_e + 531e0 72726f72 73000000 168c0223 1c000361 rrors......#...a + 531f0 64665f6e 65745f65 74686164 64725f74 df_net_ethaddr_t + 53200 0000001c e5160000 21ab0300 000021d0 ........!.....!. + 53210 107f0017 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 53220 6d636164 64720003 04000022 07056e65 mcaddr....."..ne + 53230 6c656d00 0000168c 02230005 6d636173 lem......#..mcas + 53240 74000000 21c20223 04000361 64665f6e t...!..#...adf_n + 53250 65745f63 6d645f6c 696e6b5f 696e666f et_cmd_link_info + 53260 5f740000 001c7f03 6164665f 6e65745f _t......adf_net_ + 53270 636d645f 706f6c6c 5f696e66 6f5f7400 cmd_poll_info_t. + 53280 00001eed 03616466 5f6e6574 5f636d64 .....adf_net_cmd + 53290 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 532a0 20650361 64665f6e 65745f63 6d645f72 e.adf_net_cmd_r + 532b0 696e675f 696e666f 5f740000 001eb103 ing_info_t...... + 532c0 6164665f 6e65745f 636d645f 646d615f adf_net_cmd_dma_ + 532d0 696e666f 5f740000 001f9603 6164665f info_t......adf_ + 532e0 6e65745f 636d645f 7669645f 74000000 net_cmd_vid_t... + 532f0 1d1b0361 64665f6e 65745f63 6d645f6f ...adf_net_cmd_o + 53300 66666c6f 61645f63 61705f74 00000020 ffload_cap_t... + 53310 d6036164 665f6e65 745f636d 645f7374 ..adf_net_cmd_st + 53320 6174735f 74000000 21120361 64665f6e ats_t...!..adf_n + 53330 65745f63 6d645f6d 63616464 725f7400 et_cmd_mcaddr_t. + 53340 000021d0 0d616466 5f6e6574 5f636d64 ..!..adf_net_cmd + 53350 5f6d6361 73745f63 61700004 00002349 _mcast_cap....#I + 53360 0e414446 5f4e4554 5f4d4341 53545f53 .ADF_NET_MCAST_S + 53370 55500000 0e414446 5f4e4554 5f4d4341 UP...ADF_NET_MCA + 53380 53545f4e 4f545355 50000100 03616466 ST_NOTSUP....adf + 53390 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 533a0 61705f74 00000023 01180304 0000241b ap_t...#......$. + 533b0 056c696e 6b5f696e 666f0000 00220702 .link_info...".. + 533c0 23000570 6f6c6c5f 696e666f 00000022 #..poll_info..." + 533d0 24022300 05636b73 756d5f69 6e666f00 $.#..cksum_info. + 533e0 00002241 02230005 72696e67 5f696e66 .."A.#..ring_inf + 533f0 6f000000 225f0223 0005646d 615f696e o..."_.#..dma_in + 53400 666f0000 00227c02 23000576 69640000 fo..."|.#..vid.. + 53410 00229802 2300056f 66666c6f 61645f63 ."..#..offload_c + 53420 61700000 0022af02 23000573 74617473 ap..."..#..stats + 53430 00000022 ce022300 056d6361 73745f69 ..."..#..mcast_i + 53440 6e666f00 000022e7 02230005 6d636173 nfo..."..#..mcas + 53450 745f6361 70000000 23490223 00001404 t_cap...#I.#.... + 53460 00002472 0e414446 5f4e4255 465f5258 ..$r.ADF_NBUF_RX + 53470 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD + 53480 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 53490 48570001 0e414446 5f4e4255 465f5258 HW...ADF_NBUF_RX + 534a0 5f434b53 554d5f55 4e4e4543 45535341 _CKSUM_UNNECESSA + 534b0 52590002 00036164 665f6e62 75665f72 RY....adf_nbuf_r + 534c0 785f636b 73756d5f 74797065 5f740000 x_cksum_type_t.. + 534d0 00241b12 08000024 b2057265 73756c74 .$.....$..result + 534e0 00000024 72022300 0576616c 00000016 ...$r.#..val.... + 534f0 8c022304 00120800 0024e205 74797065 ..#......$..type + 53500 00000020 be022300 056d7373 0000001d ... ..#..mss.... + 53510 1b022304 05686472 5f6f6666 00000016 ..#..hdr_off.... + 53520 f3022306 00045f5f 6164665f 6e627566 ..#...__adf_nbuf + 53530 5f716865 6164000c 00002521 05686561 _qhead....%!.hea + 53540 64000000 14ac0223 00057461 696c0000 d......#..tail.. + 53550 0014ac02 23040571 6c656e00 0000168c ....#..qlen..... + 53560 02230800 035f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 53570 74000000 14ac0700 00170204 00070000 t............... + 53580 168c0400 06010900 00138e01 09000016 ................ + 53590 8c010900 00170201 09000017 02010700 ................ + 535a0 00136f04 00035f5f 6164665f 6e627566 ..o...__adf_nbuf + 535b0 5f716865 61645f74 00000024 e2035f5f _qhead_t...$..__ + 535c0 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 535d0 00000025 62070000 257a0400 09000025 ...%b...%z.....% + 535e0 21010900 00252101 14040000 269a0e41 !....%!.....&..A + 535f0 5f535441 5455535f 4f4b0000 0e415f53 _STATUS_OK...A_S + 53600 54415455 535f4641 494c4544 00010e41 TATUS_FAILED...A + 53610 5f535441 5455535f 454e4f45 4e540002 _STATUS_ENOENT.. + 53620 0e415f53 54415455 535f454e 4f4d454d .A_STATUS_ENOMEM + 53630 00030e41 5f535441 5455535f 45494e56 ...A_STATUS_EINV + 53640 414c0004 0e415f53 54415455 535f4549 AL...A_STATUS_EI + 53650 4e50524f 47524553 5300050e 415f5354 NPROGRESS...A_ST + 53660 41545553 5f454e4f 54535550 5000060e ATUS_ENOTSUPP... + 53670 415f5354 41545553 5f454255 53590007 A_STATUS_EBUSY.. + 53680 0e415f53 54415455 535f4532 42494700 .A_STATUS_E2BIG. + 53690 080e415f 53544154 55535f45 41444452 ..A_STATUS_EADDR + 536a0 4e4f5441 5641494c 00090e41 5f535441 NOTAVAIL...A_STA + 536b0 5455535f 454e5849 4f000a0e 415f5354 TUS_ENXIO...A_ST + 536c0 41545553 5f454641 554c5400 0b0e415f ATUS_EFAULT...A_ + 536d0 53544154 55535f45 494f000c 0003615f STATUS_EIO....a_ + 536e0 73746174 75735f74 00000025 a5090000 status_t...%.... + 536f0 269a0109 000001c9 01060103 6164665f &...........adf_ + 53700 6e627566 5f740000 00252114 04000026 nbuf_t...%!....& + 53710 ff0e4144 465f4f53 5f444d41 5f544f5f ..ADF_OS_DMA_TO_ + 53720 44455649 43450000 0e414446 5f4f535f DEVICE...ADF_OS_ + 53730 444d415f 46524f4d 5f444556 49434500 DMA_FROM_DEVICE. + 53740 01000361 64665f6f 735f646d 615f6469 ...adf_os_dma_di + 53750 725f7400 000026c8 09000026 9a010601 r_t...&....&.... + 53760 03616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 53770 6e666f5f 74000000 16a90700 00271d04 nfo_t........'.. + 53780 00060106 01090000 26b80109 00002521 ........&.....%! + 53790 01060106 01090000 26b80109 00002521 ........&.....%! + 537a0 01090000 26b80109 00002521 01090000 ....&.....%!.... + 537b0 26b80106 01060109 0000168c 01090000 &............... + 537c0 17020106 01060109 00001bb9 01090000 ................ + 537d0 1b060109 00001b06 01036164 665f6f73 ..........adf_os + 537e0 5f73676c 6973745f 74000000 17410700 _sglist_t....A.. + 537f0 00279604 00060106 01060109 00001702 .'.............. + 53800 01036164 665f6e62 75665f71 75657565 ..adf_nbuf_queue + 53810 5f740000 00257a07 000027be 04000601 _t...%z...'..... + 53820 07000025 62040006 01060106 01090000 ...%b........... + 53830 26b80109 00002521 01090000 168c0109 &.....%!........ + 53840 0000168c 01090000 1b060109 00001b06 ................ + 53850 01090000 20220109 0000168c 01036164 .... "........ad + 53860 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 53870 74000000 24900700 00281a04 00060106 t...$....(...... + 53880 01036164 665f6e62 75665f74 736f5f74 ..adf_nbuf_tso_t + 53890 00000024 b2070000 283e0400 06010601 ...$....(>...... + 538a0 03616466 5f6e6574 5f68616e 646c655f .adf_net_handle_ + 538b0 74000000 047c0361 64665f6e 65745f76 t....|.adf_net_v + 538c0 6c616e68 64725f74 0000001e 2e070000 lanhdr_t........ + 538d0 28730400 09000026 9a010900 00269a01 (s.....&.....&.. + 538e0 06010601 045f4849 465f434f 4e464947 ....._HIF_CONFIG + 538f0 00040000 28c20564 756d6d79 00000001 ....(..dummy.... + 53900 c9022300 00060107 000028c2 04000601 ..#.......(..... + 53910 07000028 cb040004 5f484946 5f43414c ...(...._HIF_CAL + 53920 4c424143 4b000c00 00292005 73656e64 LBACK....) .send + 53930 5f627566 5f646f6e 65000000 28c40223 _buf_done...(..# + 53940 00057265 63765f62 75660000 0028cd02 ..recv_buf...(.. + 53950 23040563 6f6e7465 78740000 00047c02 #..context....|. + 53960 23080003 6869665f 68616e64 6c655f74 #...hif_handle_t + 53970 00000004 7c034849 465f434f 4e464947 ....|.HIF_CONFIG + 53980 00000028 a1070000 29320400 09000029 ...(....)2.....) + 53990 20010700 00294904 00060107 00002956 ....)I.......)V + 539a0 04000348 49465f43 414c4c42 41434b00 ...HIF_CALLBACK. + 539b0 000028d4 07000029 5f040006 01070000 ..(....)_....... + 539c0 29780400 09000001 c9010700 00298104 )x...........).. + 539d0 00060107 0000298e 04000900 0001c901 ......)......... + 539e0 07000029 97040006 01070000 29a40400 ...)........)... + 539f0 09000001 c9010700 0029ad04 00060107 .........)...... + 53a00 000029ba 04000468 69665f61 70690038 ..)....hif_api.8 + 53a10 00002b13 055f696e 69740000 00294f02 ..+.._init...)O. + 53a20 2300055f 73687574 646f776e 00000029 #.._shutdown...) + 53a30 58022304 055f7265 67697374 65725f63 X.#.._register_c + 53a40 616c6c62 61636b00 0000297a 02230805 allback...)z.#.. + 53a50 5f676574 5f746f74 616c5f63 72656469 _get_total_credi + 53a60 745f636f 756e7400 00002987 02230c05 t_count...)..#.. + 53a70 5f737461 72740000 00295802 2310055f _start...)X.#.._ + 53a80 636f6e66 69675f70 69706500 00002990 config_pipe...). + 53a90 02231405 5f73656e 645f6275 66666572 .#.._send_buffer + 53aa0 00000029 9d022318 055f7265 7475726e ...)..#.._return + 53ab0 5f726563 765f6275 66000000 29a60223 _recv_buf...)..# + 53ac0 1c055f69 735f7069 70655f73 7570706f .._is_pipe_suppo + 53ad0 72746564 00000029 b3022320 055f6765 rted...)..# ._ge + 53ae0 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... + 53af0 29b30223 24055f67 65745f72 65736572 )..#$._get_reser + 53b00 7665645f 68656164 726f6f6d 00000029 ved_headroom...) + 53b10 87022328 055f6973 725f6861 6e646c65 ..#(._isr_handle + 53b20 72000000 29580223 2c055f67 65745f64 r...)X.#,._get_d + 53b30 65666175 6c745f70 69706500 000029bc efault_pipe...). + 53b40 02233005 70526573 65727665 64000000 .#0.pReserved... + 53b50 047c0223 34000d64 6d615f65 6e67696e .|.#4..dma_engin + 53b60 65000400 002b9c0e 444d415f 454e4749 e....+..DMA_ENGI + 53b70 4e455f52 58300000 0e444d41 5f454e47 NE_RX0...DMA_ENG + 53b80 494e455f 52583100 010e444d 415f454e INE_RX1...DMA_EN + 53b90 47494e45 5f525832 00020e44 4d415f45 GINE_RX2...DMA_E + 53ba0 4e47494e 455f5258 3300030e 444d415f NGINE_RX3...DMA_ + 53bb0 454e4749 4e455f54 58300004 0e444d41 ENGINE_TX0...DMA + 53bc0 5f454e47 494e455f 54583100 050e444d _ENGINE_TX1...DM + 53bd0 415f454e 47494e45 5f4d4158 00060003 A_ENGINE_MAX.... + 53be0 646d615f 656e6769 6e655f74 0000002b dma_engine_t...+ + 53bf0 130d646d 615f6966 74797065 00040000 ..dma_iftype.... + 53c00 2be90e44 4d415f49 465f474d 41430000 +..DMA_IF_GMAC.. + 53c10 0e444d41 5f49465f 50434900 010e444d .DMA_IF_PCI...DM + 53c20 415f4946 5f504349 45000200 03646d61 A_IF_PCIE....dma + 53c30 5f696674 7970655f 74000000 2bae0900 _iftype_t...+... + 53c40 00136101 0700002b fb040006 01070000 ..a....+........ + 53c50 2c080400 06010700 002c1104 00090000 ,........,...... + 53c60 09930107 00002c1a 04000900 00136101 ......,.......a. + 53c70 0700002c 27040009 00001361 01070000 ...,'......a.... + 53c80 2c340400 09000014 ac010700 002c4104 ,4...........,A. + 53c90 00060107 00002c4e 04000464 6d615f6c ......,N...dma_l + 53ca0 69625f61 70690034 00002d55 0574785f ib_api.4..-U.tx_ + 53cb0 696e6974 0000002c 01022300 0574785f init...,..#..tx_ + 53cc0 73746172 74000000 2c0a0223 04057278 start...,..#..rx + 53cd0 5f696e69 74000000 2c010223 08057278 _init...,..#..rx + 53ce0 5f636f6e 66696700 00002c13 02230c05 _config...,..#.. + 53cf0 72785f73 74617274 0000002c 0a022310 rx_start...,..#. + 53d00 05696e74 725f7374 61747573 0000002c .intr_status..., + 53d10 20022314 05686172 645f786d 69740000 .#..hard_xmit.. + 53d20 002c2d02 23180566 6c757368 5f786d69 .,-.#..flush_xmi + 53d30 74000000 2c0a0223 1c05786d 69745f64 t...,..#..xmit_d + 53d40 6f6e6500 00002c3a 02232005 72656170 one...,:.# .reap + 53d50 5f786d69 74746564 0000002c 47022324 _xmitted...,G.#$ + 53d60 05726561 705f7265 63760000 002c4702 .reap_recv...,G. + 53d70 23280572 65747572 6e5f7265 63760000 #(.return_recv.. + 53d80 002c5002 232c0572 6563765f 706b7400 .,P.#,.recv_pkt. + 53d90 00002c3a 02233000 045f5f70 63695f73 ..,:.#0..__pci_s + 53da0 6f667463 000c0000 2d730573 77000000 oftc....-s.sw... + 53db0 295f0223 0000035f 5f706369 5f736f66 )_.#...__pci_sof + 53dc0 74635f74 0000002d 55070000 2d730400 tc_t...-U...-s.. + 53dd0 06010700 002d8d04 00090000 134d0107 .....-.......M.. + 53de0 00002d96 04000d68 69665f70 63695f70 ..-....hif_pci_p + 53df0 6970655f 74780004 00002df6 0e484946 ipe_tx....-..HIF + 53e00 5f504349 5f504950 455f5458 3000000e _PCI_PIPE_TX0... + 53e10 4849465f 5043495f 50495045 5f545831 HIF_PCI_PIPE_TX1 + 53e20 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 53e30 54585f4d 41580002 00036869 665f7063 TX_MAX....hif_pc + 53e40 695f7069 70655f74 785f7400 00002da3 i_pipe_tx_t...-. + 53e50 0900002b 9c010700 002e0d04 000d6869 ...+..........hi + 53e60 665f7063 695f7069 70655f72 78000400 f_pci_pipe_rx... + 53e70 002e930e 4849465f 5043495f 50495045 ....HIF_PCI_PIPE + 53e80 5f525830 00000e48 49465f50 43495f50 _RX0...HIF_PCI_P + 53e90 4950455f 52583100 010e4849 465f5043 IPE_RX1...HIF_PC + 53ea0 495f5049 50455f52 58320002 0e484946 I_PIPE_RX2...HIF + 53eb0 5f504349 5f504950 455f5258 3300030e _PCI_PIPE_RX3... + 53ec0 4849465f 5043495f 50495045 5f52585f HIF_PCI_PIPE_RX_ + 53ed0 4d415800 04000368 69665f70 63695f70 MAX....hif_pci_p + 53ee0 6970655f 72785f74 0000002e 1a090000 ipe_rx_t........ + 53ef0 2b9c0107 00002eaa 04000468 69665f70 +..........hif_p + 53f00 63695f61 70690024 00002f88 05706369 ci_api.$../..pci + 53f10 5f626f6f 745f696e 69740000 0001c202 _boot_init...... + 53f20 23000570 63695f69 6e697400 0000294f #..pci_init...)O + 53f30 02230405 7063695f 72657365 74000000 .#..pci_reset... + 53f40 01c20223 08057063 695f656e 61626c65 ...#..pci_enable + 53f50 00000001 c202230c 05706369 5f726561 ......#..pci_rea + 53f60 705f786d 69747465 64000000 2d8f0223 p_xmitted...-..# + 53f70 10057063 695f7265 61705f72 65637600 ..pci_reap_recv. + 53f80 00002d8f 02231405 7063695f 6765745f ..-..#..pci_get_ + 53f90 70697065 0000002d 9c022318 05706369 pipe...-..#..pci + 53fa0 5f676574 5f74785f 656e6700 00002e13 _get_tx_eng..... + 53fb0 02231c05 7063695f 6765745f 72785f65 .#..pci_get_rx_e + 53fc0 6e670000 002eb002 23200004 676d6163 ng......# ..gmac + 53fd0 5f617069 00040000 2faf0567 6d61635f _api..../..gmac_ + 53fe0 626f6f74 5f696e69 74000000 01c20223 boot_init......# + 53ff0 00000f00 00010106 00002fbc 10050004 ........../..... + 54000 5f5f6574 68686472 000e0000 2ff20564 __ethhdr..../..d + 54010 73740000 002faf02 23000573 72630000 st.../..#..src.. + 54020 002faf02 23060565 74797065 00000013 ./..#..etype.... + 54030 6102230c 00045f5f 61746868 64720004 a.#...__athhdr.. + 54040 00003040 15726573 00000013 4d010002 ..0@.res....M... + 54050 02230015 70726f74 6f000000 134d0102 .#..proto....M.. + 54060 06022300 05726573 5f6c6f00 0000134d ..#..res_lo....M + 54070 02230105 7265735f 68690000 00136102 .#..res_hi....a. + 54080 23020004 5f5f676d 61635f68 64720014 #...__gmac_hdr.. + 54090 0000307c 05657468 0000002f bc022300 ..0|.eth.../..#. + 540a0 05617468 0000002f f202230e 05616c69 .ath.../..#..ali + 540b0 676e5f70 61640000 00136102 23120003 gn_pad....a.#... + 540c0 5f5f676d 61635f68 64725f74 00000030 __gmac_hdr_t...0 + 540d0 40045f5f 676d6163 5f736f66 74630024 @.__gmac_softc.$ + 540e0 000030c6 05686472 00000030 7c022300 ..0..hdr...0|.#. + 540f0 05677261 6e000000 13610223 14057377 .gran....a.#..sw + 54100 00000029 5f022318 00045f41 5f6f735f ...)_.#..._A_os_ + 54110 6c696e6b 6167655f 63686563 6b000800 linkage_check... + 54120 0030ff05 76657273 696f6e00 000001c9 .0..version..... + 54130 02230005 7461626c 65000000 01c90223 .#..table......# + 54140 04000700 0030c604 00090000 01c90107 .....0.......... + 54150 00003106 04000700 00047f04 00175f41 ..1..........._A + 54160 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 54170 6f6e5f74 61626c65 0001b800 00325605 on_table.....2V. + 54180 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 54190 6b000000 310c0223 00057374 6172745f k...1..#..start_ + 541a0 62737300 00003113 02230405 6170705f bss...1..#..app_ + 541b0 73746172 74000000 01c20223 08056d65 start......#..me + 541c0 6d000000 04bf0223 0c056d69 73630000 m......#..misc.. + 541d0 0005de02 23200570 72696e74 66000000 ....# .printf... + 541e0 01f60223 44057561 72740000 00029b02 ...#D.uart...... + 541f0 234c0567 6d616300 00002f88 02236c05 #L.gmac.../..#l. + 54200 75736200 0000100d 02237005 636c6f63 usb......#p.cloc + 54210 6b000000 0b560323 e0010574 696d6572 k....V.#...timer + 54220 00000007 f6032384 0205696e 74720000 ......#...intr.. + 54230 000ca603 23980205 616c6c6f 6372616d ....#...allocram + 54240 00000009 ae0323c4 0205726f 6d700000 ......#...romp.. + 54250 0008a003 23d00205 7764745f 74696d65 ....#...wdt_time + 54260 72000000 0e830323 e0020565 65700000 r......#...eep.. + 54270 000fb103 23fc0205 73747269 6e670000 ....#...string.. + 54280 00070203 238c0305 7461736b 6c657400 ....#...tasklet. + 54290 00000aab 0323a403 00045f55 53425f46 .....#...._USB_F + 542a0 49464f5f 434f4e46 49470010 000032c9 IFO_CONFIG....2. + 542b0 05676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 542c0 00000014 b9022300 05726563 765f636f ......#..recv_co + 542d0 6d6d616e 64000000 14cf0223 04056765 mmand......#..ge + 542e0 745f6576 656e745f 62756600 000014b9 t_event_buf..... + 542f0 02230805 73656e64 5f657665 6e745f64 .#..send_event_d + 54300 6f6e6500 000014cf 02230c00 03555342 one......#...USB + 54310 5f464946 4f5f434f 4e464947 00000032 _FIFO_CONFIG...2 + 54320 56070000 32c90400 06010700 0032e504 V...2........2.. + 54330 00047573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 54340 00333b05 5f696e69 74000000 32e70223 .3;._init...2..# + 54350 00055f65 6e61626c 655f6576 656e745f .._enable_event_ + 54360 69737200 000001c2 02230405 70526573 isr......#..pRes + 54370 65727665 64000000 047c0223 08000f00 erved....|.#.... + 54380 0016f302 00003348 10010004 5f485443 ......3H...._HTC + 54390 5f465241 4d455f48 44520008 000033ba _FRAME_HDR....3. + 543a0 05456e64 706f696e 74494400 000016f3 .EndpointID..... + 543b0 02230005 466c6167 73000000 16f30223 .#..Flags......# + 543c0 01055061 796c6f61 644c656e 0000001d ..PayloadLen.... + 543d0 1b022302 05436f6e 74726f6c 42797465 ..#..ControlByte + 543e0 73000000 333b0223 0405486f 73745365 s...3;.#..HostSe + 543f0 714e756d 0000001d 1b022306 00120200 qNum......#..... + 54400 0033d305 4d657373 61676549 44000000 .3..MessageID... + 54410 1d1b0223 00001208 00003436 054d6573 ...#......46.Mes + 54420 73616765 49440000 001d1b02 23000543 sageID......#..C + 54430 72656469 74436f75 6e740000 001d1b02 reditCount...... + 54440 23020543 72656469 7453697a 65000000 #..CreditSize... + 54450 1d1b0223 04054d61 78456e64 706f696e ...#..MaxEndpoin + 54460 74730000 0016f302 2306055f 50616431 ts......#.._Pad1 + 54470 00000016 f3022307 00120a00 0034cd05 ......#......4.. + 54480 4d657373 61676549 44000000 1d1b0223 MessageID......# + 54490 00055365 72766963 65494400 00001d1b ..ServiceID..... + 544a0 02230205 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 544b0 61677300 00001d1b 02230405 446f776e ags......#..Down + 544c0 4c696e6b 50697065 49440000 0016f302 LinkPipeID...... + 544d0 23060555 704c696e 6b506970 65494400 #..UpLinkPipeID. + 544e0 000016f3 02230705 53657276 6963654d .....#..ServiceM + 544f0 6574614c 656e6774 68000000 16f30223 etaLength......# + 54500 08055f50 61643100 000016f3 02230900 .._Pad1......#.. + 54510 120a0000 3555054d 65737361 67654944 ....5U.MessageID + 54520 0000001d 1b022300 05536572 76696365 ......#..Service + 54530 49440000 001d1b02 23020553 74617475 ID......#..Statu + 54540 73000000 16f30223 0405456e 64706f69 s......#..Endpoi + 54550 6e744944 00000016 f3022305 054d6178 ntID......#..Max + 54560 4d736753 697a6500 00001d1b 02230605 MsgSize......#.. + 54570 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 54580 68000000 16f30223 08055f50 61643100 h......#.._Pad1. + 54590 000016f3 02230900 12020000 356e054d .....#......5n.M + 545a0 65737361 67654944 0000001d 1b022300 essageID......#. + 545b0 00120400 0035aa05 4d657373 61676549 .....5..MessageI + 545c0 44000000 1d1b0223 00055069 70654944 D......#..PipeID + 545d0 00000016 f3022302 05437265 64697443 ......#..CreditC + 545e0 6f756e74 00000016 f3022303 00120400 ount......#..... + 545f0 0035e105 4d657373 61676549 44000000 .5..MessageID... + 54600 1d1b0223 00055069 70654944 00000016 ...#..PipeID.... + 54610 f3022302 05537461 74757300 000016f3 ..#..Status..... + 54620 02230300 12020000 36080552 65636f72 .#......6..Recor + 54630 64494400 000016f3 02230005 4c656e67 dID......#..Leng + 54640 74680000 0016f302 23010012 02000036 th......#......6 + 54650 3205456e 64706f69 6e744944 00000016 2.EndpointID.... + 54660 f3022300 05437265 64697473 00000016 ..#..Credits.... + 54670 f3022301 00120400 00367305 456e6470 ..#......6s.Endp + 54680 6f696e74 49440000 0016f302 23000543 ointID......#..C + 54690 72656469 74730000 0016f302 23010554 redits......#..T + 546a0 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 546b0 1d1b0223 02000f00 0016f304 00003680 ...#..........6. + 546c0 10030012 06000036 bc055072 6556616c .......6..PreVal + 546d0 69640000 0016f302 2300054c 6f6f6b41 id......#..LookA + 546e0 68656164 00000036 73022301 05506f73 head...6s.#..Pos + 546f0 7456616c 69640000 0016f302 23050003 tValid......#... + 54700 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 54710 047c0900 0036bc01 07000036 cf040006 .|...6.....6.... + 54720 01070000 36dc0400 14040000 375a0e50 ....6.......7Z.P + 54730 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 54740 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 54750 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 54760 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 54770 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID + 54780 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P + 54790 4f4f4c5f 49445f4d 4158000a 00034255 OOL_ID_MAX....BU + 547a0 465f504f 4f4c5f49 44000000 36e50601 F_POOL_ID...6... + 547b0 07000037 6b040009 000026b8 01070000 ...7k.....&..... + 547c0 37740400 09000026 b8010700 00378104 7t.....&.....7.. + 547d0 00060107 0000378e 04000462 75665f70 ......7....buf_p + 547e0 6f6f6c5f 61706900 1c000038 30055f69 ool_api....80._i + 547f0 6e697400 000036d5 02230005 5f736875 nit...6..#.._shu + 54800 74646f77 6e000000 36de0223 04055f63 tdown...6..#.._c + 54810 72656174 655f706f 6f6c0000 00376d02 reate_pool...7m. + 54820 2308055f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 54830 377a0223 0c055f61 6c6c6f63 5f627566 7z.#.._alloc_buf + 54840 5f616c69 676e0000 00378702 2310055f _align...7..#.._ + 54850 66726565 5f627566 00000037 90022314 free_buf...7..#. + 54860 05705265 73657276 65640000 00047c02 .pReserved....|. + 54870 23180004 5f485443 5f534552 56494345 #..._HTC_SERVICE + 54880 001c0000 390f0570 4e657874 00000039 ....9..pNext...9 + 54890 0f022300 0550726f 63657373 52656376 ..#..ProcessRecv + 548a0 4d736700 000039c4 02230405 50726f63 Msg...9..#..Proc + 548b0 65737353 656e6442 75666665 72436f6d essSendBufferCom + 548c0 706c6574 65000000 39cd0223 08055072 plete...9..#..Pr + 548d0 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 548e0 e102230c 05536572 76696365 49440000 ..#..ServiceID.. + 548f0 00136102 23100553 65727669 6365466c ..a.#..ServiceFl + 54900 61677300 00001361 02231205 4d617853 ags....a.#..MaxS + 54910 76634d73 6753697a 65000000 13610223 vcMsgSize....a.# + 54920 14055472 61696c65 72537063 43686563 ..TrailerSpcChec + 54930 6b4c696d 69740000 00136102 23160553 kLimit....a.#..S + 54940 65727669 63654374 78000000 047c0223 erviceCtx....|.# + 54950 18000700 00383004 00140400 0039ad19 .....80......9.. + 54960 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 54970 ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. + 54980 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END + 54990 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN + 549a0 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. + 549b0 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END + 549c0 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN + 549d0 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. + 549e0 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 549f0 03485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 54a00 00000039 16060107 000039c2 04000601 ...9......9..... + 54a10 07000039 cb040007 000001c9 04000900 ...9............ + 54a20 00134d01 07000039 db040007 00003830 ..M....9......80 + 54a30 0400045f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 54a40 00003a60 05437265 64697453 697a6500 ..:`.CreditSize. + 54a50 000001c9 02230005 43726564 69744e75 .....#..CreditNu + 54a60 6d626572 00000001 c9022304 054f5348 mber......#..OSH + 54a70 616e646c 65000000 1ab70223 08054849 andle......#..HI + 54a80 4648616e 646c6500 00002920 02230c05 FHandle...) .#.. + 54a90 506f6f6c 48616e64 6c650000 0036bc02 PoolHandle...6.. + 54aa0 23100004 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 54ab0 54455854 00020000 3a9c0565 6e645f70 TEXT....:..end_p + 54ac0 6f696e74 00000013 4d022300 05687463 oint....M.#..htc + 54ad0 5f666c61 67730000 00134d02 23010003 _flags....M.#... + 54ae0 6874635f 68616e64 6c655f74 00000004 htc_handle_t.... + 54af0 7c034854 435f5345 5455505f 434f4d50 |.HTC_SETUP_COMP + 54b00 4c455445 5f434200 000001c2 03485443 LETE_CB......HTC + 54b10 5f434f4e 46494700 000039ef 0700003a _CONFIG...9....: + 54b20 c9040009 00003a9c 01070000 3ae00400 ......:.....:... + 54b30 06010700 003aed04 00034854 435f5345 .....:....HTC_SE + 54b40 52564943 45000000 38300700 003af604 RVICE...80...:.. + 54b50 00060107 00003b0e 04000601 0700003b ......;........; + 54b60 17040006 01070000 3b200400 09000001 ........; ...... + 54b70 c9010700 003b2904 00046874 635f6170 .....;)...htc_ap + 54b80 69730034 00003ca6 055f4854 435f496e is.4..<.._HTC_In + 54b90 69740000 003ae602 2300055f 4854435f it...:..#.._HTC_ + 54ba0 53687574 646f776e 0000003a ef022304 Shutdown...:..#. + 54bb0 055f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 54bc0 72766963 65000000 3b100223 08055f48 rvice...;..#.._H + 54bd0 54435f52 65616479 0000003a ef02230c TC_Ready...:..#. + 54be0 055f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 54bf0 65727300 00003b19 02231005 5f485443 ers...;..#.._HTC + 54c00 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 54c10 73740000 003b2202 2314055f 4854435f st...;".#.._HTC_ + 54c20 53656e64 4d736700 00003b19 02231805 SendMsg...;..#.. + 54c30 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 54c40 48656164 726f6f6d 0000003b 2f02231c Headroom...;/.#. + 54c50 055f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 54c60 646c6572 00000028 cd022320 055f4854 dler...(..# ._HT + 54c70 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 54c80 72000000 28c40223 24055f48 54435f43 r...(..#$._HTC_C + 54c90 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 54ca0 4d736700 000039c4 02232805 5f485443 Msg...9..#(._HTC + 54cb0 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 54cc0 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 54cd0 0039cd02 232c0570 52657365 72766564 .9..#,.pReserved + 54ce0 00000004 7c022330 0004686f 73745f61 ....|.#0..host_a + 54cf0 70705f61 7265615f 73000400 003cd605 pp_area_s....<.. + 54d00 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 54d10 00000016 8c022300 00120e00 003d0d05 ......#......=.. + 54d20 6473744d 61630000 001cd802 23000573 dstMac......#..s + 54d30 72634d61 63000000 1cd80223 06057479 rcMac......#..ty + 54d40 70654f72 4c656e00 00001d1b 02230c00 peOrLen......#.. + 54d50 0f000016 f3030000 3d1a1002 00120800 ........=....... + 54d60 003d6a05 64736170 00000016 f3022300 .=j.dsap......#. + 54d70 05737361 70000000 16f30223 0105636e .ssap......#..cn + 54d80 746c0000 0016f302 2302056f 7267436f tl......#..orgCo + 54d90 64650000 003d0d02 23030565 74686572 de...=..#..ether + 54da0 54797065 0000001d 1b022306 00120200 Type......#..... + 54db0 003d8b05 72737369 0000001c 71022300 .=..rssi....q.#. + 54dc0 05696e66 6f000000 16f30223 01001204 .info......#.... + 54dd0 00003db2 05636f6d 6d616e64 49640000 ..=..commandId.. + 54de0 001d1b02 23000573 65714e6f 0000001d ....#..seqNo.... + 54df0 1b022302 000f0000 16f30100 003dbf10 ..#..........=.. + 54e00 00001202 00003de6 056d7367 53697a65 ......=..msgSize + 54e10 00000016 f3022300 056d7367 44617461 ......#..msgData + 54e20 0000003d b2022301 00120800 003e2d05 ...=..#......>-. + 54e30 61646472 6573734c 0000001d 1b022300 addressL......#. + 54e40 05616464 72657373 48000000 1d1b0223 .addressH......# + 54e50 02057661 6c75654c 0000001d 1b022304 ..valueL......#. + 54e60 0576616c 75654800 00001d1b 02230600 .valueH......#.. + 54e70 03574d49 5f415654 0000003d e60f0000 .WMI_AVT...=.... + 54e80 3e2d0800 003e4710 0000120c 00003e7e >-...>G.......>~ + 54e90 05747570 6c654e75 6d4c0000 001d1b02 .tupleNumL...... + 54ea0 23000574 75706c65 4e756d48 0000001d #..tupleNumH.... + 54eb0 1b022302 05617674 0000003e 3a022304 ..#..avt...>:.#. + 54ec0 00120100 003ea005 62656163 6f6e5065 .....>..beaconPe + 54ed0 6e64696e 67436f75 6e740000 0016f302 ndingCount...... + 54ee0 23000004 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON + 54ef0 46494700 1000003f 09054874 6348616e FIG....?..HtcHan + 54f00 646c6500 00003a9c 02230005 506f6f6c dle...:..#..Pool + 54f10 48616e64 6c650000 0036bc02 2304054d Handle...6..#..M + 54f20 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 54f30 0001c902 2308054d 61784576 656e7445 ....#..MaxEventE + 54f40 76747300 000001c9 02230c00 06010700 vts......#...... + 54f50 003f0904 0003574d 495f434d 445f4841 .?....WMI_CMD_HA + 54f60 4e444c45 52000000 3f0b045f 574d495f NDLER...?.._WMI_ + 54f70 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 54f80 00003f72 0570436d 6448616e 646c6572 ..?r.pCmdHandler + 54f90 0000003f 12022300 05436d64 49440000 ...?..#..CmdID.. + 54fa0 00136102 23040546 6c616773 00000013 ..a.#..Flags.... + 54fb0 61022306 00045f57 4d495f44 49535041 a.#..._WMI_DISPA + 54fc0 5443485f 5441424c 45001000 003fd305 TCH_TABLE....?.. + 54fd0 704e6578 74000000 3fd30223 00057043 pNext...?..#..pC + 54fe0 6f6e7465 78740000 00047c02 2304054e ontext....|.#..N + 54ff0 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 55000 0001c902 23080570 5461626c 65000000 ....#..pTable... + 55010 3ff20223 0c000700 003f7204 0003574d ?..#.....?r...WM + 55020 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 55030 0000003f 27070000 3fda0400 0700003f ...?'...?......? + 55040 72040003 4854435f 4255465f 434f4e54 r...HTC_BUF_CONT + 55050 45585400 00003a60 0d574d49 5f455654 EXT...:`.WMI_EVT + 55060 5f434c41 53530004 0000408a 19574d49 _CLASS....@..WMI + 55070 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 55080 ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 55090 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W + 550a0 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 550b0 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT + 550c0 5f434c41 53535f4d 41580002 0003574d _CLASS_MAX....WM + 550d0 495f4556 545f434c 41535300 00004015 I_EVT_CLASS...@. + 550e0 045f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 550f0 54000c00 0040e805 48746342 75664374 T....@..HtcBufCt + 55100 78000000 40000223 00054576 656e7443 x...@..#..EventC + 55110 6c617373 00000040 8a022304 05466c61 lass...@..#..Fla + 55120 67730000 00136102 23080003 776d695f gs....a.#...wmi_ + 55130 68616e64 6c655f74 00000004 7c03574d handle_t....|.WM + 55140 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> + 55150 a0070000 40fa0400 09000040 e8010700 ....@......@.... + 55160 00411504 0003574d 495f4449 53504154 .A....WMI_DISPAT + 55170 43485f54 41424c45 0000003f 72070000 CH_TABLE...?r... + 55180 41220400 06010700 00414104 00090000 A".......AA..... + 55190 26b80107 0000414a 04000601 07000041 &.....AJ.......A + 551a0 57040009 000001c9 01070000 41600400 W...........A`.. + 551b0 06010700 00416d04 00090000 134d0107 .....Am......M.. + 551c0 00004176 0400045f 776d695f 7376635f ..Av..._wmi_svc_ + 551d0 61706973 002c0000 42be055f 574d495f apis.,..B.._WMI_ + 551e0 496e6974 00000041 1b022300 055f574d Init...A..#.._WM + 551f0 495f5265 67697374 65724469 73706174 I_RegisterDispat + 55200 63685461 626c6500 00004143 02230405 chTable...AC.#.. + 55210 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 55220 00004150 02230805 5f574d49 5f53656e ..AP.#.._WMI_Sen + 55230 64457665 6e740000 00415902 230c055f dEvent...AY.#.._ + 55240 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 55250 656e7473 436f756e 74000000 41660223 entsCount...Af.# + 55260 10055f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 55270 65746548 616e646c 65720000 0039cd02 eteHandler...9.. + 55280 2314055f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 55290 6f6c4570 00000041 66022318 055f574d olEp...Af.#.._WM + 552a0 495f5368 7574646f 776e0000 00416f02 I_Shutdown...Ao. + 552b0 231c055f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 552c0 61676548 616e646c 65720000 0039c402 ageHandler...9.. + 552d0 2320055f 574d495f 53657276 69636543 # ._WMI_ServiceC + 552e0 6f6e6e65 63740000 00417c02 23240570 onnect...A|.#$.p + 552f0 52657365 72766564 00000004 7c022328 Reserved....|.#( + 55300 00047a73 446d6144 65736300 14000043 ..zsDmaDesc....C + 55310 40056374 726c0000 00011f02 23000573 @.ctrl......#..s + 55320 74617475 73000000 011f0223 0205746f tatus......#..to + 55330 74616c4c 656e0000 00011f02 23040564 talLen......#..d + 55340 61746153 697a6500 0000011f 02230605 ataSize......#.. + 55350 6c617374 41646472 00000043 40022308 lastAddr...C@.#. + 55360 05646174 61416464 72000000 022d0223 .dataAddr....-.# + 55370 0c056e65 78744164 64720000 00434002 ..nextAddr...C@. + 55380 23100007 000042be 04000700 0042be04 #.....B......B.. + 55390 00047a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 553a0 43800568 65616400 00004347 02230005 C..head...CG.#.. + 553b0 7465726d 696e6174 6f720000 00434702 terminator...CG. + 553c0 23040004 7a735478 446d6151 75657565 #...zsTxDmaQueue + 553d0 00100000 43e40568 65616400 00004347 ....C..head...CG + 553e0 02230005 7465726d 696e6174 6f720000 .#..terminator.. + 553f0 00434702 23040578 6d697465 645f6275 .CG.#..xmited_bu + 55400 665f6865 61640000 0014ac02 23080578 f_head......#..x + 55410 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 55420 0014ac02 230c0006 01070000 43e40400 ....#.......C... + 55430 07000043 4e040006 01070000 43f40400 ...CN.......C... + 55440 07000043 80040006 01070000 44040400 ...C........D... + 55450 06010700 00440d04 00060107 00004416 .....D........D. + 55460 04000900 0014ac01 07000044 1f040006 ...........D.... + 55470 01070000 442c0400 09000014 ac010700 ....D,.......... + 55480 00443504 00060107 00004442 04000900 .D5.......DB.... + 55490 0001c901 07000044 4b040009 00004347 .......DK.....CG + 554a0 01070000 44580400 06010700 00446504 ....DX.......De. + 554b0 0004646d 615f656e 67696e65 5f617069 ..dma_engine_api + 554c0 00400000 45db055f 696e6974 00000043 .@..E.._init...C + 554d0 e6022300 055f696e 69745f72 785f7175 ..#.._init_rx_qu + 554e0 65756500 000043f6 02230405 5f696e69 eue...C..#.._ini + 554f0 745f7478 5f717565 75650000 00440602 t_tx_queue...D.. + 55500 2308055f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 55510 65756500 0000440f 02230c05 5f786d69 eue...D..#.._xmi + 55520 745f6275 66000000 44180223 10055f66 t_buf...D..#.._f + 55530 6c757368 5f786d69 74000000 43f60223 lush_xmit...C..# + 55540 14055f72 6561705f 72656376 5f627566 .._reap_recv_buf + 55550 00000044 25022318 055f7265 7475726e ...D%.#.._return + 55560 5f726563 765f6275 66000000 442e0223 _recv_buf...D..# + 55570 1c055f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 55580 75660000 00443b02 2320055f 73776170 uf...D;.# ._swap + 55590 5f646174 61000000 44440223 24055f68 _data...DD.#$._h + 555a0 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 555b0 00000044 51022328 055f6465 73635f64 ...DQ.#(._desc_d + 555c0 756d7000 000043f6 02232c05 5f676574 ump...C..#,._get + 555d0 5f706163 6b657400 0000445e 02233005 _packet...D^.#0. + 555e0 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 555f0 00004467 02233405 5f707574 5f706163 ..Dg.#4._put_pac + 55600 6b657400 00004467 02233805 70526573 ket...Dg.#8.pRes + 55610 65727665 64000000 047c0223 3c00035f erved....|.#<.._ + 55620 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 55630 696f6e5f 7461626c 655f7400 0000311a ion_table_t...1. + 55640 03574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 55650 4183175f 415f6d61 67706965 5f696e64 A.._A_magpie_ind + 55660 69726563 74696f6e 5f746162 6c650003 irection_table.. + 55670 4c000047 0905636d 6e6f7300 000045db L..G..cmnos...E. + 55680 02230005 64626700 00000449 0323b803 .#..dbg....I.#.. + 55690 05686966 00000029 c30323c0 03056874 .hif...)..#...ht + 556a0 63000000 3b360323 f8030577 6d695f73 c...;6.#...wmi_s + 556b0 76635f61 70690000 0045fd03 23ac0405 vc_api...E..#... + 556c0 75736266 69666f5f 61706900 000032ee usbfifo_api...2. + 556d0 0323d804 05627566 5f706f6f 6c000000 .#...buf_pool... + 556e0 37970323 e4040576 62756600 000014d6 7..#...vbuf..... + 556f0 03238005 05766465 73630000 0013b803 .#...vdesc...... + 55700 23940505 616c6c6f 6372616d 00000009 #...allocram.... + 55710 ae0323a8 0505646d 615f656e 67696e65 ..#...dma_engine + 55720 00000044 6e0323b4 0505646d 615f6c69 ...Dn.#...dma_li + 55730 62000000 2c570323 f4050568 69665f70 b...,W.#...hif_p + 55740 63690000 002eb703 23a80600 035f415f ci......#...._A_ + 55750 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 55760 6f6e5f74 61626c65 5f740000 00460f06 on_table_t...F.. + 55770 01070000 01120400 06011a01 146d5573 .............mUs + 55780 6245504d 61700001 01039201 20029000 bEPMap...... ... + 55790 008e47bc 008e47d8 0000477d 1b011445 ..G...G...G}...E + 557a0 506e0000 00011201 521b0114 4d415000 Pn......R...MAP. + 557b0 00000112 01531c72 65670000 00011200 .....S.reg...... + 557c0 1a01246d 55736246 49464f4d 61700001 ..$mUsbFIFOMap.. + 557d0 01039201 20029000 008e47d8 008e47f6 .... .....G...G. + 557e0 000047c7 1b012446 49464f6e 00000001 ..G...$FIFOn.... + 557f0 1201521b 01244d41 50000000 01120153 ..R..$MAP......S + 55800 1c726567 00000001 12001a01 366d5573 .reg........6mUs + 55810 62464946 4f436f6e 66696700 01010392 bFIFOConfig..... + 55820 01200290 00008e47 f8008e48 16000048 . .....G...H...H + 55830 141b0136 4649464f 6e000000 01120152 ...6FIFOn......R + 55840 1b013663 66670000 00011201 531c7265 ..6cfg......S.re + 55850 67000000 0112001a 01456d55 73624550 g........EmUsbEP + 55860 4d785074 537a4869 67680001 01039201 MxPtSzHigh...... + 55870 20029000 008e4818 008e483f 0000486f .....H...H?..Ho + 55880 1b014545 506e0000 00011201 521b0145 ..EEPn......R..E + 55890 64697200 00000112 01531b01 4573697a dir......S..Esiz + 558a0 65000000 01350154 1c726567 00000001 e....5.T.reg.... + 558b0 12001a01 526d5573 6245504d 78507453 ....RmUsbEPMxPtS + 558c0 7a4c6f77 00010103 92012002 9000008e zLow...... ..... + 558d0 4840008e 48640000 48c91b01 5245506e H@..Hd..H...REPn + 558e0 00000001 1201521b 01526469 72000000 ......R..Rdir... + 558f0 01120153 1b015273 697a6500 00000135 ...S..Rsize....5 + 55900 01541c72 65670000 00011200 1a015e6d .T.reg........^m + 55910 55736245 50696e48 69676842 616e6453 UsbEPinHighBandS + 55920 65740001 01039201 20029000 008e4864 et...... .....Hd + 55930 008e48ae 00004931 1b015e45 506e0000 ..H...I1..^EPn.. + 55940 00011201 521b015e 64697200 00000112 ....R..^dir..... + 55950 01531b01 5e73697a 65000000 01350154 .S..^size....5.T + 55960 1c726567 00000001 121c7265 67320000 .reg......reg2.. + 55970 00011200 1a018f76 55736246 49464f5f .......vUsbFIFO_ + 55980 45507843 66675f48 53000101 03920120 EPxCfg_HS...... + 55990 02900000 8e48b000 8e4a4000 0049641c .....H...J@..Id. + 559a0 69000000 01c9001d 01013476 55736246 i.........4vUsbF + 559b0 49464f5f 45507843 66675f46 53000101 IFO_EPxCfg_FS... + 559c0 03920120 02900000 8e4a4000 8e4b6f1c ... .....J@..Ko. + 559d0 69000000 01c90000 0000004d 26000200 i..........M&... + 559e0 001f5c04 012f726f 6f742f57 6f726b73 ..\../root/Works + 559f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 55a00 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 55a10 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 55a20 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 55a30 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 55a40 6f6d2f68 69662f64 6d615f6c 69622f2f om/hif/dma_lib// + 55a50 646d615f 6c69622e 63002f72 6f6f742f dma_lib.c./root/ + 55a60 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 55a70 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 55a80 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 55a90 2f646d61 5f6c6962 0078742d 78636320 /dma_lib.xt-xcc + 55aa0 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + 55ab0 6c69676e 5f696e73 74727563 74696f6e lign_instruction + 55ac0 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + 55ad0 543a7370 61636500 01000001 34c7025f T:space.....4.._ + 55ae0 56444553 43002400 00019103 6e657874 VDESC.$.....next + 55af0 5f646573 63000000 01910223 00036275 _desc......#..bu + 55b00 665f6164 64720000 0001b602 23040362 f_addr......#..b + 55b10 75665f73 697a6500 000001d3 02230803 uf_size......#.. + 55b20 64617461 5f6f6666 73657400 000001d3 data_offset..... + 55b30 02230a03 64617461 5f73697a 65000000 .#..data_size... + 55b40 01d30223 0c03636f 6e74726f 6c000000 ...#..control... + 55b50 01d30223 0e036877 5f646573 635f6275 ...#..hw_desc_bu + 55b60 66000000 01e10223 10000400 00010504 f......#........ + 55b70 0005756e 7369676e 65642063 68617200 ..unsigned char. + 55b80 07010641 5f55494e 54380000 00019804 ...A_UINT8...... + 55b90 000001a9 04000573 686f7274 20756e73 .......short uns + 55ba0 69676e65 6420696e 74000702 06415f55 igned int....A_U + 55bb0 494e5431 36000000 01bd0700 0001a914 INT16........... + 55bc0 000001ee 08130004 00000105 04000569 ...............i + 55bd0 6e740005 04090104 000001fc 04000656 nt.............V + 55be0 44455343 00000001 05040000 02050400 DESC............ + 55bf0 0a000002 10010400 00021704 000a0000 ................ + 55c00 01b60104 00000224 04000901 04000002 .......$........ + 55c10 3104000b 04000276 64657363 5f617069 1......vdesc_api + 55c20 00140000 02ac035f 696e6974 00000001 ......._init.... + 55c30 fe022300 035f616c 6c6f635f 76646573 ..#.._alloc_vdes + 55c40 63000000 021d0223 04035f67 65745f68 c......#.._get_h + 55c50 775f6465 73630000 00022a02 2308035f w_desc....*.#.._ + 55c60 73776170 5f766465 73630000 00023302 swap_vdesc....3. + 55c70 230c0370 52657365 72766564 00000002 #..pReserved.... + 55c80 3a022310 00025f56 42554600 20000003 :.#..._VBUF. ... + 55c90 0c036465 73635f6c 69737400 00000210 ..desc_list..... + 55ca0 02230003 6e657874 5f627566 00000003 .#..next_buf.... + 55cb0 0c022304 03627566 5f6c656e 67746800 ..#..buf_length. + 55cc0 000001d3 02230803 72657365 72766564 .....#..reserved + 55cd0 00000003 1302230a 03637478 00000001 ......#..ctx.... + 55ce0 e102230c 00040000 02ac0400 07000001 ..#............. + 55cf0 a9020000 03200801 00040000 02ac0400 ..... .......... + 55d00 06564255 46000000 02ac0400 00032704 .VBUF.........'. + 55d10 000a0000 03310104 00000338 04000a00 .....1.....8.... + 55d20 00033101 04000003 45040009 01040000 ..1.....E....... + 55d30 03520400 02766275 665f6170 69001400 .R...vbuf_api... + 55d40 0003d003 5f696e69 74000000 01fe0223 ...._init......# + 55d50 00035f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... + 55d60 033e0223 04035f61 6c6c6f63 5f766275 .>.#.._alloc_vbu + 55d70 665f7769 74685f73 697a6500 0000034b f_with_size....K + 55d80 02230803 5f667265 655f7662 75660000 .#.._free_vbuf.. + 55d90 00035402 230c0370 52657365 72766564 ..T.#..pReserved + 55da0 00000002 3a022310 00027a73 446d6144 ....:.#...zsDmaD + 55db0 65736300 14000004 52036374 726c0000 esc.....R.ctrl.. + 55dc0 0001bd02 23000373 74617475 73000000 ....#..status... + 55dd0 01bd0223 0203746f 74616c4c 656e0000 ...#..totalLen.. + 55de0 0001bd02 23040364 61746153 697a6500 ....#..dataSize. + 55df0 000001bd 02230603 6c617374 41646472 .....#..lastAddr + 55e00 00000004 52022308 03646174 61416464 ....R.#..dataAdd + 55e10 72000000 04590223 0c036e65 78744164 r....Y.#..nextAd + 55e20 64720000 00045202 23100004 000003d0 dr....R.#....... + 55e30 0400056c 6f6e6720 756e7369 676e6564 ...long unsigned + 55e40 20696e74 00070404 000003d0 0400027a int...........z + 55e50 73446d61 51756575 65000800 0004a703 sDmaQueue....... + 55e60 68656164 00000004 6e022300 03746572 head....n.#..ter + 55e70 6d696e61 746f7200 0000046e 02230400 minator....n.#.. + 55e80 027a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 55e90 00050b03 68656164 00000004 6e022300 ....head....n.#. + 55ea0 03746572 6d696e61 746f7200 0000046e .terminator....n + 55eb0 02230403 786d6974 65645f62 75665f68 .#..xmited_buf_h + 55ec0 65616400 00000331 02230803 786d6974 ead....1.#..xmit + 55ed0 65645f62 75665f74 61696c00 00000331 ed_buf_tail....1 + 55ee0 02230c00 09010400 00050b04 00040000 .#.............. + 55ef0 04750400 09010400 00051b04 00040000 .u.............. + 55f00 04a70400 09010400 00052b04 00090104 ..........+..... + 55f10 00000534 04000901 04000005 3d04000a ...4........=... + 55f20 00000331 01040000 05460400 09010400 ...1.....F...... + 55f30 00055304 000a0000 03310104 0000055c ..S......1.....\ + 55f40 04000901 04000005 6904000a 000001f5 ........i....... + 55f50 01040000 05720400 0a000004 6e010400 .....r......n... + 55f60 00057f04 00090104 0000058c 04000264 ...............d + 55f70 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 55f80 00070203 5f696e69 74000000 050d0223 ...._init......# + 55f90 00035f69 6e69745f 72785f71 75657565 .._init_rx_queue + 55fa0 00000005 1d022304 035f696e 69745f74 ......#.._init_t + 55fb0 785f7175 65756500 0000052d 02230803 x_queue....-.#.. + 55fc0 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 55fd0 00000005 3602230c 035f786d 69745f62 ....6.#.._xmit_b + 55fe0 75660000 00053f02 2310035f 666c7573 uf....?.#.._flus + 55ff0 685f786d 69740000 00051d02 2314035f h_xmit......#.._ + 56000 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 56010 054c0223 18035f72 65747572 6e5f7265 .L.#.._return_re + 56020 63765f62 75660000 00055502 231c035f cv_buf....U.#.._ + 56030 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 56040 00000562 02232003 5f737761 705f6461 ...b.# ._swap_da + 56050 74610000 00056b02 2324035f 6861735f ta....k.#$._has_ + 56060 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 56070 05780223 28035f64 6573635f 64756d70 .x.#(._desc_dump + 56080 00000005 1d02232c 035f6765 745f7061 ......#,._get_pa + 56090 636b6574 00000005 85022330 035f7265 cket......#0._re + 560a0 636c6169 6d5f7061 636b6574 00000005 claim_packet.... + 560b0 8e022334 035f7075 745f7061 636b6574 ..#4._put_packet + 560c0 00000005 8e022338 03705265 73657276 ......#8.pReserv + 560d0 65640000 00023a02 233c0009 01040000 ed....:.#<...... + 560e0 07020400 05636861 72000701 0c000007 .....char....... + 560f0 0b0c0000 070b0400 00071804 000a0000 ................ + 56100 01f50104 00000724 04000270 72696e74 .......$...print + 56110 665f6170 69000800 00076803 5f707269 f_api.....h._pri + 56120 6e74665f 696e6974 00000007 04022300 ntf_init......#. + 56130 035f7072 696e7466 00000007 2a022304 ._printf....*.#. + 56140 00067569 6e743136 5f740000 0001bd06 ..uint16_t...... + 56150 75696e74 33325f74 00000004 59027561 uint32_t....Y.ua + 56160 72745f66 69666f00 08000007 cf037374 rt_fifo.......st + 56170 6172745f 696e6465 78000000 07680223 art_index....h.# + 56180 0003656e 645f696e 64657800 00000768 ..end_index....h + 56190 02230203 6f766572 72756e5f 65727200 .#..overrun_err. + 561a0 00000776 02230400 02756172 745f6170 ...v.#...uart_ap + 561b0 69002000 00088803 5f756172 745f696e i. ....._uart_in + 561c0 69740000 0008df02 2300035f 75617274 it......#.._uart + 561d0 5f636861 725f7075 74000000 08f50223 _char_put......# + 561e0 04035f75 6172745f 63686172 5f676574 .._uart_char_get + 561f0 00000009 09022308 035f7561 72745f73 ......#.._uart_s + 56200 74725f6f 75740000 00091202 230c035f tr_out......#.._ + 56210 75617274 5f746173 6b000000 07040223 uart_task......# + 56220 10035f75 6172745f 73746174 75730000 .._uart_status.. + 56230 0008df02 2314035f 75617274 5f636f6e ....#.._uart_con + 56240 66696700 0000091b 02231803 5f756172 fig......#.._uar + 56250 745f6877 696e6974 00000009 2402231c t_hwinit....$.#. + 56260 00040000 07cf0400 02756172 745f626c .........uart_bl + 56270 6b001000 0008d903 64656275 675f6d6f k.......debug_mo + 56280 64650000 00076802 23000362 61756400 de....h.#..baud. + 56290 00000768 02230203 5f756172 74000000 ...h.#.._uart... + 562a0 08880223 04035f74 78000000 07840223 ...#.._tx......# + 562b0 08000a00 00077601 04000008 d9040006 ......v......... + 562c0 75696e74 385f7400 00000198 09010400 uint8_t......... + 562d0 0008f304 00040000 08e60400 0a000007 ................ + 562e0 68010400 00090304 00090104 00000910 h............... + 562f0 04000901 04000009 19040009 01040000 ................ + 56300 09220400 04000007 0b04000a 000001f5 .".............. + 56310 01040000 09320400 0244425f 434f4d4d .....2...DB_COMM + 56320 414e445f 53545255 4354000c 0000098a AND_STRUCT...... + 56330 03636d64 5f737472 00000009 2b022300 .cmd_str....+.#. + 56340 0368656c 705f7374 72000000 092b0223 .help_str....+.# + 56350 0403636d 645f6675 6e630000 00093802 ..cmd_func....8. + 56360 23080002 6462675f 61706900 08000009 #...dbg_api..... + 56370 bd035f64 62675f69 6e697400 00000704 .._dbg_init..... + 56380 02230003 5f646267 5f746173 6b000000 .#.._dbg_task... + 56390 07040223 04000575 6e736967 6e656420 ...#...unsigned + 563a0 696e7400 07040a00 00023a01 04000009 int.......:..... + 563b0 cd04000d 0d040000 09db0400 0a000002 ................ + 563c0 3a010400 0009e304 000a0000 01f50104 :............... + 563d0 000009f0 0400026d 656d5f61 70690014 .......mem_api.. + 563e0 00000a5f 035f6d65 6d5f696e 69740000 ..._._mem_init.. + 563f0 00070402 2300035f 6d656d73 65740000 ....#.._memset.. + 56400 0009d302 2304035f 6d656d63 70790000 ....#.._memcpy.. + 56410 0009e902 2308035f 6d656d6d 6f766500 ....#.._memmove. + 56420 000009e9 02230c03 5f6d656d 636d7000 .....#.._memcmp. + 56430 000009f6 02231000 0e726567 69737465 .....#...registe + 56440 725f6475 6d705f73 00000104 00000a5f r_dump_s......._ + 56450 04000901 0400000a 79040009 01040000 ........y....... + 56460 0a820400 0a000001 f5010400 000a8b04 ................ + 56470 000f686f 73746966 5f730004 00000ae7 ..hostif_s...... + 56480 10484946 5f555342 00001048 49465f50 .HIF_USB...HIF_P + 56490 43494500 01104849 465f474d 41430002 CIE...HIF_GMAC.. + 564a0 10484946 5f504349 00031048 49465f4e .HIF_PCI...HIF_N + 564b0 554d0004 10484946 5f4e4f4e 45000500 UM...HIF_NONE... + 564c0 06415f48 4f535449 46000000 0a980a00 .A_HOSTIF....... + 564d0 000ae701 0400000a f504000a 000008e6 ................ + 564e0 01040000 0b020400 0a000007 68010400 ............h... + 564f0 000b0f04 00026d69 73635f61 70690024 ......misc_api.$ + 56500 00000bff 035f7379 7374656d 5f726573 ....._system_res + 56510 65740000 00070402 2300035f 6d61635f et......#.._mac_ + 56520 72657365 74000000 07040223 04035f61 reset......#.._a + 56530 73736661 696c0000 000a7b02 2308035f ssfail....{.#.._ + 56540 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 56550 68616e64 6c657200 00000a7b 02230c03 handler....{.#.. + 56560 5f726570 6f72745f 6661696c 7572655f _report_failure_ + 56570 746f5f68 6f737400 00000a84 02231003 to_host......#.. + 56580 5f746172 6765745f 69645f67 65740000 _target_id_get.. + 56590 000a9102 2314035f 69735f68 6f73745f ....#.._is_host_ + 565a0 70726573 656e7400 00000afb 02231803 present......#.. + 565b0 5f6b6268 69740000 000b0802 231c035f _kbhit......#.._ + 565c0 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. + 565d0 00000b15 02232000 0a000009 2b010400 .....# .....+... + 565e0 000bff04 000a0000 092b0104 00000c0c .........+...... + 565f0 04000a00 0001f501 0400000c 1904000a ................ + 56600 000001f5 01040000 0c260400 0a000001 .........&...... + 56610 f5010400 000c3304 00027374 72696e67 ......3...string + 56620 5f617069 00180000 0cb9035f 73747269 _api......._stri + 56630 6e675f69 6e697400 00000704 02230003 ng_init......#.. + 56640 5f737472 63707900 00000c05 02230403 _strcpy......#.. + 56650 5f737472 6e637079 0000000c 12022308 _strncpy......#. + 56660 035f7374 726c656e 0000000c 1f02230c ._strlen......#. + 56670 035f7374 72636d70 0000000c 2c022310 ._strcmp....,.#. + 56680 035f7374 726e636d 70000000 0c390223 ._strncmp....9.# + 56690 14000700 0009bd14 00000cc6 08040006 ................ + 566a0 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. + 566b0 000cb906 415f7469 6d65725f 74000000 ....A_timer_t... + 566c0 0cc60400 000cda04 00090104 00000cf0 ................ + 566d0 04000901 0400000c f9040006 415f4841 ............A_HA + 566e0 4e444c45 00000009 bd090106 415f5449 NDLE........A_TI + 566f0 4d45525f 46554e43 0000000d 10040000 MER_FUNC........ + 56700 0d120400 09010400 000d2b04 00027469 ..........+...ti + 56710 6d65725f 61706900 1400000d aa035f74 mer_api......._t + 56720 696d6572 5f696e69 74000000 07040223 imer_init......# + 56730 00035f74 696d6572 5f61726d 0000000c .._timer_arm.... + 56740 f2022304 035f7469 6d65725f 64697361 ..#.._timer_disa + 56750 726d0000 000cfb02 2308035f 74696d65 rm......#.._time + 56760 725f7365 74666e00 00000d2d 02230c03 r_setfn....-.#.. + 56770 5f74696d 65725f72 756e0000 00070402 _timer_run...... + 56780 23100006 424f4f4c 45414e00 00000768 #...BOOLEAN....h + 56790 0a00000d aa010400 000db704 000a0000 ................ + 567a0 0daa0104 00000dc4 04000a00 000daa01 ................ + 567b0 0400000d d1040002 726f6d70 5f617069 ........romp_api + 567c0 00100000 0e43035f 726f6d70 5f696e69 .....C._romp_ini + 567d0 74000000 07040223 00035f72 6f6d705f t......#.._romp_ + 567e0 646f776e 6c6f6164 0000000d bd022304 download......#. + 567f0 035f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. + 56800 000dca02 2308035f 726f6d70 5f646563 ....#.._romp_dec + 56810 6f646500 00000dd7 02230c00 02726f6d ode......#...rom + 56820 5f706174 63685f73 74001000 000e9f03 _patch_st....... + 56830 63726331 36000000 07680223 00036c65 crc16....h.#..le + 56840 6e000000 07680223 02036c64 5f616464 n....h.#..ld_add + 56850 72000000 07760223 04036675 6e5f6164 r....v.#..fun_ad + 56860 64720000 00077602 23080370 66756e00 dr....v.#..pfun. + 56870 000008fc 02230c00 02656570 5f726564 .....#...eep_red + 56880 69725f61 64647200 0400000e d1036f66 ir_addr.......of + 56890 66736574 00000007 68022300 0373697a fset....h.#..siz + 568a0 65000000 07680223 02000641 5f55494e e....h.#...A_UIN + 568b0 54333200 000009bd 0a000002 3a010400 T32.........:... + 568c0 000edf04 0002616c 6c6f6372 616d5f61 ......allocram_a + 568d0 7069000c 00000f50 03636d6e 6f735f61 pi.....P.cmnos_a + 568e0 6c6c6f63 72616d5f 696e6974 0000000e llocram_init.... + 568f0 e5022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc + 56900 72616d00 00000ee5 02230403 636d6e6f ram......#..cmno + 56910 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 56920 00000007 04022308 00090104 00000f50 ......#........P + 56930 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 56940 43000000 0f52025f 7461736b 6c657400 C....R._tasklet. + 56950 1000000f b1036675 6e630000 000f5902 ......func....Y. + 56960 23000361 72670000 00023a02 23040373 #..arg....:.#..s + 56970 74617465 00000001 f5022308 036e6578 tate......#..nex + 56980 74000000 0fb10223 0c000400 000f6d04 t......#......m. + 56990 00040000 0f6d0400 06415f74 61736b6c .....m...A_taskl + 569a0 65745f74 0000000f 6d040000 0fbf0400 et_t....m....... + 569b0 09010400 000fd704 00090104 00000fe0 ................ + 569c0 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. + 569d0 00001075 035f7461 736b6c65 745f696e ...u._tasklet_in + 569e0 69740000 00070402 2300035f 7461736b it......#.._task + 569f0 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 56a00 0fd90223 04035f74 61736b6c 65745f64 ...#.._tasklet_d + 56a10 69736162 6c650000 000fe202 2308035f isable......#.._ + 56a20 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 56a30 0000000f e202230c 035f7461 736b6c65 ......#.._taskle + 56a40 745f7275 6e000000 07040223 10000901 t_run......#.... + 56a50 04000010 7504000a 00000ed1 01040000 ....u........... + 56a60 107e0400 02636c6f 636b5f61 70690024 .~...clock_api.$ + 56a70 00001164 035f636c 6f636b5f 696e6974 ...d._clock_init + 56a80 00000010 77022300 035f636c 6f636b72 ....w.#.._clockr + 56a90 6567735f 696e6974 00000007 04022304 egs_init......#. + 56aa0 035f7561 72745f66 72657175 656e6379 ._uart_frequency + 56ab0 00000010 84022308 035f6465 6c61795f ......#.._delay_ + 56ac0 75730000 0001fe02 230c035f 776c616e us......#.._wlan + 56ad0 5f62616e 645f7365 74000000 01fe0223 _band_set......# + 56ae0 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 56af0 67657400 00001084 02231403 5f6d696c get......#.._mil + 56b00 6c697365 636f6e64 73000000 10840223 liseconds......# + 56b10 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 56b20 00000007 0402231c 035f636c 6f636b5f ......#.._clock_ + 56b30 7469636b 00000007 04022320 000a0000 tick......# .... + 56b40 07760104 00001164 04000641 5f6f6c64 .v.....d...A_old + 56b50 5f696e74 725f7400 00000776 0a000011 _intr_t....v.... + 56b60 71010400 00118304 00090104 00001190 q............... + 56b70 04000901 04000011 9904000a 00000776 ...............v + 56b80 01040000 11a20400 06415f69 73725f74 .........A_isr_t + 56b90 00000011 a8090104 000011bc 04000a00 ................ + 56ba0 0009bd01 04000011 c5040009 01040000 ................ + 56bb0 11d20400 02696e74 725f6170 69002c00 .....intr_api.,. + 56bc0 0012f403 5f696e74 725f696e 69740000 ...._intr_init.. + 56bd0 00070402 2300035f 696e7472 5f696e76 ....#.._intr_inv + 56be0 6f6b655f 69737200 0000116a 02230403 oke_isr....j.#.. + 56bf0 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 56c00 11890223 08035f69 6e74725f 72657374 ...#.._intr_rest + 56c10 6f726500 00001192 02230c03 5f696e74 ore......#.._int + 56c20 725f6d61 736b5f69 6e756d00 0000119b r_mask_inum..... + 56c30 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask + 56c40 5f696e75 6d000000 119b0223 14035f69 _inum......#.._i + 56c50 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 56c60 0011be02 2318035f 6765745f 696e7472 ....#.._get_intr + 56c70 656e6162 6c650000 0011cb02 231c035f enable......#.._ + 56c80 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 56c90 0011d402 2320035f 6765745f 696e7472 ....# ._get_intr + 56ca0 70656e64 696e6700 000011cb 02232403 pending......#$. + 56cb0 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 56cc0 726c766c 00000007 04022328 00110400 rlvl......#(.... + 56cd0 00131a03 74696d65 6f757400 00000776 ....timeout....v + 56ce0 02230003 61637469 6f6e0000 00077602 .#..action....v. + 56cf0 23000012 08000013 3503636d 64000000 #.......5.cmd... + 56d00 07760223 00130000 12f40223 04000654 .v.#.......#...T + 56d10 5f574454 5f434d44 00000013 1a090104 _WDT_CMD........ + 56d20 00001344 04001404 0000139a 10454e55 ...D.........ENU + 56d30 4d5f5744 545f424f 4f540001 10454e55 M_WDT_BOOT...ENU + 56d40 4d5f434f 4c445f42 4f4f5400 0210454e M_COLD_BOOT...EN + 56d50 554d5f53 5553505f 424f4f54 00031045 UM_SUSP_BOOT...E + 56d60 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 56d70 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 56d80 0000134d 0a000013 9a010400 0013ab04 ...M............ + 56d90 00027764 745f6170 69001c00 00144f03 ..wdt_api.....O. + 56da0 5f776474 5f696e69 74000000 07040223 _wdt_init......# + 56db0 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... + 56dc0 07040223 04035f77 64745f64 69736162 ...#.._wdt_disab + 56dd0 6c650000 00070402 2308035f 7764745f le......#.._wdt_ + 56de0 73657400 00001346 02230c03 5f776474 set....F.#.._wdt + 56df0 5f746173 6b000000 07040223 10035f77 _task......#.._w + 56e00 64745f72 65736574 00000007 04022314 dt_reset......#. + 56e10 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 56e20 000013b1 02231800 14040000 14b61052 .....#.........R + 56e30 45545f53 55434345 53530000 10524554 ET_SUCCESS...RET + 56e40 5f4e4f54 5f494e49 54000110 5245545f _NOT_INIT...RET_ + 56e50 4e4f545f 45584953 54000210 5245545f NOT_EXIST...RET_ + 56e60 4545505f 434f5252 55505400 03105245 EEP_CORRUPT...RE + 56e70 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 56e80 10524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... + 56e90 545f4545 505f5245 54000000 144f0400 T_EEP_RET....O.. + 56ea0 00076804 000a0000 14b60104 000014cc ..h............. + 56eb0 04000a00 0014b601 04000014 d9040002 ................ + 56ec0 6565705f 61706900 10000015 42035f65 eep_api.....B._e + 56ed0 65705f69 6e697400 00000704 02230003 ep_init......#.. + 56ee0 5f656570 5f726561 64000000 14d20223 _eep_read......# + 56ef0 04035f65 65705f77 72697465 00000014 .._eep_write.... + 56f00 d2022308 035f6565 705f6973 5f657869 ..#.._eep_is_exi + 56f10 73740000 0014df02 230c0002 7573625f st......#...usb_ + 56f20 61706900 70000017 ef035f75 73625f69 api.p....._usb_i + 56f30 6e697400 00000704 02230003 5f757362 nit......#.._usb + 56f40 5f726f6d 5f746173 6b000000 07040223 _rom_task......# + 56f50 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 56f60 00070402 2308035f 7573625f 696e6974 ....#.._usb_init + 56f70 5f706879 00000007 0402230c 035f7573 _phy......#.._us + 56f80 625f6570 305f7365 74757000 00000704 b_ep0_setup..... + 56f90 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 56fa0 00000704 02231403 5f757362 5f657030 .....#.._usb_ep0 + 56fb0 5f727800 00000704 02231803 5f757362 _rx......#.._usb + 56fc0 5f676574 5f696e74 65726661 63650000 _get_interface.. + 56fd0 000dca02 231c035f 7573625f 7365745f ....#.._usb_set_ + 56fe0 696e7465 72666163 65000000 0dca0223 interface......# + 56ff0 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 57000 67757261 74696f6e 0000000d ca022324 guration......#$ + 57010 035f7573 625f7365 745f636f 6e666967 ._usb_set_config + 57020 75726174 696f6e00 00000dca 02232803 uration......#(. + 57030 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 57040 64000000 0dca0223 2c035f75 73625f76 d......#,._usb_v + 57050 656e646f 725f636d 64000000 07040223 endor_cmd......# + 57060 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 57070 00000007 04022334 035f7573 625f7265 ......#4._usb_re + 57080 7365745f 6669666f 00000007 04022338 set_fifo......#8 + 57090 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 570a0 07040223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ + 570b0 626f6f74 00000007 04022340 035f7573 boot......#@._us + 570c0 625f636c 725f6665 61747572 65000000 b_clr_feature... + 570d0 0dca0223 44035f75 73625f73 65745f66 ...#D._usb_set_f + 570e0 65617475 72650000 000dca02 2348035f eature......#H._ + 570f0 7573625f 7365745f 61646472 65737300 usb_set_address. + 57100 00000dca 02234c03 5f757362 5f676574 .....#L._usb_get + 57110 5f646573 63726970 746f7200 00000dca _descriptor..... + 57120 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta + 57130 74757300 00000dca 02235403 5f757362 tus......#T._usb + 57140 5f736574 75705f64 65736300 00000704 _setup_desc..... + 57150 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 57160 00000007 0402235c 035f7573 625f7374 ......#\._usb_st + 57170 61747573 5f696e00 00000704 02236003 atus_in......#`. + 57180 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 57190 00000007 04022364 035f7573 625f6570 ......#d._usb_ep + 571a0 305f7278 5f646174 61000000 07040223 0_rx_data......# + 571b0 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 571c0 00000704 02236c00 025f5f61 64665f64 .....#l..__adf_d + 571d0 65766963 65000400 00181103 64756d6d evice.......dumm + 571e0 79000000 01f50223 00000400 000ed104 y......#........ + 571f0 00025f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 57200 0c000018 58036275 66000000 03310223 ....X.buf....1.# + 57210 00036473 5f616464 72000000 18110223 ..ds_addr......# + 57220 04036473 5f6c656e 00000001 d3022308 ..ds_len......#. + 57230 00120c00 00189203 5f5f7661 5f73746b ........__va_stk + 57240 00000009 2b022300 035f5f76 615f7265 ....+.#..__va_re + 57250 67000000 092b0223 04035f5f 76615f6e g....+.#..__va_n + 57260 64780000 0001f502 23080006 5f5f6164 dx......#...__ad + 57270 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 57280 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ + 57290 61646472 5f740000 00189206 5f5f6164 addr_t......__ad + 572a0 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 572b0 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ + 572c0 73697a65 5f740000 0018c202 5f5f646d size_t......__dm + 572d0 615f7365 67730008 0000191e 03706164 a_segs.......pad + 572e0 64720000 0018ab02 2300036c 656e0000 dr......#..len.. + 572f0 0018db02 23040006 5f5f615f 75696e74 ....#...__a_uint + 57300 33325f74 0000000e d106615f 75696e74 32_t......a_uint + 57310 33325f74 00000019 1e070000 18f20800 32_t............ + 57320 00194d08 00000261 64665f6f 735f646d ..M....adf_os_dm + 57330 616d6170 5f696e66 6f000c00 00198603 amap_info....... + 57340 6e736567 73000000 19300223 0003646d nsegs....0.#..dm + 57350 615f7365 67730000 00194002 23040006 a_segs....@.#... + 57360 5f5f615f 75696e74 385f7400 000001a9 __a_uint8_t..... + 57370 06615f75 696e7438 5f740000 00198604 .a_uint8_t...... + 57380 00001997 0400025f 5f73675f 73656773 .......__sg_segs + 57390 00080000 19d80376 61646472 00000019 .......vaddr.... + 573a0 a6022300 036c656e 00000019 30022304 ..#..len....0.#. + 573b0 00070000 19ad2000 0019e508 03000261 ...... ........a + 573c0 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 573d0 1a18036e 73656773 00000019 30022300 ...nsegs....0.#. + 573e0 0373675f 73656773 00000019 d8022304 .sg_segs......#. + 573f0 00121000 001a6103 76656e64 6f720000 ......a.vendor.. + 57400 00193002 23000364 65766963 65000000 ..0.#..device... + 57410 19300223 04037375 6276656e 646f7200 .0.#..subvendor. + 57420 00001930 02230803 73756264 65766963 ...0.#..subdevic + 57430 65000000 19300223 0c00056c 6f6e6720 e....0.#...long + 57440 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 57450 74000708 06415f55 494e5436 34000000 t....A_UINT64... + 57460 1a61065f 5f615f75 696e7436 345f7400 .a.__a_uint64_t. + 57470 00001a7b 06615f75 696e7436 345f7400 ...{.a_uint64_t. + 57480 00001a89 14040000 1ae71041 44465f4f ...........ADF_O + 57490 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 574a0 4d454d00 00104144 465f4f53 5f524553 MEM...ADF_OS_RES + 574b0 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... + 574c0 06616466 5f6f735f 7265736f 75726365 .adf_os_resource + 574d0 5f747970 655f7400 00001aab 12180000 _type_t......... + 574e0 1b310373 74617274 0000001a 9b022300 .1.start......#. + 574f0 03656e64 0000001a 9b022308 03747970 .end......#..typ + 57500 65000000 1ae70223 10000661 64665f6f e......#...adf_o + 57510 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. + 57520 001a1804 00001b31 04001104 00001b70 .......1.......p + 57530 03706369 0000001b 4a022300 03726177 .pci....J.#..raw + 57540 00000002 3a022300 00111000 001b8f03 ....:.#......... + 57550 70636900 00001b31 02230003 72617700 pci....1.#..raw. + 57560 0000023a 02230000 06616466 5f647276 ...:.#...adf_drv + 57570 5f68616e 646c655f 74000000 023a0661 _handle_t....:.a + 57580 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 57590 0000001b 03040000 1ba50400 06616466 .............adf + 575a0 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ + 575b0 74000000 1b700400 001bc304 00040000 t....p.......... + 575c0 17ef0400 065f5f61 64665f6f 735f6465 .....__adf_os_de + 575d0 76696365 5f740000 001be406 6164665f vice_t......adf_ + 575e0 6f735f64 65766963 655f7400 00001beb os_device_t..... + 575f0 0a00001b 8f010400 001c1704 00090104 ................ + 57600 00001c24 04000661 64665f6f 735f706d ...$...adf_os_pm + 57610 5f740000 00023a09 01040000 1c3e0400 _t....:......>.. + 57620 14040000 1c7e1041 44465f4f 535f4255 .....~.ADF_OS_BU + 57630 535f5459 50455f50 43490001 10414446 S_TYPE_PCI...ADF + 57640 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN + 57650 45524943 00020006 6164665f 6f735f62 ERIC....adf_os_b + 57660 75735f74 7970655f 74000000 1c470661 us_type_t....G.a + 57670 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da + 57680 74615f74 0000001b 51040000 01980400 ta_t....Q....... + 57690 025f6164 665f6472 765f696e 666f0020 ._adf_drv_info. + 576a0 00001d5b 03647276 5f617474 61636800 ...[.drv_attach. + 576b0 00001c1d 02230003 6472765f 64657461 .....#..drv_deta + 576c0 63680000 001c2602 23040364 72765f73 ch....&.#..drv_s + 576d0 75737065 6e640000 001c4002 23080364 uspend....@.#..d + 576e0 72765f72 6573756d 65000000 1c260223 rv_resume....&.# + 576f0 0c036275 735f7479 70650000 001c7e02 ..bus_type....~. + 57700 23100362 75735f64 61746100 00001c95 #..bus_data..... + 57710 02231403 6d6f645f 6e616d65 0000001c .#..mod_name.... + 57720 b0022318 0369666e 616d6500 00001cb0 ..#..ifname..... + 57730 02231c00 06616466 5f6f735f 68616e64 .#...adf_os_hand + 57740 6c655f74 00000002 3a040000 19860400 le_t....:....... + 57750 09010901 065f5f61 64665f6f 735f7369 .....__adf_os_si + 57760 7a655f74 00000009 bd140400 001daa10 ze_t............ + 57770 415f4641 4c534500 0010415f 54525545 A_FALSE...A_TRUE + 57780 00010006 615f626f 6f6c5f74 0000001d ....a_bool_t.... + 57790 90040000 18180400 065f5f61 64665f6f .........__adf_o + 577a0 735f646d 615f6d61 705f7400 00001db8 s_dma_map_t..... + 577b0 09010f61 64665f6f 735f6361 6368655f ...adf_os_cache_ + 577c0 73796e63 00040000 1e421041 44465f53 sync.....B.ADF_S + 577d0 594e435f 50524552 45414400 00104144 YNC_PREREAD...AD + 577e0 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. + 577f0 02104144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR + 57800 45414400 01104144 465f5359 4e435f50 EAD...ADF_SYNC_P + 57810 4f535457 52495445 00030006 6164665f OSTWRITE....adf_ + 57820 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. + 57830 00001dd9 09010661 64665f6f 735f7369 .......adf_os_si + 57840 7a655f74 0000001d 7b0a0000 1e5d0106 ze_t....{....].. + 57850 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 57860 0000001d bf040000 1e760400 0a000002 .........v...... + 57870 3a010400 001dbf04 000a0000 023a0109 :............:.. + 57880 010a0000 18ab0109 01057368 6f727420 ..........short + 57890 696e7400 05020641 5f494e54 31360000 int....A_INT16.. + 578a0 001eb006 5f5f615f 696e7431 365f7400 ....__a_int16_t. + 578b0 00001ebd 06615f69 6e743136 5f740000 .....a_int16_t.. + 578c0 001eca05 7369676e 65642063 68617200 ....signed char. + 578d0 05010641 5f494e54 38000000 1eea065f ...A_INT8......_ + 578e0 5f615f69 6e74385f 74000000 1ef90661 _a_int8_t......a + 578f0 5f696e74 385f7400 00001f05 120c0000 _int8_t......... + 57900 1f7c0373 7570706f 72746564 00000019 .|.supported.... + 57910 30022300 03616476 65727469 7a656400 0.#..advertized. + 57920 00001930 02230403 73706565 64000000 ...0.#..speed... + 57930 1edb0223 08036475 706c6578 0000001f ...#..duplex.... + 57940 1502230a 03617574 6f6e6567 00000019 ..#..autoneg.... + 57950 9702230b 00070000 19970600 001f8908 ..#............. + 57960 05000261 64665f6e 65745f65 74686164 ...adf_net_ethad + 57970 64720006 00001fad 03616464 72000000 dr.......addr... + 57980 1f7c0223 0000065f 5f615f75 696e7431 .|.#...__a_uint1 + 57990 365f7400 000001d3 06615f75 696e7431 6_t......a_uint1 + 579a0 365f7400 00001fad 120e0000 20110365 6_t......... ..e + 579b0 74686572 5f64686f 73740000 001f7c02 ther_dhost....|. + 579c0 23000365 74686572 5f73686f 73740000 #..ether_shost.. + 579d0 001f7c02 23060365 74686572 5f747970 ..|.#..ether_typ + 579e0 65000000 1fbf0223 0c001214 000020d2 e......#...... . + 579f0 1569705f 76657273 696f6e00 00001997 .ip_version..... + 57a00 01000402 23001569 705f686c 00000019 ....#..ip_hl.... + 57a10 97010404 02230003 69705f74 6f730000 .....#..ip_tos.. + 57a20 00199702 23010369 705f6c65 6e000000 ....#..ip_len... + 57a30 1fbf0223 02036970 5f696400 00001fbf ...#..ip_id..... + 57a40 02230403 69705f66 7261675f 6f666600 .#..ip_frag_off. + 57a50 00001fbf 02230603 69705f74 746c0000 .....#..ip_ttl.. + 57a60 00199702 23080369 705f7072 6f746f00 ....#..ip_proto. + 57a70 00001997 02230903 69705f63 6865636b .....#..ip_check + 57a80 0000001f bf02230a 0369705f 73616464 ......#..ip_sadd + 57a90 72000000 19300223 0c036970 5f646164 r....0.#..ip_dad + 57aa0 64720000 00193002 23100002 6164665f dr....0.#...adf_ + 57ab0 6e65745f 766c616e 68647200 04000021 net_vlanhdr....! + 57ac0 24037470 69640000 001fbf02 23001570 $.tpid......#..p + 57ad0 72696f00 00001997 01000302 23021563 rio.........#..c + 57ae0 66690000 00199701 03010223 02157669 fi.........#..vi + 57af0 64000000 1fbf0204 0c022302 00026164 d.........#...ad + 57b00 665f6e65 745f7669 64000200 00215515 f_net_vid....!U. + 57b10 72657300 00001997 01000402 23001576 res.........#..v + 57b20 616c0000 001fbf02 040c0223 0000120c al.........#.... + 57b30 00002191 0372785f 62756673 697a6500 ..!..rx_bufsize. + 57b40 00001930 02230003 72785f6e 64657363 ...0.#..rx_ndesc + 57b50 00000019 30022304 0374785f 6e646573 ....0.#..tx_ndes + 57b60 63000000 19300223 08001208 000021b7 c....0.#......!. + 57b70 03706f6c 6c656400 00001daa 02230003 .polled......#.. + 57b80 706f6c6c 5f777400 00001930 02230400 poll_wt....0.#.. + 57b90 07000019 97400000 21c4083f 00124600 .....@..!..?..F. + 57ba0 0021ec03 69665f6e 616d6500 000021b7 .!..if_name...!. + 57bb0 02230003 6465765f 61646472 0000001f .#..dev_addr.... + 57bc0 7c022340 00140400 00222310 4144465f |.#@....."#.ADF_ + 57bd0 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI + 57be0 54000010 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M + 57bf0 41534b5f 36344249 54000100 06616466 ASK_64BIT....adf + 57c00 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. + 57c10 0021ec02 6164665f 646d615f 696e666f .!..adf_dma_info + 57c20 00080000 22700364 6d615f6d 61736b00 ...."p.dma_mask. + 57c30 00002223 02230003 73675f6e 73656773 .."#.#..sg_nsegs + 57c40 00000019 30022304 00140400 0022c610 ....0.#......".. + 57c50 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO + 57c60 4e450000 10414446 5f4e4554 5f434b53 NE...ADF_NET_CKS + 57c70 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. + 57c80 01104144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 57c90 5443505f 5544505f 49507636 00020006 TCP_UDP_IPv6.... + 57ca0 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty + 57cb0 70655f74 00000022 70120800 00230903 pe_t..."p....#.. + 57cc0 74785f63 6b73756d 00000022 c6022300 tx_cksum..."..#. + 57cd0 0372785f 636b7375 6d000000 22c60223 .rx_cksum..."..# + 57ce0 04000661 64665f6e 65745f63 6b73756d ...adf_net_cksum + 57cf0 5f696e66 6f5f7400 000022e0 14040000 _info_t..."..... + 57d00 23621041 44465f4e 45545f54 534f5f4e #b.ADF_NET_TSO_N + 57d10 4f4e4500 00104144 465f4e45 545f5453 ONE...ADF_NET_TS + 57d20 4f5f4950 56340001 10414446 5f4e4554 O_IPV4...ADF_NET + 57d30 5f54534f 5f414c4c 00020006 6164665f _TSO_ALL....adf_ + 57d40 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. + 57d50 00232312 10000023 b603636b 73756d5f .##....#..cksum_ + 57d60 63617000 00002309 02230003 74736f00 cap...#..#..tso. + 57d70 00002362 02230803 766c616e 5f737570 ..#b.#..vlan_sup + 57d80 706f7274 65640000 00199702 230c0012 ported......#... + 57d90 20000024 4f037478 5f706163 6b657473 ..$O.tx_packets + 57da0 00000019 30022300 0372785f 7061636b ....0.#..rx_pack + 57db0 65747300 00001930 02230403 74785f62 ets....0.#..tx_b + 57dc0 79746573 00000019 30022308 0372785f ytes....0.#..rx_ + 57dd0 62797465 73000000 19300223 0c037478 bytes....0.#..tx + 57de0 5f64726f 70706564 00000019 30022310 _dropped....0.#. + 57df0 0372785f 64726f70 70656400 00001930 .rx_dropped....0 + 57e00 02231403 72785f65 72726f72 73000000 .#..rx_errors... + 57e10 19300223 18037478 5f657272 6f727300 .0.#..tx_errors. + 57e20 00001930 02231c00 06616466 5f6e6574 ...0.#...adf_net + 57e30 5f657468 61646472 5f740000 001f8916 _ethaddr_t...... + 57e40 0000244f 03000000 2474087f 00176164 ..$O....$t....ad + 57e50 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 57e60 00030400 0024ab03 6e656c65 6d000000 .....$..nelem... + 57e70 19300223 00036d63 61737400 00002466 .0.#..mcast...$f + 57e80 02230400 06616466 5f6e6574 5f636d64 .#...adf_net_cmd + 57e90 5f6c696e 6b5f696e 666f5f74 0000001f _link_info_t.... + 57ea0 23066164 665f6e65 745f636d 645f706f #.adf_net_cmd_po + 57eb0 6c6c5f69 6e666f5f 74000000 21910661 ll_info_t...!..a + 57ec0 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum + 57ed0 5f696e66 6f5f7400 00002309 06616466 _info_t...#..adf + 57ee0 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in + 57ef0 666f5f74 00000021 55066164 665f6e65 fo_t...!U.adf_ne + 57f00 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t + 57f10 00000022 3a066164 665f6e65 745f636d ...":.adf_net_cm + 57f20 645f7669 645f7400 00001fbf 06616466 d_vid_t......adf + 57f30 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload + 57f40 5f636170 5f740000 00237a06 6164665f _cap_t...#z.adf_ + 57f50 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. + 57f60 000023b6 06616466 5f6e6574 5f636d64 ..#..adf_net_cmd + 57f70 5f6d6361 6464725f 74000000 24740f61 _mcaddr_t...$t.a + 57f80 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast + 57f90 5f636170 00040000 25ed1041 44465f4e _cap....%..ADF_N + 57fa0 45545f4d 43415354 5f535550 00001041 ET_MCAST_SUP...A + 57fb0 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT + 57fc0 53555000 01000661 64665f6e 65745f63 SUP....adf_net_c + 57fd0 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. + 57fe0 0025a518 03040000 26bf036c 696e6b5f .%......&..link_ + 57ff0 696e666f 00000024 ab022300 03706f6c info...$..#..pol + 58000 6c5f696e 666f0000 0024c802 23000363 l_info...$..#..c + 58010 6b73756d 5f696e66 6f000000 24e50223 ksum_info...$..# + 58020 00037269 6e675f69 6e666f00 00002503 ..ring_info...%. + 58030 02230003 646d615f 696e666f 00000025 .#..dma_info...% + 58040 20022300 03766964 00000025 3c022300 .#..vid...%<.#. + 58050 036f6666 6c6f6164 5f636170 00000025 .offload_cap...% + 58060 53022300 03737461 74730000 00257202 S.#..stats...%r. + 58070 2300036d 63617374 5f696e66 6f000000 #..mcast_info... + 58080 258b0223 00036d63 6173745f 63617000 %..#..mcast_cap. + 58090 000025ed 02230000 14040000 27161041 ..%..#......'..A + 580a0 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 580b0 5f4e4f4e 45000010 4144465f 4e425546 _NONE...ADF_NBUF + 580c0 5f52585f 434b5355 4d5f4857 00011041 _RX_CKSUM_HW...A + 580d0 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 580e0 5f554e4e 45434553 53415259 00020006 _UNNECESSARY.... + 580f0 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu + 58100 6d5f7479 70655f74 00000026 bf120800 m_type_t...&.... + 58110 00275603 72657375 6c740000 00271602 .'V.result...'.. + 58120 23000376 616c0000 00193002 23040012 #..val....0.#... + 58130 08000027 86037479 70650000 00236202 ...'..type...#b. + 58140 2300036d 73730000 001fbf02 23040368 #..mss......#..h + 58150 64725f6f 66660000 00199702 23060002 dr_off......#... + 58160 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 58170 000c0000 27c50368 65616400 00000331 ....'..head....1 + 58180 02230003 7461696c 00000003 31022304 .#..tail....1.#. + 58190 03716c65 6e000000 19300223 0800065f .qlen....0.#..._ + 581a0 5f616466 5f6e6275 665f7400 00000331 _adf_nbuf_t....1 + 581b0 04000019 a6040004 00001930 04000901 ...........0.... + 581c0 0a000002 10010a00 00193001 0a000019 ..........0..... + 581d0 a6010a00 0019a601 04000001 e1040006 ................ + 581e0 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 581f0 5f740000 00278606 5f5f6164 665f6e62 _t...'..__adf_nb + 58200 75665f71 75657565 5f740000 00280604 uf_queue_t...(.. + 58210 0000281e 04000a00 0027c501 0a000027 ..(......'.....' + 58220 c5011404 0000293e 10415f53 54415455 ......)>.A_STATU + 58230 535f4f4b 00001041 5f535441 5455535f S_OK...A_STATUS_ + 58240 4641494c 45440001 10415f53 54415455 FAILED...A_STATU + 58250 535f454e 4f454e54 00021041 5f535441 S_ENOENT...A_STA + 58260 5455535f 454e4f4d 454d0003 10415f53 TUS_ENOMEM...A_S + 58270 54415455 535f4549 4e56414c 00041041 TATUS_EINVAL...A + 58280 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR + 58290 45535300 0510415f 53544154 55535f45 ESS...A_STATUS_E + 582a0 4e4f5453 55505000 0610415f 53544154 NOTSUPP...A_STAT + 582b0 55535f45 42555359 00071041 5f535441 US_EBUSY...A_STA + 582c0 5455535f 45324249 47000810 415f5354 TUS_E2BIG...A_ST + 582d0 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA + 582e0 494c0009 10415f53 54415455 535f454e IL...A_STATUS_EN + 582f0 58494f00 0a10415f 53544154 55535f45 XIO...A_STATUS_E + 58300 4641554c 54000b10 415f5354 41545553 FAULT...A_STATUS + 58310 5f45494f 000c0006 615f7374 61747573 _EIO....a_status + 58320 5f740000 0028490a 0000293e 010a0000 _t...(I...)>.... + 58330 01f50109 01066164 665f6e62 75665f74 ......adf_nbuf_t + 58340 00000027 c5140400 0029a310 4144465f ...'.....)..ADF_ + 58350 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE + 58360 00001041 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR + 58370 4f4d5f44 45564943 45000100 06616466 OM_DEVICE....adf + 58380 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... + 58390 296c0a00 00293e01 09010661 64665f6f )l...)>....adf_o + 583a0 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. + 583b0 0000194d 04000029 c1040009 0109010a ...M...)........ + 583c0 0000295c 010a0000 27c50109 0109010a ..)\....'....... + 583d0 0000295c 010a0000 27c5010a 0000295c ..)\....'.....)\ + 583e0 010a0000 27c5010a 0000295c 01090109 ....'.....)\.... + 583f0 010a0000 1930010a 000019a6 01090109 .....0.......... + 58400 010a0000 1e5d010a 00001daa 010a0000 .....].......... + 58410 1daa0106 6164665f 6f735f73 676c6973 ....adf_os_sglis + 58420 745f7400 000019e5 0400002a 3a040009 t_t........*:... + 58430 01090109 010a0000 19a60106 6164665f ............adf_ + 58440 6e627566 5f717565 75655f74 00000028 nbuf_queue_t...( + 58450 1e040000 2a620400 09010400 00280604 ....*b.......(.. + 58460 00090109 0109010a 0000295c 010a0000 ..........)\.... + 58470 27c5010a 00001930 010a0000 1930010a '......0.....0.. + 58480 00001daa 010a0000 1daa010a 000022c6 ..............". + 58490 010a0000 19300106 6164665f 6e627566 .....0..adf_nbuf + 584a0 5f72785f 636b7375 6d5f7400 00002734 _rx_cksum_t...'4 + 584b0 0400002a be040009 01090106 6164665f ...*........adf_ + 584c0 6e627566 5f74736f 5f740000 00275604 nbuf_tso_t...'V. + 584d0 00002ae2 04000901 09010661 64665f6e ..*........adf_n + 584e0 65745f68 616e646c 655f7400 0000023a et_handle_t....: + 584f0 06616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 58500 5f740000 0020d204 00002b17 04000a00 _t... ....+..... + 58510 00293e01 0a000029 3e010901 0901025f .)>....)>......_ + 58520 4849465f 434f4e46 49470004 00002b66 HIF_CONFIG....+f + 58530 0364756d 6d790000 0001f502 23000009 .dummy......#... + 58540 01040000 2b660400 09010400 002b6f04 ....+f.......+o. + 58550 00025f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. + 58560 0c00002b c4037365 6e645f62 75665f64 ...+..send_buf_d + 58570 6f6e6500 00002b68 02230003 72656376 one...+h.#..recv + 58580 5f627566 0000002b 71022304 03636f6e _buf...+q.#..con + 58590 74657874 00000002 3a022308 00066869 text....:.#...hi + 585a0 665f6861 6e646c65 5f740000 00023a06 f_handle_t....:. + 585b0 4849465f 434f4e46 49470000 002b4504 HIF_CONFIG...+E. + 585c0 00002bd6 04000a00 002bc401 0400002b ..+......+.....+ + 585d0 ed040009 01040000 2bfa0400 06484946 ........+....HIF + 585e0 5f43414c 4c424143 4b000000 2b780400 _CALLBACK...+x.. + 585f0 002c0304 00090104 00002c1c 04000a00 .,........,..... + 58600 0001f501 0400002c 25040009 01040000 .......,%....... + 58610 2c320400 0a000001 f5010400 002c3b04 ,2...........,;. + 58620 00090104 00002c48 04000a00 0001f501 ......,H........ + 58630 0400002c 51040009 01040000 2c5e0400 ...,Q.......,^.. + 58640 02686966 5f617069 00380000 2db7035f .hif_api.8..-.._ + 58650 696e6974 0000002b f3022300 035f7368 init...+..#.._sh + 58660 7574646f 776e0000 002bfc02 2304035f utdown...+..#.._ + 58670 72656769 73746572 5f63616c 6c626163 register_callbac + 58680 6b000000 2c1e0223 08035f67 65745f74 k...,..#.._get_t + 58690 6f74616c 5f637265 6469745f 636f756e otal_credit_coun + 586a0 74000000 2c2b0223 0c035f73 74617274 t...,+.#.._start + 586b0 0000002b fc022310 035f636f 6e666967 ...+..#.._config + 586c0 5f706970 65000000 2c340223 14035f73 _pipe...,4.#.._s + 586d0 656e645f 62756666 65720000 002c4102 end_buffer...,A. + 586e0 2318035f 72657475 726e5f72 6563765f #.._return_recv_ + 586f0 62756600 00002c4a 02231c03 5f69735f buf...,J.#.._is_ + 58700 70697065 5f737570 706f7274 65640000 pipe_supported.. + 58710 002c5702 2320035f 6765745f 6d61785f .,W.# ._get_max_ + 58720 6d73675f 6c656e00 00002c57 02232403 msg_len...,W.#$. + 58730 5f676574 5f726573 65727665 645f6865 _get_reserved_he + 58740 6164726f 6f6d0000 002c2b02 2328035f adroom...,+.#(._ + 58750 6973725f 68616e64 6c657200 00002bfc isr_handler...+. + 58760 02232c03 5f676574 5f646566 61756c74 .#,._get_default + 58770 5f706970 65000000 2c600223 30037052 _pipe...,`.#0.pR + 58780 65736572 76656400 0000023a 02233400 eserved....:.#4. + 58790 0f646d61 5f656e67 696e6500 0400002e .dma_engine..... + 587a0 4010444d 415f454e 47494e45 5f525830 @.DMA_ENGINE_RX0 + 587b0 00001044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 587c0 31000110 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R + 587d0 58320002 10444d41 5f454e47 494e455f X2...DMA_ENGINE_ + 587e0 52583300 0310444d 415f454e 47494e45 RX3...DMA_ENGINE + 587f0 5f545830 00041044 4d415f45 4e47494e _TX0...DMA_ENGIN + 58800 455f5458 31000510 444d415f 454e4749 E_TX1...DMA_ENGI + 58810 4e455f4d 41580006 0006646d 615f656e NE_MAX....dma_en + 58820 67696e65 5f740000 002db70f 646d615f gine_t...-..dma_ + 58830 69667479 70650004 00002e8d 10444d41 iftype.......DMA + 58840 5f49465f 474d4143 00001044 4d415f49 _IF_GMAC...DMA_I + 58850 465f5043 49000110 444d415f 49465f50 F_PCI...DMA_IF_P + 58860 43494500 02000664 6d615f69 66747970 CIE....dma_iftyp + 58870 655f7400 00002e52 0a000001 d3010400 e_t....R........ + 58880 002e9f04 00090104 00002eac 04000901 ................ + 58890 0400002e b504000a 00000ed1 01040000 ................ + 588a0 2ebe0400 0a000001 d3010400 002ecb04 ................ + 588b0 000a0000 01d30104 00002ed8 04000a00 ................ + 588c0 00033101 0400002e e5040009 01040000 ..1............. + 588d0 2ef20400 02646d61 5f6c6962 5f617069 .....dma_lib_api + 588e0 00340000 2ff90374 785f696e 69740000 .4../..tx_init.. + 588f0 002ea502 23000374 785f7374 61727400 ....#..tx_start. + 58900 00002eae 02230403 72785f69 6e697400 .....#..rx_init. + 58910 00002ea5 02230803 72785f63 6f6e6669 .....#..rx_confi + 58920 67000000 2eb70223 0c037278 5f737461 g......#..rx_sta + 58930 72740000 002eae02 23100369 6e74725f rt......#..intr_ + 58940 73746174 75730000 002ec402 23140368 status......#..h + 58950 6172645f 786d6974 0000002e d1022318 ard_xmit......#. + 58960 03666c75 73685f78 6d697400 00002eae .flush_xmit..... + 58970 02231c03 786d6974 5f646f6e 65000000 .#..xmit_done... + 58980 2ede0223 20037265 61705f78 6d697474 ...# .reap_xmitt + 58990 65640000 002eeb02 23240372 6561705f ed......#$.reap_ + 589a0 72656376 0000002e eb022328 03726574 recv......#(.ret + 589b0 75726e5f 72656376 0000002e f402232c urn_recv......#, + 589c0 03726563 765f706b 74000000 2ede0223 .recv_pkt......# + 589d0 3000025f 5f706369 5f736f66 7463000c 0..__pci_softc.. + 589e0 00003017 03737700 00002c03 02230000 ..0..sw...,..#.. + 589f0 065f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. + 58a00 002ff904 00003017 04000901 04000030 ./....0........0 + 58a10 3104000a 000001a9 01040000 303a0400 1...........0:.. + 58a20 0f686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx + 58a30 00040000 309a1048 49465f50 43495f50 ....0..HIF_PCI_P + 58a40 4950455f 54583000 00104849 465f5043 IPE_TX0...HIF_PC + 58a50 495f5049 50455f54 58310001 10484946 I_PIPE_TX1...HIF + 58a60 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX + 58a70 00020006 6869665f 7063695f 70697065 ....hif_pci_pipe + 58a80 5f74785f 74000000 30470a00 002e4001 _tx_t...0G....@. + 58a90 04000030 b104000f 6869665f 7063695f ...0....hif_pci_ + 58aa0 70697065 5f727800 04000031 37104849 pipe_rx....17.HI + 58ab0 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. + 58ac0 10484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 58ad0 31000110 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 58ae0 5f525832 00021048 49465f50 43495f50 _RX2...HIF_PCI_P + 58af0 4950455f 52583300 03104849 465f5043 IPE_RX3...HIF_PC + 58b00 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... + 58b10 06686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 58b20 5f740000 0030be0a 00002e40 01040000 _t...0.....@.... + 58b30 314e0400 02686966 5f706369 5f617069 1N...hif_pci_api + 58b40 00240000 322c0370 63695f62 6f6f745f .$..2,.pci_boot_ + 58b50 696e6974 00000007 04022300 03706369 init......#..pci + 58b60 5f696e69 74000000 2bf30223 04037063 _init...+..#..pc + 58b70 695f7265 73657400 00000704 02230803 i_reset......#.. + 58b80 7063695f 656e6162 6c650000 00070402 pci_enable...... + 58b90 230c0370 63695f72 6561705f 786d6974 #..pci_reap_xmit + 58ba0 74656400 00003033 02231003 7063695f ted...03.#..pci_ + 58bb0 72656170 5f726563 76000000 30330223 reap_recv...03.# + 58bc0 14037063 695f6765 745f7069 70650000 ..pci_get_pipe.. + 58bd0 00304002 23180370 63695f67 65745f74 .0@.#..pci_get_t + 58be0 785f656e 67000000 30b70223 1c037063 x_eng...0..#..pc + 58bf0 695f6765 745f7278 5f656e67 00000031 i_get_rx_eng...1 + 58c00 54022320 0002676d 61635f61 70690004 T.# ..gmac_api.. + 58c10 00003253 03676d61 635f626f 6f745f69 ..2S.gmac_boot_i + 58c20 6e697400 00000704 02230000 07000001 nit......#...... + 58c30 98060000 32600805 00025f5f 65746868 ....2`....__ethh + 58c40 6472000e 00003296 03647374 00000032 dr....2..dst...2 + 58c50 53022300 03737263 00000032 53022306 S.#..src...2S.#. + 58c60 03657479 70650000 0001d302 230c0002 .etype......#... + 58c70 5f5f6174 68686472 00040000 32e41572 __athhdr....2..r + 58c80 65730000 0001a901 00020223 00157072 es.........#..pr + 58c90 6f746f00 000001a9 01020602 23000372 oto.........#..r + 58ca0 65735f6c 6f000000 01a90223 01037265 es_lo......#..re + 58cb0 735f6869 00000001 d3022302 00025f5f s_hi......#...__ + 58cc0 676d6163 5f686472 00140000 33200365 gmac_hdr....3 .e + 58cd0 74680000 00326002 23000361 74680000 th...2`.#..ath.. + 58ce0 00329602 230e0361 6c69676e 5f706164 .2..#..align_pad + 58cf0 00000001 d3022312 00065f5f 676d6163 ......#...__gmac + 58d00 5f686472 5f740000 0032e402 5f5f676d _hdr_t...2..__gm + 58d10 61635f73 6f667463 00240000 336a0368 ac_softc.$..3j.h + 58d20 64720000 00332002 23000367 72616e00 dr...3 .#..gran. + 58d30 000001d3 02231403 73770000 002c0302 .....#..sw...,.. + 58d40 2318000e 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag + 58d50 655f6368 65636b00 00010400 00336a04 e_check......3j. + 58d60 000a0000 01f50104 00003388 04000400 ..........3..... + 58d70 0009bd04 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 58d80 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 58d90 0001b800 0034d803 68616c5f 6c696e6b .....4..hal_link + 58da0 6167655f 63686563 6b000000 338e0223 age_check...3..# + 58db0 00037374 6172745f 62737300 00003395 ..start_bss...3. + 58dc0 02230403 6170705f 73746172 74000000 .#..app_start... + 58dd0 07040223 08036d65 6d000000 09fd0223 ...#..mem......# + 58de0 0c036d69 73630000 000b1c02 23200370 ..misc......# .p + 58df0 72696e74 66000000 07310223 44037561 rintf....1.#D.ua + 58e00 72740000 0007cf02 234c0367 6d616300 rt......#L.gmac. + 58e10 0000322c 02236c03 75736200 00001542 ..2,.#l.usb....B + 58e20 02237003 636c6f63 6b000000 108b0323 .#p.clock......# + 58e30 e0010374 696d6572 0000000d 34032384 ...timer....4.#. + 58e40 0203696e 74720000 0011db03 23980203 ..intr......#... + 58e50 616c6c6f 6372616d 0000000e ec0323c4 allocram......#. + 58e60 0203726f 6d700000 000dde03 23d00203 ..romp......#... + 58e70 7764745f 74696d65 72000000 13b80323 wdt_timer......# + 58e80 e0020365 65700000 0014e603 23fc0203 ...eep......#... + 58e90 73747269 6e670000 000c4003 238c0303 string....@.#... + 58ea0 7461736b 6c657400 00000fe9 0323a403 tasklet......#.. + 58eb0 00025f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 58ec0 49470010 0000354b 03676574 5f636f6d IG....5K.get_com + 58ed0 6d616e64 5f627566 00000003 3e022300 mand_buf....>.#. + 58ee0 03726563 765f636f 6d6d616e 64000000 .recv_command... + 58ef0 03540223 04036765 745f6576 656e745f .T.#..get_event_ + 58f00 62756600 0000033e 02230803 73656e64 buf....>.#..send + 58f10 5f657665 6e745f64 6f6e6500 00000354 _event_done....T + 58f20 02230c00 06555342 5f464946 4f5f434f .#...USB_FIFO_CO + 58f30 4e464947 00000034 d8040000 354b0400 NFIG...4....5K.. + 58f40 09010400 00356704 00027573 62666966 .....5g...usbfif + 58f50 6f5f6170 69000c00 0035bd03 5f696e69 o_api....5.._ini + 58f60 74000000 35690223 00035f65 6e61626c t...5i.#.._enabl + 58f70 655f6576 656e745f 69737200 00000704 e_event_isr..... + 58f80 02230403 70526573 65727665 64000000 .#..pReserved... + 58f90 023a0223 08000700 00199702 000035ca .:.#..........5. + 58fa0 08010002 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 58fb0 44520008 0000363c 03456e64 706f696e DR....6<.Endpoin + 58fc0 74494400 00001997 02230003 466c6167 tID......#..Flag + 58fd0 73000000 19970223 01035061 796c6f61 s......#..Payloa + 58fe0 644c656e 0000001f bf022302 03436f6e dLen......#..Con + 58ff0 74726f6c 42797465 73000000 35bd0223 trolBytes...5..# + 59000 0403486f 73745365 714e756d 0000001f ..HostSeqNum.... + 59010 bf022306 00120200 00365503 4d657373 ..#......6U.Mess + 59020 61676549 44000000 1fbf0223 00001208 ageID......#.... + 59030 000036b8 034d6573 73616765 49440000 ..6..MessageID.. + 59040 001fbf02 23000343 72656469 74436f75 ....#..CreditCou + 59050 6e740000 001fbf02 23020343 72656469 nt......#..Credi + 59060 7453697a 65000000 1fbf0223 04034d61 tSize......#..Ma + 59070 78456e64 706f696e 74730000 00199702 xEndpoints...... + 59080 2306035f 50616431 00000019 97022307 #.._Pad1......#. + 59090 00120a00 00374f03 4d657373 61676549 .....7O.MessageI + 590a0 44000000 1fbf0223 00035365 72766963 D......#..Servic + 590b0 65494400 00001fbf 02230203 436f6e6e eID......#..Conn + 590c0 65637469 6f6e466c 61677300 00001fbf ectionFlags..... + 590d0 02230403 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 590e0 49440000 00199702 23060355 704c696e ID......#..UpLin + 590f0 6b506970 65494400 00001997 02230703 kPipeID......#.. + 59100 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 59110 68000000 19970223 08035f50 61643100 h......#.._Pad1. + 59120 00001997 02230900 120a0000 37d7034d .....#......7..M + 59130 65737361 67654944 0000001f bf022300 essageID......#. + 59140 03536572 76696365 49440000 001fbf02 .ServiceID...... + 59150 23020353 74617475 73000000 19970223 #..Status......# + 59160 0403456e 64706f69 6e744944 00000019 ..EndpointID.... + 59170 97022305 034d6178 4d736753 697a6500 ..#..MaxMsgSize. + 59180 00001fbf 02230603 53657276 6963654d .....#..ServiceM + 59190 6574614c 656e6774 68000000 19970223 etaLength......# + 591a0 08035f50 61643100 00001997 02230900 .._Pad1......#.. + 591b0 12020000 37f0034d 65737361 67654944 ....7..MessageID + 591c0 0000001f bf022300 00120400 00382c03 ......#......8,. + 591d0 4d657373 61676549 44000000 1fbf0223 MessageID......# + 591e0 00035069 70654944 00000019 97022302 ..PipeID......#. + 591f0 03437265 64697443 6f756e74 00000019 .CreditCount.... + 59200 97022303 00120400 00386303 4d657373 ..#......8c.Mess + 59210 61676549 44000000 1fbf0223 00035069 ageID......#..Pi + 59220 70654944 00000019 97022302 03537461 peID......#..Sta + 59230 74757300 00001997 02230300 12020000 tus......#...... + 59240 388a0352 65636f72 64494400 00001997 8..RecordID..... + 59250 02230003 4c656e67 74680000 00199702 .#..Length...... + 59260 23010012 02000038 b403456e 64706f69 #......8..Endpoi + 59270 6e744944 00000019 97022300 03437265 ntID......#..Cre + 59280 64697473 00000019 97022301 00120400 dits......#..... + 59290 0038f503 456e6470 6f696e74 49440000 .8..EndpointID.. + 592a0 00199702 23000343 72656469 74730000 ....#..Credits.. + 592b0 00199702 23010354 67744372 65646974 ....#..TgtCredit + 592c0 5365714e 6f000000 1fbf0223 02000700 SeqNo......#.... + 592d0 00199704 00003902 08030012 06000039 ......9........9 + 592e0 3e035072 6556616c 69640000 00199702 >.PreValid...... + 592f0 2300034c 6f6f6b41 68656164 00000038 #..LookAhead...8 + 59300 f5022301 03506f73 7456616c 69640000 ..#..PostValid.. + 59310 00199702 23050006 706f6f6c 5f68616e ....#...pool_han + 59320 646c655f 74000000 023a0a00 00393e01 dle_t....:...9>. + 59330 04000039 51040009 01040000 395e0400 ...9Q.......9^.. + 59340 14040000 39dc1050 4f4f4c5f 49445f48 ....9..POOL_ID_H + 59350 54435f43 4f4e5452 4f4c0000 10504f4f TC_CONTROL...POO + 59360 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 59370 5f524550 4c590001 10504f4f 4c5f4944 _REPLY...POOL_ID + 59380 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 59390 10504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 593a0 5f425546 00031050 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 593b0 4158000a 00064255 465f504f 4f4c5f49 AX....BUF_POOL_I + 593c0 44000000 39670901 04000039 ed04000a D...9g.....9.... + 593d0 0000295c 01040000 39f60400 0a000029 ..)\....9......) + 593e0 5c010400 003a0304 00090104 00003a10 \....:........:. + 593f0 04000262 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 59400 1c00003a b2035f69 6e697400 00003957 ...:.._init...9W + 59410 02230003 5f736875 74646f77 6e000000 .#.._shutdown... + 59420 39600223 04035f63 72656174 655f706f 9`.#.._create_po + 59430 6f6c0000 0039ef02 2308035f 616c6c6f ol...9..#.._allo + 59440 635f6275 66000000 39fc0223 0c035f61 c_buf...9..#.._a + 59450 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 59460 003a0902 2310035f 66726565 5f627566 .:..#.._free_buf + 59470 0000003a 12022314 03705265 73657276 ...:..#..pReserv + 59480 65640000 00023a02 23180002 5f485443 ed....:.#..._HTC + 59490 5f534552 56494345 001c0000 3b910370 _SERVICE....;..p + 594a0 4e657874 0000003b 91022300 0350726f Next...;..#..Pro + 594b0 63657373 52656376 4d736700 00003c46 cessRecvMsg....#..._HTC + 596c0 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 596d0 3d1e0365 6e645f70 6f696e74 00000001 =..end_point.... + 596e0 a9022300 03687463 5f666c61 67730000 ..#..htc_flags.. + 596f0 0001a902 23010006 6874635f 68616e64 ....#...htc_hand + 59700 6c655f74 00000002 3a064854 435f5345 le_t....:.HTC_SE + 59710 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 59720 00000704 06485443 5f434f4e 46494700 .....HTC_CONFIG. + 59730 00003c71 0400003d 4b04000a 00003d1e ...#..MaxCmdRep + 59b40 6c794576 74730000 0001f502 2308034d lyEvts......#..M + 59b50 61784576 656e7445 76747300 000001f5 axEventEvts..... + 59b60 02230c00 09010400 00418b04 0006574d .#.......A....WM + 59b70 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 59b80 418d025f 574d495f 44495350 41544348 A.._WMI_DISPATCH + 59b90 5f454e54 52590008 000041f4 0370436d _ENTRY....A..pCm + 59ba0 6448616e 646c6572 00000041 94022300 dHandler...A..#. + 59bb0 03436d64 49440000 0001d302 23040346 .CmdID......#..F + 59bc0 6c616773 00000001 d3022306 00025f57 lags......#..._W + 59bd0 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 59be0 45001000 00425503 704e6578 74000000 E....BU.pNext... + 59bf0 42550223 00037043 6f6e7465 78740000 BU.#..pContext.. + 59c00 00023a02 2304034e 756d6265 724f6645 ..:.#..NumberOfE + 59c10 6e747269 65730000 0001f502 23080370 ntries......#..p + 59c20 5461626c 65000000 42740223 0c000400 Table...Bt.#.... + 59c30 0041f404 0006574d 495f4449 53504154 .A....WMI_DISPAT + 59c40 43485f45 4e545259 00000041 a9040000 CH_ENTRY...A.... + 59c50 425c0400 04000041 f4040006 4854435f B\.....A....HTC_ + 59c60 4255465f 434f4e54 45585400 00003ce2 BUF_CONTEXT...<. + 59c70 0f574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 59c80 0000430c 19574d49 5f455654 5f434c41 ..C..WMI_EVT_CLA + 59c90 53535f4e 4f4e4500 ffffffff 10574d49 SS_NONE......WMI + 59ca0 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 59cb0 56454e54 00001057 4d495f45 56545f43 VENT...WMI_EVT_C + 59cc0 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 59cd0 10574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 59ce0 41580002 0006574d 495f4556 545f434c AX....WMI_EVT_CL + 59cf0 41535300 00004297 025f574d 495f4255 ASS...B.._WMI_BU + 59d00 465f434f 4e544558 54000c00 00436a03 F_CONTEXT....Cj. + 59d10 48746342 75664374 78000000 42820223 HtcBufCtx...B..# + 59d20 00034576 656e7443 6c617373 00000043 ..EventClass...C + 59d30 0c022304 03466c61 67730000 0001d302 ..#..Flags...... + 59d40 23080006 776d695f 68616e64 6c655f74 #...wmi_handle_t + 59d50 00000002 3a06574d 495f5356 435f434f ....:.WMI_SVC_CO + 59d60 4e464947 00000041 22040000 437c0400 NFIG...A"...C|.. + 59d70 0a000043 6a010400 00439704 0006574d ...Cj....C....WM + 59d80 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 59d90 00000041 f4040000 43a40400 09010400 ...A....C....... + 59da0 0043c304 000a0000 295c0104 000043cc .C......)\....C. + 59db0 04000901 04000043 d904000a 000001f5 .......C........ + 59dc0 01040000 43e20400 09010400 0043ef04 ....C........C.. + 59dd0 000a0000 01a90104 000043f8 0400025f ..........C...._ + 59de0 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 59df0 4540035f 574d495f 496e6974 00000043 E@._WMI_Init...C + 59e00 9d022300 035f574d 495f5265 67697374 ..#.._WMI_Regist + 59e10 65724469 73706174 63685461 626c6500 erDispatchTable. + 59e20 000043c5 02230403 5f574d49 5f416c6c ..C..#.._WMI_All + 59e30 6f634576 656e7400 000043d2 02230803 ocEvent...C..#.. + 59e40 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 59e50 0043db02 230c035f 574d495f 47657450 .C..#.._WMI_GetP + 59e60 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 59e70 74000000 43e80223 10035f57 4d495f53 t...C..#.._WMI_S + 59e80 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 59e90 65720000 003c4f02 2314035f 574d495f er....#.._enable + 5d970 5f657665 6e745f69 73720000 0003c402 _event_isr...... + 5d980 23040370 52657365 72766564 00000002 #..pReserved.... + 5d990 2c022308 00070000 166c0200 00329f08 ,.#......l...2.. + 5d9a0 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 5d9b0 52000800 00331103 456e6470 6f696e74 R....3..Endpoint + 5d9c0 49440000 00166c02 23000346 6c616773 ID....l.#..Flags + 5d9d0 00000016 6c022301 03506179 6c6f6164 ....l.#..Payload + 5d9e0 4c656e00 00001c94 02230203 436f6e74 Len......#..Cont + 5d9f0 726f6c42 79746573 00000032 92022304 rolBytes...2..#. + 5da00 03486f73 74536571 4e756d00 00001c94 .HostSeqNum..... + 5da10 02230600 12020000 332a034d 65737361 .#......3*.Messa + 5da20 67654944 0000001c 94022300 00120800 geID......#..... + 5da30 00338d03 4d657373 61676549 44000000 .3..MessageID... + 5da40 1c940223 00034372 65646974 436f756e ...#..CreditCoun + 5da50 74000000 1c940223 02034372 65646974 t......#..Credit + 5da60 53697a65 0000001c 94022304 034d6178 Size......#..Max + 5da70 456e6470 6f696e74 73000000 166c0223 Endpoints....l.# + 5da80 06035f50 61643100 0000166c 02230700 .._Pad1....l.#.. + 5da90 120a0000 3424034d 65737361 67654944 ....4$.MessageID + 5daa0 0000001c 94022300 03536572 76696365 ......#..Service + 5dab0 49440000 001c9402 23020343 6f6e6e65 ID......#..Conne + 5dac0 6374696f 6e466c61 67730000 001c9402 ctionFlags...... + 5dad0 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 5dae0 44000000 166c0223 06035570 4c696e6b D....l.#..UpLink + 5daf0 50697065 49440000 00166c02 23070353 PipeID....l.#..S + 5db00 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 5db10 00000016 6c022308 035f5061 64310000 ....l.#.._Pad1.. + 5db20 00166c02 23090012 0a000034 ac034d65 ..l.#......4..Me + 5db30 73736167 65494400 00001c94 02230003 ssageID......#.. + 5db40 53657276 69636549 44000000 1c940223 ServiceID......# + 5db50 02035374 61747573 00000016 6c022304 ..Status....l.#. + 5db60 03456e64 706f696e 74494400 0000166c .EndpointID....l + 5db70 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 5db80 001c9402 23060353 65727669 63654d65 ....#..ServiceMe + 5db90 74614c65 6e677468 00000016 6c022308 taLength....l.#. + 5dba0 035f5061 64310000 00166c02 23090012 ._Pad1....l.#... + 5dbb0 02000034 c5034d65 73736167 65494400 ...4..MessageID. + 5dbc0 00001c94 02230000 12040000 3501034d .....#......5..M + 5dbd0 65737361 67654944 0000001c 94022300 essageID......#. + 5dbe0 03506970 65494400 0000166c 02230203 .PipeID....l.#.. + 5dbf0 43726564 6974436f 756e7400 0000166c CreditCount....l + 5dc00 02230300 12040000 3538034d 65737361 .#......58.Messa + 5dc10 67654944 0000001c 94022300 03506970 geID......#..Pip + 5dc20 65494400 0000166c 02230203 53746174 eID....l.#..Stat + 5dc30 75730000 00166c02 23030012 02000035 us....l.#......5 + 5dc40 5f035265 636f7264 49440000 00166c02 _.RecordID....l. + 5dc50 2300034c 656e6774 68000000 166c0223 #..Length....l.# + 5dc60 01001202 00003589 03456e64 706f696e ......5..Endpoin + 5dc70 74494400 0000166c 02230003 43726564 tID....l.#..Cred + 5dc80 69747300 0000166c 02230100 12040000 its....l.#...... + 5dc90 35ca0345 6e64706f 696e7449 44000000 5..EndpointID... + 5dca0 166c0223 00034372 65646974 73000000 .l.#..Credits... + 5dcb0 166c0223 01035467 74437265 64697453 .l.#..TgtCreditS + 5dcc0 65714e6f 0000001c 94022302 00070000 eqNo......#..... + 5dcd0 166c0400 0035d708 03001206 00003613 .l...5........6. + 5dce0 03507265 56616c69 64000000 166c0223 .PreValid....l.# + 5dcf0 00034c6f 6f6b4168 65616400 000035ca ..LookAhead...5. + 5dd00 02230103 506f7374 56616c69 64000000 .#..PostValid... + 5dd10 166c0223 05000670 6f6f6c5f 68616e64 .l.#...pool_hand + 5dd20 6c655f74 00000002 2c0a0000 36130104 le_t....,...6... + 5dd30 00003626 04000901 04000036 33040014 ..6&.......63... + 5dd40 04000036 b110504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 5dd50 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL + 5dd60 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 5dd70 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ + 5dd80 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... + 5dd90 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 5dda0 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 5ddb0 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 5ddc0 00000036 3c090104 000036c2 04000a00 ...6<.....6..... + 5ddd0 00263101 04000036 cb04000a 00002631 .&1....6......&1 + 5dde0 01040000 36d80400 09010400 0036e504 ....6........6.. + 5ddf0 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 5de00 00003787 035f696e 69740000 00362c02 ..7.._init...6,. + 5de10 2300035f 73687574 646f776e 00000036 #.._shutdown...6 + 5de20 35022304 035f6372 65617465 5f706f6f 5.#.._create_poo + 5de30 6c000000 36c40223 08035f61 6c6c6f63 l...6..#.._alloc + 5de40 5f627566 00000036 d102230c 035f616c _buf...6..#.._al + 5de50 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 5de60 36de0223 10035f66 7265655f 62756600 6..#.._free_buf. + 5de70 000036e7 02231403 70526573 65727665 ..6..#..pReserve + 5de80 64000000 022c0223 1800025f 4854435f d....,.#..._HTC_ + 5de90 53455256 49434500 1c000038 6603704e SERVICE....8f.pN + 5dea0 65787400 00003866 02230003 50726f63 ext...8f.#..Proc + 5deb0 65737352 6563764d 73670000 00391b02 essRecvMsg...9.. + 5dec0 23040350 726f6365 73735365 6e644275 #..ProcessSendBu + 5ded0 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 5dee0 24022308 0350726f 63657373 436f6e6e $.#..ProcessConn + 5def0 65637400 00003938 02230c03 53657276 ect...98.#..Serv + 5df00 69636549 44000000 01c50223 10035365 iceID......#..Se + 5df10 72766963 65466c61 67730000 0001c502 rviceFlags...... + 5df20 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 5df30 00000001 c5022314 03547261 696c6572 ......#..Trailer + 5df40 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 5df50 01c50223 16035365 72766963 65437478 ...#..ServiceCtx + 5df60 00000002 2c022318 00040000 37870400 ....,.#.....7... + 5df70 14040000 39041945 4e44504f 494e545f ....9..ENDPOINT_ + 5df80 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP + 5df90 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT + 5dfa0 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... + 5dfb0 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP + 5dfc0 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT + 5dfd0 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... + 5dfe0 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP + 5dff0 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT + 5e000 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP + 5e010 4f494e54 5f494400 0000386d 09010400 OINT_ID...8m.... + 5e020 00391904 00090104 00003922 04000400 .9........9".... + 5e030 0001e704 000a0000 019b0104 00003932 ..............92 + 5e040 04000400 00378704 00025f48 54435f43 .....7...._HTC_C + 5e050 4f4e4649 47001400 0039b703 43726564 ONFIG....9..Cred + 5e060 69745369 7a650000 0001e702 23000343 itSize......#..C + 5e070 72656469 744e756d 62657200 000001e7 reditNumber..... + 5e080 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... + 5e090 30022308 03484946 48616e64 6c650000 0.#..HIFHandle.. + 5e0a0 00289902 230c0350 6f6f6c48 616e646c .(..#..PoolHandl + 5e0b0 65000000 36130223 1000025f 4854435f e...6..#..._HTC_ + 5e0c0 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 + 5e0d0 f303656e 645f706f 696e7400 0000019b ..end_point..... + 5e0e0 02230003 6874635f 666c6167 73000000 .#..htc_flags... + 5e0f0 019b0223 01000668 74635f68 616e646c ...#...htc_handl + 5e100 655f7400 0000022c 06485443 5f534554 e_t....,.HTC_SET + 5e110 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 5e120 0003c406 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 5e130 00394604 00003a20 04000a00 0039f301 .9F...: .....9.. + 5e140 0400003a 37040009 01040000 3a440400 ...:7.......:D.. + 5e150 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 5e160 87040000 3a4d0400 09010400 003a6504 ....:M.......:e. + 5e170 00090104 00003a6e 04000901 0400003a ......:n.......: + 5e180 7704000a 000001e7 01040000 3a800400 w...........:... + 5e190 02687463 5f617069 73003400 003bfd03 .htc_apis.4..;.. + 5e1a0 5f485443 5f496e69 74000000 3a3d0223 _HTC_Init...:=.# + 5e1b0 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 5e1c0 00003a46 02230403 5f485443 5f526567 ..:F.#.._HTC_Reg + 5e1d0 69737465 72536572 76696365 0000003a isterService...: + 5e1e0 67022308 035f4854 435f5265 61647900 g.#.._HTC_Ready. + 5e1f0 00003a46 02230c03 5f485443 5f526574 ..:F.#.._HTC_Ret + 5e200 75726e42 75666665 72730000 003a7002 urnBuffers...:p. + 5e210 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 5e220 66666572 734c6973 74000000 3a790223 ffersList...:y.# + 5e230 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 5e240 003a7002 2318035f 4854435f 47657452 .:p.#.._HTC_GetR + 5e250 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 5e260 00003a86 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg + 5e270 52656376 48616e64 6c657200 00002846 RecvHandler...(F + 5e280 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 5e290 6548616e 646c6572 00000028 3d022324 eHandler...(=.#$ + 5e2a0 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 5e2b0 50726f63 6573734d 73670000 00391b02 ProcessMsg...9.. + 5e2c0 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 5e2d0 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 5e2e0 706c6574 65000000 39240223 2c037052 plete...9$.#,.pR + 5e2f0 65736572 76656400 0000022c 02233000 eserved....,.#0. + 5e300 02686f73 745f6170 705f6172 65615f73 .host_app_area_s + 5e310 00040000 3c2d0377 6d695f70 726f746f ....<-.wmi_proto + 5e320 636f6c5f 76657200 00001605 02230000 col_ver......#.. + 5e330 120e0000 3c640364 73744d61 63000000 ....` + 5e510 03487463 48616e64 6c650000 0039f302 .HtcHandle...9.. + 5e520 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... + 5e530 36130223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl + 5e540 79457674 73000000 01e70223 08034d61 yEvts......#..Ma + 5e550 78457665 6e744576 74730000 0001e702 xEventEvts...... + 5e560 230c0009 01040000 3e600400 06574d49 #.......>`...WMI + 5e570 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 5e580 62025f57 4d495f44 49535041 5443485f b._WMI_DISPATCH_ + 5e590 454e5452 59000800 003ec903 70436d64 ENTRY....>..pCmd + 5e5a0 48616e64 6c657200 00003e69 02230003 Handler...>i.#.. + 5e5b0 436d6449 44000000 01c50223 0403466c CmdID......#..Fl + 5e5c0 61677300 000001c5 02230600 025f574d ags......#..._WM + 5e5d0 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 5e5e0 00100000 3f2a0370 4e657874 0000003f ....?*.pNext...? + 5e5f0 2a022300 0370436f 6e746578 74000000 *.#..pContext... + 5e600 022c0223 04034e75 6d626572 4f66456e .,.#..NumberOfEn + 5e610 74726965 73000000 01e70223 08037054 tries......#..pT + 5e620 61626c65 0000003f 4902230c 00040000 able...?I.#..... + 5e630 3ec90400 06574d49 5f444953 50415443 >....WMI_DISPATC + 5e640 485f454e 54525900 00003e7e 0400003f H_ENTRY...>~...? + 5e650 31040004 00003ec9 04000648 54435f42 1.....>....HTC_B + 5e660 55465f43 4f4e5445 58540000 0039b70f UF_CONTEXT...9.. + 5e670 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 5e680 003fe119 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 5e690 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ + 5e6a0 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 5e6b0 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL + 5e6c0 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... + 5e6d0 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 5e6e0 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 5e6f0 53530000 003f6c02 5f574d49 5f425546 SS...?l._WMI_BUF + 5e700 5f434f4e 54455854 000c0000 403f0348 _CONTEXT....@?.H + 5e710 74634275 66437478 0000003f 57022300 tcBufCtx...?W.#. + 5e720 03457665 6e74436c 61737300 00003fe1 .EventClass...?. + 5e730 02230403 466c6167 73000000 01c50223 .#..Flags......# + 5e740 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 5e750 0000022c 06574d49 5f535643 5f434f4e ...,.WMI_SVC_CON + 5e760 46494700 00003df7 04000040 5104000a FIG...=....@Q... + 5e770 0000403f 01040000 406c0400 06574d49 ..@?....@l...WMI + 5e780 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 5e790 00003ec9 04000040 79040009 01040000 ..>....@y....... + 5e7a0 40980400 0a000026 31010400 0040a104 @......&1....@.. + 5e7b0 00090104 000040ae 04000a00 0001e701 ......@......... + 5e7c0 04000040 b7040009 01040000 40c40400 ...@........@... + 5e7d0 0a000001 9b010400 0040cd04 00025f77 .........@...._w + 5e7e0 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 5e7f0 15035f57 4d495f49 6e697400 00004072 .._WMI_Init...@r + 5e800 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe + 5e810 72446973 70617463 68546162 6c650000 rDispatchTable.. + 5e820 00409a02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo + 5e830 63457665 6e740000 0040a702 2308035f cEvent...@..#.._ + 5e840 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 5e850 40b00223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe + 5e860 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 5e870 00000040 bd022310 035f574d 495f5365 ...@..#.._WMI_Se + 5e880 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 5e890 72000000 39240223 14035f57 4d495f47 r...9$.#.._WMI_G + 5e8a0 6574436f 6e74726f 6c457000 000040bd etControlEp...@. + 5e8b0 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 5e8c0 6e000000 40c60223 1c035f57 4d495f52 n...@..#.._WMI_R + 5e8d0 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 5e8e0 72000000 391b0223 20035f57 4d495f53 r...9..# ._WMI_S + 5e8f0 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 5e900 40d30223 24037052 65736572 76656400 @..#$.pReserved. + 5e910 0000022c 02232800 027a7344 6d614465 ...,.#(..zsDmaDe + 5e920 73630014 00004297 03637472 6c000000 sc....B..ctrl... + 5e930 01af0223 00037374 61747573 00000001 ...#..status.... + 5e940 af022302 03746f74 616c4c65 6e000000 ..#..totalLen... + 5e950 01af0223 04036461 74615369 7a650000 ...#..dataSize.. + 5e960 0001af02 2306036c 61737441 64647200 ....#..lastAddr. + 5e970 00004297 02230803 64617461 41646472 ..B..#..dataAddr + 5e980 00000004 3602230c 036e6578 74416464 ....6.#..nextAdd + 5e990 72000000 42970223 10000400 00421504 r...B..#.....B.. + 5e9a0 00040000 42150400 027a7344 6d615175 ....B....zsDmaQu + 5e9b0 65756500 08000042 d7036865 61640000 eue....B..head.. + 5e9c0 00429e02 23000374 65726d69 6e61746f .B..#..terminato + 5e9d0 72000000 429e0223 0400027a 73547844 r...B..#...zsTxD + 5e9e0 6d615175 65756500 10000043 3b036865 maQueue....C;.he + 5e9f0 61640000 00429e02 23000374 65726d69 ad...B..#..termi + 5ea00 6e61746f 72000000 429e0223 0403786d nator...B..#..xm + 5ea10 69746564 5f627566 5f686561 64000000 ited_buf_head... + 5ea20 03230223 0803786d 69746564 5f627566 .#.#..xmited_buf + 5ea30 5f746169 6c000000 03230223 0c000901 _tail....#.#.... + 5ea40 04000043 3b040004 000042a5 04000901 ...C;.....B..... + 5ea50 04000043 4b040004 000042d7 04000901 ...CK.....B..... + 5ea60 04000043 5b040009 01040000 43640400 ...C[.......Cd.. + 5ea70 09010400 00436d04 000a0000 03230104 .....Cm......#.. + 5ea80 00004376 04000901 04000043 8304000a ..Cv.......C.... + 5ea90 00000323 01040000 438c0400 09010400 ...#....C....... + 5eaa0 00439904 000a0000 01e70104 000043a2 .C............C. + 5eab0 04000a00 00429e01 04000043 af040009 .....B.....C.... + 5eac0 01040000 43bc0400 02646d61 5f656e67 ....C....dma_eng + 5ead0 696e655f 61706900 40000045 32035f69 ine_api.@..E2._i + 5eae0 6e697400 0000433d 02230003 5f696e69 nit...C=.#.._ini + 5eaf0 745f7278 5f717565 75650000 00434d02 t_rx_queue...CM. + 5eb00 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu + 5eb10 65000000 435d0223 08035f63 6f6e6669 e...C].#.._confi + 5eb20 675f7278 5f717565 75650000 00436602 g_rx_queue...Cf. + 5eb30 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C + 5eb40 6f022310 035f666c 7573685f 786d6974 o.#.._flush_xmit + 5eb50 00000043 4d022314 035f7265 61705f72 ...CM.#.._reap_r + 5eb60 6563765f 62756600 0000437c 02231803 ecv_buf...C|.#.. + 5eb70 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 5eb80 00000043 8502231c 035f7265 61705f78 ...C..#.._reap_x + 5eb90 6d697465 645f6275 66000000 43920223 mited_buf...C..# + 5eba0 20035f73 7761705f 64617461 00000043 ._swap_data...C + 5ebb0 9b022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ + 5ebc0 7061636b 65747300 000043a8 02232803 packets...C..#(. + 5ebd0 5f646573 635f6475 6d700000 00434d02 _desc_dump...CM. + 5ebe0 232c035f 6765745f 7061636b 65740000 #,._get_packet.. + 5ebf0 0043b502 2330035f 7265636c 61696d5f .C..#0._reclaim_ + 5ec00 7061636b 65740000 0043be02 2334035f packet...C..#4._ + 5ec10 7075745f 7061636b 65740000 0043be02 put_packet...C.. + 5ec20 23380370 52657365 72766564 00000002 #8.pReserved.... + 5ec30 2c02233c 00065f41 5f636d6e 6f735f69 ,.#<.._A_cmnos_i + 5ec40 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 5ec50 5f740000 00307106 574d495f 5356435f _t...0q.WMI_SVC_ + 5ec60 41504953 00000040 da175f41 5f6d6167 APIS...@.._A_mag + 5ec70 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 5ec80 7461626c 6500034c 00004660 03636d6e table..L..F`.cmn + 5ec90 6f730000 00453202 23000364 62670000 os...E2.#..dbg.. + 5eca0 00065f03 23b80303 68696600 0000293c .._.#...hif...)< + 5ecb0 0323c003 03687463 0000003a 8d0323f8 .#...htc...:..#. + 5ecc0 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 5ecd0 45540323 ac040375 73626669 666f5f61 ET.#...usbfifo_a + 5ece0 70690000 00324503 23d80403 6275665f pi...2E.#...buf_ + 5ecf0 706f6f6c 00000036 ee0323e4 04037662 pool...6..#...vb + 5ed00 75660000 00034d03 23800503 76646573 uf....M.#...vdes + 5ed10 63000000 022f0323 94050361 6c6c6f63 c..../.#...alloc + 5ed20 72616d00 00000bc1 0323a805 03646d61 ram......#...dma + 5ed30 5f656e67 696e6500 000043c5 0323b405 _engine...C..#.. + 5ed40 03646d61 5f6c6962 0000002b d00323f4 .dma_lib...+..#. + 5ed50 05036869 665f7063 69000000 2e300323 ..hif_pci....0.# + 5ed60 a8060002 56425546 5f434f4e 54455854 ....VBUF_CONTEXT + 5ed70 000c0000 46ad0366 7265655f 6275665f ....F..free_buf_ + 5ed80 68656164 00000003 23022300 036e5662 head....#.#..nVb + 5ed90 75664e75 6d000000 01e70223 04037052 ufNum......#..pR + 5eda0 65736572 76656400 0000022c 02230800 eserved....,.#.. + 5edb0 1a675f76 62756643 74780000 00466005 .g_vbufCtx...F`. + 5edc0 0300500a f801065f 415f6d61 67706965 ..P...._A_magpie + 5edd0 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 5ede0 6c655f74 00000045 66040000 034d0400 le_t...Ef....M.. + 5edf0 09011b01 1d5f7662 75665f69 6e697400 ....._vbuf_init. + 5ee00 01010392 01200290 00008e4d b4008e4d ..... .....M...M + 5ee10 ef000047 321c011d 6e427566 00000001 ...G2...nBuf.... + 5ee20 e701521d 76627566 00000003 231d6900 ..R.vbuf....#.i. + 5ee30 000001e7 001e0138 5f766275 665f616c .......8_vbuf_al + 5ee40 6c6f635f 76627566 00000003 23010103 loc_vbuf....#... + 5ee50 92012002 9000008e 4df0008e 4e0e0000 .. .....M...N... + 5ee60 476e1d61 6c6c6f63 42756600 00000323 Gn.allocBuf....# + 5ee70 001b0148 5f766275 665f6672 65655f76 ...H_vbuf_free_v + 5ee80 62756600 01010392 01200290 00008e4e buf...... .....N + 5ee90 10008e4e 24000047 a41c0148 62756600 ...N$..G...Hbuf. + 5eea0 00000323 0152001f 01547662 75665f6d ...#.R...Tvbuf_m + 5eeb0 6f64756c 655f696e 7374616c 6c000101 odule_install... + 5eec0 03920120 02900000 8e4e2400 8e4e381c ... .....N$..N8. + 5eed0 01546170 69730000 0046e601 52000000 .Tapis...F..R... + 5eee0 0000483f 00020000 227c0401 2f726f6f ..H?...."|../roo + 5eef0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5ef00 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5ef10 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 5ef20 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 5ef30 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 5ef40 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 5ef50 7372632f 76646573 632e6300 2f726f6f src/vdesc.c./roo + 5ef60 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5ef70 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5ef80 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 5ef90 64657363 0078742d 78636320 666f7220 desc.xt-xcc for + 5efa0 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 5efb0 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 5efc0 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 5efd0 61636500 01000001 520b025f 56444553 ace.....R.._VDES + 5efe0 43002400 00018603 6e657874 5f646573 C.$.....next_des + 5eff0 63000000 01860223 00036275 665f6164 c......#..buf_ad + 5f000 64720000 0001ab02 23040362 75665f73 dr......#..buf_s + 5f010 697a6500 000001c8 02230803 64617461 ize......#..data + 5f020 5f6f6666 73657400 000001c8 02230a03 _offset......#.. + 5f030 64617461 5f73697a 65000000 01c80223 data_size......# + 5f040 0c03636f 6e74726f 6c000000 01c80223 ..control......# + 5f050 0e036877 5f646573 635f6275 66000000 ..hw_desc_buf... + 5f060 01d60223 10000400 0000fa04 0005756e ...#..........un + 5f070 7369676e 65642063 68617200 07010641 signed char....A + 5f080 5f55494e 54380000 00018d04 0000019e _UINT8.......... + 5f090 04000573 686f7274 20756e73 69676e65 ...short unsigne + 5f0a0 6420696e 74000702 06415f55 494e5431 d int....A_UINT1 + 5f0b0 36000000 01b20700 00019e14 000001e3 6............... + 5f0c0 08130004 000000fa 04000569 6e740005 ...........int.. + 5f0d0 04090104 000001f1 04000656 44455343 ...........VDESC + 5f0e0 00000000 fa040000 01fa0400 0a000002 ................ + 5f0f0 05010400 00020c04 000a0000 01ab0104 ................ + 5f100 00000219 04000901 04000002 2604000b ............&... + 5f110 04000276 64657363 5f617069 00140000 ...vdesc_api.... + 5f120 02a1035f 696e6974 00000001 f3022300 ..._init......#. + 5f130 035f616c 6c6f635f 76646573 63000000 ._alloc_vdesc... + 5f140 02120223 04035f67 65745f68 775f6465 ...#.._get_hw_de + 5f150 73630000 00021f02 2308035f 73776170 sc......#.._swap + 5f160 5f766465 73630000 00022802 230c0370 _vdesc....(.#..p + 5f170 52657365 72766564 00000002 2f022310 Reserved..../.#. + 5f180 00090104 000002a1 04000563 68617200 ...........char. + 5f190 07010c00 0002aa0c 000002aa 04000002 ................ + 5f1a0 b704000a 000001ea 01040000 02c30400 ................ + 5f1b0 02707269 6e74665f 61706900 08000003 .printf_api..... + 5f1c0 07035f70 72696e74 665f696e 69740000 .._printf_init.. + 5f1d0 0002a302 2300035f 7072696e 74660000 ....#.._printf.. + 5f1e0 0002c902 23040006 75696e74 31365f74 ....#...uint16_t + 5f1f0 00000001 b2056c6f 6e672075 6e736967 ......long unsig + 5f200 6e656420 696e7400 07040675 696e7433 ned int....uint3 + 5f210 325f7400 00000315 02756172 745f6669 2_t......uart_fi + 5f220 666f0008 00000383 03737461 72745f69 fo.......start_i + 5f230 6e646578 00000003 07022300 03656e64 ndex......#..end + 5f240 5f696e64 65780000 00030702 2302036f _index......#..o + 5f250 76657272 756e5f65 72720000 00032a02 verrun_err....*. + 5f260 23040002 75617274 5f617069 00200000 #...uart_api. .. + 5f270 043c035f 75617274 5f696e69 74000000 .<._uart_init... + 5f280 04930223 00035f75 6172745f 63686172 ...#.._uart_char + 5f290 5f707574 00000004 a9022304 035f7561 _put......#.._ua + 5f2a0 72745f63 6861725f 67657400 000004bd rt_char_get..... + 5f2b0 02230803 5f756172 745f7374 725f6f75 .#.._uart_str_ou + 5f2c0 74000000 04c60223 0c035f75 6172745f t......#.._uart_ + 5f2d0 7461736b 00000002 a3022310 035f7561 task......#.._ua + 5f2e0 72745f73 74617475 73000000 04930223 rt_status......# + 5f2f0 14035f75 6172745f 636f6e66 69670000 .._uart_config.. + 5f300 0004cf02 2318035f 75617274 5f687769 ....#.._uart_hwi + 5f310 6e697400 000004d8 02231c00 04000003 nit......#...... + 5f320 83040002 75617274 5f626c6b 00100000 ....uart_blk.... + 5f330 048d0364 65627567 5f6d6f64 65000000 ...debug_mode... + 5f340 03070223 00036261 75640000 00030702 ...#..baud...... + 5f350 2302035f 75617274 00000004 3c022304 #.._uart....<.#. + 5f360 035f7478 00000003 38022308 000a0000 ._tx....8.#..... + 5f370 032a0104 0000048d 04000675 696e7438 .*.........uint8 + 5f380 5f740000 00018d09 01040000 04a70400 _t.............. + 5f390 04000004 9a04000a 00000307 01040000 ................ + 5f3a0 04b70400 09010400 0004c404 00090104 ................ + 5f3b0 000004cd 04000901 04000004 d6040004 ................ + 5f3c0 000002aa 04000a00 0001ea01 04000004 ................ + 5f3d0 e6040002 44425f43 4f4d4d41 4e445f53 ....DB_COMMAND_S + 5f3e0 54525543 54000c00 00053e03 636d645f TRUCT.....>.cmd_ + 5f3f0 73747200 000004df 02230003 68656c70 str......#..help + 5f400 5f737472 00000004 df022304 03636d64 _str......#..cmd + 5f410 5f66756e 63000000 04ec0223 08000264 _func......#...d + 5f420 62675f61 70690008 00000571 035f6462 bg_api.....q._db + 5f430 675f696e 69740000 0002a302 2300035f g_init......#.._ + 5f440 6462675f 7461736b 00000002 a3022304 dbg_task......#. + 5f450 0005756e 7369676e 65642069 6e740007 ..unsigned int.. + 5f460 040a0000 022f0104 00000581 04000d0d ...../.......... + 5f470 04000005 8f04000a 0000022f 01040000 .........../.... + 5f480 05970400 0a000001 ea010400 0005a404 ................ + 5f490 00026d65 6d5f6170 69001400 00061303 ..mem_api....... + 5f4a0 5f6d656d 5f696e69 74000000 02a30223 _mem_init......# + 5f4b0 00035f6d 656d7365 74000000 05870223 .._memset......# + 5f4c0 04035f6d 656d6370 79000000 059d0223 .._memcpy......# + 5f4d0 08035f6d 656d6d6f 76650000 00059d02 .._memmove...... + 5f4e0 230c035f 6d656d63 6d700000 0005aa02 #.._memcmp...... + 5f4f0 2310000e 72656769 73746572 5f64756d #...register_dum + 5f500 705f7300 00010400 00061304 00090104 p_s............. + 5f510 0000062d 04000901 04000006 3604000a ...-........6... + 5f520 000001ea 01040000 063f0400 0f686f73 .........?...hos + 5f530 7469665f 73000400 00069b10 4849465f tif_s.......HIF_ + 5f540 55534200 00104849 465f5043 49450001 USB...HIF_PCIE.. + 5f550 10484946 5f474d41 43000210 4849465f .HIF_GMAC...HIF_ + 5f560 50434900 03104849 465f4e55 4d000410 PCI...HIF_NUM... + 5f570 4849465f 4e4f4e45 00050006 415f484f HIF_NONE....A_HO + 5f580 53544946 00000006 4c0a0000 069b0104 STIF....L....... + 5f590 000006a9 04000a00 00049a01 04000006 ................ + 5f5a0 b604000a 00000307 01040000 06c30400 ................ + 5f5b0 026d6973 635f6170 69002400 0007b303 .misc_api.$..... + 5f5c0 5f737973 74656d5f 72657365 74000000 _system_reset... + 5f5d0 02a30223 00035f6d 61635f72 65736574 ...#.._mac_reset + 5f5e0 00000002 a3022304 035f6173 73666169 ......#.._assfai + 5f5f0 6c000000 062f0223 08035f6d 6973616c l..../.#.._misal + 5f600 69676e65 645f6c6f 61645f68 616e646c igned_load_handl + 5f610 65720000 00062f02 230c035f 7265706f er..../.#.._repo + 5f620 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho + 5f630 73740000 00063802 2310035f 74617267 st....8.#.._targ + 5f640 65745f69 645f6765 74000000 06450223 et_id_get....E.# + 5f650 14035f69 735f686f 73745f70 72657365 .._is_host_prese + 5f660 6e740000 0006af02 2318035f 6b626869 nt......#.._kbhi + 5f670 74000000 06bc0223 1c035f72 6f6d5f76 t......#.._rom_v + 5f680 65727369 6f6e5f67 65740000 0006c902 ersion_get...... + 5f690 2320000a 000004df 01040000 07b30400 # .............. + 5f6a0 0a000004 df010400 0007c004 000a0000 ................ + 5f6b0 01ea0104 000007cd 04000a00 0001ea01 ................ + 5f6c0 04000007 da04000a 000001ea 01040000 ................ + 5f6d0 07e70400 02737472 696e675f 61706900 .....string_api. + 5f6e0 18000008 6d035f73 7472696e 675f696e ....m._string_in + 5f6f0 69740000 0002a302 2300035f 73747263 it......#.._strc + 5f700 70790000 0007b902 2304035f 7374726e py......#.._strn + 5f710 63707900 000007c6 02230803 5f737472 cpy......#.._str + 5f720 6c656e00 000007d3 02230c03 5f737472 len......#.._str + 5f730 636d7000 000007e0 02231003 5f737472 cmp......#.._str + 5f740 6e636d70 00000007 ed022314 00070000 ncmp......#..... + 5f750 05711400 00087a08 0400065f 415f5449 .q....z...._A_TI + 5f760 4d45525f 53504143 45000000 086d0641 MER_SPACE....m.A + 5f770 5f74696d 65725f74 00000008 7a040000 _timer_t....z... + 5f780 088e0400 09010400 0008a404 00090104 ................ + 5f790 000008ad 04000641 5f48414e 444c4500 .......A_HANDLE. + 5f7a0 00000571 09010641 5f54494d 45525f46 ...q...A_TIMER_F + 5f7b0 554e4300 000008c4 04000008 c6040009 UNC............. + 5f7c0 01040000 08df0400 0274696d 65725f61 .........timer_a + 5f7d0 70690014 0000095e 035f7469 6d65725f pi.....^._timer_ + 5f7e0 696e6974 00000002 a3022300 035f7469 init......#.._ti + 5f7f0 6d65725f 61726d00 000008a6 02230403 mer_arm......#.. + 5f800 5f74696d 65725f64 69736172 6d000000 _timer_disarm... + 5f810 08af0223 08035f74 696d6572 5f736574 ...#.._timer_set + 5f820 666e0000 0008e102 230c035f 74696d65 fn......#.._time + 5f830 725f7275 6e000000 02a30223 10000642 r_run......#...B + 5f840 4f4f4c45 414e0000 0003070a 0000095e OOLEAN.........^ + 5f850 01040000 096b0400 0a000009 5e010400 .....k......^... + 5f860 00097804 000a0000 095e0104 00000985 ..x......^...... + 5f870 04000272 6f6d705f 61706900 10000009 ...romp_api..... + 5f880 f7035f72 6f6d705f 696e6974 00000002 .._romp_init.... + 5f890 a3022300 035f726f 6d705f64 6f776e6c ..#.._romp_downl + 5f8a0 6f616400 00000971 02230403 5f726f6d oad....q.#.._rom + 5f8b0 705f696e 7374616c 6c000000 097e0223 p_install....~.# + 5f8c0 08035f72 6f6d705f 6465636f 64650000 .._romp_decode.. + 5f8d0 00098b02 230c0002 726f6d5f 70617463 ....#...rom_patc + 5f8e0 685f7374 00100000 0a530363 72633136 h_st.....S.crc16 + 5f8f0 00000003 07022300 036c656e 00000003 ......#..len.... + 5f900 07022302 036c645f 61646472 00000003 ..#..ld_addr.... + 5f910 2a022304 0366756e 5f616464 72000000 *.#..fun_addr... + 5f920 032a0223 08037066 756e0000 0004b002 .*.#..pfun...... + 5f930 230c0002 6565705f 72656469 725f6164 #...eep_redir_ad + 5f940 64720004 00000a85 036f6666 73657400 dr.......offset. + 5f950 00000307 02230003 73697a65 00000003 .....#..size.... + 5f960 07022302 0006415f 55494e54 33320000 ..#...A_UINT32.. + 5f970 0005710a 0000022f 01040000 0a930400 ..q..../........ + 5f980 02616c6c 6f637261 6d5f6170 69000c00 .allocram_api... + 5f990 000b0403 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr + 5f9a0 616d5f69 6e697400 00000a99 02230003 am_init......#.. + 5f9b0 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 5f9c0 000a9902 23040363 6d6e6f73 5f616c6c ....#..cmnos_all + 5f9d0 6f637261 6d5f6465 62756700 000002a3 ocram_debug..... + 5f9e0 02230800 09010400 000b0404 0006415f .#............A_ + 5f9f0 5441534b 4c45545f 46554e43 0000000b TASKLET_FUNC.... + 5fa00 06025f74 61736b6c 65740010 00000b65 .._tasklet.....e + 5fa10 0366756e 63000000 0b0d0223 00036172 .func......#..ar + 5fa20 67000000 022f0223 04037374 61746500 g..../.#..state. + 5fa30 000001ea 02230803 6e657874 0000000b .....#..next.... + 5fa40 6502230c 00040000 0b210400 0400000b e.#......!...... + 5fa50 21040006 415f7461 736b6c65 745f7400 !...A_tasklet_t. + 5fa60 00000b21 0400000b 73040009 01040000 ...!....s....... + 5fa70 0b8b0400 09010400 000b9404 00027461 ..............ta + 5fa80 736b6c65 745f6170 69001400 000c2903 sklet_api.....). + 5fa90 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 5faa0 02a30223 00035f74 61736b6c 65745f69 ...#.._tasklet_i + 5fab0 6e69745f 7461736b 0000000b 8d022304 nit_task......#. + 5fac0 035f7461 736b6c65 745f6469 7361626c ._tasklet_disabl + 5fad0 65000000 0b960223 08035f74 61736b6c e......#.._taskl + 5fae0 65745f73 63686564 756c6500 00000b96 et_schedule..... + 5faf0 02230c03 5f746173 6b6c6574 5f72756e .#.._tasklet_run + 5fb00 00000002 a3022310 00090104 00000c29 ......#........) + 5fb10 04000a00 000a8501 0400000c 32040002 ............2... + 5fb20 636c6f63 6b5f6170 69002400 000d1803 clock_api.$..... + 5fb30 5f636c6f 636b5f69 6e697400 00000c2b _clock_init....+ + 5fb40 02230003 5f636c6f 636b7265 67735f69 .#.._clockregs_i + 5fb50 6e697400 000002a3 02230403 5f756172 nit......#.._uar + 5fb60 745f6672 65717565 6e637900 00000c38 t_frequency....8 + 5fb70 02230803 5f64656c 61795f75 73000000 .#.._delay_us... + 5fb80 01f30223 0c035f77 6c616e5f 62616e64 ...#.._wlan_band + 5fb90 5f736574 00000001 f3022310 035f7265 _set......#.._re + 5fba0 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 5fbb0 000c3802 2314035f 6d696c6c 69736563 ..8.#.._millisec + 5fbc0 6f6e6473 0000000c 38022318 035f7379 onds....8.#.._sy + 5fbd0 73636c6b 5f636861 6e676500 000002a3 sclk_change..... + 5fbe0 02231c03 5f636c6f 636b5f74 69636b00 .#.._clock_tick. + 5fbf0 000002a3 02232000 0a000003 2a010400 .....# .....*... + 5fc00 000d1804 0006415f 6f6c645f 696e7472 ......A_old_intr + 5fc10 5f740000 00032a0a 00000d25 01040000 _t....*....%.... + 5fc20 0d370400 09010400 000d4404 00090104 .7........D..... + 5fc30 00000d4d 04000a00 00032a01 0400000d ...M......*..... + 5fc40 56040006 415f6973 725f7400 00000d5c V...A_isr_t....\ + 5fc50 09010400 000d7004 000a0000 05710104 ......p......q.. + 5fc60 00000d79 04000901 0400000d 86040002 ...y............ + 5fc70 696e7472 5f617069 002c0000 0ea8035f intr_api.,....._ + 5fc80 696e7472 5f696e69 74000000 02a30223 intr_init......# + 5fc90 00035f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i + 5fca0 73720000 000d1e02 2304035f 696e7472 sr......#.._intr + 5fcb0 5f646973 61626c65 0000000d 3d022308 _disable....=.#. + 5fcc0 035f696e 74725f72 6573746f 72650000 ._intr_restore.. + 5fcd0 000d4602 230c035f 696e7472 5f6d6173 ..F.#.._intr_mas + 5fce0 6b5f696e 756d0000 000d4f02 2310035f k_inum....O.#.._ + 5fcf0 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum + 5fd00 0000000d 4f022314 035f696e 74725f61 ....O.#.._intr_a + 5fd10 74746163 685f6973 72000000 0d720223 ttach_isr....r.# + 5fd20 18035f67 65745f69 6e747265 6e61626c .._get_intrenabl + 5fd30 65000000 0d7f0223 1c035f73 65745f69 e......#.._set_i + 5fd40 6e747265 6e61626c 65000000 0d880223 ntrenable......# + 5fd50 20035f67 65745f69 6e747270 656e6469 ._get_intrpendi + 5fd60 6e670000 000d7f02 2324035f 756e626c ng......#$._unbl + 5fd70 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. + 5fd80 000002a3 02232800 11040000 0ece0374 .....#(........t + 5fd90 696d656f 75740000 00032a02 23000361 imeout....*.#..a + 5fda0 6374696f 6e000000 032a0223 00001208 ction....*.#.... + 5fdb0 00000ee9 03636d64 00000003 2a022300 .....cmd....*.#. + 5fdc0 1300000e a8022304 0006545f 5744545f ......#...T_WDT_ + 5fdd0 434d4400 00000ece 09010400 000ef804 CMD............. + 5fde0 00140400 000f4e10 454e554d 5f574454 ......N.ENUM_WDT + 5fdf0 5f424f4f 54000110 454e554d 5f434f4c _BOOT...ENUM_COL + 5fe00 445f424f 4f540002 10454e55 4d5f5355 D_BOOT...ENUM_SU + 5fe10 53505f42 4f4f5400 0310454e 554d5f55 SP_BOOT...ENUM_U + 5fe20 4e4b4e4f 574e5f42 4f4f5400 04000654 NKNOWN_BOOT....T + 5fe30 5f424f4f 545f5459 50450000 000f010a _BOOT_TYPE...... + 5fe40 00000f4e 01040000 0f5f0400 02776474 ...N....._...wdt + 5fe50 5f617069 001c0000 1003035f 7764745f _api......._wdt_ + 5fe60 696e6974 00000002 a3022300 035f7764 init......#.._wd + 5fe70 745f656e 61626c65 00000002 a3022304 t_enable......#. + 5fe80 035f7764 745f6469 7361626c 65000000 ._wdt_disable... + 5fe90 02a30223 08035f77 64745f73 65740000 ...#.._wdt_set.. + 5fea0 000efa02 230c035f 7764745f 7461736b ....#.._wdt_task + 5feb0 00000002 a3022310 035f7764 745f7265 ......#.._wdt_re + 5fec0 73657400 000002a3 02231403 5f776474 set......#.._wdt + 5fed0 5f6c6173 745f626f 6f740000 000f6502 _last_boot....e. + 5fee0 23180014 04000010 6a105245 545f5355 #.......j.RET_SU + 5fef0 43434553 53000010 5245545f 4e4f545f CCESS...RET_NOT_ + 5ff00 494e4954 00011052 45545f4e 4f545f45 INIT...RET_NOT_E + 5ff10 58495354 00021052 45545f45 45505f43 XIST...RET_EEP_C + 5ff20 4f525255 50540003 10524554 5f454550 ORRUPT...RET_EEP + 5ff30 5f4f5645 52464c4f 57000410 5245545f _OVERFLOW...RET_ + 5ff40 554e4b4e 4f574e00 05000654 5f454550 UNKNOWN....T_EEP + 5ff50 5f524554 00000010 03040000 03070400 _RET............ + 5ff60 0a000010 6a010400 00108004 000a0000 ....j........... + 5ff70 106a0104 0000108d 04000265 65705f61 .j.........eep_a + 5ff80 70690010 000010f6 035f6565 705f696e pi......._eep_in + 5ff90 69740000 0002a302 2300035f 6565705f it......#.._eep_ + 5ffa0 72656164 00000010 86022304 035f6565 read......#.._ee + 5ffb0 705f7772 69746500 00001086 02230803 p_write......#.. + 5ffc0 5f656570 5f69735f 65786973 74000000 _eep_is_exist... + 5ffd0 10930223 0c000275 73625f61 70690070 ...#...usb_api.p + 5ffe0 000013a3 035f7573 625f696e 69740000 ....._usb_init.. + 5fff0 0002a302 2300035f 7573625f 726f6d5f ....#.._usb_rom_ + 60000 7461736b 00000002 a3022304 035f7573 task......#.._us + 60010 625f6677 5f746173 6b000000 02a30223 b_fw_task......# + 60020 08035f75 73625f69 6e69745f 70687900 .._usb_init_phy. + 60030 000002a3 02230c03 5f757362 5f657030 .....#.._usb_ep0 + 60040 5f736574 75700000 0002a302 2310035f _setup......#.._ + 60050 7573625f 6570305f 74780000 0002a302 usb_ep0_tx...... + 60060 2314035f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. + 60070 0002a302 2318035f 7573625f 6765745f ....#.._usb_get_ + 60080 696e7465 72666163 65000000 097e0223 interface....~.# + 60090 1c035f75 73625f73 65745f69 6e746572 .._usb_set_inter + 600a0 66616365 00000009 7e022320 035f7573 face....~.# ._us + 600b0 625f6765 745f636f 6e666967 75726174 b_get_configurat + 600c0 696f6e00 0000097e 02232403 5f757362 ion....~.#$._usb + 600d0 5f736574 5f636f6e 66696775 72617469 _set_configurati + 600e0 6f6e0000 00097e02 2328035f 7573625f on....~.#(._usb_ + 600f0 7374616e 64617264 5f636d64 00000009 standard_cmd.... + 60100 7e02232c 035f7573 625f7665 6e646f72 ~.#,._usb_vendor + 60110 5f636d64 00000002 a3022330 035f7573 _cmd......#0._us + 60120 625f706f 7765725f 6f666600 000002a3 b_power_off..... + 60130 02233403 5f757362 5f726573 65745f66 .#4._usb_reset_f + 60140 69666f00 000002a3 02233803 5f757362 ifo......#8._usb + 60150 5f67656e 5f776474 00000002 a302233c _gen_wdt......#< + 60160 035f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. + 60170 000002a3 02234003 5f757362 5f636c72 .....#@._usb_clr + 60180 5f666561 74757265 00000009 7e022344 _feature....~.#D + 60190 035f7573 625f7365 745f6665 61747572 ._usb_set_featur + 601a0 65000000 097e0223 48035f75 73625f73 e....~.#H._usb_s + 601b0 65745f61 64647265 73730000 00097e02 et_address....~. + 601c0 234c035f 7573625f 6765745f 64657363 #L._usb_get_desc + 601d0 72697074 6f720000 00097e02 2350035f riptor....~.#P._ + 601e0 7573625f 6765745f 73746174 75730000 usb_get_status.. + 601f0 00097e02 2354035f 7573625f 73657475 ..~.#T._usb_setu + 60200 705f6465 73630000 0002a302 2358035f p_desc......#X._ + 60210 7573625f 7265675f 6f757400 000002a3 usb_reg_out..... + 60220 02235c03 5f757362 5f737461 7475735f .#\._usb_status_ + 60230 696e0000 0002a302 2360035f 7573625f in......#`._usb_ + 60240 6570305f 74785f64 61746100 000002a3 ep0_tx_data..... + 60250 02236403 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ + 60260 64617461 00000002 a3022368 035f7573 data......#h._us + 60270 625f636c 6b5f696e 69740000 0002a302 b_clk_init...... + 60280 236c0002 5f564255 46002000 00140303 #l.._VBUF. ..... + 60290 64657363 5f6c6973 74000000 02050223 desc_list......# + 602a0 00036e65 78745f62 75660000 00140302 ..next_buf...... + 602b0 23040362 75665f6c 656e6774 68000000 #..buf_length... + 602c0 01c80223 08037265 73657276 65640000 ...#..reserved.. + 602d0 00140a02 230a0363 74780000 0001d602 ....#..ctx...... + 602e0 230c0004 000013a3 04000700 00019e02 #............... + 602f0 00001417 08010004 000013a3 04000656 ...............V + 60300 42554600 000013a3 04000014 1e04000a BUF............. + 60310 00001428 01040000 142f0400 0a000014 ...(...../...... + 60320 28010400 00143c04 00090104 00001449 (.....<........I + 60330 04000276 6275665f 61706900 14000014 ...vbuf_api..... + 60340 c7035f69 6e697400 000001f3 02230003 .._init......#.. + 60350 5f616c6c 6f635f76 62756600 00001435 _alloc_vbuf....5 + 60360 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 60370 77697468 5f73697a 65000000 14420223 with_size....B.# + 60380 08035f66 7265655f 76627566 00000014 .._free_vbuf.... + 60390 4b02230c 03705265 73657276 65640000 K.#..pReserved.. + 603a0 00022f02 23100002 5f5f6164 665f6465 ../.#...__adf_de + 603b0 76696365 00040000 14e90364 756d6d79 vice.......dummy + 603c0 00000001 ea022300 00040000 0a850400 ......#......... + 603d0 025f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 603e0 00001530 03627566 00000014 28022300 ...0.buf....(.#. + 603f0 0364735f 61646472 00000014 e9022304 .ds_addr......#. + 60400 0364735f 6c656e00 000001c8 02230800 .ds_len......#.. + 60410 120c0000 156a035f 5f76615f 73746b00 .....j.__va_stk. + 60420 000004df 02230003 5f5f7661 5f726567 .....#..__va_reg + 60430 00000004 df022304 035f5f76 615f6e64 ......#..__va_nd + 60440 78000000 01ea0223 0800065f 5f616466 x......#...__adf + 60450 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 60460 000a8506 6164665f 6f735f64 6d615f61 ....adf_os_dma_a + 60470 6464725f 74000000 156a065f 5f616466 ddr_t....j.__adf + 60480 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 60490 000a8506 6164665f 6f735f64 6d615f73 ....adf_os_dma_s + 604a0 697a655f 74000000 159a025f 5f646d61 ize_t......__dma + 604b0 5f736567 73000800 0015f603 70616464 _segs.......padd + 604c0 72000000 15830223 00036c65 6e000000 r......#..len... + 604d0 15b30223 0400065f 5f615f75 696e7433 ...#...__a_uint3 + 604e0 325f7400 00000a85 06615f75 696e7433 2_t......a_uint3 + 604f0 325f7400 000015f6 07000015 ca080000 2_t............. + 60500 16250800 00026164 665f6f73 5f646d61 .%....adf_os_dma + 60510 6d61705f 696e666f 000c0000 165e036e map_info.....^.n + 60520 73656773 00000016 08022300 03646d61 segs......#..dma + 60530 5f736567 73000000 16180223 0400065f _segs......#..._ + 60540 5f615f75 696e7438 5f740000 00019e06 _a_uint8_t...... + 60550 615f7569 6e74385f 74000000 165e0400 a_uint8_t....^.. + 60560 00166f04 00025f5f 73675f73 65677300 ..o...__sg_segs. + 60570 08000016 b0037661 64647200 0000167e ......vaddr....~ + 60580 02230003 6c656e00 00001608 02230400 .#..len......#.. + 60590 07000016 85200000 16bd0803 00026164 ..... ........ad + 605a0 665f6f73 5f73676c 69737400 24000016 f_os_sglist.$... + 605b0 f0036e73 65677300 00001608 02230003 ..nsegs......#.. + 605c0 73675f73 65677300 000016b0 02230400 sg_segs......#.. + 605d0 12100000 17390376 656e646f 72000000 .....9.vendor... + 605e0 16080223 00036465 76696365 00000016 ...#..device.... + 605f0 08022304 03737562 76656e64 6f720000 ..#..subvendor.. + 60600 00160802 23080373 75626465 76696365 ....#..subdevice + 60610 00000016 0802230c 00056c6f 6e67206c ......#...long l + 60620 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 60630 00070806 415f5549 4e543634 00000017 ....A_UINT64.... + 60640 39065f5f 615f7569 6e743634 5f740000 9.__a_uint64_t.. + 60650 00175306 615f7569 6e743634 5f740000 ..S.a_uint64_t.. + 60660 00176114 04000017 bf104144 465f4f53 ..a.......ADF_OS + 60670 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 60680 454d0000 10414446 5f4f535f 5245534f EM...ADF_OS_RESO + 60690 55524345 5f545950 455f494f 00010006 URCE_TYPE_IO.... + 606a0 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 606b0 74797065 5f740000 00178312 18000018 type_t.......... + 606c0 09037374 61727400 00001773 02230003 ..start....s.#.. + 606d0 656e6400 00001773 02230803 74797065 end....s.#..type + 606e0 00000017 bf022310 00066164 665f6f73 ......#...adf_os + 606f0 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 60700 16f00400 00180904 00110400 00184803 ..............H. + 60710 70636900 00001822 02230003 72617700 pci....".#..raw. + 60720 0000022f 02230000 11100000 18670370 .../.#.......g.p + 60730 63690000 00180902 23000372 61770000 ci......#..raw.. + 60740 00022f02 23000006 6164665f 6472765f ../.#...adf_drv_ + 60750 68616e64 6c655f74 00000002 2f066164 handle_t..../.ad + 60760 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 60770 000017db 04000018 7d040006 6164665f ........}...adf_ + 60780 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 60790 00000018 48040000 189b0400 04000014 ....H........... + 607a0 c7040006 5f5f6164 665f6f73 5f646576 ....__adf_os_dev + 607b0 6963655f 74000000 18bc0661 64665f6f ice_t......adf_o + 607c0 735f6465 76696365 5f740000 0018c30a s_device_t...... + 607d0 00001867 01040000 18ef0400 09010400 ...g............ + 607e0 0018fc04 00066164 665f6f73 5f706d5f ......adf_os_pm_ + 607f0 74000000 022f0901 04000019 16040014 t..../.......... + 60800 04000019 56104144 465f4f53 5f425553 ....V.ADF_OS_BUS + 60810 5f545950 455f5043 49000110 4144465f _TYPE_PCI...ADF_ + 60820 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 60830 52494300 02000661 64665f6f 735f6275 RIC....adf_os_bu + 60840 735f7479 70655f74 00000019 1f066164 s_type_t......ad + 60850 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 60860 615f7400 00001829 04000001 8d040002 a_t....)........ + 60870 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 60880 001a3303 6472765f 61747461 63680000 ..3.drv_attach.. + 60890 0018f502 23000364 72765f64 65746163 ....#..drv_detac + 608a0 68000000 18fe0223 04036472 765f7375 h......#..drv_su + 608b0 7370656e 64000000 19180223 08036472 spend......#..dr + 608c0 765f7265 73756d65 00000018 fe02230c v_resume......#. + 608d0 03627573 5f747970 65000000 19560223 .bus_type....V.# + 608e0 10036275 735f6461 74610000 00196d02 ..bus_data....m. + 608f0 2314036d 6f645f6e 616d6500 00001988 #..mod_name..... + 60900 02231803 69666e61 6d650000 00198802 .#..ifname...... + 60910 231c0006 6164665f 6f735f68 616e646c #...adf_os_handl + 60920 655f7400 0000022f 04000016 5e040009 e_t..../....^... + 60930 01090106 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 60940 655f7400 00000571 14040000 1a821041 e_t....q.......A + 60950 5f46414c 53450000 10415f54 52554500 _FALSE...A_TRUE. + 60960 01000661 5f626f6f 6c5f7400 00001a68 ...a_bool_t....h + 60970 04000014 f0040006 5f5f6164 665f6f73 ........__adf_os + 60980 5f646d61 5f6d6170 5f740000 001a9009 _dma_map_t...... + 60990 010f6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 609a0 796e6300 0400001b 1a104144 465f5359 ync.......ADF_SY + 609b0 4e435f50 52455245 41440000 10414446 NC_PREREAD...ADF + 609c0 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 609d0 10414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 609e0 41440001 10414446 5f53594e 435f504f AD...ADF_SYNC_PO + 609f0 53545752 49544500 03000661 64665f6f STWRITE....adf_o + 60a00 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 60a10 001ab109 01066164 665f6f73 5f73697a ......adf_os_siz + 60a20 655f7400 00001a53 0a00001b 35010661 e_t....S....5..a + 60a30 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 60a40 00001a97 0400001b 4e04000a 0000022f ........N....../ + 60a50 01040000 1a970400 0a000002 2f010901 ............/... + 60a60 0a000015 83010901 0573686f 72742069 .........short i + 60a70 6e740005 0206415f 494e5431 36000000 nt....A_INT16... + 60a80 1b88065f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 60a90 001b9506 615f696e 7431365f 74000000 ....a_int16_t... + 60aa0 1ba20573 69676e65 64206368 61720005 ...signed char.. + 60ab0 0106415f 494e5438 0000001b c2065f5f ..A_INT8......__ + 60ac0 615f696e 74385f74 0000001b d106615f a_int8_t......a_ + 60ad0 696e7438 5f740000 001bdd12 0c00001c int8_t.......... + 60ae0 54037375 70706f72 74656400 00001608 T.supported..... + 60af0 02230003 61647665 7274697a 65640000 .#..advertized.. + 60b00 00160802 23040373 70656564 0000001b ....#..speed.... + 60b10 b3022308 03647570 6c657800 00001bed ..#..duplex..... + 60b20 02230a03 6175746f 6e656700 0000166f .#..autoneg....o + 60b30 02230b00 07000016 6f060000 1c610805 .#......o....a.. + 60b40 00026164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 60b50 72000600 001c8503 61646472 0000001c r.......addr.... + 60b60 54022300 00065f5f 615f7569 6e743136 T.#...__a_uint16 + 60b70 5f740000 0001c806 615f7569 6e743136 _t......a_uint16 + 60b80 5f740000 001c8512 0e00001c e9036574 _t............et + 60b90 6865725f 64686f73 74000000 1c540223 her_dhost....T.# + 60ba0 00036574 6865725f 73686f73 74000000 ..ether_shost... + 60bb0 1c540223 06036574 6865725f 74797065 .T.#..ether_type + 60bc0 0000001c 9702230c 00121400 001daa15 ......#......... + 60bd0 69705f76 65727369 6f6e0000 00166f01 ip_version....o. + 60be0 00040223 00156970 5f686c00 0000166f ...#..ip_hl....o + 60bf0 01040402 23000369 705f746f 73000000 ....#..ip_tos... + 60c00 166f0223 01036970 5f6c656e 0000001c .o.#..ip_len.... + 60c10 97022302 0369705f 69640000 001c9702 ..#..ip_id...... + 60c20 23040369 705f6672 61675f6f 66660000 #..ip_frag_off.. + 60c30 001c9702 23060369 705f7474 6c000000 ....#..ip_ttl... + 60c40 166f0223 08036970 5f70726f 746f0000 .o.#..ip_proto.. + 60c50 00166f02 23090369 705f6368 65636b00 ..o.#..ip_check. + 60c60 00001c97 02230a03 69705f73 61646472 .....#..ip_saddr + 60c70 00000016 0802230c 0369705f 64616464 ......#..ip_dadd + 60c80 72000000 16080223 10000261 64665f6e r......#...adf_n + 60c90 65745f76 6c616e68 64720004 00001dfc et_vlanhdr...... + 60ca0 03747069 64000000 1c970223 00157072 .tpid......#..pr + 60cb0 696f0000 00166f01 00030223 02156366 io....o....#..cf + 60cc0 69000000 166f0103 01022302 15766964 i....o....#..vid + 60cd0 0000001c 9702040c 02230200 02616466 .........#...adf + 60ce0 5f6e6574 5f766964 00020000 1e2d1572 _net_vid.....-.r + 60cf0 65730000 00166f01 00040223 00157661 es....o....#..va + 60d00 6c000000 1c970204 0c022300 00120c00 l.........#..... + 60d10 001e6903 72785f62 75667369 7a650000 ..i.rx_bufsize.. + 60d20 00160802 23000372 785f6e64 65736300 ....#..rx_ndesc. + 60d30 00001608 02230403 74785f6e 64657363 .....#..tx_ndesc + 60d40 00000016 08022308 00120800 001e8f03 ......#......... + 60d50 706f6c6c 65640000 001a8202 23000370 polled......#..p + 60d60 6f6c6c5f 77740000 00160802 23040007 oll_wt......#... + 60d70 0000166f 4000001e 9c083f00 12460000 ...o@.....?..F.. + 60d80 1ec40369 665f6e61 6d650000 001e8f02 ...if_name...... + 60d90 23000364 65765f61 64647200 00001c54 #..dev_addr....T + 60da0 02234000 14040000 1efb1041 44465f4f .#@........ADF_O + 60db0 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 60dc0 00001041 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 60dd0 534b5f36 34424954 00010006 6164665f SK_64BIT....adf_ + 60de0 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 60df0 1ec40261 64665f64 6d615f69 6e666f00 ...adf_dma_info. + 60e00 0800001f 4803646d 615f6d61 736b0000 ....H.dma_mask.. + 60e10 001efb02 23000373 675f6e73 65677300 ....#..sg_nsegs. + 60e20 00001608 02230400 14040000 1f9e1041 .....#.........A + 60e30 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 60e40 45000010 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 60e50 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 60e60 10414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 60e70 43505f55 44505f49 50763600 02000661 CP_UDP_IPv6....a + 60e80 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 60e90 655f7400 00001f48 12080000 1fe10374 e_t....H.......t + 60ea0 785f636b 73756d00 00001f9e 02230003 x_cksum......#.. + 60eb0 72785f63 6b73756d 0000001f 9e022304 rx_cksum......#. + 60ec0 00066164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 60ed0 696e666f 5f740000 001fb814 04000020 info_t......... + 60ee0 3a104144 465f4e45 545f5453 4f5f4e4f :.ADF_NET_TSO_NO + 60ef0 4e450000 10414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 60f00 5f495056 34000110 4144465f 4e45545f _IPV4...ADF_NET_ + 60f10 54534f5f 414c4c00 02000661 64665f6e TSO_ALL....adf_n + 60f20 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 60f30 1ffb1210 0000208e 03636b73 756d5f63 ...... ..cksum_c + 60f40 61700000 001fe102 23000374 736f0000 ap......#..tso.. + 60f50 00203a02 23080376 6c616e5f 73757070 . :.#..vlan_supp + 60f60 6f727465 64000000 166f0223 0c001220 orted....o.#... + 60f70 00002127 0374785f 7061636b 65747300 ..!'.tx_packets. + 60f80 00001608 02230003 72785f70 61636b65 .....#..rx_packe + 60f90 74730000 00160802 23040374 785f6279 ts......#..tx_by + 60fa0 74657300 00001608 02230803 72785f62 tes......#..rx_b + 60fb0 79746573 00000016 0802230c 0374785f ytes......#..tx_ + 60fc0 64726f70 70656400 00001608 02231003 dropped......#.. + 60fd0 72785f64 726f7070 65640000 00160802 rx_dropped...... + 60fe0 23140372 785f6572 726f7273 00000016 #..rx_errors.... + 60ff0 08022318 0374785f 6572726f 72730000 ..#..tx_errors.. + 61000 00160802 231c0006 6164665f 6e65745f ....#...adf_net_ + 61010 65746861 6464725f 74000000 1c611600 ethaddr_t....a.. + 61020 00212703 00000021 4c087f00 17616466 .!'....!L....adf + 61030 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 61040 03040000 2183036e 656c656d 00000016 ....!..nelem.... + 61050 08022300 036d6361 73740000 00213e02 ..#..mcast...!>. + 61060 23040006 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 61070 6c696e6b 5f696e66 6f5f7400 00001bfb link_info_t..... + 61080 06616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 61090 6c5f696e 666f5f74 0000001e 69066164 l_info_t....i.ad + 610a0 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 610b0 696e666f 5f740000 001fe106 6164665f info_t......adf_ + 610c0 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 610d0 6f5f7400 00001e2d 06616466 5f6e6574 o_t....-.adf_net + 610e0 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 610f0 00001f12 06616466 5f6e6574 5f636d64 .....adf_net_cmd + 61100 5f766964 5f740000 001c9706 6164665f _vid_t......adf_ + 61110 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 61120 6361705f 74000000 20520661 64665f6e cap_t... R.adf_n + 61130 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 61140 00208e06 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 61150 6d636164 64725f74 00000021 4c0f6164 mcaddr_t...!L.ad + 61160 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 61170 63617000 04000022 c5104144 465f4e45 cap...."..ADF_NE + 61180 545f4d43 4153545f 53555000 00104144 T_MCAST_SUP...AD + 61190 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 611a0 55500001 00066164 665f6e65 745f636d UP....adf_net_cm + 611b0 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 611c0 227d1803 04000023 97036c69 6e6b5f69 "}.....#..link_i + 611d0 6e666f00 00002183 02230003 706f6c6c nfo...!..#..poll + 611e0 5f696e66 6f000000 21a00223 0003636b _info...!..#..ck + 611f0 73756d5f 696e666f 00000021 bd022300 sum_info...!..#. + 61200 0372696e 675f696e 666f0000 0021db02 .ring_info...!.. + 61210 23000364 6d615f69 6e666f00 000021f8 #..dma_info...!. + 61220 02230003 76696400 00002214 02230003 .#..vid..."..#.. + 61230 6f66666c 6f61645f 63617000 0000222b offload_cap..."+ + 61240 02230003 73746174 73000000 224a0223 .#..stats..."J.# + 61250 00036d63 6173745f 696e666f 00000022 ..mcast_info..." + 61260 63022300 036d6361 73745f63 61700000 c.#..mcast_cap.. + 61270 0022c502 23000014 04000023 ee104144 ."..#......#..AD + 61280 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 61290 4e4f4e45 00001041 44465f4e 4255465f NONE...ADF_NBUF_ + 612a0 52585f43 4b53554d 5f485700 01104144 RX_CKSUM_HW...AD + 612b0 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 612c0 554e4e45 43455353 41525900 02000661 UNNECESSARY....a + 612d0 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 612e0 5f747970 655f7400 00002397 12080000 _type_t...#..... + 612f0 242e0372 6573756c 74000000 23ee0223 $..result...#..# + 61300 00037661 6c000000 16080223 04001208 ..val......#.... + 61310 0000245e 03747970 65000000 203a0223 ..$^.type... :.# + 61320 00036d73 73000000 1c970223 04036864 ..mss......#..hd + 61330 725f6f66 66000000 166f0223 0600025f r_off....o.#..._ + 61340 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 61350 0c000024 9d036865 61640000 00142802 ...$..head....(. + 61360 23000374 61696c00 00001428 02230403 #..tail....(.#.. + 61370 716c656e 00000016 08022308 00065f5f qlen......#...__ + 61380 6164665f 6e627566 5f740000 00142804 adf_nbuf_t....(. + 61390 0000167e 04000400 00160804 0009010a ...~............ + 613a0 00000205 010a0000 1608010a 0000167e ...............~ + 613b0 010a0000 167e0104 000001d6 0400065f .....~........._ + 613c0 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 613d0 74000000 245e065f 5f616466 5f6e6275 t...$^.__adf_nbu + 613e0 665f7175 6575655f 74000000 24de0400 f_queue_t...$... + 613f0 0024f604 000a0000 249d010a 0000249d .$......$.....$. + 61400 01140400 00261610 415f5354 41545553 .....&..A_STATUS + 61410 5f4f4b00 0010415f 53544154 55535f46 _OK...A_STATUS_F + 61420 41494c45 44000110 415f5354 41545553 AILED...A_STATUS + 61430 5f454e4f 454e5400 0210415f 53544154 _ENOENT...A_STAT + 61440 55535f45 4e4f4d45 4d000310 415f5354 US_ENOMEM...A_ST + 61450 41545553 5f45494e 56414c00 0410415f ATUS_EINVAL...A_ + 61460 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 61470 53530005 10415f53 54415455 535f454e SS...A_STATUS_EN + 61480 4f545355 50500006 10415f53 54415455 OTSUPP...A_STATU + 61490 535f4542 55535900 0710415f 53544154 S_EBUSY...A_STAT + 614a0 55535f45 32424947 00081041 5f535441 US_E2BIG...A_STA + 614b0 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 614c0 4c000910 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 614d0 494f000a 10415f53 54415455 535f4546 IO...A_STATUS_EF + 614e0 41554c54 000b1041 5f535441 5455535f AULT...A_STATUS_ + 614f0 45494f00 0c000661 5f737461 7475735f EIO....a_status_ + 61500 74000000 25210a00 00261601 0a000001 t...%!...&...... + 61510 ea010901 06616466 5f6e6275 665f7400 .....adf_nbuf_t. + 61520 0000249d 14040000 267b1041 44465f4f ..$.....&{.ADF_O + 61530 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 61540 00104144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 61550 4d5f4445 56494345 00010006 6164665f M_DEVICE....adf_ + 61560 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 61570 440a0000 26160109 01066164 665f6f73 D...&.....adf_os + 61580 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 61590 00162504 00002699 04000901 09010a00 ..%...&......... + 615a0 00263401 0a000024 9d010901 09010a00 .&4....$........ + 615b0 00263401 0a000024 9d010a00 00263401 .&4....$.....&4. + 615c0 0a000024 9d010a00 00263401 09010901 ...$.....&4..... + 615d0 0a000016 08010a00 00167e01 09010901 ..........~..... + 615e0 0a00001b 35010a00 001a8201 0a00001a ....5........... + 615f0 82010661 64665f6f 735f7367 6c697374 ...adf_os_sglist + 61600 5f740000 0016bd04 00002712 04000901 _t........'..... + 61610 09010901 0a000016 7e010661 64665f6e ........~..adf_n + 61620 6275665f 71756575 655f7400 000024f6 buf_queue_t...$. + 61630 04000027 3a040009 01040000 24de0400 ...':.......$... + 61640 09010901 09010a00 00263401 0a000024 .........&4....$ + 61650 9d010a00 00160801 0a000016 08010a00 ................ + 61660 001a8201 0a00001a 82010a00 001f9e01 ................ + 61670 0a000016 08010661 64665f6e 6275665f .......adf_nbuf_ + 61680 72785f63 6b73756d 5f740000 00240c04 rx_cksum_t...$.. + 61690 00002796 04000901 09010661 64665f6e ..'........adf_n + 616a0 6275665f 74736f5f 74000000 242e0400 buf_tso_t...$... + 616b0 0027ba04 00090109 01066164 665f6e65 .'........adf_ne + 616c0 745f6861 6e646c65 5f740000 00022f06 t_handle_t..../. + 616d0 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 616e0 74000000 1daa0400 0027ef04 000a0000 t........'...... + 616f0 2616010a 00002616 01090109 01025f48 &.....&......._H + 61700 49465f43 4f4e4649 47000400 00283e03 IF_CONFIG....(>. + 61710 64756d6d 79000000 01ea0223 00000901 dummy......#.... + 61720 04000028 3e040009 01040000 28470400 ...(>.......(G.. + 61730 025f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 61740 0000289c 0373656e 645f6275 665f646f ..(..send_buf_do + 61750 6e650000 00284002 23000372 6563765f ne...(@.#..recv_ + 61760 62756600 00002849 02230403 636f6e74 buf...(I.#..cont + 61770 65787400 0000022f 02230800 06686966 ext..../.#...hif + 61780 5f68616e 646c655f 74000000 022f0648 _handle_t..../.H + 61790 49465f43 4f4e4649 47000000 281d0400 IF_CONFIG...(... + 617a0 0028ae04 000a0000 289c0104 000028c5 .(......(.....(. + 617b0 04000901 04000028 d2040006 4849465f .......(....HIF_ + 617c0 43414c4c 4241434b 00000028 50040000 CALLBACK...(P... + 617d0 28db0400 09010400 0028f404 000a0000 (........(...... + 617e0 01ea0104 000028fd 04000901 04000029 ......(........) + 617f0 0a04000a 000001ea 01040000 29130400 ............)... + 61800 09010400 00292004 000a0000 01ea0104 .....) ......... + 61810 00002929 04000901 04000029 36040002 ..)).......)6... + 61820 6869665f 61706900 3800002a 8f035f69 hif_api.8..*.._i + 61830 6e697400 000028cb 02230003 5f736875 nit...(..#.._shu + 61840 74646f77 6e000000 28d40223 04035f72 tdown...(..#.._r + 61850 65676973 7465725f 63616c6c 6261636b egister_callback + 61860 00000028 f6022308 035f6765 745f746f ...(..#.._get_to + 61870 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 61880 00000029 0302230c 035f7374 61727400 ...)..#.._start. + 61890 000028d4 02231003 5f636f6e 6669675f ..(..#.._config_ + 618a0 70697065 00000029 0c022314 035f7365 pipe...)..#.._se + 618b0 6e645f62 75666665 72000000 29190223 nd_buffer...)..# + 618c0 18035f72 65747572 6e5f7265 63765f62 .._return_recv_b + 618d0 75660000 00292202 231c035f 69735f70 uf...)".#.._is_p + 618e0 6970655f 73757070 6f727465 64000000 ipe_supported... + 618f0 292f0223 20035f67 65745f6d 61785f6d )/.# ._get_max_m + 61900 73675f6c 656e0000 00292f02 2324035f sg_len...)/.#$._ + 61910 6765745f 72657365 72766564 5f686561 get_reserved_hea + 61920 64726f6f 6d000000 29030223 28035f69 droom...)..#(._i + 61930 73725f68 616e646c 65720000 0028d402 sr_handler...(.. + 61940 232c035f 6765745f 64656661 756c745f #,._get_default_ + 61950 70697065 00000029 38022330 03705265 pipe...)8.#0.pRe + 61960 73657276 65640000 00022f02 2334000f served..../.#4.. + 61970 646d615f 656e6769 6e650004 00002b18 dma_engine....+. + 61980 10444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 61990 0010444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 619a0 00011044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 619b0 32000210 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 619c0 58330003 10444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 619d0 54583000 0410444d 415f454e 47494e45 TX0...DMA_ENGINE + 619e0 5f545831 00051044 4d415f45 4e47494e _TX1...DMA_ENGIN + 619f0 455f4d41 58000600 06646d61 5f656e67 E_MAX....dma_eng + 61a00 696e655f 74000000 2a8f0f64 6d615f69 ine_t...*..dma_i + 61a10 66747970 65000400 002b6510 444d415f ftype....+e.DMA_ + 61a20 49465f47 4d414300 0010444d 415f4946 IF_GMAC...DMA_IF + 61a30 5f504349 00011044 4d415f49 465f5043 _PCI...DMA_IF_PC + 61a40 49450002 0006646d 615f6966 74797065 IE....dma_iftype + 61a50 5f740000 002b2a0a 000001c8 01040000 _t...+*......... + 61a60 2b770400 09010400 002b8404 00090104 +w.......+...... + 61a70 00002b8d 04000a00 000a8501 0400002b ..+............+ + 61a80 9604000a 000001c8 01040000 2ba30400 ............+... + 61a90 0a000001 c8010400 002bb004 000a0000 .........+...... + 61aa0 14280104 00002bbd 04000901 0400002b .(....+........+ + 61ab0 ca040002 646d615f 6c69625f 61706900 ....dma_lib_api. + 61ac0 3400002c d1037478 5f696e69 74000000 4..,..tx_init... + 61ad0 2b7d0223 00037478 5f737461 72740000 +}.#..tx_start.. + 61ae0 002b8602 23040372 785f696e 69740000 .+..#..rx_init.. + 61af0 002b7d02 23080372 785f636f 6e666967 .+}.#..rx_config + 61b00 0000002b 8f02230c 0372785f 73746172 ...+..#..rx_star + 61b10 74000000 2b860223 1003696e 74725f73 t...+..#..intr_s + 61b20 74617475 73000000 2b9c0223 14036861 tatus...+..#..ha + 61b30 72645f78 6d697400 00002ba9 02231803 rd_xmit...+..#.. + 61b40 666c7573 685f786d 69740000 002b8602 flush_xmit...+.. + 61b50 231c0378 6d69745f 646f6e65 0000002b #..xmit_done...+ + 61b60 b6022320 03726561 705f786d 69747465 ..# .reap_xmitte + 61b70 64000000 2bc30223 24037265 61705f72 d...+..#$.reap_r + 61b80 65637600 00002bc3 02232803 72657475 ecv...+..#(.retu + 61b90 726e5f72 65637600 00002bcc 02232c03 rn_recv...+..#,. + 61ba0 72656376 5f706b74 0000002b b6022330 recv_pkt...+..#0 + 61bb0 00025f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 61bc0 002cef03 73770000 0028db02 23000006 .,..sw...(..#... + 61bd0 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 61be0 2cd10400 002cef04 00090104 00002d09 ,....,........-. + 61bf0 04000a00 00019e01 0400002d 1204000f ...........-.... + 61c00 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 61c10 0400002d 72104849 465f5043 495f5049 ...-r.HIF_PCI_PI + 61c20 50455f54 58300000 10484946 5f504349 PE_TX0...HIF_PCI + 61c30 5f504950 455f5458 31000110 4849465f _PIPE_TX1...HIF_ + 61c40 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 61c50 02000668 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 61c60 74785f74 0000002d 1f0a0000 2b180104 tx_t...-....+... + 61c70 00002d89 04000f68 69665f70 63695f70 ..-....hif_pci_p + 61c80 6970655f 72780004 00002e0f 10484946 ipe_rx.......HIF + 61c90 5f504349 5f504950 455f5258 30000010 _PCI_PIPE_RX0... + 61ca0 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 61cb0 00011048 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 61cc0 52583200 02104849 465f5043 495f5049 RX2...HIF_PCI_PI + 61cd0 50455f52 58330003 10484946 5f504349 PE_RX3...HIF_PCI + 61ce0 5f504950 455f5258 5f4d4158 00040006 _PIPE_RX_MAX.... + 61cf0 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 61d00 74000000 2d960a00 002b1801 0400002e t...-....+...... + 61d10 26040002 6869665f 7063695f 61706900 &...hif_pci_api. + 61d20 2400002f 04037063 695f626f 6f745f69 $../..pci_boot_i + 61d30 6e697400 000002a3 02230003 7063695f nit......#..pci_ + 61d40 696e6974 00000028 cb022304 03706369 init...(..#..pci + 61d50 5f726573 65740000 0002a302 23080370 _reset......#..p + 61d60 63695f65 6e61626c 65000000 02a30223 ci_enable......# + 61d70 0c037063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 61d80 65640000 002d0b02 23100370 63695f72 ed...-..#..pci_r + 61d90 6561705f 72656376 0000002d 0b022314 eap_recv...-..#. + 61da0 03706369 5f676574 5f706970 65000000 .pci_get_pipe... + 61db0 2d180223 18037063 695f6765 745f7478 -..#..pci_get_tx + 61dc0 5f656e67 0000002d 8f02231c 03706369 _eng...-..#..pci + 61dd0 5f676574 5f72785f 656e6700 00002e2c _get_rx_eng...., + 61de0 02232000 02676d61 635f6170 69000400 .# ..gmac_api... + 61df0 002f2b03 676d6163 5f626f6f 745f696e ./+.gmac_boot_in + 61e00 69740000 0002a302 23000007 0000018d it......#....... + 61e10 0600002f 38080500 025f5f65 74686864 .../8....__ethhd + 61e20 72000e00 002f6e03 64737400 00002f2b r..../n.dst.../+ + 61e30 02230003 73726300 00002f2b 02230603 .#..src.../+.#.. + 61e40 65747970 65000000 01c80223 0c00025f etype......#..._ + 61e50 5f617468 68647200 0400002f bc157265 _athhdr..../..re + 61e60 73000000 019e0100 02022300 1570726f s.........#..pro + 61e70 746f0000 00019e01 02060223 00037265 to.........#..re + 61e80 735f6c6f 00000001 9e022301 03726573 s_lo......#..res + 61e90 5f686900 000001c8 02230200 025f5f67 _hi......#...__g + 61ea0 6d61635f 68647200 1400002f f8036574 mac_hdr..../..et + 61eb0 68000000 2f380223 00036174 68000000 h.../8.#..ath... + 61ec0 2f6e0223 0e03616c 69676e5f 70616400 /n.#..align_pad. + 61ed0 000001c8 02231200 065f5f67 6d61635f .....#...__gmac_ + 61ee0 6864725f 74000000 2fbc025f 5f676d61 hdr_t.../..__gma + 61ef0 635f736f 66746300 24000030 42036864 c_softc.$..0B.hd + 61f00 72000000 2ff80223 00036772 616e0000 r.../..#..gran.. + 61f10 0001c802 23140373 77000000 28db0223 ....#..sw...(..# + 61f20 18000e5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 61f30 5f636865 636b0000 01040000 30420400 _check......0B.. + 61f40 0a000001 ea010400 00306004 00040000 .........0`..... + 61f50 05710400 175f415f 636d6e6f 735f696e .q..._A_cmnos_in + 61f60 64697265 6374696f 6e5f7461 626c6500 direction_table. + 61f70 01b80000 31b00368 616c5f6c 696e6b61 ....1..hal_linka + 61f80 67655f63 6865636b 00000030 66022300 ge_check...0f.#. + 61f90 03737461 72745f62 73730000 00306d02 .start_bss...0m. + 61fa0 23040361 70705f73 74617274 00000002 #..app_start.... + 61fb0 a3022308 036d656d 00000005 b102230c ..#..mem......#. + 61fc0 036d6973 63000000 06d00223 20037072 .misc......# .pr + 61fd0 696e7466 00000002 d0022344 03756172 intf......#D.uar + 61fe0 74000000 03830223 4c03676d 61630000 t......#L.gmac.. + 61ff0 002f0402 236c0375 73620000 0010f602 ./..#l.usb...... + 62000 23700363 6c6f636b 0000000c 3f0323e0 #p.clock....?.#. + 62010 01037469 6d657200 000008e8 03238402 ..timer......#.. + 62020 03696e74 72000000 0d8f0323 98020361 .intr......#...a + 62030 6c6c6f63 72616d00 00000aa0 0323c402 llocram......#.. + 62040 03726f6d 70000000 09920323 d0020377 .romp......#...w + 62050 64745f74 696d6572 0000000f 6c0323e0 dt_timer....l.#. + 62060 02036565 70000000 109a0323 fc020373 ..eep......#...s + 62070 7472696e 67000000 07f40323 8c030374 tring......#...t + 62080 61736b6c 65740000 000b9d03 23a40300 asklet......#... + 62090 025f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI + 620a0 47001000 00322303 6765745f 636f6d6d G....2#.get_comm + 620b0 616e645f 62756600 00001435 02230003 and_buf....5.#.. + 620c0 72656376 5f636f6d 6d616e64 00000014 recv_command.... + 620d0 4b022304 03676574 5f657665 6e745f62 K.#..get_event_b + 620e0 75660000 00143502 23080373 656e645f uf....5.#..send_ + 620f0 6576656e 745f646f 6e650000 00144b02 event_done....K. + 62100 230c0006 5553425f 4649464f 5f434f4e #...USB_FIFO_CON + 62110 46494700 000031b0 04000032 23040009 FIG...1....2#... + 62120 01040000 323f0400 02757362 6669666f ....2?...usbfifo + 62130 5f617069 000c0000 3295035f 696e6974 _api....2.._init + 62140 00000032 41022300 035f656e 61626c65 ...2A.#.._enable + 62150 5f657665 6e745f69 73720000 0002a302 _event_isr...... + 62160 23040370 52657365 72766564 00000002 #..pReserved.... + 62170 2f022308 00070000 166f0200 0032a208 /.#......o...2.. + 62180 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 62190 52000800 00331403 456e6470 6f696e74 R....3..Endpoint + 621a0 49440000 00166f02 23000346 6c616773 ID....o.#..Flags + 621b0 00000016 6f022301 03506179 6c6f6164 ....o.#..Payload + 621c0 4c656e00 00001c97 02230203 436f6e74 Len......#..Cont + 621d0 726f6c42 79746573 00000032 95022304 rolBytes...2..#. + 621e0 03486f73 74536571 4e756d00 00001c97 .HostSeqNum..... + 621f0 02230600 12020000 332d034d 65737361 .#......3-.Messa + 62200 67654944 0000001c 97022300 00120800 geID......#..... + 62210 00339003 4d657373 61676549 44000000 .3..MessageID... + 62220 1c970223 00034372 65646974 436f756e ...#..CreditCoun + 62230 74000000 1c970223 02034372 65646974 t......#..Credit + 62240 53697a65 0000001c 97022304 034d6178 Size......#..Max + 62250 456e6470 6f696e74 73000000 166f0223 Endpoints....o.# + 62260 06035f50 61643100 0000166f 02230700 .._Pad1....o.#.. + 62270 120a0000 3427034d 65737361 67654944 ....4'.MessageID + 62280 0000001c 97022300 03536572 76696365 ......#..Service + 62290 49440000 001c9702 23020343 6f6e6e65 ID......#..Conne + 622a0 6374696f 6e466c61 67730000 001c9702 ctionFlags...... + 622b0 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 622c0 44000000 166f0223 06035570 4c696e6b D....o.#..UpLink + 622d0 50697065 49440000 00166f02 23070353 PipeID....o.#..S + 622e0 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 622f0 00000016 6f022308 035f5061 64310000 ....o.#.._Pad1.. + 62300 00166f02 23090012 0a000034 af034d65 ..o.#......4..Me + 62310 73736167 65494400 00001c97 02230003 ssageID......#.. + 62320 53657276 69636549 44000000 1c970223 ServiceID......# + 62330 02035374 61747573 00000016 6f022304 ..Status....o.#. + 62340 03456e64 706f696e 74494400 0000166f .EndpointID....o + 62350 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 62360 001c9702 23060353 65727669 63654d65 ....#..ServiceMe + 62370 74614c65 6e677468 00000016 6f022308 taLength....o.#. + 62380 035f5061 64310000 00166f02 23090012 ._Pad1....o.#... + 62390 02000034 c8034d65 73736167 65494400 ...4..MessageID. + 623a0 00001c97 02230000 12040000 3504034d .....#......5..M + 623b0 65737361 67654944 0000001c 97022300 essageID......#. + 623c0 03506970 65494400 0000166f 02230203 .PipeID....o.#.. + 623d0 43726564 6974436f 756e7400 0000166f CreditCount....o + 623e0 02230300 12040000 353b034d 65737361 .#......5;.Messa + 623f0 67654944 0000001c 97022300 03506970 geID......#..Pip + 62400 65494400 0000166f 02230203 53746174 eID....o.#..Stat + 62410 75730000 00166f02 23030012 02000035 us....o.#......5 + 62420 62035265 636f7264 49440000 00166f02 b.RecordID....o. + 62430 2300034c 656e6774 68000000 166f0223 #..Length....o.# + 62440 01001202 0000358c 03456e64 706f696e ......5..Endpoin + 62450 74494400 0000166f 02230003 43726564 tID....o.#..Cred + 62460 69747300 0000166f 02230100 12040000 its....o.#...... + 62470 35cd0345 6e64706f 696e7449 44000000 5..EndpointID... + 62480 166f0223 00034372 65646974 73000000 .o.#..Credits... + 62490 166f0223 01035467 74437265 64697453 .o.#..TgtCreditS + 624a0 65714e6f 0000001c 97022302 00070000 eqNo......#..... + 624b0 166f0400 0035da08 03001206 00003616 .o...5........6. + 624c0 03507265 56616c69 64000000 166f0223 .PreValid....o.# + 624d0 00034c6f 6f6b4168 65616400 000035cd ..LookAhead...5. + 624e0 02230103 506f7374 56616c69 64000000 .#..PostValid... + 624f0 166f0223 05000670 6f6f6c5f 68616e64 .o.#...pool_hand + 62500 6c655f74 00000002 2f0a0000 36160104 le_t..../...6... + 62510 00003629 04000901 04000036 36040014 ..6).......66... + 62520 04000036 b410504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 62530 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL + 62540 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 62550 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ + 62560 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... + 62570 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 62580 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 62590 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 625a0 00000036 3f090104 000036c5 04000a00 ...6?.....6..... + 625b0 00263401 04000036 ce04000a 00002634 .&4....6......&4 + 625c0 01040000 36db0400 09010400 0036e804 ....6........6.. + 625d0 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 625e0 0000378a 035f696e 69740000 00362f02 ..7.._init...6/. + 625f0 2300035f 73687574 646f776e 00000036 #.._shutdown...6 + 62600 38022304 035f6372 65617465 5f706f6f 8.#.._create_poo + 62610 6c000000 36c70223 08035f61 6c6c6f63 l...6..#.._alloc + 62620 5f627566 00000036 d402230c 035f616c _buf...6..#.._al + 62630 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 62640 36e10223 10035f66 7265655f 62756600 6..#.._free_buf. + 62650 000036ea 02231403 70526573 65727665 ..6..#..pReserve + 62660 64000000 022f0223 1800025f 4854435f d..../.#..._HTC_ + 62670 53455256 49434500 1c000038 6903704e SERVICE....8i.pN + 62680 65787400 00003869 02230003 50726f63 ext...8i.#..Proc + 62690 65737352 6563764d 73670000 00391e02 essRecvMsg...9.. + 626a0 23040350 726f6365 73735365 6e644275 #..ProcessSendBu + 626b0 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 626c0 27022308 0350726f 63657373 436f6e6e '.#..ProcessConn + 626d0 65637400 0000393b 02230c03 53657276 ect...9;.#..Serv + 626e0 69636549 44000000 01c80223 10035365 iceID......#..Se + 626f0 72766963 65466c61 67730000 0001c802 rviceFlags...... + 62700 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 62710 00000001 c8022314 03547261 696c6572 ......#..Trailer + 62720 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 62730 01c80223 16035365 72766963 65437478 ...#..ServiceCtx + 62740 00000002 2f022318 00040000 378a0400 ..../.#.....7... + 62750 14040000 39071945 4e44504f 494e545f ....9..ENDPOINT_ + 62760 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP + 62770 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT + 62780 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... + 62790 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP + 627a0 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT + 627b0 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... + 627c0 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP + 627d0 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT + 627e0 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP + 627f0 4f494e54 5f494400 00003870 09010400 OINT_ID...8p.... + 62800 00391c04 00090104 00003925 04000400 .9........9%.... + 62810 0001ea04 000a0000 019e0104 00003935 ..............95 + 62820 04000400 00378a04 00025f48 54435f43 .....7...._HTC_C + 62830 4f4e4649 47001400 0039ba03 43726564 ONFIG....9..Cred + 62840 69745369 7a650000 0001ea02 23000343 itSize......#..C + 62850 72656469 744e756d 62657200 000001ea reditNumber..... + 62860 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... + 62870 33022308 03484946 48616e64 6c650000 3.#..HIFHandle.. + 62880 00289c02 230c0350 6f6f6c48 616e646c .(..#..PoolHandl + 62890 65000000 36160223 1000025f 4854435f e...6..#..._HTC_ + 628a0 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 + 628b0 f603656e 645f706f 696e7400 0000019e ..end_point..... + 628c0 02230003 6874635f 666c6167 73000000 .#..htc_flags... + 628d0 019e0223 01000668 74635f68 616e646c ...#...htc_handl + 628e0 655f7400 0000022f 06485443 5f534554 e_t..../.HTC_SET + 628f0 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 62900 0002a306 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 62910 00394904 00003a23 04000a00 0039f601 .9I...:#.....9.. + 62920 0400003a 3a040009 01040000 3a470400 ...::.......:G.. + 62930 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 62940 8a040000 3a500400 09010400 003a6804 ....:P.......:h. + 62950 00090104 00003a71 04000901 0400003a ......:q.......: + 62960 7a04000a 000001ea 01040000 3a830400 z...........:... + 62970 02687463 5f617069 73003400 003c0003 .htc_apis.4..<.. + 62980 5f485443 5f496e69 74000000 3a400223 _HTC_Init...:@.# + 62990 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 629a0 00003a49 02230403 5f485443 5f526567 ..:I.#.._HTC_Reg + 629b0 69737465 72536572 76696365 0000003a isterService...: + 629c0 6a022308 035f4854 435f5265 61647900 j.#.._HTC_Ready. + 629d0 00003a49 02230c03 5f485443 5f526574 ..:I.#.._HTC_Ret + 629e0 75726e42 75666665 72730000 003a7302 urnBuffers...:s. + 629f0 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 62a00 66666572 734c6973 74000000 3a7c0223 ffersList...:|.# + 62a10 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 62a20 003a7302 2318035f 4854435f 47657452 .:s.#.._HTC_GetR + 62a30 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 62a40 00003a89 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg + 62a50 52656376 48616e64 6c657200 00002849 RecvHandler...(I + 62a60 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 62a70 6548616e 646c6572 00000028 40022324 eHandler...(@.#$ + 62a80 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 62a90 50726f63 6573734d 73670000 00391e02 ProcessMsg...9.. + 62aa0 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 62ab0 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 62ac0 706c6574 65000000 39270223 2c037052 plete...9'.#,.pR + 62ad0 65736572 76656400 0000022f 02233000 eserved..../.#0. + 62ae0 02686f73 745f6170 705f6172 65615f73 .host_app_area_s + 62af0 00040000 3c300377 6d695f70 726f746f ....<0.wmi_proto + 62b00 636f6c5f 76657200 00001608 02230000 col_ver......#.. + 62b10 120e0000 3c670364 73744d61 63000000 ....c + 62cf0 03487463 48616e64 6c650000 0039f602 .HtcHandle...9.. + 62d00 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... + 62d10 36160223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl + 62d20 79457674 73000000 01ea0223 08034d61 yEvts......#..Ma + 62d30 78457665 6e744576 74730000 0001ea02 xEventEvts...... + 62d40 230c0009 01040000 3e630400 06574d49 #.......>c...WMI + 62d50 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 62d60 65025f57 4d495f44 49535041 5443485f e._WMI_DISPATCH_ + 62d70 454e5452 59000800 003ecc03 70436d64 ENTRY....>..pCmd + 62d80 48616e64 6c657200 00003e6c 02230003 Handler...>l.#.. + 62d90 436d6449 44000000 01c80223 0403466c CmdID......#..Fl + 62da0 61677300 000001c8 02230600 025f574d ags......#..._WM + 62db0 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 62dc0 00100000 3f2d0370 4e657874 0000003f ....?-.pNext...? + 62dd0 2d022300 0370436f 6e746578 74000000 -.#..pContext... + 62de0 022f0223 04034e75 6d626572 4f66456e ./.#..NumberOfEn + 62df0 74726965 73000000 01ea0223 08037054 tries......#..pT + 62e00 61626c65 0000003f 4c02230c 00040000 able...?L.#..... + 62e10 3ecc0400 06574d49 5f444953 50415443 >....WMI_DISPATC + 62e20 485f454e 54525900 00003e81 0400003f H_ENTRY...>....? + 62e30 34040004 00003ecc 04000648 54435f42 4.....>....HTC_B + 62e40 55465f43 4f4e5445 58540000 0039ba0f UF_CONTEXT...9.. + 62e50 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 62e60 003fe419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 62e70 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ + 62e80 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 62e90 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL + 62ea0 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... + 62eb0 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 62ec0 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 62ed0 53530000 003f6f02 5f574d49 5f425546 SS...?o._WMI_BUF + 62ee0 5f434f4e 54455854 000c0000 40420348 _CONTEXT....@B.H + 62ef0 74634275 66437478 0000003f 5a022300 tcBufCtx...?Z.#. + 62f00 03457665 6e74436c 61737300 00003fe4 .EventClass...?. + 62f10 02230403 466c6167 73000000 01c80223 .#..Flags......# + 62f20 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 62f30 0000022f 06574d49 5f535643 5f434f4e .../.WMI_SVC_CON + 62f40 46494700 00003dfa 04000040 5404000a FIG...=....@T... + 62f50 00004042 01040000 406f0400 06574d49 ..@B....@o...WMI + 62f60 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 62f70 00003ecc 04000040 7c040009 01040000 ..>....@|....... + 62f80 409b0400 0a000026 34010400 0040a404 @......&4....@.. + 62f90 00090104 000040b1 04000a00 0001ea01 ......@......... + 62fa0 04000040 ba040009 01040000 40c70400 ...@........@... + 62fb0 0a000001 9e010400 0040d004 00025f77 .........@...._w + 62fc0 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 62fd0 18035f57 4d495f49 6e697400 00004075 .._WMI_Init...@u + 62fe0 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe + 62ff0 72446973 70617463 68546162 6c650000 rDispatchTable.. + 63000 00409d02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo + 63010 63457665 6e740000 0040aa02 2308035f cEvent...@..#.._ + 63020 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 63030 40b30223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe + 63040 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 63050 00000040 c0022310 035f574d 495f5365 ...@..#.._WMI_Se + 63060 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 63070 72000000 39270223 14035f57 4d495f47 r...9'.#.._WMI_G + 63080 6574436f 6e74726f 6c457000 000040c0 etControlEp...@. + 63090 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 630a0 6e000000 40c90223 1c035f57 4d495f52 n...@..#.._WMI_R + 630b0 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 630c0 72000000 391e0223 20035f57 4d495f53 r...9..# ._WMI_S + 630d0 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 630e0 40d60223 24037052 65736572 76656400 @..#$.pReserved. + 630f0 0000022f 02232800 027a7344 6d614465 .../.#(..zsDmaDe + 63100 73630014 0000429a 03637472 6c000000 sc....B..ctrl... + 63110 01b20223 00037374 61747573 00000001 ...#..status.... + 63120 b2022302 03746f74 616c4c65 6e000000 ..#..totalLen... + 63130 01b20223 04036461 74615369 7a650000 ...#..dataSize.. + 63140 0001b202 2306036c 61737441 64647200 ....#..lastAddr. + 63150 0000429a 02230803 64617461 41646472 ..B..#..dataAddr + 63160 00000003 1502230c 036e6578 74416464 ......#..nextAdd + 63170 72000000 429a0223 10000400 00421804 r...B..#.....B.. + 63180 00040000 42180400 027a7344 6d615175 ....B....zsDmaQu + 63190 65756500 08000042 da036865 61640000 eue....B..head.. + 631a0 0042a102 23000374 65726d69 6e61746f .B..#..terminato + 631b0 72000000 42a10223 0400027a 73547844 r...B..#...zsTxD + 631c0 6d615175 65756500 10000043 3e036865 maQueue....C>.he + 631d0 61640000 0042a102 23000374 65726d69 ad...B..#..termi + 631e0 6e61746f 72000000 42a10223 0403786d nator...B..#..xm + 631f0 69746564 5f627566 5f686561 64000000 ited_buf_head... + 63200 14280223 0803786d 69746564 5f627566 .(.#..xmited_buf + 63210 5f746169 6c000000 14280223 0c000901 _tail....(.#.... + 63220 04000043 3e040004 000042a8 04000901 ...C>.....B..... + 63230 04000043 4e040004 000042da 04000901 ...CN.....B..... + 63240 04000043 5e040009 01040000 43670400 ...C^.......Cg.. + 63250 09010400 00437004 000a0000 14280104 .....Cp......(.. + 63260 00004379 04000901 04000043 8604000a ..Cy.......C.... + 63270 00001428 01040000 438f0400 09010400 ...(....C....... + 63280 00439c04 000a0000 01ea0104 000043a5 .C............C. + 63290 04000a00 0042a101 04000043 b2040009 .....B.....C.... + 632a0 01040000 43bf0400 02646d61 5f656e67 ....C....dma_eng + 632b0 696e655f 61706900 40000045 35035f69 ine_api.@..E5._i + 632c0 6e697400 00004340 02230003 5f696e69 nit...C@.#.._ini + 632d0 745f7278 5f717565 75650000 00435002 t_rx_queue...CP. + 632e0 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu + 632f0 65000000 43600223 08035f63 6f6e6669 e...C`.#.._confi + 63300 675f7278 5f717565 75650000 00436902 g_rx_queue...Ci. + 63310 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C + 63320 72022310 035f666c 7573685f 786d6974 r.#.._flush_xmit + 63330 00000043 50022314 035f7265 61705f72 ...CP.#.._reap_r + 63340 6563765f 62756600 0000437f 02231803 ecv_buf...C..#.. + 63350 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 63360 00000043 8802231c 035f7265 61705f78 ...C..#.._reap_x + 63370 6d697465 645f6275 66000000 43950223 mited_buf...C..# + 63380 20035f73 7761705f 64617461 00000043 ._swap_data...C + 63390 9e022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ + 633a0 7061636b 65747300 000043ab 02232803 packets...C..#(. + 633b0 5f646573 635f6475 6d700000 00435002 _desc_dump...CP. + 633c0 232c035f 6765745f 7061636b 65740000 #,._get_packet.. + 633d0 0043b802 2330035f 7265636c 61696d5f .C..#0._reclaim_ + 633e0 7061636b 65740000 0043c102 2334035f packet...C..#4._ + 633f0 7075745f 7061636b 65740000 0043c102 put_packet...C.. + 63400 23380370 52657365 72766564 00000002 #8.pReserved.... + 63410 2f02233c 00065f41 5f636d6e 6f735f69 /.#<.._A_cmnos_i + 63420 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 63430 5f740000 00307406 574d495f 5356435f _t...0t.WMI_SVC_ + 63440 41504953 00000040 dd175f41 5f6d6167 APIS...@.._A_mag + 63450 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 63460 7461626c 6500034c 00004663 03636d6e table..L..Fc.cmn + 63470 6f730000 00453502 23000364 62670000 os...E5.#..dbg.. + 63480 00053e03 23b80303 68696600 0000293f ..>.#...hif...)? + 63490 0323c003 03687463 0000003a 900323f8 .#...htc...:..#. + 634a0 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 634b0 45570323 ac040375 73626669 666f5f61 EW.#...usbfifo_a + 634c0 70690000 00324803 23d80403 6275665f pi...2H.#...buf_ + 634d0 706f6f6c 00000036 f10323e4 04037662 pool...6..#...vb + 634e0 75660000 00145203 23800503 76646573 uf....R.#...vdes + 634f0 63000000 02320323 94050361 6c6c6f63 c....2.#...alloc + 63500 72616d00 00000aa0 0323a805 03646d61 ram......#...dma + 63510 5f656e67 696e6500 000043c8 0323b405 _engine...C..#.. + 63520 03646d61 5f6c6962 0000002b d30323f4 .dma_lib...+..#. + 63530 05036869 665f7063 69000000 2e330323 ..hif_pci....3.# + 63540 a8060002 56444553 435f434f 4e544558 ....VDESC_CONTEX + 63550 54000800 0046a203 66726565 5f766465 T....F..free_vde + 63560 73635f68 65616400 00000205 02230003 sc_head......#.. + 63570 70526573 65727665 64000000 022f0223 pReserved..../.# + 63580 04001a67 5f766465 73634374 78000000 ...g_vdescCtx... + 63590 46630503 00500b04 01065f41 5f6d6167 Fc...P...._A_mag + 635a0 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 635b0 7461626c 655f7400 00004569 04000002 table_t...Ei.... + 635c0 32040009 01040000 020c0400 1b01225f 2............."_ + 635d0 76646573 635f696e 69740001 01039201 vdesc_init...... + 635e0 20029000 008e4e38 008e4e73 00004732 .....N8..Ns..G2 + 635f0 1c01226e 44657363 00000001 ea01521d .."nDesc......R. + 63600 76646573 63000000 02051d69 00000001 vdesc......i.... + 63610 ea001e01 865f7664 6573635f 616c6c6f ....._vdesc_allo + 63620 635f6465 73630000 00020501 03920120 c_desc......... + 63630 02900000 8e4e7400 8e4e8c00 00476f1d .....Nt..N...Go. + 63640 616c6c6f 63446573 63000000 0205001f allocDesc....... + 63650 01a15f76 64657363 5f676574 5f68775f .._vdesc_get_hw_ + 63660 64657363 00000001 ab010103 92012002 desc.......... . + 63670 9000008e 4e8c008e 4e940000 47ad1c01 ....N...N...G... + 63680 a1646573 63000000 02050152 001b01b1 .desc......R.... + 63690 5f766465 73635f73 7761705f 76646573 _vdesc_swap_vdes + 636a0 63000101 03920120 02900000 8e4e9400 c...... .....N.. + 636b0 8e4ed100 0048091c 01b16465 73740000 .N...H....dest.. + 636c0 00020501 521c01b1 73726300 00000205 ....R...src..... + 636d0 01531d74 6d700000 000a851d 746d7041 .S.tmp......tmpA + 636e0 64647200 000001ab 002001cd 76646573 ddr...... ..vdes + 636f0 635f6d6f 64756c65 5f696e73 74616c6c c_module_install + 63700 00010103 92012002 9000008e 4ed4008e ...... .....N... + 63710 4eed1c01 cd617069 73000000 46dc0152 N....apis...F..R + 63720 00000000 00589c00 02000023 ff04012f .....X.....#.../ + 63730 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 63740 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 63750 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 63760 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 63770 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 63780 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + 63790 2f737263 2f687463 2e63002f 726f6f74 /src/htc.c./root + 637a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 637b0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 637c0 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 637d0 63007874 2d786363 20666f72 20372e31 c.xt-xcc for 7.1 + 637e0 2e30202d 4f50543a 616c6967 6e5f696e .0 -OPT:align_in + 637f0 73747275 6374696f 6e733d33 32202d4f structions=32 -O + 63800 32202d67 33202d4f 50543a73 70616365 2 -g3 -OPT:space + 63810 00010000 01607102 01030000 00f40400 .....`q......... + 63820 04696e74 00050404 63686172 00070105 .int....char.... + 63830 00000104 05000001 04030000 01110400 ................ + 63840 06000000 fd010300 00011d04 00077072 ..............pr + 63850 696e7466 5f617069 00080000 0161085f intf_api.....a._ + 63860 7072696e 74665f69 6e697400 000000f6 printf_init..... + 63870 02230008 5f707269 6e746600 00000123 .#.._printf....# + 63880 02230400 0473686f 72742075 6e736967 .#...short unsig + 63890 6e656420 696e7400 07020975 696e7431 ned int....uint1 + 638a0 365f7400 00000161 046c6f6e 6720756e 6_t....a.long un + 638b0 7369676e 65642069 6e740007 04097569 signed int....ui + 638c0 6e743332 5f740000 00018507 75617274 nt32_t......uart + 638d0 5f666966 6f000800 0001f308 73746172 _fifo.......star + 638e0 745f696e 64657800 00000177 02230008 t_index....w.#.. + 638f0 656e645f 696e6465 78000000 01770223 end_index....w.# + 63900 02086f76 65727275 6e5f6572 72000000 ..overrun_err... + 63910 019a0223 04000775 6172745f 61706900 ...#...uart_api. + 63920 20000002 ac085f75 6172745f 696e6974 ....._uart_init + 63930 00000003 03022300 085f7561 72745f63 ......#.._uart_c + 63940 6861725f 70757400 0000032a 02230408 har_put....*.#.. + 63950 5f756172 745f6368 61725f67 65740000 _uart_char_get.. + 63960 00033e02 2308085f 75617274 5f737472 ..>.#.._uart_str + 63970 5f6f7574 00000003 4702230c 085f7561 _out....G.#.._ua + 63980 72745f74 61736b00 000000f6 02231008 rt_task......#.. + 63990 5f756172 745f7374 61747573 00000003 _uart_status.... + 639a0 03022314 085f7561 72745f63 6f6e6669 ..#.._uart_confi + 639b0 67000000 03500223 18085f75 6172745f g....P.#.._uart_ + 639c0 6877696e 69740000 00035902 231c0003 hwinit....Y.#... + 639d0 000001f3 04000775 6172745f 626c6b00 .......uart_blk. + 639e0 10000002 fd086465 6275675f 6d6f6465 ......debug_mode + 639f0 00000001 77022300 08626175 64000000 ....w.#..baud... + 63a00 01770223 02085f75 61727400 000002ac .w.#.._uart..... + 63a10 02230408 5f747800 000001a8 02230800 .#.._tx......#.. + 63a20 06000001 9a010300 0002fd04 0004756e ..............un + 63a30 7369676e 65642063 68617200 07010975 signed char....u + 63a40 696e7438 5f740000 00030a02 01030000 int8_t.......... + 63a50 03280400 03000003 1b040006 00000177 .(.............w + 63a60 01030000 03380400 02010300 00034504 .....8........E. + 63a70 00020103 0000034e 04000201 03000003 .......N........ + 63a80 57040003 00000104 04000600 0000fd01 W............... + 63a90 03000003 67040007 44425f43 4f4d4d41 ....g...DB_COMMA + 63aa0 4e445f53 54525543 54000c00 0003bf08 ND_STRUCT....... + 63ab0 636d645f 73747200 00000360 02230008 cmd_str....`.#.. + 63ac0 68656c70 5f737472 00000003 60022304 help_str....`.#. + 63ad0 08636d64 5f66756e 63000000 036d0223 .cmd_func....m.# + 63ae0 08000764 62675f61 70690008 000003f2 ...dbg_api...... + 63af0 085f6462 675f696e 69740000 0000f602 ._dbg_init...... + 63b00 2300085f 6462675f 7461736b 00000000 #.._dbg_task.... + 63b10 f6022304 000a0400 04756e73 69676e65 ..#......unsigne + 63b20 6420696e 74000704 06000003 f2010300 d int........... + 63b30 00040504 000b0b03 00000413 04000600 ................ + 63b40 0003f201 03000004 1b040006 000000fd ................ + 63b50 01030000 04280400 076d656d 5f617069 .....(...mem_api + 63b60 00140000 0497085f 6d656d5f 696e6974 ......._mem_init + 63b70 00000000 f6022300 085f6d65 6d736574 ......#.._memset + 63b80 00000004 0b022304 085f6d65 6d637079 ......#.._memcpy + 63b90 00000004 21022308 085f6d65 6d6d6f76 ....!.#.._memmov + 63ba0 65000000 04210223 0c085f6d 656d636d e....!.#.._memcm + 63bb0 70000000 042e0223 10000c72 65676973 p......#...regis + 63bc0 7465725f 64756d70 5f730000 01030000 ter_dump_s...... + 63bd0 04970400 02010300 0004b104 00020103 ................ + 63be0 000004ba 04000600 0000fd01 03000004 ................ + 63bf0 c304000d 686f7374 69665f73 00040000 ....hostif_s.... + 63c00 051f0e48 49465f55 53420000 0e484946 ...HIF_USB...HIF + 63c10 5f504349 4500010e 4849465f 474d4143 _PCIE...HIF_GMAC + 63c20 00020e48 49465f50 43490003 0e484946 ...HIF_PCI...HIF + 63c30 5f4e554d 00040e48 49465f4e 4f4e4500 _NUM...HIF_NONE. + 63c40 05000941 5f484f53 54494600 000004d0 ...A_HOSTIF..... + 63c50 06000005 1f010300 00052d04 00060000 ..........-..... + 63c60 031b0103 0000053a 04000600 00017701 .......:......w. + 63c70 03000005 47040007 6d697363 5f617069 ....G...misc_api + 63c80 00240000 0637085f 73797374 656d5f72 .$...7._system_r + 63c90 65736574 00000000 f6022300 085f6d61 eset......#.._ma + 63ca0 635f7265 73657400 000000f6 02230408 c_reset......#.. + 63cb0 5f617373 6661696c 00000004 b3022308 _assfail......#. + 63cc0 085f6d69 73616c69 676e6564 5f6c6f61 ._misaligned_loa + 63cd0 645f6861 6e646c65 72000000 04b30223 d_handler......# + 63ce0 0c085f72 65706f72 745f6661 696c7572 .._report_failur + 63cf0 655f746f 5f686f73 74000000 04bc0223 e_to_host......# + 63d00 10085f74 61726765 745f6964 5f676574 .._target_id_get + 63d10 00000004 c9022314 085f6973 5f686f73 ......#.._is_hos + 63d20 745f7072 6573656e 74000000 05330223 t_present....3.# + 63d30 18085f6b 62686974 00000005 4002231c .._kbhit....@.#. + 63d40 085f726f 6d5f7665 7273696f 6e5f6765 ._rom_version_ge + 63d50 74000000 054d0223 20000600 00036001 t....M.# .....`. + 63d60 03000006 37040006 00000360 01030000 ....7......`.... + 63d70 06440400 06000000 fd010300 00065104 .D............Q. + 63d80 00060000 00fd0103 0000065e 04000600 ...........^.... + 63d90 0000fd01 03000006 6b040007 73747269 ........k...stri + 63da0 6e675f61 70690018 000006f1 085f7374 ng_api......._st + 63db0 72696e67 5f696e69 74000000 00f60223 ring_init......# + 63dc0 00085f73 74726370 79000000 063d0223 .._strcpy....=.# + 63dd0 04085f73 74726e63 70790000 00064a02 .._strncpy....J. + 63de0 2308085f 7374726c 656e0000 00065702 #.._strlen....W. + 63df0 230c085f 73747263 6d700000 00066402 #.._strcmp....d. + 63e00 2310085f 7374726e 636d7000 00000671 #.._strncmp....q + 63e10 02231400 0f000003 f5140000 06fe1004 .#.............. + 63e20 00095f41 5f54494d 45525f53 50414345 .._A_TIMER_SPACE + 63e30 00000006 f109415f 74696d65 725f7400 ......A_timer_t. + 63e40 000006fe 03000007 12040002 01030000 ................ + 63e50 07280400 02010300 00073104 0009415f .(........1...A_ + 63e60 48414e44 4c450000 0003f502 0109415f HANDLE........A_ + 63e70 54494d45 525f4655 4e430000 00074803 TIMER_FUNC....H. + 63e80 0000074a 04000201 03000007 63040007 ...J........c... + 63e90 74696d65 725f6170 69001400 0007e208 timer_api....... + 63ea0 5f74696d 65725f69 6e697400 000000f6 _timer_init..... + 63eb0 02230008 5f74696d 65725f61 726d0000 .#.._timer_arm.. + 63ec0 00072a02 2304085f 74696d65 725f6469 ..*.#.._timer_di + 63ed0 7361726d 00000007 33022308 085f7469 sarm....3.#.._ti + 63ee0 6d65725f 73657466 6e000000 07650223 mer_setfn....e.# + 63ef0 0c085f74 696d6572 5f72756e 00000000 .._timer_run.... + 63f00 f6022310 0009424f 4f4c4541 4e000000 ..#...BOOLEAN... + 63f10 01770600 0007e201 03000007 ef040006 .w.............. + 63f20 000007e2 01030000 07fc0400 06000007 ................ + 63f30 e2010300 00080904 0007726f 6d705f61 ..........romp_a + 63f40 70690010 0000087b 085f726f 6d705f69 pi.....{._romp_i + 63f50 6e697400 000000f6 02230008 5f726f6d nit......#.._rom + 63f60 705f646f 776e6c6f 61640000 0007f502 p_download...... + 63f70 2304085f 726f6d70 5f696e73 74616c6c #.._romp_install + 63f80 00000008 02022308 085f726f 6d705f64 ......#.._romp_d + 63f90 65636f64 65000000 080f0223 0c000772 ecode......#...r + 63fa0 6f6d5f70 61746368 5f737400 10000008 om_patch_st..... + 63fb0 d7086372 63313600 00000177 02230008 ..crc16....w.#.. + 63fc0 6c656e00 00000177 02230208 6c645f61 len....w.#..ld_a + 63fd0 64647200 0000019a 02230408 66756e5f ddr......#..fun_ + 63fe0 61646472 00000001 9a022308 08706675 addr......#..pfu + 63ff0 6e000000 03310223 0c000765 65705f72 n....1.#...eep_r + 64000 65646972 5f616464 72000400 00090908 edir_addr....... + 64010 6f666673 65740000 00017702 23000873 offset....w.#..s + 64020 697a6500 00000177 02230200 09415f55 ize....w.#...A_U + 64030 494e5433 32000000 03f50600 0003f201 INT32........... + 64040 03000009 17040007 616c6c6f 6372616d ........allocram + 64050 5f617069 000c0000 09880863 6d6e6f73 _api.......cmnos + 64060 5f616c6c 6f637261 6d5f696e 69740000 _allocram_init.. + 64070 00091d02 23000863 6d6e6f73 5f616c6c ....#..cmnos_all + 64080 6f637261 6d000000 091d0223 0408636d ocram......#..cm + 64090 6e6f735f 616c6c6f 6372616d 5f646562 nos_allocram_deb + 640a0 75670000 0000f602 23080002 01030000 ug......#....... + 640b0 09880400 09415f54 41534b4c 45545f46 .....A_TASKLET_F + 640c0 554e4300 0000098a 075f7461 736b6c65 UNC......_taskle + 640d0 74001000 0009e908 66756e63 00000009 t.......func.... + 640e0 91022300 08617267 00000003 f2022304 ..#..arg......#. + 640f0 08737461 74650000 0000fd02 2308086e .state......#..n + 64100 65787400 000009e9 02230c00 03000009 ext......#...... + 64110 a5040003 000009a5 04000941 5f746173 ...........A_tas + 64120 6b6c6574 5f740000 0009a503 000009f7 klet_t.......... + 64130 04000201 0300000a 0f040002 01030000 ................ + 64140 0a180400 07746173 6b6c6574 5f617069 .....tasklet_api + 64150 00140000 0aad085f 7461736b 6c65745f ......._tasklet_ + 64160 696e6974 00000000 f6022300 085f7461 init......#.._ta + 64170 736b6c65 745f696e 69745f74 61736b00 sklet_init_task. + 64180 00000a11 02230408 5f746173 6b6c6574 .....#.._tasklet + 64190 5f646973 61626c65 0000000a 1a022308 _disable......#. + 641a0 085f7461 736b6c65 745f7363 68656475 ._tasklet_schedu + 641b0 6c650000 000a1a02 230c085f 7461736b le......#.._task + 641c0 6c65745f 72756e00 000000f6 02231000 let_run......#.. + 641d0 02010300 000aad04 00060000 09090103 ................ + 641e0 00000ab6 04000201 0300000a c3040007 ................ + 641f0 636c6f63 6b5f6170 69002400 000ba508 clock_api.$..... + 64200 5f636c6f 636b5f69 6e697400 00000aaf _clock_init..... + 64210 02230008 5f636c6f 636b7265 67735f69 .#.._clockregs_i + 64220 6e697400 000000f6 02230408 5f756172 nit......#.._uar + 64230 745f6672 65717565 6e637900 00000abc t_frequency..... + 64240 02230808 5f64656c 61795f75 73000000 .#.._delay_us... + 64250 0ac50223 0c085f77 6c616e5f 62616e64 ...#.._wlan_band + 64260 5f736574 0000000a c5022310 085f7265 _set......#.._re + 64270 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 64280 000abc02 2314085f 6d696c6c 69736563 ....#.._millisec + 64290 6f6e6473 0000000a bc022318 085f7379 onds......#.._sy + 642a0 73636c6b 5f636861 6e676500 000000f6 sclk_change..... + 642b0 02231c08 5f636c6f 636b5f74 69636b00 .#.._clock_tick. + 642c0 000000f6 02232000 06000001 9a010300 .....# ......... + 642d0 000ba504 0009415f 6f6c645f 696e7472 ......A_old_intr + 642e0 5f740000 00019a06 00000bb2 01030000 _t.............. + 642f0 0bc40400 02010300 000bd104 00020103 ................ + 64300 00000bda 04000600 00019a01 0300000b ................ + 64310 e3040009 415f6973 725f7400 00000be9 ....A_isr_t..... + 64320 02010300 000bfd04 00060000 03f50103 ................ + 64330 00000c06 04000201 0300000c 13040007 ................ + 64340 696e7472 5f617069 002c0000 0d35085f intr_api.,...5._ + 64350 696e7472 5f696e69 74000000 00f60223 intr_init......# + 64360 00085f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i + 64370 73720000 000bab02 2304085f 696e7472 sr......#.._intr + 64380 5f646973 61626c65 0000000b ca022308 _disable......#. + 64390 085f696e 74725f72 6573746f 72650000 ._intr_restore.. + 643a0 000bd302 230c085f 696e7472 5f6d6173 ....#.._intr_mas + 643b0 6b5f696e 756d0000 000bdc02 2310085f k_inum......#.._ + 643c0 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum + 643d0 0000000b dc022314 085f696e 74725f61 ......#.._intr_a + 643e0 74746163 685f6973 72000000 0bff0223 ttach_isr......# + 643f0 18085f67 65745f69 6e747265 6e61626c .._get_intrenabl + 64400 65000000 0c0c0223 1c085f73 65745f69 e......#.._set_i + 64410 6e747265 6e61626c 65000000 0c150223 ntrenable......# + 64420 20085f67 65745f69 6e747270 656e6469 ._get_intrpendi + 64430 6e670000 000c0c02 2324085f 756e626c ng......#$._unbl + 64440 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. + 64450 000000f6 02232800 11040000 0d5b0874 .....#(......[.t + 64460 696d656f 75740000 00019a02 23000861 imeout......#..a + 64470 6374696f 6e000000 019a0223 00001208 ction......#.... + 64480 00000d76 08636d64 00000001 9a022300 ...v.cmd......#. + 64490 1300000d 35022304 0009545f 5744545f ....5.#...T_WDT_ + 644a0 434d4400 00000d5b 02010300 000d8504 CMD....[........ + 644b0 00140400 000ddb0e 454e554d 5f574454 ........ENUM_WDT + 644c0 5f424f4f 5400010e 454e554d 5f434f4c _BOOT...ENUM_COL + 644d0 445f424f 4f540002 0e454e55 4d5f5355 D_BOOT...ENUM_SU + 644e0 53505f42 4f4f5400 030e454e 554d5f55 SP_BOOT...ENUM_U + 644f0 4e4b4e4f 574e5f42 4f4f5400 04000954 NKNOWN_BOOT....T + 64500 5f424f4f 545f5459 50450000 000d8e06 _BOOT_TYPE...... + 64510 00000ddb 01030000 0dec0400 07776474 .............wdt + 64520 5f617069 001c0000 0e90085f 7764745f _api......._wdt_ + 64530 696e6974 00000000 f6022300 085f7764 init......#.._wd + 64540 745f656e 61626c65 00000000 f6022304 t_enable......#. + 64550 085f7764 745f6469 7361626c 65000000 ._wdt_disable... + 64560 00f60223 08085f77 64745f73 65740000 ...#.._wdt_set.. + 64570 000d8702 230c085f 7764745f 7461736b ....#.._wdt_task + 64580 00000000 f6022310 085f7764 745f7265 ......#.._wdt_re + 64590 73657400 000000f6 02231408 5f776474 set......#.._wdt + 645a0 5f6c6173 745f626f 6f740000 000df202 _last_boot...... + 645b0 23180014 0400000e f70e5245 545f5355 #.........RET_SU + 645c0 43434553 5300000e 5245545f 4e4f545f CCESS...RET_NOT_ + 645d0 494e4954 00010e52 45545f4e 4f545f45 INIT...RET_NOT_E + 645e0 58495354 00020e52 45545f45 45505f43 XIST...RET_EEP_C + 645f0 4f525255 50540003 0e524554 5f454550 ORRUPT...RET_EEP + 64600 5f4f5645 52464c4f 5700040e 5245545f _OVERFLOW...RET_ + 64610 554e4b4e 4f574e00 05000954 5f454550 UNKNOWN....T_EEP + 64620 5f524554 0000000e 90030000 01770400 _RET.........w.. + 64630 0600000e f7010300 000f0d04 00060000 ................ + 64640 0ef70103 00000f1a 04000765 65705f61 ...........eep_a + 64650 70690010 00000f83 085f6565 705f696e pi......._eep_in + 64660 69740000 0000f602 2300085f 6565705f it......#.._eep_ + 64670 72656164 0000000f 13022304 085f6565 read......#.._ee + 64680 705f7772 69746500 00000f13 02230808 p_write......#.. + 64690 5f656570 5f69735f 65786973 74000000 _eep_is_exist... + 646a0 0f200223 0c000775 73625f61 70690070 . .#...usb_api.p + 646b0 00001230 085f7573 625f696e 69740000 ...0._usb_init.. + 646c0 0000f602 2300085f 7573625f 726f6d5f ....#.._usb_rom_ + 646d0 7461736b 00000000 f6022304 085f7573 task......#.._us + 646e0 625f6677 5f746173 6b000000 00f60223 b_fw_task......# + 646f0 08085f75 73625f69 6e69745f 70687900 .._usb_init_phy. + 64700 000000f6 02230c08 5f757362 5f657030 .....#.._usb_ep0 + 64710 5f736574 75700000 0000f602 2310085f _setup......#.._ + 64720 7573625f 6570305f 74780000 0000f602 usb_ep0_tx...... + 64730 2314085f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. + 64740 0000f602 2318085f 7573625f 6765745f ....#.._usb_get_ + 64750 696e7465 72666163 65000000 08020223 interface......# + 64760 1c085f75 73625f73 65745f69 6e746572 .._usb_set_inter + 64770 66616365 00000008 02022320 085f7573 face......# ._us + 64780 625f6765 745f636f 6e666967 75726174 b_get_configurat + 64790 696f6e00 00000802 02232408 5f757362 ion......#$._usb + 647a0 5f736574 5f636f6e 66696775 72617469 _set_configurati + 647b0 6f6e0000 00080202 2328085f 7573625f on......#(._usb_ + 647c0 7374616e 64617264 5f636d64 00000008 standard_cmd.... + 647d0 0202232c 085f7573 625f7665 6e646f72 ..#,._usb_vendor + 647e0 5f636d64 00000000 f6022330 085f7573 _cmd......#0._us + 647f0 625f706f 7765725f 6f666600 000000f6 b_power_off..... + 64800 02233408 5f757362 5f726573 65745f66 .#4._usb_reset_f + 64810 69666f00 000000f6 02233808 5f757362 ifo......#8._usb + 64820 5f67656e 5f776474 00000000 f602233c _gen_wdt......#< + 64830 085f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. + 64840 000000f6 02234008 5f757362 5f636c72 .....#@._usb_clr + 64850 5f666561 74757265 00000008 02022344 _feature......#D + 64860 085f7573 625f7365 745f6665 61747572 ._usb_set_featur + 64870 65000000 08020223 48085f75 73625f73 e......#H._usb_s + 64880 65745f61 64647265 73730000 00080202 et_address...... + 64890 234c085f 7573625f 6765745f 64657363 #L._usb_get_desc + 648a0 72697074 6f720000 00080202 2350085f riptor......#P._ + 648b0 7573625f 6765745f 73746174 75730000 usb_get_status.. + 648c0 00080202 2354085f 7573625f 73657475 ....#T._usb_setu + 648d0 705f6465 73630000 0000f602 2358085f p_desc......#X._ + 648e0 7573625f 7265675f 6f757400 000000f6 usb_reg_out..... + 648f0 02235c08 5f757362 5f737461 7475735f .#\._usb_status_ + 64900 696e0000 0000f602 2360085f 7573625f in......#`._usb_ + 64910 6570305f 74785f64 61746100 000000f6 ep0_tx_data..... + 64920 02236408 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ + 64930 64617461 00000000 f6022368 085f7573 data......#h._us + 64940 625f636c 6b5f696e 69740000 0000f602 b_clk_init...... + 64950 236c0007 5f564445 53430024 000012bc #l.._VDESC.$.... + 64960 086e6578 745f6465 73630000 0012bc02 .next_desc...... + 64970 23000862 75665f61 64647200 000012d0 #..buf_addr..... + 64980 02230408 6275665f 73697a65 00000012 .#..buf_size.... + 64990 d7022308 08646174 615f6f66 66736574 ..#..data_offset + 649a0 00000012 d702230a 08646174 615f7369 ......#..data_si + 649b0 7a650000 0012d702 230c0863 6f6e7472 ze......#..contr + 649c0 6f6c0000 0012d702 230e0868 775f6465 ol......#..hw_de + 649d0 73635f62 75660000 0012e502 23100003 sc_buf......#... + 649e0 00001230 04000941 5f55494e 54380000 ...0...A_UINT8.. + 649f0 00030a03 000012c3 04000941 5f55494e ...........A_UIN + 64a00 54313600 00000161 0f000012 c3140000 T16....a........ + 64a10 12f21013 00030000 12300400 09564445 .........0...VDE + 64a20 53430000 00123003 000012f9 04000600 SC....0......... + 64a30 00130401 03000013 0b040006 000012d0 ................ + 64a40 01030000 13180400 02010300 00132504 ..............%. + 64a50 00077664 6573635f 61706900 14000013 ..vdesc_api..... + 64a60 9d085f69 6e697400 00000ac5 02230008 .._init......#.. + 64a70 5f616c6c 6f635f76 64657363 00000013 _alloc_vdesc.... + 64a80 11022304 085f6765 745f6877 5f646573 ..#.._get_hw_des + 64a90 63000000 131e0223 08085f73 7761705f c......#.._swap_ + 64aa0 76646573 63000000 13270223 0c087052 vdesc....'.#..pR + 64ab0 65736572 76656400 000003f2 02231000 eserved......#.. + 64ac0 075f5642 55460020 000013fd 08646573 ._VBUF. .....des + 64ad0 635f6c69 73740000 00130402 2300086e c_list......#..n + 64ae0 6578745f 62756600 000013fd 02230408 ext_buf......#.. + 64af0 6275665f 6c656e67 74680000 0012d702 buf_length...... + 64b00 23080872 65736572 76656400 00001404 #..reserved..... + 64b10 02230a08 63747800 000012e5 02230c00 .#..ctx......#.. + 64b20 03000013 9d04000f 000012c3 02000014 ................ + 64b30 11100100 03000013 9d040009 56425546 ............VBUF + 64b40 00000013 9d030000 14180400 06000014 ................ + 64b50 22010300 00142904 00060000 14220103 ".....)......".. + 64b60 00001436 04000201 03000014 43040007 ...6........C... + 64b70 76627566 5f617069 00140000 14c1085f vbuf_api......._ + 64b80 696e6974 0000000a c5022300 085f616c init......#.._al + 64b90 6c6f635f 76627566 00000014 2f022304 loc_vbuf..../.#. + 64ba0 085f616c 6c6f635f 76627566 5f776974 ._alloc_vbuf_wit + 64bb0 685f7369 7a650000 00143c02 2308085f h_size....<.#.._ + 64bc0 66726565 5f766275 66000000 14450223 free_vbuf....E.# + 64bd0 0c087052 65736572 76656400 000003f2 ..pReserved..... + 64be0 02231000 075f5f61 64665f64 65766963 .#...__adf_devic + 64bf0 65000400 0014e308 64756d6d 79000000 e.......dummy... + 64c00 00fd0223 00000300 00090904 00075f5f ...#..........__ + 64c10 6164665f 646d615f 6d617000 0c000015 adf_dma_map..... + 64c20 2a086275 66000000 14220223 00086473 *.buf....".#..ds + 64c30 5f616464 72000000 14e30223 04086473 _addr......#..ds + 64c40 5f6c656e 00000012 d7022308 00120c00 _len......#..... + 64c50 00156408 5f5f7661 5f73746b 00000003 ..d.__va_stk.... + 64c60 60022300 085f5f76 615f7265 67000000 `.#..__va_reg... + 64c70 03600223 04085f5f 76615f6e 64780000 .`.#..__va_ndx.. + 64c80 0000fd02 23080009 5f5f6164 665f6f73 ....#...__adf_os + 64c90 5f646d61 5f616464 725f7400 00000909 _dma_addr_t..... + 64ca0 09616466 5f6f735f 646d615f 61646472 .adf_os_dma_addr + 64cb0 5f740000 00156409 5f5f6164 665f6f73 _t....d.__adf_os + 64cc0 5f646d61 5f73697a 655f7400 00000909 _dma_size_t..... + 64cd0 09616466 5f6f735f 646d615f 73697a65 .adf_os_dma_size + 64ce0 5f740000 00159407 5f5f646d 615f7365 _t......__dma_se + 64cf0 67730008 000015f0 08706164 64720000 gs.......paddr.. + 64d00 00157d02 2300086c 656e0000 0015ad02 ..}.#..len...... + 64d10 23040009 5f5f615f 75696e74 33325f74 #...__a_uint32_t + 64d20 00000009 0909615f 75696e74 33325f74 ......a_uint32_t + 64d30 00000015 f00f0000 15c40800 00161f10 ................ + 64d40 00000761 64665f6f 735f646d 616d6170 ...adf_os_dmamap + 64d50 5f696e66 6f000c00 00165808 6e736567 _info.....X.nseg + 64d60 73000000 16020223 0008646d 615f7365 s......#..dma_se + 64d70 67730000 00161202 23040009 5f5f615f gs......#...__a_ + 64d80 75696e74 385f7400 000012c3 09615f75 uint8_t......a_u + 64d90 696e7438 5f740000 00165803 00001669 int8_t....X....i + 64da0 0400075f 5f73675f 73656773 00080000 ...__sg_segs.... + 64db0 16aa0876 61646472 00000016 78022300 ...vaddr....x.#. + 64dc0 086c656e 00000016 02022304 000f0000 .len......#..... + 64dd0 167f2000 0016b710 03000761 64665f6f .. ........adf_o + 64de0 735f7367 6c697374 00240000 16ea086e s_sglist.$.....n + 64df0 73656773 00000016 02022300 0873675f segs......#..sg_ + 64e00 73656773 00000016 aa022304 00121000 segs......#..... + 64e10 00173308 76656e64 6f720000 00160202 ..3.vendor...... + 64e20 23000864 65766963 65000000 16020223 #..device......# + 64e30 04087375 6276656e 646f7200 00001602 ..subvendor..... + 64e40 02230808 73756264 65766963 65000000 .#..subdevice... + 64e50 16020223 0c00046c 6f6e6720 6c6f6e67 ...#...long long + 64e60 20756e73 69676e65 6420696e 74000708 unsigned int... + 64e70 09415f55 494e5436 34000000 1733095f .A_UINT64....3._ + 64e80 5f615f75 696e7436 345f7400 0000174d _a_uint64_t....M + 64e90 09615f75 696e7436 345f7400 0000175b .a_uint64_t....[ + 64ea0 14040000 17b90e41 44465f4f 535f5245 .......ADF_OS_RE + 64eb0 534f5552 43455f54 5950455f 4d454d00 SOURCE_TYPE_MEM. + 64ec0 000e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC + 64ed0 455f5459 50455f49 4f000100 09616466 E_TYPE_IO....adf + 64ee0 5f6f735f 7265736f 75726365 5f747970 _os_resource_typ + 64ef0 655f7400 0000177d 12180000 18030873 e_t....}.......s + 64f00 74617274 00000017 6d022300 08656e64 tart....m.#..end + 64f10 00000017 6d022308 08747970 65000000 ....m.#..type... + 64f20 17b90223 10000961 64665f6f 735f7063 ...#...adf_os_pc + 64f30 695f6465 765f6964 5f740000 0016ea03 i_dev_id_t...... + 64f40 00001803 04001104 00001842 08706369 ...........B.pci + 64f50 00000018 1c022300 08726177 00000003 ......#..raw.... + 64f60 f2022300 00111000 00186108 70636900 ..#.......a.pci. + 64f70 00001803 02230008 72617700 000003f2 .....#..raw..... + 64f80 02230000 09616466 5f647276 5f68616e .#...adf_drv_han + 64f90 646c655f 74000000 03f20961 64665f6f dle_t......adf_o + 64fa0 735f7265 736f7572 63655f74 00000017 s_resource_t.... + 64fb0 d5030000 18770400 09616466 5f6f735f .....w...adf_os_ + 64fc0 61747461 63685f64 6174615f 74000000 attach_data_t... + 64fd0 18420300 00189504 00030000 14c10400 .B.............. + 64fe0 095f5f61 64665f6f 735f6465 76696365 .__adf_os_device + 64ff0 5f740000 0018b609 6164665f 6f735f64 _t......adf_os_d + 65000 65766963 655f7400 000018bd 06000018 evice_t......... + 65010 61010300 0018e904 00020103 000018f6 a............... + 65020 04000961 64665f6f 735f706d 5f740000 ...adf_os_pm_t.. + 65030 0003f202 01030000 19100400 14040000 ................ + 65040 19500e41 44465f4f 535f4255 535f5459 .P.ADF_OS_BUS_TY + 65050 50455f50 43490001 0e414446 5f4f535f PE_PCI...ADF_OS_ + 65060 4255535f 54595045 5f47454e 45524943 BUS_TYPE_GENERIC + 65070 00020009 6164665f 6f735f62 75735f74 ....adf_os_bus_t + 65080 7970655f 74000000 19190961 64665f6f ype_t......adf_o + 65090 735f6275 735f7265 675f6461 74615f74 s_bus_reg_data_t + 650a0 00000018 23030000 030a0400 075f6164 ....#........_ad + 650b0 665f6472 765f696e 666f0020 00001a2d f_drv_info. ...- + 650c0 08647276 5f617474 61636800 000018ef .drv_attach..... + 650d0 02230008 6472765f 64657461 63680000 .#..drv_detach.. + 650e0 0018f802 23040864 72765f73 75737065 ....#..drv_suspe + 650f0 6e640000 00191202 23080864 72765f72 nd......#..drv_r + 65100 6573756d 65000000 18f80223 0c086275 esume......#..bu + 65110 735f7479 70650000 00195002 23100862 s_type....P.#..b + 65120 75735f64 61746100 00001967 02231408 us_data....g.#.. + 65130 6d6f645f 6e616d65 00000019 82022318 mod_name......#. + 65140 0869666e 616d6500 00001982 02231c00 .ifname......#.. + 65150 09616466 5f6f735f 68616e64 6c655f74 .adf_os_handle_t + 65160 00000003 f2030000 16580400 02010201 .........X...... + 65170 095f5f61 64665f6f 735f7369 7a655f74 .__adf_os_size_t + 65180 00000003 f5140400 001a7c0e 415f4641 ..........|.A_FA + 65190 4c534500 000e415f 54525545 00010009 LSE...A_TRUE.... + 651a0 615f626f 6f6c5f74 0000001a 62030000 a_bool_t....b... + 651b0 14ea0400 095f5f61 64665f6f 735f646d .....__adf_os_dm + 651c0 615f6d61 705f7400 00001a8a 02010d61 a_map_t........a + 651d0 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 651e0 00040000 1b140e41 44465f53 594e435f .......ADF_SYNC_ + 651f0 50524552 45414400 000e4144 465f5359 PREREAD...ADF_SY + 65200 4e435f50 52455752 49544500 020e4144 NC_PREWRITE...AD + 65210 465f5359 4e435f50 4f535452 45414400 F_SYNC_POSTREAD. + 65220 010e4144 465f5359 4e435f50 4f535457 ..ADF_SYNC_POSTW + 65230 52495445 00030009 6164665f 6f735f63 RITE....adf_os_c + 65240 61636865 5f73796e 635f7400 00001aab ache_sync_t..... + 65250 02010961 64665f6f 735f7369 7a655f74 ...adf_os_size_t + 65260 0000001a 4d060000 1b2f0109 6164665f ....M..../..adf_ + 65270 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... + 65280 91030000 1b480400 06000003 f2010300 .....H.......... + 65290 001a9104 00060000 03f20102 01060000 ................ + 652a0 157d0102 01047368 6f727420 696e7400 .}....short int. + 652b0 05020941 5f494e54 31360000 001b8209 ...A_INT16...... + 652c0 5f5f615f 696e7431 365f7400 00001b8f __a_int16_t..... + 652d0 09615f69 6e743136 5f740000 001b9c04 .a_int16_t...... + 652e0 7369676e 65642063 68617200 05010941 signed char....A + 652f0 5f494e54 38000000 1bbc095f 5f615f69 _INT8......__a_i + 65300 6e74385f 74000000 1bcb0961 5f696e74 nt8_t......a_int + 65310 385f7400 00001bd7 120c0000 1c4e0873 8_t..........N.s + 65320 7570706f 72746564 00000016 02022300 upported......#. + 65330 08616476 65727469 7a656400 00001602 .advertized..... + 65340 02230408 73706565 64000000 1bad0223 .#..speed......# + 65350 08086475 706c6578 0000001b e702230a ..duplex......#. + 65360 08617574 6f6e6567 00000016 6902230b .autoneg....i.#. + 65370 000f0000 16690600 001c5b10 05000761 .....i....[....a + 65380 64665f6e 65745f65 74686164 64720006 df_net_ethaddr.. + 65390 00001c7f 08616464 72000000 1c4e0223 .....addr....N.# + 653a0 0000095f 5f615f75 696e7431 365f7400 ...__a_uint16_t. + 653b0 000012d7 09615f75 696e7431 365f7400 .....a_uint16_t. + 653c0 00001c7f 120e0000 1ce30865 74686572 ...........ether + 653d0 5f64686f 73740000 001c4e02 23000865 _dhost....N.#..e + 653e0 74686572 5f73686f 73740000 001c4e02 ther_shost....N. + 653f0 23060865 74686572 5f747970 65000000 #..ether_type... + 65400 1c910223 0c001214 00001da4 1569705f ...#.........ip_ + 65410 76657273 696f6e00 00001669 01000402 version....i.... + 65420 23001569 705f686c 00000016 69010404 #..ip_hl....i... + 65430 02230008 69705f74 6f730000 00166902 .#..ip_tos....i. + 65440 23010869 705f6c65 6e000000 1c910223 #..ip_len......# + 65450 02086970 5f696400 00001c91 02230408 ..ip_id......#.. + 65460 69705f66 7261675f 6f666600 00001c91 ip_frag_off..... + 65470 02230608 69705f74 746c0000 00166902 .#..ip_ttl....i. + 65480 23080869 705f7072 6f746f00 00001669 #..ip_proto....i + 65490 02230908 69705f63 6865636b 0000001c .#..ip_check.... + 654a0 9102230a 0869705f 73616464 72000000 ..#..ip_saddr... + 654b0 16020223 0c086970 5f646164 64720000 ...#..ip_daddr.. + 654c0 00160202 23100007 6164665f 6e65745f ....#...adf_net_ + 654d0 766c616e 68647200 0400001d f6087470 vlanhdr.......tp + 654e0 69640000 001c9102 23001570 72696f00 id......#..prio. + 654f0 00001669 01000302 23021563 66690000 ...i....#..cfi.. + 65500 00166901 03010223 02157669 64000000 ..i....#..vid... + 65510 1c910204 0c022302 00076164 665f6e65 ......#...adf_ne + 65520 745f7669 64000200 001e2715 72657300 t_vid.....'.res. + 65530 00001669 01000402 23001576 616c0000 ...i....#..val.. + 65540 001c9102 040c0223 0000120c 00001e63 .......#.......c + 65550 0872785f 62756673 697a6500 00001602 .rx_bufsize..... + 65560 02230008 72785f6e 64657363 00000016 .#..rx_ndesc.... + 65570 02022304 0874785f 6e646573 63000000 ..#..tx_ndesc... + 65580 16020223 08001208 00001e89 08706f6c ...#.........pol + 65590 6c656400 00001a7c 02230008 706f6c6c led....|.#..poll + 655a0 5f777400 00001602 02230400 0f000016 _wt......#...... + 655b0 69400000 1e96103f 00124600 001ebe08 i@.....?..F..... + 655c0 69665f6e 616d6500 00001e89 02230008 if_name......#.. + 655d0 6465765f 61646472 0000001c 4e022340 dev_addr....N.#@ + 655e0 00140400 001ef50e 4144465f 4f535f44 ........ADF_OS_D + 655f0 4d415f4d 41534b5f 33324249 5400000e MA_MASK_32BIT... + 65600 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 65610 36344249 54000100 09616466 5f6f735f 64BIT....adf_os_ + 65620 646d615f 6d61736b 5f740000 001ebe07 dma_mask_t...... + 65630 6164665f 646d615f 696e666f 00080000 adf_dma_info.... + 65640 1f420864 6d615f6d 61736b00 00001ef5 .B.dma_mask..... + 65650 02230008 73675f6e 73656773 00000016 .#..sg_nsegs.... + 65660 02022304 00140400 001f980e 4144465f ..#.........ADF_ + 65670 4e45545f 434b5355 4d5f4e4f 4e450000 NET_CKSUM_NONE.. + 65680 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 65690 43505f55 44505f49 50763400 010e4144 CP_UDP_IPv4...AD + 656a0 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 656b0 5544505f 49507636 00020009 6164665f UDP_IPv6....adf_ + 656c0 6e65745f 636b7375 6d5f7479 70655f74 net_cksum_type_t + 656d0 0000001f 42120800 001fdb08 74785f63 ....B.......tx_c + 656e0 6b73756d 0000001f 98022300 0872785f ksum......#..rx_ + 656f0 636b7375 6d000000 1f980223 04000961 cksum......#...a + 65700 64665f6e 65745f63 6b73756d 5f696e66 df_net_cksum_inf + 65710 6f5f7400 00001fb2 14040000 20340e41 o_t......... 4.A + 65720 44465f4e 45545f54 534f5f4e 4f4e4500 DF_NET_TSO_NONE. + 65730 000e4144 465f4e45 545f5453 4f5f4950 ..ADF_NET_TSO_IP + 65740 56340001 0e414446 5f4e4554 5f54534f V4...ADF_NET_TSO + 65750 5f414c4c 00020009 6164665f 6e65745f _ALL....adf_net_ + 65760 74736f5f 74797065 5f740000 001ff512 tso_type_t...... + 65770 10000020 8808636b 73756d5f 63617000 ... ..cksum_cap. + 65780 00001fdb 02230008 74736f00 00002034 .....#..tso... 4 + 65790 02230808 766c616e 5f737570 706f7274 .#..vlan_support + 657a0 65640000 00166902 230c0012 20000021 ed....i.#... ..! + 657b0 21087478 5f706163 6b657473 00000016 !.tx_packets.... + 657c0 02022300 0872785f 7061636b 65747300 ..#..rx_packets. + 657d0 00001602 02230408 74785f62 79746573 .....#..tx_bytes + 657e0 00000016 02022308 0872785f 62797465 ......#..rx_byte + 657f0 73000000 16020223 0c087478 5f64726f s......#..tx_dro + 65800 70706564 00000016 02022310 0872785f pped......#..rx_ + 65810 64726f70 70656400 00001602 02231408 dropped......#.. + 65820 72785f65 72726f72 73000000 16020223 rx_errors......# + 65830 18087478 5f657272 6f727300 00001602 ..tx_errors..... + 65840 02231c00 09616466 5f6e6574 5f657468 .#...adf_net_eth + 65850 61646472 5f740000 001c5b16 00002121 addr_t....[...!! + 65860 03000000 2146107f 00176164 665f6e65 ....!F....adf_ne + 65870 745f636d 645f6d63 61646472 00030400 t_cmd_mcaddr.... + 65880 00217d08 6e656c65 6d000000 16020223 .!}.nelem......# + 65890 00086d63 61737400 00002138 02230400 ..mcast...!8.#.. + 658a0 09616466 5f6e6574 5f636d64 5f6c696e .adf_net_cmd_lin + 658b0 6b5f696e 666f5f74 0000001b f5096164 k_info_t......ad + 658c0 665f6e65 745f636d 645f706f 6c6c5f69 f_net_cmd_poll_i + 658d0 6e666f5f 74000000 1e630961 64665f6e nfo_t....c.adf_n + 658e0 65745f63 6d645f63 6b73756d 5f696e66 et_cmd_cksum_inf + 658f0 6f5f7400 00001fdb 09616466 5f6e6574 o_t......adf_net + 65900 5f636d64 5f72696e 675f696e 666f5f74 _cmd_ring_info_t + 65910 0000001e 27096164 665f6e65 745f636d ....'.adf_net_cm + 65920 645f646d 615f696e 666f5f74 0000001f d_dma_info_t.... + 65930 0c096164 665f6e65 745f636d 645f7669 ..adf_net_cmd_vi + 65940 645f7400 00001c91 09616466 5f6e6574 d_t......adf_net + 65950 5f636d64 5f6f6666 6c6f6164 5f636170 _cmd_offload_cap + 65960 5f740000 00204c09 6164665f 6e65745f _t... L.adf_net_ + 65970 636d645f 73746174 735f7400 00002088 cmd_stats_t... . + 65980 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 65990 6464725f 74000000 21460d61 64665f6e ddr_t...!F.adf_n + 659a0 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 659b0 00040000 22bf0e41 44465f4e 45545f4d ...."..ADF_NET_M + 659c0 43415354 5f535550 00000e41 44465f4e CAST_SUP...ADF_N + 659d0 45545f4d 43415354 5f4e4f54 53555000 ET_MCAST_NOTSUP. + 659e0 01000961 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m + 659f0 63617374 5f636170 5f740000 00227718 cast_cap_t..."w. + 65a00 03040000 2391086c 696e6b5f 696e666f ....#..link_info + 65a10 00000021 7d022300 08706f6c 6c5f696e ...!}.#..poll_in + 65a20 666f0000 00219a02 23000863 6b73756d fo...!..#..cksum + 65a30 5f696e66 6f000000 21b70223 00087269 _info...!..#..ri + 65a40 6e675f69 6e666f00 000021d5 02230008 ng_info...!..#.. + 65a50 646d615f 696e666f 00000021 f2022300 dma_info...!..#. + 65a60 08766964 00000022 0e022300 086f6666 .vid..."..#..off + 65a70 6c6f6164 5f636170 00000022 25022300 load_cap..."%.#. + 65a80 08737461 74730000 00224402 2300086d .stats..."D.#..m + 65a90 63617374 5f696e66 6f000000 225d0223 cast_info..."].# + 65aa0 00086d63 6173745f 63617000 000022bf ..mcast_cap...". + 65ab0 02230000 14040000 23e80e41 44465f4e .#......#..ADF_N + 65ac0 4255465f 52585f43 4b53554d 5f4e4f4e BUF_RX_CKSUM_NON + 65ad0 4500000e 4144465f 4e425546 5f52585f E...ADF_NBUF_RX_ + 65ae0 434b5355 4d5f4857 00010e41 44465f4e CKSUM_HW...ADF_N + 65af0 4255465f 52585f43 4b53554d 5f554e4e BUF_RX_CKSUM_UNN + 65b00 45434553 53415259 00020009 6164665f ECESSARY....adf_ + 65b10 6e627566 5f72785f 636b7375 6d5f7479 nbuf_rx_cksum_ty + 65b20 70655f74 00000023 91120800 00242808 pe_t...#.....$(. + 65b30 72657375 6c740000 0023e802 23000876 result...#..#..v + 65b40 616c0000 00160202 23040012 08000024 al......#......$ + 65b50 58087479 70650000 00203402 2300086d X.type... 4.#..m + 65b60 73730000 001c9102 23040868 64725f6f ss......#..hdr_o + 65b70 66660000 00166902 23060007 5f5f6164 ff....i.#...__ad + 65b80 665f6e62 75665f71 68656164 000c0000 f_nbuf_qhead.... + 65b90 24970868 65616400 00001422 02230008 $..head....".#.. + 65ba0 7461696c 00000014 22022304 08716c65 tail....".#..qle + 65bb0 6e000000 16020223 0800095f 5f616466 n......#...__adf + 65bc0 5f6e6275 665f7400 00001422 03000016 _nbuf_t....".... + 65bd0 78040003 00001602 04000201 06000013 x............... + 65be0 04010600 00160201 06000016 78010600 ............x... + 65bf0 00167801 03000012 e5040009 5f5f6164 ..x.........__ad + 65c00 665f6e62 75665f71 68656164 5f740000 f_nbuf_qhead_t.. + 65c10 00245809 5f5f6164 665f6e62 75665f71 .$X.__adf_nbuf_q + 65c20 75657565 5f740000 0024d803 000024f0 ueue_t...$....$. + 65c30 04000600 00249701 06000024 97011404 .....$.....$.... + 65c40 00002610 0e415f53 54415455 535f4f4b ..&..A_STATUS_OK + 65c50 00000e41 5f535441 5455535f 4641494c ...A_STATUS_FAIL + 65c60 45440001 0e415f53 54415455 535f454e ED...A_STATUS_EN + 65c70 4f454e54 00020e41 5f535441 5455535f OENT...A_STATUS_ + 65c80 454e4f4d 454d0003 0e415f53 54415455 ENOMEM...A_STATU + 65c90 535f4549 4e56414c 00040e41 5f535441 S_EINVAL...A_STA + 65ca0 5455535f 45494e50 524f4752 45535300 TUS_EINPROGRESS. + 65cb0 050e415f 53544154 55535f45 4e4f5453 ..A_STATUS_ENOTS + 65cc0 55505000 060e415f 53544154 55535f45 UPP...A_STATUS_E + 65cd0 42555359 00070e41 5f535441 5455535f BUSY...A_STATUS_ + 65ce0 45324249 4700080e 415f5354 41545553 E2BIG...A_STATUS + 65cf0 5f454144 44524e4f 54415641 494c0009 _EADDRNOTAVAIL.. + 65d00 0e415f53 54415455 535f454e 58494f00 .A_STATUS_ENXIO. + 65d10 0a0e415f 53544154 55535f45 4641554c ..A_STATUS_EFAUL + 65d20 54000b0e 415f5354 41545553 5f45494f T...A_STATUS_EIO + 65d30 000c0009 615f7374 61747573 5f740000 ....a_status_t.. + 65d40 00251b06 00002610 01060000 00fd0102 .%....&......... + 65d50 01096164 665f6e62 75665f74 00000024 ..adf_nbuf_t...$ + 65d60 97140400 0026750e 4144465f 4f535f44 .....&u.ADF_OS_D + 65d70 4d415f54 4f5f4445 56494345 00000e41 MA_TO_DEVICE...A + 65d80 44465f4f 535f444d 415f4652 4f4d5f44 DF_OS_DMA_FROM_D + 65d90 45564943 45000100 09616466 5f6f735f EVICE....adf_os_ + 65da0 646d615f 6469725f 74000000 263e0600 dma_dir_t...&>.. + 65db0 00261001 02010961 64665f6f 735f646d .&.....adf_os_dm + 65dc0 616d6170 5f696e66 6f5f7400 0000161f amap_info_t..... + 65dd0 03000026 93040002 01020106 0000262e ...&..........&. + 65de0 01060000 24970102 01020106 0000262e ....$.........&. + 65df0 01060000 24970106 0000262e 01060000 ....$.....&..... + 65e00 24970106 0000262e 01020102 01060000 $.....&......... + 65e10 16020106 00001678 01020102 01060000 .......x........ + 65e20 1b2f0106 00001a7c 01060000 1a7c0109 ./.....|.....|.. + 65e30 6164665f 6f735f73 676c6973 745f7400 adf_os_sglist_t. + 65e40 000016b7 03000027 0c040002 01020102 .......'........ + 65e50 01060000 16780109 6164665f 6e627566 .....x..adf_nbuf + 65e60 5f717565 75655f74 00000024 f0030000 _queue_t...$.... + 65e70 27340400 02010300 0024d804 00020102 '4.......$...... + 65e80 01020106 0000262e 01060000 24970106 ......&.....$... + 65e90 00001602 01060000 16020106 00001a7c ...............| + 65ea0 01060000 1a7c0106 00001f98 01060000 .....|.......... + 65eb0 16020109 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 65ec0 636b7375 6d5f7400 00002406 03000027 cksum_t...$....' + 65ed0 90040002 01020109 6164665f 6e627566 ........adf_nbuf + 65ee0 5f74736f 5f740000 00242803 000027b4 _tso_t...$(...'. + 65ef0 04000201 02010961 64665f6e 65745f68 .......adf_net_h + 65f00 616e646c 655f7400 000003f2 09616466 andle_t......adf + 65f10 5f6e6574 5f766c61 6e686472 5f740000 _net_vlanhdr_t.. + 65f20 001da403 000027e9 04000600 00261001 ......'......&.. + 65f30 06000026 10010201 0201075f 4849465f ...&......._HIF_ + 65f40 434f4e46 49470004 00002838 0864756d CONFIG....(8.dum + 65f50 6d790000 0000fd02 23000002 01030000 my......#....... + 65f60 28380400 02010300 00284104 00075f48 (8.......(A..._H + 65f70 49465f43 414c4c42 41434b00 0c000028 IF_CALLBACK....( + 65f80 96087365 6e645f62 75665f64 6f6e6500 ..send_buf_done. + 65f90 0000283a 02230008 72656376 5f627566 ..(:.#..recv_buf + 65fa0 00000028 43022304 08636f6e 74657874 ...(C.#..context + 65fb0 00000003 f2022308 00096869 665f6861 ......#...hif_ha + 65fc0 6e646c65 5f740000 0003f209 4849465f ndle_t......HIF_ + 65fd0 434f4e46 49470000 00281703 000028a8 CONFIG...(....(. + 65fe0 04000600 00289601 03000028 bf040002 .....(.....(.... + 65ff0 01030000 28cc0400 09484946 5f43414c ....(....HIF_CAL + 66000 4c424143 4b000000 284a0300 0028d504 LBACK...(J...(.. + 66010 00020103 000028ee 04000600 0000fd01 ......(......... + 66020 03000028 f7040002 01030000 29040400 ...(........)... + 66030 06000000 fd010300 00290d04 00020103 .........)...... + 66040 0000291a 04000600 0000fd01 03000029 ..)............) + 66050 23040002 01030000 29300400 07686966 #.......)0...hif + 66060 5f617069 00380000 2a89085f 696e6974 _api.8..*.._init + 66070 00000028 c5022300 085f7368 7574646f ...(..#.._shutdo + 66080 776e0000 0028ce02 2304085f 72656769 wn...(..#.._regi + 66090 73746572 5f63616c 6c626163 6b000000 ster_callback... + 660a0 28f00223 08085f67 65745f74 6f74616c (..#.._get_total + 660b0 5f637265 6469745f 636f756e 74000000 _credit_count... + 660c0 28fd0223 0c085f73 74617274 00000028 (..#.._start...( + 660d0 ce022310 085f636f 6e666967 5f706970 ..#.._config_pip + 660e0 65000000 29060223 14085f73 656e645f e...)..#.._send_ + 660f0 62756666 65720000 00291302 2318085f buffer...)..#.._ + 66100 72657475 726e5f72 6563765f 62756600 return_recv_buf. + 66110 0000291c 02231c08 5f69735f 70697065 ..)..#.._is_pipe + 66120 5f737570 706f7274 65640000 00292902 _supported...)). + 66130 2320085f 6765745f 6d61785f 6d73675f # ._get_max_msg_ + 66140 6c656e00 00002929 02232408 5f676574 len...)).#$._get + 66150 5f726573 65727665 645f6865 6164726f _reserved_headro + 66160 6f6d0000 0028fd02 2328085f 6973725f om...(..#(._isr_ + 66170 68616e64 6c657200 000028ce 02232c08 handler...(..#,. + 66180 5f676574 5f646566 61756c74 5f706970 _get_default_pip + 66190 65000000 29320223 30087052 65736572 e...)2.#0.pReser + 661a0 76656400 000003f2 02233400 0d646d61 ved......#4..dma + 661b0 5f656e67 696e6500 0400002b 120e444d _engine....+..DM + 661c0 415f454e 47494e45 5f525830 00000e44 A_ENGINE_RX0...D + 661d0 4d415f45 4e47494e 455f5258 3100010e MA_ENGINE_RX1... + 661e0 444d415f 454e4749 4e455f52 58320002 DMA_ENGINE_RX2.. + 661f0 0e444d41 5f454e47 494e455f 52583300 .DMA_ENGINE_RX3. + 66200 030e444d 415f454e 47494e45 5f545830 ..DMA_ENGINE_TX0 + 66210 00040e44 4d415f45 4e47494e 455f5458 ...DMA_ENGINE_TX + 66220 3100050e 444d415f 454e4749 4e455f4d 1...DMA_ENGINE_M + 66230 41580006 0009646d 615f656e 67696e65 AX....dma_engine + 66240 5f740000 002a890d 646d615f 69667479 _t...*..dma_ifty + 66250 70650004 00002b5f 0e444d41 5f49465f pe....+_.DMA_IF_ + 66260 474d4143 00000e44 4d415f49 465f5043 GMAC...DMA_IF_PC + 66270 4900010e 444d415f 49465f50 43494500 I...DMA_IF_PCIE. + 66280 02000964 6d615f69 66747970 655f7400 ...dma_iftype_t. + 66290 00002b24 06000012 d7010300 002b7104 ..+$.........+q. + 662a0 00020103 00002b7e 04000201 0300002b ......+~.......+ + 662b0 87040006 00000909 01030000 2b900400 ............+... + 662c0 06000012 d7010300 002b9d04 00060000 .........+...... + 662d0 12d70103 00002baa 04000600 00142201 ......+.......". + 662e0 0300002b b7040002 01030000 2bc40400 ...+........+... + 662f0 07646d61 5f6c6962 5f617069 00340000 .dma_lib_api.4.. + 66300 2ccb0874 785f696e 69740000 002b7702 ,..tx_init...+w. + 66310 23000874 785f7374 61727400 00002b80 #..tx_start...+. + 66320 02230408 72785f69 6e697400 00002b77 .#..rx_init...+w + 66330 02230808 72785f63 6f6e6669 67000000 .#..rx_config... + 66340 2b890223 0c087278 5f737461 72740000 +..#..rx_start.. + 66350 002b8002 23100869 6e74725f 73746174 .+..#..intr_stat + 66360 75730000 002b9602 23140868 6172645f us...+..#..hard_ + 66370 786d6974 0000002b a3022318 08666c75 xmit...+..#..flu + 66380 73685f78 6d697400 00002b80 02231c08 sh_xmit...+..#.. + 66390 786d6974 5f646f6e 65000000 2bb00223 xmit_done...+..# + 663a0 20087265 61705f78 6d697474 65640000 .reap_xmitted.. + 663b0 002bbd02 23240872 6561705f 72656376 .+..#$.reap_recv + 663c0 0000002b bd022328 08726574 75726e5f ...+..#(.return_ + 663d0 72656376 0000002b c602232c 08726563 recv...+..#,.rec + 663e0 765f706b 74000000 2bb00223 3000075f v_pkt...+..#0.._ + 663f0 5f706369 5f736f66 7463000c 00002ce9 _pci_softc....,. + 66400 08737700 000028d5 02230000 095f5f70 .sw...(..#...__p + 66410 63695f73 6f667463 5f740000 002ccb03 ci_softc_t...,.. + 66420 00002ce9 04000201 0300002d 03040006 ..,........-.... + 66430 000012c3 01030000 2d0c0400 0d686966 ........-....hif + 66440 5f706369 5f706970 655f7478 00040000 _pci_pipe_tx.... + 66450 2d6c0e48 49465f50 43495f50 4950455f -l.HIF_PCI_PIPE_ + 66460 54583000 000e4849 465f5043 495f5049 TX0...HIF_PCI_PI + 66470 50455f54 58310001 0e484946 5f504349 PE_TX1...HIF_PCI + 66480 5f504950 455f5458 5f4d4158 00020009 _PIPE_TX_MAX.... + 66490 6869665f 7063695f 70697065 5f74785f hif_pci_pipe_tx_ + 664a0 74000000 2d190600 002b1201 0300002d t...-....+.....- + 664b0 8304000d 6869665f 7063695f 70697065 ....hif_pci_pipe + 664c0 5f727800 0400002e 090e4849 465f5043 _rx.......HIF_PC + 664d0 495f5049 50455f52 58300000 0e484946 I_PIPE_RX0...HIF + 664e0 5f504349 5f504950 455f5258 3100010e _PCI_PIPE_RX1... + 664f0 4849465f 5043495f 50495045 5f525832 HIF_PCI_PIPE_RX2 + 66500 00020e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 66510 52583300 030e4849 465f5043 495f5049 RX3...HIF_PCI_PI + 66520 50455f52 585f4d41 58000400 09686966 PE_RX_MAX....hif + 66530 5f706369 5f706970 655f7278 5f740000 _pci_pipe_rx_t.. + 66540 002d9006 00002b12 01030000 2e200400 .-....+...... .. + 66550 07686966 5f706369 5f617069 00240000 .hif_pci_api.$.. + 66560 2efe0870 63695f62 6f6f745f 696e6974 ...pci_boot_init + 66570 00000000 f6022300 08706369 5f696e69 ......#..pci_ini + 66580 74000000 28c50223 04087063 695f7265 t...(..#..pci_re + 66590 73657400 000000f6 02230808 7063695f set......#..pci_ + 665a0 656e6162 6c650000 0000f602 230c0870 enable......#..p + 665b0 63695f72 6561705f 786d6974 74656400 ci_reap_xmitted. + 665c0 00002d05 02231008 7063695f 72656170 ..-..#..pci_reap + 665d0 5f726563 76000000 2d050223 14087063 _recv...-..#..pc + 665e0 695f6765 745f7069 70650000 002d1202 i_get_pipe...-.. + 665f0 23180870 63695f67 65745f74 785f656e #..pci_get_tx_en + 66600 67000000 2d890223 1c087063 695f6765 g...-..#..pci_ge + 66610 745f7278 5f656e67 0000002e 26022320 t_rx_eng....&.# + 66620 0007676d 61635f61 70690004 00002f25 ..gmac_api..../% + 66630 08676d61 635f626f 6f745f69 6e697400 .gmac_boot_init. + 66640 000000f6 02230000 0f000003 0a060000 .....#.......... + 66650 2f321005 00075f5f 65746868 6472000e /2....__ethhdr.. + 66660 00002f68 08647374 0000002f 25022300 ../h.dst.../%.#. + 66670 08737263 0000002f 25022306 08657479 .src.../%.#..ety + 66680 70650000 0012d702 230c0007 5f5f6174 pe......#...__at + 66690 68686472 00040000 2fb61572 65730000 hhdr..../..res.. + 666a0 0012c301 00020223 00157072 6f746f00 .......#..proto. + 666b0 000012c3 01020602 23000872 65735f6c ........#..res_l + 666c0 6f000000 12c30223 01087265 735f6869 o......#..res_hi + 666d0 00000012 d7022302 00075f5f 676d6163 ......#...__gmac + 666e0 5f686472 00140000 2ff20865 74680000 _hdr..../..eth.. + 666f0 002f3202 23000861 74680000 002f6802 ./2.#..ath.../h. + 66700 230e0861 6c69676e 5f706164 00000012 #..align_pad.... + 66710 d7022312 00095f5f 676d6163 5f686472 ..#...__gmac_hdr + 66720 5f740000 002fb607 5f5f676d 61635f73 _t.../..__gmac_s + 66730 6f667463 00240000 303c0868 64720000 oftc.$..0<.hdr.. + 66740 002ff202 23000867 72616e00 000012d7 ./..#..gran..... + 66750 02231408 73770000 0028d502 2318000c .#..sw...(..#... + 66760 5f415f6f 735f6c69 6e6b6167 655f6368 _A_os_linkage_ch + 66770 65636b00 00010300 00303c04 00060000 eck......0<..... + 66780 00fd0103 0000305a 04000300 0003f504 ......0Z........ + 66790 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 667a0 65637469 6f6e5f74 61626c65 0001b800 ection_table.... + 667b0 0031aa08 68616c5f 6c696e6b 6167655f .1..hal_linkage_ + 667c0 63686563 6b000000 30600223 00087374 check...0`.#..st + 667d0 6172745f 62737300 00003067 02230408 art_bss...0g.#.. + 667e0 6170705f 73746172 74000000 00f60223 app_start......# + 667f0 08086d65 6d000000 04350223 0c086d69 ..mem....5.#..mi + 66800 73630000 00055402 23200870 72696e74 sc....T.# .print + 66810 66000000 012a0223 44087561 72740000 f....*.#D.uart.. + 66820 0001f302 234c0867 6d616300 00002efe ....#L.gmac..... + 66830 02236c08 75736200 00000f83 02237008 .#l.usb......#p. + 66840 636c6f63 6b000000 0acc0323 e0010874 clock......#...t + 66850 696d6572 00000007 6c032384 0208696e imer....l.#...in + 66860 74720000 000c1c03 23980208 616c6c6f tr......#...allo + 66870 6372616d 00000009 240323c4 0208726f cram....$.#...ro + 66880 6d700000 00081603 23d00208 7764745f mp......#...wdt_ + 66890 74696d65 72000000 0df90323 e0020865 timer......#...e + 668a0 65700000 000f2703 23fc0208 73747269 ep....'.#...stri + 668b0 6e670000 00067803 238c0308 7461736b ng....x.#...task + 668c0 6c657400 00000a21 0323a403 00075f55 let....!.#...._U + 668d0 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. + 668e0 0000321d 08676574 5f636f6d 6d616e64 ..2..get_command + 668f0 5f627566 00000014 2f022300 08726563 _buf..../.#..rec + 66900 765f636f 6d6d616e 64000000 14450223 v_command....E.# + 66910 04086765 745f6576 656e745f 62756600 ..get_event_buf. + 66920 0000142f 02230808 73656e64 5f657665 .../.#..send_eve + 66930 6e745f64 6f6e6500 00001445 02230c00 nt_done....E.#.. + 66940 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG + 66950 00000031 aa030000 321d0400 02010300 ...1....2....... + 66960 00323904 00077573 62666966 6f5f6170 .29...usbfifo_ap + 66970 69000c00 00328f08 5f696e69 74000000 i....2.._init... + 66980 323b0223 00085f65 6e61626c 655f6576 2;.#.._enable_ev + 66990 656e745f 69737200 000000f6 02230408 ent_isr......#.. + 669a0 70526573 65727665 64000000 03f20223 pReserved......# + 669b0 08000f00 00166902 0000329c 10010007 ......i...2..... + 669c0 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. + 669d0 0000330e 08456e64 706f696e 74494400 ..3..EndpointID. + 669e0 00001669 02230008 466c6167 73000000 ...i.#..Flags... + 669f0 16690223 01085061 796c6f61 644c656e .i.#..PayloadLen + 66a00 0000001c 91022302 08436f6e 74726f6c ......#..Control + 66a10 42797465 73000000 328f0223 0408486f Bytes...2..#..Ho + 66a20 73745365 714e756d 0000001c 91022306 stSeqNum......#. + 66a30 00120200 00332708 4d657373 61676549 .....3'.MessageI + 66a40 44000000 1c910223 00001208 0000338a D......#......3. + 66a50 084d6573 73616765 49440000 001c9102 .MessageID...... + 66a60 23000843 72656469 74436f75 6e740000 #..CreditCount.. + 66a70 001c9102 23020843 72656469 7453697a ....#..CreditSiz + 66a80 65000000 1c910223 04084d61 78456e64 e......#..MaxEnd + 66a90 706f696e 74730000 00166902 2306085f points....i.#.._ + 66aa0 50616431 00000016 69022307 00120a00 Pad1....i.#..... + 66ab0 00342108 4d657373 61676549 44000000 .4!.MessageID... + 66ac0 1c910223 00085365 72766963 65494400 ...#..ServiceID. + 66ad0 00001c91 02230208 436f6e6e 65637469 .....#..Connecti + 66ae0 6f6e466c 61677300 00001c91 02230408 onFlags......#.. + 66af0 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. + 66b00 00166902 23060855 704c696e 6b506970 ..i.#..UpLinkPip + 66b10 65494400 00001669 02230708 53657276 eID....i.#..Serv + 66b20 6963654d 6574614c 656e6774 68000000 iceMetaLength... + 66b30 16690223 08085f50 61643100 00001669 .i.#.._Pad1....i + 66b40 02230900 120a0000 34a9084d 65737361 .#......4..Messa + 66b50 67654944 0000001c 91022300 08536572 geID......#..Ser + 66b60 76696365 49440000 001c9102 23020853 viceID......#..S + 66b70 74617475 73000000 16690223 0408456e tatus....i.#..En + 66b80 64706f69 6e744944 00000016 69022305 dpointID....i.#. + 66b90 084d6178 4d736753 697a6500 00001c91 .MaxMsgSize..... + 66ba0 02230608 53657276 6963654d 6574614c .#..ServiceMetaL + 66bb0 656e6774 68000000 16690223 08085f50 ength....i.#.._P + 66bc0 61643100 00001669 02230900 12020000 ad1....i.#...... + 66bd0 34c2084d 65737361 67654944 0000001c 4..MessageID.... + 66be0 91022300 00120400 0034fe08 4d657373 ..#......4..Mess + 66bf0 61676549 44000000 1c910223 00085069 ageID......#..Pi + 66c00 70654944 00000016 69022302 08437265 peID....i.#..Cre + 66c10 64697443 6f756e74 00000016 69022303 ditCount....i.#. + 66c20 00120400 00353508 4d657373 61676549 .....55.MessageI + 66c30 44000000 1c910223 00085069 70654944 D......#..PipeID + 66c40 00000016 69022302 08537461 74757300 ....i.#..Status. + 66c50 00001669 02230300 12020000 355c0852 ...i.#......5\.R + 66c60 65636f72 64494400 00001669 02230008 ecordID....i.#.. + 66c70 4c656e67 74680000 00166902 23010012 Length....i.#... + 66c80 02000035 8608456e 64706f69 6e744944 ...5..EndpointID + 66c90 00000016 69022300 08437265 64697473 ....i.#..Credits + 66ca0 00000016 69022301 00120400 0035c708 ....i.#......5.. + 66cb0 456e6470 6f696e74 49440000 00166902 EndpointID....i. + 66cc0 23000843 72656469 74730000 00166902 #..Credits....i. + 66cd0 23010854 67744372 65646974 5365714e #..TgtCreditSeqN + 66ce0 6f000000 1c910223 02000f00 00166904 o......#......i. + 66cf0 000035d4 10030012 06000036 10085072 ..5........6..Pr + 66d00 6556616c 69640000 00166902 2300084c eValid....i.#..L + 66d10 6f6f6b41 68656164 00000035 c7022301 ookAhead...5..#. + 66d20 08506f73 7456616c 69640000 00166902 .PostValid....i. + 66d30 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ + 66d40 74000000 03f20600 00361001 03000036 t........6.....6 + 66d50 23040002 01030000 36300400 14040000 #.......60...... + 66d60 36ae0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C + 66d70 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID + 66d80 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP + 66d90 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI + 66da0 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO + 66db0 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF + 66dc0 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. + 66dd0 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... + 66de0 36390201 03000036 bf040006 0000262e 69.....6......&. + 66df0 01030000 36c80400 06000026 2e010300 ....6......&.... + 66e00 0036d504 00020103 000036e2 04000762 .6........6....b + 66e10 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 + 66e20 84085f69 6e697400 00003629 02230008 .._init...6).#.. + 66e30 5f736875 74646f77 6e000000 36320223 _shutdown...62.# + 66e40 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. + 66e50 0036c102 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu + 66e60 66000000 36ce0223 0c085f61 6c6c6f63 f...6..#.._alloc + 66e70 5f627566 5f616c69 676e0000 0036db02 _buf_align...6.. + 66e80 2310085f 66726565 5f627566 00000036 #.._free_buf...6 + 66e90 e4022314 08705265 73657276 65640000 ..#..pReserved.. + 66ea0 0003f202 23180007 5f485443 5f534552 ....#..._HTC_SER + 66eb0 56494345 001c0000 38630870 4e657874 VICE....8c.pNext + 66ec0 00000038 63022300 0850726f 63657373 ...8c.#..Process + 66ed0 52656376 4d736700 00003918 02230408 RecvMsg...9..#.. + 66ee0 50726f63 65737353 656e6442 75666665 ProcessSendBuffe + 66ef0 72436f6d 706c6574 65000000 39210223 rComplete...9!.# + 66f00 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect + 66f10 00000039 3502230c 08536572 76696365 ...95.#..Service + 66f20 49440000 0012d702 23100853 65727669 ID......#..Servi + 66f30 6365466c 61677300 000012d7 02231208 ceFlags......#.. + 66f40 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... + 66f50 12d70223 14085472 61696c65 72537063 ...#..TrailerSpc + 66f60 43686563 6b4c696d 69740000 0012d702 CheckLimit...... + 66f70 23160853 65727669 63654374 78000000 #..ServiceCtx... + 66f80 03f20223 18000300 00378404 00140400 ...#.....7...... + 66f90 00390119 454e4450 4f494e54 5f554e55 .9..ENDPOINT_UNU + 66fa0 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN + 66fb0 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. + 66fc0 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END + 66fd0 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN + 66fe0 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. + 66ff0 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END + 67000 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN + 67010 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA + 67020 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN + 67030 545f4944 00000038 6a020103 00003916 T_ID...8j.....9. + 67040 04000201 03000039 1f040003 000000fd .......9........ + 67050 04000600 0012c301 03000039 2f040003 ...........9/... + 67060 00003784 0400075f 4854435f 434f4e46 ..7...._HTC_CONF + 67070 49470014 000039b4 08437265 64697453 IG....9..CreditS + 67080 697a6500 000000fd 02230008 43726564 ize......#..Cred + 67090 69744e75 6d626572 00000000 fd022304 itNumber......#. + 670a0 084f5348 616e646c 65000000 1a2d0223 .OSHandle....-.# + 670b0 08084849 4648616e 646c6500 00002896 ..HIFHandle...(. + 670c0 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 670d0 00361002 23100007 5f485443 5f425546 .6..#..._HTC_BUF + 670e0 5f434f4e 54455854 00020000 39f00865 _CONTEXT....9..e + 670f0 6e645f70 6f696e74 00000012 c3022300 nd_point......#. + 67100 08687463 5f666c61 67730000 0012c302 .htc_flags...... + 67110 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t + 67120 00000003 f2094854 435f5345 5455505f ......HTC_SETUP_ + 67130 434f4d50 4c455445 5f434200 000000f6 COMPLETE_CB..... + 67140 09485443 5f434f4e 46494700 00003943 .HTC_CONFIG...9C + 67150 0300003a 1d040006 000039f0 01030000 ...:......9..... + 67160 3a340400 02010300 003a4104 00094854 :4.......:A...HT + 67170 435f5345 52564943 45000000 37840300 C_SERVICE...7... + 67180 003a4a04 00020103 00003a62 04000201 .:J.......:b.... + 67190 0300003a 6b040002 01030000 3a740400 ...:k.......:t.. + 671a0 06000000 fd010300 003a7d04 00076874 .........:}...ht + 671b0 635f6170 69730034 00003bfa 085f4854 c_apis.4..;.._HT + 671c0 435f496e 69740000 003a3a02 2300085f C_Init...::.#.._ + 671d0 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: + 671e0 43022304 085f4854 435f5265 67697374 C.#.._HTC_Regist + 671f0 65725365 72766963 65000000 3a640223 erService...:d.# + 67200 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: + 67210 4302230c 085f4854 435f5265 7475726e C.#.._HTC_Return + 67220 42756666 65727300 00003a6d 02231008 Buffers...:m.#.. + 67230 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 67240 72734c69 73740000 003a7602 2314085f rsList...:v.#.._ + 67250 4854435f 53656e64 4d736700 00003a6d HTC_SendMsg...:m + 67260 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese + 67270 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: + 67280 8302231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec + 67290 7648616e 646c6572 00000028 43022320 vHandler...(C.# + 672a0 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa + 672b0 6e646c65 72000000 283a0223 24085f48 ndler...(:.#$._H + 672c0 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro + 672d0 63657373 4d736700 00003918 02232808 cessMsg...9..#(. + 672e0 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP + 672f0 726f6365 73735365 6e64436f 6d706c65 rocessSendComple + 67300 74650000 00392102 232c0870 52657365 te...9!.#,.pRese + 67310 72766564 00000003 f2022330 0007686f rved......#0..ho + 67320 73745f61 70705f61 7265615f 73000400 st_app_area_s... + 67330 003c2a08 776d695f 70726f74 6f636f6c .<*.wmi_protocol + 67340 5f766572 00000016 02022300 00120e00 _ver......#..... + 67350 003c6108 6473744d 61630000 001c4e02 .].Ht + 67530 6348616e 646c6500 000039f0 02230008 cHandle...9..#.. + 67540 506f6f6c 48616e64 6c650000 00361002 PoolHandle...6.. + 67550 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv + 67560 74730000 0000fd02 2308084d 61784576 ts......#..MaxEv + 67570 656e7445 76747300 000000fd 02230c00 entEvts......#.. + 67580 02010300 003e5d04 0009574d 495f434d .....>]...WMI_CM + 67590 445f4841 4e444c45 52000000 3e5f075f D_HANDLER...>_._ + 675a0 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT + 675b0 52590008 00003ec6 0870436d 6448616e RY....>..pCmdHan + 675c0 646c6572 0000003e 66022300 08436d64 dler...>f.#..Cmd + 675d0 49440000 0012d702 23040846 6c616773 ID......#..Flags + 675e0 00000012 d7022306 00075f57 4d495f44 ......#..._WMI_D + 675f0 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... + 67600 003f2708 704e6578 74000000 3f270223 .?'.pNext...?'.# + 67610 00087043 6f6e7465 78740000 0003f202 ..pContext...... + 67620 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri + 67630 65730000 0000fd02 23080870 5461626c es......#..pTabl + 67640 65000000 3f460223 0c000300 003ec604 e...?F.#.....>.. + 67650 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E + 67660 4e545259 0000003e 7b030000 3f2e0400 NTRY...>{...?... + 67670 0300003e c6040009 4854435f 4255465f ...>....HTC_BUF_ + 67680 434f4e54 45585400 000039b4 0d574d49 CONTEXT...9..WMI + 67690 5f455654 5f434c41 53530004 00003fde _EVT_CLASS....?. + 676a0 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N + 676b0 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT + 676c0 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT + 676d0 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 676e0 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI + 676f0 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. + 67700 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. + 67710 00003f69 075f574d 495f4255 465f434f ..?i._WMI_BUF_CO + 67720 4e544558 54000c00 00403c08 48746342 NTEXT....@<.HtcB + 67730 75664374 78000000 3f540223 00084576 ufCtx...?T.#..Ev + 67740 656e7443 6c617373 0000003f de022304 entClass...?..#. + 67750 08466c61 67730000 0012d702 23080009 .Flags......#... + 67760 776d695f 68616e64 6c655f74 00000003 wmi_handle_t.... + 67770 f209574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG + 67780 0000003d f4030000 404e0400 06000040 ...=....@N.....@ + 67790 3c010300 00406904 0009574d 495f4449 <....@i...WMI_DI + 677a0 53504154 43485f54 41424c45 0000003e SPATCH_TABLE...> + 677b0 c6030000 40760400 02010300 00409504 ....@v.......@.. + 677c0 00060000 262e0103 0000409e 04000201 ....&.....@..... + 677d0 03000040 ab040006 000000fd 01030000 ...@............ + 677e0 40b40400 02010300 0040c104 00060000 @........@...... + 677f0 12c30103 000040ca 0400075f 776d695f ......@...._wmi_ + 67800 7376635f 61706973 002c0000 4212085f svc_apis.,..B.._ + 67810 574d495f 496e6974 00000040 6f022300 WMI_Init...@o.#. + 67820 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi + 67830 73706174 63685461 626c6500 00004097 spatchTable...@. + 67840 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv + 67850 656e7400 000040a4 02230808 5f574d49 ent...@..#.._WMI + 67860 5f53656e 64457665 6e740000 0040ad02 _SendEvent...@.. + 67870 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi + 67880 6e674576 656e7473 436f756e 74000000 ngEventsCount... + 67890 40ba0223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC + 678a0 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. + 678b0 00392102 2314085f 574d495f 47657443 .9!.#.._WMI_GetC + 678c0 6f6e7472 6f6c4570 00000040 ba022318 ontrolEp...@..#. + 678d0 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. + 678e0 0040c302 231c085f 574d495f 52656376 .@..#.._WMI_Recv + 678f0 4d657373 61676548 616e646c 65720000 MessageHandler.. + 67900 00391802 2320085f 574d495f 53657276 .9..# ._WMI_Serv + 67910 69636543 6f6e6e65 63740000 0040d002 iceConnect...@.. + 67920 23240870 52657365 72766564 00000003 #$.pReserved.... + 67930 f2022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. + 67940 14000042 94086374 726c0000 00016102 ...B..ctrl....a. + 67950 23000873 74617475 73000000 01610223 #..status....a.# + 67960 0208746f 74616c4c 656e0000 00016102 ..totalLen....a. + 67970 23040864 61746153 697a6500 00000161 #..dataSize....a + 67980 02230608 6c617374 41646472 00000042 .#..lastAddr...B + 67990 94022308 08646174 61416464 72000000 ..#..dataAddr... + 679a0 01850223 0c086e65 78744164 64720000 ...#..nextAddr.. + 679b0 00429402 23100003 00004212 04000300 .B..#.....B..... + 679c0 00421204 00077a73 446d6151 75657565 .B....zsDmaQueue + 679d0 00080000 42d40868 65616400 0000429b ....B..head...B. + 679e0 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 679f0 00429b02 23040007 7a735478 446d6151 .B..#...zsTxDmaQ + 67a00 75657565 00100000 43380868 65616400 ueue....C8.head. + 67a10 0000429b 02230008 7465726d 696e6174 ..B..#..terminat + 67a20 6f720000 00429b02 23040878 6d697465 or...B..#..xmite + 67a30 645f6275 665f6865 61640000 00142202 d_buf_head....". + 67a40 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta + 67a50 696c0000 00142202 230c0002 01030000 il....".#....... + 67a60 43380400 03000042 a2040002 01030000 C8.....B........ + 67a70 43480400 03000042 d4040002 01030000 CH.....B........ + 67a80 43580400 02010300 00436104 00020103 CX.......Ca..... + 67a90 0000436a 04000600 00142201 03000043 ..Cj......"....C + 67aa0 73040002 01030000 43800400 06000014 s.......C....... + 67ab0 22010300 00438904 00020103 00004396 "....C........C. + 67ac0 04000600 0000fd01 03000043 9f040006 ...........C.... + 67ad0 0000429b 01030000 43ac0400 02010300 ..B.....C....... + 67ae0 0043b904 0007646d 615f656e 67696e65 .C....dma_engine + 67af0 5f617069 00400000 452f085f 696e6974 _api.@..E/._init + 67b00 00000043 3a022300 085f696e 69745f72 ...C:.#.._init_r + 67b10 785f7175 65756500 0000434a 02230408 x_queue...CJ.#.. + 67b20 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. + 67b30 00435a02 2308085f 636f6e66 69675f72 .CZ.#.._config_r + 67b40 785f7175 65756500 00004363 02230c08 x_queue...Cc.#.. + 67b50 5f786d69 745f6275 66000000 436c0223 _xmit_buf...Cl.# + 67b60 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... + 67b70 434a0223 14085f72 6561705f 72656376 CJ.#.._reap_recv + 67b80 5f627566 00000043 79022318 085f7265 _buf...Cy.#.._re + 67b90 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 67ba0 43820223 1c085f72 6561705f 786d6974 C..#.._reap_xmit + 67bb0 65645f62 75660000 00438f02 2320085f ed_buf...C..# ._ + 67bc0 73776170 5f646174 61000000 43980223 swap_data...C..# + 67bd0 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac + 67be0 6b657473 00000043 a5022328 085f6465 kets...C..#(._de + 67bf0 73635f64 756d7000 0000434a 02232c08 sc_dump...CJ.#,. + 67c00 5f676574 5f706163 6b657400 000043b2 _get_packet...C. + 67c10 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac + 67c20 6b657400 000043bb 02233408 5f707574 ket...C..#4._put + 67c30 5f706163 6b657400 000043bb 02233808 _packet...C..#8. + 67c40 70526573 65727665 64000000 03f20223 pReserved......# + 67c50 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi + 67c60 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 67c70 0000306e 09574d49 5f535643 5f415049 ..0n.WMI_SVC_API + 67c80 53000000 40d7175f 415f6d61 67706965 S...@.._A_magpie + 67c90 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 67ca0 6c650003 4c000046 5d08636d 6e6f7300 le..L..F].cmnos. + 67cb0 0000452f 02230008 64626700 000003bf ..E/.#..dbg..... + 67cc0 0323b803 08686966 00000029 390323c0 .#...hif...)9.#. + 67cd0 03086874 63000000 3a8a0323 f8030877 ..htc...:..#...w + 67ce0 6d695f73 76635f61 70690000 00455103 mi_svc_api...EQ. + 67cf0 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. + 67d00 00003242 0323d804 08627566 5f706f6f ..2B.#...buf_poo + 67d10 6c000000 36eb0323 e4040876 62756600 l...6..#...vbuf. + 67d20 0000144c 03238005 08766465 73630000 ...L.#...vdesc.. + 67d30 00132e03 23940508 616c6c6f 6372616d ....#...allocram + 67d40 00000009 240323a8 0508646d 615f656e ....$.#...dma_en + 67d50 67696e65 00000043 c20323b4 0508646d gine...C..#...dm + 67d60 615f6c69 62000000 2bcd0323 f4050868 a_lib...+..#...h + 67d70 69665f70 63690000 002e2d03 23a80600 if_pci....-.#... + 67d80 06000003 f201095f 415f6d61 67706965 ......._A_magpie + 67d90 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 67da0 6c655f74 00000045 63020102 01020106 le_t...Ec....... + 67db0 000000fd 01075f48 54435f45 4e44504f ......_HTC_ENDPO + 67dc0 494e5400 1c000047 91084372 65646974 INT....G..Credit + 67dd0 73546f52 65747572 6e000000 1b8f0223 sToReturn......# + 67de0 00087053 65727669 63650000 003a5b02 ..pService...:[. + 67df0 23040843 72656469 74526574 75726e54 #..CreditReturnT + 67e00 68726573 68686f6c 64000000 1b8f0223 hreshhold......# + 67e10 08084372 65646974 73436f6e 73756d65 ..CreditsConsume + 67e20 64000000 1b8f0223 0a08436f 6e6e6563 d......#..Connec + 67e30 74696f6e 466c6167 73000000 12d70223 tionFlags......# + 67e40 0c085065 6e64696e 67437265 64697452 ..PendingCreditR + 67e50 65706f72 74730000 0000fd02 23100844 eports......#..D + 67e60 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 67e70 12c30223 14085570 4c696e6b 50697065 ...#..UpLinkPipe + 67e80 49440000 0012c302 2315084c 61737453 ID......#..LastS + 67e90 65714e75 6d000000 12d70223 16084e65 eqNum......#..Ne + 67ea0 78744372 65646974 53657100 000012d7 xtCreditSeq..... + 67eb0 02231800 09485443 5f454e44 504f494e .#...HTC_ENDPOIN + 67ec0 54000000 46921600 00479102 68000047 T...F....G..h..G + 67ed0 b1101500 175f4854 435f434f 4e544558 ....._HTC_CONTEX + 67ee0 540002cc 000049ab 084f5348 616e646c T.....I..OSHandl + 67ef0 65000000 1a2d0223 0008456e 64706f69 e....-.#..Endpoi + 67f00 6e747300 000047a3 02230408 4570486f nts...G..#..EpHo + 67f10 73744e65 65647343 72656469 744d6170 stNeedsCreditMap + 67f20 00000009 090323ec 04084570 43726564 ......#...EpCred + 67f30 69745065 6e64696e 674d6170 00000009 itPendingMap.... + 67f40 090323f0 04084570 52656376 50617573 ..#...EpRecvPaus + 67f50 65644d61 70000000 09090323 f4040843 edMap......#...C + 67f60 75727265 6e744570 496e6465 78000000 urrentEpIndex... + 67f70 39010323 f8040848 5443436f 6e74726f 9..#...HTCContro + 67f80 6c536572 76696365 0000003a 4a0323fc lService...:J.#. + 67f90 04087053 65727669 63654c69 73740000 ..pServiceList.. + 67fa0 003a5b03 23980508 52656376 42756666 .:[.#...RecvBuff + 67fb0 65725369 7a650000 0000fd03 239c0508 erSize......#... + 67fc0 53746174 65466c61 67730000 00090903 StateFlags...... + 67fd0 23a00508 53657475 70436f6d 706c6574 #...SetupComplet + 67fe0 65436200 00003a02 0323a405 08546f74 eCb...:..#...Tot + 67ff0 616c4372 65646974 73000000 00fd0323 alCredits......# + 68000 a8050854 6f74616c 43726564 69747341 ...TotalCreditsA + 68010 73736967 6e656400 000000fd 0323ac05 ssigned......#.. + 68020 084e756d 42756666 65727346 6f724372 .NumBuffersForCr + 68030 65646974 52707473 00000000 fd0323b0 editRpts......#. + 68040 05084374 726c4275 66666572 416c6c6f ..CtrlBufferAllo + 68050 6353697a 65000000 00fd0323 b4050870 cSize......#...p + 68060 4374726c 42756666 65720000 0012d003 CtrlBuffer...... + 68070 23b80508 4d617845 7050656e 64696e67 #...MaxEpPending + 68080 43726564 69745270 74730000 0000fd03 CreditRpts...... + 68090 23bc0508 68696648 616e646c 65000000 #...hifHandle... + 680a0 28960323 c0050850 6f6f6c48 616e646c (..#...PoolHandl + 680b0 65000000 36100323 c4050870 52657365 e...6..#...pRese + 680c0 72766564 00000003 f20323c8 05000948 rved......#....H + 680d0 54435f43 4f4e5445 58540000 0047b103 TC_CONTEXT...G.. + 680e0 000049ab 04000201 03000047 a3040006 ..I........G.... + 680f0 0000262e 01094854 435f5245 4144595f ..&...HTC_READY_ + 68100 4d534700 00003327 03000049 d2040009 MSG...3'...I.... + 68110 415f424f 4f4c0000 0000fd02 01030000 A_BOOL.......... + 68120 47910400 02010300 003f5404 00094854 G........?T...HT + 68130 435f4652 414d455f 48445200 0000329c C_FRAME_HDR...2. + 68140 0300004a 0a040009 4854435f 5245434f ...J....HTC_RECO + 68150 52445f48 44520000 00353503 00004a24 RD_HDR...55...J$ + 68160 04000948 54435f43 52454449 545f5245 ...HTC_CREDIT_RE + 68170 504f5254 5f315f31 00000035 86030000 PORT_1_1...5.... + 68180 4a3f0400 02010201 0300003a 8a040002 J?.........:.... + 68190 01020109 4854435f 434f4e4e 4543545f ....HTC_CONNECT_ + 681a0 53455256 4943455f 4d534700 0000338a SERVICE_MSG...3. + 681b0 0300004a 70040002 01094854 435f434f ...Jp.....HTC_CO + 681c0 4e4e4543 545f5345 52564943 455f5245 NNECT_SERVICE_RE + 681d0 53504f4e 53455f4d 53470000 00342103 SPONSE_MSG...4!. + 681e0 00004a96 04000948 54435f43 4f4e4649 ..J....HTC_CONFI + 681f0 475f5049 50455f4d 53470000 0034c203 G_PIPE_MSG...4.. + 68200 00004ac3 04000201 09485443 5f434f4e ..J......HTC_CON + 68210 4649475f 50495045 5f524553 504f4e53 FIG_PIPE_RESPONS + 68220 455f4d53 47000000 34fe0300 004ae504 E_MSG...4....J.. + 68230 00094854 435f554e 4b4e4f57 4e5f4d53 ..HTC_UNKNOWN_MS + 68240 47000000 330e0300 004b0e04 0002011a G...3....K...... + 68250 24013a61 64665f6e 6275665f 7461696c $.:adf_nbuf_tail + 68260 726f6f6d 00000016 02010103 92012002 room.......... . + 68270 9000008e 4ef0008e 4f110000 4b6a1b24 ....N...O...Kj.$ + 68280 013a6275 66000000 262e0152 001a2401 .:buf...&..R..$. + 68290 4a616466 5f6e6275 665f7075 73685f68 Jadf_nbuf_push_h + 682a0 65616400 00001678 01010392 01200290 ead....x..... .. + 682b0 00008e4f 14008e4f 3d00004b b81b2401 ...O...O=..K..$. + 682c0 4a627566 00000026 2e01521b 24014a73 Jbuf...&..R.$.Js + 682d0 697a6500 00001b2f 0153001a 24015a61 ize..../.S..$.Za + 682e0 64665f6e 6275665f 7075745f 7461696c df_nbuf_put_tail + 682f0 00000016 78010103 92012002 9000008e ....x..... ..... + 68300 4f40008e 4f6b0000 4c051b24 015a6275 O@..Ok..L..$.Zbu + 68310 66000000 262e0152 1b24015a 73697a65 f...&..R.$.Zsize + 68320 0000001b 2f015300 1a24016a 6164665f ..../.S..$.jadf_ + 68330 6e627566 5f70756c 6c5f6865 61640000 nbuf_pull_head.. + 68340 00167801 01039201 20029000 008e4f6c ..x..... .....Ol + 68350 008e4f96 00004c53 1b24016a 62756600 ..O...LS.$.jbuf. + 68360 0000262e 01521b24 016a7369 7a650000 ..&..R.$.jsize.. + 68370 001b2f01 53001a24 01886164 665f6e62 ../.S..$..adf_nb + 68380 75665f6c 656e0000 001b2f01 01039201 uf_len..../..... + 68390 20029000 008e4f98 008e4fa0 00004c8c .....O...O...L. + 683a0 1b240188 62756600 0000262e 0152001c .$..buf...&..R.. + 683b0 2401b561 64665f6e 6275665f 7065656b $..adf_nbuf_peek + 683c0 5f686561 64657200 01010392 01200290 _header...... .. + 683d0 00008e4f a0008e4f b500004c e61b2401 ...O...O...L..$. + 683e0 b5627566 00000026 2e01521b 2401b561 .buf...&..R.$..a + 683f0 64647200 000024a9 01531b24 01b56c65 ddr...$..S.$..le + 68400 6e000000 24b00154 001a2401 c5616466 n...$..T..$..adf + 68410 5f6e6275 665f6765 745f7072 69760000 _nbuf_get_priv.. + 68420 00167801 01039201 20029000 008e4fb8 ..x..... .....O. + 68430 008e4fbf 00004d24 1b2401c5 62756600 ..O...M$.$..buf. + 68440 0000262e 0152001d 01504854 435f4173 ..&..R...PHTC_As + 68450 73656d62 6c654275 66666572 73000101 sembleBuffers... + 68460 03920120 02900000 8e4fc000 8e4fd700 ... .....O...O.. + 68470 004d7c1e 01507048 54430000 0049bc01 .M|..PpHTC...I.. + 68480 521e0150 436f756e 74000000 00fd0153 R..PCount......S + 68490 1e015053 697a6500 000000fd 0154001f ..PSize......T.. + 684a0 01575f48 54435f49 6e697400 000039f0 .W_HTC_Init...9. + 684b0 01010103 92013002 9000008e 4fd8008e ......0.....O... + 684c0 50b80000 4df71e01 57536574 7570436f P...M...WSetupCo + 684d0 6d706c65 74650000 003a0201 521e0157 mplete...:..R..W + 684e0 70436f6e 66696700 00003a2d 01532070 pConfig...:-.S p + 684f0 48544300 000049bc 21686966 4342436f HTC...I.!hifCBCo + 68500 6e666967 00000028 d5029150 20686f73 nfig...(...P hos + 68510 745f6966 00000005 1f002201 b25f4854 t_if......".._HT + 68520 435f5368 7574646f 776e0001 01010392 C_Shutdown...... + 68530 01200290 00008e50 b8008e50 bd00004e . .....P...P...N + 68540 3c1e01b2 68746348 616e646c 65000000 <...htcHandle... + 68550 39f00152 20704854 43000000 49bc001d 9..R pHTC...I... + 68560 01b95f48 54435f52 65676973 74657253 .._HTC_RegisterS + 68570 65727669 63650001 01039201 20029000 ervice...... ... + 68580 008e50c0 008e50cd 00004e99 1e01b968 ..P...P...N....h + 68590 74634861 6e646c65 00000039 f001521e tcHandle...9..R. + 685a0 01b97053 65727669 63650000 003a5b01 ..pService...:[. + 685b0 53207048 54430000 0049bc00 1d01c25f S pHTC...I....._ + 685c0 4854435f 52656164 79000101 03920120 HTC_Ready...... + 685d0 02900000 8e50d000 8e512200 004efd1e .....P...Q"..N.. + 685e0 01c26874 6348616e 646c6500 000039f0 ..htcHandle...9. + 685f0 01522070 48544300 000049bc 20704275 .R pHTC...I. pBu + 68600 66666572 00000026 2e206164 64720000 ffer...&. addr.. + 68610 00167820 70526561 64790000 0049e500 ..x pReady...I.. + 68620 1d01de52 65747572 6e427566 66657273 ...ReturnBuffers + 68630 00010103 92012002 9000008e 5124008e ...... .....Q$.. + 68640 51a10000 4f9c1e01 de687463 48616e64 Q...O....htcHand + 68650 6c650000 0039f001 521e01de 456e6470 le...9..R...Endp + 68660 6f696e74 49440000 00390101 531e01de ointID...9..S... + 68670 70427566 66657273 00000026 2e01541e pBuffers...&..T. + 68680 01de7365 6e644372 65646974 466c6167 ..sendCreditFlag + 68690 00000049 ec015520 70485443 00000049 ...I..U pHTC...I + 686a0 bc206570 43726564 69744d61 736b0000 . epCreditMask.. + 686b0 00090920 6e627566 73000000 1b8f0023 ... nbufs......# + 686c0 0101085f 4854435f 52657475 726e4275 ..._HTC_ReturnBu + 686d0 66666572 734c6973 74000101 01049201 ffersList....... + 686e0 c0000290 00008e51 a4008e51 db000050 .......Q...Q...P + 686f0 2d1b0101 08687463 48616e64 6c650000 -....htcHandle.. + 68700 0039f001 521b0101 08456e64 706f696e .9..R....Endpoin + 68710 74494400 00003901 01531b01 01086275 tID...9..S....bu + 68720 66486561 64000000 27340154 20704854 fHead...'4.T pHT + 68730 43000000 49bc206e 65746275 66000000 C...I. netbuf... + 68740 262e2074 6d704e62 75660000 00262e00 &. tmpNbuf...&.. + 68750 2401011b 5f485443 5f526574 75726e42 $..._HTC_ReturnB + 68760 75666665 72730001 01039201 20029000 uffers...... ... + 68770 008e51dc 008e51ec 00005096 1b01011b ..Q...Q...P..... + 68780 68746348 616e646c 65000000 39f00152 htcHandle...9..R + 68790 1b01011b 456e6470 6f696e74 49440000 ....EndpointID.. + 687a0 00390101 531b0101 1b704275 66666572 .9..S....pBuffer + 687b0 73000000 262e0154 00230101 205f4854 s...&..T.#.. _HT + 687c0 435f5365 6e644d73 67000101 01049201 C_SendMsg....... + 687d0 c0000290 00008e51 ec008e53 46000051 .......Q...SF..Q + 687e0 b91b0101 20687463 48616e64 6c650000 .... htcHandle.. + 687f0 0039f001 521b0101 20456e64 706f696e .9..R... Endpoin + 68800 74494400 00003901 01531b01 01207042 tID...9..S... pB + 68810 75666665 72730000 00262e01 54207048 uffers...&..T pH + 68820 54430000 0049bc20 63747800 00004a03 TC...I. ctx...J. + 68830 20746f74 737a0000 0000fd20 70485443 totsz..... pHTC + 68840 48647200 00004a1d 20706970 654d6178 Hdr...J. pipeMax + 68850 4c656e00 000000fd 20726f6f 6d466f72 Len..... roomFor + 68860 50697065 4d61784c 656e0000 00090920 PipeMaxLen..... + 68870 726f6f6d 00000000 fd20746f 74616c52 room..... totalR + 68880 65706f72 74427974 65730000 0000fd20 eportBytes..... + 68890 63726564 69747350 656e6469 6e674d61 creditsPendingMa + 688a0 70000000 09092070 52656348 64720000 p..... pRecHdr.. + 688b0 004a3820 69000000 00fd2063 6f6d7061 .J8 i..... compa + 688c0 72654d61 736b0000 00090920 70437265 reMask..... pCre + 688d0 64697452 70740000 004a5a00 240101ab ditRpt...JZ.$... + 688e0 5f485443 5f506175 73655265 63760001 _HTC_PauseRecv.. + 688f0 01039201 20029000 008e5348 008e534d .... .....SH..SM + 68900 000051f7 1b0101ab 456e6470 6f696e74 ..Q.....Endpoint + 68910 49440000 00390101 52002401 01b65f48 ID...9..R.$..._H + 68920 54435f52 6573756d 65526563 76000101 TC_ResumeRecv... + 68930 03920120 02900000 8e535000 8e535500 ... .....SP..SU. + 68940 0052361b 0101b645 6e64706f 696e7449 .R6....EndpointI + 68950 44000000 39010152 00250101 d45f4854 D...9..R.%..._HT + 68960 435f4765 74526573 65727665 64486561 C_GetReservedHea + 68970 64726f6f 6d000000 00fd0101 03920120 droom.......... + 68980 02900000 8e535800 8e536b00 00528b1b .....SX..Sk..R.. + 68990 0101d468 74634861 6e646c65 00000039 ...htcHandle...9 + 689a0 f0015220 70485443 00000049 bc002401 ..R pHTC...I..$. + 689b0 01db6874 635f6d6f 64756c65 5f696e73 ..htc_module_ins + 689c0 74616c6c 00010103 92012002 9000008e tall...... ..... + 689d0 536c008e 53ad0000 52c81b01 01db7041 Sl..S...R.....pA + 689e0 50497300 00004a65 01520024 0101f648 PIs...Je.R.$...H + 689f0 54434672 65654d73 67427566 66657200 TCFreeMsgBuffer. + 68a00 01010392 01200290 00008e53 b0008e53 ..... .....S...S + 68a10 c5000053 101b0101 f6704854 43000000 ...S.....pHTC... + 68a20 49bc0152 1b0101f6 62756600 0000262e I..R....buf...&. + 68a30 01530025 0101fd48 5443416c 6c6f634d .S.%...HTCAllocM + 68a40 73674275 66666572 00000026 2e010103 sgBuffer...&.... + 68a50 92012002 9000008e 53c8008e 53e70000 .. .....S...S... + 68a60 534f1b01 01fd7048 54430000 0049bc01 SO....pHTC...I.. + 68a70 52002401 02024854 43436865 636b416e R.$...HTCCheckAn + 68a80 6453656e 64437265 64697452 65706f72 dSendCreditRepor + 68a90 74000101 03920120 02900000 8e53e800 t...... .....S.. + 68aa0 8e544600 0053e11b 01020270 48544300 .TF..S.....pHTC. + 68ab0 000049bc 01521b01 02024570 4d61736b ..I..R....EpMask + 68ac0 00000009 0901531b 01020270 456e6470 ......S....pEndp + 68ad0 6f696e74 00000049 fa01541b 01020245 oint...I..T....E + 68ae0 69640000 00390101 55207043 72656442 id...9..U pCredB + 68af0 75666665 72000000 262e2063 74780000 uffer...&. ctx.. + 68b00 004a0300 24010244 48544350 726f6365 .J..$..DHTCProce + 68b10 7373436f 6e6e6563 744d7367 00010103 ssConnectMsg.... + 68b20 92013002 9000008e 5448008e 55400000 ..0.....TH..U@.. + 68b30 54b21b01 02447048 54430000 0049bc01 T....DpHTC...I.. + 68b40 521b0102 44704d73 67000000 4a8d0153 R...DpMsg...J..S + 68b50 20705365 72766963 65000000 3a5b2063 pService...:[ c + 68b60 6f6e6e65 63745374 61747573 00000012 onnectStatus.... + 68b70 c3216d65 74614461 74614f75 744c656e .!metaDataOutLen + 68b80 00000000 fd029150 20736572 76696365 .......P service + 68b90 49640000 0012d720 70427566 66657200 Id..... pBuffer. + 68ba0 0000262e 20705273 704d7367 0000004a ..&. pRspMsg...J + 68bb0 bc20704d 65746144 61746149 4e000000 . pMetaDataIN... + 68bc0 12d02070 4d657461 44617461 4f757400 .. pMetaDataOut. + 68bd0 000012d0 00240102 9c485443 50726f63 .....$...HTCProc + 68be0 65737343 6f6e6669 67506970 654d7367 essConfigPipeMsg + 68bf0 00010103 92012002 9000008e 5540008e ...... .....U@.. + 68c00 55b50000 551c1b01 029c7048 54430000 U...U.....pHTC.. + 68c10 0049bc01 521b0102 9c704d73 67000000 .I..R....pMsg... + 68c20 4adc0153 20704275 66666572 00000026 J..S pBuffer...& + 68c30 2e207052 73704d73 67000000 4b070024 . pRspMsg...K..$ + 68c40 0102c748 5443436f 6e74726f 6c537663 ...HTCControlSvc + 68c50 50726f63 6573734d 73670001 01039201 ProcessMsg...... + 68c60 30029000 008e55b8 008e563e 000055dc 0.....U...V>..U. + 68c70 1b0102c7 456e6470 6f696e74 49440000 ....EndpointID.. + 68c80 00390101 521b0102 c7686472 5f627566 .9..R....hdr_buf + 68c90 00000026 2e01531b 0102c770 42756666 ...&..S....pBuff + 68ca0 65727300 0000262e 01541b01 02c76172 ers...&..T....ar + 68cb0 67000000 03f20155 20736574 7570436f g......U setupCo + 68cc0 6d706c65 74650000 0049ec20 70485443 mplete...I. pHTC + 68cd0 00000049 bc21616e 62646174 61000000 ...I.!anbdata... + 68ce0 16780291 5021616e 626c656e 00000016 .x..P!anblen.... + 68cf0 02029154 20704d73 67000000 4b230024 ...T pMsg...K#.$ + 68d00 01030448 5443436f 6e74726f 6c537663 ...HTCControlSvc + 68d10 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl + 68d20 65746500 01010392 01200290 00008e56 ete...... .....V + 68d30 40008e56 7f000056 771b0103 04456e64 @..V...Vw....End + 68d40 706f696e 74494400 00003901 01521b01 pointID...9..R.. + 68d50 03047042 75666665 72730000 00262e01 ..pBuffers...&.. + 68d60 531b0103 04617267 00000003 f2015420 S....arg......T + 68d70 70485443 00000049 bc206374 78000000 pHTC...I. ctx... + 68d80 4a032063 72656469 74527074 456e6470 J. creditRptEndp + 68d90 6f696e74 00000039 01002401 03344854 oint...9..$..4HT + 68da0 4353656e 64446f6e 6548616e 646c6572 CSendDoneHandler + 68db0 00010103 92012002 9000008e 5680008e ...... .....V... + 68dc0 56a70000 56e81b01 03346275 66000000 V...V....4buf... + 68dd0 262e0152 1b010334 636f6e74 65787400 &..R...4context. + 68de0 000003f2 01532070 48544300 000049bc .....S pHTC...I. + 68df0 20637478 0000004a 03206375 7272656e ctx...J. curren + 68e00 745f6569 64000000 12c30024 01038141 t_eid......$...A + 68e10 646a7573 74437265 64697454 68726573 djustCreditThres + 68e20 686f6c64 00010103 92012002 9000008e hold...... ..... + 68e30 56a8008e 56d50000 57441b01 03817045 V...V...WD....pE + 68e40 6e64706f 696e7400 000049fa 01522063 ndpoint...I..R c + 68e50 72656469 74734f75 74737461 6e64696e reditsOutstandin + 68e60 67000000 1b8f0024 01039a52 65646973 g......$...Redis + 68e70 74726962 75746543 72656469 74000101 tributeCredit... + 68e80 03920120 02900000 8e56d800 8e56dd00 ... .....V...V.. + 68e90 0057921b 01039a62 75660000 00262e01 .W.....buf...&.. + 68ea0 521b0103 9a746f50 69706549 64000000 R....toPipeId... + 68eb0 00fd0153 00260103 a0485443 4d736752 ...S.&...HTCMsgR + 68ec0 65637648 616e646c 65720001 01049201 ecvHandler...... + 68ed0 c0000290 00008e56 e0008e57 df1b0103 .......V...W.... + 68ee0 a0686472 5f627566 00000026 2e01521b .hdr_buf...&..R. + 68ef0 0103a062 75666665 72000000 262e0153 ...buffer...&..S + 68f00 1b0103a0 636f6e74 65787400 000003f2 ....context..... + 68f10 01542070 48544300 000049bc 20736571 .T pHTC...I. seq + 68f20 64696666 00000016 0220486f 73745365 diff..... HostSe + 68f30 714e756d 00000016 0220746d 705f6e62 qNum..... tmp_nb + 68f40 75660000 00262e21 616e6264 61746100 uf...&.!anbdata. + 68f50 00001678 02914021 616e626c 656e0000 ...x..@!anblen.. + 68f60 00160202 91442070 48544348 64720000 .....D pHTCHdr.. + 68f70 004a1d20 746f7473 7a000000 12d72065 .J. totsz..... e + 68f80 69640000 0000fd20 70456e64 706f696e id..... pEndpoin + 68f90 74000000 49fa2065 69644d61 736b0000 t...I. eidMask.. + 68fa0 00090920 6c656e00 00001602 20507265 ... len..... Pre + 68fb0 73656e74 63726564 69747300 00001602 sentcredits..... + 68fc0 00000000 004c2b00 02000026 1b04012f .....L+....&.../ + 68fd0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 68fe0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 68ff0 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 69000 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 69010 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 69020 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 69030 5f656e67 696e652f 7372632f 646d615f _engine/src/dma_ + 69040 656e6769 6e652e63 002f726f 6f742f57 engine.c./root/W + 69050 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 69060 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 69070 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 69080 656e6769 6e650078 742d7863 6320666f engine.xt-xcc fo + 69090 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali + 690a0 676e5f69 6e737472 75637469 6f6e733d gn_instructions= + 690b0 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: + 690c0 73706163 65000100 00017880 025f5644 space.....x.._VD + 690d0 45534300 24000001 95036e65 78745f64 ESC.$.....next_d + 690e0 65736300 00000195 02230003 6275665f esc......#..buf_ + 690f0 61646472 00000001 ba022304 03627566 addr......#..buf + 69100 5f73697a 65000000 01d70223 08036461 _size......#..da + 69110 74615f6f 66667365 74000000 01d70223 ta_offset......# + 69120 0a036461 74615f73 697a6500 000001d7 ..data_size..... + 69130 02230c03 636f6e74 726f6c00 000001d7 .#..control..... + 69140 02230e03 68775f64 6573635f 62756600 .#..hw_desc_buf. + 69150 000001e5 02231000 04000001 09040005 .....#.......... + 69160 756e7369 676e6564 20636861 72000701 unsigned char... + 69170 06415f55 494e5438 00000001 9c040000 .A_UINT8........ + 69180 01ad0400 0573686f 72742075 6e736967 .....short unsig + 69190 6e656420 696e7400 07020641 5f55494e ned int....A_UIN + 691a0 54313600 000001c1 07000001 ad140000 T16............. + 691b0 01f20813 00040000 01090400 05696e74 .............int + 691c0 00050409 01040000 02000400 06564445 .............VDE + 691d0 53430000 00010904 00000209 04000a00 SC.............. + 691e0 00021401 04000002 1b04000a 000001ba ................ + 691f0 01040000 02280400 09010400 00023504 .....(........5. + 69200 000b0400 02766465 73635f61 70690014 .....vdesc_api.. + 69210 000002b0 035f696e 69740000 00020202 ....._init...... + 69220 2300035f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 69230 00000221 02230403 5f676574 5f68775f ...!.#.._get_hw_ + 69240 64657363 00000002 2e022308 035f7377 desc......#.._sw + 69250 61705f76 64657363 00000002 3702230c ap_vdesc....7.#. + 69260 03705265 73657276 65640000 00023e02 .pReserved....>. + 69270 23100002 5f564255 46002000 00031003 #..._VBUF. ..... + 69280 64657363 5f6c6973 74000000 02140223 desc_list......# + 69290 00036e65 78745f62 75660000 00031002 ..next_buf...... + 692a0 23040362 75665f6c 656e6774 68000000 #..buf_length... + 692b0 01d70223 08037265 73657276 65640000 ...#..reserved.. + 692c0 00031702 230a0363 74780000 0001e502 ....#..ctx...... + 692d0 230c0004 000002b0 04000700 0001ad02 #............... + 692e0 00000324 08010004 000002b0 04000656 ...$...........V + 692f0 42554600 000002b0 04000003 2b04000a BUF.........+... + 69300 00000335 01040000 033c0400 0a000003 ...5.....<...... + 69310 35010400 00034904 00090104 00000356 5.....I........V + 69320 04000276 6275665f 61706900 14000003 ...vbuf_api..... + 69330 d4035f69 6e697400 00000202 02230003 .._init......#.. + 69340 5f616c6c 6f635f76 62756600 00000342 _alloc_vbuf....B + 69350 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 69360 77697468 5f73697a 65000000 034f0223 with_size....O.# + 69370 08035f66 7265655f 76627566 00000003 .._free_vbuf.... + 69380 5802230c 03705265 73657276 65640000 X.#..pReserved.. + 69390 00023e02 23100002 7a73446d 61446573 ..>.#...zsDmaDes + 693a0 63001400 00045603 6374726c 00000001 c.....V.ctrl.... + 693b0 c1022300 03737461 74757300 000001c1 ..#..status..... + 693c0 02230203 746f7461 6c4c656e 00000001 .#..totalLen.... + 693d0 c1022304 03646174 6153697a 65000000 ..#..dataSize... + 693e0 01c10223 06036c61 73744164 64720000 ...#..lastAddr.. + 693f0 00045602 23080364 61746141 64647200 ..V.#..dataAddr. + 69400 0000045d 02230c03 6e657874 41646472 ...].#..nextAddr + 69410 00000004 56022310 00040000 03d40400 ....V.#......... + 69420 056c6f6e 6720756e 7369676e 65642069 .long unsigned i + 69430 6e740007 04040000 03d40400 027a7344 nt...........zsD + 69440 6d615175 65756500 08000004 ab036865 maQueue.......he + 69450 61640000 00047202 23000374 65726d69 ad....r.#..termi + 69460 6e61746f 72000000 04720223 0400027a nator....r.#...z + 69470 73547844 6d615175 65756500 10000005 sTxDmaQueue..... + 69480 0f036865 61640000 00047202 23000374 ..head....r.#..t + 69490 65726d69 6e61746f 72000000 04720223 erminator....r.# + 694a0 0403786d 69746564 5f627566 5f686561 ..xmited_buf_hea + 694b0 64000000 03350223 0803786d 69746564 d....5.#..xmited + 694c0 5f627566 5f746169 6c000000 03350223 _buf_tail....5.# + 694d0 0c000901 04000005 0f040004 00000479 ...............y + 694e0 04000901 04000005 1f040004 000004ab ................ + 694f0 04000901 04000005 2f040009 01040000 ......../....... + 69500 05380400 09010400 00054104 000a0000 .8........A..... + 69510 03350104 0000054a 04000901 04000005 .5.....J........ + 69520 5704000a 00000335 01040000 05600400 W......5.....`.. + 69530 09010400 00056d04 000a0000 01f90104 ......m......... + 69540 00000576 04000a00 00047201 04000005 ...v......r..... + 69550 83040009 01040000 05900400 02646d61 .............dma + 69560 5f656e67 696e655f 61706900 40000007 _engine_api.@... + 69570 06035f69 6e697400 00000511 02230003 .._init......#.. + 69580 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. + 69590 00052102 2304035f 696e6974 5f74785f ..!.#.._init_tx_ + 695a0 71756575 65000000 05310223 08035f63 queue....1.#.._c + 695b0 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. + 695c0 00053a02 230c035f 786d6974 5f627566 ..:.#.._xmit_buf + 695d0 00000005 43022310 035f666c 7573685f ....C.#.._flush_ + 695e0 786d6974 00000005 21022314 035f7265 xmit....!.#.._re + 695f0 61705f72 6563765f 62756600 00000550 ap_recv_buf....P + 69600 02231803 5f726574 75726e5f 72656376 .#.._return_recv + 69610 5f627566 00000005 5902231c 035f7265 _buf....Y.#.._re + 69620 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... + 69630 05660223 20035f73 7761705f 64617461 .f.# ._swap_data + 69640 00000005 6f022324 035f6861 735f636f ....o.#$._has_co + 69650 6d706c5f 7061636b 65747300 0000057c mpl_packets....| + 69660 02232803 5f646573 635f6475 6d700000 .#(._desc_dump.. + 69670 00052102 232c035f 6765745f 7061636b ..!.#,._get_pack + 69680 65740000 00058902 2330035f 7265636c et......#0._recl + 69690 61696d5f 7061636b 65740000 00059202 aim_packet...... + 696a0 2334035f 7075745f 7061636b 65740000 #4._put_packet.. + 696b0 00059202 23380370 52657365 72766564 ....#8.pReserved + 696c0 00000002 3e02233c 00090104 00000706 ....>.#<........ + 696d0 04000563 68617200 07010c00 00070f0c ...char......... + 696e0 0000070f 04000007 1c04000a 000001f9 ................ + 696f0 01040000 07280400 02707269 6e74665f .....(...printf_ + 69700 61706900 08000007 6c035f70 72696e74 api.....l._print + 69710 665f696e 69740000 00070802 2300035f f_init......#.._ + 69720 7072696e 74660000 00072e02 23040006 printf......#... + 69730 75696e74 31365f74 00000001 c1067569 uint16_t......ui + 69740 6e743332 5f740000 00045d02 75617274 nt32_t....].uart + 69750 5f666966 6f000800 0007d303 73746172 _fifo.......star + 69760 745f696e 64657800 0000076c 02230003 t_index....l.#.. + 69770 656e645f 696e6465 78000000 076c0223 end_index....l.# + 69780 02036f76 65727275 6e5f6572 72000000 ..overrun_err... + 69790 077a0223 04000275 6172745f 61706900 .z.#...uart_api. + 697a0 20000008 8c035f75 6172745f 696e6974 ....._uart_init + 697b0 00000008 e3022300 035f7561 72745f63 ......#.._uart_c + 697c0 6861725f 70757400 000008f9 02230403 har_put......#.. + 697d0 5f756172 745f6368 61725f67 65740000 _uart_char_get.. + 697e0 00090d02 2308035f 75617274 5f737472 ....#.._uart_str + 697f0 5f6f7574 00000009 1602230c 035f7561 _out......#.._ua + 69800 72745f74 61736b00 00000708 02231003 rt_task......#.. + 69810 5f756172 745f7374 61747573 00000008 _uart_status.... + 69820 e3022314 035f7561 72745f63 6f6e6669 ..#.._uart_confi + 69830 67000000 091f0223 18035f75 6172745f g......#.._uart_ + 69840 6877696e 69740000 00092802 231c0004 hwinit....(.#... + 69850 000007d3 04000275 6172745f 626c6b00 .......uart_blk. + 69860 10000008 dd036465 6275675f 6d6f6465 ......debug_mode + 69870 00000007 6c022300 03626175 64000000 ....l.#..baud... + 69880 076c0223 02035f75 61727400 0000088c .l.#.._uart..... + 69890 02230403 5f747800 00000788 02230800 .#.._tx......#.. + 698a0 0a000007 7a010400 0008dd04 00067569 ....z.........ui + 698b0 6e74385f 74000000 019c0901 04000008 nt8_t........... + 698c0 f7040004 000008ea 04000a00 00076c01 ..............l. + 698d0 04000009 07040009 01040000 09140400 ................ + 698e0 09010400 00091d04 00090104 00000926 ...............& + 698f0 04000400 00070f04 000a0000 01f90104 ................ + 69900 00000936 04000244 425f434f 4d4d414e ...6...DB_COMMAN + 69910 445f5354 52554354 000c0000 098e0363 D_STRUCT.......c + 69920 6d645f73 74720000 00092f02 23000368 md_str..../.#..h + 69930 656c705f 73747200 0000092f 02230403 elp_str..../.#.. + 69940 636d645f 66756e63 00000009 3c022308 cmd_func....<.#. + 69950 00026462 675f6170 69000800 0009c103 ..dbg_api....... + 69960 5f646267 5f696e69 74000000 07080223 _dbg_init......# + 69970 00035f64 62675f74 61736b00 00000708 .._dbg_task..... + 69980 02230400 05756e73 69676e65 6420696e .#...unsigned in + 69990 74000704 0a000002 3e010400 0009d104 t.......>....... + 699a0 000d0d04 000009df 04000a00 00023e01 ..............>. + 699b0 04000009 e704000a 000001f9 01040000 ................ + 699c0 09f40400 026d656d 5f617069 00140000 .....mem_api.... + 699d0 0a63035f 6d656d5f 696e6974 00000007 .c._mem_init.... + 699e0 08022300 035f6d65 6d736574 00000009 ..#.._memset.... + 699f0 d7022304 035f6d65 6d637079 00000009 ..#.._memcpy.... + 69a00 ed022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... + 69a10 09ed0223 0c035f6d 656d636d 70000000 ...#.._memcmp... + 69a20 09fa0223 10000e72 65676973 7465725f ...#...register_ + 69a30 64756d70 5f730000 01040000 0a630400 dump_s.......c.. + 69a40 09010400 000a7d04 00090104 00000a86 ......}......... + 69a50 04000a00 0001f901 0400000a 8f04000f ................ + 69a60 686f7374 69665f73 00040000 0aeb1048 hostif_s.......H + 69a70 49465f55 53420000 10484946 5f504349 IF_USB...HIF_PCI + 69a80 45000110 4849465f 474d4143 00021048 E...HIF_GMAC...H + 69a90 49465f50 43490003 10484946 5f4e554d IF_PCI...HIF_NUM + 69aa0 00041048 49465f4e 4f4e4500 05000641 ...HIF_NONE....A + 69ab0 5f484f53 54494600 00000a9c 0a00000a _HOSTIF......... + 69ac0 eb010400 000af904 000a0000 08ea0104 ................ + 69ad0 00000b06 04000a00 00076c01 0400000b ..........l..... + 69ae0 13040002 6d697363 5f617069 00240000 ....misc_api.$.. + 69af0 0c03035f 73797374 656d5f72 65736574 ..._system_reset + 69b00 00000007 08022300 035f6d61 635f7265 ......#.._mac_re + 69b10 73657400 00000708 02230403 5f617373 set......#.._ass + 69b20 6661696c 0000000a 7f022308 035f6d69 fail......#.._mi + 69b30 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha + 69b40 6e646c65 72000000 0a7f0223 0c035f72 ndler......#.._r + 69b50 65706f72 745f6661 696c7572 655f746f eport_failure_to + 69b60 5f686f73 74000000 0a880223 10035f74 _host......#.._t + 69b70 61726765 745f6964 5f676574 0000000a arget_id_get.... + 69b80 95022314 035f6973 5f686f73 745f7072 ..#.._is_host_pr + 69b90 6573656e 74000000 0aff0223 18035f6b esent......#.._k + 69ba0 62686974 0000000b 0c02231c 035f726f bhit......#.._ro + 69bb0 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... + 69bc0 0b190223 20000a00 00092f01 0400000c ...# ...../..... + 69bd0 0304000a 0000092f 01040000 0c100400 ......./........ + 69be0 0a000001 f9010400 000c1d04 000a0000 ................ + 69bf0 01f90104 00000c2a 04000a00 0001f901 .......*........ + 69c00 0400000c 37040002 73747269 6e675f61 ....7...string_a + 69c10 70690018 00000cbd 035f7374 72696e67 pi......._string + 69c20 5f696e69 74000000 07080223 00035f73 _init......#.._s + 69c30 74726370 79000000 0c090223 04035f73 trcpy......#.._s + 69c40 74726e63 70790000 000c1602 2308035f trncpy......#.._ + 69c50 7374726c 656e0000 000c2302 230c035f strlen....#.#.._ + 69c60 73747263 6d700000 000c3002 2310035f strcmp....0.#.._ + 69c70 7374726e 636d7000 00000c3d 02231400 strncmp....=.#.. + 69c80 07000009 c1140000 0cca0804 00065f41 .............._A + 69c90 5f54494d 45525f53 50414345 0000000c _TIMER_SPACE.... + 69ca0 bd06415f 74696d65 725f7400 00000cca ..A_timer_t..... + 69cb0 0400000c de040009 01040000 0cf40400 ................ + 69cc0 09010400 000cfd04 0006415f 48414e44 ..........A_HAND + 69cd0 4c450000 0009c109 0106415f 54494d45 LE........A_TIME + 69ce0 525f4655 4e430000 000d1404 00000d16 R_FUNC.......... + 69cf0 04000901 0400000d 2f040002 74696d65 ......../...time + 69d00 725f6170 69001400 000dae03 5f74696d r_api......._tim + 69d10 65725f69 6e697400 00000708 02230003 er_init......#.. + 69d20 5f74696d 65725f61 726d0000 000cf602 _timer_arm...... + 69d30 2304035f 74696d65 725f6469 7361726d #.._timer_disarm + 69d40 0000000c ff022308 035f7469 6d65725f ......#.._timer_ + 69d50 73657466 6e000000 0d310223 0c035f74 setfn....1.#.._t + 69d60 696d6572 5f72756e 00000007 08022310 imer_run......#. + 69d70 0006424f 4f4c4541 4e000000 076c0a00 ..BOOLEAN....l.. + 69d80 000dae01 0400000d bb04000a 00000dae ................ + 69d90 01040000 0dc80400 0a00000d ae010400 ................ + 69da0 000dd504 0002726f 6d705f61 70690010 ......romp_api.. + 69db0 00000e47 035f726f 6d705f69 6e697400 ...G._romp_init. + 69dc0 00000708 02230003 5f726f6d 705f646f .....#.._romp_do + 69dd0 776e6c6f 61640000 000dc102 2304035f wnload......#.._ + 69de0 726f6d70 5f696e73 74616c6c 0000000d romp_install.... + 69df0 ce022308 035f726f 6d705f64 65636f64 ..#.._romp_decod + 69e00 65000000 0ddb0223 0c000272 6f6d5f70 e......#...rom_p + 69e10 61746368 5f737400 1000000e a3036372 atch_st.......cr + 69e20 63313600 0000076c 02230003 6c656e00 c16....l.#..len. + 69e30 0000076c 02230203 6c645f61 64647200 ...l.#..ld_addr. + 69e40 0000077a 02230403 66756e5f 61646472 ...z.#..fun_addr + 69e50 00000007 7a022308 03706675 6e000000 ....z.#..pfun... + 69e60 09000223 0c000265 65705f72 65646972 ...#...eep_redir + 69e70 5f616464 72000400 000ed503 6f666673 _addr.......offs + 69e80 65740000 00076c02 23000373 697a6500 et....l.#..size. + 69e90 0000076c 02230200 06415f55 494e5433 ...l.#...A_UINT3 + 69ea0 32000000 09c10a00 00023e01 0400000e 2.........>..... + 69eb0 e3040002 616c6c6f 6372616d 5f617069 ....allocram_api + 69ec0 000c0000 0f540363 6d6e6f73 5f616c6c .....T.cmnos_all + 69ed0 6f637261 6d5f696e 69740000 000ee902 ocram_init...... + 69ee0 23000363 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra + 69ef0 6d000000 0ee90223 0403636d 6e6f735f m......#..cmnos_ + 69f00 616c6c6f 6372616d 5f646562 75670000 allocram_debug.. + 69f10 00070802 23080009 01040000 0f540400 ....#........T.. + 69f20 06415f54 41534b4c 45545f46 554e4300 .A_TASKLET_FUNC. + 69f30 00000f56 025f7461 736b6c65 74001000 ...V._tasklet... + 69f40 000fb503 66756e63 0000000f 5d022300 ....func....].#. + 69f50 03617267 00000002 3e022304 03737461 .arg....>.#..sta + 69f60 74650000 0001f902 2308036e 65787400 te......#..next. + 69f70 00000fb5 02230c00 0400000f 71040004 .....#......q... + 69f80 00000f71 04000641 5f746173 6b6c6574 ...q...A_tasklet + 69f90 5f740000 000f7104 00000fc3 04000901 _t....q......... + 69fa0 0400000f db040009 01040000 0fe40400 ................ + 69fb0 02746173 6b6c6574 5f617069 00140000 .tasklet_api.... + 69fc0 1079035f 7461736b 6c65745f 696e6974 .y._tasklet_init + 69fd0 00000007 08022300 035f7461 736b6c65 ......#.._taskle + 69fe0 745f696e 69745f74 61736b00 00000fdd t_init_task..... + 69ff0 02230403 5f746173 6b6c6574 5f646973 .#.._tasklet_dis + 6a000 61626c65 0000000f e6022308 035f7461 able......#.._ta + 6a010 736b6c65 745f7363 68656475 6c650000 sklet_schedule.. + 6a020 000fe602 230c035f 7461736b 6c65745f ....#.._tasklet_ + 6a030 72756e00 00000708 02231000 09010400 run......#...... + 6a040 00107904 000a0000 0ed50104 00001082 ..y............. + 6a050 04000263 6c6f636b 5f617069 00240000 ...clock_api.$.. + 6a060 1168035f 636c6f63 6b5f696e 69740000 .h._clock_init.. + 6a070 00107b02 2300035f 636c6f63 6b726567 ..{.#.._clockreg + 6a080 735f696e 69740000 00070802 2304035f s_init......#.._ + 6a090 75617274 5f667265 7175656e 63790000 uart_frequency.. + 6a0a0 00108802 2308035f 64656c61 795f7573 ....#.._delay_us + 6a0b0 00000002 0202230c 035f776c 616e5f62 ......#.._wlan_b + 6a0c0 616e645f 73657400 00000202 02231003 and_set......#.. + 6a0d0 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 6a0e0 74000000 10880223 14035f6d 696c6c69 t......#.._milli + 6a0f0 7365636f 6e647300 00001088 02231803 seconds......#.. + 6a100 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 6a110 00070802 231c035f 636c6f63 6b5f7469 ....#.._clock_ti + 6a120 636b0000 00070802 2320000a 0000077a ck......# .....z + 6a130 01040000 11680400 06415f6f 6c645f69 .....h...A_old_i + 6a140 6e74725f 74000000 077a0a00 00117501 ntr_t....z....u. + 6a150 04000011 87040009 01040000 11940400 ................ + 6a160 09010400 00119d04 000a0000 077a0104 .............z.. + 6a170 000011a6 04000641 5f697372 5f740000 .......A_isr_t.. + 6a180 0011ac09 01040000 11c00400 0a000009 ................ + 6a190 c1010400 0011c904 00090104 000011d6 ................ + 6a1a0 04000269 6e74725f 61706900 2c000012 ...intr_api.,... + 6a1b0 f8035f69 6e74725f 696e6974 00000007 .._intr_init.... + 6a1c0 08022300 035f696e 74725f69 6e766f6b ..#.._intr_invok + 6a1d0 655f6973 72000000 116e0223 04035f69 e_isr....n.#.._i + 6a1e0 6e74725f 64697361 626c6500 0000118d ntr_disable..... + 6a1f0 02230803 5f696e74 725f7265 73746f72 .#.._intr_restor + 6a200 65000000 11960223 0c035f69 6e74725f e......#.._intr_ + 6a210 6d61736b 5f696e75 6d000000 119f0223 mask_inum......# + 6a220 10035f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 6a230 6e756d00 0000119f 02231403 5f696e74 num......#.._int + 6a240 725f6174 74616368 5f697372 00000011 r_attach_isr.... + 6a250 c2022318 035f6765 745f696e 7472656e ..#.._get_intren + 6a260 61626c65 00000011 cf02231c 035f7365 able......#.._se + 6a270 745f696e 7472656e 61626c65 00000011 t_intrenable.... + 6a280 d8022320 035f6765 745f696e 74727065 ..# ._get_intrpe + 6a290 6e64696e 67000000 11cf0223 24035f75 nding......#$._u + 6a2a0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 6a2b0 766c0000 00070802 23280011 04000013 vl......#(...... + 6a2c0 1e037469 6d656f75 74000000 077a0223 ..timeout....z.# + 6a2d0 00036163 74696f6e 00000007 7a022300 ..action....z.#. + 6a2e0 00120800 00133903 636d6400 0000077a ......9.cmd....z + 6a2f0 02230013 000012f8 02230400 06545f57 .#.......#...T_W + 6a300 44545f43 4d440000 00131e09 01040000 DT_CMD.......... + 6a310 13480400 14040000 139e1045 4e554d5f .H.........ENUM_ + 6a320 5744545f 424f4f54 00011045 4e554d5f WDT_BOOT...ENUM_ + 6a330 434f4c44 5f424f4f 54000210 454e554d COLD_BOOT...ENUM + 6a340 5f535553 505f424f 4f540003 10454e55 _SUSP_BOOT...ENU + 6a350 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 6a360 0006545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 6a370 13510a00 00139e01 04000013 af040002 .Q.............. + 6a380 7764745f 61706900 1c000014 53035f77 wdt_api.....S._w + 6a390 64745f69 6e697400 00000708 02230003 dt_init......#.. + 6a3a0 5f776474 5f656e61 626c6500 00000708 _wdt_enable..... + 6a3b0 02230403 5f776474 5f646973 61626c65 .#.._wdt_disable + 6a3c0 00000007 08022308 035f7764 745f7365 ......#.._wdt_se + 6a3d0 74000000 134a0223 0c035f77 64745f74 t....J.#.._wdt_t + 6a3e0 61736b00 00000708 02231003 5f776474 ask......#.._wdt + 6a3f0 5f726573 65740000 00070802 2314035f _reset......#.._ + 6a400 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 6a410 13b50223 18001404 000014ba 10524554 ...#.........RET + 6a420 5f535543 43455353 00001052 45545f4e _SUCCESS...RET_N + 6a430 4f545f49 4e495400 01105245 545f4e4f OT_INIT...RET_NO + 6a440 545f4558 49535400 02105245 545f4545 T_EXIST...RET_EE + 6a450 505f434f 52525550 54000310 5245545f P_CORRUPT...RET_ + 6a460 4545505f 4f564552 464c4f57 00041052 EEP_OVERFLOW...R + 6a470 45545f55 4e4b4e4f 574e0005 0006545f ET_UNKNOWN....T_ + 6a480 4545505f 52455400 00001453 04000007 EEP_RET....S.... + 6a490 6c04000a 000014ba 01040000 14d00400 l............... + 6a4a0 0a000014 ba010400 0014dd04 00026565 ..............ee + 6a4b0 705f6170 69001000 00154603 5f656570 p_api.....F._eep + 6a4c0 5f696e69 74000000 07080223 00035f65 _init......#.._e + 6a4d0 65705f72 65616400 000014d6 02230403 ep_read......#.. + 6a4e0 5f656570 5f777269 74650000 0014d602 _eep_write...... + 6a4f0 2308035f 6565705f 69735f65 78697374 #.._eep_is_exist + 6a500 00000014 e302230c 00027573 625f6170 ......#...usb_ap + 6a510 69007000 0017f303 5f757362 5f696e69 i.p....._usb_ini + 6a520 74000000 07080223 00035f75 73625f72 t......#.._usb_r + 6a530 6f6d5f74 61736b00 00000708 02230403 om_task......#.. + 6a540 5f757362 5f66775f 7461736b 00000007 _usb_fw_task.... + 6a550 08022308 035f7573 625f696e 69745f70 ..#.._usb_init_p + 6a560 68790000 00070802 230c035f 7573625f hy......#.._usb_ + 6a570 6570305f 73657475 70000000 07080223 ep0_setup......# + 6a580 10035f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 6a590 07080223 14035f75 73625f65 70305f72 ...#.._usb_ep0_r + 6a5a0 78000000 07080223 18035f75 73625f67 x......#.._usb_g + 6a5b0 65745f69 6e746572 66616365 0000000d et_interface.... + 6a5c0 ce02231c 035f7573 625f7365 745f696e ..#.._usb_set_in + 6a5d0 74657266 61636500 00000dce 02232003 terface......# . + 6a5e0 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 6a5f0 72617469 6f6e0000 000dce02 2324035f ration......#$._ + 6a600 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 6a610 6174696f 6e000000 0dce0223 28035f75 ation......#(._u + 6a620 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 6a630 00000dce 02232c03 5f757362 5f76656e .....#,._usb_ven + 6a640 646f725f 636d6400 00000708 02233003 dor_cmd......#0. + 6a650 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 6a660 00070802 2334035f 7573625f 72657365 ....#4._usb_rese + 6a670 745f6669 666f0000 00070802 2338035f t_fifo......#8._ + 6a680 7573625f 67656e5f 77647400 00000708 usb_gen_wdt..... + 6a690 02233c03 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 6a6a0 6f740000 00070802 2340035f 7573625f ot......#@._usb_ + 6a6b0 636c725f 66656174 75726500 00000dce clr_feature..... + 6a6c0 02234403 5f757362 5f736574 5f666561 .#D._usb_set_fea + 6a6d0 74757265 0000000d ce022348 035f7573 ture......#H._us + 6a6e0 625f7365 745f6164 64726573 73000000 b_set_address... + 6a6f0 0dce0223 4c035f75 73625f67 65745f64 ...#L._usb_get_d + 6a700 65736372 6970746f 72000000 0dce0223 escriptor......# + 6a710 50035f75 73625f67 65745f73 74617475 P._usb_get_statu + 6a720 73000000 0dce0223 54035f75 73625f73 s......#T._usb_s + 6a730 65747570 5f646573 63000000 07080223 etup_desc......# + 6a740 58035f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 6a750 00070802 235c035f 7573625f 73746174 ....#\._usb_stat + 6a760 75735f69 6e000000 07080223 60035f75 us_in......#`._u + 6a770 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 6a780 00070802 2364035f 7573625f 6570305f ....#d._usb_ep0_ + 6a790 72785f64 61746100 00000708 02236803 rx_data......#h. + 6a7a0 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 6a7b0 07080223 6c00025f 5f616466 5f646576 ...#l..__adf_dev + 6a7c0 69636500 04000018 15036475 6d6d7900 ice.......dummy. + 6a7d0 000001f9 02230000 0400000e d5040002 .....#.......... + 6a7e0 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... + 6a7f0 00185c03 62756600 00000335 02230003 ..\.buf....5.#.. + 6a800 64735f61 64647200 00001815 02230403 ds_addr......#.. + 6a810 64735f6c 656e0000 0001d702 23080012 ds_len......#... + 6a820 0c000018 96035f5f 76615f73 746b0000 ......__va_stk.. + 6a830 00092f02 2300035f 5f76615f 72656700 ../.#..__va_reg. + 6a840 0000092f 02230403 5f5f7661 5f6e6478 .../.#..__va_ndx + 6a850 00000001 f9022308 00065f5f 6164665f ......#...__adf_ + 6a860 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 6a870 0ed50661 64665f6f 735f646d 615f6164 ...adf_os_dma_ad + 6a880 64725f74 00000018 96065f5f 6164665f dr_t......__adf_ + 6a890 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 6a8a0 0ed50661 64665f6f 735f646d 615f7369 ...adf_os_dma_si + 6a8b0 7a655f74 00000018 c6025f5f 646d615f ze_t......__dma_ + 6a8c0 73656773 00080000 19220370 61646472 segs.....".paddr + 6a8d0 00000018 af022300 036c656e 00000018 ......#..len.... + 6a8e0 df022304 00065f5f 615f7569 6e743332 ..#...__a_uint32 + 6a8f0 5f740000 000ed506 615f7569 6e743332 _t......a_uint32 + 6a900 5f740000 00192207 000018f6 08000019 _t...."......... + 6a910 51080000 02616466 5f6f735f 646d616d Q....adf_os_dmam + 6a920 61705f69 6e666f00 0c000019 8a036e73 ap_info.......ns + 6a930 65677300 00001934 02230003 646d615f egs....4.#..dma_ + 6a940 73656773 00000019 44022304 00065f5f segs....D.#...__ + 6a950 615f7569 6e74385f 74000000 01ad0661 a_uint8_t......a + 6a960 5f75696e 74385f74 00000019 8a040000 _uint8_t........ + 6a970 199b0400 025f5f73 675f7365 67730008 .....__sg_segs.. + 6a980 000019dc 03766164 64720000 0019aa02 .....vaddr...... + 6a990 2300036c 656e0000 00193402 23040007 #..len....4.#... + 6a9a0 000019b1 20000019 e9080300 02616466 .... ........adf + 6a9b0 5f6f735f 73676c69 73740024 00001a1c _os_sglist.$.... + 6a9c0 036e7365 67730000 00193402 23000373 .nsegs....4.#..s + 6a9d0 675f7365 67730000 0019dc02 23040012 g_segs......#... + 6a9e0 1000001a 65037665 6e646f72 00000019 ....e.vendor.... + 6a9f0 34022300 03646576 69636500 00001934 4.#..device....4 + 6aa00 02230403 73756276 656e646f 72000000 .#..subvendor... + 6aa10 19340223 08037375 62646576 69636500 .4.#..subdevice. + 6aa20 00001934 02230c00 056c6f6e 67206c6f ...4.#...long lo + 6aa30 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 6aa40 07080641 5f55494e 54363400 00001a65 ...A_UINT64....e + 6aa50 065f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... + 6aa60 1a7f0661 5f75696e 7436345f 74000000 ...a_uint64_t... + 6aa70 1a8d1404 00001aeb 10414446 5f4f535f .........ADF_OS_ + 6aa80 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME + 6aa90 4d000010 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU + 6aaa0 5243455f 54595045 5f494f00 01000661 RCE_TYPE_IO....a + 6aab0 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 6aac0 7970655f 74000000 1aaf1218 00001b35 ype_t..........5 + 6aad0 03737461 72740000 001a9f02 23000365 .start......#..e + 6aae0 6e640000 001a9f02 23080374 79706500 nd......#..type. + 6aaf0 00001aeb 02231000 06616466 5f6f735f .....#...adf_os_ + 6ab00 7063695f 6465765f 69645f74 0000001a pci_dev_id_t.... + 6ab10 1c040000 1b350400 11040000 1b740370 .....5.......t.p + 6ab20 63690000 001b4e02 23000372 61770000 ci....N.#..raw.. + 6ab30 00023e02 23000011 1000001b 93037063 ..>.#.........pc + 6ab40 69000000 1b350223 00037261 77000000 i....5.#..raw... + 6ab50 023e0223 00000661 64665f64 72765f68 .>.#...adf_drv_h + 6ab60 616e646c 655f7400 0000023e 06616466 andle_t....>.adf + 6ab70 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. + 6ab80 001b0704 00001ba9 04000661 64665f6f ...........adf_o + 6ab90 735f6174 74616368 5f646174 615f7400 s_attach_data_t. + 6aba0 00001b74 0400001b c7040004 000017f3 ...t............ + 6abb0 0400065f 5f616466 5f6f735f 64657669 ...__adf_os_devi + 6abc0 63655f74 0000001b e8066164 665f6f73 ce_t......adf_os + 6abd0 5f646576 6963655f 74000000 1bef0a00 _device_t....... + 6abe0 001b9301 0400001c 1b040009 01040000 ................ + 6abf0 1c280400 06616466 5f6f735f 706d5f74 .(...adf_os_pm_t + 6ac00 00000002 3e090104 00001c42 04001404 ....>......B.... + 6ac10 00001c82 10414446 5f4f535f 4255535f .....ADF_OS_BUS_ + 6ac20 54595045 5f504349 00011041 44465f4f TYPE_PCI...ADF_O + 6ac30 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER + 6ac40 49430002 00066164 665f6f73 5f627573 IC....adf_os_bus + 6ac50 5f747970 655f7400 00001c4b 06616466 _type_t....K.adf + 6ac60 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data + 6ac70 5f740000 001b5504 0000019c 0400025f _t....U........_ + 6ac80 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. + 6ac90 1d5f0364 72765f61 74746163 68000000 ._.drv_attach... + 6aca0 1c210223 00036472 765f6465 74616368 .!.#..drv_detach + 6acb0 0000001c 2a022304 03647276 5f737573 ....*.#..drv_sus + 6acc0 70656e64 0000001c 44022308 03647276 pend....D.#..drv + 6acd0 5f726573 756d6500 00001c2a 02230c03 _resume....*.#.. + 6ace0 6275735f 74797065 0000001c 82022310 bus_type......#. + 6acf0 03627573 5f646174 61000000 1c990223 .bus_data......# + 6ad00 14036d6f 645f6e61 6d650000 001cb402 ..mod_name...... + 6ad10 23180369 666e616d 65000000 1cb40223 #..ifname......# + 6ad20 1c000661 64665f6f 735f6861 6e646c65 ...adf_os_handle + 6ad30 5f740000 00023e04 0000198a 04000901 _t....>......... + 6ad40 0901065f 5f616466 5f6f735f 73697a65 ...__adf_os_size + 6ad50 5f740000 0009c114 0400001d ae10415f _t............A_ + 6ad60 46414c53 45000010 415f5452 55450001 FALSE...A_TRUE.. + 6ad70 0006615f 626f6f6c 5f740000 001d9404 ..a_bool_t...... + 6ad80 0000181c 0400065f 5f616466 5f6f735f .......__adf_os_ + 6ad90 646d615f 6d61705f 74000000 1dbc0901 dma_map_t....... + 6ada0 0f616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 6adb0 6e630004 00001e46 10414446 5f53594e nc.....F.ADF_SYN + 6adc0 435f5052 45524541 44000010 4144465f C_PREREAD...ADF_ + 6add0 53594e43 5f505245 57524954 45000210 SYNC_PREWRITE... + 6ade0 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA + 6adf0 44000110 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS + 6ae00 54575249 54450003 00066164 665f6f73 TWRITE....adf_os + 6ae10 5f636163 68655f73 796e635f 74000000 _cache_sync_t... + 6ae20 1ddd0901 06616466 5f6f735f 73697a65 .....adf_os_size + 6ae30 5f740000 001d7f0a 00001e61 01066164 _t.........a..ad + 6ae40 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 6ae50 001dc304 00001e7a 04000a00 00023e01 .......z......>. + 6ae60 0400001d c304000a 0000023e 0109010a ...........>.... + 6ae70 000018af 01090105 73686f72 7420696e ........short in + 6ae80 74000502 06415f49 4e543136 0000001e t....A_INT16.... + 6ae90 b4065f5f 615f696e 7431365f 74000000 ..__a_int16_t... + 6aea0 1ec10661 5f696e74 31365f74 0000001e ...a_int16_t.... + 6aeb0 ce057369 676e6564 20636861 72000501 ..signed char... + 6aec0 06415f49 4e543800 00001eee 065f5f61 .A_INT8......__a + 6aed0 5f696e74 385f7400 00001efd 06615f69 _int8_t......a_i + 6aee0 6e74385f 74000000 1f09120c 00001f80 nt8_t........... + 6aef0 03737570 706f7274 65640000 00193402 .supported....4. + 6af00 23000361 64766572 74697a65 64000000 #..advertized... + 6af10 19340223 04037370 65656400 00001edf .4.#..speed..... + 6af20 02230803 6475706c 65780000 001f1902 .#..duplex...... + 6af30 230a0361 75746f6e 65670000 00199b02 #..autoneg...... + 6af40 230b0007 0000199b 0600001f 8d080500 #............... + 6af50 02616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr + 6af60 00060000 1fb10361 64647200 00001f80 .......addr..... + 6af70 02230000 065f5f61 5f75696e 7431365f .#...__a_uint16_ + 6af80 74000000 01d70661 5f75696e 7431365f t......a_uint16_ + 6af90 74000000 1fb1120e 00002015 03657468 t......... ..eth + 6afa0 65725f64 686f7374 0000001f 80022300 er_dhost......#. + 6afb0 03657468 65725f73 686f7374 0000001f .ether_shost.... + 6afc0 80022306 03657468 65725f74 79706500 ..#..ether_type. + 6afd0 00001fc3 02230c00 12140000 20d61569 .....#...... ..i + 6afe0 705f7665 7273696f 6e000000 199b0100 p_version....... + 6aff0 04022300 1569705f 686c0000 00199b01 ..#..ip_hl...... + 6b000 04040223 00036970 5f746f73 00000019 ...#..ip_tos.... + 6b010 9b022301 0369705f 6c656e00 00001fc3 ..#..ip_len..... + 6b020 02230203 69705f69 64000000 1fc30223 .#..ip_id......# + 6b030 04036970 5f667261 675f6f66 66000000 ..ip_frag_off... + 6b040 1fc30223 06036970 5f74746c 00000019 ...#..ip_ttl.... + 6b050 9b022308 0369705f 70726f74 6f000000 ..#..ip_proto... + 6b060 199b0223 09036970 5f636865 636b0000 ...#..ip_check.. + 6b070 001fc302 230a0369 705f7361 64647200 ....#..ip_saddr. + 6b080 00001934 02230c03 69705f64 61646472 ...4.#..ip_daddr + 6b090 00000019 34022310 00026164 665f6e65 ....4.#...adf_ne + 6b0a0 745f766c 616e6864 72000400 00212803 t_vlanhdr....!(. + 6b0b0 74706964 0000001f c3022300 15707269 tpid......#..pri + 6b0c0 6f000000 199b0100 03022302 15636669 o.........#..cfi + 6b0d0 00000019 9b010301 02230215 76696400 .........#..vid. + 6b0e0 00001fc3 02040c02 23020002 6164665f ........#...adf_ + 6b0f0 6e65745f 76696400 02000021 59157265 net_vid....!Y.re + 6b100 73000000 199b0100 04022300 1576616c s.........#..val + 6b110 0000001f c302040c 02230000 120c0000 .........#...... + 6b120 21950372 785f6275 6673697a 65000000 !..rx_bufsize... + 6b130 19340223 00037278 5f6e6465 73630000 .4.#..rx_ndesc.. + 6b140 00193402 23040374 785f6e64 65736300 ..4.#..tx_ndesc. + 6b150 00001934 02230800 12080000 21bb0370 ...4.#......!..p + 6b160 6f6c6c65 64000000 1dae0223 0003706f olled......#..po + 6b170 6c6c5f77 74000000 19340223 04000700 ll_wt....4.#.... + 6b180 00199b40 000021c8 083f0012 46000021 ...@..!..?..F..! + 6b190 f0036966 5f6e616d 65000000 21bb0223 ..if_name...!..# + 6b1a0 00036465 765f6164 64720000 001f8002 ..dev_addr...... + 6b1b0 23400014 04000022 27104144 465f4f53 #@....."'.ADF_OS + 6b1c0 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. + 6b1d0 00104144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 6b1e0 4b5f3634 42495400 01000661 64665f6f K_64BIT....adf_o + 6b1f0 735f646d 615f6d61 736b5f74 00000021 s_dma_mask_t...! + 6b200 f0026164 665f646d 615f696e 666f0008 ..adf_dma_info.. + 6b210 00002274 03646d61 5f6d6173 6b000000 .."t.dma_mask... + 6b220 22270223 00037367 5f6e7365 67730000 "'.#..sg_nsegs.. + 6b230 00193402 23040014 04000022 ca104144 ..4.#......"..AD + 6b240 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE + 6b250 00001041 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 6b260 5f544350 5f554450 5f495076 34000110 _TCP_UDP_IPv4... + 6b270 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 6b280 505f5544 505f4950 76360002 00066164 P_UDP_IPv6....ad + 6b290 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type + 6b2a0 5f740000 00227412 08000023 0d037478 _t..."t....#..tx + 6b2b0 5f636b73 756d0000 0022ca02 23000372 _cksum..."..#..r + 6b2c0 785f636b 73756d00 000022ca 02230400 x_cksum..."..#.. + 6b2d0 06616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i + 6b2e0 6e666f5f 74000000 22e41404 00002366 nfo_t...".....#f + 6b2f0 10414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON + 6b300 45000010 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ + 6b310 49505634 00011041 44465f4e 45545f54 IPV4...ADF_NET_T + 6b320 534f5f41 4c4c0002 00066164 665f6e65 SO_ALL....adf_ne + 6b330 745f7473 6f5f7479 70655f74 00000023 t_tso_type_t...# + 6b340 27121000 0023ba03 636b7375 6d5f6361 '....#..cksum_ca + 6b350 70000000 230d0223 00037473 6f000000 p...#..#..tso... + 6b360 23660223 0803766c 616e5f73 7570706f #f.#..vlan_suppo + 6b370 72746564 00000019 9b02230c 00122000 rted......#... . + 6b380 00245303 74785f70 61636b65 74730000 .$S.tx_packets.. + 6b390 00193402 23000372 785f7061 636b6574 ..4.#..rx_packet + 6b3a0 73000000 19340223 04037478 5f627974 s....4.#..tx_byt + 6b3b0 65730000 00193402 23080372 785f6279 es....4.#..rx_by + 6b3c0 74657300 00001934 02230c03 74785f64 tes....4.#..tx_d + 6b3d0 726f7070 65640000 00193402 23100372 ropped....4.#..r + 6b3e0 785f6472 6f707065 64000000 19340223 x_dropped....4.# + 6b3f0 14037278 5f657272 6f727300 00001934 ..rx_errors....4 + 6b400 02231803 74785f65 72726f72 73000000 .#..tx_errors... + 6b410 19340223 1c000661 64665f6e 65745f65 .4.#...adf_net_e + 6b420 74686164 64725f74 0000001f 8d160000 thaddr_t........ + 6b430 24530300 00002478 087f0017 6164665f $S....$x....adf_ + 6b440 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. + 6b450 04000024 af036e65 6c656d00 00001934 ...$..nelem....4 + 6b460 02230003 6d636173 74000000 246a0223 .#..mcast...$j.# + 6b470 04000661 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l + 6b480 696e6b5f 696e666f 5f740000 001f2706 ink_info_t....'. + 6b490 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll + 6b4a0 5f696e66 6f5f7400 00002195 06616466 _info_t...!..adf + 6b4b0 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i + 6b4c0 6e666f5f 74000000 230d0661 64665f6e nfo_t...#..adf_n + 6b4d0 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info + 6b4e0 5f740000 00215906 6164665f 6e65745f _t...!Y.adf_net_ + 6b4f0 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. + 6b500 00223e06 6164665f 6e65745f 636d645f .">.adf_net_cmd_ + 6b510 7669645f 74000000 1fc30661 64665f6e vid_t......adf_n + 6b520 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c + 6b530 61705f74 00000023 7e066164 665f6e65 ap_t...#~.adf_ne + 6b540 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... + 6b550 23ba0661 64665f6e 65745f63 6d645f6d #..adf_net_cmd_m + 6b560 63616464 725f7400 00002478 0f616466 caddr_t...$x.adf + 6b570 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 6b580 61700004 000025f1 10414446 5f4e4554 ap....%..ADF_NET + 6b590 5f4d4341 53545f53 55500000 10414446 _MCAST_SUP...ADF + 6b5a0 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU + 6b5b0 50000100 06616466 5f6e6574 5f636d64 P....adf_net_cmd + 6b5c0 5f6d6361 73745f63 61705f74 00000025 _mcast_cap_t...% + 6b5d0 a9180304 000026c3 036c696e 6b5f696e ......&..link_in + 6b5e0 666f0000 0024af02 23000370 6f6c6c5f fo...$..#..poll_ + 6b5f0 696e666f 00000024 cc022300 03636b73 info...$..#..cks + 6b600 756d5f69 6e666f00 000024e9 02230003 um_info...$..#.. + 6b610 72696e67 5f696e66 6f000000 25070223 ring_info...%..# + 6b620 0003646d 615f696e 666f0000 00252402 ..dma_info...%$. + 6b630 23000376 69640000 00254002 2300036f #..vid...%@.#..o + 6b640 66666c6f 61645f63 61700000 00255702 ffload_cap...%W. + 6b650 23000373 74617473 00000025 76022300 #..stats...%v.#. + 6b660 036d6361 73745f69 6e666f00 0000258f .mcast_info...%. + 6b670 02230003 6d636173 745f6361 70000000 .#..mcast_cap... + 6b680 25f10223 00001404 0000271a 10414446 %..#......'..ADF + 6b690 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N + 6b6a0 4f4e4500 00104144 465f4e42 55465f52 ONE...ADF_NBUF_R + 6b6b0 585f434b 53554d5f 48570001 10414446 X_CKSUM_HW...ADF + 6b6c0 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U + 6b6d0 4e4e4543 45535341 52590002 00066164 NNECESSARY....ad + 6b6e0 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 6b6f0 74797065 5f740000 0026c312 08000027 type_t...&.....' + 6b700 5a037265 73756c74 00000027 1a022300 Z.result...'..#. + 6b710 0376616c 00000019 34022304 00120800 .val....4.#..... + 6b720 00278a03 74797065 00000023 66022300 .'..type...#f.#. + 6b730 036d7373 0000001f c3022304 03686472 .mss......#..hdr + 6b740 5f6f6666 00000019 9b022306 00025f5f _off......#...__ + 6b750 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. + 6b760 000027c9 03686561 64000000 03350223 ..'..head....5.# + 6b770 00037461 696c0000 00033502 23040371 ..tail....5.#..q + 6b780 6c656e00 00001934 02230800 065f5f61 len....4.#...__a + 6b790 64665f6e 6275665f 74000000 03350400 df_nbuf_t....5.. + 6b7a0 0019aa04 00040000 19340400 09010a00 .........4...... + 6b7b0 00021401 0a000019 34010a00 0019aa01 ........4....... + 6b7c0 0a000019 aa010400 0001e504 00065f5f ..............__ + 6b7d0 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t + 6b7e0 00000027 8a065f5f 6164665f 6e627566 ...'..__adf_nbuf + 6b7f0 5f717565 75655f74 00000028 0a040000 _queue_t...(.... + 6b800 28220400 0a000027 c9010a00 0027c901 (".....'.....'.. + 6b810 14040000 29421041 5f535441 5455535f ....)B.A_STATUS_ + 6b820 4f4b0000 10415f53 54415455 535f4641 OK...A_STATUS_FA + 6b830 494c4544 00011041 5f535441 5455535f ILED...A_STATUS_ + 6b840 454e4f45 4e540002 10415f53 54415455 ENOENT...A_STATU + 6b850 535f454e 4f4d454d 00031041 5f535441 S_ENOMEM...A_STA + 6b860 5455535f 45494e56 414c0004 10415f53 TUS_EINVAL...A_S + 6b870 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES + 6b880 53000510 415f5354 41545553 5f454e4f S...A_STATUS_ENO + 6b890 54535550 50000610 415f5354 41545553 TSUPP...A_STATUS + 6b8a0 5f454255 53590007 10415f53 54415455 _EBUSY...A_STATU + 6b8b0 535f4532 42494700 0810415f 53544154 S_E2BIG...A_STAT + 6b8c0 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL + 6b8d0 00091041 5f535441 5455535f 454e5849 ...A_STATUS_ENXI + 6b8e0 4f000a10 415f5354 41545553 5f454641 O...A_STATUS_EFA + 6b8f0 554c5400 0b10415f 53544154 55535f45 ULT...A_STATUS_E + 6b900 494f000c 0006615f 73746174 75735f74 IO....a_status_t + 6b910 00000028 4d0a0000 2942010a 000001f9 ...(M...)B...... + 6b920 01090106 6164665f 6e627566 5f740000 ....adf_nbuf_t.. + 6b930 0027c914 04000029 a7104144 465f4f53 .'.....)..ADF_OS + 6b940 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. + 6b950 10414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM + 6b960 5f444556 49434500 01000661 64665f6f _DEVICE....adf_o + 6b970 735f646d 615f6469 725f7400 00002970 s_dma_dir_t...)p + 6b980 0a000029 42010901 06616466 5f6f735f ...)B....adf_os_ + 6b990 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... + 6b9a0 19510400 0029c504 00090109 010a0000 .Q...).......... + 6b9b0 2960010a 000027c9 01090109 010a0000 )`....'......... + 6b9c0 2960010a 000027c9 010a0000 2960010a )`....'.....)`.. + 6b9d0 000027c9 010a0000 29600109 0109010a ..'.....)`...... + 6b9e0 00001934 010a0000 19aa0109 0109010a ...4............ + 6b9f0 00001e61 010a0000 1dae010a 00001dae ...a............ + 6ba00 01066164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ + 6ba10 74000000 19e90400 002a3e04 00090109 t........*>..... + 6ba20 0109010a 000019aa 01066164 665f6e62 ..........adf_nb + 6ba30 75665f71 75657565 5f740000 00282204 uf_queue_t...(". + 6ba40 00002a66 04000901 04000028 0a040009 ..*f.......(.... + 6ba50 01090109 010a0000 2960010a 000027c9 ........)`....'. + 6ba60 010a0000 1934010a 00001934 010a0000 .....4.....4.... + 6ba70 1dae010a 00001dae 010a0000 22ca010a ............"... + 6ba80 00001934 01066164 665f6e62 75665f72 ...4..adf_nbuf_r + 6ba90 785f636b 73756d5f 74000000 27380400 x_cksum_t...'8.. + 6baa0 002ac204 00090109 01066164 665f6e62 .*........adf_nb + 6bab0 75665f74 736f5f74 00000027 5a040000 uf_tso_t...'Z... + 6bac0 2ae60400 09010901 06616466 5f6e6574 *........adf_net + 6bad0 5f68616e 646c655f 74000000 023e0661 _handle_t....>.a + 6bae0 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t + 6baf0 00000020 d6040000 2b1b0400 0a000029 ... ....+......) + 6bb00 42010a00 00294201 09010901 025f4849 B....)B......_HI + 6bb10 465f434f 4e464947 00040000 2b6a0364 F_CONFIG....+j.d + 6bb20 756d6d79 00000001 f9022300 00090104 ummy......#..... + 6bb30 00002b6a 04000901 0400002b 73040002 ..+j.......+s... + 6bb40 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... + 6bb50 002bc803 73656e64 5f627566 5f646f6e .+..send_buf_don + 6bb60 65000000 2b6c0223 00037265 63765f62 e...+l.#..recv_b + 6bb70 75660000 002b7502 23040363 6f6e7465 uf...+u.#..conte + 6bb80 78740000 00023e02 23080006 6869665f xt....>.#...hif_ + 6bb90 68616e64 6c655f74 00000002 3e064849 handle_t....>.HI + 6bba0 465f434f 4e464947 0000002b 49040000 F_CONFIG...+I... + 6bbb0 2bda0400 0a00002b c8010400 002bf104 +......+.....+.. + 6bbc0 00090104 00002bfe 04000648 49465f43 ......+....HIF_C + 6bbd0 414c4c42 41434b00 00002b7c 0400002c ALLBACK...+|..., + 6bbe0 07040009 01040000 2c200400 0a000001 ........, ...... + 6bbf0 f9010400 002c2904 00090104 00002c36 .....,).......,6 + 6bc00 04000a00 0001f901 0400002c 3f040009 ...........,?... + 6bc10 01040000 2c4c0400 0a000001 f9010400 ....,L.......... + 6bc20 002c5504 00090104 00002c62 04000268 .,U.......,b...h + 6bc30 69665f61 70690038 00002dbb 035f696e if_api.8..-.._in + 6bc40 69740000 002bf702 2300035f 73687574 it...+..#.._shut + 6bc50 646f776e 0000002c 00022304 035f7265 down...,..#.._re + 6bc60 67697374 65725f63 616c6c62 61636b00 gister_callback. + 6bc70 00002c22 02230803 5f676574 5f746f74 ..,".#.._get_tot + 6bc80 616c5f63 72656469 745f636f 756e7400 al_credit_count. + 6bc90 00002c2f 02230c03 5f737461 72740000 ..,/.#.._start.. + 6bca0 002c0002 2310035f 636f6e66 69675f70 .,..#.._config_p + 6bcb0 69706500 00002c38 02231403 5f73656e ipe...,8.#.._sen + 6bcc0 645f6275 66666572 0000002c 45022318 d_buffer...,E.#. + 6bcd0 035f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 6bce0 66000000 2c4e0223 1c035f69 735f7069 f...,N.#.._is_pi + 6bcf0 70655f73 7570706f 72746564 0000002c pe_supported..., + 6bd00 5b022320 035f6765 745f6d61 785f6d73 [.# ._get_max_ms + 6bd10 675f6c65 6e000000 2c5b0223 24035f67 g_len...,[.#$._g + 6bd20 65745f72 65736572 7665645f 68656164 et_reserved_head + 6bd30 726f6f6d 0000002c 2f022328 035f6973 room...,/.#(._is + 6bd40 725f6861 6e646c65 72000000 2c000223 r_handler...,..# + 6bd50 2c035f67 65745f64 65666175 6c745f70 ,._get_default_p + 6bd60 69706500 00002c64 02233003 70526573 ipe...,d.#0.pRes + 6bd70 65727665 64000000 023e0223 34000f64 erved....>.#4..d + 6bd80 6d615f65 6e67696e 65000400 002e4410 ma_engine.....D. + 6bd90 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. + 6bda0 10444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. + 6bdb0 0110444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 + 6bdc0 00021044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 6bdd0 33000310 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T + 6bde0 58300004 10444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 6bdf0 54583100 0510444d 415f454e 47494e45 TX1...DMA_ENGINE + 6be00 5f4d4158 00060006 646d615f 656e6769 _MAX....dma_engi + 6be10 6e655f74 0000002d bb0f646d 615f6966 ne_t...-..dma_if + 6be20 74797065 00040000 2e911044 4d415f49 type.......DMA_I + 6be30 465f474d 41430000 10444d41 5f49465f F_GMAC...DMA_IF_ + 6be40 50434900 0110444d 415f4946 5f504349 PCI...DMA_IF_PCI + 6be50 45000200 06646d61 5f696674 7970655f E....dma_iftype_ + 6be60 74000000 2e560a00 0001d701 0400002e t....V.......... + 6be70 a3040009 01040000 2eb00400 09010400 ................ + 6be80 002eb904 000a0000 0ed50104 00002ec2 ................ + 6be90 04000a00 0001d701 0400002e cf04000a ................ + 6bea0 000001d7 01040000 2edc0400 0a000003 ................ + 6beb0 35010400 002ee904 00090104 00002ef6 5............... + 6bec0 04000264 6d615f6c 69625f61 70690034 ...dma_lib_api.4 + 6bed0 00002ffd 0374785f 696e6974 0000002e ../..tx_init.... + 6bee0 a9022300 0374785f 73746172 74000000 ..#..tx_start... + 6bef0 2eb20223 04037278 5f696e69 74000000 ...#..rx_init... + 6bf00 2ea90223 08037278 5f636f6e 66696700 ...#..rx_config. + 6bf10 00002ebb 02230c03 72785f73 74617274 .....#..rx_start + 6bf20 0000002e b2022310 03696e74 725f7374 ......#..intr_st + 6bf30 61747573 0000002e c8022314 03686172 atus......#..har + 6bf40 645f786d 69740000 002ed502 23180366 d_xmit......#..f + 6bf50 6c757368 5f786d69 74000000 2eb20223 lush_xmit......# + 6bf60 1c03786d 69745f64 6f6e6500 00002ee2 ..xmit_done..... + 6bf70 02232003 72656170 5f786d69 74746564 .# .reap_xmitted + 6bf80 0000002e ef022324 03726561 705f7265 ......#$.reap_re + 6bf90 63760000 002eef02 23280372 65747572 cv......#(.retur + 6bfa0 6e5f7265 63760000 002ef802 232c0372 n_recv......#,.r + 6bfb0 6563765f 706b7400 00002ee2 02233000 ecv_pkt......#0. + 6bfc0 025f5f70 63695f73 6f667463 000c0000 .__pci_softc.... + 6bfd0 301b0373 77000000 2c070223 0000065f 0..sw...,..#..._ + 6bfe0 5f706369 5f736f66 74635f74 0000002f _pci_softc_t.../ + 6bff0 fd040000 301b0400 09010400 00303504 ....0........05. + 6c000 000a0000 01ad0104 0000303e 04000f68 ..........0>...h + 6c010 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. + 6c020 0000309e 10484946 5f504349 5f504950 ..0..HIF_PCI_PIP + 6c030 455f5458 30000010 4849465f 5043495f E_TX0...HIF_PCI_ + 6c040 50495045 5f545831 00011048 49465f50 PIPE_TX1...HIF_P + 6c050 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. + 6c060 00066869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 6c070 785f7400 0000304b 0a00002e 44010400 x_t...0K....D... + 6c080 0030b504 000f6869 665f7063 695f7069 .0....hif_pci_pi + 6c090 70655f72 78000400 00313b10 4849465f pe_rx....1;.HIF_ + 6c0a0 5043495f 50495045 5f525830 00001048 PCI_PIPE_RX0...H + 6c0b0 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. + 6c0c0 01104849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 6c0d0 58320002 10484946 5f504349 5f504950 X2...HIF_PCI_PIP + 6c0e0 455f5258 33000310 4849465f 5043495f E_RX3...HIF_PCI_ + 6c0f0 50495045 5f52585f 4d415800 04000668 PIPE_RX_MAX....h + 6c100 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t + 6c110 00000030 c20a0000 2e440104 00003152 ...0.....D....1R + 6c120 04000268 69665f70 63695f61 70690024 ...hif_pci_api.$ + 6c130 00003230 03706369 5f626f6f 745f696e ..20.pci_boot_in + 6c140 69740000 00070802 23000370 63695f69 it......#..pci_i + 6c150 6e697400 00002bf7 02230403 7063695f nit...+..#..pci_ + 6c160 72657365 74000000 07080223 08037063 reset......#..pc + 6c170 695f656e 61626c65 00000007 0802230c i_enable......#. + 6c180 03706369 5f726561 705f786d 69747465 .pci_reap_xmitte + 6c190 64000000 30370223 10037063 695f7265 d...07.#..pci_re + 6c1a0 61705f72 65637600 00003037 02231403 ap_recv...07.#.. + 6c1b0 7063695f 6765745f 70697065 00000030 pci_get_pipe...0 + 6c1c0 44022318 03706369 5f676574 5f74785f D.#..pci_get_tx_ + 6c1d0 656e6700 000030bb 02231c03 7063695f eng...0..#..pci_ + 6c1e0 6765745f 72785f65 6e670000 00315802 get_rx_eng...1X. + 6c1f0 23200002 676d6163 5f617069 00040000 # ..gmac_api.... + 6c200 32570367 6d61635f 626f6f74 5f696e69 2W.gmac_boot_ini + 6c210 74000000 07080223 00000700 00019c06 t......#........ + 6c220 00003264 08050002 5f5f6574 68686472 ..2d....__ethhdr + 6c230 000e0000 329a0364 73740000 00325702 ....2..dst...2W. + 6c240 23000373 72630000 00325702 23060365 #..src...2W.#..e + 6c250 74797065 00000001 d702230c 00025f5f type......#...__ + 6c260 61746868 64720004 000032e8 15726573 athhdr....2..res + 6c270 00000001 ad010002 02230015 70726f74 .........#..prot + 6c280 6f000000 01ad0102 06022300 03726573 o.........#..res + 6c290 5f6c6f00 000001ad 02230103 7265735f _lo......#..res_ + 6c2a0 68690000 0001d702 23020002 5f5f676d hi......#...__gm + 6c2b0 61635f68 64720014 00003324 03657468 ac_hdr....3$.eth + 6c2c0 00000032 64022300 03617468 00000032 ...2d.#..ath...2 + 6c2d0 9a02230e 03616c69 676e5f70 61640000 ..#..align_pad.. + 6c2e0 0001d702 23120006 5f5f676d 61635f68 ....#...__gmac_h + 6c2f0 64725f74 00000032 e8025f5f 676d6163 dr_t...2..__gmac + 6c300 5f736f66 74630024 0000336e 03686472 _softc.$..3n.hdr + 6c310 00000033 24022300 03677261 6e000000 ...3$.#..gran... + 6c320 01d70223 14037377 0000002c 07022318 ...#..sw...,..#. + 6c330 000e5f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ + 6c340 63686563 6b000001 04000033 6e04000a check......3n... + 6c350 000001f9 01040000 338c0400 04000009 ........3....... + 6c360 c1040017 5f415f63 6d6e6f73 5f696e64 ...._A_cmnos_ind + 6c370 69726563 74696f6e 5f746162 6c650001 irection_table.. + 6c380 b8000034 dc036861 6c5f6c69 6e6b6167 ...4..hal_linkag + 6c390 655f6368 65636b00 00003392 02230003 e_check...3..#.. + 6c3a0 73746172 745f6273 73000000 33990223 start_bss...3..# + 6c3b0 04036170 705f7374 61727400 00000708 ..app_start..... + 6c3c0 02230803 6d656d00 00000a01 02230c03 .#..mem......#.. + 6c3d0 6d697363 0000000b 20022320 03707269 misc.... .# .pri + 6c3e0 6e746600 00000735 02234403 75617274 ntf....5.#D.uart + 6c3f0 00000007 d302234c 03676d61 63000000 ......#L.gmac... + 6c400 32300223 6c037573 62000000 15460223 20.#l.usb....F.# + 6c410 7003636c 6f636b00 0000108f 0323e001 p.clock......#.. + 6c420 0374696d 65720000 000d3803 23840203 .timer....8.#... + 6c430 696e7472 00000011 df032398 0203616c intr......#...al + 6c440 6c6f6372 616d0000 000ef003 23c40203 locram......#... + 6c450 726f6d70 0000000d e20323d0 02037764 romp......#...wd + 6c460 745f7469 6d657200 000013bc 0323e002 t_timer......#.. + 6c470 03656570 00000014 ea0323fc 02037374 .eep......#...st + 6c480 72696e67 0000000c 4403238c 03037461 ring....D.#...ta + 6c490 736b6c65 74000000 0fed0323 a4030002 sklet......#.... + 6c4a0 5f555342 5f464946 4f5f434f 4e464947 _USB_FIFO_CONFIG + 6c4b0 00100000 354f0367 65745f63 6f6d6d61 ....5O.get_comma + 6c4c0 6e645f62 75660000 00034202 23000372 nd_buf....B.#..r + 6c4d0 6563765f 636f6d6d 616e6400 00000358 ecv_command....X + 6c4e0 02230403 6765745f 6576656e 745f6275 .#..get_event_bu + 6c4f0 66000000 03420223 08037365 6e645f65 f....B.#..send_e + 6c500 76656e74 5f646f6e 65000000 03580223 vent_done....X.# + 6c510 0c000655 53425f46 49464f5f 434f4e46 ...USB_FIFO_CONF + 6c520 49470000 0034dc04 0000354f 04000901 IG...4....5O.... + 6c530 04000035 6b040002 75736266 69666f5f ...5k...usbfifo_ + 6c540 61706900 0c000035 c1035f69 6e697400 api....5.._init. + 6c550 0000356d 02230003 5f656e61 626c655f ..5m.#.._enable_ + 6c560 6576656e 745f6973 72000000 07080223 event_isr......# + 6c570 04037052 65736572 76656400 0000023e ..pReserved....> + 6c580 02230800 07000019 9b020000 35ce0801 .#..........5... + 6c590 00025f48 54435f46 52414d45 5f484452 .._HTC_FRAME_HDR + 6c5a0 00080000 36400345 6e64706f 696e7449 ....6@.EndpointI + 6c5b0 44000000 199b0223 0003466c 61677300 D......#..Flags. + 6c5c0 0000199b 02230103 5061796c 6f61644c .....#..PayloadL + 6c5d0 656e0000 001fc302 23020343 6f6e7472 en......#..Contr + 6c5e0 6f6c4279 74657300 000035c1 02230403 olBytes...5..#.. + 6c5f0 486f7374 5365714e 756d0000 001fc302 HostSeqNum...... + 6c600 23060012 02000036 59034d65 73736167 #......6Y.Messag + 6c610 65494400 00001fc3 02230000 12080000 eID......#...... + 6c620 36bc034d 65737361 67654944 0000001f 6..MessageID.... + 6c630 c3022300 03437265 64697443 6f756e74 ..#..CreditCount + 6c640 0000001f c3022302 03437265 64697453 ......#..CreditS + 6c650 697a6500 00001fc3 02230403 4d617845 ize......#..MaxE + 6c660 6e64706f 696e7473 00000019 9b022306 ndpoints......#. + 6c670 035f5061 64310000 00199b02 23070012 ._Pad1......#... + 6c680 0a000037 53034d65 73736167 65494400 ...7S.MessageID. + 6c690 00001fc3 02230003 53657276 69636549 .....#..ServiceI + 6c6a0 44000000 1fc30223 0203436f 6e6e6563 D......#..Connec + 6c6b0 74696f6e 466c6167 73000000 1fc30223 tionFlags......# + 6c6c0 0403446f 776e4c69 6e6b5069 70654944 ..DownLinkPipeID + 6c6d0 00000019 9b022306 0355704c 696e6b50 ......#..UpLinkP + 6c6e0 69706549 44000000 199b0223 07035365 ipeID......#..Se + 6c6f0 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 6c700 0000199b 02230803 5f506164 31000000 .....#.._Pad1... + 6c710 199b0223 0900120a 000037db 034d6573 ...#......7..Mes + 6c720 73616765 49440000 001fc302 23000353 sageID......#..S + 6c730 65727669 63654944 0000001f c3022302 erviceID......#. + 6c740 03537461 74757300 0000199b 02230403 .Status......#.. + 6c750 456e6470 6f696e74 49440000 00199b02 EndpointID...... + 6c760 2305034d 61784d73 6753697a 65000000 #..MaxMsgSize... + 6c770 1fc30223 06035365 72766963 654d6574 ...#..ServiceMet + 6c780 614c656e 67746800 0000199b 02230803 aLength......#.. + 6c790 5f506164 31000000 199b0223 09001202 _Pad1......#.... + 6c7a0 000037f4 034d6573 73616765 49440000 ..7..MessageID.. + 6c7b0 001fc302 23000012 04000038 30034d65 ....#......80.Me + 6c7c0 73736167 65494400 00001fc3 02230003 ssageID......#.. + 6c7d0 50697065 49440000 00199b02 23020343 PipeID......#..C + 6c7e0 72656469 74436f75 6e740000 00199b02 reditCount...... + 6c7f0 23030012 04000038 67034d65 73736167 #......8g.Messag + 6c800 65494400 00001fc3 02230003 50697065 eID......#..Pipe + 6c810 49440000 00199b02 23020353 74617475 ID......#..Statu + 6c820 73000000 199b0223 03001202 0000388e s......#......8. + 6c830 03526563 6f726449 44000000 199b0223 .RecordID......# + 6c840 00034c65 6e677468 00000019 9b022301 ..Length......#. + 6c850 00120200 0038b803 456e6470 6f696e74 .....8..Endpoint + 6c860 49440000 00199b02 23000343 72656469 ID......#..Credi + 6c870 74730000 00199b02 23010012 04000038 ts......#......8 + 6c880 f903456e 64706f69 6e744944 00000019 ..EndpointID.... + 6c890 9b022300 03437265 64697473 00000019 ..#..Credits.... + 6c8a0 9b022301 03546774 43726564 69745365 ..#..TgtCreditSe + 6c8b0 714e6f00 00001fc3 02230200 07000019 qNo......#...... + 6c8c0 9b040000 39060803 00120600 00394203 ....9........9B. + 6c8d0 50726556 616c6964 00000019 9b022300 PreValid......#. + 6c8e0 034c6f6f 6b416865 61640000 0038f902 .LookAhead...8.. + 6c8f0 23010350 6f737456 616c6964 00000019 #..PostValid.... + 6c900 9b022305 0006706f 6f6c5f68 616e646c ..#...pool_handl + 6c910 655f7400 0000023e 0a000039 42010400 e_t....>...9B... + 6c920 00395504 00090104 00003962 04001404 .9U.......9b.... + 6c930 000039e0 10504f4f 4c5f4944 5f485443 ..9..POOL_ID_HTC + 6c940 5f434f4e 54524f4c 00001050 4f4f4c5f _CONTROL...POOL_ + 6c950 49445f57 4d495f53 56435f43 4d445f52 ID_WMI_SVC_CMD_R + 6c960 45504c59 00011050 4f4f4c5f 49445f57 EPLY...POOL_ID_W + 6c970 4d495f53 56435f45 56454e54 00021050 MI_SVC_EVENT...P + 6c980 4f4f4c5f 49445f57 4c414e5f 52585f42 OOL_ID_WLAN_RX_B + 6c990 55460003 10504f4f 4c5f4944 5f4d4158 UF...POOL_ID_MAX + 6c9a0 000a0006 4255465f 504f4f4c 5f494400 ....BUF_POOL_ID. + 6c9b0 0000396b 09010400 0039f104 000a0000 ..9k.....9...... + 6c9c0 29600104 000039fa 04000a00 00296001 )`....9......)`. + 6c9d0 0400003a 07040009 01040000 3a140400 ...:........:... + 6c9e0 02627566 5f706f6f 6c5f6170 69001c00 .buf_pool_api... + 6c9f0 003ab603 5f696e69 74000000 395b0223 .:.._init...9[.# + 6ca00 00035f73 68757464 6f776e00 00003964 .._shutdown...9d + 6ca10 02230403 5f637265 6174655f 706f6f6c .#.._create_pool + 6ca20 00000039 f3022308 035f616c 6c6f635f ...9..#.._alloc_ + 6ca30 62756600 00003a00 02230c03 5f616c6c buf...:..#.._all + 6ca40 6f635f62 75665f61 6c69676e 0000003a oc_buf_align...: + 6ca50 0d022310 035f6672 65655f62 75660000 ..#.._free_buf.. + 6ca60 003a1602 23140370 52657365 72766564 .:..#..pReserved + 6ca70 00000002 3e022318 00025f48 54435f53 ....>.#..._HTC_S + 6ca80 45525649 4345001c 00003b95 03704e65 ERVICE....;..pNe + 6ca90 78740000 003b9502 23000350 726f6365 xt...;..#..Proce + 6caa0 73735265 63764d73 67000000 3c4a0223 ssRecvMsg....#.....:.... + 6cb60 0400003c 3319454e 44504f49 4e545f55 ...<3.ENDPOINT_U + 6cb70 4e555345 4400ffff ffff1045 4e44504f NUSED......ENDPO + 6cb80 494e5430 00001045 4e44504f 494e5431 INT0...ENDPOINT1 + 6cb90 00011045 4e44504f 494e5432 00021045 ...ENDPOINT2...E + 6cba0 4e44504f 494e5433 00031045 4e44504f NDPOINT3...ENDPO + 6cbb0 494e5434 00041045 4e44504f 494e5435 INT4...ENDPOINT5 + 6cbc0 00051045 4e44504f 494e5436 00061045 ...ENDPOINT6...E + 6cbd0 4e44504f 494e5437 00071045 4e44504f NDPOINT7...ENDPO + 6cbe0 494e5438 00081045 4e44504f 494e545f INT8...ENDPOINT_ + 6cbf0 4d415800 16000648 54435f45 4e44504f MAX....HTC_ENDPO + 6cc00 494e545f 49440000 003b9c09 01040000 INT_ID...;...... + 6cc10 3c480400 09010400 003c5104 00040000 .#0.. + 6cef0 686f7374 5f617070 5f617265 615f7300 host_app_area_s. + 6cf00 0400003f 5c03776d 695f7072 6f746f63 ...?\.wmi_protoc + 6cf10 6f6c5f76 65720000 00193402 23000012 ol_ver....4.#... + 6cf20 0e00003f 93036473 744d6163 0000001f ...?..dstMac.... + 6cf30 80022300 03737263 4d616300 00001f80 ..#..srcMac..... + 6cf40 02230603 74797065 4f724c65 6e000000 .#..typeOrLen... + 6cf50 1fc30223 0c000700 00199b03 00003fa0 ...#..........?. + 6cf60 08020012 0800003f f0036473 61700000 .......?..dsap.. + 6cf70 00199b02 23000373 73617000 0000199b ....#..ssap..... + 6cf80 02230103 636e746c 00000019 9b022302 .#..cntl......#. + 6cf90 036f7267 436f6465 0000003f 93022303 .orgCode...?..#. + 6cfa0 03657468 65725479 70650000 001fc302 .etherType...... + 6cfb0 23060012 02000040 11037273 73690000 #......@..rssi.. + 6cfc0 001f1902 23000369 6e666f00 0000199b ....#..info..... + 6cfd0 02230100 12040000 40380363 6f6d6d61 .#......@8.comma + 6cfe0 6e644964 0000001f c3022300 03736571 ndId......#..seq + 6cff0 4e6f0000 001fc302 23020007 0000199b No......#....... + 6d000 01000040 45080000 12020000 406c036d ...@E.......@l.m + 6d010 73675369 7a650000 00199b02 2300036d sgSize......#..m + 6d020 73674461 74610000 00403802 23010012 sgData...@8.#... + 6d030 08000040 b3036164 64726573 734c0000 ...@..addressL.. + 6d040 001fc302 23000361 64647265 73734800 ....#..addressH. + 6d050 00001fc3 02230203 76616c75 654c0000 .....#..valueL.. + 6d060 001fc302 23040376 616c7565 48000000 ....#..valueH... + 6d070 1fc30223 06000657 4d495f41 56540000 ...#...WMI_AVT.. + 6d080 00406c07 000040b3 08000040 cd080000 .@l...@....@.... + 6d090 120c0000 41040374 75706c65 4e756d4c ....A..tupleNumL + 6d0a0 0000001f c3022300 03747570 6c654e75 ......#..tupleNu + 6d0b0 6d480000 001fc302 23020361 76740000 mH......#..avt.. + 6d0c0 0040c002 23040012 01000041 26036265 .@..#......A&.be + 6d0d0 61636f6e 50656e64 696e6743 6f756e74 aconPendingCount + 6d0e0 00000019 9b022300 00025f57 4d495f53 ......#..._WMI_S + 6d0f0 56435f43 4f4e4649 47001000 00418f03 VC_CONFIG....A.. + 6d100 48746348 616e646c 65000000 3d220223 HtcHandle...=".# + 6d110 0003506f 6f6c4861 6e646c65 00000039 ..PoolHandle...9 + 6d120 42022304 034d6178 436d6452 65706c79 B.#..MaxCmdReply + 6d130 45767473 00000001 f9022308 034d6178 Evts......#..Max + 6d140 4576656e 74457674 73000000 01f90223 EventEvts......# + 6d150 0c000901 04000041 8f040006 574d495f .......A....WMI_ + 6d160 434d445f 48414e44 4c455200 00004191 CMD_HANDLER...A. + 6d170 025f574d 495f4449 53504154 43485f45 ._WMI_DISPATCH_E + 6d180 4e545259 00080000 41f80370 436d6448 NTRY....A..pCmdH + 6d190 616e646c 65720000 00419802 23000343 andler...A..#..C + 6d1a0 6d644944 00000001 d7022304 03466c61 mdID......#..Fla + 6d1b0 67730000 0001d702 23060002 5f574d49 gs......#..._WMI + 6d1c0 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 6d1d0 10000042 5903704e 65787400 00004259 ...BY.pNext...BY + 6d1e0 02230003 70436f6e 74657874 00000002 .#..pContext.... + 6d1f0 3e022304 034e756d 6265724f 66456e74 >.#..NumberOfEnt + 6d200 72696573 00000001 f9022308 03705461 ries......#..pTa + 6d210 626c6500 00004278 02230c00 04000041 ble...Bx.#.....A + 6d220 f8040006 574d495f 44495350 41544348 ....WMI_DISPATCH + 6d230 5f454e54 52590000 0041ad04 00004260 _ENTRY...A....B` + 6d240 04000400 0041f804 00064854 435f4255 .....A....HTC_BU + 6d250 465f434f 4e544558 54000000 3ce60f57 F_CONTEXT...<..W + 6d260 4d495f45 56545f43 4c415353 00040000 MI_EVT_CLASS.... + 6d270 43101957 4d495f45 56545f43 4c415353 C..WMI_EVT_CLASS + 6d280 5f4e4f4e 4500ffff ffff1057 4d495f45 _NONE......WMI_E + 6d290 56545f43 4c415353 5f434d44 5f455645 VT_CLASS_CMD_EVE + 6d2a0 4e540000 10574d49 5f455654 5f434c41 NT...WMI_EVT_CLA + 6d2b0 53535f43 4d445f52 45504c59 00011057 SS_CMD_REPLY...W + 6d2c0 4d495f45 56545f43 4c415353 5f4d4158 MI_EVT_CLASS_MAX + 6d2d0 00020006 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 6d2e0 53000000 429b025f 574d495f 4255465f S...B.._WMI_BUF_ + 6d2f0 434f4e54 45585400 0c000043 6e034874 CONTEXT....Cn.Ht + 6d300 63427566 43747800 00004286 02230003 cBufCtx...B..#.. + 6d310 4576656e 74436c61 73730000 00431002 EventClass...C.. + 6d320 23040346 6c616773 00000001 d7022308 #..Flags......#. + 6d330 0006776d 695f6861 6e646c65 5f740000 ..wmi_handle_t.. + 6d340 00023e06 574d495f 5356435f 434f4e46 ..>.WMI_SVC_CONF + 6d350 49470000 00412604 00004380 04000a00 IG...A&...C..... + 6d360 00436e01 04000043 9b040006 574d495f .Cn....C....WMI_ + 6d370 44495350 41544348 5f544142 4c450000 DISPATCH_TABLE.. + 6d380 0041f804 000043a8 04000901 04000043 .A....C........C + 6d390 c704000a 00002960 01040000 43d00400 ......)`....C... + 6d3a0 09010400 0043dd04 000a0000 01f90104 .....C.......... + 6d3b0 000043e6 04000901 04000043 f304000a ..C........C.... + 6d3c0 000001ad 01040000 43fc0400 025f776d ........C...._wm + 6d3d0 695f7376 635f6170 6973002c 00004544 i_svc_apis.,..ED + 6d3e0 035f574d 495f496e 69740000 0043a102 ._WMI_Init...C.. + 6d3f0 2300035f 574d495f 52656769 73746572 #.._WMI_Register + 6d400 44697370 61746368 5461626c 65000000 DispatchTable... + 6d410 43c90223 04035f57 4d495f41 6c6c6f63 C..#.._WMI_Alloc + 6d420 4576656e 74000000 43d60223 08035f57 Event...C..#.._W + 6d430 4d495f53 656e6445 76656e74 00000043 MI_SendEvent...C + 6d440 df02230c 035f574d 495f4765 7450656e ..#.._WMI_GetPen + 6d450 64696e67 4576656e 7473436f 756e7400 dingEventsCount. + 6d460 000043ec 02231003 5f574d49 5f53656e ..C..#.._WMI_Sen + 6d470 64436f6d 706c6574 6548616e 646c6572 dCompleteHandler + 6d480 0000003c 53022314 035f574d 495f4765 ....#(.._A_cmnos + 6d510 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 6d520 6c655f74 00000033 a006574d 495f5356 le_t...3..WMI_SV + 6d530 435f4150 49530000 00440917 5f415f6d C_APIS...D.._A_m + 6d540 61677069 655f696e 64697265 6374696f agpie_indirectio + 6d550 6e5f7461 626c6500 034c0000 46720363 n_table..L..Fr.c + 6d560 6d6e6f73 00000045 44022300 03646267 mnos...ED.#..dbg + 6d570 00000009 8e0323b8 03036869 66000000 ......#...hif... + 6d580 2c6b0323 c0030368 74630000 003dbc03 ,k.#...htc...=.. + 6d590 23f80303 776d695f 7376635f 61706900 #...wmi_svc_api. + 6d5a0 00004566 0323ac04 03757362 6669666f ..Ef.#...usbfifo + 6d5b0 5f617069 00000035 740323d8 04036275 _api...5t.#...bu + 6d5c0 665f706f 6f6c0000 003a1d03 23e40403 f_pool...:..#... + 6d5d0 76627566 00000003 5f032380 05037664 vbuf...._.#...vd + 6d5e0 65736300 00000241 03239405 03616c6c esc....A.#...all + 6d5f0 6f637261 6d000000 0ef00323 a8050364 ocram......#...d + 6d600 6d615f65 6e67696e 65000000 05990323 ma_engine......# + 6d610 b4050364 6d615f6c 69620000 002eff03 ...dma_lib...... + 6d620 23f40503 6869665f 70636900 0000315f #...hif_pci...1_ + 6d630 0323a806 000a0000 023e0106 5f415f6d .#.......>.._A_m + 6d640 61677069 655f696e 64697265 6374696f agpie_indirectio + 6d650 6e5f7461 626c655f 74000000 45780901 n_table_t...Ex.. + 6d660 09010901 0a000001 f9010901 09010700 ................ + 6d670 00070f0b 000046b8 080a0004 000046ab ......F.......F. + 6d680 04000700 00070f0d 000046cc 080c0004 ..........F..... + 6d690 000046bf 04000700 00070f06 000046e0 ..F...........F. + 6d6a0 08050004 000046d3 04000700 00070f03 ......F......... + 6d6b0 000046f4 08020004 000046e7 04000400 ..F.......F..... + 6d6c0 00059904 00090104 0000050f 04001a01 ................ + 6d6d0 325f444d 41656e67 696e655f 696e6974 2_DMAengine_init + 6d6e0 00010392 01200290 00008e57 e0008e57 ..... .....W...W + 6d6f0 e51b0137 5f444d41 656e6769 6e655f69 ...7_DMAengine_i + 6d700 6e69745f 72785f71 75657565 00010101 nit_rx_queue.... + 6d710 03920120 02900000 8e57e800 8e582800 ... .....W...X(. + 6d720 0047831c 01377100 00000518 01521d64 .G...7q......R.d + 6d730 65736300 00000214 1d757362 44657363 esc......usbDesc + 6d740 00000004 72001e01 455f444d 41656e67 ....r...E_DMAeng + 6d750 696e655f 696e6974 5f74785f 71756575 ine_init_tx_queu + 6d760 65000101 03920120 02900000 8e582800 e...... .....X(. + 6d770 8e583800 0047c01c 01457100 00000528 .X8..G...Eq....( + 6d780 0152001f 014e7377 61704461 74610001 .R...NswapData.. + 6d790 03920120 02900000 8e583800 8e588300 ... .....X8..X.. + 6d7a0 00481a1c 014e7573 62446573 63000000 .H...NusbDesc... + 6d7b0 04720152 1d6c656e 00000001 f91d6461 .r.R.len......da + 6d7c0 74614164 64720000 0018151d 69000000 taAddr......i... + 6d7d0 01f91d64 61746100 00000ed5 001e0162 ...data........b + 6d7e0 5f444d41 656e6769 6e655f72 65747572 _DMAengine_retur + 6d7f0 6e5f7265 63765f62 75660001 01039201 n_recv_buf...... + 6d800 20029000 008e5884 008e589b 00004866 .....X...X...Hf + 6d810 1c016271 00000005 1801521c 01626275 ..bq......R..bbu + 6d820 66000000 03350153 0020016b 636f6e66 f....5.S. .kconf + 6d830 69675f71 75657565 00010103 92012002 ig_queue...... . + 6d840 9000008e 589c008e 59140000 48e61c01 ....X...Y...H... + 6d850 6b710000 00051801 521c016b 64657363 kq......R..kdesc + 6d860 5f6c6973 74000000 02140153 1d707265 _list......S.pre + 6d870 76557362 44657363 00000004 721d7468 vUsbDesc....r.th + 6d880 65446573 63000000 02141d75 73624465 eDesc......usbDe + 6d890 73630000 0004721d 68656164 55736244 sc....r.headUsbD + 6d8a0 65736300 00000472 001b018f 5f444d41 esc....r...._DMA + 6d8b0 656e6769 6e655f63 6f6e6669 675f7278 engine_config_rx + 6d8c0 5f717565 75650001 01010392 01300290 _queue.......0.. + 6d8d0 00008e59 14008e59 6b000049 651c018f ...Y...Yk..Ie... + 6d8e0 71000000 05180152 1c018f6e 756d5f64 q......R...num_d + 6d8f0 65736300 000001f9 01531c01 8f627566 esc......S...buf + 6d900 5f73697a 65000000 01f90154 1d686561 _size......T.hea + 6d910 64000000 02141d69 00000001 f91d6465 d......i......de + 6d920 73630000 00021400 1b01b05f 444d4165 sc........._DMAe + 6d930 6e67696e 655f786d 69745f62 75660001 ngine_xmit_buf.. + 6d940 01010392 01200290 00008e59 6c008e5a ..... .....Yl..Z + 6d950 2a000049 e91c01b0 71000000 05280152 *..I....q....(.R + 6d960 1c01b062 75660000 00033501 531d7072 ...buf....5.S.pr + 6d970 65765573 62446573 63000000 04721d63 evUsbDesc....r.c + 6d980 75727256 64657363 00000002 141d7573 urrVdesc......us + 6d990 62446573 63000000 04721d68 65616455 bDesc....r.headU + 6d9a0 73624465 73630000 00047200 1e01ea5f sbDesc....r...._ + 6d9b0 444d4165 6e67696e 655f666c 7573685f DMAengine_flush_ + 6d9c0 786d6974 00010103 92012002 9000008e xmit...... ..... + 6d9d0 5a2c008e 5a310000 4a231c01 ea710000 Z,..Z1..J#...q.. + 6d9e0 00051801 52002101 ee5f444d 41656e67 ....R.!.._DMAeng + 6d9f0 696e655f 6861735f 636f6d70 6c5f7061 ine_has_compl_pa + 6da00 636b6574 73000000 01f90101 03920120 ckets.......... + 6da10 02900000 8e5a3400 8e5a5200 004a7c1c .....Z4..ZR..J|. + 6da20 01ee7100 00000518 01521d68 61735f63 ..q......R.has_c + 6da30 6f6d706c 5f706b74 73000000 01f90021 ompl_pkts......! + 6da40 01fa5f44 4d41656e 67696e65 5f726561 .._DMAengine_rea + 6da50 705f7265 63765f62 75660000 00033501 p_recv_buf....5. + 6da60 01039201 20029000 008e5a54 008e5a83 .... .....ZT..Z. + 6da70 00004ad0 1c01fa71 00000005 1801521d ..J....q......R. + 6da80 64657363 00000004 721d6275 66000000 desc....r.buf... + 6da90 03350022 0101115f 444d4165 6e67696e .5."..._DMAengin + 6daa0 655f7265 61705f78 6d697465 645f6275 e_reap_xmited_bu + 6dab0 66000000 03350101 03920120 02900000 f....5..... .... + 6dac0 8e5a8400 8e5aba00 004b2c23 01011171 .Z...Z...K,#...q + 6dad0 00000005 2801521d 64657363 00000004 ....(.R.desc.... + 6dae0 721d7365 6e744275 66000000 03350024 r.sentBuf....5.$ + 6daf0 01012a5f 444d4165 6e67696e 655f6465 ..*_DMAengine_de + 6db00 73635f64 756d7000 01010392 01200290 sc_dump...... .. + 6db10 00008e5a bc008e5b 1a00004b 7b230101 ...Z...[...K{#.. + 6db20 2a710000 00051801 521d6900 0000045d *q......R.i....] + 6db30 1d746d70 44657363 00000004 72002401 .tmpDesc....r.$. + 6db40 014e646d 615f656e 67696e65 5f6d6f64 .Ndma_engine_mod + 6db50 756c655f 696e7374 616c6c00 01010392 ule_install..... + 6db60 01200290 00008e5b 1c008e5b 6c00004b . .....[...[l..K + 6db70 be230101 4e617069 73000000 46fb0152 .#..Napis...F..R + 6db80 00250101 6572656c 696e6b55 53424465 .%..erelinkUSBDe + 6db90 7363546f 56646573 63000103 92012002 scToVdesc..... . + 6dba0 9000008e 5b6c008e 5bb32301 01656275 ....[l..[.#..ebu + 6dbb0 66000000 03350152 23010165 64657363 f....5.R#..edesc + 6dbc0 00000004 7201531d 70726576 56646573 ....r.S.prevVdes + 6dbd0 63000000 02141d63 75727244 65736300 c......currDesc. + 6dbe0 00000472 1d766465 73630000 00021400 ...r.vdesc...... + 6dbf0 00000000 50a40002 0000281b 04012f72 ....P.....(.../r + 6dc00 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 6dc10 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 6dc20 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 6dc30 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 6dc40 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 6dc50 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 6dc60 656e6769 6e652f73 72632f64 6573632e engine/src/desc. + 6dc70 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 6dc80 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 6dc90 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 6dca0 2f726f6d 2f646d61 5f656e67 696e6500 /rom/dma_engine. + 6dcb0 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 + 6dcc0 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst + 6dcd0 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 + 6dce0 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. + 6dcf0 0000018a 4f02756e 7369676e 65642069 ....O.unsigned i + 6dd00 6e740007 04037769 6e745f74 00000001 nt....wint_t.... + 6dd10 0302756e 7369676e 65642063 68617200 ..unsigned char. + 6dd20 07010400 00011f04 0000013d 05030006 ...........=.... + 6dd30 04000001 61075f5f 77636800 00000113 ....a.__wch..... + 6dd40 02230007 5f5f7763 68620000 00013002 .#..__wchb....0. + 6dd50 23000002 696e7400 05040808 0000018f #...int......... + 6dd60 075f5f63 6f756e74 00000001 61022300 .__count....a.#. + 6dd70 075f5f76 616c7565 00000001 3d022304 .__value....=.#. + 6dd80 00095f42 6967696e 74001800 0001ed07 .._Bigint....... + 6dd90 5f6e6578 74000000 01ed0223 00075f6b _next......#.._k + 6dda0 00000001 61022304 075f6d61 78776473 ....a.#.._maxwds + 6ddb0 00000001 61022308 075f7369 676e0000 ....a.#.._sign.. + 6ddc0 00016102 230c075f 77647300 00000161 ..a.#.._wds....a + 6ddd0 02231007 5f780000 00021602 2314000a .#.._x......#... + 6dde0 0000018f 0400026c 6f6e6720 756e7369 .......long unsi + 6ddf0 676e6564 20696e74 00070403 5f5f554c gned int....__UL + 6de00 6f6e6700 000001f4 04000002 09040000 ong............. + 6de10 02230500 000a0000 018f0400 095f5f74 .#...........__t + 6de20 6d002400 0002d607 5f5f746d 5f736563 m.$.....__tm_sec + 6de30 00000001 61022300 075f5f74 6d5f6d69 ....a.#..__tm_mi + 6de40 6e000000 01610223 04075f5f 746d5f68 n....a.#..__tm_h + 6de50 6f757200 00000161 02230807 5f5f746d our....a.#..__tm + 6de60 5f6d6461 79000000 01610223 0c075f5f _mday....a.#..__ + 6de70 746d5f6d 6f6e0000 00016102 2310075f tm_mon....a.#.._ + 6de80 5f746d5f 79656172 00000001 61022314 _tm_year....a.#. + 6de90 075f5f74 6d5f7764 61790000 00016102 .__tm_wday....a. + 6dea0 2318075f 5f746d5f 79646179 00000001 #..__tm_yday.... + 6deb0 6102231c 075f5f74 6d5f6973 64737400 a.#..__tm_isdst. + 6dec0 00000161 02232000 0b040004 000002d6 ...a.# ......... + 6ded0 80000002 e6051f00 0c5f6f6e 5f657869 ........._on_exi + 6dee0 745f6172 67730001 08000003 44075f66 t_args......D._f + 6def0 6e617267 73000000 02d90223 00075f64 nargs......#.._d + 6df00 736f5f68 616e646c 65000000 02d90323 so_handle......# + 6df10 8001075f 666e7479 70657300 00000209 ..._fntypes..... + 6df20 03238002 075f6973 5f637861 00000002 .#..._is_cxa.... + 6df30 09032384 02000c5f 61746578 69740001 ..#...._atexit.. + 6df40 90000003 93075f6e 65787400 00000393 ......_next..... + 6df50 02230007 5f696e64 00000001 61022304 .#.._ind....a.#. + 6df60 075f666e 73000000 03a30223 08075f6f ._fns......#.._o + 6df70 6e5f6578 69745f61 72677300 000002e6 n_exit_args..... + 6df80 03238801 000a0000 03440400 0d010a00 .#.......D...... + 6df90 00039a04 00040000 039c8000 0003b005 ................ + 6dfa0 1f000a00 00034404 000a0000 011f0400 ......D......... + 6dfb0 095f5f73 62756600 08000003 e8075f62 .__sbuf......._b + 6dfc0 61736500 000003b7 02230007 5f73697a ase......#.._siz + 6dfd0 65000000 01610223 04000273 686f7274 e....a.#...short + 6dfe0 20696e74 00050202 63686172 0007010a int....char.... + 6dff0 000003f5 04000e00 00016101 0a000004 ..........a..... + 6e000 0404000f 000003f5 0f000003 f50a0000 ................ + 6e010 04160400 0e000001 61010a00 00042204 ........a.....". + 6e020 00026c6f 6e672069 6e740005 04035f66 ..long int...._f + 6e030 706f735f 74000000 042f0e00 00043b01 pos_t..../....;. + 6e040 0a000004 4804000e 00000161 010a0000 ....H......a.... + 6e050 04550400 04000001 1f030000 046f0502 .U...........o.. + 6e060 00040000 011f0100 00047c05 00000c5f ..........|...._ + 6e070 7265656e 74000400 00000603 075f6572 reent........_er + 6e080 726e6f00 00000161 02230007 5f737464 rno....a.#.._std + 6e090 696e0000 0007b702 2304075f 7374646f in......#.._stdo + 6e0a0 75740000 0007b702 2308075f 73746465 ut......#.._stde + 6e0b0 72720000 0007b702 230c075f 696e6300 rr......#.._inc. + 6e0c0 00000161 02231007 5f656d65 7267656e ...a.#.._emergen + 6e0d0 63790000 000a6602 2314075f 63757272 cy....f.#.._curr + 6e0e0 656e745f 63617465 676f7279 00000001 ent_category.... + 6e0f0 61022330 075f6375 7272656e 745f6c6f a.#0._current_lo + 6e100 63616c65 00000004 1b022334 075f5f73 cale......#4.__s + 6e110 64696469 6e697400 00000161 02233807 didinit....a.#8. + 6e120 5f5f636c 65616e75 70000000 0a750223 __cleanup....u.# + 6e130 3c075f72 6573756c 74000000 02230223 <._result....#.# + 6e140 40075f72 6573756c 745f6b00 00000161 @._result_k....a + 6e150 02234407 5f703573 00000002 23022348 .#D._p5s....#.#H + 6e160 075f6672 65656c69 73740000 000a7c02 ._freelist....|. + 6e170 234c075f 6376746c 656e0000 00016102 #L._cvtlen....a. + 6e180 2350075f 63767462 75660000 0003fd02 #P._cvtbuf...... + 6e190 2354075f 6e657700 00000a40 02235807 #T._new....@.#X. + 6e1a0 5f617465 78697400 000003b0 0323c802 _atexit......#.. + 6e1b0 075f6174 65786974 30000000 03440323 ._atexit0....D.# + 6e1c0 cc02075f 7369675f 66756e63 0000000a ..._sig_func.... + 6e1d0 8c0323dc 05075f5f 73676c75 65000000 ..#...__sglue... + 6e1e0 076c0323 e005075f 5f736600 00000a93 .l.#...__sf..... + 6e1f0 0323ec05 000a0000 047c0400 035f4c4f .#.......|..._LO + 6e200 434b5f52 45435552 53495645 5f540000 CK_RECURSIVE_T.. + 6e210 00016103 5f666c6f 636b5f74 00000006 ..a._flock_t.... + 6e220 0a095f5f 7346494c 45005c00 00076c07 ..__sFILE.\...l. + 6e230 5f700000 0003b702 2300075f 72000000 _p......#.._r... + 6e240 01610223 04075f77 00000001 61022308 .a.#.._w....a.#. + 6e250 075f666c 61677300 000003e8 02230c07 ._flags......#.. + 6e260 5f66696c 65000000 03e80223 0e075f62 _file......#.._b + 6e270 66000000 03be0223 10075f6c 62667369 f......#.._lbfsi + 6e280 7a650000 00016102 2318075f 636f6f6b ze....a.#.._cook + 6e290 69650000 0002d602 231c075f 72656164 ie......#.._read + 6e2a0 00000004 0a022320 075f7772 69746500 ......# ._write. + 6e2b0 00000428 02232407 5f736565 6b000000 ...(.#$._seek... + 6e2c0 044e0223 28075f63 6c6f7365 00000004 .N.#(._close.... + 6e2d0 5b02232c 075f7562 00000003 be022330 [.#,._ub......#0 + 6e2e0 075f7570 00000003 b7022338 075f7572 ._up......#8._ur + 6e2f0 00000001 6102233c 075f7562 75660000 ....a.#<._ubuf.. + 6e300 00046202 2340075f 6e627566 00000004 ..b.#@._nbuf.... + 6e310 6f022343 075f6c62 00000003 be022344 o.#C._lb......#D + 6e320 075f626c 6b73697a 65000000 01610223 ._blksize....a.# + 6e330 4c075f6f 66667365 74000000 01610223 L._offset....a.# + 6e340 50075f64 61746100 00000603 02235407 P._data......#T. + 6e350 5f6c6f63 6b000000 06210223 5800095f _lock....!.#X.._ + 6e360 676c7565 000c0000 07a4075f 6e657874 glue......._next + 6e370 00000007 a4022300 075f6e69 6f627300 ......#.._niobs. + 6e380 00000161 02230407 5f696f62 73000000 ...a.#.._iobs... + 6e390 07b70223 08000a00 00076c04 00035f5f ...#......l...__ + 6e3a0 46494c45 00000006 2f0a0000 07ab0400 FILE..../....... + 6e3b0 0a000007 6c040002 73686f72 7420756e ....l...short un + 6e3c0 7369676e 65642069 6e740007 02040000 signed int...... + 6e3d0 07c50600 0007e805 0200095f 72616e64 ..........._rand + 6e3e0 3438000e 00000820 075f7365 65640000 48..... ._seed.. + 6e3f0 0007db02 2300075f 6d756c74 00000007 ....#.._mult.... + 6e400 db022306 075f6164 64000000 07c50223 ..#.._add......# + 6e410 0c000400 0003f51a 0000082d 05190002 ...........-.... + 6e420 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign + 6e430 65642069 6e740007 08035f6d 62737461 ed int...._mbsta + 6e440 74655f74 00000001 68040000 03f50800 te_t....h....... + 6e450 00086405 07000400 0003f518 00000871 ..d............q + 6e460 05170008 d0000009 ff075f75 6e757365 .........._unuse + 6e470 645f7261 6e640000 00010302 2300075f d_rand......#.._ + 6e480 73747274 6f6b5f6c 61737400 000003fd strtok_last..... + 6e490 02230407 5f617363 74696d65 5f627566 .#.._asctime_buf + 6e4a0 00000008 20022308 075f6c6f 63616c74 .... .#.._localt + 6e4b0 696d655f 62756600 0000022a 02232407 ime_buf....*.#$. + 6e4c0 5f67616d 6d615f73 69676e67 616d0000 _gamma_signgam.. + 6e4d0 00016102 2348075f 72616e64 5f6e6578 ..a.#H._rand_nex + 6e4e0 74000000 082d0223 50075f72 34380000 t....-.#P._r48.. + 6e4f0 0007e802 2358075f 6d626c65 6e5f7374 ....#X._mblen_st + 6e500 61746500 00000847 02236807 5f6d6274 ate....G.#h._mbt + 6e510 6f77635f 73746174 65000000 08470223 owc_state....G.# + 6e520 70075f77 63746f6d 625f7374 61746500 p._wctomb_state. + 6e530 00000847 02237807 5f6c3634 615f6275 ...G.#x._l64a_bu + 6e540 66000000 08570323 8001075f 7369676e f....W.#..._sign + 6e550 616c5f62 75660000 00086403 23880107 al_buf....d.#... + 6e560 5f676574 64617465 5f657272 00000001 _getdate_err.... + 6e570 610323a0 01075f6d 62726c65 6e5f7374 a.#..._mbrlen_st + 6e580 61746500 00000847 0323a401 075f6d62 ate....G.#..._mb + 6e590 72746f77 635f7374 61746500 00000847 rtowc_state....G + 6e5a0 0323ac01 075f6d62 7372746f 7763735f .#..._mbsrtowcs_ + 6e5b0 73746174 65000000 08470323 b401075f state....G.#..._ + 6e5c0 77637274 6f6d625f 73746174 65000000 wcrtomb_state... + 6e5d0 08470323 bc01075f 77637372 746f6d62 .G.#..._wcsrtomb + 6e5e0 735f7374 61746500 00000847 0323c401 s_state....G.#.. + 6e5f0 00040000 03b77800 000a0c05 1d000400 ......x......... + 6e600 00010378 00000a19 051d0008 f000000a ...x............ + 6e610 40075f6e 65787466 00000009 ff022300 @._nextf......#. + 6e620 075f6e6d 616c6c6f 63000000 0a0c0223 ._nmalloc......# + 6e630 780006f0 00000a66 075f7265 656e7400 x......f._reent. + 6e640 00000871 02230007 5f756e75 73656400 ...q.#.._unused. + 6e650 00000a19 02230000 04000003 f5190000 .....#.......... + 6e660 0a730518 000d010a 00000a73 04000a00 .s.........s.... + 6e670 00022304 000d010a 00000a83 04000a00 ..#............. + 6e680 000a8504 00100000 07ab0114 00000aa1 ................ + 6e690 0502000e 00000161 010a0000 0aa10400 .......a........ + 6e6a0 09707269 6e74665f 61706900 0800000a .printf_api..... + 6e6b0 e5075f70 72696e74 665f696e 69740000 .._printf_init.. + 6e6c0 00039c02 2300075f 7072696e 74660000 ....#.._printf.. + 6e6d0 000aa702 23040003 75696e74 31365f74 ....#...uint16_t + 6e6e0 00000007 c5037569 6e743332 5f740000 ......uint32_t.. + 6e6f0 0001f409 75617274 5f666966 6f000800 ....uart_fifo... + 6e700 000b4c07 73746172 745f696e 64657800 ..L.start_index. + 6e710 00000ae5 02230007 656e645f 696e6465 .....#..end_inde + 6e720 78000000 0ae50223 02076f76 65727275 x......#..overru + 6e730 6e5f6572 72000000 0af30223 04000975 n_err......#...u + 6e740 6172745f 61706900 2000000c 05075f75 art_api. ....._u + 6e750 6172745f 696e6974 0000000c 5c022300 art_init....\.#. + 6e760 075f7561 72745f63 6861725f 70757400 ._uart_char_put. + 6e770 00000c72 02230407 5f756172 745f6368 ...r.#.._uart_ch + 6e780 61725f67 65740000 000c8602 2308075f ar_get......#.._ + 6e790 75617274 5f737472 5f6f7574 0000000c uart_str_out.... + 6e7a0 8f02230c 075f7561 72745f74 61736b00 ..#.._uart_task. + 6e7b0 0000039c 02231007 5f756172 745f7374 .....#.._uart_st + 6e7c0 61747573 0000000c 5c022314 075f7561 atus....\.#.._ua + 6e7d0 72745f63 6f6e6669 67000000 0c980223 rt_config......# + 6e7e0 18075f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 6e7f0 000ca102 231c000a 00000b4c 04000975 ....#......L...u + 6e800 6172745f 626c6b00 1000000c 56076465 art_blk.....V.de + 6e810 6275675f 6d6f6465 0000000a e5022300 bug_mode......#. + 6e820 07626175 64000000 0ae50223 02075f75 .baud......#.._u + 6e830 61727400 00000c05 02230407 5f747800 art......#.._tx. + 6e840 00000b01 02230800 0e00000a f3010a00 .....#.......... + 6e850 000c5604 00037569 6e74385f 74000000 ..V...uint8_t... + 6e860 011f0d01 0a00000c 7004000a 00000c63 ........p......c + 6e870 04000e00 000ae501 0a00000c 8004000d ................ + 6e880 010a0000 0c8d0400 0d010a00 000c9604 ................ + 6e890 000d010a 00000c9f 04000e00 00016101 ..............a. + 6e8a0 0a00000c a8040009 44425f43 4f4d4d41 ........DB_COMMA + 6e8b0 4e445f53 54525543 54000c00 000d0007 ND_STRUCT....... + 6e8c0 636d645f 73747200 000003fd 02230007 cmd_str......#.. + 6e8d0 68656c70 5f737472 00000003 fd022304 help_str......#. + 6e8e0 07636d64 5f66756e 63000000 0cae0223 .cmd_func......# + 6e8f0 08000964 62675f61 70690008 00000d33 ...dbg_api.....3 + 6e900 075f6462 675f696e 69740000 00039c02 ._dbg_init...... + 6e910 2300075f 6462675f 7461736b 00000003 #.._dbg_task.... + 6e920 9c022304 000e0000 02d6010a 00000d33 ..#............3 + 6e930 04001111 0a00000d 4104000e 000002d6 ........A....... + 6e940 010a0000 0d490400 0e000001 61010a00 .....I......a... + 6e950 000d5604 00096d65 6d5f6170 69001400 ..V...mem_api... + 6e960 000dc507 5f6d656d 5f696e69 74000000 ...._mem_init... + 6e970 039c0223 00075f6d 656d7365 74000000 ...#.._memset... + 6e980 0d390223 04075f6d 656d6370 79000000 .9.#.._memcpy... + 6e990 0d4f0223 08075f6d 656d6d6f 76650000 .O.#.._memmove.. + 6e9a0 000d4f02 230c075f 6d656d63 6d700000 ..O.#.._memcmp.. + 6e9b0 000d5c02 23100012 72656769 73746572 ..\.#...register + 6e9c0 5f64756d 705f7300 00010a00 000dc504 _dump_s......... + 6e9d0 000d010a 00000ddf 04000d01 0a00000d ................ + 6e9e0 e804000e 00000161 010a0000 0df10400 .......a........ + 6e9f0 13686f73 7469665f 73000400 000e4d14 .hostif_s.....M. + 6ea00 4849465f 55534200 00144849 465f5043 HIF_USB...HIF_PC + 6ea10 49450001 14484946 5f474d41 43000214 IE...HIF_GMAC... + 6ea20 4849465f 50434900 03144849 465f4e55 HIF_PCI...HIF_NU + 6ea30 4d000414 4849465f 4e4f4e45 00050003 M...HIF_NONE.... + 6ea40 415f484f 53544946 0000000d fe0e0000 A_HOSTIF........ + 6ea50 0e4d010a 00000e5b 04000e00 000c6301 .M.....[......c. + 6ea60 0a00000e 6804000e 00000ae5 010a0000 ....h........... + 6ea70 0e750400 096d6973 635f6170 69002400 .u...misc_api.$. + 6ea80 000f6507 5f737973 74656d5f 72657365 ..e._system_rese + 6ea90 74000000 039c0223 00075f6d 61635f72 t......#.._mac_r + 6eaa0 65736574 00000003 9c022304 075f6173 eset......#.._as + 6eab0 73666169 6c000000 0de10223 08075f6d sfail......#.._m + 6eac0 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 6ead0 616e646c 65720000 000de102 230c075f andler......#.._ + 6eae0 7265706f 72745f66 61696c75 72655f74 report_failure_t + 6eaf0 6f5f686f 73740000 000dea02 2310075f o_host......#.._ + 6eb00 74617267 65745f69 645f6765 74000000 target_id_get... + 6eb10 0df70223 14075f69 735f686f 73745f70 ...#.._is_host_p + 6eb20 72657365 6e740000 000e6102 2318075f resent....a.#.._ + 6eb30 6b626869 74000000 0e6e0223 1c075f72 kbhit....n.#.._r + 6eb40 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 6eb50 000e7b02 2320000e 000003fd 010a0000 ..{.# .......... + 6eb60 0f650400 0e000003 fd010a00 000f7204 .e............r. + 6eb70 000e0000 0161010a 00000f7f 04000e00 .....a.......... + 6eb80 00016101 0a00000f 8c04000e 00000161 ..a............a + 6eb90 010a0000 0f990400 09737472 696e675f .........string_ + 6eba0 61706900 18000010 1f075f73 7472696e api......._strin + 6ebb0 675f696e 69740000 00039c02 2300075f g_init......#.._ + 6ebc0 73747263 70790000 000f6b02 2304075f strcpy....k.#.._ + 6ebd0 7374726e 63707900 00000f78 02230807 strncpy....x.#.. + 6ebe0 5f737472 6c656e00 00000f85 02230c07 _strlen......#.. + 6ebf0 5f737472 636d7000 00000f92 02231007 _strcmp......#.. + 6ec00 5f737472 6e636d70 0000000f 9f022314 _strncmp......#. + 6ec10 00040000 01031400 00102c05 0400035f ..........,...._ + 6ec20 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 6ec30 101f0341 5f74696d 65725f74 00000010 ...A_timer_t.... + 6ec40 2c0a0000 10400400 0d010a00 00105604 ,....@........V. + 6ec50 000d010a 0000105f 04000341 5f48414e ......._...A_HAN + 6ec60 444c4500 00000103 0d010341 5f54494d DLE........A_TIM + 6ec70 45525f46 554e4300 00001076 0a000010 ER_FUNC....v.... + 6ec80 7804000d 010a0000 10910400 0974696d x............tim + 6ec90 65725f61 70690014 00001110 075f7469 er_api......._ti + 6eca0 6d65725f 696e6974 00000003 9c022300 mer_init......#. + 6ecb0 075f7469 6d65725f 61726d00 00001058 ._timer_arm....X + 6ecc0 02230407 5f74696d 65725f64 69736172 .#.._timer_disar + 6ecd0 6d000000 10610223 08075f74 696d6572 m....a.#.._timer + 6ece0 5f736574 666e0000 00109302 230c075f _setfn......#.._ + 6ecf0 74696d65 725f7275 6e000000 039c0223 timer_run......# + 6ed00 10000342 4f4f4c45 414e0000 000ae50e ...BOOLEAN...... + 6ed10 00001110 010a0000 111d0400 0e000011 ................ + 6ed20 10010a00 00112a04 000e0000 1110010a ......*......... + 6ed30 00001137 04000972 6f6d705f 61706900 ...7...romp_api. + 6ed40 10000011 a9075f72 6f6d705f 696e6974 ......_romp_init + 6ed50 00000003 9c022300 075f726f 6d705f64 ......#.._romp_d + 6ed60 6f776e6c 6f616400 00001123 02230407 ownload....#.#.. + 6ed70 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 6ed80 11300223 08075f72 6f6d705f 6465636f .0.#.._romp_deco + 6ed90 64650000 00113d02 230c0009 726f6d5f de....=.#...rom_ + 6eda0 70617463 685f7374 00100000 12050763 patch_st.......c + 6edb0 72633136 0000000a e5022300 076c656e rc16......#..len + 6edc0 0000000a e5022302 076c645f 61646472 ......#..ld_addr + 6edd0 0000000a f3022304 0766756e 5f616464 ......#..fun_add + 6ede0 72000000 0af30223 08077066 756e0000 r......#..pfun.. + 6edf0 000c7902 230c0009 6565705f 72656469 ..y.#...eep_redi + 6ee00 725f6164 64720004 00001237 076f6666 r_addr.....7.off + 6ee10 73657400 00000ae5 02230007 73697a65 set......#..size + 6ee20 0000000a e5022302 0003415f 55494e54 ......#...A_UINT + 6ee30 33320000 0001030e 000002d6 010a0000 32.............. + 6ee40 12450400 09616c6c 6f637261 6d5f6170 .E...allocram_ap + 6ee50 69000c00 0012b607 636d6e6f 735f616c i.......cmnos_al + 6ee60 6c6f6372 616d5f69 6e697400 0000124b locram_init....K + 6ee70 02230007 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 6ee80 616d0000 00124b02 23040763 6d6e6f73 am....K.#..cmnos + 6ee90 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 6eea0 0000039c 02230800 0d010a00 0012b604 .....#.......... + 6eeb0 0003415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 6eec0 00000012 b8095f74 61736b6c 65740010 ......_tasklet.. + 6eed0 00001317 0766756e 63000000 12bf0223 .....func......# + 6eee0 00076172 67000000 02d60223 04077374 ..arg......#..st + 6eef0 61746500 00000161 02230807 6e657874 ate....a.#..next + 6ef00 00000013 1702230c 000a0000 12d30400 ......#......... + 6ef10 0a000012 d3040003 415f7461 736b6c65 ........A_taskle + 6ef20 745f7400 000012d3 0a000013 2504000d t_t.........%... + 6ef30 010a0000 133d0400 0d010a00 00134604 .....=........F. + 6ef40 00097461 736b6c65 745f6170 69001400 ..tasklet_api... + 6ef50 0013db07 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 6ef60 74000000 039c0223 00075f74 61736b6c t......#.._taskl + 6ef70 65745f69 6e69745f 7461736b 00000013 et_init_task.... + 6ef80 3f022304 075f7461 736b6c65 745f6469 ?.#.._tasklet_di + 6ef90 7361626c 65000000 13480223 08075f74 sable....H.#.._t + 6efa0 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 6efb0 00001348 02230c07 5f746173 6b6c6574 ...H.#.._tasklet + 6efc0 5f72756e 00000003 9c022310 000d010a _run......#..... + 6efd0 000013db 04000e00 00123701 0a000013 ..........7..... + 6efe0 e4040009 636c6f63 6b5f6170 69002400 ....clock_api.$. + 6eff0 0014ca07 5f636c6f 636b5f69 6e697400 ...._clock_init. + 6f000 000013dd 02230007 5f636c6f 636b7265 .....#.._clockre + 6f010 67735f69 6e697400 0000039c 02230407 gs_init......#.. + 6f020 5f756172 745f6672 65717565 6e637900 _uart_frequency. + 6f030 000013ea 02230807 5f64656c 61795f75 .....#.._delay_u + 6f040 73000000 0a850223 0c075f77 6c616e5f s......#.._wlan_ + 6f050 62616e64 5f736574 0000000a 85022310 band_set......#. + 6f060 075f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g + 6f070 65740000 0013ea02 2314075f 6d696c6c et......#.._mill + 6f080 69736563 6f6e6473 00000013 ea022318 iseconds......#. + 6f090 075f7379 73636c6b 5f636861 6e676500 ._sysclk_change. + 6f0a0 0000039c 02231c07 5f636c6f 636b5f74 .....#.._clock_t + 6f0b0 69636b00 0000039c 02232000 0e00000a ick......# ..... + 6f0c0 f3010a00 0014ca04 0003415f 6f6c645f ..........A_old_ + 6f0d0 696e7472 5f740000 000af30e 000014d7 intr_t.......... + 6f0e0 010a0000 14e90400 0d010a00 0014f604 ................ + 6f0f0 000d010a 000014ff 04000e00 000af301 ................ + 6f100 0a000015 08040003 415f6973 725f7400 ........A_isr_t. + 6f110 0000150e 0d010a00 00152204 000e0000 .........."..... + 6f120 0103010a 0000152b 04000d01 0a000015 .......+........ + 6f130 38040009 696e7472 5f617069 002c0000 8...intr_api.,.. + 6f140 165a075f 696e7472 5f696e69 74000000 .Z._intr_init... + 6f150 039c0223 00075f69 6e74725f 696e766f ...#.._intr_invo + 6f160 6b655f69 73720000 0014d002 2304075f ke_isr......#.._ + 6f170 696e7472 5f646973 61626c65 00000014 intr_disable.... + 6f180 ef022308 075f696e 74725f72 6573746f ..#.._intr_resto + 6f190 72650000 0014f802 230c075f 696e7472 re......#.._intr + 6f1a0 5f6d6173 6b5f696e 756d0000 00150102 _mask_inum...... + 6f1b0 2310075f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ + 6f1c0 696e756d 00000015 01022314 075f696e inum......#.._in + 6f1d0 74725f61 74746163 685f6973 72000000 tr_attach_isr... + 6f1e0 15240223 18075f67 65745f69 6e747265 .$.#.._get_intre + 6f1f0 6e61626c 65000000 15310223 1c075f73 nable....1.#.._s + 6f200 65745f69 6e747265 6e61626c 65000000 et_intrenable... + 6f210 153a0223 20075f67 65745f69 6e747270 .:.# ._get_intrp + 6f220 656e6469 6e670000 00153102 2324075f ending....1.#$._ + 6f230 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr + 6f240 6c766c00 0000039c 02232800 06040000 lvl......#(..... + 6f250 16800774 696d656f 75740000 000af302 ...timeout...... + 6f260 23000761 6374696f 6e000000 0af30223 #..action......# + 6f270 00000808 0000169b 07636d64 0000000a .........cmd.... + 6f280 f3022300 15000016 5a022304 0003545f ..#.....Z.#...T_ + 6f290 5744545f 434d4400 00001680 0d010a00 WDT_CMD......... + 6f2a0 0016aa04 00160400 00170014 454e554d ............ENUM + 6f2b0 5f574454 5f424f4f 54000114 454e554d _WDT_BOOT...ENUM + 6f2c0 5f434f4c 445f424f 4f540002 14454e55 _COLD_BOOT...ENU + 6f2d0 4d5f5355 53505f42 4f4f5400 0314454e M_SUSP_BOOT...EN + 6f2e0 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. + 6f2f0 04000354 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. + 6f300 0016b30e 00001700 010a0000 17110400 ................ + 6f310 09776474 5f617069 001c0000 17b5075f .wdt_api......._ + 6f320 7764745f 696e6974 00000003 9c022300 wdt_init......#. + 6f330 075f7764 745f656e 61626c65 00000003 ._wdt_enable.... + 6f340 9c022304 075f7764 745f6469 7361626c ..#.._wdt_disabl + 6f350 65000000 039c0223 08075f77 64745f73 e......#.._wdt_s + 6f360 65740000 0016ac02 230c075f 7764745f et......#.._wdt_ + 6f370 7461736b 00000003 9c022310 075f7764 task......#.._wd + 6f380 745f7265 73657400 0000039c 02231407 t_reset......#.. + 6f390 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 6f3a0 00171702 23180016 04000018 1c145245 ....#.........RE + 6f3b0 545f5355 43434553 53000014 5245545f T_SUCCESS...RET_ + 6f3c0 4e4f545f 494e4954 00011452 45545f4e NOT_INIT...RET_N + 6f3d0 4f545f45 58495354 00021452 45545f45 OT_EXIST...RET_E + 6f3e0 45505f43 4f525255 50540003 14524554 EP_CORRUPT...RET + 6f3f0 5f454550 5f4f5645 52464c4f 57000414 _EEP_OVERFLOW... + 6f400 5245545f 554e4b4e 4f574e00 05000354 RET_UNKNOWN....T + 6f410 5f454550 5f524554 00000017 b50a0000 _EEP_RET........ + 6f420 0ae50400 0e000018 1c010a00 00183204 ..............2. + 6f430 000e0000 181c010a 0000183f 04000965 ...........?...e + 6f440 65705f61 70690010 000018a8 075f6565 ep_api......._ee + 6f450 705f696e 69740000 00039c02 2300075f p_init......#.._ + 6f460 6565705f 72656164 00000018 38022304 eep_read....8.#. + 6f470 075f6565 705f7772 69746500 00001838 ._eep_write....8 + 6f480 02230807 5f656570 5f69735f 65786973 .#.._eep_is_exis + 6f490 74000000 18450223 0c000975 73625f61 t....E.#...usb_a + 6f4a0 70690070 00001b55 075f7573 625f696e pi.p...U._usb_in + 6f4b0 69740000 00039c02 2300075f 7573625f it......#.._usb_ + 6f4c0 726f6d5f 7461736b 00000003 9c022304 rom_task......#. + 6f4d0 075f7573 625f6677 5f746173 6b000000 ._usb_fw_task... + 6f4e0 039c0223 08075f75 73625f69 6e69745f ...#.._usb_init_ + 6f4f0 70687900 0000039c 02230c07 5f757362 phy......#.._usb + 6f500 5f657030 5f736574 75700000 00039c02 _ep0_setup...... + 6f510 2310075f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. + 6f520 00039c02 2314075f 7573625f 6570305f ....#.._usb_ep0_ + 6f530 72780000 00039c02 2318075f 7573625f rx......#.._usb_ + 6f540 6765745f 696e7465 72666163 65000000 get_interface... + 6f550 11300223 1c075f75 73625f73 65745f69 .0.#.._usb_set_i + 6f560 6e746572 66616365 00000011 30022320 nterface....0.# + 6f570 075f7573 625f6765 745f636f 6e666967 ._usb_get_config + 6f580 75726174 696f6e00 00001130 02232407 uration....0.#$. + 6f590 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu + 6f5a0 72617469 6f6e0000 00113002 2328075f ration....0.#(._ + 6f5b0 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd + 6f5c0 00000011 3002232c 075f7573 625f7665 ....0.#,._usb_ve + 6f5d0 6e646f72 5f636d64 00000003 9c022330 ndor_cmd......#0 + 6f5e0 075f7573 625f706f 7765725f 6f666600 ._usb_power_off. + 6f5f0 0000039c 02233407 5f757362 5f726573 .....#4._usb_res + 6f600 65745f66 69666f00 0000039c 02233807 et_fifo......#8. + 6f610 5f757362 5f67656e 5f776474 00000003 _usb_gen_wdt.... + 6f620 9c02233c 075f7573 625f6a75 6d705f62 ..#<._usb_jump_b + 6f630 6f6f7400 0000039c 02234007 5f757362 oot......#@._usb + 6f640 5f636c72 5f666561 74757265 00000011 _clr_feature.... + 6f650 30022344 075f7573 625f7365 745f6665 0.#D._usb_set_fe + 6f660 61747572 65000000 11300223 48075f75 ature....0.#H._u + 6f670 73625f73 65745f61 64647265 73730000 sb_set_address.. + 6f680 00113002 234c075f 7573625f 6765745f ..0.#L._usb_get_ + 6f690 64657363 72697074 6f720000 00113002 descriptor....0. + 6f6a0 2350075f 7573625f 6765745f 73746174 #P._usb_get_stat + 6f6b0 75730000 00113002 2354075f 7573625f us....0.#T._usb_ + 6f6c0 73657475 705f6465 73630000 00039c02 setup_desc...... + 6f6d0 2358075f 7573625f 7265675f 6f757400 #X._usb_reg_out. + 6f6e0 0000039c 02235c07 5f757362 5f737461 .....#\._usb_sta + 6f6f0 7475735f 696e0000 00039c02 2360075f tus_in......#`._ + 6f700 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. + 6f710 0000039c 02236407 5f757362 5f657030 .....#d._usb_ep0 + 6f720 5f72785f 64617461 00000003 9c022368 _rx_data......#h + 6f730 075f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. + 6f740 00039c02 236c0009 5f564445 53430024 ....#l.._VDESC.$ + 6f750 00001be1 076e6578 745f6465 73630000 .....next_desc.. + 6f760 001be102 23000762 75665f61 64647200 ....#..buf_addr. + 6f770 00001bf5 02230407 6275665f 73697a65 .....#..buf_size + 6f780 0000001b fc022308 07646174 615f6f66 ......#..data_of + 6f790 66736574 0000001b fc02230a 07646174 fset......#..dat + 6f7a0 615f7369 7a650000 001bfc02 230c0763 a_size......#..c + 6f7b0 6f6e7472 6f6c0000 001bfc02 230e0768 ontrol......#..h + 6f7c0 775f6465 73635f62 75660000 001c0a02 w_desc_buf...... + 6f7d0 2310000a 00001b55 04000341 5f55494e #......U...A_UIN + 6f7e0 54380000 00011f0a 00001be8 04000341 T8.............A + 6f7f0 5f55494e 54313600 000007c5 0400001b _UINT16......... + 6f800 e8140000 1c170513 000a0000 1b550400 .............U.. + 6f810 03564445 53430000 001b550a 00001c1e .VDESC....U..... + 6f820 04000e00 001c2901 0a00001c 3004000e ......).....0... + 6f830 00001bf5 010a0000 1c3d0400 0d010a00 .........=...... + 6f840 001c4a04 00097664 6573635f 61706900 ..J...vdesc_api. + 6f850 1400001c c2075f69 6e697400 00000a85 ......_init..... + 6f860 02230007 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc + 6f870 0000001c 36022304 075f6765 745f6877 ....6.#.._get_hw + 6f880 5f646573 63000000 1c430223 08075f73 _desc....C.#.._s + 6f890 7761705f 76646573 63000000 1c4c0223 wap_vdesc....L.# + 6f8a0 0c077052 65736572 76656400 000002d6 ..pReserved..... + 6f8b0 02231000 095f5642 55460020 00001d22 .#..._VBUF. ..." + 6f8c0 07646573 635f6c69 73740000 001c2902 .desc_list....). + 6f8d0 2300076e 6578745f 62756600 00001d22 #..next_buf...." + 6f8e0 02230407 6275665f 6c656e67 74680000 .#..buf_length.. + 6f8f0 001bfc02 23080772 65736572 76656400 ....#..reserved. + 6f900 00001d29 02230a07 63747800 00001c0a ...).#..ctx..... + 6f910 02230c00 0a00001c c2040004 00001be8 .#.............. + 6f920 0200001d 36050100 0a00001c c2040003 ....6........... + 6f930 56425546 0000001c c20a0000 1d3d0400 VBUF.........=.. + 6f940 0e00001d 47010a00 001d4e04 000e0000 ....G.....N..... + 6f950 1d47010a 00001d5b 04000d01 0a00001d .G.....[........ + 6f960 68040009 76627566 5f617069 00140000 h...vbuf_api.... + 6f970 1de6075f 696e6974 0000000a 85022300 ..._init......#. + 6f980 075f616c 6c6f635f 76627566 0000001d ._alloc_vbuf.... + 6f990 54022304 075f616c 6c6f635f 76627566 T.#.._alloc_vbuf + 6f9a0 5f776974 685f7369 7a650000 001d6102 _with_size....a. + 6f9b0 2308075f 66726565 5f766275 66000000 #.._free_vbuf... + 6f9c0 1d6a0223 0c077052 65736572 76656400 .j.#..pReserved. + 6f9d0 000002d6 02231000 095f5f61 64665f64 .....#...__adf_d + 6f9e0 65766963 65000400 001e0807 64756d6d evice.......dumm + 6f9f0 79000000 01610223 00000a00 00123704 y....a.#......7. + 6fa00 00095f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 6fa10 0c00001e 4f076275 66000000 1d470223 ....O.buf....G.# + 6fa20 00076473 5f616464 72000000 1e080223 ..ds_addr......# + 6fa30 04076473 5f6c656e 0000001b fc022308 ..ds_len......#. + 6fa40 00080c00 001e8907 5f5f7661 5f73746b ........__va_stk + 6fa50 00000003 fd022300 075f5f76 615f7265 ......#..__va_re + 6fa60 67000000 03fd0223 04075f5f 76615f6e g......#..__va_n + 6fa70 64780000 00016102 23080003 5f5f6164 dx....a.#...__ad + 6fa80 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 6fa90 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ + 6faa0 61646472 5f740000 001e8903 5f5f6164 addr_t......__ad + 6fab0 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 6fac0 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ + 6fad0 73697a65 5f740000 001eb909 5f5f646d size_t......__dm + 6fae0 615f7365 67730008 00001f15 07706164 a_segs.......pad + 6faf0 64720000 001ea202 2300076c 656e0000 dr......#..len.. + 6fb00 001ed202 23040003 5f5f615f 75696e74 ....#...__a_uint + 6fb10 33325f74 00000012 3703615f 75696e74 32_t....7.a_uint + 6fb20 33325f74 0000001f 15040000 1ee90800 32_t............ + 6fb30 001f4405 00000961 64665f6f 735f646d ..D....adf_os_dm + 6fb40 616d6170 5f696e66 6f000c00 001f7d07 amap_info.....}. + 6fb50 6e736567 73000000 1f270223 0007646d nsegs....'.#..dm + 6fb60 615f7365 67730000 001f3702 23040003 a_segs....7.#... + 6fb70 5f5f615f 75696e74 385f7400 00001be8 __a_uint8_t..... + 6fb80 03615f75 696e7438 5f740000 001f7d0a .a_uint8_t....}. + 6fb90 00001f8e 0400095f 5f73675f 73656773 .......__sg_segs + 6fba0 00080000 1fcf0776 61646472 0000001f .......vaddr.... + 6fbb0 9d022300 076c656e 0000001f 27022304 ..#..len....'.#. + 6fbc0 00040000 1fa42000 001fdc05 03000961 ...... ........a + 6fbd0 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 6fbe0 200f076e 73656773 0000001f 27022300 ..nsegs....'.#. + 6fbf0 0773675f 73656773 0000001f cf022304 .sg_segs......#. + 6fc00 00081000 00205807 76656e64 6f720000 ..... X.vendor.. + 6fc10 001f2702 23000764 65766963 65000000 ..'.#..device... + 6fc20 1f270223 04077375 6276656e 646f7200 .'.#..subvendor. + 6fc30 00001f27 02230807 73756264 65766963 ...'.#..subdevic + 6fc40 65000000 1f270223 0c000341 5f55494e e....'.#...A_UIN + 6fc50 54363400 0000082d 035f5f61 5f75696e T64....-.__a_uin + 6fc60 7436345f 74000000 20580361 5f75696e t64_t... X.a_uin + 6fc70 7436345f 74000000 20661604 000020c4 t64_t... f.... . + 6fc80 14414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 6fc90 5f545950 455f4d45 4d000014 4144465f _TYPE_MEM...ADF_ + 6fca0 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 6fcb0 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re + 6fcc0 736f7572 63655f74 7970655f 74000000 source_type_t... + 6fcd0 20880818 0000210e 07737461 72740000 .....!..start.. + 6fce0 00207802 23000765 6e640000 00207802 . x.#..end... x. + 6fcf0 23080774 79706500 000020c4 02231000 #..type... ..#.. + 6fd00 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 6fd10 69645f74 00000020 0f0a0000 210e0400 id_t... ....!... + 6fd20 06040000 214d0770 63690000 00212702 ....!M.pci...!'. + 6fd30 23000772 61770000 0002d602 23000006 #..raw......#... + 6fd40 10000021 6c077063 69000000 210e0223 ...!l.pci...!..# + 6fd50 00077261 77000000 02d60223 00000361 ..raw......#...a + 6fd60 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 6fd70 000002d6 03616466 5f6f735f 7265736f .....adf_os_reso + 6fd80 75726365 5f740000 0020e00a 00002182 urce_t... ....!. + 6fd90 04000361 64665f6f 735f6174 74616368 ...adf_os_attach + 6fda0 5f646174 615f7400 0000214d 0a000021 _data_t...!M...! + 6fdb0 a004000a 00001de6 0400035f 5f616466 ...........__adf + 6fdc0 5f6f735f 64657669 63655f74 00000021 _os_device_t...! + 6fdd0 c1036164 665f6f73 5f646576 6963655f ..adf_os_device_ + 6fde0 74000000 21c80e00 00216c01 0a000021 t...!....!l....! + 6fdf0 f404000d 010a0000 22010400 03616466 ........"....adf + 6fe00 5f6f735f 706d5f74 00000002 d60d010a _os_pm_t........ + 6fe10 0000221b 04001604 0000225b 14414446 .."......."[.ADF + 6fe20 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 6fe30 00011441 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 6fe40 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad + 6fe50 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 6fe60 00002224 03616466 5f6f735f 6275735f .."$.adf_os_bus_ + 6fe70 7265675f 64617461 5f740000 00212e09 reg_data_t...!.. + 6fe80 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 6fe90 00233107 6472765f 61747461 63680000 .#1.drv_attach.. + 6fea0 0021fa02 23000764 72765f64 65746163 .!..#..drv_detac + 6feb0 68000000 22030223 04076472 765f7375 h..."..#..drv_su + 6fec0 7370656e 64000000 221d0223 08076472 spend..."..#..dr + 6fed0 765f7265 73756d65 00000022 0302230c v_resume..."..#. + 6fee0 07627573 5f747970 65000000 225b0223 .bus_type..."[.# + 6fef0 10076275 735f6461 74610000 00227202 ..bus_data..."r. + 6ff00 2314076d 6f645f6e 616d6500 000003b7 #..mod_name..... + 6ff10 02231807 69666e61 6d650000 0003b702 .#..ifname...... + 6ff20 231c0003 6164665f 6f735f68 616e646c #...adf_os_handl + 6ff30 655f7400 000002d6 0a00001f 7d04000d e_t.........}... + 6ff40 010d0103 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 6ff50 655f7400 00000103 16040000 23801441 e_t.........#..A + 6ff60 5f46414c 53450000 14415f54 52554500 _FALSE...A_TRUE. + 6ff70 01000361 5f626f6f 6c5f7400 00002366 ...a_bool_t...#f + 6ff80 0a00001e 0f040003 5f5f6164 665f6f73 ........__adf_os + 6ff90 5f646d61 5f6d6170 5f740000 00238e0d _dma_map_t...#.. + 6ffa0 01136164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 6ffb0 796e6300 04000024 18144144 465f5359 ync....$..ADF_SY + 6ffc0 4e435f50 52455245 41440000 14414446 NC_PREREAD...ADF + 6ffd0 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 6ffe0 14414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 6fff0 41440001 14414446 5f53594e 435f504f AD...ADF_SYNC_PO + 70000 53545752 49544500 03000361 64665f6f STWRITE....adf_o + 70010 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 70020 0023af0d 01036164 665f6f73 5f73697a .#....adf_os_siz + 70030 655f7400 00002351 0e000024 33010361 e_t...#Q...$3..a + 70040 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 70050 00002395 0a000024 4c04000e 000002d6 ..#....$L....... + 70060 010a0000 23950400 0e000002 d6010d01 ....#........... + 70070 0e00001e a2010d01 03415f49 4e543136 .........A_INT16 + 70080 00000003 e8035f5f 615f696e 7431365f ......__a_int16_ + 70090 74000000 24860361 5f696e74 31365f74 t...$..a_int16_t + 700a0 00000024 93027369 676e6564 20636861 ...$..signed cha + 700b0 72000501 03415f49 4e543800 000024b3 r....A_INT8...$. + 700c0 035f5f61 5f696e74 385f7400 000024c2 .__a_int8_t...$. + 700d0 03615f69 6e74385f 74000000 24ce080c .a_int8_t...$... + 700e0 00002545 07737570 706f7274 65640000 ..%E.supported.. + 700f0 001f2702 23000761 64766572 74697a65 ..'.#..advertize + 70100 64000000 1f270223 04077370 65656400 d....'.#..speed. + 70110 000024a4 02230807 6475706c 65780000 ..$..#..duplex.. + 70120 0024de02 230a0761 75746f6e 65670000 .$..#..autoneg.. + 70130 001f8e02 230b0004 00001f8e 06000025 ....#..........% + 70140 52050500 09616466 5f6e6574 5f657468 R....adf_net_eth + 70150 61646472 00060000 25760761 64647200 addr....%v.addr. + 70160 00002545 02230000 035f5f61 5f75696e ..%E.#...__a_uin + 70170 7431365f 74000000 1bfc0361 5f75696e t16_t......a_uin + 70180 7431365f 74000000 2576080e 000025da t16_t...%v....%. + 70190 07657468 65725f64 686f7374 00000025 .ether_dhost...% + 701a0 45022300 07657468 65725f73 686f7374 E.#..ether_shost + 701b0 00000025 45022306 07657468 65725f74 ...%E.#..ether_t + 701c0 79706500 00002588 02230c00 08140000 ype...%..#...... + 701d0 269b1769 705f7665 7273696f 6e000000 &..ip_version... + 701e0 1f8e0100 04022300 1769705f 686c0000 ......#..ip_hl.. + 701f0 001f8e01 04040223 00076970 5f746f73 .......#..ip_tos + 70200 0000001f 8e022301 0769705f 6c656e00 ......#..ip_len. + 70210 00002588 02230207 69705f69 64000000 ..%..#..ip_id... + 70220 25880223 04076970 5f667261 675f6f66 %..#..ip_frag_of + 70230 66000000 25880223 06076970 5f74746c f...%..#..ip_ttl + 70240 0000001f 8e022308 0769705f 70726f74 ......#..ip_prot + 70250 6f000000 1f8e0223 09076970 5f636865 o......#..ip_che + 70260 636b0000 00258802 230a0769 705f7361 ck...%..#..ip_sa + 70270 64647200 00001f27 02230c07 69705f64 ddr....'.#..ip_d + 70280 61646472 0000001f 27022310 00096164 addr....'.#...ad + 70290 665f6e65 745f766c 616e6864 72000400 f_net_vlanhdr... + 702a0 0026ed07 74706964 00000025 88022300 .&..tpid...%..#. + 702b0 17707269 6f000000 1f8e0100 03022302 .prio.........#. + 702c0 17636669 0000001f 8e010301 02230217 .cfi.........#.. + 702d0 76696400 00002588 02040c02 23020009 vid...%.....#... + 702e0 6164665f 6e65745f 76696400 02000027 adf_net_vid....' + 702f0 1e177265 73000000 1f8e0100 04022300 ..res.........#. + 70300 1776616c 00000025 8802040c 02230000 .val...%.....#.. + 70310 080c0000 275a0772 785f6275 6673697a ....'Z.rx_bufsiz + 70320 65000000 1f270223 00077278 5f6e6465 e....'.#..rx_nde + 70330 73630000 001f2702 23040774 785f6e64 sc....'.#..tx_nd + 70340 65736300 00001f27 02230800 08080000 esc....'.#...... + 70350 27800770 6f6c6c65 64000000 23800223 '..polled...#..# + 70360 0007706f 6c6c5f77 74000000 1f270223 ..poll_wt....'.# + 70370 04000400 001f8e40 0000278d 053f0008 .......@..'..?.. + 70380 46000027 b5076966 5f6e616d 65000000 F..'..if_name... + 70390 27800223 00076465 765f6164 64720000 '..#..dev_addr.. + 703a0 00254502 23400016 04000027 ec144144 .%E.#@.....'..AD + 703b0 465f4f53 5f444d41 5f4d4153 4b5f3332 F_OS_DMA_MASK_32 + 703c0 42495400 00144144 465f4f53 5f444d41 BIT...ADF_OS_DMA + 703d0 5f4d4153 4b5f3634 42495400 01000361 _MASK_64BIT....a + 703e0 64665f6f 735f646d 615f6d61 736b5f74 df_os_dma_mask_t + 703f0 00000027 b5096164 665f646d 615f696e ...'..adf_dma_in + 70400 666f0008 00002839 07646d61 5f6d6173 fo....(9.dma_mas + 70410 6b000000 27ec0223 00077367 5f6e7365 k...'..#..sg_nse + 70420 67730000 001f2702 23040016 04000028 gs....'.#......( + 70430 8f144144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 70440 4e4f4e45 00001441 44465f4e 45545f43 NONE...ADF_NET_C + 70450 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv + 70460 34000114 4144465f 4e45545f 434b5355 4...ADF_NET_CKSU + 70470 4d5f5443 505f5544 505f4950 76360002 M_TCP_UDP_IPv6.. + 70480 00036164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 70490 74797065 5f740000 00283908 08000028 type_t...(9....( + 704a0 d2077478 5f636b73 756d0000 00288f02 ..tx_cksum...(.. + 704b0 23000772 785f636b 73756d00 0000288f #..rx_cksum...(. + 704c0 02230400 03616466 5f6e6574 5f636b73 .#...adf_net_cks + 704d0 756d5f69 6e666f5f 74000000 28a91604 um_info_t...(... + 704e0 0000292b 14414446 5f4e4554 5f54534f ..)+.ADF_NET_TSO + 704f0 5f4e4f4e 45000014 4144465f 4e45545f _NONE...ADF_NET_ + 70500 54534f5f 49505634 00011441 44465f4e TSO_IPV4...ADF_N + 70510 45545f54 534f5f41 4c4c0002 00036164 ET_TSO_ALL....ad + 70520 665f6e65 745f7473 6f5f7479 70655f74 f_net_tso_type_t + 70530 00000028 ec081000 00297f07 636b7375 ...(.....)..cksu + 70540 6d5f6361 70000000 28d20223 00077473 m_cap...(..#..ts + 70550 6f000000 292b0223 0807766c 616e5f73 o...)+.#..vlan_s + 70560 7570706f 72746564 0000001f 8e02230c upported......#. + 70570 00082000 002a1807 74785f70 61636b65 .. ..*..tx_packe + 70580 74730000 001f2702 23000772 785f7061 ts....'.#..rx_pa + 70590 636b6574 73000000 1f270223 04077478 ckets....'.#..tx + 705a0 5f627974 65730000 001f2702 23080772 _bytes....'.#..r + 705b0 785f6279 74657300 00001f27 02230c07 x_bytes....'.#.. + 705c0 74785f64 726f7070 65640000 001f2702 tx_dropped....'. + 705d0 23100772 785f6472 6f707065 64000000 #..rx_dropped... + 705e0 1f270223 14077278 5f657272 6f727300 .'.#..rx_errors. + 705f0 00001f27 02231807 74785f65 72726f72 ...'.#..tx_error + 70600 73000000 1f270223 1c000361 64665f6e s....'.#...adf_n + 70610 65745f65 74686164 64725f74 00000025 et_ethaddr_t...% + 70620 52100000 2a180300 00002a3d 057f000c R...*.....*=.... + 70630 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad + 70640 64720003 0400002a 74076e65 6c656d00 dr.....*t.nelem. + 70650 00001f27 02230007 6d636173 74000000 ...'.#..mcast... + 70660 2a2f0223 04000361 64665f6e 65745f63 */.#...adf_net_c + 70670 6d645f6c 696e6b5f 696e666f 5f740000 md_link_info_t.. + 70680 0024ec03 6164665f 6e65745f 636d645f .$..adf_net_cmd_ + 70690 706f6c6c 5f696e66 6f5f7400 0000275a poll_info_t...'Z + 706a0 03616466 5f6e6574 5f636d64 5f636b73 .adf_net_cmd_cks + 706b0 756d5f69 6e666f5f 74000000 28d20361 um_info_t...(..a + 706c0 64665f6e 65745f63 6d645f72 696e675f df_net_cmd_ring_ + 706d0 696e666f 5f740000 00271e03 6164665f info_t...'..adf_ + 706e0 6e65745f 636d645f 646d615f 696e666f net_cmd_dma_info + 706f0 5f740000 00280303 6164665f 6e65745f _t...(..adf_net_ + 70700 636d645f 7669645f 74000000 25880361 cmd_vid_t...%..a + 70710 64665f6e 65745f63 6d645f6f 66666c6f df_net_cmd_offlo + 70720 61645f63 61705f74 00000029 43036164 ad_cap_t...)C.ad + 70730 665f6e65 745f636d 645f7374 6174735f f_net_cmd_stats_ + 70740 74000000 297f0361 64665f6e 65745f63 t...)..adf_net_c + 70750 6d645f6d 63616464 725f7400 00002a3d md_mcaddr_t...*= + 70760 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 70770 73745f63 61700004 00002bb6 14414446 st_cap....+..ADF + 70780 5f4e4554 5f4d4341 53545f53 55500000 _NET_MCAST_SUP.. + 70790 14414446 5f4e4554 5f4d4341 53545f4e .ADF_NET_MCAST_N + 707a0 4f545355 50000100 03616466 5f6e6574 OTSUP....adf_net + 707b0 5f636d64 5f6d6361 73745f63 61705f74 _cmd_mcast_cap_t + 707c0 0000002b 6e180304 00002c88 076c696e ...+n.....,..lin + 707d0 6b5f696e 666f0000 002a7402 23000770 k_info...*t.#..p + 707e0 6f6c6c5f 696e666f 0000002a 91022300 oll_info...*..#. + 707f0 07636b73 756d5f69 6e666f00 00002aae .cksum_info...*. + 70800 02230007 72696e67 5f696e66 6f000000 .#..ring_info... + 70810 2acc0223 0007646d 615f696e 666f0000 *..#..dma_info.. + 70820 002ae902 23000776 69640000 002b0502 .*..#..vid...+.. + 70830 2300076f 66666c6f 61645f63 61700000 #..offload_cap.. + 70840 002b1c02 23000773 74617473 0000002b .+..#..stats...+ + 70850 3b022300 076d6361 73745f69 6e666f00 ;.#..mcast_info. + 70860 00002b54 02230007 6d636173 745f6361 ..+T.#..mcast_ca + 70870 70000000 2bb60223 00001604 00002cdf p...+..#......,. + 70880 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 70890 554d5f4e 4f4e4500 00144144 465f4e42 UM_NONE...ADF_NB + 708a0 55465f52 585f434b 53554d5f 48570001 UF_RX_CKSUM_HW.. + 708b0 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 708c0 554d5f55 4e4e4543 45535341 52590002 UM_UNNECESSARY.. + 708d0 00036164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck + 708e0 73756d5f 74797065 5f740000 002c8808 sum_type_t...,.. + 708f0 0800002d 1f077265 73756c74 0000002c ...-..result..., + 70900 df022300 0776616c 0000001f 27022304 ..#..val....'.#. + 70910 00080800 002d4f07 74797065 00000029 .....-O.type...) + 70920 2b022300 076d7373 00000025 88022304 +.#..mss...%..#. + 70930 07686472 5f6f6666 0000001f 8e022306 .hdr_off......#. + 70940 00095f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe + 70950 6164000c 00002d8e 07686561 64000000 ad....-..head... + 70960 1d470223 00077461 696c0000 001d4702 .G.#..tail....G. + 70970 23040771 6c656e00 00001f27 02230800 #..qlen....'.#.. + 70980 035f5f61 64665f6e 6275665f 74000000 .__adf_nbuf_t... + 70990 1d470a00 001f9d04 000a0000 1f270400 .G...........'.. + 709a0 0d010e00 001c2901 0e00001f 27010e00 ......).....'... + 709b0 001f9d01 0e00001f 9d010a00 001c0a04 ................ + 709c0 00035f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe + 709d0 61645f74 0000002d 4f035f5f 6164665f ad_t...-O.__adf_ + 709e0 6e627566 5f717565 75655f74 0000002d nbuf_queue_t...- + 709f0 cf0a0000 2de70400 0e00002d 8e010e00 ....-......-.... + 70a00 002d8e01 16040000 2f071441 5f535441 .-....../..A_STA + 70a10 5455535f 4f4b0000 14415f53 54415455 TUS_OK...A_STATU + 70a20 535f4641 494c4544 00011441 5f535441 S_FAILED...A_STA + 70a30 5455535f 454e4f45 4e540002 14415f53 TUS_ENOENT...A_S + 70a40 54415455 535f454e 4f4d454d 00031441 TATUS_ENOMEM...A + 70a50 5f535441 5455535f 45494e56 414c0004 _STATUS_EINVAL.. + 70a60 14415f53 54415455 535f4549 4e50524f .A_STATUS_EINPRO + 70a70 47524553 53000514 415f5354 41545553 GRESS...A_STATUS + 70a80 5f454e4f 54535550 50000614 415f5354 _ENOTSUPP...A_ST + 70a90 41545553 5f454255 53590007 14415f53 ATUS_EBUSY...A_S + 70aa0 54415455 535f4532 42494700 0814415f TATUS_E2BIG...A_ + 70ab0 53544154 55535f45 41444452 4e4f5441 STATUS_EADDRNOTA + 70ac0 5641494c 00091441 5f535441 5455535f VAIL...A_STATUS_ + 70ad0 454e5849 4f000a14 415f5354 41545553 ENXIO...A_STATUS + 70ae0 5f454641 554c5400 0b14415f 53544154 _EFAULT...A_STAT + 70af0 55535f45 494f000c 0003615f 73746174 US_EIO....a_stat + 70b00 75735f74 0000002e 120e0000 2f07010e us_t......../... + 70b10 00000161 010d0103 6164665f 6e627566 ...a....adf_nbuf + 70b20 5f740000 002d8e16 0400002f 6c144144 _t...-...../l.AD + 70b30 465f4f53 5f444d41 5f544f5f 44455649 F_OS_DMA_TO_DEVI + 70b40 43450000 14414446 5f4f535f 444d415f CE...ADF_OS_DMA_ + 70b50 46524f4d 5f444556 49434500 01000361 FROM_DEVICE....a + 70b60 64665f6f 735f646d 615f6469 725f7400 df_os_dma_dir_t. + 70b70 00002f35 0e00002f 07010d01 03616466 ../5.../.....adf + 70b80 5f6f735f 646d616d 61705f69 6e666f5f _os_dmamap_info_ + 70b90 74000000 1f440a00 002f8a04 000d010d t....D.../...... + 70ba0 010e0000 2f25010e 00002d8e 010d010d ..../%....-..... + 70bb0 010e0000 2f25010e 00002d8e 010e0000 ..../%....-..... + 70bc0 2f25010e 00002d8e 010e0000 2f25010d /%....-...../%.. + 70bd0 010d010e 00001f27 010e0000 1f9d010d .......'........ + 70be0 010d010e 00002433 010e0000 2380010e ......$3....#... + 70bf0 00002380 01036164 665f6f73 5f73676c ..#...adf_os_sgl + 70c00 6973745f 74000000 1fdc0a00 00300304 ist_t........0.. + 70c10 000d010d 010d010e 00001f9d 01036164 ..............ad + 70c20 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 70c30 002de70a 0000302b 04000d01 0a00002d .-....0+.......- + 70c40 cf04000d 010d010d 010e0000 2f25010e ............/%.. + 70c50 00002d8e 010e0000 1f27010e 00001f27 ..-......'.....' + 70c60 010e0000 2380010e 00002380 010e0000 ....#.....#..... + 70c70 288f010e 00001f27 01036164 665f6e62 (......'..adf_nb + 70c80 75665f72 785f636b 73756d5f 74000000 uf_rx_cksum_t... + 70c90 2cfd0a00 00308704 000d010d 01036164 ,....0........ad + 70ca0 665f6e62 75665f74 736f5f74 0000002d f_nbuf_tso_t...- + 70cb0 1f0a0000 30ab0400 0d010d01 03616466 ....0........adf + 70cc0 5f6e6574 5f68616e 646c655f 74000000 _net_handle_t... + 70cd0 02d60361 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 70ce0 64725f74 00000026 9b0a0000 30e00400 dr_t...&....0... + 70cf0 0e00002f 07010e00 002f0701 0d010d01 .../...../...... + 70d00 095f4849 465f434f 4e464947 00040000 ._HIF_CONFIG.... + 70d10 312f0764 756d6d79 00000001 61022300 1/.dummy....a.#. + 70d20 000d010a 0000312f 04000d01 0a000031 ......1/.......1 + 70d30 38040009 5f484946 5f43414c 4c424143 8..._HIF_CALLBAC + 70d40 4b000c00 00318d07 73656e64 5f627566 K....1..send_buf + 70d50 5f646f6e 65000000 31310223 00077265 _done...11.#..re + 70d60 63765f62 75660000 00313a02 23040763 cv_buf...1:.#..c + 70d70 6f6e7465 78740000 0002d602 23080003 ontext......#... + 70d80 6869665f 68616e64 6c655f74 00000002 hif_handle_t.... + 70d90 d6034849 465f434f 4e464947 00000031 ..HIF_CONFIG...1 + 70da0 0e0a0000 319f0400 0e000031 8d010a00 ....1......1.... + 70db0 0031b604 000d010a 000031c3 04000348 .1........1....H + 70dc0 49465f43 414c4c42 41434b00 00003141 IF_CALLBACK...1A + 70dd0 0a000031 cc04000d 010a0000 31e50400 ...1........1... + 70de0 0e000001 61010a00 0031ee04 000d010a ....a....1...... + 70df0 000031fb 04000e00 00016101 0a000032 ..1.......a....2 + 70e00 0404000d 010a0000 32110400 0e000001 ........2....... + 70e10 61010a00 00321a04 000d010a 00003227 a....2........2' + 70e20 04000968 69665f61 70690038 00003380 ...hif_api.8..3. + 70e30 075f696e 69740000 0031bc02 2300075f ._init...1..#.._ + 70e40 73687574 646f776e 00000031 c5022304 shutdown...1..#. + 70e50 075f7265 67697374 65725f63 616c6c62 ._register_callb + 70e60 61636b00 000031e7 02230807 5f676574 ack...1..#.._get + 70e70 5f746f74 616c5f63 72656469 745f636f _total_credit_co + 70e80 756e7400 000031f4 02230c07 5f737461 unt...1..#.._sta + 70e90 72740000 0031c502 2310075f 636f6e66 rt...1..#.._conf + 70ea0 69675f70 69706500 000031fd 02231407 ig_pipe...1..#.. + 70eb0 5f73656e 645f6275 66666572 00000032 _send_buffer...2 + 70ec0 0a022318 075f7265 7475726e 5f726563 ..#.._return_rec + 70ed0 765f6275 66000000 32130223 1c075f69 v_buf...2..#.._i + 70ee0 735f7069 70655f73 7570706f 72746564 s_pipe_supported + 70ef0 00000032 20022320 075f6765 745f6d61 ...2 .# ._get_ma + 70f00 785f6d73 675f6c65 6e000000 32200223 x_msg_len...2 .# + 70f10 24075f67 65745f72 65736572 7665645f $._get_reserved_ + 70f20 68656164 726f6f6d 00000031 f4022328 headroom...1..#( + 70f30 075f6973 725f6861 6e646c65 72000000 ._isr_handler... + 70f40 31c50223 2c075f67 65745f64 65666175 1..#,._get_defau + 70f50 6c745f70 69706500 00003229 02233007 lt_pipe...2).#0. + 70f60 70526573 65727665 64000000 02d60223 pReserved......# + 70f70 34001364 6d615f65 6e67696e 65000400 4..dma_engine... + 70f80 00340914 444d415f 454e4749 4e455f52 .4..DMA_ENGINE_R + 70f90 58300000 14444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 70fa0 52583100 0114444d 415f454e 47494e45 RX1...DMA_ENGINE + 70fb0 5f525832 00021444 4d415f45 4e47494e _RX2...DMA_ENGIN + 70fc0 455f5258 33000314 444d415f 454e4749 E_RX3...DMA_ENGI + 70fd0 4e455f54 58300004 14444d41 5f454e47 NE_TX0...DMA_ENG + 70fe0 494e455f 54583100 0514444d 415f454e INE_TX1...DMA_EN + 70ff0 47494e45 5f4d4158 00060003 646d615f GINE_MAX....dma_ + 71000 656e6769 6e655f74 00000033 8013646d engine_t...3..dm + 71010 615f6966 74797065 00040000 34561444 a_iftype....4V.D + 71020 4d415f49 465f474d 41430000 14444d41 MA_IF_GMAC...DMA + 71030 5f49465f 50434900 0114444d 415f4946 _IF_PCI...DMA_IF + 71040 5f504349 45000200 03646d61 5f696674 _PCIE....dma_ift + 71050 7970655f 74000000 341b0e00 001bfc01 ype_t...4....... + 71060 0a000034 6804000d 010a0000 34750400 ...4h.......4u.. + 71070 0d010a00 00347e04 000e0000 1237010a .....4~......7.. + 71080 00003487 04000e00 001bfc01 0a000034 ..4............4 + 71090 9404000e 00001bfc 010a0000 34a10400 ............4... + 710a0 0e00001d 47010a00 0034ae04 000d010a ....G....4...... + 710b0 000034bb 04000964 6d615f6c 69625f61 ..4....dma_lib_a + 710c0 70690034 000035c2 0774785f 696e6974 pi.4..5..tx_init + 710d0 00000034 6e022300 0774785f 73746172 ...4n.#..tx_star + 710e0 74000000 34770223 04077278 5f696e69 t...4w.#..rx_ini + 710f0 74000000 346e0223 08077278 5f636f6e t...4n.#..rx_con + 71100 66696700 00003480 02230c07 72785f73 fig...4..#..rx_s + 71110 74617274 00000034 77022310 07696e74 tart...4w.#..int + 71120 725f7374 61747573 00000034 8d022314 r_status...4..#. + 71130 07686172 645f786d 69740000 00349a02 .hard_xmit...4.. + 71140 23180766 6c757368 5f786d69 74000000 #..flush_xmit... + 71150 34770223 1c07786d 69745f64 6f6e6500 4w.#..xmit_done. + 71160 000034a7 02232007 72656170 5f786d69 ..4..# .reap_xmi + 71170 74746564 00000034 b4022324 07726561 tted...4..#$.rea + 71180 705f7265 63760000 0034b402 23280772 p_recv...4..#(.r + 71190 65747572 6e5f7265 63760000 0034bd02 eturn_recv...4.. + 711a0 232c0772 6563765f 706b7400 000034a7 #,.recv_pkt...4. + 711b0 02233000 095f5f70 63695f73 6f667463 .#0..__pci_softc + 711c0 000c0000 35e00773 77000000 31cc0223 ....5..sw...1..# + 711d0 0000035f 5f706369 5f736f66 74635f74 ...__pci_softc_t + 711e0 00000035 c20a0000 35e00400 0d010a00 ...5....5....... + 711f0 0035fa04 000e0000 1be8010a 00003603 .5............6. + 71200 04001368 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 71210 74780004 00003663 14484946 5f504349 tx....6c.HIF_PCI + 71220 5f504950 455f5458 30000014 4849465f _PIPE_TX0...HIF_ + 71230 5043495f 50495045 5f545831 00011448 PCI_PIPE_TX1...H + 71240 49465f50 43495f50 4950455f 54585f4d IF_PCI_PIPE_TX_M + 71250 41580002 00036869 665f7063 695f7069 AX....hif_pci_pi + 71260 70655f74 785f7400 00003610 0e000034 pe_tx_t...6....4 + 71270 09010a00 00367a04 00136869 665f7063 .....6z...hif_pc + 71280 695f7069 70655f72 78000400 00370014 i_pipe_rx....7.. + 71290 4849465f 5043495f 50495045 5f525830 HIF_PCI_PIPE_RX0 + 712a0 00001448 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 712b0 52583100 01144849 465f5043 495f5049 RX1...HIF_PCI_PI + 712c0 50455f52 58320002 14484946 5f504349 PE_RX2...HIF_PCI + 712d0 5f504950 455f5258 33000314 4849465f _PIPE_RX3...HIF_ + 712e0 5043495f 50495045 5f52585f 4d415800 PCI_PIPE_RX_MAX. + 712f0 04000368 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 71300 72785f74 00000036 870e0000 3409010a rx_t...6....4... + 71310 00003717 04000968 69665f70 63695f61 ..7....hif_pci_a + 71320 70690024 000037f5 07706369 5f626f6f pi.$..7..pci_boo + 71330 745f696e 69740000 00039c02 23000770 t_init......#..p + 71340 63695f69 6e697400 000031bc 02230407 ci_init...1..#.. + 71350 7063695f 72657365 74000000 039c0223 pci_reset......# + 71360 08077063 695f656e 61626c65 00000003 ..pci_enable.... + 71370 9c02230c 07706369 5f726561 705f786d ..#..pci_reap_xm + 71380 69747465 64000000 35fc0223 10077063 itted...5..#..pc + 71390 695f7265 61705f72 65637600 000035fc i_reap_recv...5. + 713a0 02231407 7063695f 6765745f 70697065 .#..pci_get_pipe + 713b0 00000036 09022318 07706369 5f676574 ...6..#..pci_get + 713c0 5f74785f 656e6700 00003680 02231c07 _tx_eng...6..#.. + 713d0 7063695f 6765745f 72785f65 6e670000 pci_get_rx_eng.. + 713e0 00371d02 23200009 676d6163 5f617069 .7..# ..gmac_api + 713f0 00040000 381c0767 6d61635f 626f6f74 ....8..gmac_boot + 71400 5f696e69 74000000 039c0223 00000400 _init......#.... + 71410 00011f06 00003829 05050009 5f5f6574 ......8)....__et + 71420 68686472 000e0000 385f0764 73740000 hhdr....8_.dst.. + 71430 00381c02 23000773 72630000 00381c02 .8..#..src...8.. + 71440 23060765 74797065 0000001b fc02230c #..etype......#. + 71450 00095f5f 61746868 64720004 000038ad ..__athhdr....8. + 71460 17726573 0000001b e8010002 02230017 .res.........#.. + 71470 70726f74 6f000000 1be80102 06022300 proto.........#. + 71480 07726573 5f6c6f00 00001be8 02230107 .res_lo......#.. + 71490 7265735f 68690000 001bfc02 23020009 res_hi......#... + 714a0 5f5f676d 61635f68 64720014 000038e9 __gmac_hdr....8. + 714b0 07657468 00000038 29022300 07617468 .eth...8).#..ath + 714c0 00000038 5f02230e 07616c69 676e5f70 ...8_.#..align_p + 714d0 61640000 001bfc02 23120003 5f5f676d ad......#...__gm + 714e0 61635f68 64725f74 00000038 ad095f5f ac_hdr_t...8..__ + 714f0 676d6163 5f736f66 74630024 00003933 gmac_softc.$..93 + 71500 07686472 00000038 e9022300 07677261 .hdr...8..#..gra + 71510 6e000000 1bfc0223 14077377 00000031 n......#..sw...1 + 71520 cc022318 00125f41 5f6f735f 6c696e6b ..#..._A_os_link + 71530 6167655f 63686563 6b000001 0a000039 age_check......9 + 71540 3304000e 00000161 010a0000 39510400 3......a....9Q.. + 71550 0a000001 0304000c 5f415f63 6d6e6f73 ........_A_cmnos + 71560 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 71570 6c650001 b800003a a1076861 6c5f6c69 le.....:..hal_li + 71580 6e6b6167 655f6368 65636b00 00003957 nkage_check...9W + 71590 02230007 73746172 745f6273 73000000 .#..start_bss... + 715a0 395e0223 04076170 705f7374 61727400 9^.#..app_start. + 715b0 0000039c 02230807 6d656d00 00000d63 .....#..mem....c + 715c0 02230c07 6d697363 0000000e 82022320 .#..misc......# + 715d0 07707269 6e746600 00000aae 02234407 .printf......#D. + 715e0 75617274 0000000b 4c02234c 07676d61 uart....L.#L.gma + 715f0 63000000 37f50223 6c077573 62000000 c...7..#l.usb... + 71600 18a80223 7007636c 6f636b00 000013f1 ...#p.clock..... + 71610 0323e001 0774696d 65720000 00109a03 .#...timer...... + 71620 23840207 696e7472 00000015 41032398 #...intr....A.#. + 71630 0207616c 6c6f6372 616d0000 00125203 ..allocram....R. + 71640 23c40207 726f6d70 00000011 440323d0 #...romp....D.#. + 71650 02077764 745f7469 6d657200 0000171e ..wdt_timer..... + 71660 0323e002 07656570 00000018 4c0323fc .#...eep....L.#. + 71670 02077374 72696e67 0000000f a603238c ..string......#. + 71680 03077461 736b6c65 74000000 134f0323 ..tasklet....O.# + 71690 a4030009 5f555342 5f464946 4f5f434f ...._USB_FIFO_CO + 716a0 4e464947 00100000 3b140767 65745f63 NFIG....;..get_c + 716b0 6f6d6d61 6e645f62 75660000 001d5402 ommand_buf....T. + 716c0 23000772 6563765f 636f6d6d 616e6400 #..recv_command. + 716d0 00001d6a 02230407 6765745f 6576656e ...j.#..get_even + 716e0 745f6275 66000000 1d540223 08077365 t_buf....T.#..se + 716f0 6e645f65 76656e74 5f646f6e 65000000 nd_event_done... + 71700 1d6a0223 0c000355 53425f46 49464f5f .j.#...USB_FIFO_ + 71710 434f4e46 49470000 003aa10a 00003b14 CONFIG...:....;. + 71720 04000d01 0a00003b 30040009 75736266 .......;0...usbf + 71730 69666f5f 61706900 0c00003b 86075f69 ifo_api....;.._i + 71740 6e697400 00003b32 02230007 5f656e61 nit...;2.#.._ena + 71750 626c655f 6576656e 745f6973 72000000 ble_event_isr... + 71760 039c0223 04077052 65736572 76656400 ...#..pReserved. + 71770 000002d6 02230800 0400001f 8e020000 .....#.......... + 71780 3b930501 00095f48 54435f46 52414d45 ;....._HTC_FRAME + 71790 5f484452 00080000 3c050745 6e64706f _HDR....<..Endpo + 717a0 696e7449 44000000 1f8e0223 0007466c intID......#..Fl + 717b0 61677300 00001f8e 02230107 5061796c ags......#..Payl + 717c0 6f61644c 656e0000 00258802 23020743 oadLen...%..#..C + 717d0 6f6e7472 6f6c4279 74657300 00003b86 ontrolBytes...;. + 717e0 02230407 486f7374 5365714e 756d0000 .#..HostSeqNum.. + 717f0 00258802 23060008 0200003c 1e074d65 .%..#......<..Me + 71800 73736167 65494400 00002588 02230000 ssageID...%..#.. + 71810 08080000 3c81074d 65737361 67654944 ....<..MessageID + 71820 00000025 88022300 07437265 64697443 ...%..#..CreditC + 71830 6f756e74 00000025 88022302 07437265 ount...%..#..Cre + 71840 64697453 697a6500 00002588 02230407 ditSize...%..#.. + 71850 4d617845 6e64706f 696e7473 0000001f MaxEndpoints.... + 71860 8e022306 075f5061 64310000 001f8e02 ..#.._Pad1...... + 71870 23070008 0a00003d 18074d65 73736167 #......=..Messag + 71880 65494400 00002588 02230007 53657276 eID...%..#..Serv + 71890 69636549 44000000 25880223 0207436f iceID...%..#..Co + 718a0 6e6e6563 74696f6e 466c6167 73000000 nnectionFlags... + 718b0 25880223 0407446f 776e4c69 6e6b5069 %..#..DownLinkPi + 718c0 70654944 0000001f 8e022306 0755704c peID......#..UpL + 718d0 696e6b50 69706549 44000000 1f8e0223 inkPipeID......# + 718e0 07075365 72766963 654d6574 614c656e ..ServiceMetaLen + 718f0 67746800 00001f8e 02230807 5f506164 gth......#.._Pad + 71900 31000000 1f8e0223 0900080a 00003da0 1......#......=. + 71910 074d6573 73616765 49440000 00258802 .MessageID...%.. + 71920 23000753 65727669 63654944 00000025 #..ServiceID...% + 71930 88022302 07537461 74757300 00001f8e ..#..Status..... + 71940 02230407 456e6470 6f696e74 49440000 .#..EndpointID.. + 71950 001f8e02 2305074d 61784d73 6753697a ....#..MaxMsgSiz + 71960 65000000 25880223 06075365 72766963 e...%..#..Servic + 71970 654d6574 614c656e 67746800 00001f8e eMetaLength..... + 71980 02230807 5f506164 31000000 1f8e0223 .#.._Pad1......# + 71990 09000802 00003db9 074d6573 73616765 ......=..Message + 719a0 49440000 00258802 23000008 0400003d ID...%..#......= + 719b0 f5074d65 73736167 65494400 00002588 ..MessageID...%. + 719c0 02230007 50697065 49440000 001f8e02 .#..PipeID...... + 719d0 23020743 72656469 74436f75 6e740000 #..CreditCount.. + 719e0 001f8e02 23030008 0400003e 2c074d65 ....#......>,.Me + 719f0 73736167 65494400 00002588 02230007 ssageID...%..#.. + 71a00 50697065 49440000 001f8e02 23020753 PipeID......#..S + 71a10 74617475 73000000 1f8e0223 03000802 tatus......#.... + 71a20 00003e53 07526563 6f726449 44000000 ..>S.RecordID... + 71a30 1f8e0223 00074c65 6e677468 0000001f ...#..Length.... + 71a40 8e022301 00080200 003e7d07 456e6470 ..#......>}.Endp + 71a50 6f696e74 49440000 001f8e02 23000743 ointID......#..C + 71a60 72656469 74730000 001f8e02 23010008 redits......#... + 71a70 0400003e be07456e 64706f69 6e744944 ...>..EndpointID + 71a80 0000001f 8e022300 07437265 64697473 ......#..Credits + 71a90 0000001f 8e022301 07546774 43726564 ......#..TgtCred + 71aa0 69745365 714e6f00 00002588 02230200 itSeqNo...%..#.. + 71ab0 0400001f 8e040000 3ecb0503 00080600 ........>....... + 71ac0 003f0707 50726556 616c6964 0000001f .?..PreValid.... + 71ad0 8e022300 074c6f6f 6b416865 61640000 ..#..LookAhead.. + 71ae0 003ebe02 23010750 6f737456 616c6964 .>..#..PostValid + 71af0 0000001f 8e022305 0003706f 6f6c5f68 ......#...pool_h + 71b00 616e646c 655f7400 000002d6 0e00003f andle_t........? + 71b10 07010a00 003f1a04 000d010a 00003f27 .....?........?' + 71b20 04001604 00003fa5 14504f4f 4c5f4944 ......?..POOL_ID + 71b30 5f485443 5f434f4e 54524f4c 00001450 _HTC_CONTROL...P + 71b40 4f4f4c5f 49445f57 4d495f53 56435f43 OOL_ID_WMI_SVC_C + 71b50 4d445f52 45504c59 00011450 4f4f4c5f MD_REPLY...POOL_ + 71b60 49445f57 4d495f53 56435f45 56454e54 ID_WMI_SVC_EVENT + 71b70 00021450 4f4f4c5f 49445f57 4c414e5f ...POOL_ID_WLAN_ + 71b80 52585f42 55460003 14504f4f 4c5f4944 RX_BUF...POOL_ID + 71b90 5f4d4158 000a0003 4255465f 504f4f4c _MAX....BUF_POOL + 71ba0 5f494400 00003f30 0d010a00 003fb604 _ID...?0.....?.. + 71bb0 000e0000 2f25010a 00003fbf 04000e00 ..../%....?..... + 71bc0 002f2501 0a00003f cc04000d 010a0000 ./%....?........ + 71bd0 3fd90400 09627566 5f706f6f 6c5f6170 ?....buf_pool_ap + 71be0 69001c00 00407b07 5f696e69 74000000 i....@{._init... + 71bf0 3f200223 00075f73 68757464 6f776e00 ? .#.._shutdown. + 71c00 00003f29 02230407 5f637265 6174655f ..?).#.._create_ + 71c10 706f6f6c 0000003f b8022308 075f616c pool...?..#.._al + 71c20 6c6f635f 62756600 00003fc5 02230c07 loc_buf...?..#.. + 71c30 5f616c6c 6f635f62 75665f61 6c69676e _alloc_buf_align + 71c40 0000003f d2022310 075f6672 65655f62 ...?..#.._free_b + 71c50 75660000 003fdb02 23140770 52657365 uf...?..#..pRese + 71c60 72766564 00000002 d6022318 00095f48 rved......#..._H + 71c70 54435f53 45525649 4345001c 0000415a TC_SERVICE....AZ + 71c80 07704e65 78740000 00415a02 23000750 .pNext...AZ.#..P + 71c90 726f6365 73735265 63764d73 67000000 rocessRecvMsg... + 71ca0 420f0223 04075072 6f636573 7353656e B..#..ProcessSen + 71cb0 64427566 66657243 6f6d706c 65746500 dBufferComplete. + 71cc0 00004218 02230807 50726f63 65737343 ..B..#..ProcessC + 71cd0 6f6e6e65 63740000 00422c02 230c0753 onnect...B,.#..S + 71ce0 65727669 63654944 0000001b fc022310 erviceID......#. + 71cf0 07536572 76696365 466c6167 73000000 .ServiceFlags... + 71d00 1bfc0223 12074d61 78537663 4d736753 ...#..MaxSvcMsgS + 71d10 697a6500 00001bfc 02231407 54726169 ize......#..Trai + 71d20 6c657253 70634368 65636b4c 696d6974 lerSpcCheckLimit + 71d30 0000001b fc022316 07536572 76696365 ......#..Service + 71d40 43747800 000002d6 02231800 0a000040 Ctx......#.....@ + 71d50 7b040016 04000041 f819454e 44504f49 {......A..ENDPOI + 71d60 4e545f55 4e555345 4400ffff ffff1445 NT_UNUSED......E + 71d70 4e44504f 494e5430 00001445 4e44504f NDPOINT0...ENDPO + 71d80 494e5431 00011445 4e44504f 494e5432 INT1...ENDPOINT2 + 71d90 00021445 4e44504f 494e5433 00031445 ...ENDPOINT3...E + 71da0 4e44504f 494e5434 00041445 4e44504f NDPOINT4...ENDPO + 71db0 494e5435 00051445 4e44504f 494e5436 INT5...ENDPOINT6 + 71dc0 00061445 4e44504f 494e5437 00071445 ...ENDPOINT7...E + 71dd0 4e44504f 494e5438 00081445 4e44504f NDPOINT8...ENDPO + 71de0 494e545f 4d415800 16000348 54435f45 INT_MAX....HTC_E + 71df0 4e44504f 494e545f 49440000 0041610d NDPOINT_ID...Aa. + 71e00 010a0000 420d0400 0d010a00 00421604 ....B........B.. + 71e10 000a0000 01610400 0e00001b e8010a00 .....a.......... + 71e20 00422604 000a0000 407b0400 095f4854 .B&.....@{..._HT + 71e30 435f434f 4e464947 00140000 42ab0743 C_CONFIG....B..C + 71e40 72656469 7453697a 65000000 01610223 reditSize....a.# + 71e50 00074372 65646974 4e756d62 65720000 ..CreditNumber.. + 71e60 00016102 2304074f 5348616e 646c6500 ..a.#..OSHandle. + 71e70 00002331 02230807 48494648 616e646c ..#1.#..HIFHandl + 71e80 65000000 318d0223 0c07506f 6f6c4861 e...1..#..PoolHa + 71e90 6e646c65 0000003f 07022310 00095f48 ndle...?..#..._H + 71ea0 54435f42 55465f43 4f4e5445 58540002 TC_BUF_CONTEXT.. + 71eb0 000042e7 07656e64 5f706f69 6e740000 ..B..end_point.. + 71ec0 001be802 23000768 74635f66 6c616773 ....#..htc_flags + 71ed0 0000001b e8022301 00036874 635f6861 ......#...htc_ha + 71ee0 6e646c65 5f740000 0002d603 4854435f ndle_t......HTC_ + 71ef0 53455455 505f434f 4d504c45 54455f43 SETUP_COMPLETE_C + 71f00 42000000 039c0348 54435f43 4f4e4649 B......HTC_CONFI + 71f10 47000000 423a0a00 00431404 000e0000 G...B:...C...... + 71f20 42e7010a 0000432b 04000d01 0a000043 B.....C+.......C + 71f30 38040003 4854435f 53455256 49434500 8...HTC_SERVICE. + 71f40 0000407b 0a000043 4104000d 010a0000 ..@{...CA....... + 71f50 43590400 0d010a00 00436204 000d010a CY.......Cb..... + 71f60 0000436b 04000e00 00016101 0a000043 ..Ck......a....C + 71f70 74040009 6874635f 61706973 00340000 t...htc_apis.4.. + 71f80 44f1075f 4854435f 496e6974 00000043 D.._HTC_Init...C + 71f90 31022300 075f4854 435f5368 7574646f 1.#.._HTC_Shutdo + 71fa0 776e0000 00433a02 2304075f 4854435f wn...C:.#.._HTC_ + 71fb0 52656769 73746572 53657276 69636500 RegisterService. + 71fc0 0000435b 02230807 5f485443 5f526561 ..C[.#.._HTC_Rea + 71fd0 64790000 00433a02 230c075f 4854435f dy...C:.#.._HTC_ + 71fe0 52657475 726e4275 66666572 73000000 ReturnBuffers... + 71ff0 43640223 10075f48 54435f52 65747572 Cd.#.._HTC_Retur + 72000 6e427566 66657273 4c697374 00000043 nBuffersList...C + 72010 6d022314 075f4854 435f5365 6e644d73 m.#.._HTC_SendMs + 72020 67000000 43640223 18075f48 54435f47 g...Cd.#.._HTC_G + 72030 65745265 73657276 65644865 6164726f etReservedHeadro + 72040 6f6d0000 00437a02 231c075f 4854435f om...Cz.#.._HTC_ + 72050 4d736752 65637648 616e646c 65720000 MsgRecvHandler.. + 72060 00313a02 2320075f 4854435f 53656e64 .1:.# ._HTC_Send + 72070 446f6e65 48616e64 6c657200 00003131 DoneHandler...11 + 72080 02232407 5f485443 5f436f6e 74726f6c .#$._HTC_Control + 72090 53766350 726f6365 73734d73 67000000 SvcProcessMsg... + 720a0 420f0223 28075f48 54435f43 6f6e7472 B..#(._HTC_Contr + 720b0 6f6c5376 6350726f 63657373 53656e64 olSvcProcessSend + 720c0 436f6d70 6c657465 00000042 1802232c Complete...B..#, + 720d0 07705265 73657276 65640000 0002d602 .pReserved...... + 720e0 23300009 686f7374 5f617070 5f617265 #0..host_app_are + 720f0 615f7300 04000045 2107776d 695f7072 a_s....E!.wmi_pr + 72100 6f746f63 6f6c5f76 65720000 001f2702 otocol_ver....'. + 72110 23000008 0e000045 58076473 744d6163 #......EX.dstMac + 72120 00000025 45022300 07737263 4d616300 ...%E.#..srcMac. + 72130 00002545 02230607 74797065 4f724c65 ..%E.#..typeOrLe + 72140 6e000000 25880223 0c000400 001f8e03 n...%..#........ + 72150 00004565 05020008 08000045 b5076473 ..Ee.......E..ds + 72160 61700000 001f8e02 23000773 73617000 ap......#..ssap. + 72170 00001f8e 02230107 636e746c 0000001f .....#..cntl.... + 72180 8e022302 076f7267 436f6465 00000045 ..#..orgCode...E + 72190 58022303 07657468 65725479 70650000 X.#..etherType.. + 721a0 00258802 23060008 02000045 d6077273 .%..#......E..rs + 721b0 73690000 0024de02 23000769 6e666f00 si...$..#..info. + 721c0 00001f8e 02230100 08040000 45fd0763 .....#......E..c + 721d0 6f6d6d61 6e644964 00000025 88022300 ommandId...%..#. + 721e0 07736571 4e6f0000 00258802 23020004 .seqNo...%..#... + 721f0 00001f8e 01000046 0a050000 08020000 .......F........ + 72200 4631076d 73675369 7a650000 001f8e02 F1.msgSize...... + 72210 2300076d 73674461 74610000 0045fd02 #..msgData...E.. + 72220 23010008 08000046 78076164 64726573 #......Fx.addres + 72230 734c0000 00258802 23000761 64647265 sL...%..#..addre + 72240 73734800 00002588 02230207 76616c75 ssH...%..#..valu + 72250 654c0000 00258802 23040776 616c7565 eL...%..#..value + 72260 48000000 25880223 06000357 4d495f41 H...%..#...WMI_A + 72270 56540000 00463104 00004678 08000046 VT...F1...Fx...F + 72280 92050000 080c0000 46c90774 75706c65 ........F..tuple + 72290 4e756d4c 00000025 88022300 07747570 NumL...%..#..tup + 722a0 6c654e75 6d480000 00258802 23020761 leNumH...%..#..a + 722b0 76740000 00468502 23040008 01000046 vt...F..#......F + 722c0 eb076265 61636f6e 50656e64 696e6743 ..beaconPendingC + 722d0 6f756e74 0000001f 8e022300 00095f57 ount......#..._W + 722e0 4d495f53 56435f43 4f4e4649 47001000 MI_SVC_CONFIG... + 722f0 00475407 48746348 616e646c 65000000 .GT.HtcHandle... + 72300 42e70223 0007506f 6f6c4861 6e646c65 B..#..PoolHandle + 72310 0000003f 07022304 074d6178 436d6452 ...?..#..MaxCmdR + 72320 65706c79 45767473 00000001 61022308 eplyEvts....a.#. + 72330 074d6178 4576656e 74457674 73000000 .MaxEventEvts... + 72340 01610223 0c000d01 0a000047 54040003 .a.#.......GT... + 72350 574d495f 434d445f 48414e44 4c455200 WMI_CMD_HANDLER. + 72360 00004756 095f574d 495f4449 53504154 ..GV._WMI_DISPAT + 72370 43485f45 4e545259 00080000 47bd0770 CH_ENTRY....G..p + 72380 436d6448 616e646c 65720000 00475d02 CmdHandler...G]. + 72390 23000743 6d644944 0000001b fc022304 #..CmdID......#. + 723a0 07466c61 67730000 001bfc02 23060009 .Flags......#... + 723b0 5f574d49 5f444953 50415443 485f5441 _WMI_DISPATCH_TA + 723c0 424c4500 10000048 1e07704e 65787400 BLE....H..pNext. + 723d0 0000481e 02230007 70436f6e 74657874 ..H..#..pContext + 723e0 00000002 d6022304 074e756d 6265724f ......#..NumberO + 723f0 66456e74 72696573 00000001 61022308 fEntries....a.#. + 72400 07705461 626c6500 0000483d 02230c00 .pTable...H=.#.. + 72410 0a000047 bd040003 574d495f 44495350 ...G....WMI_DISP + 72420 41544348 5f454e54 52590000 0047720a ATCH_ENTRY...Gr. + 72430 00004825 04000a00 0047bd04 00034854 ..H%.....G....HT + 72440 435f4255 465f434f 4e544558 54000000 C_BUF_CONTEXT... + 72450 42ab1357 4d495f45 56545f43 4c415353 B..WMI_EVT_CLASS + 72460 00040000 48d51957 4d495f45 56545f43 ....H..WMI_EVT_C + 72470 4c415353 5f4e4f4e 4500ffff ffff1457 LASS_NONE......W + 72480 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 72490 5f455645 4e540000 14574d49 5f455654 _EVENT...WMI_EVT + 724a0 5f434c41 53535f43 4d445f52 45504c59 _CLASS_CMD_REPLY + 724b0 00011457 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 724c0 5f4d4158 00020003 574d495f 4556545f _MAX....WMI_EVT_ + 724d0 434c4153 53000000 4860095f 574d495f CLASS...H`._WMI_ + 724e0 4255465f 434f4e54 45585400 0c000049 BUF_CONTEXT....I + 724f0 33074874 63427566 43747800 0000484b 3.HtcBufCtx...HK + 72500 02230007 4576656e 74436c61 73730000 .#..EventClass.. + 72510 0048d502 23040746 6c616773 0000001b .H..#..Flags.... + 72520 fc022308 0003776d 695f6861 6e646c65 ..#...wmi_handle + 72530 5f740000 0002d603 574d495f 5356435f _t......WMI_SVC_ + 72540 434f4e46 49470000 0046eb0a 00004945 CONFIG...F....IE + 72550 04000e00 00493301 0a000049 60040003 .....I3....I`... + 72560 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB + 72570 4c450000 0047bd0a 0000496d 04000d01 LE...G....Im.... + 72580 0a000049 8c04000e 00002f25 010a0000 ...I....../%.... + 72590 49950400 0d010a00 0049a204 000e0000 I........I...... + 725a0 0161010a 000049ab 04000d01 0a000049 .a....I........I + 725b0 b804000e 00001be8 010a0000 49c10400 ............I... + 725c0 095f776d 695f7376 635f6170 6973002c ._wmi_svc_apis., + 725d0 00004b09 075f574d 495f496e 69740000 ..K.._WMI_Init.. + 725e0 00496602 2300075f 574d495f 52656769 .If.#.._WMI_Regi + 725f0 73746572 44697370 61746368 5461626c sterDispatchTabl + 72600 65000000 498e0223 04075f57 4d495f41 e...I..#.._WMI_A + 72610 6c6c6f63 4576656e 74000000 499b0223 llocEvent...I..# + 72620 08075f57 4d495f53 656e6445 76656e74 .._WMI_SendEvent + 72630 00000049 a402230c 075f574d 495f4765 ...I..#.._WMI_Ge + 72640 7450656e 64696e67 4576656e 7473436f tPendingEventsCo + 72650 756e7400 000049b1 02231007 5f574d49 unt...I..#.._WMI + 72660 5f53656e 64436f6d 706c6574 6548616e _SendCompleteHan + 72670 646c6572 00000042 18022314 075f574d dler...B..#.._WM + 72680 495f4765 74436f6e 74726f6c 45700000 I_GetControlEp.. + 72690 0049b102 2318075f 574d495f 53687574 .I..#.._WMI_Shut + 726a0 646f776e 00000049 ba02231c 075f574d down...I..#.._WM + 726b0 495f5265 63764d65 73736167 6548616e I_RecvMessageHan + 726c0 646c6572 00000042 0f022320 075f574d dler...B..# ._WM + 726d0 495f5365 72766963 65436f6e 6e656374 I_ServiceConnect + 726e0 00000049 c7022324 07705265 73657276 ...I..#$.pReserv + 726f0 65640000 0002d602 23280009 7a73446d ed......#(..zsDm + 72700 61446573 63001400 004b8b07 6374726c aDesc....K..ctrl + 72710 00000007 c5022300 07737461 74757300 ......#..status. + 72720 000007c5 02230207 746f7461 6c4c656e .....#..totalLen + 72730 00000007 c5022304 07646174 6153697a ......#..dataSiz + 72740 65000000 07c50223 06076c61 73744164 e......#..lastAd + 72750 64720000 004b8b02 23080764 61746141 dr...K..#..dataA + 72760 64647200 000001f4 02230c07 6e657874 ddr......#..next + 72770 41646472 0000004b 8b022310 000a0000 Addr...K..#..... + 72780 4b090400 0a00004b 09040009 7a73446d K......K....zsDm + 72790 61517565 75650008 00004bcb 07686561 aQueue....K..hea + 727a0 64000000 4b920223 00077465 726d696e d...K..#..termin + 727b0 61746f72 0000004b 92022304 00097a73 ator...K..#...zs + 727c0 5478446d 61517565 75650010 00004c2f TxDmaQueue....L/ + 727d0 07686561 64000000 4b920223 00077465 .head...K..#..te + 727e0 726d696e 61746f72 0000004b 92022304 rminator...K..#. + 727f0 07786d69 7465645f 6275665f 68656164 .xmited_buf_head + 72800 0000001d 47022308 07786d69 7465645f ....G.#..xmited_ + 72810 6275665f 7461696c 0000001d 4702230c buf_tail....G.#. + 72820 000d010a 00004c2f 04000a00 004b9904 ......L/.....K.. + 72830 000d010a 00004c3f 04000a00 004bcb04 ......L?.....K.. + 72840 000d010a 00004c4f 04000d01 0a00004c ......LO.......L + 72850 5804000d 010a0000 4c610400 0e00001d X.......La...... + 72860 47010a00 004c6a04 000d010a 00004c77 G....Lj.......Lw + 72870 04000e00 001d4701 0a00004c 8004000d ......G....L.... + 72880 010a0000 4c8d0400 0e000001 61010a00 ....L.......a... + 72890 004c9604 000e0000 4b92010a 00004ca3 .L......K.....L. + 728a0 04000d01 0a00004c b0040009 646d615f .......L....dma_ + 728b0 656e6769 6e655f61 70690040 00004e26 engine_api.@..N& + 728c0 075f696e 69740000 004c3102 2300075f ._init...L1.#.._ + 728d0 696e6974 5f72785f 71756575 65000000 init_rx_queue... + 728e0 4c410223 04075f69 6e69745f 74785f71 LA.#.._init_tx_q + 728f0 75657565 0000004c 51022308 075f636f ueue...LQ.#.._co + 72900 6e666967 5f72785f 71756575 65000000 nfig_rx_queue... + 72910 4c5a0223 0c075f78 6d69745f 62756600 LZ.#.._xmit_buf. + 72920 00004c63 02231007 5f666c75 73685f78 ..Lc.#.._flush_x + 72930 6d697400 00004c41 02231407 5f726561 mit...LA.#.._rea + 72940 705f7265 63765f62 75660000 004c7002 p_recv_buf...Lp. + 72950 2318075f 72657475 726e5f72 6563765f #.._return_recv_ + 72960 62756600 00004c79 02231c07 5f726561 buf...Ly.#.._rea + 72970 705f786d 69746564 5f627566 0000004c p_xmited_buf...L + 72980 86022320 075f7377 61705f64 61746100 ..# ._swap_data. + 72990 00004c8f 02232407 5f686173 5f636f6d ..L..#$._has_com + 729a0 706c5f70 61636b65 74730000 004c9c02 pl_packets...L.. + 729b0 2328075f 64657363 5f64756d 70000000 #(._desc_dump... + 729c0 4c410223 2c075f67 65745f70 61636b65 LA.#,._get_packe + 729d0 74000000 4ca90223 30075f72 65636c61 t...L..#0._recla + 729e0 696d5f70 61636b65 74000000 4cb20223 im_packet...L..# + 729f0 34075f70 75745f70 61636b65 74000000 4._put_packet... + 72a00 4cb20223 38077052 65736572 76656400 L..#8.pReserved. + 72a10 000002d6 02233c00 035f415f 636d6e6f .....#<.._A_cmno + 72a20 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta + 72a30 626c655f 74000000 39650357 4d495f53 ble_t...9e.WMI_S + 72a40 56435f41 50495300 000049ce 0c5f415f VC_APIS...I.._A_ + 72a50 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 72a60 6f6e5f74 61626c65 00034c00 004f5407 on_table..L..OT. + 72a70 636d6e6f 73000000 4e260223 00076462 cmnos...N&.#..db + 72a80 67000000 0d000323 b8030768 69660000 g......#...hif.. + 72a90 00323003 23c00307 68746300 00004381 .20.#...htc...C. + 72aa0 0323f803 07776d69 5f737663 5f617069 .#...wmi_svc_api + 72ab0 0000004e 480323ac 04077573 62666966 ...NH.#...usbfif + 72ac0 6f5f6170 69000000 3b390323 d8040762 o_api...;9.#...b + 72ad0 75665f70 6f6f6c00 00003fe2 0323e404 uf_pool...?..#.. + 72ae0 07766275 66000000 1d710323 80050776 .vbuf....q.#...v + 72af0 64657363 0000001c 53032394 0507616c desc....S.#...al + 72b00 6c6f6372 616d0000 00125203 23a80507 locram....R.#... + 72b10 646d615f 656e6769 6e650000 004cb903 dma_engine...L.. + 72b20 23b40507 646d615f 6c696200 000034c4 #...dma_lib...4. + 72b30 0323f405 07686966 5f706369 00000037 .#...hif_pci...7 + 72b40 240323a8 0600035f 415f6d61 67706965 $.#...._A_magpie + 72b50 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 72b60 6c655f74 0000004e 5a1a013b 7a66446d le_t...NZ..;zfDm + 72b70 61476574 5061636b 65740000 004b9201 aGetPacket...K.. + 72b80 01039201 20029000 008e5bb4 008e5bed .... .....[...[. + 72b90 00004fb8 1b013b71 0000004c 3801521c ..O...;q...L8.R. + 72ba0 64657363 0000004b 92001d01 657a6644 desc...K....ezfD + 72bb0 6d615265 636c6169 6d506163 6b657400 maReclaimPacket. + 72bc0 01010492 01c00002 9000008e 5bf0008e ............[... + 72bd0 5c820000 50331b01 65710000 004c3801 \...P3..eq...L8. + 72be0 521b0165 64657363 0000004b 9201531c R..edesc...K..S. + 72bf0 746d7044 65736300 00004b92 1e746465 tmpDesc...K..tde + 72c00 73630000 004b0902 91401c76 64657363 sc...K...@.vdesc + 72c10 0000001c 291c7674 65726d64 65736300 ....).vtermdesc. + 72c20 00001c29 001f01b7 7a66446d 61507574 ...)....zfDmaPut + 72c30 5061636b 65740001 01049201 c0000290 Packet.......... + 72c40 00008e5c 84008e5d 231b01b7 71000000 ...\...]#...q... + 72c50 4c380152 1b01b764 65736300 00004b92 L8.R...desc...K. + 72c60 01531c74 6d704465 73630000 004b921e .S.tmpDesc...K.. + 72c70 74646573 63000000 4b090291 401c7664 tdesc...K...@.vd + 72c80 65736300 00001c29 1c767465 726d6465 esc....).vtermde + 72c90 73630000 001c2900 00000000 4e220002 sc....).....N".. + 72ca0 00002983 04012f72 6f6f742f 576f726b ..).../root/Work + 72cb0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 72cc0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 72cd0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 72ce0 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 72cf0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 72d00 726f6d2f 6677642f 2f667764 2e63002f rom/fwd//fwd.c./ + 72d10 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 72d20 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 72d30 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 72d40 6d2f6677 64007874 2d786363 20666f72 m/fwd.xt-xcc for + 72d50 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig + 72d60 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 + 72d70 32202d4f 32202d67 33202d4f 50543a73 2 -O2 -g3 -OPT:s + 72d80 70616365 00010000 019a0002 01030000 pace............ + 72d90 00f10400 04696e74 00050404 63686172 .....int....char + 72da0 00070105 00000101 05000001 01030000 ................ + 72db0 010e0400 06000000 fa010300 00011a04 ................ + 72dc0 00077072 696e7466 5f617069 00080000 ..printf_api.... + 72dd0 015e085f 7072696e 74665f69 6e697400 .^._printf_init. + 72de0 000000f3 02230008 5f707269 6e746600 .....#.._printf. + 72df0 00000120 02230400 0473686f 72742075 ... .#...short u + 72e00 6e736967 6e656420 696e7400 07020975 nsigned int....u + 72e10 696e7431 365f7400 0000015e 046c6f6e int16_t....^.lon + 72e20 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 72e30 04097569 6e743332 5f740000 00018207 ..uint32_t...... + 72e40 75617274 5f666966 6f000800 0001f008 uart_fifo....... + 72e50 73746172 745f696e 64657800 00000174 start_index....t + 72e60 02230008 656e645f 696e6465 78000000 .#..end_index... + 72e70 01740223 02086f76 65727275 6e5f6572 .t.#..overrun_er + 72e80 72000000 01970223 04000775 6172745f r......#...uart_ + 72e90 61706900 20000002 a9085f75 6172745f api. ....._uart_ + 72ea0 696e6974 00000003 00022300 085f7561 init......#.._ua + 72eb0 72745f63 6861725f 70757400 00000327 rt_char_put....' + 72ec0 02230408 5f756172 745f6368 61725f67 .#.._uart_char_g + 72ed0 65740000 00033b02 2308085f 75617274 et....;.#.._uart + 72ee0 5f737472 5f6f7574 00000003 4402230c _str_out....D.#. + 72ef0 085f7561 72745f74 61736b00 000000f3 ._uart_task..... + 72f00 02231008 5f756172 745f7374 61747573 .#.._uart_status + 72f10 00000003 00022314 085f7561 72745f63 ......#.._uart_c + 72f20 6f6e6669 67000000 034d0223 18085f75 onfig....M.#.._u + 72f30 6172745f 6877696e 69740000 00035602 art_hwinit....V. + 72f40 231c0003 000001f0 04000775 6172745f #..........uart_ + 72f50 626c6b00 10000002 fa086465 6275675f blk.......debug_ + 72f60 6d6f6465 00000001 74022300 08626175 mode....t.#..bau + 72f70 64000000 01740223 02085f75 61727400 d....t.#.._uart. + 72f80 000002a9 02230408 5f747800 000001a5 .....#.._tx..... + 72f90 02230800 06000001 97010300 0002fa04 .#.............. + 72fa0 0004756e 7369676e 65642063 68617200 ..unsigned char. + 72fb0 07010975 696e7438 5f740000 00030702 ...uint8_t...... + 72fc0 01030000 03250400 03000003 18040006 .....%.......... + 72fd0 00000174 01030000 03350400 02010300 ...t.....5...... + 72fe0 00034204 00020103 0000034b 04000201 ..B........K.... + 72ff0 03000003 54040003 00000101 04000600 ....T........... + 73000 0000fa01 03000003 64040007 44425f43 ........d...DB_C + 73010 4f4d4d41 4e445f53 54525543 54000c00 OMMAND_STRUCT... + 73020 0003bc08 636d645f 73747200 0000035d ....cmd_str....] + 73030 02230008 68656c70 5f737472 00000003 .#..help_str.... + 73040 5d022304 08636d64 5f66756e 63000000 ].#..cmd_func... + 73050 036a0223 08000764 62675f61 70690008 .j.#...dbg_api.. + 73060 000003ef 085f6462 675f696e 69740000 ....._dbg_init.. + 73070 0000f302 2300085f 6462675f 7461736b ....#.._dbg_task + 73080 00000000 f3022304 000a0400 04756e73 ......#......uns + 73090 69676e65 6420696e 74000704 06000003 igned int....... + 730a0 ef010300 00040204 000b0b03 00000410 ................ + 730b0 04000600 0003ef01 03000004 18040006 ................ + 730c0 000000fa 01030000 04250400 076d656d .........%...mem + 730d0 5f617069 00140000 0494085f 6d656d5f _api......._mem_ + 730e0 696e6974 00000000 f3022300 085f6d65 init......#.._me + 730f0 6d736574 00000004 08022304 085f6d65 mset......#.._me + 73100 6d637079 00000004 1e022308 085f6d65 mcpy......#.._me + 73110 6d6d6f76 65000000 041e0223 0c085f6d mmove......#.._m + 73120 656d636d 70000000 042b0223 10000c72 emcmp....+.#...r + 73130 65676973 7465725f 64756d70 5f730000 egister_dump_s.. + 73140 01030000 04940400 02010300 0004ae04 ................ + 73150 00020103 000004b7 04000600 0000fa01 ................ + 73160 03000004 c004000d 686f7374 69665f73 ........hostif_s + 73170 00040000 051c0e48 49465f55 53420000 .......HIF_USB.. + 73180 0e484946 5f504349 4500010e 4849465f .HIF_PCIE...HIF_ + 73190 474d4143 00020e48 49465f50 43490003 GMAC...HIF_PCI.. + 731a0 0e484946 5f4e554d 00040e48 49465f4e .HIF_NUM...HIF_N + 731b0 4f4e4500 05000941 5f484f53 54494600 ONE....A_HOSTIF. + 731c0 000004cd 06000005 1c010300 00052a04 ..............*. + 731d0 00060000 03180103 00000537 04000600 ...........7.... + 731e0 00017401 03000005 44040007 6d697363 ..t.....D...misc + 731f0 5f617069 00240000 0634085f 73797374 _api.$...4._syst + 73200 656d5f72 65736574 00000000 f3022300 em_reset......#. + 73210 085f6d61 635f7265 73657400 000000f3 ._mac_reset..... + 73220 02230408 5f617373 6661696c 00000004 .#.._assfail.... + 73230 b0022308 085f6d69 73616c69 676e6564 ..#.._misaligned + 73240 5f6c6f61 645f6861 6e646c65 72000000 _load_handler... + 73250 04b00223 0c085f72 65706f72 745f6661 ...#.._report_fa + 73260 696c7572 655f746f 5f686f73 74000000 ilure_to_host... + 73270 04b90223 10085f74 61726765 745f6964 ...#.._target_id + 73280 5f676574 00000004 c6022314 085f6973 _get......#.._is + 73290 5f686f73 745f7072 6573656e 74000000 _host_present... + 732a0 05300223 18085f6b 62686974 00000005 .0.#.._kbhit.... + 732b0 3d02231c 085f726f 6d5f7665 7273696f =.#.._rom_versio + 732c0 6e5f6765 74000000 054a0223 20000600 n_get....J.# ... + 732d0 00035d01 03000006 34040006 0000035d ..].....4......] + 732e0 01030000 06410400 06000000 fa010300 .....A.......... + 732f0 00064e04 00060000 00fa0103 0000065b ..N............[ + 73300 04000600 0000fa01 03000006 68040007 ............h... + 73310 73747269 6e675f61 70690018 000006ee string_api...... + 73320 085f7374 72696e67 5f696e69 74000000 ._string_init... + 73330 00f30223 00085f73 74726370 79000000 ...#.._strcpy... + 73340 063a0223 04085f73 74726e63 70790000 .:.#.._strncpy.. + 73350 00064702 2308085f 7374726c 656e0000 ..G.#.._strlen.. + 73360 00065402 230c085f 73747263 6d700000 ..T.#.._strcmp.. + 73370 00066102 2310085f 7374726e 636d7000 ..a.#.._strncmp. + 73380 0000066e 02231400 0f000003 f2140000 ...n.#.......... + 73390 06fb1004 00095f41 5f54494d 45525f53 ......_A_TIMER_S + 733a0 50414345 00000006 ee09415f 74696d65 PACE......A_time + 733b0 725f7400 000006fb 03000007 0f040002 r_t............. + 733c0 01030000 07250400 02010300 00072e04 .....%.......... + 733d0 0009415f 48414e44 4c450000 0003f202 ..A_HANDLE...... + 733e0 0109415f 54494d45 525f4655 4e430000 ..A_TIMER_FUNC.. + 733f0 00074503 00000747 04000201 03000007 ..E....G........ + 73400 60040007 74696d65 725f6170 69001400 `...timer_api... + 73410 0007df08 5f74696d 65725f69 6e697400 ...._timer_init. + 73420 000000f3 02230008 5f74696d 65725f61 .....#.._timer_a + 73430 726d0000 00072702 2304085f 74696d65 rm....'.#.._time + 73440 725f6469 7361726d 00000007 30022308 r_disarm....0.#. + 73450 085f7469 6d65725f 73657466 6e000000 ._timer_setfn... + 73460 07620223 0c085f74 696d6572 5f72756e .b.#.._timer_run + 73470 00000000 f3022310 0009424f 4f4c4541 ......#...BOOLEA + 73480 4e000000 01740600 0007df01 03000007 N....t.......... + 73490 ec040006 000007df 01030000 07f90400 ................ + 734a0 06000007 df010300 00080604 0007726f ..............ro + 734b0 6d705f61 70690010 00000878 085f726f mp_api.....x._ro + 734c0 6d705f69 6e697400 000000f3 02230008 mp_init......#.. + 734d0 5f726f6d 705f646f 776e6c6f 61640000 _romp_download.. + 734e0 0007f202 2304085f 726f6d70 5f696e73 ....#.._romp_ins + 734f0 74616c6c 00000007 ff022308 085f726f tall......#.._ro + 73500 6d705f64 65636f64 65000000 080c0223 mp_decode......# + 73510 0c000772 6f6d5f70 61746368 5f737400 ...rom_patch_st. + 73520 10000008 d4086372 63313600 00000174 ......crc16....t + 73530 02230008 6c656e00 00000174 02230208 .#..len....t.#.. + 73540 6c645f61 64647200 00000197 02230408 ld_addr......#.. + 73550 66756e5f 61646472 00000001 97022308 fun_addr......#. + 73560 08706675 6e000000 032e0223 0c000765 .pfun......#...e + 73570 65705f72 65646972 5f616464 72000400 ep_redir_addr... + 73580 00090608 6f666673 65740000 00017402 ....offset....t. + 73590 23000873 697a6500 00000174 02230200 #..size....t.#.. + 735a0 09415f55 494e5433 32000000 03f20600 .A_UINT32....... + 735b0 0003ef01 03000009 14040007 616c6c6f ............allo + 735c0 6372616d 5f617069 000c0000 09850863 cram_api.......c + 735d0 6d6e6f73 5f616c6c 6f637261 6d5f696e mnos_allocram_in + 735e0 69740000 00091a02 23000863 6d6e6f73 it......#..cmnos + 735f0 5f616c6c 6f637261 6d000000 091a0223 _allocram......# + 73600 0408636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 73610 5f646562 75670000 0000f302 23080002 _debug......#... + 73620 01030000 09850400 09415f54 41534b4c .........A_TASKL + 73630 45545f46 554e4300 00000987 075f7461 ET_FUNC......_ta + 73640 736b6c65 74001000 0009e608 66756e63 sklet.......func + 73650 00000009 8e022300 08617267 00000003 ......#..arg.... + 73660 ef022304 08737461 74650000 0000fa02 ..#..state...... + 73670 2308086e 65787400 000009e6 02230c00 #..next......#.. + 73680 03000009 a2040003 000009a2 04000941 ...............A + 73690 5f746173 6b6c6574 5f740000 0009a203 _tasklet_t...... + 736a0 000009f4 04000201 0300000a 0c040002 ................ + 736b0 01030000 0a150400 07746173 6b6c6574 .........tasklet + 736c0 5f617069 00140000 0aaa085f 7461736b _api......._task + 736d0 6c65745f 696e6974 00000000 f3022300 let_init......#. + 736e0 085f7461 736b6c65 745f696e 69745f74 ._tasklet_init_t + 736f0 61736b00 00000a0e 02230408 5f746173 ask......#.._tas + 73700 6b6c6574 5f646973 61626c65 0000000a klet_disable.... + 73710 17022308 085f7461 736b6c65 745f7363 ..#.._tasklet_sc + 73720 68656475 6c650000 000a1702 230c085f hedule......#.._ + 73730 7461736b 6c65745f 72756e00 000000f3 tasklet_run..... + 73740 02231000 02010300 000aaa04 00060000 .#.............. + 73750 09060103 00000ab3 04000201 0300000a ................ + 73760 c0040007 636c6f63 6b5f6170 69002400 ....clock_api.$. + 73770 000ba208 5f636c6f 636b5f69 6e697400 ...._clock_init. + 73780 00000aac 02230008 5f636c6f 636b7265 .....#.._clockre + 73790 67735f69 6e697400 000000f3 02230408 gs_init......#.. + 737a0 5f756172 745f6672 65717565 6e637900 _uart_frequency. + 737b0 00000ab9 02230808 5f64656c 61795f75 .....#.._delay_u + 737c0 73000000 0ac20223 0c085f77 6c616e5f s......#.._wlan_ + 737d0 62616e64 5f736574 0000000a c2022310 band_set......#. + 737e0 085f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g + 737f0 65740000 000ab902 2314085f 6d696c6c et......#.._mill + 73800 69736563 6f6e6473 0000000a b9022318 iseconds......#. + 73810 085f7379 73636c6b 5f636861 6e676500 ._sysclk_change. + 73820 000000f3 02231c08 5f636c6f 636b5f74 .....#.._clock_t + 73830 69636b00 000000f3 02232000 06000001 ick......# ..... + 73840 97010300 000ba204 0009415f 6f6c645f ..........A_old_ + 73850 696e7472 5f740000 00019706 00000baf intr_t.......... + 73860 01030000 0bc10400 02010300 000bce04 ................ + 73870 00020103 00000bd7 04000600 00019701 ................ + 73880 0300000b e0040009 415f6973 725f7400 ........A_isr_t. + 73890 00000be6 02010300 000bfa04 00060000 ................ + 738a0 03f20103 00000c03 04000201 0300000c ................ + 738b0 10040007 696e7472 5f617069 002c0000 ....intr_api.,.. + 738c0 0d32085f 696e7472 5f696e69 74000000 .2._intr_init... + 738d0 00f30223 00085f69 6e74725f 696e766f ...#.._intr_invo + 738e0 6b655f69 73720000 000ba802 2304085f ke_isr......#.._ + 738f0 696e7472 5f646973 61626c65 0000000b intr_disable.... + 73900 c7022308 085f696e 74725f72 6573746f ..#.._intr_resto + 73910 72650000 000bd002 230c085f 696e7472 re......#.._intr + 73920 5f6d6173 6b5f696e 756d0000 000bd902 _mask_inum...... + 73930 2310085f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ + 73940 696e756d 0000000b d9022314 085f696e inum......#.._in + 73950 74725f61 74746163 685f6973 72000000 tr_attach_isr... + 73960 0bfc0223 18085f67 65745f69 6e747265 ...#.._get_intre + 73970 6e61626c 65000000 0c090223 1c085f73 nable......#.._s + 73980 65745f69 6e747265 6e61626c 65000000 et_intrenable... + 73990 0c120223 20085f67 65745f69 6e747270 ...# ._get_intrp + 739a0 656e6469 6e670000 000c0902 2324085f ending......#$._ + 739b0 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr + 739c0 6c766c00 000000f3 02232800 11040000 lvl......#(..... + 739d0 0d580874 696d656f 75740000 00019702 .X.timeout...... + 739e0 23000861 6374696f 6e000000 01970223 #..action......# + 739f0 00001208 00000d73 08636d64 00000001 .......s.cmd.... + 73a00 97022300 1300000d 32022304 0009545f ..#.....2.#...T_ + 73a10 5744545f 434d4400 00000d58 02010300 WDT_CMD....X.... + 73a20 000d8204 00140400 000dd80e 454e554d ............ENUM + 73a30 5f574454 5f424f4f 5400010e 454e554d _WDT_BOOT...ENUM + 73a40 5f434f4c 445f424f 4f540002 0e454e55 _COLD_BOOT...ENU + 73a50 4d5f5355 53505f42 4f4f5400 030e454e M_SUSP_BOOT...EN + 73a60 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. + 73a70 04000954 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. + 73a80 000d8b06 00000dd8 01030000 0de90400 ................ + 73a90 07776474 5f617069 001c0000 0e8d085f .wdt_api......._ + 73aa0 7764745f 696e6974 00000000 f3022300 wdt_init......#. + 73ab0 085f7764 745f656e 61626c65 00000000 ._wdt_enable.... + 73ac0 f3022304 085f7764 745f6469 7361626c ..#.._wdt_disabl + 73ad0 65000000 00f30223 08085f77 64745f73 e......#.._wdt_s + 73ae0 65740000 000d8402 230c085f 7764745f et......#.._wdt_ + 73af0 7461736b 00000000 f3022310 085f7764 task......#.._wd + 73b00 745f7265 73657400 000000f3 02231408 t_reset......#.. + 73b10 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 73b20 000def02 23180014 0400000e f40e5245 ....#.........RE + 73b30 545f5355 43434553 5300000e 5245545f T_SUCCESS...RET_ + 73b40 4e4f545f 494e4954 00010e52 45545f4e NOT_INIT...RET_N + 73b50 4f545f45 58495354 00020e52 45545f45 OT_EXIST...RET_E + 73b60 45505f43 4f525255 50540003 0e524554 EP_CORRUPT...RET + 73b70 5f454550 5f4f5645 52464c4f 5700040e _EEP_OVERFLOW... + 73b80 5245545f 554e4b4e 4f574e00 05000954 RET_UNKNOWN....T + 73b90 5f454550 5f524554 0000000e 8d030000 _EEP_RET........ + 73ba0 01740400 0600000e f4010300 000f0a04 .t.............. + 73bb0 00060000 0ef40103 00000f17 04000765 ...............e + 73bc0 65705f61 70690010 00000f80 085f6565 ep_api......._ee + 73bd0 705f696e 69740000 0000f302 2300085f p_init......#.._ + 73be0 6565705f 72656164 0000000f 10022304 eep_read......#. + 73bf0 085f6565 705f7772 69746500 00000f10 ._eep_write..... + 73c00 02230808 5f656570 5f69735f 65786973 .#.._eep_is_exis + 73c10 74000000 0f1d0223 0c000775 73625f61 t......#...usb_a + 73c20 70690070 0000122d 085f7573 625f696e pi.p...-._usb_in + 73c30 69740000 0000f302 2300085f 7573625f it......#.._usb_ + 73c40 726f6d5f 7461736b 00000000 f3022304 rom_task......#. + 73c50 085f7573 625f6677 5f746173 6b000000 ._usb_fw_task... + 73c60 00f30223 08085f75 73625f69 6e69745f ...#.._usb_init_ + 73c70 70687900 000000f3 02230c08 5f757362 phy......#.._usb + 73c80 5f657030 5f736574 75700000 0000f302 _ep0_setup...... + 73c90 2310085f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. + 73ca0 0000f302 2314085f 7573625f 6570305f ....#.._usb_ep0_ + 73cb0 72780000 0000f302 2318085f 7573625f rx......#.._usb_ + 73cc0 6765745f 696e7465 72666163 65000000 get_interface... + 73cd0 07ff0223 1c085f75 73625f73 65745f69 ...#.._usb_set_i + 73ce0 6e746572 66616365 00000007 ff022320 nterface......# + 73cf0 085f7573 625f6765 745f636f 6e666967 ._usb_get_config + 73d00 75726174 696f6e00 000007ff 02232408 uration......#$. + 73d10 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu + 73d20 72617469 6f6e0000 0007ff02 2328085f ration......#(._ + 73d30 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd + 73d40 00000007 ff02232c 085f7573 625f7665 ......#,._usb_ve + 73d50 6e646f72 5f636d64 00000000 f3022330 ndor_cmd......#0 + 73d60 085f7573 625f706f 7765725f 6f666600 ._usb_power_off. + 73d70 000000f3 02233408 5f757362 5f726573 .....#4._usb_res + 73d80 65745f66 69666f00 000000f3 02233808 et_fifo......#8. + 73d90 5f757362 5f67656e 5f776474 00000000 _usb_gen_wdt.... + 73da0 f302233c 085f7573 625f6a75 6d705f62 ..#<._usb_jump_b + 73db0 6f6f7400 000000f3 02234008 5f757362 oot......#@._usb + 73dc0 5f636c72 5f666561 74757265 00000007 _clr_feature.... + 73dd0 ff022344 085f7573 625f7365 745f6665 ..#D._usb_set_fe + 73de0 61747572 65000000 07ff0223 48085f75 ature......#H._u + 73df0 73625f73 65745f61 64647265 73730000 sb_set_address.. + 73e00 0007ff02 234c085f 7573625f 6765745f ....#L._usb_get_ + 73e10 64657363 72697074 6f720000 0007ff02 descriptor...... + 73e20 2350085f 7573625f 6765745f 73746174 #P._usb_get_stat + 73e30 75730000 0007ff02 2354085f 7573625f us......#T._usb_ + 73e40 73657475 705f6465 73630000 0000f302 setup_desc...... + 73e50 2358085f 7573625f 7265675f 6f757400 #X._usb_reg_out. + 73e60 000000f3 02235c08 5f757362 5f737461 .....#\._usb_sta + 73e70 7475735f 696e0000 0000f302 2360085f tus_in......#`._ + 73e80 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. + 73e90 000000f3 02236408 5f757362 5f657030 .....#d._usb_ep0 + 73ea0 5f72785f 64617461 00000000 f3022368 _rx_data......#h + 73eb0 085f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. + 73ec0 0000f302 236c0007 5f564445 53430024 ....#l.._VDESC.$ + 73ed0 000012b9 086e6578 745f6465 73630000 .....next_desc.. + 73ee0 0012b902 23000862 75665f61 64647200 ....#..buf_addr. + 73ef0 000012cd 02230408 6275665f 73697a65 .....#..buf_size + 73f00 00000012 d4022308 08646174 615f6f66 ......#..data_of + 73f10 66736574 00000012 d402230a 08646174 fset......#..dat + 73f20 615f7369 7a650000 0012d402 230c0863 a_size......#..c + 73f30 6f6e7472 6f6c0000 0012d402 230e0868 ontrol......#..h + 73f40 775f6465 73635f62 75660000 0012e202 w_desc_buf...... + 73f50 23100003 0000122d 04000941 5f55494e #......-...A_UIN + 73f60 54380000 00030703 000012c0 04000941 T8.............A + 73f70 5f55494e 54313600 0000015e 0f000012 _UINT16....^.... + 73f80 c0140000 12ef1013 00030000 122d0400 .............-.. + 73f90 09564445 53430000 00122d03 000012f6 .VDESC....-..... + 73fa0 04000600 00130101 03000013 08040006 ................ + 73fb0 000012cd 01030000 13150400 02010300 ................ + 73fc0 00132204 00077664 6573635f 61706900 .."...vdesc_api. + 73fd0 14000013 9a085f69 6e697400 00000ac2 ......_init..... + 73fe0 02230008 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc + 73ff0 00000013 0e022304 085f6765 745f6877 ......#.._get_hw + 74000 5f646573 63000000 131b0223 08085f73 _desc......#.._s + 74010 7761705f 76646573 63000000 13240223 wap_vdesc....$.# + 74020 0c087052 65736572 76656400 000003ef ..pReserved..... + 74030 02231000 075f5642 55460020 000013fa .#..._VBUF. .... + 74040 08646573 635f6c69 73740000 00130102 .desc_list...... + 74050 2300086e 6578745f 62756600 000013fa #..next_buf..... + 74060 02230408 6275665f 6c656e67 74680000 .#..buf_length.. + 74070 0012d402 23080872 65736572 76656400 ....#..reserved. + 74080 00001401 02230a08 63747800 000012e2 .....#..ctx..... + 74090 02230c00 03000013 9a04000f 000012c0 .#.............. + 740a0 02000014 0e100100 03000013 9a040009 ................ + 740b0 56425546 00000013 9a030000 14150400 VBUF............ + 740c0 06000014 1f010300 00142604 00060000 ..........&..... + 740d0 141f0103 00001433 04000201 03000014 .......3........ + 740e0 40040007 76627566 5f617069 00140000 @...vbuf_api.... + 740f0 14be085f 696e6974 0000000a c2022300 ..._init......#. + 74100 085f616c 6c6f635f 76627566 00000014 ._alloc_vbuf.... + 74110 2c022304 085f616c 6c6f635f 76627566 ,.#.._alloc_vbuf + 74120 5f776974 685f7369 7a650000 00143902 _with_size....9. + 74130 2308085f 66726565 5f766275 66000000 #.._free_vbuf... + 74140 14420223 0c087052 65736572 76656400 .B.#..pReserved. + 74150 000003ef 02231000 075f5f61 64665f64 .....#...__adf_d + 74160 65766963 65000400 0014e008 64756d6d evice.......dumm + 74170 79000000 00fa0223 00000300 00090604 y......#........ + 74180 00075f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 74190 0c000015 27086275 66000000 141f0223 ....'.buf......# + 741a0 00086473 5f616464 72000000 14e00223 ..ds_addr......# + 741b0 04086473 5f6c656e 00000012 d4022308 ..ds_len......#. + 741c0 00120c00 00156108 5f5f7661 5f73746b ......a.__va_stk + 741d0 00000003 5d022300 085f5f76 615f7265 ....].#..__va_re + 741e0 67000000 035d0223 04085f5f 76615f6e g....].#..__va_n + 741f0 64780000 0000fa02 23080009 5f5f6164 dx......#...__ad + 74200 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 74210 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ + 74220 61646472 5f740000 00156109 5f5f6164 addr_t....a.__ad + 74230 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 74240 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ + 74250 73697a65 5f740000 00159107 5f5f646d size_t......__dm + 74260 615f7365 67730008 000015ed 08706164 a_segs.......pad + 74270 64720000 00157a02 2300086c 656e0000 dr....z.#..len.. + 74280 0015aa02 23040009 5f5f615f 75696e74 ....#...__a_uint + 74290 33325f74 00000009 0609615f 75696e74 32_t......a_uint + 742a0 33325f74 00000015 ed0f0000 15c10800 32_t............ + 742b0 00161c10 00000761 64665f6f 735f646d .......adf_os_dm + 742c0 616d6170 5f696e66 6f000c00 00165508 amap_info.....U. + 742d0 6e736567 73000000 15ff0223 0008646d nsegs......#..dm + 742e0 615f7365 67730000 00160f02 23040009 a_segs......#... + 742f0 5f5f615f 75696e74 385f7400 000012c0 __a_uint8_t..... + 74300 09615f75 696e7438 5f740000 00165503 .a_uint8_t....U. + 74310 00001666 0400075f 5f73675f 73656773 ...f...__sg_segs + 74320 00080000 16a70876 61646472 00000016 .......vaddr.... + 74330 75022300 086c656e 00000015 ff022304 u.#..len......#. + 74340 000f0000 167c2000 0016b410 03000761 .....| ........a + 74350 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 74360 16e7086e 73656773 00000015 ff022300 ...nsegs......#. + 74370 0873675f 73656773 00000016 a7022304 .sg_segs......#. + 74380 00121000 00173008 76656e64 6f720000 ......0.vendor.. + 74390 0015ff02 23000864 65766963 65000000 ....#..device... + 743a0 15ff0223 04087375 6276656e 646f7200 ...#..subvendor. + 743b0 000015ff 02230808 73756264 65766963 .....#..subdevic + 743c0 65000000 15ff0223 0c00046c 6f6e6720 e......#...long + 743d0 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 743e0 74000708 09415f55 494e5436 34000000 t....A_UINT64... + 743f0 1730095f 5f615f75 696e7436 345f7400 .0.__a_uint64_t. + 74400 0000174a 09615f75 696e7436 345f7400 ...J.a_uint64_t. + 74410 00001758 14040000 17b60e41 44465f4f ...X.......ADF_O + 74420 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 74430 4d454d00 000e4144 465f4f53 5f524553 MEM...ADF_OS_RES + 74440 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... + 74450 09616466 5f6f735f 7265736f 75726365 .adf_os_resource + 74460 5f747970 655f7400 0000177a 12180000 _type_t....z.... + 74470 18000873 74617274 00000017 6a022300 ...start....j.#. + 74480 08656e64 00000017 6a022308 08747970 .end....j.#..typ + 74490 65000000 17b60223 10000961 64665f6f e......#...adf_o + 744a0 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. + 744b0 0016e703 00001800 04001104 0000183f ...............? + 744c0 08706369 00000018 19022300 08726177 .pci......#..raw + 744d0 00000003 ef022300 00111000 00185e08 ......#.......^. + 744e0 70636900 00001800 02230008 72617700 pci......#..raw. + 744f0 000003ef 02230000 09616466 5f647276 .....#...adf_drv + 74500 5f68616e 646c655f 74000000 03ef0961 _handle_t......a + 74510 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 74520 00000017 d2030000 18740400 09616466 .........t...adf + 74530 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ + 74540 74000000 183f0300 00189204 00030000 t....?.......... + 74550 14be0400 095f5f61 64665f6f 735f6465 .....__adf_os_de + 74560 76696365 5f740000 0018b309 6164665f vice_t......adf_ + 74570 6f735f64 65766963 655f7400 000018ba os_device_t..... + 74580 06000018 5e010300 0018e604 00020103 ....^........... + 74590 000018f3 04000961 64665f6f 735f706d .......adf_os_pm + 745a0 5f740000 0003ef02 01030000 190d0400 _t.............. + 745b0 14040000 194d0e41 44465f4f 535f4255 .....M.ADF_OS_BU + 745c0 535f5459 50455f50 43490001 0e414446 S_TYPE_PCI...ADF + 745d0 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN + 745e0 45524943 00020009 6164665f 6f735f62 ERIC....adf_os_b + 745f0 75735f74 7970655f 74000000 19160961 us_type_t......a + 74600 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da + 74610 74615f74 00000018 20030000 03070400 ta_t.... ....... + 74620 075f6164 665f6472 765f696e 666f0020 ._adf_drv_info. + 74630 00001a2a 08647276 5f617474 61636800 ...*.drv_attach. + 74640 000018ec 02230008 6472765f 64657461 .....#..drv_deta + 74650 63680000 0018f502 23040864 72765f73 ch......#..drv_s + 74660 75737065 6e640000 00190f02 23080864 uspend......#..d + 74670 72765f72 6573756d 65000000 18f50223 rv_resume......# + 74680 0c086275 735f7479 70650000 00194d02 ..bus_type....M. + 74690 23100862 75735f64 61746100 00001964 #..bus_data....d + 746a0 02231408 6d6f645f 6e616d65 00000019 .#..mod_name.... + 746b0 7f022318 0869666e 616d6500 0000197f ..#..ifname..... + 746c0 02231c00 09616466 5f6f735f 68616e64 .#...adf_os_hand + 746d0 6c655f74 00000003 ef030000 16550400 le_t.........U.. + 746e0 02010201 095f5f61 64665f6f 735f7369 .....__adf_os_si + 746f0 7a655f74 00000003 f2140400 001a790e ze_t..........y. + 74700 415f4641 4c534500 000e415f 54525545 A_FALSE...A_TRUE + 74710 00010009 615f626f 6f6c5f74 0000001a ....a_bool_t.... + 74720 5f030000 14e70400 095f5f61 64665f6f _........__adf_o + 74730 735f646d 615f6d61 705f7400 00001a87 s_dma_map_t..... + 74740 02010d61 64665f6f 735f6361 6368655f ...adf_os_cache_ + 74750 73796e63 00040000 1b110e41 44465f53 sync.......ADF_S + 74760 594e435f 50524552 45414400 000e4144 YNC_PREREAD...AD + 74770 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. + 74780 020e4144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR + 74790 45414400 010e4144 465f5359 4e435f50 EAD...ADF_SYNC_P + 747a0 4f535457 52495445 00030009 6164665f OSTWRITE....adf_ + 747b0 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. + 747c0 00001aa8 02010961 64665f6f 735f7369 .......adf_os_si + 747d0 7a655f74 0000001a 4a060000 1b2c0109 ze_t....J....,.. + 747e0 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 747f0 0000001a 8e030000 1b450400 06000003 .........E...... + 74800 ef010300 001a8e04 00060000 03ef0102 ................ + 74810 01060000 157a0102 01047368 6f727420 .....z....short + 74820 696e7400 05020941 5f494e54 31360000 int....A_INT16.. + 74830 001b7f09 5f5f615f 696e7431 365f7400 ....__a_int16_t. + 74840 00001b8c 09615f69 6e743136 5f740000 .....a_int16_t.. + 74850 001b9904 7369676e 65642063 68617200 ....signed char. + 74860 05010941 5f494e54 38000000 1bb9095f ...A_INT8......_ + 74870 5f615f69 6e74385f 74000000 1bc80961 _a_int8_t......a + 74880 5f696e74 385f7400 00001bd4 120c0000 _int8_t......... + 74890 1c4b0873 7570706f 72746564 00000015 .K.supported.... + 748a0 ff022300 08616476 65727469 7a656400 ..#..advertized. + 748b0 000015ff 02230408 73706565 64000000 .....#..speed... + 748c0 1baa0223 08086475 706c6578 0000001b ...#..duplex.... + 748d0 e402230a 08617574 6f6e6567 00000016 ..#..autoneg.... + 748e0 6602230b 000f0000 16660600 001c5810 f.#......f....X. + 748f0 05000761 64665f6e 65745f65 74686164 ...adf_net_ethad + 74900 64720006 00001c7c 08616464 72000000 dr.....|.addr... + 74910 1c4b0223 0000095f 5f615f75 696e7431 .K.#...__a_uint1 + 74920 365f7400 000012d4 09615f75 696e7431 6_t......a_uint1 + 74930 365f7400 00001c7c 120e0000 1ce00865 6_t....|.......e + 74940 74686572 5f64686f 73740000 001c4b02 ther_dhost....K. + 74950 23000865 74686572 5f73686f 73740000 #..ether_shost.. + 74960 001c4b02 23060865 74686572 5f747970 ..K.#..ether_typ + 74970 65000000 1c8e0223 0c001214 00001da1 e......#........ + 74980 1569705f 76657273 696f6e00 00001666 .ip_version....f + 74990 01000402 23001569 705f686c 00000016 ....#..ip_hl.... + 749a0 66010404 02230008 69705f74 6f730000 f....#..ip_tos.. + 749b0 00166602 23010869 705f6c65 6e000000 ..f.#..ip_len... + 749c0 1c8e0223 02086970 5f696400 00001c8e ...#..ip_id..... + 749d0 02230408 69705f66 7261675f 6f666600 .#..ip_frag_off. + 749e0 00001c8e 02230608 69705f74 746c0000 .....#..ip_ttl.. + 749f0 00166602 23080869 705f7072 6f746f00 ..f.#..ip_proto. + 74a00 00001666 02230908 69705f63 6865636b ...f.#..ip_check + 74a10 0000001c 8e02230a 0869705f 73616464 ......#..ip_sadd + 74a20 72000000 15ff0223 0c086970 5f646164 r......#..ip_dad + 74a30 64720000 0015ff02 23100007 6164665f dr......#...adf_ + 74a40 6e65745f 766c616e 68647200 0400001d net_vlanhdr..... + 74a50 f3087470 69640000 001c8e02 23001570 ..tpid......#..p + 74a60 72696f00 00001666 01000302 23021563 rio....f....#..c + 74a70 66690000 00166601 03010223 02157669 fi....f....#..vi + 74a80 64000000 1c8e0204 0c022302 00076164 d.........#...ad + 74a90 665f6e65 745f7669 64000200 001e2415 f_net_vid.....$. + 74aa0 72657300 00001666 01000402 23001576 res....f....#..v + 74ab0 616c0000 001c8e02 040c0223 0000120c al.........#.... + 74ac0 00001e60 0872785f 62756673 697a6500 ...`.rx_bufsize. + 74ad0 000015ff 02230008 72785f6e 64657363 .....#..rx_ndesc + 74ae0 00000015 ff022304 0874785f 6e646573 ......#..tx_ndes + 74af0 63000000 15ff0223 08001208 00001e86 c......#........ + 74b00 08706f6c 6c656400 00001a79 02230008 .polled....y.#.. + 74b10 706f6c6c 5f777400 000015ff 02230400 poll_wt......#.. + 74b20 0f000016 66400000 1e93103f 00124600 ....f@.....?..F. + 74b30 001ebb08 69665f6e 616d6500 00001e86 ....if_name..... + 74b40 02230008 6465765f 61646472 0000001c .#..dev_addr.... + 74b50 4b022340 00140400 001ef20e 4144465f K.#@........ADF_ + 74b60 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI + 74b70 5400000e 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M + 74b80 41534b5f 36344249 54000100 09616466 ASK_64BIT....adf + 74b90 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. + 74ba0 001ebb07 6164665f 646d615f 696e666f ....adf_dma_info + 74bb0 00080000 1f3f0864 6d615f6d 61736b00 .....?.dma_mask. + 74bc0 00001ef2 02230008 73675f6e 73656773 .....#..sg_nsegs + 74bd0 00000015 ff022304 00140400 001f950e ......#......... + 74be0 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO + 74bf0 4e450000 0e414446 5f4e4554 5f434b53 NE...ADF_NET_CKS + 74c00 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. + 74c10 010e4144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 74c20 5443505f 5544505f 49507636 00020009 TCP_UDP_IPv6.... + 74c30 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty + 74c40 70655f74 0000001f 3f120800 001fd808 pe_t....?....... + 74c50 74785f63 6b73756d 0000001f 95022300 tx_cksum......#. + 74c60 0872785f 636b7375 6d000000 1f950223 .rx_cksum......# + 74c70 04000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 74c80 5f696e66 6f5f7400 00001faf 14040000 _info_t......... + 74c90 20310e41 44465f4e 45545f54 534f5f4e 1.ADF_NET_TSO_N + 74ca0 4f4e4500 000e4144 465f4e45 545f5453 ONE...ADF_NET_TS + 74cb0 4f5f4950 56340001 0e414446 5f4e4554 O_IPV4...ADF_NET + 74cc0 5f54534f 5f414c4c 00020009 6164665f _TSO_ALL....adf_ + 74cd0 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. + 74ce0 001ff212 10000020 8508636b 73756d5f ....... ..cksum_ + 74cf0 63617000 00001fd8 02230008 74736f00 cap......#..tso. + 74d00 00002031 02230808 766c616e 5f737570 .. 1.#..vlan_sup + 74d10 706f7274 65640000 00166602 230c0012 ported....f.#... + 74d20 20000021 1e087478 5f706163 6b657473 ..!..tx_packets + 74d30 00000015 ff022300 0872785f 7061636b ......#..rx_pack + 74d40 65747300 000015ff 02230408 74785f62 ets......#..tx_b + 74d50 79746573 00000015 ff022308 0872785f ytes......#..rx_ + 74d60 62797465 73000000 15ff0223 0c087478 bytes......#..tx + 74d70 5f64726f 70706564 00000015 ff022310 _dropped......#. + 74d80 0872785f 64726f70 70656400 000015ff .rx_dropped..... + 74d90 02231408 72785f65 72726f72 73000000 .#..rx_errors... + 74da0 15ff0223 18087478 5f657272 6f727300 ...#..tx_errors. + 74db0 000015ff 02231c00 09616466 5f6e6574 .....#...adf_net + 74dc0 5f657468 61646472 5f740000 001c5816 _ethaddr_t....X. + 74dd0 0000211e 03000000 2143107f 00176164 ..!.....!C....ad + 74de0 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 74df0 00030400 00217a08 6e656c65 6d000000 .....!z.nelem... + 74e00 15ff0223 00086d63 61737400 00002135 ...#..mcast...!5 + 74e10 02230400 09616466 5f6e6574 5f636d64 .#...adf_net_cmd + 74e20 5f6c696e 6b5f696e 666f5f74 0000001b _link_info_t.... + 74e30 f2096164 665f6e65 745f636d 645f706f ..adf_net_cmd_po + 74e40 6c6c5f69 6e666f5f 74000000 1e600961 ll_info_t....`.a + 74e50 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum + 74e60 5f696e66 6f5f7400 00001fd8 09616466 _info_t......adf + 74e70 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in + 74e80 666f5f74 0000001e 24096164 665f6e65 fo_t....$.adf_ne + 74e90 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t + 74ea0 0000001f 09096164 665f6e65 745f636d ......adf_net_cm + 74eb0 645f7669 645f7400 00001c8e 09616466 d_vid_t......adf + 74ec0 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload + 74ed0 5f636170 5f740000 00204909 6164665f _cap_t... I.adf_ + 74ee0 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. + 74ef0 00002085 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd + 74f00 5f6d6361 6464725f 74000000 21430d61 _mcaddr_t...!C.a + 74f10 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast + 74f20 5f636170 00040000 22bc0e41 44465f4e _cap...."..ADF_N + 74f30 45545f4d 43415354 5f535550 00000e41 ET_MCAST_SUP...A + 74f40 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT + 74f50 53555000 01000961 64665f6e 65745f63 SUP....adf_net_c + 74f60 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. + 74f70 00227418 03040000 238e086c 696e6b5f ."t.....#..link_ + 74f80 696e666f 00000021 7a022300 08706f6c info...!z.#..pol + 74f90 6c5f696e 666f0000 00219702 23000863 l_info...!..#..c + 74fa0 6b73756d 5f696e66 6f000000 21b40223 ksum_info...!..# + 74fb0 00087269 6e675f69 6e666f00 000021d2 ..ring_info...!. + 74fc0 02230008 646d615f 696e666f 00000021 .#..dma_info...! + 74fd0 ef022300 08766964 00000022 0b022300 ..#..vid..."..#. + 74fe0 086f6666 6c6f6164 5f636170 00000022 .offload_cap..." + 74ff0 22022300 08737461 74730000 00224102 ".#..stats..."A. + 75000 2300086d 63617374 5f696e66 6f000000 #..mcast_info... + 75010 225a0223 00086d63 6173745f 63617000 "Z.#..mcast_cap. + 75020 000022bc 02230000 14040000 23e50e41 .."..#......#..A + 75030 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 75040 5f4e4f4e 4500000e 4144465f 4e425546 _NONE...ADF_NBUF + 75050 5f52585f 434b5355 4d5f4857 00010e41 _RX_CKSUM_HW...A + 75060 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 75070 5f554e4e 45434553 53415259 00020009 _UNNECESSARY.... + 75080 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu + 75090 6d5f7479 70655f74 00000023 8e120800 m_type_t...#.... + 750a0 00242508 72657375 6c740000 0023e502 .$%.result...#.. + 750b0 23000876 616c0000 0015ff02 23040012 #..val......#... + 750c0 08000024 55087479 70650000 00203102 ...$U.type... 1. + 750d0 2300086d 73730000 001c8e02 23040868 #..mss......#..h + 750e0 64725f6f 66660000 00166602 23060007 dr_off....f.#... + 750f0 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 75100 000c0000 24940868 65616400 0000141f ....$..head..... + 75110 02230008 7461696c 00000014 1f022304 .#..tail......#. + 75120 08716c65 6e000000 15ff0223 0800095f .qlen......#..._ + 75130 5f616466 5f6e6275 665f7400 0000141f _adf_nbuf_t..... + 75140 03000016 75040003 000015ff 04000201 ....u........... + 75150 06000013 01010600 0015ff01 06000016 ................ + 75160 75010600 00167501 03000012 e2040009 u.....u......... + 75170 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 75180 5f740000 00245509 5f5f6164 665f6e62 _t...$U.__adf_nb + 75190 75665f71 75657565 5f740000 0024d503 uf_queue_t...$.. + 751a0 000024ed 04000600 00249401 06000024 ..$......$.....$ + 751b0 94011404 0000260d 0e415f53 54415455 ......&..A_STATU + 751c0 535f4f4b 00000e41 5f535441 5455535f S_OK...A_STATUS_ + 751d0 4641494c 45440001 0e415f53 54415455 FAILED...A_STATU + 751e0 535f454e 4f454e54 00020e41 5f535441 S_ENOENT...A_STA + 751f0 5455535f 454e4f4d 454d0003 0e415f53 TUS_ENOMEM...A_S + 75200 54415455 535f4549 4e56414c 00040e41 TATUS_EINVAL...A + 75210 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR + 75220 45535300 050e415f 53544154 55535f45 ESS...A_STATUS_E + 75230 4e4f5453 55505000 060e415f 53544154 NOTSUPP...A_STAT + 75240 55535f45 42555359 00070e41 5f535441 US_EBUSY...A_STA + 75250 5455535f 45324249 4700080e 415f5354 TUS_E2BIG...A_ST + 75260 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA + 75270 494c0009 0e415f53 54415455 535f454e IL...A_STATUS_EN + 75280 58494f00 0a0e415f 53544154 55535f45 XIO...A_STATUS_E + 75290 4641554c 54000b0e 415f5354 41545553 FAULT...A_STATUS + 752a0 5f45494f 000c0009 615f7374 61747573 _EIO....a_status + 752b0 5f740000 00251806 0000260d 01060000 _t...%....&..... + 752c0 00fa0102 01096164 665f6e62 75665f74 ......adf_nbuf_t + 752d0 00000024 94140400 0026720e 4144465f ...$.....&r.ADF_ + 752e0 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE + 752f0 00000e41 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR + 75300 4f4d5f44 45564943 45000100 09616466 OM_DEVICE....adf + 75310 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... + 75320 263b0600 00260d01 02010961 64665f6f &;...&.....adf_o + 75330 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. + 75340 0000161c 03000026 90040002 01020106 .......&........ + 75350 0000262b 01060000 24940102 01020106 ..&+....$....... + 75360 0000262b 01060000 24940106 0000262b ..&+....$.....&+ + 75370 01060000 24940106 0000262b 01020102 ....$.....&+.... + 75380 01060000 15ff0106 00001675 01020102 ...........u.... + 75390 01060000 1b2c0106 00001a79 01060000 .....,.....y.... + 753a0 1a790109 6164665f 6f735f73 676c6973 .y..adf_os_sglis + 753b0 745f7400 000016b4 03000027 09040002 t_t........'.... + 753c0 01020102 01060000 16750109 6164665f .........u..adf_ + 753d0 6e627566 5f717565 75655f74 00000024 nbuf_queue_t...$ + 753e0 ed030000 27310400 02010300 0024d504 ....'1.......$.. + 753f0 00020102 01020106 0000262b 01060000 ..........&+.... + 75400 24940106 000015ff 01060000 15ff0106 $............... + 75410 00001a79 01060000 1a790106 00001f95 ...y.....y...... + 75420 01060000 15ff0109 6164665f 6e627566 ........adf_nbuf + 75430 5f72785f 636b7375 6d5f7400 00002403 _rx_cksum_t...$. + 75440 03000027 8d040002 01020109 6164665f ...'........adf_ + 75450 6e627566 5f74736f 5f740000 00242503 nbuf_tso_t...$%. + 75460 000027b1 04000201 02010961 64665f6e ..'........adf_n + 75470 65745f68 616e646c 655f7400 000003ef et_handle_t..... + 75480 09616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 75490 5f740000 001da103 000027e6 04000600 _t........'..... + 754a0 00260d01 06000026 0d010201 0201075f .&.....&......._ + 754b0 4849465f 434f4e46 49470004 00002835 HIF_CONFIG....(5 + 754c0 0864756d 6d790000 0000fa02 23000002 .dummy......#... + 754d0 01030000 28350400 02010300 00283e04 ....(5.......(>. + 754e0 00075f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. + 754f0 0c000028 93087365 6e645f62 75665f64 ...(..send_buf_d + 75500 6f6e6500 00002837 02230008 72656376 one...(7.#..recv + 75510 5f627566 00000028 40022304 08636f6e _buf...(@.#..con + 75520 74657874 00000003 ef022308 00096869 text......#...hi + 75530 665f6861 6e646c65 5f740000 0003ef09 f_handle_t...... + 75540 4849465f 434f4e46 49470000 00281403 HIF_CONFIG...(.. + 75550 000028a5 04000600 00289301 03000028 ..(......(.....( + 75560 bc040002 01030000 28c90400 09484946 ........(....HIF + 75570 5f43414c 4c424143 4b000000 28470300 _CALLBACK...(G.. + 75580 0028d204 00020103 000028eb 04000600 .(........(..... + 75590 0000fa01 03000028 f4040002 01030000 .......(........ + 755a0 29010400 06000000 fa010300 00290a04 )............).. + 755b0 00020103 00002917 04000600 0000fa01 ......)......... + 755c0 03000029 20040002 01030000 292d0400 ...) .......)-.. + 755d0 07686966 5f617069 00380000 2a86085f .hif_api.8..*.._ + 755e0 696e6974 00000028 c2022300 085f7368 init...(..#.._sh + 755f0 7574646f 776e0000 0028cb02 2304085f utdown...(..#.._ + 75600 72656769 73746572 5f63616c 6c626163 register_callbac + 75610 6b000000 28ed0223 08085f67 65745f74 k...(..#.._get_t + 75620 6f74616c 5f637265 6469745f 636f756e otal_credit_coun + 75630 74000000 28fa0223 0c085f73 74617274 t...(..#.._start + 75640 00000028 cb022310 085f636f 6e666967 ...(..#.._config + 75650 5f706970 65000000 29030223 14085f73 _pipe...)..#.._s + 75660 656e645f 62756666 65720000 00291002 end_buffer...).. + 75670 2318085f 72657475 726e5f72 6563765f #.._return_recv_ + 75680 62756600 00002919 02231c08 5f69735f buf...)..#.._is_ + 75690 70697065 5f737570 706f7274 65640000 pipe_supported.. + 756a0 00292602 2320085f 6765745f 6d61785f .)&.# ._get_max_ + 756b0 6d73675f 6c656e00 00002926 02232408 msg_len...)&.#$. + 756c0 5f676574 5f726573 65727665 645f6865 _get_reserved_he + 756d0 6164726f 6f6d0000 0028fa02 2328085f adroom...(..#(._ + 756e0 6973725f 68616e64 6c657200 000028cb isr_handler...(. + 756f0 02232c08 5f676574 5f646566 61756c74 .#,._get_default + 75700 5f706970 65000000 292f0223 30087052 _pipe...)/.#0.pR + 75710 65736572 76656400 000003ef 02233400 eserved......#4. + 75720 0d646d61 5f656e67 696e6500 0400002b .dma_engine....+ + 75730 0f0e444d 415f454e 47494e45 5f525830 ..DMA_ENGINE_RX0 + 75740 00000e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 75750 3100010e 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R + 75760 58320002 0e444d41 5f454e47 494e455f X2...DMA_ENGINE_ + 75770 52583300 030e444d 415f454e 47494e45 RX3...DMA_ENGINE + 75780 5f545830 00040e44 4d415f45 4e47494e _TX0...DMA_ENGIN + 75790 455f5458 3100050e 444d415f 454e4749 E_TX1...DMA_ENGI + 757a0 4e455f4d 41580006 0009646d 615f656e NE_MAX....dma_en + 757b0 67696e65 5f740000 002a860d 646d615f gine_t...*..dma_ + 757c0 69667479 70650004 00002b5c 0e444d41 iftype....+\.DMA + 757d0 5f49465f 474d4143 00000e44 4d415f49 _IF_GMAC...DMA_I + 757e0 465f5043 4900010e 444d415f 49465f50 F_PCI...DMA_IF_P + 757f0 43494500 02000964 6d615f69 66747970 CIE....dma_iftyp + 75800 655f7400 00002b21 06000012 d4010300 e_t...+!........ + 75810 002b6e04 00020103 00002b7b 04000201 .+n.......+{.... + 75820 0300002b 84040006 00000906 01030000 ...+............ + 75830 2b8d0400 06000012 d4010300 002b9a04 +............+.. + 75840 00060000 12d40103 00002ba7 04000600 ..........+..... + 75850 00141f01 0300002b b4040002 01030000 .......+........ + 75860 2bc10400 07646d61 5f6c6962 5f617069 +....dma_lib_api + 75870 00340000 2cc80874 785f696e 69740000 .4..,..tx_init.. + 75880 002b7402 23000874 785f7374 61727400 .+t.#..tx_start. + 75890 00002b7d 02230408 72785f69 6e697400 ..+}.#..rx_init. + 758a0 00002b74 02230808 72785f63 6f6e6669 ..+t.#..rx_confi + 758b0 67000000 2b860223 0c087278 5f737461 g...+..#..rx_sta + 758c0 72740000 002b7d02 23100869 6e74725f rt...+}.#..intr_ + 758d0 73746174 75730000 002b9302 23140868 status...+..#..h + 758e0 6172645f 786d6974 0000002b a0022318 ard_xmit...+..#. + 758f0 08666c75 73685f78 6d697400 00002b7d .flush_xmit...+} + 75900 02231c08 786d6974 5f646f6e 65000000 .#..xmit_done... + 75910 2bad0223 20087265 61705f78 6d697474 +..# .reap_xmitt + 75920 65640000 002bba02 23240872 6561705f ed...+..#$.reap_ + 75930 72656376 0000002b ba022328 08726574 recv...+..#(.ret + 75940 75726e5f 72656376 0000002b c302232c urn_recv...+..#, + 75950 08726563 765f706b 74000000 2bad0223 .recv_pkt...+..# + 75960 3000075f 5f706369 5f736f66 7463000c 0..__pci_softc.. + 75970 00002ce6 08737700 000028d2 02230000 ..,..sw...(..#.. + 75980 095f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. + 75990 002cc803 00002ce6 04000201 0300002d .,....,........- + 759a0 00040006 000012c0 01030000 2d090400 ............-... + 759b0 0d686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx + 759c0 00040000 2d690e48 49465f50 43495f50 ....-i.HIF_PCI_P + 759d0 4950455f 54583000 000e4849 465f5043 IPE_TX0...HIF_PC + 759e0 495f5049 50455f54 58310001 0e484946 I_PIPE_TX1...HIF + 759f0 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX + 75a00 00020009 6869665f 7063695f 70697065 ....hif_pci_pipe + 75a10 5f74785f 74000000 2d160600 002b0f01 _tx_t...-....+.. + 75a20 0300002d 8004000d 6869665f 7063695f ...-....hif_pci_ + 75a30 70697065 5f727800 0400002e 060e4849 pipe_rx.......HI + 75a40 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. + 75a50 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 75a60 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 75a70 5f525832 00020e48 49465f50 43495f50 _RX2...HIF_PCI_P + 75a80 4950455f 52583300 030e4849 465f5043 IPE_RX3...HIF_PC + 75a90 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... + 75aa0 09686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 75ab0 5f740000 002d8d06 00002b0f 01030000 _t...-....+..... + 75ac0 2e1d0400 07686966 5f706369 5f617069 .....hif_pci_api + 75ad0 00240000 2efb0870 63695f62 6f6f745f .$.....pci_boot_ + 75ae0 696e6974 00000000 f3022300 08706369 init......#..pci + 75af0 5f696e69 74000000 28c20223 04087063 _init...(..#..pc + 75b00 695f7265 73657400 000000f3 02230808 i_reset......#.. + 75b10 7063695f 656e6162 6c650000 0000f302 pci_enable...... + 75b20 230c0870 63695f72 6561705f 786d6974 #..pci_reap_xmit + 75b30 74656400 00002d02 02231008 7063695f ted...-..#..pci_ + 75b40 72656170 5f726563 76000000 2d020223 reap_recv...-..# + 75b50 14087063 695f6765 745f7069 70650000 ..pci_get_pipe.. + 75b60 002d0f02 23180870 63695f67 65745f74 .-..#..pci_get_t + 75b70 785f656e 67000000 2d860223 1c087063 x_eng...-..#..pc + 75b80 695f6765 745f7278 5f656e67 0000002e i_get_rx_eng.... + 75b90 23022320 0007676d 61635f61 70690004 #.# ..gmac_api.. + 75ba0 00002f22 08676d61 635f626f 6f745f69 ../".gmac_boot_i + 75bb0 6e697400 000000f3 02230000 0f000003 nit......#...... + 75bc0 07060000 2f2f1005 00075f5f 65746868 ....//....__ethh + 75bd0 6472000e 00002f65 08647374 0000002f dr..../e.dst.../ + 75be0 22022300 08737263 0000002f 22022306 ".#..src.../".#. + 75bf0 08657479 70650000 0012d402 230c0007 .etype......#... + 75c00 5f5f6174 68686472 00040000 2fb31572 __athhdr..../..r + 75c10 65730000 0012c001 00020223 00157072 es.........#..pr + 75c20 6f746f00 000012c0 01020602 23000872 oto.........#..r + 75c30 65735f6c 6f000000 12c00223 01087265 es_lo......#..re + 75c40 735f6869 00000012 d4022302 00075f5f s_hi......#...__ + 75c50 676d6163 5f686472 00140000 2fef0865 gmac_hdr..../..e + 75c60 74680000 002f2f02 23000861 74680000 th...//.#..ath.. + 75c70 002f6502 230e0861 6c69676e 5f706164 ./e.#..align_pad + 75c80 00000012 d4022312 00095f5f 676d6163 ......#...__gmac + 75c90 5f686472 5f740000 002fb307 5f5f676d _hdr_t.../..__gm + 75ca0 61635f73 6f667463 00240000 30390868 ac_softc.$..09.h + 75cb0 64720000 002fef02 23000867 72616e00 dr.../..#..gran. + 75cc0 000012d4 02231408 73770000 0028d202 .....#..sw...(.. + 75cd0 2318000c 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag + 75ce0 655f6368 65636b00 00010300 00303904 e_check......09. + 75cf0 00060000 00fa0103 00003057 04000300 ..........0W.... + 75d00 0003f204 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 75d10 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 75d20 0001b800 0031a708 68616c5f 6c696e6b .....1..hal_link + 75d30 6167655f 63686563 6b000000 305d0223 age_check...0].# + 75d40 00087374 6172745f 62737300 00003064 ..start_bss...0d + 75d50 02230408 6170705f 73746172 74000000 .#..app_start... + 75d60 00f30223 08086d65 6d000000 04320223 ...#..mem....2.# + 75d70 0c086d69 73630000 00055102 23200870 ..misc....Q.# .p + 75d80 72696e74 66000000 01270223 44087561 rintf....'.#D.ua + 75d90 72740000 0001f002 234c0867 6d616300 rt......#L.gmac. + 75da0 00002efb 02236c08 75736200 00000f80 .....#l.usb..... + 75db0 02237008 636c6f63 6b000000 0ac90323 .#p.clock......# + 75dc0 e0010874 696d6572 00000007 69032384 ...timer....i.#. + 75dd0 0208696e 74720000 000c1903 23980208 ..intr......#... + 75de0 616c6c6f 6372616d 00000009 210323c4 allocram....!.#. + 75df0 0208726f 6d700000 00081303 23d00208 ..romp......#... + 75e00 7764745f 74696d65 72000000 0df60323 wdt_timer......# + 75e10 e0020865 65700000 000f2403 23fc0208 ...eep....$.#... + 75e20 73747269 6e670000 00067503 238c0308 string....u.#... + 75e30 7461736b 6c657400 00000a1e 0323a403 tasklet......#.. + 75e40 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 75e50 49470010 0000321a 08676574 5f636f6d IG....2..get_com + 75e60 6d616e64 5f627566 00000014 2c022300 mand_buf....,.#. + 75e70 08726563 765f636f 6d6d616e 64000000 .recv_command... + 75e80 14420223 04086765 745f6576 656e745f .B.#..get_event_ + 75e90 62756600 0000142c 02230808 73656e64 buf....,.#..send + 75ea0 5f657665 6e745f64 6f6e6500 00001442 _event_done....B + 75eb0 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO + 75ec0 4e464947 00000031 a7030000 321a0400 NFIG...1....2... + 75ed0 02010300 00323604 00077573 62666966 .....26...usbfif + 75ee0 6f5f6170 69000c00 00328c08 5f696e69 o_api....2.._ini + 75ef0 74000000 32380223 00085f65 6e61626c t...28.#.._enabl + 75f00 655f6576 656e745f 69737200 000000f3 e_event_isr..... + 75f10 02230408 70526573 65727665 64000000 .#..pReserved... + 75f20 03ef0223 08000f00 00166602 00003299 ...#......f...2. + 75f30 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 75f40 44520008 0000330b 08456e64 706f696e DR....3..Endpoin + 75f50 74494400 00001666 02230008 466c6167 tID....f.#..Flag + 75f60 73000000 16660223 01085061 796c6f61 s....f.#..Payloa + 75f70 644c656e 0000001c 8e022302 08436f6e dLen......#..Con + 75f80 74726f6c 42797465 73000000 328c0223 trolBytes...2..# + 75f90 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... + 75fa0 8e022306 00120200 00332408 4d657373 ..#......3$.Mess + 75fb0 61676549 44000000 1c8e0223 00001208 ageID......#.... + 75fc0 00003387 084d6573 73616765 49440000 ..3..MessageID.. + 75fd0 001c8e02 23000843 72656469 74436f75 ....#..CreditCou + 75fe0 6e740000 001c8e02 23020843 72656469 nt......#..Credi + 75ff0 7453697a 65000000 1c8e0223 04084d61 tSize......#..Ma + 76000 78456e64 706f696e 74730000 00166602 xEndpoints....f. + 76010 2306085f 50616431 00000016 66022307 #.._Pad1....f.#. + 76020 00120a00 00341e08 4d657373 61676549 .....4..MessageI + 76030 44000000 1c8e0223 00085365 72766963 D......#..Servic + 76040 65494400 00001c8e 02230208 436f6e6e eID......#..Conn + 76050 65637469 6f6e466c 61677300 00001c8e ectionFlags..... + 76060 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 76070 49440000 00166602 23060855 704c696e ID....f.#..UpLin + 76080 6b506970 65494400 00001666 02230708 kPipeID....f.#.. + 76090 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 760a0 68000000 16660223 08085f50 61643100 h....f.#.._Pad1. + 760b0 00001666 02230900 120a0000 34a6084d ...f.#......4..M + 760c0 65737361 67654944 0000001c 8e022300 essageID......#. + 760d0 08536572 76696365 49440000 001c8e02 .ServiceID...... + 760e0 23020853 74617475 73000000 16660223 #..Status....f.# + 760f0 0408456e 64706f69 6e744944 00000016 ..EndpointID.... + 76100 66022305 084d6178 4d736753 697a6500 f.#..MaxMsgSize. + 76110 00001c8e 02230608 53657276 6963654d .....#..ServiceM + 76120 6574614c 656e6774 68000000 16660223 etaLength....f.# + 76130 08085f50 61643100 00001666 02230900 .._Pad1....f.#.. + 76140 12020000 34bf084d 65737361 67654944 ....4..MessageID + 76150 0000001c 8e022300 00120400 0034fb08 ......#......4.. + 76160 4d657373 61676549 44000000 1c8e0223 MessageID......# + 76170 00085069 70654944 00000016 66022302 ..PipeID....f.#. + 76180 08437265 64697443 6f756e74 00000016 .CreditCount.... + 76190 66022303 00120400 00353208 4d657373 f.#......52.Mess + 761a0 61676549 44000000 1c8e0223 00085069 ageID......#..Pi + 761b0 70654944 00000016 66022302 08537461 peID....f.#..Sta + 761c0 74757300 00001666 02230300 12020000 tus....f.#...... + 761d0 35590852 65636f72 64494400 00001666 5Y.RecordID....f + 761e0 02230008 4c656e67 74680000 00166602 .#..Length....f. + 761f0 23010012 02000035 8308456e 64706f69 #......5..Endpoi + 76200 6e744944 00000016 66022300 08437265 ntID....f.#..Cre + 76210 64697473 00000016 66022301 00120400 dits....f.#..... + 76220 0035c408 456e6470 6f696e74 49440000 .5..EndpointID.. + 76230 00166602 23000843 72656469 74730000 ..f.#..Credits.. + 76240 00166602 23010854 67744372 65646974 ..f.#..TgtCredit + 76250 5365714e 6f000000 1c8e0223 02000f00 SeqNo......#.... + 76260 00166604 000035d1 10030012 06000036 ..f...5........6 + 76270 0d085072 6556616c 69640000 00166602 ..PreValid....f. + 76280 2300084c 6f6f6b41 68656164 00000035 #..LookAhead...5 + 76290 c4022301 08506f73 7456616c 69640000 ..#..PostValid.. + 762a0 00166602 23050009 706f6f6c 5f68616e ..f.#...pool_han + 762b0 646c655f 74000000 03ef0600 00360d01 dle_t........6.. + 762c0 03000036 20040002 01030000 362d0400 ...6 .......6-.. + 762d0 14040000 36ab0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H + 762e0 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO + 762f0 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 76300 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID + 76310 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 76320 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 76330 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 76340 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I + 76350 44000000 36360201 03000036 bc040006 D...66.....6.... + 76360 0000262b 01030000 36c50400 06000026 ..&+....6......& + 76370 2b010300 0036d204 00020103 000036df +....6........6. + 76380 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 76390 1c000037 81085f69 6e697400 00003626 ...7.._init...6& + 763a0 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 763b0 362f0223 04085f63 72656174 655f706f 6/.#.._create_po + 763c0 6f6c0000 0036be02 2308085f 616c6c6f ol...6..#.._allo + 763d0 635f6275 66000000 36cb0223 0c085f61 c_buf...6..#.._a + 763e0 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 763f0 0036d802 2310085f 66726565 5f627566 .6..#.._free_buf + 76400 00000036 e1022314 08705265 73657276 ...6..#..pReserv + 76410 65640000 0003ef02 23180007 5f485443 ed......#..._HTC + 76420 5f534552 56494345 001c0000 38600870 _SERVICE....8`.p + 76430 4e657874 00000038 60022300 0850726f Next...8`.#..Pro + 76440 63657373 52656376 4d736700 00003915 cessRecvMsg...9. + 76450 02230408 50726f63 65737353 656e6442 .#..ProcessSendB + 76460 75666665 72436f6d 706c6574 65000000 ufferComplete... + 76470 391e0223 08085072 6f636573 73436f6e 9..#..ProcessCon + 76480 6e656374 00000039 3202230c 08536572 nect...92.#..Ser + 76490 76696365 49440000 0012d402 23100853 viceID......#..S + 764a0 65727669 6365466c 61677300 000012d4 erviceFlags..... + 764b0 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz + 764c0 65000000 12d40223 14085472 61696c65 e......#..Traile + 764d0 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. + 764e0 0012d402 23160853 65727669 63654374 ....#..ServiceCt + 764f0 78000000 03ef0223 18000300 00378104 x......#.....7.. + 76500 00140400 0038fe19 454e4450 4f494e54 .....8..ENDPOINT + 76510 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END + 76520 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN + 76530 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. + 76540 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END + 76550 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN + 76560 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. + 76570 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END + 76580 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN + 76590 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END + 765a0 504f494e 545f4944 00000038 67020103 POINT_ID...8g... + 765b0 00003913 04000201 03000039 1c040003 ..9........9.... + 765c0 000000fa 04000600 0012c001 03000039 ...............9 + 765d0 2c040003 00003781 0400075f 4854435f ,.....7...._HTC_ + 765e0 434f4e46 49470014 000039b1 08437265 CONFIG....9..Cre + 765f0 64697453 697a6500 000000fa 02230008 ditSize......#.. + 76600 43726564 69744e75 6d626572 00000000 CreditNumber.... + 76610 fa022304 084f5348 616e646c 65000000 ..#..OSHandle... + 76620 1a2a0223 08084849 4648616e 646c6500 .*.#..HIFHandle. + 76630 00002893 02230c08 506f6f6c 48616e64 ..(..#..PoolHand + 76640 6c650000 00360d02 23100007 5f485443 le...6..#..._HTC + 76650 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 76660 39ed0865 6e645f70 6f696e74 00000012 9..end_point.... + 76670 c0022300 08687463 5f666c61 67730000 ..#..htc_flags.. + 76680 0012c002 23010009 6874635f 68616e64 ....#...htc_hand + 76690 6c655f74 00000003 ef094854 435f5345 le_t......HTC_SE + 766a0 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 766b0 000000f3 09485443 5f434f4e 46494700 .....HTC_CONFIG. + 766c0 00003940 0300003a 1a040006 000039ed ..9@...:......9. + 766d0 01030000 3a310400 02010300 003a3e04 ....:1.......:>. + 766e0 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... + 766f0 37810300 003a4704 00020103 00003a5f 7....:G.......:_ + 76700 04000201 0300003a 68040002 01030000 .......:h....... + 76710 3a710400 06000000 fa010300 003a7a04 :q...........:z. + 76720 00076874 635f6170 69730034 00003bf7 ..htc_apis.4..;. + 76730 085f4854 435f496e 69740000 003a3702 ._HTC_Init...:7. + 76740 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown + 76750 0000003a 40022304 085f4854 435f5265 ...:@.#.._HTC_Re + 76760 67697374 65725365 72766963 65000000 gisterService... + 76770 3a610223 08085f48 54435f52 65616479 :a.#.._HTC_Ready + 76780 0000003a 4002230c 085f4854 435f5265 ...:@.#.._HTC_Re + 76790 7475726e 42756666 65727300 00003a6a turnBuffers...:j + 767a0 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 767b0 75666665 72734c69 73740000 003a7302 uffersList...:s. + 767c0 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. + 767d0 00003a6a 02231808 5f485443 5f476574 ..:j.#.._HTC_Get + 767e0 52657365 72766564 48656164 726f6f6d ReservedHeadroom + 767f0 0000003a 8002231c 085f4854 435f4d73 ...:..#.._HTC_Ms + 76800 67526563 7648616e 646c6572 00000028 gRecvHandler...( + 76810 40022320 085f4854 435f5365 6e64446f @.# ._HTC_SendDo + 76820 6e654861 6e646c65 72000000 28370223 neHandler...(7.# + 76830 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv + 76840 6350726f 63657373 4d736700 00003915 cProcessMsg...9. + 76850 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control + 76860 53766350 726f6365 73735365 6e64436f SvcProcessSendCo + 76870 6d706c65 74650000 00391e02 232c0870 mplete...9..#,.p + 76880 52657365 72766564 00000003 ef022330 Reserved......#0 + 76890 0007686f 73745f61 70705f61 7265615f ..host_app_area_ + 768a0 73000400 003c2708 776d695f 70726f74 s....<'.wmi_prot + 768b0 6f636f6c 5f766572 00000015 ff022300 ocol_ver......#. + 768c0 00120e00 003c5e08 6473744d 61630000 .....<^.dstMac.. + 768d0 001c4b02 23000873 72634d61 63000000 ..K.#..srcMac... + 768e0 1c4b0223 06087479 70654f72 4c656e00 .K.#..typeOrLen. + 768f0 00001c8e 02230c00 0f000016 66030000 .....#......f... + 76900 3c6b1002 00120800 003cbb08 64736170 + 76aa0 5a084874 6348616e 646c6500 000039ed Z.HtcHandle...9. + 76ab0 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 76ac0 00360d02 2304084d 6178436d 64526570 .6..#..MaxCmdRep + 76ad0 6c794576 74730000 0000fa02 2308084d lyEvts......#..M + 76ae0 61784576 656e7445 76747300 000000fa axEventEvts..... + 76af0 02230c00 02010300 003e5a04 0009574d .#.......>Z...WM + 76b00 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 76b10 3e5c075f 574d495f 44495350 41544348 >\._WMI_DISPATCH + 76b20 5f454e54 52590008 00003ec3 0870436d _ENTRY....>..pCm + 76b30 6448616e 646c6572 0000003e 63022300 dHandler...>c.#. + 76b40 08436d64 49440000 0012d402 23040846 .CmdID......#..F + 76b50 6c616773 00000012 d4022306 00075f57 lags......#..._W + 76b60 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 76b70 45001000 003f2408 704e6578 74000000 E....?$.pNext... + 76b80 3f240223 00087043 6f6e7465 78740000 ?$.#..pContext.. + 76b90 0003ef02 2304084e 756d6265 724f6645 ....#..NumberOfE + 76ba0 6e747269 65730000 0000fa02 23080870 ntries......#..p + 76bb0 5461626c 65000000 3f430223 0c000300 Table...?C.#.... + 76bc0 003ec304 0009574d 495f4449 53504154 .>....WMI_DISPAT + 76bd0 43485f45 4e545259 0000003e 78030000 CH_ENTRY...>x... + 76be0 3f2b0400 0300003e c3040009 4854435f ?+.....>....HTC_ + 76bf0 4255465f 434f4e54 45585400 000039b1 BUF_CONTEXT...9. + 76c00 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 76c10 00003fdb 19574d49 5f455654 5f434c41 ..?..WMI_EVT_CLA + 76c20 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI + 76c30 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 76c40 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C + 76c50 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 76c60 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 76c70 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL + 76c80 41535300 00003f66 075f574d 495f4255 ASS...?f._WMI_BU + 76c90 465f434f 4e544558 54000c00 00403908 F_CONTEXT....@9. + 76ca0 48746342 75664374 78000000 3f510223 HtcBufCtx...?Q.# + 76cb0 00084576 656e7443 6c617373 0000003f ..EventClass...? + 76cc0 db022304 08466c61 67730000 0012d402 ..#..Flags...... + 76cd0 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t + 76ce0 00000003 ef09574d 495f5356 435f434f ......WMI_SVC_CO + 76cf0 4e464947 0000003d f1030000 404b0400 NFIG...=....@K.. + 76d00 06000040 39010300 00406604 0009574d ...@9....@f...WM + 76d10 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 76d20 0000003e c3030000 40730400 02010300 ...>....@s...... + 76d30 00409204 00060000 262b0103 0000409b .@......&+....@. + 76d40 04000201 03000040 a8040006 000000fa .......@........ + 76d50 01030000 40b10400 02010300 0040be04 ....@........@.. + 76d60 00060000 12c00103 000040c7 0400075f ..........@...._ + 76d70 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 76d80 420f085f 574d495f 496e6974 00000040 B.._WMI_Init...@ + 76d90 6c022300 085f574d 495f5265 67697374 l.#.._WMI_Regist + 76da0 65724469 73706174 63685461 626c6500 erDispatchTable. + 76db0 00004094 02230408 5f574d49 5f416c6c ..@..#.._WMI_All + 76dc0 6f634576 656e7400 000040a1 02230808 ocEvent...@..#.. + 76dd0 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 76de0 0040aa02 230c085f 574d495f 47657450 .@..#.._WMI_GetP + 76df0 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 76e00 74000000 40b70223 10085f57 4d495f53 t...@..#.._WMI_S + 76e10 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 76e20 65720000 00391e02 2314085f 574d495f er...9..#.._WMI_ + 76e30 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ + 76e40 b7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo + 76e50 776e0000 0040c002 231c085f 574d495f wn...@..#.._WMI_ + 76e60 52656376 4d657373 61676548 616e646c RecvMessageHandl + 76e70 65720000 00391502 2320085f 574d495f er...9..# ._WMI_ + 76e80 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. + 76e90 0040cd02 23240870 52657365 72766564 .@..#$.pReserved + 76ea0 00000003 ef022328 00077a73 446d6144 ......#(..zsDmaD + 76eb0 65736300 14000042 91086374 726c0000 esc....B..ctrl.. + 76ec0 00015e02 23000873 74617475 73000000 ..^.#..status... + 76ed0 015e0223 0208746f 74616c4c 656e0000 .^.#..totalLen.. + 76ee0 00015e02 23040864 61746153 697a6500 ..^.#..dataSize. + 76ef0 0000015e 02230608 6c617374 41646472 ...^.#..lastAddr + 76f00 00000042 91022308 08646174 61416464 ...B..#..dataAdd + 76f10 72000000 01820223 0c086e65 78744164 r......#..nextAd + 76f20 64720000 00429102 23100003 0000420f dr...B..#.....B. + 76f30 04000300 00420f04 00077a73 446d6151 .....B....zsDmaQ + 76f40 75657565 00080000 42d10868 65616400 ueue....B..head. + 76f50 00004298 02230008 7465726d 696e6174 ..B..#..terminat + 76f60 6f720000 00429802 23040007 7a735478 or...B..#...zsTx + 76f70 446d6151 75657565 00100000 43350868 DmaQueue....C5.h + 76f80 65616400 00004298 02230008 7465726d ead...B..#..term + 76f90 696e6174 6f720000 00429802 23040878 inator...B..#..x + 76fa0 6d697465 645f6275 665f6865 61640000 mited_buf_head.. + 76fb0 00141f02 23080878 6d697465 645f6275 ....#..xmited_bu + 76fc0 665f7461 696c0000 00141f02 230c0002 f_tail......#... + 76fd0 01030000 43350400 03000042 9f040002 ....C5.....B.... + 76fe0 01030000 43450400 03000042 d1040002 ....CE.....B.... + 76ff0 01030000 43550400 02010300 00435e04 ....CU.......C^. + 77000 00020103 00004367 04000600 00141f01 ......Cg........ + 77010 03000043 70040002 01030000 437d0400 ...Cp.......C}.. + 77020 06000014 1f010300 00438604 00020103 .........C...... + 77030 00004393 04000600 0000fa01 03000043 ..C............C + 77040 9c040006 00004298 01030000 43a90400 ......B.....C... + 77050 02010300 0043b604 0007646d 615f656e .....C....dma_en + 77060 67696e65 5f617069 00400000 452c085f gine_api.@..E,._ + 77070 696e6974 00000043 37022300 085f696e init...C7.#.._in + 77080 69745f72 785f7175 65756500 00004347 it_rx_queue...CG + 77090 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que + 770a0 75650000 00435702 2308085f 636f6e66 ue...CW.#.._conf + 770b0 69675f72 785f7175 65756500 00004360 ig_rx_queue...C` + 770c0 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... + 770d0 43690223 10085f66 6c757368 5f786d69 Ci.#.._flush_xmi + 770e0 74000000 43470223 14085f72 6561705f t...CG.#.._reap_ + 770f0 72656376 5f627566 00000043 76022318 recv_buf...Cv.#. + 77100 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 77110 66000000 437f0223 1c085f72 6561705f f...C..#.._reap_ + 77120 786d6974 65645f62 75660000 00438c02 xmited_buf...C.. + 77130 2320085f 73776170 5f646174 61000000 # ._swap_data... + 77140 43950223 24085f68 61735f63 6f6d706c C..#$._has_compl + 77150 5f706163 6b657473 00000043 a2022328 _packets...C..#( + 77160 085f6465 73635f64 756d7000 00004347 ._desc_dump...CG + 77170 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. + 77180 000043af 02233008 5f726563 6c61696d ..C..#0._reclaim + 77190 5f706163 6b657400 000043b8 02233408 _packet...C..#4. + 771a0 5f707574 5f706163 6b657400 000043b8 _put_packet...C. + 771b0 02233808 70526573 65727665 64000000 .#8.pReserved... + 771c0 03ef0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ + 771d0 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 771e0 655f7400 0000306b 09574d49 5f535643 e_t...0k.WMI_SVC + 771f0 5f415049 53000000 40d4175f 415f6d61 _APIS...@.._A_ma + 77200 67706965 5f696e64 69726563 74696f6e gpie_indirection + 77210 5f746162 6c650003 4c000046 5a08636d _table..L..FZ.cm + 77220 6e6f7300 0000452c 02230008 64626700 nos...E,.#..dbg. + 77230 000003bc 0323b803 08686966 00000029 .....#...hif...) + 77240 360323c0 03086874 63000000 3a870323 6.#...htc...:..# + 77250 f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. + 77260 00454e03 23ac0408 75736266 69666f5f .EN.#...usbfifo_ + 77270 61706900 0000323f 0323d804 08627566 api...2?.#...buf + 77280 5f706f6f 6c000000 36e80323 e4040876 _pool...6..#...v + 77290 62756600 00001449 03238005 08766465 buf....I.#...vde + 772a0 73630000 00132b03 23940508 616c6c6f sc....+.#...allo + 772b0 6372616d 00000009 210323a8 0508646d cram....!.#...dm + 772c0 615f656e 67696e65 00000043 bf0323b4 a_engine...C..#. + 772d0 0508646d 615f6c69 62000000 2bca0323 ..dma_lib...+..# + 772e0 f4050868 69665f70 63690000 002e2a03 ...hif_pci....*. + 772f0 23a80600 12080000 468e086d 6f72655f #.......F..more_ + 77300 64617461 0000001c 8e022300 086c656e data......#..len + 77310 0000001c 8e022302 086f6666 73657400 ......#..offset. + 77320 000015ff 02230400 12080000 46b00872 .....#......F..r + 77330 73700000 0015ff02 2300086f 66667365 sp......#..offse + 77340 74000000 15ff0223 0400120c 000046f7 t......#......F. + 77350 08616464 72000000 15ff0223 00086869 .addr......#..hi + 77360 665f6861 6e646c65 00000028 93022304 f_handle...(..#. + 77370 0872785f 70697065 00000016 66022308 .rx_pipe....f.#. + 77380 0874785f 70697065 00000016 66022309 .tx_pipe....f.#. + 77390 0009415f 494e5433 32000000 00fa095f ..A_INT32......_ + 773a0 5f615f69 6e743332 5f740000 0046f709 _a_int32_t...F.. + 773b0 615f696e 7433325f 74000000 4704076d a_int32_t...G..m + 773c0 64696f5f 62775f65 78656300 18000047 dio_bw_exec....G + 773d0 ac087374 6172745f 61646472 65737300 ..start_address. + 773e0 000015ff 02230008 6c656e67 74680000 .....#..length.. + 773f0 0015ff02 23040865 7865635f 61646472 ....#..exec_addr + 77400 65737300 000015ff 02230808 63686563 ess......#..chec + 77410 6b73756d 00000015 ff02230c 08667764 ksum......#..fwd + 77420 5f737461 74650000 00471502 23100863 _state...G..#..c + 77430 75727265 6e745f77 725f7074 72000000 urrent_wr_ptr... + 77440 16750223 14000600 0000fa01 03000001 .u.#............ + 77450 97040009 5f415f6d 61677069 655f696e ...._A_magpie_in + 77460 64697265 6374696f 6e5f7461 626c655f direction_table_ + 77470 74000000 45600966 77645f74 67745f73 t...E`.fwd_tgt_s + 77480 6f667463 5f740000 0046b01a 6677645f oftc_t...F..fwd_ + 77490 73630000 0047dc05 0300500b 0c010600 sc...G....P..... + 774a0 00289301 02010300 00480a04 00020103 .(.......H...... + 774b0 00004813 04000300 0047dc04 00060000 ..H......G...... + 774c0 260d0103 00001666 04000966 77645f63 &......f...fwd_c + 774d0 6d645f74 00000046 5a030000 48300400 md_t...FZ...H0.. + 774e0 03000048 30040003 00000906 04000966 ...H0..........f + 774f0 77645f72 73705f74 00000046 8e030000 wd_rsp_t...F.... + 77500 48540400 03000048 54040009 6a6d705f HT.....HT...jmp_ + 77510 66756e63 00000000 f3030000 29360400 func........)6.. + 77520 02010f00 0001011b 00004895 101a0003 ..........H..... + 77530 00004888 04000f00 00010119 000048a9 ..H...........H. + 77540 10180003 0000489c 04000201 06000000 ......H......... + 77550 fa010f00 00010129 000048c5 10280003 .......)..H..(.. + 77560 000048b8 04000f00 0001012b 000048d9 ..H........+..H. + 77570 102a0003 000048cc 04000600 0003f201 .*....H......... + 77580 096d6469 6f5f6277 5f657865 635f7400 .mdio_bw_exec_t. + 77590 00004724 03000048 e6040006 000000fa ..G$...H........ + 775a0 010f0000 01011f00 00491410 1e000300 .........I...... + 775b0 00490704 000f0000 01011c00 00492810 .I...........I(. + 775c0 1b000300 00491b04 000f0000 01011800 .....I.......... + 775d0 00493c10 17000300 00492f04 000f0000 .I<......I/..... + 775e0 01012c00 00495010 2b000300 00494304 ..,..IP.+....IC. + 775f0 000f0000 01012500 00496410 24000300 ......%..Id.$... + 77600 00495704 001b3d36 6d69695f 7265675f .IW...=6mii_reg_ + 77610 77726974 655f3332 00000000 fa010392 write_32........ + 77620 01200290 00008e5d 24008e5d 39000049 . .....]$..]9..I + 77630 b31c3d36 61646472 00000001 9701521c ..=6addr......R. + 77640 3d367661 6c000000 01740153 001d011a =6val....t.S.... + 77650 6677645f 696e6974 00000028 93010392 fwd_init...(.... + 77660 01300290 00008e5d 3c008e5d 7400004a .0.....]<..]t..J + 77670 061e6869 66636f6e 66696700 000028d2 ..hifconfig...(. + 77680 0291501f 7265735f 68656164 726f6f6d ..P.res_headroom + 77690 00000009 061f7369 7a650000 00090600 ......size...... + 776a0 20012f66 77645f72 65746275 665f6861 ./fwd_retbuf_ha + 776b0 6e646c65 72000101 03920120 02900000 ndler...... .... + 776c0 8e5d7400 8e5d8c00 004a531c 012f6275 .]t..]...JS../bu + 776d0 66000000 141f0152 1c012f53 65727669 f......R../Servi + 776e0 63654374 78000000 03ef0153 00210135 ceCtx......S.!.5 + 776f0 6677645f 7467745f 70726f63 6573735f fwd_tgt_process_ + 77700 6c617374 00000026 0d010103 92012002 last...&...... . + 77710 9000008e 5d8c008e 5dba0000 4ac21c01 ....]...]...J... + 77720 3573697a 65000000 09060152 1c013563 5size......R..5c + 77730 6b73756d 00000009 0601531f 63686563 ksum......S.chec + 77740 6b73756d 00000000 fa1f696d 61676500 ksum......image. + 77750 000014e0 1f690000 0000fa00 20014666 .....i...... .Ff + 77760 77645f74 67745f72 65637600 01010392 wd_tgt_recv..... + 77770 01200290 00008e5d bc008e5e 9b00004b . .....]...^...K + 77780 a01c0146 6864725f 62756600 0000141f ...Fhdr_buf..... + 77790 01521c01 46627566 00000014 1f01531c .R..Fbuf......S. + 777a0 01466374 78000000 03ef0154 1f646573 .Fctx......T.des + 777b0 63000000 13011f64 61746100 00004829 c......data...H) + 777c0 1f736567 6c656e00 00000906 1f630000 .seglen......c.. + 777d0 00483f1f 6c656e00 00000906 1f6f6666 .H?.len......off + 777e0 73657400 00000906 1f6d6f72 65000000 set......more... + 777f0 09061f69 6d616765 00000048 4d1f6461 ...image...HM.da + 77800 64647200 0000484d 1f690000 0009061f ddr...HM.i...... + 77810 72000000 48631f73 74617475 73000000 r...Hc.status... + 77820 260d1f65 6c6f6300 00000906 1f66756e &..eloc......fun + 77830 63707472 00000048 71002001 926d6167 cptr...Hq. ..mag + 77840 7069655f 6d64696f 5f6d6f64 756c655f pie_mdio_module_ + 77850 696e7374 616c6c00 01010392 01200290 install...... .. + 77860 00008e5e 9c008e5e be00004b e21c0192 ...^...^...K.... + 77870 61706973 00000048 7f015200 2001a46d apis...H..R. ..m + 77880 61677069 655f6d64 696f5f62 6f6f745f agpie_mdio_boot_ + 77890 696e6974 00010103 92012002 9000008e init...... ..... + 778a0 5ec0008e 5f820000 4c1a1f76 616c0000 ^..._...L..val.. + 778b0 00019700 2101cf6d 61677069 655f6d64 ....!..magpie_md + 778c0 696f5f77 6169745f 666f725f 6c6f636b io_wait_for_lock + 778d0 00000000 fa010103 92013002 9000008e ..........0..... + 778e0 5f84008e 5fbd0000 4c5d1e76 616c0000 _..._...L].val.. + 778f0 00017402 91500020 01dd6d61 67706965 ..t..P. ..magpie + 77900 5f6d6469 6f5f7265 6c656173 655f6c6f _mdio_release_lo + 77910 636b0001 01039201 20029000 008e5fc0 ck...... ....._. + 77920 008e5fd6 00004ca4 1c01dd65 78747261 .._...L....extra + 77930 5f666c61 67730000 00030701 52002101 _flags......R.!. + 77940 e36d6469 6f5f7265 61645f62 6c6f636b .mdio_read_block + 77950 00000000 fa010103 92012002 9000008e .......... ..... + 77960 5fd8008e 601d0000 4d0e1c01 e3707472 _...`...M....ptr + 77970 00000019 7f01521c 01e36c65 6e000000 ......R...len... + 77980 00fa0153 1f6a0000 0000fa1f 6e657874 ...S.j......next + 77990 5f726561 645f7265 67000000 00fa1f76 _read_reg......v + 779a0 616c0000 00017400 2101f96d 61677069 al....t.!..magpi + 779b0 655f6d64 696f5f63 6f70795f 62797465 e_mdio_copy_byte + 779c0 73000000 00fa0101 03920120 02900000 s.......... .... + 779d0 8e602000 8e605d00 004d751c 01f9746f .` ..`]..Mu...to + 779e0 00000019 7f01521c 01f96c65 6e677468 ......R...length + 779f0 00000000 fa01531f 6377696e 64657800 ......S.cwindex. + 77a00 000000fa 1f696c65 6e000000 00fa0022 .....ilen......" + 77a10 01010a66 775f636f 6d707574 655f636b ...fw_compute_ck + 77a20 73756d00 000003f2 01010392 01200290 sum.......... .. + 77a30 00008e60 60008e60 7f00004d d0230101 ...``..`...M.#.. + 77a40 0a707472 00000030 64015223 01010a6c .ptr...0d.R#...l + 77a50 656e0000 0000fa01 531f7375 6d000000 en......S.sum... + 77a60 03f21f69 00000000 fa002401 011a6d64 ...i......$...md + 77a70 696f5f67 65745f66 775f696d 61676500 io_get_fw_image. + 77a80 000000fa 01010392 01200290 00008e60 ......... .....` + 77a90 80008e61 28230101 1a66775f 62775f73 ...a(#...fw_bw_s + 77aa0 74617465 00000048 fa01521f 6c000000 tate...H..R.l... + 77ab0 00fa1f72 646c656e 00000000 fa000000 ...rdlen........ + 77ac0 000049eb 00020000 2b560401 2f726f6f ..I.....+V../roo + 77ad0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 77ae0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 77af0 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 77b00 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 77b10 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 77b20 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 77b30 6f6c2f73 72632f62 75665f70 6f6f6c5f ol/src/buf_pool_ + 77b40 73746174 69632e63 002f726f 6f742f57 static.c./root/W + 77b50 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 77b60 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 77b70 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + 77b80 706f6f6c 0078742d 78636320 666f7220 pool.xt-xcc for + 77b90 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 77ba0 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 77bb0 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 77bc0 61636500 01000001 ab4e0201 03000001 ace......N...... + 77bd0 0a040004 696e7400 05040463 68617200 ....int....char. + 77be0 07010500 00011a05 0000011a 03000001 ................ + 77bf0 27040006 00000113 01030000 01330400 '............3.. + 77c00 07707269 6e74665f 61706900 08000001 .printf_api..... + 77c10 77085f70 72696e74 665f696e 69740000 w._printf_init.. + 77c20 00010c02 2300085f 7072696e 74660000 ....#.._printf.. + 77c30 00013902 23040004 73686f72 7420756e ..9.#...short un + 77c40 7369676e 65642069 6e740007 02097569 signed int....ui + 77c50 6e743136 5f740000 00017704 6c6f6e67 nt16_t....w.long + 77c60 20756e73 69676e65 6420696e 74000704 unsigned int... + 77c70 0975696e 7433325f 74000000 019b0775 .uint32_t......u + 77c80 6172745f 6669666f 00080000 02090873 art_fifo.......s + 77c90 74617274 5f696e64 65780000 00018d02 tart_index...... + 77ca0 23000865 6e645f69 6e646578 00000001 #..end_index.... + 77cb0 8d022302 086f7665 7272756e 5f657272 ..#..overrun_err + 77cc0 00000001 b0022304 00077561 72745f61 ......#...uart_a + 77cd0 70690020 000002c2 085f7561 72745f69 pi. ....._uart_i + 77ce0 6e697400 00000319 02230008 5f756172 nit......#.._uar + 77cf0 745f6368 61725f70 75740000 00034002 t_char_put....@. + 77d00 2304085f 75617274 5f636861 725f6765 #.._uart_char_ge + 77d10 74000000 03540223 08085f75 6172745f t....T.#.._uart_ + 77d20 7374725f 6f757400 0000035d 02230c08 str_out....].#.. + 77d30 5f756172 745f7461 736b0000 00010c02 _uart_task...... + 77d40 2310085f 75617274 5f737461 74757300 #.._uart_status. + 77d50 00000319 02231408 5f756172 745f636f .....#.._uart_co + 77d60 6e666967 00000003 66022318 085f7561 nfig....f.#.._ua + 77d70 72745f68 77696e69 74000000 036f0223 rt_hwinit....o.# + 77d80 1c000300 00020904 00077561 72745f62 ..........uart_b + 77d90 6c6b0010 00000313 08646562 75675f6d lk.......debug_m + 77da0 6f646500 0000018d 02230008 62617564 ode......#..baud + 77db0 00000001 8d022302 085f7561 72740000 ......#.._uart.. + 77dc0 0002c202 2304085f 74780000 0001be02 ....#.._tx...... + 77dd0 23080006 000001b0 01030000 03130400 #............... + 77de0 04756e73 69676e65 64206368 61720007 .unsigned char.. + 77df0 01097569 6e74385f 74000000 03200201 ..uint8_t.... .. + 77e00 03000003 3e040003 00000331 04000600 ....>......1.... + 77e10 00018d01 03000003 4e040002 01030000 ........N....... + 77e20 035b0400 02010300 00036404 00020103 .[........d..... + 77e30 0000036d 04000300 00011a04 00060000 ...m............ + 77e40 01130103 0000037d 04000744 425f434f .......}...DB_CO + 77e50 4d4d414e 445f5354 52554354 000c0000 MMAND_STRUCT.... + 77e60 03d50863 6d645f73 74720000 00037602 ...cmd_str....v. + 77e70 23000868 656c705f 73747200 00000376 #..help_str....v + 77e80 02230408 636d645f 66756e63 00000003 .#..cmd_func.... + 77e90 83022308 00076462 675f6170 69000800 ..#...dbg_api... + 77ea0 00040808 5f646267 5f696e69 74000000 ...._dbg_init... + 77eb0 010c0223 00085f64 62675f74 61736b00 ...#.._dbg_task. + 77ec0 0000010c 02230400 0a040004 756e7369 .....#......unsi + 77ed0 676e6564 20696e74 00070406 00000408 gned int........ + 77ee0 01030000 041b0400 0b0b0300 00042904 ..............). + 77ef0 00060000 04080103 00000431 04000600 ...........1.... + 77f00 00011301 03000004 3e040007 6d656d5f ........>...mem_ + 77f10 61706900 14000004 ad085f6d 656d5f69 api......._mem_i + 77f20 6e697400 0000010c 02230008 5f6d656d nit......#.._mem + 77f30 73657400 00000421 02230408 5f6d656d set....!.#.._mem + 77f40 63707900 00000437 02230808 5f6d656d cpy....7.#.._mem + 77f50 6d6f7665 00000004 3702230c 085f6d65 move....7.#.._me + 77f60 6d636d70 00000004 44022310 000c7265 mcmp....D.#...re + 77f70 67697374 65725f64 756d705f 73000001 gister_dump_s... + 77f80 03000004 ad040002 01030000 04c70400 ................ + 77f90 02010300 0004d004 00060000 01130103 ................ + 77fa0 000004d9 04000d68 6f737469 665f7300 .......hostif_s. + 77fb0 04000005 350e4849 465f5553 4200000e ....5.HIF_USB... + 77fc0 4849465f 50434945 00010e48 49465f47 HIF_PCIE...HIF_G + 77fd0 4d414300 020e4849 465f5043 4900030e MAC...HIF_PCI... + 77fe0 4849465f 4e554d00 040e4849 465f4e4f HIF_NUM...HIF_NO + 77ff0 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. + 78000 0004e606 00000535 01030000 05430400 .......5.....C.. + 78010 06000003 31010300 00055004 00060000 ....1.....P..... + 78020 018d0103 0000055d 0400076d 6973635f .......]...misc_ + 78030 61706900 24000006 4d085f73 79737465 api.$...M._syste + 78040 6d5f7265 73657400 0000010c 02230008 m_reset......#.. + 78050 5f6d6163 5f726573 65740000 00010c02 _mac_reset...... + 78060 2304085f 61737366 61696c00 000004c9 #.._assfail..... + 78070 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ + 78080 6c6f6164 5f68616e 646c6572 00000004 load_handler.... + 78090 c902230c 085f7265 706f7274 5f666169 ..#.._report_fai + 780a0 6c757265 5f746f5f 686f7374 00000004 lure_to_host.... + 780b0 d2022310 085f7461 72676574 5f69645f ..#.._target_id_ + 780c0 67657400 000004df 02231408 5f69735f get......#.._is_ + 780d0 686f7374 5f707265 73656e74 00000005 host_present.... + 780e0 49022318 085f6b62 68697400 00000556 I.#.._kbhit....V + 780f0 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version + 78100 5f676574 00000005 63022320 00060000 _get....c.# .... + 78110 03760103 0000064d 04000600 00037601 .v.....M......v. + 78120 03000006 5a040006 00000113 01030000 ....Z........... + 78130 06670400 06000001 13010300 00067404 .g............t. + 78140 00060000 01130103 00000681 04000773 ...............s + 78150 7472696e 675f6170 69001800 00070708 tring_api....... + 78160 5f737472 696e675f 696e6974 00000001 _string_init.... + 78170 0c022300 085f7374 72637079 00000006 ..#.._strcpy.... + 78180 53022304 085f7374 726e6370 79000000 S.#.._strncpy... + 78190 06600223 08085f73 74726c65 6e000000 .`.#.._strlen... + 781a0 066d0223 0c085f73 7472636d 70000000 .m.#.._strcmp... + 781b0 067a0223 10085f73 74726e63 6d700000 .z.#.._strncmp.. + 781c0 00068702 2314000f 0000040b 14000007 ....#........... + 781d0 14100400 095f415f 54494d45 525f5350 ....._A_TIMER_SP + 781e0 41434500 00000707 09415f74 696d6572 ACE......A_timer + 781f0 5f740000 00071403 00000728 04000201 _t.........(.... + 78200 03000007 3e040002 01030000 07470400 ....>........G.. + 78210 09415f48 414e444c 45000000 040b0201 .A_HANDLE....... + 78220 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... + 78230 075e0300 00076004 00020103 00000779 .^....`........y + 78240 04000774 696d6572 5f617069 00140000 ...timer_api.... + 78250 07f8085f 74696d65 725f696e 69740000 ..._timer_init.. + 78260 00010c02 2300085f 74696d65 725f6172 ....#.._timer_ar + 78270 6d000000 07400223 04085f74 696d6572 m....@.#.._timer + 78280 5f646973 61726d00 00000749 02230808 _disarm....I.#.. + 78290 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... + 782a0 7b02230c 085f7469 6d65725f 72756e00 {.#.._timer_run. + 782b0 0000010c 02231000 09424f4f 4c45414e .....#...BOOLEAN + 782c0 00000001 8d060000 07f80103 00000805 ................ + 782d0 04000600 0007f801 03000008 12040006 ................ + 782e0 000007f8 01030000 081f0400 07726f6d .............rom + 782f0 705f6170 69001000 00089108 5f726f6d p_api......._rom + 78300 705f696e 69740000 00010c02 2300085f p_init......#.._ + 78310 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... + 78320 080b0223 04085f72 6f6d705f 696e7374 ...#.._romp_inst + 78330 616c6c00 00000818 02230808 5f726f6d all......#.._rom + 78340 705f6465 636f6465 00000008 2502230c p_decode....%.#. + 78350 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. + 78360 000008ed 08637263 31360000 00018d02 .....crc16...... + 78370 2300086c 656e0000 00018d02 2302086c #..len......#..l + 78380 645f6164 64720000 0001b002 23040866 d_addr......#..f + 78390 756e5f61 64647200 000001b0 02230808 un_addr......#.. + 783a0 7066756e 00000003 4702230c 00076565 pfun....G.#...ee + 783b0 705f7265 6469725f 61646472 00040000 p_redir_addr.... + 783c0 091f086f 66667365 74000000 018d0223 ...offset......# + 783d0 00087369 7a650000 00018d02 23020009 ..size......#... + 783e0 415f5549 4e543332 00000004 0b060000 A_UINT32........ + 783f0 04080103 0000092d 04000761 6c6c6f63 .......-...alloc + 78400 72616d5f 61706900 0c000009 9e08636d ram_api.......cm + 78410 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini + 78420 74000000 09330223 0008636d 6e6f735f t....3.#..cmnos_ + 78430 616c6c6f 6372616d 00000009 33022304 allocram....3.#. + 78440 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ + 78450 64656275 67000000 010c0223 08000201 debug......#.... + 78460 03000009 9e040009 415f5441 534b4c45 ........A_TASKLE + 78470 545f4655 4e430000 0009a007 5f746173 T_FUNC......_tas + 78480 6b6c6574 00100000 09ff0866 756e6300 klet.......func. + 78490 000009a7 02230008 61726700 00000408 .....#..arg..... + 784a0 02230408 73746174 65000000 01130223 .#..state......# + 784b0 08086e65 78740000 0009ff02 230c0003 ..next......#... + 784c0 000009bb 04000300 0009bb04 0009415f ..............A_ + 784d0 7461736b 6c65745f 74000000 09bb0300 tasklet_t....... + 784e0 000a0d04 00020103 00000a25 04000201 ...........%.... + 784f0 0300000a 2e040007 7461736b 6c65745f ........tasklet_ + 78500 61706900 1400000a c3085f74 61736b6c api......._taskl + 78510 65745f69 6e697400 0000010c 02230008 et_init......#.. + 78520 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta + 78530 736b0000 000a2702 2304085f 7461736b sk....'.#.._task + 78540 6c65745f 64697361 626c6500 00000a30 let_disable....0 + 78550 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch + 78560 6564756c 65000000 0a300223 0c085f74 edule....0.#.._t + 78570 61736b6c 65745f72 756e0000 00010c02 asklet_run...... + 78580 23100002 01030000 0ac30400 06000009 #............... + 78590 1f010300 000acc04 00020103 00000ad9 ................ + 785a0 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. + 785b0 0bbb085f 636c6f63 6b5f696e 69740000 ..._clock_init.. + 785c0 000ac502 2300085f 636c6f63 6b726567 ....#.._clockreg + 785d0 735f696e 69740000 00010c02 2304085f s_init......#.._ + 785e0 75617274 5f667265 7175656e 63790000 uart_frequency.. + 785f0 000ad202 2308085f 64656c61 795f7573 ....#.._delay_us + 78600 0000000a db02230c 085f776c 616e5f62 ......#.._wlan_b + 78610 616e645f 73657400 00000adb 02231008 and_set......#.. + 78620 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 78630 74000000 0ad20223 14085f6d 696c6c69 t......#.._milli + 78640 7365636f 6e647300 00000ad2 02231808 seconds......#.. + 78650 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 78660 00010c02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti + 78670 636b0000 00010c02 23200006 000001b0 ck......# ...... + 78680 01030000 0bbb0400 09415f6f 6c645f69 .........A_old_i + 78690 6e74725f 74000000 01b00600 000bc801 ntr_t........... + 786a0 0300000b da040002 01030000 0be70400 ................ + 786b0 02010300 000bf004 00060000 01b00103 ................ + 786c0 00000bf9 04000941 5f697372 5f740000 .......A_isr_t.. + 786d0 000bff02 01030000 0c130400 06000004 ................ + 786e0 0b010300 000c1c04 00020103 00000c29 ...............) + 786f0 04000769 6e74725f 61706900 2c00000d ...intr_api.,... + 78700 4b085f69 6e74725f 696e6974 00000001 K._intr_init.... + 78710 0c022300 085f696e 74725f69 6e766f6b ..#.._intr_invok + 78720 655f6973 72000000 0bc10223 04085f69 e_isr......#.._i + 78730 6e74725f 64697361 626c6500 00000be0 ntr_disable..... + 78740 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor + 78750 65000000 0be90223 0c085f69 6e74725f e......#.._intr_ + 78760 6d61736b 5f696e75 6d000000 0bf20223 mask_inum......# + 78770 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 78780 6e756d00 00000bf2 02231408 5f696e74 num......#.._int + 78790 725f6174 74616368 5f697372 0000000c r_attach_isr.... + 787a0 15022318 085f6765 745f696e 7472656e ..#.._get_intren + 787b0 61626c65 0000000c 2202231c 085f7365 able....".#.._se + 787c0 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 787d0 2b022320 085f6765 745f696e 74727065 +.# ._get_intrpe + 787e0 6e64696e 67000000 0c220223 24085f75 nding....".#$._u + 787f0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 78800 766c0000 00010c02 23280011 0400000d vl......#(...... + 78810 71087469 6d656f75 74000000 01b00223 q.timeout......# + 78820 00086163 74696f6e 00000001 b0022300 ..action......#. + 78830 00120800 000d8c08 636d6400 000001b0 ........cmd..... + 78840 02230013 00000d4b 02230400 09545f57 .#.....K.#...T_W + 78850 44545f43 4d440000 000d7102 01030000 DT_CMD....q..... + 78860 0d9b0400 14040000 0df10e45 4e554d5f ...........ENUM_ + 78870 5744545f 424f4f54 00010e45 4e554d5f WDT_BOOT...ENUM_ + 78880 434f4c44 5f424f4f 5400020e 454e554d COLD_BOOT...ENUM + 78890 5f535553 505f424f 4f540003 0e454e55 _SUSP_BOOT...ENU + 788a0 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 788b0 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 788c0 0da40600 000df101 0300000e 02040007 ................ + 788d0 7764745f 61706900 1c00000e a6085f77 wdt_api......._w + 788e0 64745f69 6e697400 0000010c 02230008 dt_init......#.. + 788f0 5f776474 5f656e61 626c6500 0000010c _wdt_enable..... + 78900 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable + 78910 00000001 0c022308 085f7764 745f7365 ......#.._wdt_se + 78920 74000000 0d9d0223 0c085f77 64745f74 t......#.._wdt_t + 78930 61736b00 0000010c 02231008 5f776474 ask......#.._wdt + 78940 5f726573 65740000 00010c02 2314085f _reset......#.._ + 78950 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 78960 0e080223 18001404 00000f0d 0e524554 ...#.........RET + 78970 5f535543 43455353 00000e52 45545f4e _SUCCESS...RET_N + 78980 4f545f49 4e495400 010e5245 545f4e4f OT_INIT...RET_NO + 78990 545f4558 49535400 020e5245 545f4545 T_EXIST...RET_EE + 789a0 505f434f 52525550 5400030e 5245545f P_CORRUPT...RET_ + 789b0 4545505f 4f564552 464c4f57 00040e52 EEP_OVERFLOW...R + 789c0 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ + 789d0 4545505f 52455400 00000ea6 03000001 EEP_RET......... + 789e0 8d040006 00000f0d 01030000 0f230400 .............#.. + 789f0 0600000f 0d010300 000f3004 00076565 ..........0...ee + 78a00 705f6170 69001000 000f9908 5f656570 p_api......._eep + 78a10 5f696e69 74000000 010c0223 00085f65 _init......#.._e + 78a20 65705f72 65616400 00000f29 02230408 ep_read....).#.. + 78a30 5f656570 5f777269 74650000 000f2902 _eep_write....). + 78a40 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist + 78a50 0000000f 3602230c 00077573 625f6170 ....6.#...usb_ap + 78a60 69007000 00124608 5f757362 5f696e69 i.p...F._usb_ini + 78a70 74000000 010c0223 00085f75 73625f72 t......#.._usb_r + 78a80 6f6d5f74 61736b00 0000010c 02230408 om_task......#.. + 78a90 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... + 78aa0 0c022308 085f7573 625f696e 69745f70 ..#.._usb_init_p + 78ab0 68790000 00010c02 230c085f 7573625f hy......#.._usb_ + 78ac0 6570305f 73657475 70000000 010c0223 ep0_setup......# + 78ad0 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 78ae0 010c0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r + 78af0 78000000 010c0223 18085f75 73625f67 x......#.._usb_g + 78b00 65745f69 6e746572 66616365 00000008 et_interface.... + 78b10 1802231c 085f7573 625f7365 745f696e ..#.._usb_set_in + 78b20 74657266 61636500 00000818 02232008 terface......# . + 78b30 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 78b40 72617469 6f6e0000 00081802 2324085f ration......#$._ + 78b50 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 78b60 6174696f 6e000000 08180223 28085f75 ation......#(._u + 78b70 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 78b80 00000818 02232c08 5f757362 5f76656e .....#,._usb_ven + 78b90 646f725f 636d6400 0000010c 02233008 dor_cmd......#0. + 78ba0 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 78bb0 00010c02 2334085f 7573625f 72657365 ....#4._usb_rese + 78bc0 745f6669 666f0000 00010c02 2338085f t_fifo......#8._ + 78bd0 7573625f 67656e5f 77647400 0000010c usb_gen_wdt..... + 78be0 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 78bf0 6f740000 00010c02 2340085f 7573625f ot......#@._usb_ + 78c00 636c725f 66656174 75726500 00000818 clr_feature..... + 78c10 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea + 78c20 74757265 00000008 18022348 085f7573 ture......#H._us + 78c30 625f7365 745f6164 64726573 73000000 b_set_address... + 78c40 08180223 4c085f75 73625f67 65745f64 ...#L._usb_get_d + 78c50 65736372 6970746f 72000000 08180223 escriptor......# + 78c60 50085f75 73625f67 65745f73 74617475 P._usb_get_statu + 78c70 73000000 08180223 54085f75 73625f73 s......#T._usb_s + 78c80 65747570 5f646573 63000000 010c0223 etup_desc......# + 78c90 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 78ca0 00010c02 235c085f 7573625f 73746174 ....#\._usb_stat + 78cb0 75735f69 6e000000 010c0223 60085f75 us_in......#`._u + 78cc0 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 78cd0 00010c02 2364085f 7573625f 6570305f ....#d._usb_ep0_ + 78ce0 72785f64 61746100 0000010c 02236808 rx_data......#h. + 78cf0 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 78d00 010c0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. + 78d10 0012d208 6e657874 5f646573 63000000 ....next_desc... + 78d20 12d20223 00086275 665f6164 64720000 ...#..buf_addr.. + 78d30 0012e602 23040862 75665f73 697a6500 ....#..buf_size. + 78d40 000012ed 02230808 64617461 5f6f6666 .....#..data_off + 78d50 73657400 000012ed 02230a08 64617461 set......#..data + 78d60 5f73697a 65000000 12ed0223 0c08636f _size......#..co + 78d70 6e74726f 6c000000 12ed0223 0e086877 ntrol......#..hw + 78d80 5f646573 635f6275 66000000 12fb0223 _desc_buf......# + 78d90 10000300 00124604 0009415f 55494e54 ......F...A_UINT + 78da0 38000000 03200300 0012d904 0009415f 8.... ........A_ + 78db0 55494e54 31360000 0001770f 000012d9 UINT16....w..... + 78dc0 14000013 08101300 03000012 46040009 ............F... + 78dd0 56444553 43000000 12460300 00130f04 VDESC....F...... + 78de0 00060000 131a0103 00001321 04000600 ...........!.... + 78df0 0012e601 03000013 2e040002 01030000 ................ + 78e00 133b0400 07766465 73635f61 70690014 .;...vdesc_api.. + 78e10 000013b3 085f696e 69740000 000adb02 ....._init...... + 78e20 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 78e30 00001327 02230408 5f676574 5f68775f ...'.#.._get_hw_ + 78e40 64657363 00000013 34022308 085f7377 desc....4.#.._sw + 78e50 61705f76 64657363 00000013 3d02230c ap_vdesc....=.#. + 78e60 08705265 73657276 65640000 00040802 .pReserved...... + 78e70 23100007 5f564255 46002000 00141308 #..._VBUF. ..... + 78e80 64657363 5f6c6973 74000000 131a0223 desc_list......# + 78e90 00086e65 78745f62 75660000 00141302 ..next_buf...... + 78ea0 23040862 75665f6c 656e6774 68000000 #..buf_length... + 78eb0 12ed0223 08087265 73657276 65640000 ...#..reserved.. + 78ec0 00141a02 230a0863 74780000 0012fb02 ....#..ctx...... + 78ed0 230c0003 000013b3 04000f00 0012d902 #............... + 78ee0 00001427 10010003 000013b3 04000956 ...'...........V + 78ef0 42554600 000013b3 03000014 2e040006 BUF............. + 78f00 00001438 01030000 143f0400 06000014 ...8.....?...... + 78f10 38010300 00144c04 00020103 00001459 8.....L........Y + 78f20 04000776 6275665f 61706900 14000014 ...vbuf_api..... + 78f30 d7085f69 6e697400 00000adb 02230008 .._init......#.. + 78f40 5f616c6c 6f635f76 62756600 00001445 _alloc_vbuf....E + 78f50 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 78f60 77697468 5f73697a 65000000 14520223 with_size....R.# + 78f70 08085f66 7265655f 76627566 00000014 .._free_vbuf.... + 78f80 5b02230c 08705265 73657276 65640000 [.#..pReserved.. + 78f90 00040802 23100007 5f5f6164 665f6465 ....#...__adf_de + 78fa0 76696365 00040000 14f90864 756d6d79 vice.......dummy + 78fb0 00000001 13022300 00030000 091f0400 ......#......... + 78fc0 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 78fd0 00001540 08627566 00000014 38022300 ...@.buf....8.#. + 78fe0 0864735f 61646472 00000014 f9022304 .ds_addr......#. + 78ff0 0864735f 6c656e00 000012ed 02230800 .ds_len......#.. + 79000 120c0000 157a085f 5f76615f 73746b00 .....z.__va_stk. + 79010 00000376 02230008 5f5f7661 5f726567 ...v.#..__va_reg + 79020 00000003 76022304 085f5f76 615f6e64 ....v.#..__va_nd + 79030 78000000 01130223 0800095f 5f616466 x......#...__adf + 79040 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 79050 00091f09 6164665f 6f735f64 6d615f61 ....adf_os_dma_a + 79060 6464725f 74000000 157a095f 5f616466 ddr_t....z.__adf + 79070 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 79080 00091f09 6164665f 6f735f64 6d615f73 ....adf_os_dma_s + 79090 697a655f 74000000 15aa075f 5f646d61 ize_t......__dma + 790a0 5f736567 73000800 00160608 70616464 _segs.......padd + 790b0 72000000 15930223 00086c65 6e000000 r......#..len... + 790c0 15c30223 0400095f 5f615f75 696e7433 ...#...__a_uint3 + 790d0 325f7400 0000091f 09615f75 696e7433 2_t......a_uint3 + 790e0 325f7400 00001606 0f000015 da080000 2_t............. + 790f0 16351000 00076164 665f6f73 5f646d61 .5....adf_os_dma + 79100 6d61705f 696e666f 000c0000 166e086e map_info.....n.n + 79110 73656773 00000016 18022300 08646d61 segs......#..dma + 79120 5f736567 73000000 16280223 0400095f _segs....(.#..._ + 79130 5f615f75 696e7438 5f740000 0012d909 _a_uint8_t...... + 79140 615f7569 6e74385f 74000000 166e0300 a_uint8_t....n.. + 79150 00167f04 00075f5f 73675f73 65677300 ......__sg_segs. + 79160 08000016 c0087661 64647200 0000168e ......vaddr..... + 79170 02230008 6c656e00 00001618 02230400 .#..len......#.. + 79180 0f000016 95200000 16cd1003 00076164 ..... ........ad + 79190 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... + 791a0 00086e73 65677300 00001618 02230008 ..nsegs......#.. + 791b0 73675f73 65677300 000016c0 02230400 sg_segs......#.. + 791c0 12100000 17490876 656e646f 72000000 .....I.vendor... + 791d0 16180223 00086465 76696365 00000016 ...#..device.... + 791e0 18022304 08737562 76656e64 6f720000 ..#..subvendor.. + 791f0 00161802 23080873 75626465 76696365 ....#..subdevice + 79200 00000016 1802230c 00046c6f 6e67206c ......#...long l + 79210 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 79220 00070809 415f5549 4e543634 00000017 ....A_UINT64.... + 79230 49095f5f 615f7569 6e743634 5f740000 I.__a_uint64_t.. + 79240 00176309 615f7569 6e743634 5f740000 ..c.a_uint64_t.. + 79250 00177114 04000017 cf0e4144 465f4f53 ..q.......ADF_OS + 79260 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 79270 454d0000 0e414446 5f4f535f 5245534f EM...ADF_OS_RESO + 79280 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... + 79290 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 792a0 74797065 5f740000 00179312 18000018 type_t.......... + 792b0 19087374 61727400 00001783 02230008 ..start......#.. + 792c0 656e6400 00001783 02230808 74797065 end......#..type + 792d0 00000017 cf022310 00096164 665f6f73 ......#...adf_os + 792e0 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 792f0 17000300 00181904 00110400 00185808 ..............X. + 79300 70636900 00001832 02230008 72617700 pci....2.#..raw. + 79310 00000408 02230000 11100000 18770870 .....#.......w.p + 79320 63690000 00181902 23000872 61770000 ci......#..raw.. + 79330 00040802 23000009 6164665f 6472765f ....#...adf_drv_ + 79340 68616e64 6c655f74 00000004 08096164 handle_t......ad + 79350 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 79360 000017eb 03000018 8d040009 6164665f ............adf_ + 79370 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 79380 00000018 58030000 18ab0400 03000014 ....X........... + 79390 d7040009 5f5f6164 665f6f73 5f646576 ....__adf_os_dev + 793a0 6963655f 74000000 18cc0961 64665f6f ice_t......adf_o + 793b0 735f6465 76696365 5f740000 0018d306 s_device_t...... + 793c0 00001877 01030000 18ff0400 02010300 ...w............ + 793d0 00190c04 00096164 665f6f73 5f706d5f ......adf_os_pm_ + 793e0 74000000 04080201 03000019 26040014 t...........&... + 793f0 04000019 660e4144 465f4f53 5f425553 ....f.ADF_OS_BUS + 79400 5f545950 455f5043 4900010e 4144465f _TYPE_PCI...ADF_ + 79410 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 79420 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu + 79430 735f7479 70655f74 00000019 2f096164 s_type_t..../.ad + 79440 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 79450 615f7400 00001839 03000003 20040007 a_t....9.... ... + 79460 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 79470 001a4308 6472765f 61747461 63680000 ..C.drv_attach.. + 79480 00190502 23000864 72765f64 65746163 ....#..drv_detac + 79490 68000000 190e0223 04086472 765f7375 h......#..drv_su + 794a0 7370656e 64000000 19280223 08086472 spend....(.#..dr + 794b0 765f7265 73756d65 00000019 0e02230c v_resume......#. + 794c0 08627573 5f747970 65000000 19660223 .bus_type....f.# + 794d0 10086275 735f6461 74610000 00197d02 ..bus_data....}. + 794e0 2314086d 6f645f6e 616d6500 00001998 #..mod_name..... + 794f0 02231808 69666e61 6d650000 00199802 .#..ifname...... + 79500 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl + 79510 655f7400 00000408 03000016 6e040002 e_t.........n... + 79520 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 79530 655f7400 0000040b 14040000 1a920e41 e_t............A + 79540 5f46414c 53450000 0e415f54 52554500 _FALSE...A_TRUE. + 79550 01000961 5f626f6f 6c5f7400 00001a78 ...a_bool_t....x + 79560 03000015 00040009 5f5f6164 665f6f73 ........__adf_os + 79570 5f646d61 5f6d6170 5f740000 001aa002 _dma_map_t...... + 79580 010d6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 79590 796e6300 0400001b 2a0e4144 465f5359 ync.....*.ADF_SY + 795a0 4e435f50 52455245 41440000 0e414446 NC_PREREAD...ADF + 795b0 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 795c0 0e414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 795d0 41440001 0e414446 5f53594e 435f504f AD...ADF_SYNC_PO + 795e0 53545752 49544500 03000961 64665f6f STWRITE....adf_o + 795f0 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 79600 001ac102 01096164 665f6f73 5f73697a ......adf_os_siz + 79610 655f7400 00001a63 0600001b 45010961 e_t....c....E..a + 79620 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 79630 00001aa7 0300001b 5e040006 00000408 ........^....... + 79640 01030000 1aa70400 06000004 08010201 ................ + 79650 06000015 93010201 0473686f 72742069 .........short i + 79660 6e740005 0209415f 494e5431 36000000 nt....A_INT16... + 79670 1b98095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 79680 001ba509 615f696e 7431365f 74000000 ....a_int16_t... + 79690 1bb20473 69676e65 64206368 61720005 ...signed char.. + 796a0 0109415f 494e5438 0000001b d2095f5f ..A_INT8......__ + 796b0 615f696e 74385f74 0000001b e109615f a_int8_t......a_ + 796c0 696e7438 5f740000 001bed12 0c00001c int8_t.......... + 796d0 64087375 70706f72 74656400 00001618 d.supported..... + 796e0 02230008 61647665 7274697a 65640000 .#..advertized.. + 796f0 00161802 23040873 70656564 0000001b ....#..speed.... + 79700 c3022308 08647570 6c657800 00001bfd ..#..duplex..... + 79710 02230a08 6175746f 6e656700 0000167f .#..autoneg..... + 79720 02230b00 0f000016 7f060000 1c711005 .#...........q.. + 79730 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 79740 72000600 001c9508 61646472 0000001c r.......addr.... + 79750 64022300 00095f5f 615f7569 6e743136 d.#...__a_uint16 + 79760 5f740000 0012ed09 615f7569 6e743136 _t......a_uint16 + 79770 5f740000 001c9512 0e00001c f9086574 _t............et + 79780 6865725f 64686f73 74000000 1c640223 her_dhost....d.# + 79790 00086574 6865725f 73686f73 74000000 ..ether_shost... + 797a0 1c640223 06086574 6865725f 74797065 .d.#..ether_type + 797b0 0000001c a702230c 00121400 001dba15 ......#......... + 797c0 69705f76 65727369 6f6e0000 00167f01 ip_version...... + 797d0 00040223 00156970 5f686c00 0000167f ...#..ip_hl..... + 797e0 01040402 23000869 705f746f 73000000 ....#..ip_tos... + 797f0 167f0223 01086970 5f6c656e 0000001c ...#..ip_len.... + 79800 a7022302 0869705f 69640000 001ca702 ..#..ip_id...... + 79810 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. + 79820 001ca702 23060869 705f7474 6c000000 ....#..ip_ttl... + 79830 167f0223 08086970 5f70726f 746f0000 ...#..ip_proto.. + 79840 00167f02 23090869 705f6368 65636b00 ....#..ip_check. + 79850 00001ca7 02230a08 69705f73 61646472 .....#..ip_saddr + 79860 00000016 1802230c 0869705f 64616464 ......#..ip_dadd + 79870 72000000 16180223 10000761 64665f6e r......#...adf_n + 79880 65745f76 6c616e68 64720004 00001e0c et_vlanhdr...... + 79890 08747069 64000000 1ca70223 00157072 .tpid......#..pr + 798a0 696f0000 00167f01 00030223 02156366 io.........#..cf + 798b0 69000000 167f0103 01022302 15766964 i.........#..vid + 798c0 0000001c a702040c 02230200 07616466 .........#...adf + 798d0 5f6e6574 5f766964 00020000 1e3d1572 _net_vid.....=.r + 798e0 65730000 00167f01 00040223 00157661 es.........#..va + 798f0 6c000000 1ca70204 0c022300 00120c00 l.........#..... + 79900 001e7908 72785f62 75667369 7a650000 ..y.rx_bufsize.. + 79910 00161802 23000872 785f6e64 65736300 ....#..rx_ndesc. + 79920 00001618 02230408 74785f6e 64657363 .....#..tx_ndesc + 79930 00000016 18022308 00120800 001e9f08 ......#......... + 79940 706f6c6c 65640000 001a9202 23000870 polled......#..p + 79950 6f6c6c5f 77740000 00161802 2304000f oll_wt......#... + 79960 0000167f 4000001e ac103f00 12460000 ....@.....?..F.. + 79970 1ed40869 665f6e61 6d650000 001e9f02 ...if_name...... + 79980 23000864 65765f61 64647200 00001c64 #..dev_addr....d + 79990 02234000 14040000 1f0b0e41 44465f4f .#@........ADF_O + 799a0 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 799b0 00000e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 799c0 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ + 799d0 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 799e0 1ed40761 64665f64 6d615f69 6e666f00 ...adf_dma_info. + 799f0 0800001f 5808646d 615f6d61 736b0000 ....X.dma_mask.. + 79a00 001f0b02 23000873 675f6e73 65677300 ....#..sg_nsegs. + 79a10 00001618 02230400 14040000 1fae0e41 .....#.........A + 79a20 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 79a30 4500000e 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 79a40 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 79a50 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 79a60 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a + 79a70 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 79a80 655f7400 00001f58 12080000 1ff10874 e_t....X.......t + 79a90 785f636b 73756d00 00001fae 02230008 x_cksum......#.. + 79aa0 72785f63 6b73756d 0000001f ae022304 rx_cksum......#. + 79ab0 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 79ac0 696e666f 5f740000 001fc814 04000020 info_t......... + 79ad0 4a0e4144 465f4e45 545f5453 4f5f4e4f J.ADF_NET_TSO_NO + 79ae0 4e450000 0e414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 79af0 5f495056 3400010e 4144465f 4e45545f _IPV4...ADF_NET_ + 79b00 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n + 79b10 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 79b20 200b1210 0000209e 08636b73 756d5f63 ..... ..cksum_c + 79b30 61700000 001ff102 23000874 736f0000 ap......#..tso.. + 79b40 00204a02 23080876 6c616e5f 73757070 . J.#..vlan_supp + 79b50 6f727465 64000000 167f0223 0c001220 orted......#... + 79b60 00002137 0874785f 7061636b 65747300 ..!7.tx_packets. + 79b70 00001618 02230008 72785f70 61636b65 .....#..rx_packe + 79b80 74730000 00161802 23040874 785f6279 ts......#..tx_by + 79b90 74657300 00001618 02230808 72785f62 tes......#..rx_b + 79ba0 79746573 00000016 1802230c 0874785f ytes......#..tx_ + 79bb0 64726f70 70656400 00001618 02231008 dropped......#.. + 79bc0 72785f64 726f7070 65640000 00161802 rx_dropped...... + 79bd0 23140872 785f6572 726f7273 00000016 #..rx_errors.... + 79be0 18022318 0874785f 6572726f 72730000 ..#..tx_errors.. + 79bf0 00161802 231c0009 6164665f 6e65745f ....#...adf_net_ + 79c00 65746861 6464725f 74000000 1c711600 ethaddr_t....q.. + 79c10 00213703 00000021 5c107f00 17616466 .!7....!\....adf + 79c20 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 79c30 03040000 2193086e 656c656d 00000016 ....!..nelem.... + 79c40 18022300 086d6361 73740000 00214e02 ..#..mcast...!N. + 79c50 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 79c60 6c696e6b 5f696e66 6f5f7400 00001c0b link_info_t..... + 79c70 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 79c80 6c5f696e 666f5f74 0000001e 79096164 l_info_t....y.ad + 79c90 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 79ca0 696e666f 5f740000 001ff109 6164665f info_t......adf_ + 79cb0 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 79cc0 6f5f7400 00001e3d 09616466 5f6e6574 o_t....=.adf_net + 79cd0 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 79ce0 00001f22 09616466 5f6e6574 5f636d64 ...".adf_net_cmd + 79cf0 5f766964 5f740000 001ca709 6164665f _vid_t......adf_ + 79d00 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 79d10 6361705f 74000000 20620961 64665f6e cap_t... b.adf_n + 79d20 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 79d30 00209e09 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 79d40 6d636164 64725f74 00000021 5c0d6164 mcaddr_t...!\.ad + 79d50 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 79d60 63617000 04000022 d50e4144 465f4e45 cap...."..ADF_NE + 79d70 545f4d43 4153545f 53555000 000e4144 T_MCAST_SUP...AD + 79d80 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 79d90 55500001 00096164 665f6e65 745f636d UP....adf_net_cm + 79da0 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 79db0 228d1803 04000023 a7086c69 6e6b5f69 "......#..link_i + 79dc0 6e666f00 00002193 02230008 706f6c6c nfo...!..#..poll + 79dd0 5f696e66 6f000000 21b00223 0008636b _info...!..#..ck + 79de0 73756d5f 696e666f 00000021 cd022300 sum_info...!..#. + 79df0 0872696e 675f696e 666f0000 0021eb02 .ring_info...!.. + 79e00 23000864 6d615f69 6e666f00 00002208 #..dma_info...". + 79e10 02230008 76696400 00002224 02230008 .#..vid..."$.#.. + 79e20 6f66666c 6f61645f 63617000 0000223b offload_cap..."; + 79e30 02230008 73746174 73000000 225a0223 .#..stats..."Z.# + 79e40 00086d63 6173745f 696e666f 00000022 ..mcast_info..." + 79e50 73022300 086d6361 73745f63 61700000 s.#..mcast_cap.. + 79e60 0022d502 23000014 04000023 fe0e4144 ."..#......#..AD + 79e70 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 79e80 4e4f4e45 00000e41 44465f4e 4255465f NONE...ADF_NBUF_ + 79e90 52585f43 4b53554d 5f485700 010e4144 RX_CKSUM_HW...AD + 79ea0 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 79eb0 554e4e45 43455353 41525900 02000961 UNNECESSARY....a + 79ec0 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 79ed0 5f747970 655f7400 000023a7 12080000 _type_t...#..... + 79ee0 243e0872 6573756c 74000000 23fe0223 $>.result...#..# + 79ef0 00087661 6c000000 16180223 04001208 ..val......#.... + 79f00 0000246e 08747970 65000000 204a0223 ..$n.type... J.# + 79f10 00086d73 73000000 1ca70223 04086864 ..mss......#..hd + 79f20 725f6f66 66000000 167f0223 0600075f r_off......#..._ + 79f30 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 79f40 0c000024 ad086865 61640000 00143802 ...$..head....8. + 79f50 23000874 61696c00 00001438 02230408 #..tail....8.#.. + 79f60 716c656e 00000016 18022308 00095f5f qlen......#...__ + 79f70 6164665f 6e627566 5f740000 00143803 adf_nbuf_t....8. + 79f80 0000168e 04000300 00161804 00020106 ................ + 79f90 0000131a 01060000 16180106 0000168e ................ + 79fa0 01060000 168e0103 000012fb 0400095f ..............._ + 79fb0 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 79fc0 74000000 246e095f 5f616466 5f6e6275 t...$n.__adf_nbu + 79fd0 665f7175 6575655f 74000000 24ee0300 f_queue_t...$... + 79fe0 00250604 00060000 24ad0106 000024ad .%......$.....$. + 79ff0 01140400 0026260e 415f5354 41545553 .....&&.A_STATUS + 7a000 5f4f4b00 000e415f 53544154 55535f46 _OK...A_STATUS_F + 7a010 41494c45 4400010e 415f5354 41545553 AILED...A_STATUS + 7a020 5f454e4f 454e5400 020e415f 53544154 _ENOENT...A_STAT + 7a030 55535f45 4e4f4d45 4d00030e 415f5354 US_ENOMEM...A_ST + 7a040 41545553 5f45494e 56414c00 040e415f ATUS_EINVAL...A_ + 7a050 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 7a060 53530005 0e415f53 54415455 535f454e SS...A_STATUS_EN + 7a070 4f545355 50500006 0e415f53 54415455 OTSUPP...A_STATU + 7a080 535f4542 55535900 070e415f 53544154 S_EBUSY...A_STAT + 7a090 55535f45 32424947 00080e41 5f535441 US_E2BIG...A_STA + 7a0a0 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 7a0b0 4c00090e 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 7a0c0 494f000a 0e415f53 54415455 535f4546 IO...A_STATUS_EF + 7a0d0 41554c54 000b0e41 5f535441 5455535f AULT...A_STATUS_ + 7a0e0 45494f00 0c000961 5f737461 7475735f EIO....a_status_ + 7a0f0 74000000 25310600 00262601 06000001 t...%1...&&..... + 7a100 13010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. + 7a110 000024ad 14040000 268b0e41 44465f4f ..$.....&..ADF_O + 7a120 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 7a130 000e4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 7a140 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ + 7a150 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 7a160 54060000 26260102 01096164 665f6f73 T...&&....adf_os + 7a170 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 7a180 00163503 000026a9 04000201 02010600 ..5...&......... + 7a190 00264401 06000024 ad010201 02010600 .&D....$........ + 7a1a0 00264401 06000024 ad010600 00264401 .&D....$.....&D. + 7a1b0 06000024 ad010600 00264401 02010201 ...$.....&D..... + 7a1c0 06000016 18010600 00168e01 02010201 ................ + 7a1d0 0600001b 45010600 001a9201 0600001a ....E........... + 7a1e0 92010961 64665f6f 735f7367 6c697374 ...adf_os_sglist + 7a1f0 5f740000 0016cd03 00002722 04000201 _t........'".... + 7a200 02010201 06000016 8e010961 64665f6e ...........adf_n + 7a210 6275665f 71756575 655f7400 00002506 buf_queue_t...%. + 7a220 03000027 4a040002 01030000 24ee0400 ...'J.......$... + 7a230 02010201 02010600 00264401 06000024 .........&D....$ + 7a240 ad010600 00161801 06000016 18010600 ................ + 7a250 001a9201 0600001a 92010600 001fae01 ................ + 7a260 06000016 18010961 64665f6e 6275665f .......adf_nbuf_ + 7a270 72785f63 6b73756d 5f740000 00241c03 rx_cksum_t...$.. + 7a280 000027a6 04000201 02010961 64665f6e ..'........adf_n + 7a290 6275665f 74736f5f 74000000 243e0300 buf_tso_t...$>.. + 7a2a0 0027ca04 00020102 01096164 665f6e65 .'........adf_ne + 7a2b0 745f6861 6e646c65 5f740000 00040809 t_handle_t...... + 7a2c0 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 7a2d0 74000000 1dba0300 0027ff04 00060000 t........'...... + 7a2e0 26260106 00002626 01020102 01075f48 &&....&&......_H + 7a2f0 49465f43 4f4e4649 47000400 00284e08 IF_CONFIG....(N. + 7a300 64756d6d 79000000 01130223 00000201 dummy......#.... + 7a310 03000028 4e040002 01030000 28570400 ...(N.......(W.. + 7a320 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 7a330 000028ac 0873656e 645f6275 665f646f ..(..send_buf_do + 7a340 6e650000 00285002 23000872 6563765f ne...(P.#..recv_ + 7a350 62756600 00002859 02230408 636f6e74 buf...(Y.#..cont + 7a360 65787400 00000408 02230800 09686966 ext......#...hif + 7a370 5f68616e 646c655f 74000000 04080948 _handle_t......H + 7a380 49465f43 4f4e4649 47000000 282d0300 IF_CONFIG...(-.. + 7a390 0028be04 00060000 28ac0103 000028d5 .(......(.....(. + 7a3a0 04000201 03000028 e2040009 4849465f .......(....HIF_ + 7a3b0 43414c4c 4241434b 00000028 60030000 CALLBACK...(`... + 7a3c0 28eb0400 02010300 00290404 00060000 (........)...... + 7a3d0 01130103 0000290d 04000201 03000029 ......)........) + 7a3e0 1a040006 00000113 01030000 29230400 ............)#.. + 7a3f0 02010300 00293004 00060000 01130103 .....)0......... + 7a400 00002939 04000201 03000029 46040007 ..)9.......)F... + 7a410 6869665f 61706900 3800002a 9f085f69 hif_api.8..*.._i + 7a420 6e697400 000028db 02230008 5f736875 nit...(..#.._shu + 7a430 74646f77 6e000000 28e40223 04085f72 tdown...(..#.._r + 7a440 65676973 7465725f 63616c6c 6261636b egister_callback + 7a450 00000029 06022308 085f6765 745f746f ...)..#.._get_to + 7a460 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 7a470 00000029 1302230c 085f7374 61727400 ...)..#.._start. + 7a480 000028e4 02231008 5f636f6e 6669675f ..(..#.._config_ + 7a490 70697065 00000029 1c022314 085f7365 pipe...)..#.._se + 7a4a0 6e645f62 75666665 72000000 29290223 nd_buffer...)).# + 7a4b0 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 7a4c0 75660000 00293202 231c085f 69735f70 uf...)2.#.._is_p + 7a4d0 6970655f 73757070 6f727465 64000000 ipe_supported... + 7a4e0 293f0223 20085f67 65745f6d 61785f6d )?.# ._get_max_m + 7a4f0 73675f6c 656e0000 00293f02 2324085f sg_len...)?.#$._ + 7a500 6765745f 72657365 72766564 5f686561 get_reserved_hea + 7a510 64726f6f 6d000000 29130223 28085f69 droom...)..#(._i + 7a520 73725f68 616e646c 65720000 0028e402 sr_handler...(.. + 7a530 232c085f 6765745f 64656661 756c745f #,._get_default_ + 7a540 70697065 00000029 48022330 08705265 pipe...)H.#0.pRe + 7a550 73657276 65640000 00040802 2334000d served......#4.. + 7a560 646d615f 656e6769 6e650004 00002b28 dma_engine....+( + 7a570 0e444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 7a580 000e444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 7a590 00010e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 7a5a0 3200020e 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 7a5b0 58330003 0e444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 7a5c0 54583000 040e444d 415f454e 47494e45 TX0...DMA_ENGINE + 7a5d0 5f545831 00050e44 4d415f45 4e47494e _TX1...DMA_ENGIN + 7a5e0 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng + 7a5f0 696e655f 74000000 2a9f0d64 6d615f69 ine_t...*..dma_i + 7a600 66747970 65000400 002b750e 444d415f ftype....+u.DMA_ + 7a610 49465f47 4d414300 000e444d 415f4946 IF_GMAC...DMA_IF + 7a620 5f504349 00010e44 4d415f49 465f5043 _PCI...DMA_IF_PC + 7a630 49450002 0009646d 615f6966 74797065 IE....dma_iftype + 7a640 5f740000 002b3a06 000012ed 01030000 _t...+:......... + 7a650 2b870400 02010300 002b9404 00020103 +........+...... + 7a660 00002b9d 04000600 00091f01 0300002b ..+............+ + 7a670 a6040006 000012ed 01030000 2bb30400 ............+... + 7a680 06000012 ed010300 002bc004 00060000 .........+...... + 7a690 14380103 00002bcd 04000201 0300002b .8....+........+ + 7a6a0 da040007 646d615f 6c69625f 61706900 ....dma_lib_api. + 7a6b0 3400002c e1087478 5f696e69 74000000 4..,..tx_init... + 7a6c0 2b8d0223 00087478 5f737461 72740000 +..#..tx_start.. + 7a6d0 002b9602 23040872 785f696e 69740000 .+..#..rx_init.. + 7a6e0 002b8d02 23080872 785f636f 6e666967 .+..#..rx_config + 7a6f0 0000002b 9f02230c 0872785f 73746172 ...+..#..rx_star + 7a700 74000000 2b960223 1008696e 74725f73 t...+..#..intr_s + 7a710 74617475 73000000 2bac0223 14086861 tatus...+..#..ha + 7a720 72645f78 6d697400 00002bb9 02231808 rd_xmit...+..#.. + 7a730 666c7573 685f786d 69740000 002b9602 flush_xmit...+.. + 7a740 231c0878 6d69745f 646f6e65 0000002b #..xmit_done...+ + 7a750 c6022320 08726561 705f786d 69747465 ..# .reap_xmitte + 7a760 64000000 2bd30223 24087265 61705f72 d...+..#$.reap_r + 7a770 65637600 00002bd3 02232808 72657475 ecv...+..#(.retu + 7a780 726e5f72 65637600 00002bdc 02232c08 rn_recv...+..#,. + 7a790 72656376 5f706b74 0000002b c6022330 recv_pkt...+..#0 + 7a7a0 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 7a7b0 002cff08 73770000 0028eb02 23000009 .,..sw...(..#... + 7a7c0 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 7a7d0 2ce10300 002cff04 00020103 00002d19 ,....,........-. + 7a7e0 04000600 0012d901 0300002d 2204000d ...........-"... + 7a7f0 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 7a800 0400002d 820e4849 465f5043 495f5049 ...-..HIF_PCI_PI + 7a810 50455f54 58300000 0e484946 5f504349 PE_TX0...HIF_PCI + 7a820 5f504950 455f5458 3100010e 4849465f _PIPE_TX1...HIF_ + 7a830 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 7a840 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 7a850 74785f74 0000002d 2f060000 2b280103 tx_t...-/...+(.. + 7a860 00002d99 04000d68 69665f70 63695f70 ..-....hif_pci_p + 7a870 6970655f 72780004 00002e1f 0e484946 ipe_rx.......HIF + 7a880 5f504349 5f504950 455f5258 3000000e _PCI_PIPE_RX0... + 7a890 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 7a8a0 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 7a8b0 52583200 020e4849 465f5043 495f5049 RX2...HIF_PCI_PI + 7a8c0 50455f52 58330003 0e484946 5f504349 PE_RX3...HIF_PCI + 7a8d0 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... + 7a8e0 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 7a8f0 74000000 2da60600 002b2801 0300002e t...-....+(..... + 7a900 36040007 6869665f 7063695f 61706900 6...hif_pci_api. + 7a910 2400002f 14087063 695f626f 6f745f69 $../..pci_boot_i + 7a920 6e697400 0000010c 02230008 7063695f nit......#..pci_ + 7a930 696e6974 00000028 db022304 08706369 init...(..#..pci + 7a940 5f726573 65740000 00010c02 23080870 _reset......#..p + 7a950 63695f65 6e61626c 65000000 010c0223 ci_enable......# + 7a960 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 7a970 65640000 002d1b02 23100870 63695f72 ed...-..#..pci_r + 7a980 6561705f 72656376 0000002d 1b022314 eap_recv...-..#. + 7a990 08706369 5f676574 5f706970 65000000 .pci_get_pipe... + 7a9a0 2d280223 18087063 695f6765 745f7478 -(.#..pci_get_tx + 7a9b0 5f656e67 0000002d 9f02231c 08706369 _eng...-..#..pci + 7a9c0 5f676574 5f72785f 656e6700 00002e3c _get_rx_eng....< + 7a9d0 02232000 07676d61 635f6170 69000400 .# ..gmac_api... + 7a9e0 002f3b08 676d6163 5f626f6f 745f696e ./;.gmac_boot_in + 7a9f0 69740000 00010c02 2300000f 00000320 it......#...... + 7aa00 0600002f 48100500 075f5f65 74686864 .../H....__ethhd + 7aa10 72000e00 002f7e08 64737400 00002f3b r..../~.dst.../; + 7aa20 02230008 73726300 00002f3b 02230608 .#..src.../;.#.. + 7aa30 65747970 65000000 12ed0223 0c00075f etype......#..._ + 7aa40 5f617468 68647200 0400002f cc157265 _athhdr..../..re + 7aa50 73000000 12d90100 02022300 1570726f s.........#..pro + 7aa60 746f0000 0012d901 02060223 00087265 to.........#..re + 7aa70 735f6c6f 00000012 d9022301 08726573 s_lo......#..res + 7aa80 5f686900 000012ed 02230200 075f5f67 _hi......#...__g + 7aa90 6d61635f 68647200 14000030 08086574 mac_hdr....0..et + 7aaa0 68000000 2f480223 00086174 68000000 h.../H.#..ath... + 7aab0 2f7e0223 0e08616c 69676e5f 70616400 /~.#..align_pad. + 7aac0 000012ed 02231200 095f5f67 6d61635f .....#...__gmac_ + 7aad0 6864725f 74000000 2fcc075f 5f676d61 hdr_t.../..__gma + 7aae0 635f736f 66746300 24000030 52086864 c_softc.$..0R.hd + 7aaf0 72000000 30080223 00086772 616e0000 r...0..#..gran.. + 7ab00 0012ed02 23140873 77000000 28eb0223 ....#..sw...(..# + 7ab10 18000c5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 7ab20 5f636865 636b0000 01030000 30520400 _check......0R.. + 7ab30 06000001 13010300 00307004 00030000 .........0p..... + 7ab40 040b0400 175f415f 636d6e6f 735f696e ....._A_cmnos_in + 7ab50 64697265 6374696f 6e5f7461 626c6500 direction_table. + 7ab60 01b80000 31c00868 616c5f6c 696e6b61 ....1..hal_linka + 7ab70 67655f63 6865636b 00000030 76022300 ge_check...0v.#. + 7ab80 08737461 72745f62 73730000 00307d02 .start_bss...0}. + 7ab90 23040861 70705f73 74617274 00000001 #..app_start.... + 7aba0 0c022308 086d656d 00000004 4b02230c ..#..mem....K.#. + 7abb0 086d6973 63000000 056a0223 20087072 .misc....j.# .pr + 7abc0 696e7466 00000001 40022344 08756172 intf....@.#D.uar + 7abd0 74000000 02090223 4c08676d 61630000 t......#L.gmac.. + 7abe0 002f1402 236c0875 73620000 000f9902 ./..#l.usb...... + 7abf0 23700863 6c6f636b 0000000a e20323e0 #p.clock......#. + 7ac00 01087469 6d657200 00000782 03238402 ..timer......#.. + 7ac10 08696e74 72000000 0c320323 98020861 .intr....2.#...a + 7ac20 6c6c6f63 72616d00 0000093a 0323c402 llocram....:.#.. + 7ac30 08726f6d 70000000 082c0323 d0020877 .romp....,.#...w + 7ac40 64745f74 696d6572 0000000e 0f0323e0 dt_timer......#. + 7ac50 02086565 70000000 0f3d0323 fc020873 ..eep....=.#...s + 7ac60 7472696e 67000000 068e0323 8c030874 tring......#...t + 7ac70 61736b6c 65740000 000a3703 23a40300 asklet....7.#... + 7ac80 075f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI + 7ac90 47001000 00323308 6765745f 636f6d6d G....23.get_comm + 7aca0 616e645f 62756600 00001445 02230008 and_buf....E.#.. + 7acb0 72656376 5f636f6d 6d616e64 00000014 recv_command.... + 7acc0 5b022304 08676574 5f657665 6e745f62 [.#..get_event_b + 7acd0 75660000 00144502 23080873 656e645f uf....E.#..send_ + 7ace0 6576656e 745f646f 6e650000 00145b02 event_done....[. + 7acf0 230c0009 5553425f 4649464f 5f434f4e #...USB_FIFO_CON + 7ad00 46494700 000031c0 03000032 33040002 FIG...1....23... + 7ad10 01030000 324f0400 07757362 6669666f ....2O...usbfifo + 7ad20 5f617069 000c0000 32a5085f 696e6974 _api....2.._init + 7ad30 00000032 51022300 085f656e 61626c65 ...2Q.#.._enable + 7ad40 5f657665 6e745f69 73720000 00010c02 _event_isr...... + 7ad50 23040870 52657365 72766564 00000004 #..pReserved.... + 7ad60 08022308 000f0000 167f0200 0032b210 ..#..........2.. + 7ad70 0100075f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 7ad80 52000800 00332408 456e6470 6f696e74 R....3$.Endpoint + 7ad90 49440000 00167f02 23000846 6c616773 ID......#..Flags + 7ada0 00000016 7f022301 08506179 6c6f6164 ......#..Payload + 7adb0 4c656e00 00001ca7 02230208 436f6e74 Len......#..Cont + 7adc0 726f6c42 79746573 00000032 a5022304 rolBytes...2..#. + 7add0 08486f73 74536571 4e756d00 00001ca7 .HostSeqNum..... + 7ade0 02230600 12020000 333d084d 65737361 .#......3=.Messa + 7adf0 67654944 0000001c a7022300 00120800 geID......#..... + 7ae00 0033a008 4d657373 61676549 44000000 .3..MessageID... + 7ae10 1ca70223 00084372 65646974 436f756e ...#..CreditCoun + 7ae20 74000000 1ca70223 02084372 65646974 t......#..Credit + 7ae30 53697a65 0000001c a7022304 084d6178 Size......#..Max + 7ae40 456e6470 6f696e74 73000000 167f0223 Endpoints......# + 7ae50 06085f50 61643100 0000167f 02230700 .._Pad1......#.. + 7ae60 120a0000 3437084d 65737361 67654944 ....47.MessageID + 7ae70 0000001c a7022300 08536572 76696365 ......#..Service + 7ae80 49440000 001ca702 23020843 6f6e6e65 ID......#..Conne + 7ae90 6374696f 6e466c61 67730000 001ca702 ctionFlags...... + 7aea0 23040844 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 7aeb0 44000000 167f0223 06085570 4c696e6b D......#..UpLink + 7aec0 50697065 49440000 00167f02 23070853 PipeID......#..S + 7aed0 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 7aee0 00000016 7f022308 085f5061 64310000 ......#.._Pad1.. + 7aef0 00167f02 23090012 0a000034 bf084d65 ....#......4..Me + 7af00 73736167 65494400 00001ca7 02230008 ssageID......#.. + 7af10 53657276 69636549 44000000 1ca70223 ServiceID......# + 7af20 02085374 61747573 00000016 7f022304 ..Status......#. + 7af30 08456e64 706f696e 74494400 0000167f .EndpointID..... + 7af40 02230508 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 7af50 001ca702 23060853 65727669 63654d65 ....#..ServiceMe + 7af60 74614c65 6e677468 00000016 7f022308 taLength......#. + 7af70 085f5061 64310000 00167f02 23090012 ._Pad1......#... + 7af80 02000034 d8084d65 73736167 65494400 ...4..MessageID. + 7af90 00001ca7 02230000 12040000 3514084d .....#......5..M + 7afa0 65737361 67654944 0000001c a7022300 essageID......#. + 7afb0 08506970 65494400 0000167f 02230208 .PipeID......#.. + 7afc0 43726564 6974436f 756e7400 0000167f CreditCount..... + 7afd0 02230300 12040000 354b084d 65737361 .#......5K.Messa + 7afe0 67654944 0000001c a7022300 08506970 geID......#..Pip + 7aff0 65494400 0000167f 02230208 53746174 eID......#..Stat + 7b000 75730000 00167f02 23030012 02000035 us......#......5 + 7b010 72085265 636f7264 49440000 00167f02 r.RecordID...... + 7b020 2300084c 656e6774 68000000 167f0223 #..Length......# + 7b030 01001202 0000359c 08456e64 706f696e ......5..Endpoin + 7b040 74494400 0000167f 02230008 43726564 tID......#..Cred + 7b050 69747300 0000167f 02230100 12040000 its......#...... + 7b060 35dd0845 6e64706f 696e7449 44000000 5..EndpointID... + 7b070 167f0223 00084372 65646974 73000000 ...#..Credits... + 7b080 167f0223 01085467 74437265 64697453 ...#..TgtCreditS + 7b090 65714e6f 0000001c a7022302 000f0000 eqNo......#..... + 7b0a0 167f0400 0035ea10 03001206 00003626 .....5........6& + 7b0b0 08507265 56616c69 64000000 167f0223 .PreValid......# + 7b0c0 00084c6f 6f6b4168 65616400 000035dd ..LookAhead...5. + 7b0d0 02230108 506f7374 56616c69 64000000 .#..PostValid... + 7b0e0 167f0223 05000970 6f6f6c5f 68616e64 ...#...pool_hand + 7b0f0 6c655f74 00000004 08060000 36260103 le_t........6&.. + 7b100 00003639 04000201 03000036 46040014 ..69.......6F... + 7b110 04000036 c40e504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 7b120 435f434f 4e54524f 4c00000e 504f4f4c C_CONTROL...POOL + 7b130 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 7b140 5245504c 5900010e 504f4f4c 5f49445f REPLY...POOL_ID_ + 7b150 574d495f 5356435f 4556454e 5400020e WMI_SVC_EVENT... + 7b160 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 7b170 42554600 030e504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 7b180 58000a00 09425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 7b190 00000036 4f020103 000036d5 04000600 ...6O.....6..... + 7b1a0 00264401 03000036 de040006 00002644 .&D....6......&D + 7b1b0 01030000 36eb0400 02010300 0036f804 ....6........6.. + 7b1c0 00076275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 7b1d0 0000379a 085f696e 69740000 00363f02 ..7.._init...6?. + 7b1e0 2300085f 73687574 646f776e 00000036 #.._shutdown...6 + 7b1f0 48022304 085f6372 65617465 5f706f6f H.#.._create_poo + 7b200 6c000000 36d70223 08085f61 6c6c6f63 l...6..#.._alloc + 7b210 5f627566 00000036 e402230c 085f616c _buf...6..#.._al + 7b220 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 7b230 36f10223 10085f66 7265655f 62756600 6..#.._free_buf. + 7b240 000036fa 02231408 70526573 65727665 ..6..#..pReserve + 7b250 64000000 04080223 1800075f 4854435f d......#..._HTC_ + 7b260 53455256 49434500 1c000038 7908704e SERVICE....8y.pN + 7b270 65787400 00003879 02230008 50726f63 ext...8y.#..Proc + 7b280 65737352 6563764d 73670000 00392e02 essRecvMsg...9.. + 7b290 23040850 726f6365 73735365 6e644275 #..ProcessSendBu + 7b2a0 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 7b2b0 37022308 0850726f 63657373 436f6e6e 7.#..ProcessConn + 7b2c0 65637400 0000394b 02230c08 53657276 ect...9K.#..Serv + 7b2d0 69636549 44000000 12ed0223 10085365 iceID......#..Se + 7b2e0 72766963 65466c61 67730000 0012ed02 rviceFlags...... + 7b2f0 2312084d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 7b300 00000012 ed022314 08547261 696c6572 ......#..Trailer + 7b310 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 7b320 12ed0223 16085365 72766963 65437478 ...#..ServiceCtx + 7b330 00000004 08022318 00030000 379a0400 ......#.....7... + 7b340 14040000 39171945 4e44504f 494e545f ....9..ENDPOINT_ + 7b350 554e5553 454400ff ffffff0e 454e4450 UNUSED......ENDP + 7b360 4f494e54 3000000e 454e4450 4f494e54 OINT0...ENDPOINT + 7b370 3100010e 454e4450 4f494e54 3200020e 1...ENDPOINT2... + 7b380 454e4450 4f494e54 3300030e 454e4450 ENDPOINT3...ENDP + 7b390 4f494e54 3400040e 454e4450 4f494e54 OINT4...ENDPOINT + 7b3a0 3500050e 454e4450 4f494e54 3600060e 5...ENDPOINT6... + 7b3b0 454e4450 4f494e54 3700070e 454e4450 ENDPOINT7...ENDP + 7b3c0 4f494e54 3800080e 454e4450 4f494e54 OINT8...ENDPOINT + 7b3d0 5f4d4158 00160009 4854435f 454e4450 _MAX....HTC_ENDP + 7b3e0 4f494e54 5f494400 00003880 02010300 OINT_ID...8..... + 7b3f0 00392c04 00020103 00003935 04000300 .9,.......95.... + 7b400 00011304 00060000 12d90103 00003945 ..............9E + 7b410 04000300 00379a04 00075f48 54435f43 .....7...._HTC_C + 7b420 4f4e4649 47001400 0039ca08 43726564 ONFIG....9..Cred + 7b430 69745369 7a650000 00011302 23000843 itSize......#..C + 7b440 72656469 744e756d 62657200 00000113 reditNumber..... + 7b450 02230408 4f534861 6e646c65 0000001a .#..OSHandle.... + 7b460 43022308 08484946 48616e64 6c650000 C.#..HIFHandle.. + 7b470 0028ac02 230c0850 6f6f6c48 616e646c .(..#..PoolHandl + 7b480 65000000 36260223 1000075f 4854435f e...6&.#..._HTC_ + 7b490 4255465f 434f4e54 45585400 0200003a BUF_CONTEXT....: + 7b4a0 0608656e 645f706f 696e7400 000012d9 ..end_point..... + 7b4b0 02230008 6874635f 666c6167 73000000 .#..htc_flags... + 7b4c0 12d90223 01000968 74635f68 616e646c ...#...htc_handl + 7b4d0 655f7400 00000408 09485443 5f534554 e_t......HTC_SET + 7b4e0 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 7b4f0 00010c09 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 7b500 00395903 00003a33 04000600 003a0601 .9Y...:3.....:.. + 7b510 0300003a 4a040002 01030000 3a570400 ...:J.......:W.. + 7b520 09485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 7b530 9a030000 3a600400 02010300 003a7804 ....:`.......:x. + 7b540 00020103 00003a81 04000201 0300003a ......:........: + 7b550 8a040006 00000113 01030000 3a930400 ............:... + 7b560 07687463 5f617069 73003400 003c1008 .htc_apis.4..<.. + 7b570 5f485443 5f496e69 74000000 3a500223 _HTC_Init...:P.# + 7b580 00085f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 7b590 00003a59 02230408 5f485443 5f526567 ..:Y.#.._HTC_Reg + 7b5a0 69737465 72536572 76696365 0000003a isterService...: + 7b5b0 7a022308 085f4854 435f5265 61647900 z.#.._HTC_Ready. + 7b5c0 00003a59 02230c08 5f485443 5f526574 ..:Y.#.._HTC_Ret + 7b5d0 75726e42 75666665 72730000 003a8302 urnBuffers...:.. + 7b5e0 2310085f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 7b5f0 66666572 734c6973 74000000 3a8c0223 ffersList...:..# + 7b600 14085f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 7b610 003a8302 2318085f 4854435f 47657452 .:..#.._HTC_GetR + 7b620 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 7b630 00003a99 02231c08 5f485443 5f4d7367 ..:..#.._HTC_Msg + 7b640 52656376 48616e64 6c657200 00002859 RecvHandler...(Y + 7b650 02232008 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 7b660 6548616e 646c6572 00000028 50022324 eHandler...(P.#$ + 7b670 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 7b680 50726f63 6573734d 73670000 00392e02 ProcessMsg...9.. + 7b690 2328085f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 7b6a0 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 7b6b0 706c6574 65000000 39370223 2c087052 plete...97.#,.pR + 7b6c0 65736572 76656400 00000408 02233000 eserved......#0. + 7b6d0 07686f73 745f6170 705f6172 65615f73 .host_app_area_s + 7b6e0 00040000 3c400877 6d695f70 726f746f ....<@.wmi_proto + 7b6f0 636f6c5f 76657200 00001618 02230000 col_ver......#.. + 7b700 120e0000 3c770864 73744d61 63000000 ......b + 7b8b0 6561636f 6e50656e 64696e67 436f756e eaconPendingCoun + 7b8c0 74000000 167f0223 0000075f 574d495f t......#..._WMI_ + 7b8d0 5356435f 434f4e46 49470010 00003e73 SVC_CONFIG....>s + 7b8e0 08487463 48616e64 6c650000 003a0602 .HtcHandle...:.. + 7b8f0 23000850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 7b900 36260223 04084d61 78436d64 5265706c 6&.#..MaxCmdRepl + 7b910 79457674 73000000 01130223 08084d61 yEvts......#..Ma + 7b920 78457665 6e744576 74730000 00011302 xEventEvts...... + 7b930 230c0002 01030000 3e730400 09574d49 #.......>s...WMI + 7b940 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 7b950 75075f57 4d495f44 49535041 5443485f u._WMI_DISPATCH_ + 7b960 454e5452 59000800 003edc08 70436d64 ENTRY....>..pCmd + 7b970 48616e64 6c657200 00003e7c 02230008 Handler...>|.#.. + 7b980 436d6449 44000000 12ed0223 0408466c CmdID......#..Fl + 7b990 61677300 000012ed 02230600 075f574d ags......#..._WM + 7b9a0 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 7b9b0 00100000 3f3d0870 4e657874 0000003f ....?=.pNext...? + 7b9c0 3d022300 0870436f 6e746578 74000000 =.#..pContext... + 7b9d0 04080223 04084e75 6d626572 4f66456e ...#..NumberOfEn + 7b9e0 74726965 73000000 01130223 08087054 tries......#..pT + 7b9f0 61626c65 0000003f 5c02230c 00030000 able...?\.#..... + 7ba00 3edc0400 09574d49 5f444953 50415443 >....WMI_DISPATC + 7ba10 485f454e 54525900 00003e91 0300003f H_ENTRY...>....? + 7ba20 44040003 00003edc 04000948 54435f42 D.....>....HTC_B + 7ba30 55465f43 4f4e5445 58540000 0039ca0d UF_CONTEXT...9.. + 7ba40 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 7ba50 003ff419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 7ba60 535f4e4f 4e4500ff ffffff0e 574d495f S_NONE......WMI_ + 7ba70 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 7ba80 454e5400 000e574d 495f4556 545f434c ENT...WMI_EVT_CL + 7ba90 4153535f 434d445f 5245504c 5900010e ASS_CMD_REPLY... + 7baa0 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 7bab0 58000200 09574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 7bac0 53530000 003f7f07 5f574d49 5f425546 SS...?.._WMI_BUF + 7bad0 5f434f4e 54455854 000c0000 40520848 _CONTEXT....@R.H + 7bae0 74634275 66437478 0000003f 6a022300 tcBufCtx...?j.#. + 7baf0 08457665 6e74436c 61737300 00003ff4 .EventClass...?. + 7bb00 02230408 466c6167 73000000 12ed0223 .#..Flags......# + 7bb10 08000977 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 7bb20 00000408 09574d49 5f535643 5f434f4e .....WMI_SVC_CON + 7bb30 46494700 00003e0a 03000040 64040006 FIG...>....@d... + 7bb40 00004052 01030000 407f0400 09574d49 ..@R....@....WMI + 7bb50 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 7bb60 00003edc 03000040 8c040002 01030000 ..>....@........ + 7bb70 40ab0400 06000026 44010300 0040b404 @......&D....@.. + 7bb80 00020103 000040c1 04000600 00011301 ......@......... + 7bb90 03000040 ca040002 01030000 40d70400 ...@........@... + 7bba0 06000012 d9010300 0040e004 00075f77 .........@...._w + 7bbb0 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 7bbc0 28085f57 4d495f49 6e697400 00004085 (._WMI_Init...@. + 7bbd0 02230008 5f574d49 5f526567 69737465 .#.._WMI_Registe + 7bbe0 72446973 70617463 68546162 6c650000 rDispatchTable.. + 7bbf0 0040ad02 2304085f 574d495f 416c6c6f .@..#.._WMI_Allo + 7bc00 63457665 6e740000 0040ba02 2308085f cEvent...@..#.._ + 7bc10 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 7bc20 40c30223 0c085f57 4d495f47 65745065 @..#.._WMI_GetPe + 7bc30 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 7bc40 00000040 d0022310 085f574d 495f5365 ...@..#.._WMI_Se + 7bc50 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 7bc60 72000000 39370223 14085f57 4d495f47 r...97.#.._WMI_G + 7bc70 6574436f 6e74726f 6c457000 000040d0 etControlEp...@. + 7bc80 02231808 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 7bc90 6e000000 40d90223 1c085f57 4d495f52 n...@..#.._WMI_R + 7bca0 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 7bcb0 72000000 392e0223 20085f57 4d495f53 r...9..# ._WMI_S + 7bcc0 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 7bcd0 40e60223 24087052 65736572 76656400 @..#$.pReserved. + 7bce0 00000408 02232800 077a7344 6d614465 .....#(..zsDmaDe + 7bcf0 73630014 000042aa 08637472 6c000000 sc....B..ctrl... + 7bd00 01770223 00087374 61747573 00000001 .w.#..status.... + 7bd10 77022302 08746f74 616c4c65 6e000000 w.#..totalLen... + 7bd20 01770223 04086461 74615369 7a650000 .w.#..dataSize.. + 7bd30 00017702 2306086c 61737441 64647200 ..w.#..lastAddr. + 7bd40 000042aa 02230808 64617461 41646472 ..B..#..dataAddr + 7bd50 00000001 9b02230c 086e6578 74416464 ......#..nextAdd + 7bd60 72000000 42aa0223 10000300 00422804 r...B..#.....B(. + 7bd70 00030000 42280400 077a7344 6d615175 ....B(...zsDmaQu + 7bd80 65756500 08000042 ea086865 61640000 eue....B..head.. + 7bd90 0042b102 23000874 65726d69 6e61746f .B..#..terminato + 7bda0 72000000 42b10223 0400077a 73547844 r...B..#...zsTxD + 7bdb0 6d615175 65756500 10000043 4e086865 maQueue....CN.he + 7bdc0 61640000 0042b102 23000874 65726d69 ad...B..#..termi + 7bdd0 6e61746f 72000000 42b10223 0408786d nator...B..#..xm + 7bde0 69746564 5f627566 5f686561 64000000 ited_buf_head... + 7bdf0 14380223 0808786d 69746564 5f627566 .8.#..xmited_buf + 7be00 5f746169 6c000000 14380223 0c000201 _tail....8.#.... + 7be10 03000043 4e040003 000042b8 04000201 ...CN.....B..... + 7be20 03000043 5e040003 000042ea 04000201 ...C^.....B..... + 7be30 03000043 6e040002 01030000 43770400 ...Cn.......Cw.. + 7be40 02010300 00438004 00060000 14380103 .....C.......8.. + 7be50 00004389 04000201 03000043 96040006 ..C........C.... + 7be60 00001438 01030000 439f0400 02010300 ...8....C....... + 7be70 0043ac04 00060000 01130103 000043b5 .C............C. + 7be80 04000600 0042b101 03000043 c2040002 .....B.....C.... + 7be90 01030000 43cf0400 07646d61 5f656e67 ....C....dma_eng + 7bea0 696e655f 61706900 40000045 45085f69 ine_api.@..EE._i + 7beb0 6e697400 00004350 02230008 5f696e69 nit...CP.#.._ini + 7bec0 745f7278 5f717565 75650000 00436002 t_rx_queue...C`. + 7bed0 2304085f 696e6974 5f74785f 71756575 #.._init_tx_queu + 7bee0 65000000 43700223 08085f63 6f6e6669 e...Cp.#.._confi + 7bef0 675f7278 5f717565 75650000 00437902 g_rx_queue...Cy. + 7bf00 230c085f 786d6974 5f627566 00000043 #.._xmit_buf...C + 7bf10 82022310 085f666c 7573685f 786d6974 ..#.._flush_xmit + 7bf20 00000043 60022314 085f7265 61705f72 ...C`.#.._reap_r + 7bf30 6563765f 62756600 0000438f 02231808 ecv_buf...C..#.. + 7bf40 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 7bf50 00000043 9802231c 085f7265 61705f78 ...C..#.._reap_x + 7bf60 6d697465 645f6275 66000000 43a50223 mited_buf...C..# + 7bf70 20085f73 7761705f 64617461 00000043 ._swap_data...C + 7bf80 ae022324 085f6861 735f636f 6d706c5f ..#$._has_compl_ + 7bf90 7061636b 65747300 000043bb 02232808 packets...C..#(. + 7bfa0 5f646573 635f6475 6d700000 00436002 _desc_dump...C`. + 7bfb0 232c085f 6765745f 7061636b 65740000 #,._get_packet.. + 7bfc0 0043c802 2330085f 7265636c 61696d5f .C..#0._reclaim_ + 7bfd0 7061636b 65740000 0043d102 2334085f packet...C..#4._ + 7bfe0 7075745f 7061636b 65740000 0043d102 put_packet...C.. + 7bff0 23380870 52657365 72766564 00000004 #8.pReserved.... + 7c000 0802233c 00095f41 5f636d6e 6f735f69 ..#<.._A_cmnos_i + 7c010 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 7c020 5f740000 00308409 574d495f 5356435f _t...0..WMI_SVC_ + 7c030 41504953 00000040 ed175f41 5f6d6167 APIS...@.._A_mag + 7c040 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 7c050 7461626c 6500034c 00004673 08636d6e table..L..Fs.cmn + 7c060 6f730000 00454502 23000864 62670000 os...EE.#..dbg.. + 7c070 0003d503 23b80308 68696600 0000294f ....#...hif...)O + 7c080 0323c003 08687463 0000003a a00323f8 .#...htc...:..#. + 7c090 0308776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 7c0a0 45670323 ac040875 73626669 666f5f61 Eg.#...usbfifo_a + 7c0b0 70690000 00325803 23d80408 6275665f pi...2X.#...buf_ + 7c0c0 706f6f6c 00000037 010323e4 04087662 pool...7..#...vb + 7c0d0 75660000 00146203 23800508 76646573 uf....b.#...vdes + 7c0e0 63000000 13440323 94050861 6c6c6f63 c....D.#...alloc + 7c0f0 72616d00 0000093a 0323a805 08646d61 ram....:.#...dma + 7c100 5f656e67 696e6500 000043d8 0323b405 _engine...C..#.. + 7c110 08646d61 5f6c6962 0000002b e30323f4 .dma_lib...+..#. + 7c120 05086869 665f7063 69000000 2e430323 ..hif_pci....C.# + 7c130 a8060006 00000408 01095f41 5f6d6167 .........._A_mag + 7c140 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 7c150 7461626c 655f7400 00004579 02010201 table_t...Ey.... + 7c160 02010600 00011301 0f000014 38280000 ............8(.. + 7c170 46b51009 00075f42 55465f50 4f4f4c5f F....._BUF_POOL_ + 7c180 53544154 49435f43 4f4e5445 5854002c STATIC_CONTEXT., + 7c190 000046f4 08627566 51000000 46a80223 ..F..bufQ...F..# + 7c1a0 00087052 65736572 76656400 00000408 ..pReserved..... + 7c1b0 02232800 09425546 5f504f4f 4c5f5354 .#(..BUF_POOL_ST + 7c1c0 41544943 5f434f4e 54455854 00000046 ATIC_CONTEXT...F + 7c1d0 b51a675f 706f6f6c 43747800 000046f4 ..g_poolCtx...F. + 7c1e0 05030050 0b180103 00003701 04000201 ...P......7..... + 7c1f0 0600003a 06010300 00473004 00030000 ...:.....G0..... + 7c200 46f40400 1b012262 75665f70 6f6f6c5f F....."buf_pool_ + 7c210 6d6f6475 6c655f69 6e737461 6c6c0001 module_install.. + 7c220 01039201 20029000 008e6128 008e614b .... .....a(..aK + 7c230 00004784 1c012270 41504973 00000047 ..G..."pAPIs...G + 7c240 27015200 1d012c5f 6275665f 706f6f6c '.R...,_buf_pool + 7c250 5f737461 7469635f 696e6974 00000004 _static_init.... + 7c260 08010103 92012002 9000008e 614c008e ...... .....aL.. + 7c270 61620000 47ce1c01 2c68616e 646c6500 ab..G...,handle. + 7c280 00001a43 01521e69 00000001 13001b01 ...C.R.i........ + 7c290 415f6275 665f706f 6f6c5f73 74617469 A_buf_pool_stati + 7c2a0 635f7368 7574646f 776e0001 01039201 c_shutdown...... + 7c2b0 20029000 008e6164 008e6169 00004811 .....ad..ai..H. + 7c2c0 1c014168 616e646c 65000000 36260152 ..Ahandle...6&.R + 7c2d0 001f0146 5f627566 5f706f6f 6c5f7374 ...F_buf_pool_st + 7c2e0 61746963 5f637265 6174655f 706f6f6c atic_create_pool + 7c2f0 00010101 03920130 02900000 8e616c00 .......0.....al. + 7c300 8e61c100 0048a11c 01466861 6e646c65 .a...H...Fhandle + 7c310 00000036 2601521c 0146706f 6f6c4964 ...6&.R..FpoolId + 7c320 00000036 c401531c 01466e49 74656d73 ...6..S..FnItems + 7c330 00000001 1301541c 01466e53 697a6500 ......T..FnSize. + 7c340 00000113 01551e69 00000001 131e6275 .....U.i......bu + 7c350 66000000 14381e64 65736300 0000131a f....8.desc..... + 7c360 001d0163 5f627566 5f706f6f 6c5f7374 ...c_buf_pool_st + 7c370 61746963 5f616c6c 6f635f62 75660000 atic_alloc_buf.. + 7c380 00264401 01039201 20029000 008e61c4 .&D..... .....a. + 7c390 008e61e6 00004913 1c016368 616e646c ..a...I...chandl + 7c3a0 65000000 36260152 1c016370 6f6f6c49 e...6&.R..cpoolI + 7c3b0 64000000 36c40153 1c016372 65736572 d...6..S..creser + 7c3c0 76650000 00011301 541e6275 66000000 ve......T.buf... + 7c3d0 1438001d 01745f62 75665f70 6f6f6c5f .8...t_buf_pool_ + 7c3e0 73746174 69635f61 6c6c6f63 5f627566 static_alloc_buf + 7c3f0 5f616c69 676e0000 00264401 01039201 _align...&D..... + 7c400 20029000 008e61e8 008e61f8 00004991 .....a...a...I. + 7c410 1c017468 616e646c 65000000 36260152 ..thandle...6&.R + 7c420 1c017470 6f6f6c49 64000000 36c40153 ..tpoolId...6..S + 7c430 1c017472 65736572 76650000 00011301 ..treserve...... + 7c440 541c0174 616c6967 6e000000 01130155 T..talign......U + 7c450 00200179 5f627566 5f706f6f 6c5f7374 . .y_buf_pool_st + 7c460 61746963 5f667265 655f6275 66000101 atic_free_buf... + 7c470 03920120 02900000 8e61f800 8e620b1c ... .....a...b.. + 7c480 01796861 6e646c65 00000036 2601521c .yhandle...6&.R. + 7c490 0179706f 6f6c4964 00000036 c401531c .ypoolId...6..S. + 7c4a0 01796275 66000000 26440154 00000000 .ybuf...&D.T.... + 7c4b0 0002eb00 0200002c dc040165 78632d73 .......,...exc-s + 7c4c0 65746861 6e646c65 722e6300 2f666f6c ethandler.c./fol + 7c4d0 6b732f72 6873752f 70726f6a 6563742f ks/rhsu/project/ + 7c4e0 7034762f 70657266 6f726365 2f70305f p4v/perforce/p0_ + 7c4f0 636f7265 2f70726f 6a656374 2f737263 core/project/src + 7c500 2f726f6d 2f6f732f 7372632f 78746f73 /rom/os/src/xtos + 7c510 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7c520 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7c530 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7c540 202d6733 00010000 01ba0f02 6c6f6e67 -g3........long + 7c550 20696e74 00050403 0000009c 10000000 int............ + 7c560 b5040300 05280000 01140670 63000000 .....(.....pc... + 7c570 009c0223 00067073 00000000 9c022304 ...#..ps......#. + 7c580 06617265 67000000 00a80223 08067361 .areg......#..sa + 7c590 72000000 009c0223 18066c63 6f756e74 r......#..lcount + 7c5a0 00000000 9c02231c 066c6265 67000000 ......#..lbeg... + 7c5b0 009c0223 20066c65 6e640000 00009c02 ...# .lend...... + 7c5c0 23240005 30000001 b0067063 00000000 #$..0.....pc.... + 7c5d0 9c022300 06707300 0000009c 02230406 ..#..ps......#.. + 7c5e0 73617200 0000009c 02230806 76707269 sar......#..vpri + 7c5f0 00000000 9c02230c 06613200 0000009c ......#..a2..... + 7c600 02231006 61330000 00009c02 23140661 .#..a3......#..a + 7c610 34000000 009c0223 18066135 00000000 4......#..a5.... + 7c620 9c02231c 06657863 63617573 65000000 ..#..exccause... + 7c630 009c0223 20066c63 6f756e74 00000000 ...# .lcount.... + 7c640 9c022324 066c6265 67000000 009c0223 ..#$.lbeg......# + 7c650 28066c65 6e640000 00009c02 232c0007 (.lend......#,.. + 7c660 01085f78 746f735f 68616e64 6c65725f .._xtos_handler_ + 7c670 66756e63 00000001 b0090000 01b20400 func............ + 7c680 085f7874 6f735f68 616e646c 65720000 ._xtos_handler.. + 7c690 0001ca0a 04000b58 746f7349 6e744861 .......XtosIntHa + 7c6a0 6e646c65 72456e74 72790008 0000021e ndlerEntry...... + 7c6b0 0668616e 646c6572 00000001 d1022300 .handler......#. + 7c6c0 06617267 00000001 e4022304 0002756e .arg......#...un + 7c6d0 7369676e 65642069 6e740007 040b5874 signed int....Xt + 7c6e0 6f73496e 744d6173 6b456e74 72790008 osIntMaskEntry.. + 7c6f0 0000026b 06767072 695f6d61 736b0000 ...k.vpri_mask.. + 7c700 00021e02 2300066c 6576656c 5f6d6173 ....#..level_mas + 7c710 6b000000 021e0223 04000269 6e740005 k......#...int.. + 7c720 040c0000 01d10107 01090000 02780400 .............x.. + 7c730 0d000001 d1010000 028d0e00 0d000001 ................ + 7c740 d1010000 02990e00 0f01215f 78746f73 ..........!_xtos + 7c750 5f736574 5f657863 65707469 6f6e5f68 _set_exception_h + 7c760 616e646c 65720000 0001d101 01039201 andler.......... + 7c770 20029000 008e620c 008e626d 1001216e .....b...bm..!n + 7c780 00000002 6b015210 01216600 000001d1 ....k.R..!f..... + 7c790 01531172 65740000 0001d100 00000000 .S.ret.......... + 7c7a0 00750002 00002d98 04010001 bcc9008e .u....-......... + 7c7b0 6814008e 68196578 632d7461 626c652e h...h.exc-table. + 7c7c0 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr + 7c7d0 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor + 7c7e0 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje + 7c7f0 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr + 7c800 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. + 7c810 31362e31 00800100 00008100 0200002d 16.1...........- + 7c820 ac040100 01bd0800 8e627000 8e62e965 .........bp..b.e + 7c830 78632d63 2d777261 70706572 2d68616e xc-c-wrapper-han + 7c840 646c6572 2e53002f 666f6c6b 732f7268 dler.S./folks/rh + 7c850 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p + 7c860 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ + 7c870 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ + 7c880 6f732f73 72632f78 746f7300 474e5520 os/src/xtos.GNU + 7c890 41532032 2e31362e 31008001 000000c5 AS 2.16.1....... + 7c8a0 00020000 2dc00401 0001be1b 008e681c ....-.........h. + 7c8b0 008e6842 2f686f6d 652f6375 73746f6d ..hB/home/custom + 7c8c0 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 7c8d0 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- + 7c8e0 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa + 7c8f0 2d656c66 2f737263 2f68616c 2f636c6f -elf/src/hal/clo + 7c900 636b2e53 002f7072 6f6a6563 742f6375 ck.S./project/cu + 7c910 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 + 7c920 372e322f 6275696c 642f6174 6865726f 7.2/build/athero + 7c930 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 + 7c940 2f383337 34332f78 6275696c 642f4f53 /83743/xbuild/OS + 7c950 2f68616c 00474e55 20415320 322e3136 /hal.GNU AS 2.16 + 7c960 2e310080 0100000c 1c000200 002dd404 .1...........-.. + 7c970 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 7c980 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 7c990 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 7c9a0 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 7c9b0 662f7372 632f6861 6c2f6d69 73632e63 f/src/hal/misc.c + 7c9c0 002f7072 6f6a6563 742f6375 73742f67 ./project/cust/g + 7c9d0 656e6170 702f5242 2d323030 372e322f enapp/RB-2007.2/ + 7c9e0 6275696c 642f6174 6865726f 732f7072 build/atheros/pr + 7c9f0 6f642f4d 61677069 655f5030 2f383337 od/Magpie_P0/837 + 7ca00 34332f78 6275696c 642f4f53 2f68616c 43/xbuild/OS/hal + 7ca10 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7ca20 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7ca30 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7ca40 202d6733 00010002 756e7369 676e6564 -g3....unsigned + 7ca50 20696e74 00070403 000000e2 04587468 int.........Xth + 7ca60 616c5f72 656c6561 73655f6d 616a6f72 al_release_major + 7ca70 00000000 f2050300 4e879001 04587468 ........N....Xth + 7ca80 616c5f72 656c6561 73655f6d 696e6f72 al_release_minor + 7ca90 00000000 f2050300 500b4401 02636861 ........P.D..cha + 7caa0 72000701 03000001 37030000 01370500 r.......7....7.. + 7cab0 00014404 00030000 01490300 00013705 ..D......I....7. + 7cac0 00000155 04000458 7468616c 5f72656c ...U...Xthal_rel + 7cad0 65617365 5f6e616d 65000000 01500503 ease_name....P.. + 7cae0 004e8794 01060000 01370600 00018d07 .N.......7...... + 7caf0 05000458 7468616c 5f72656c 65617365 ...Xthal_release + 7cb00 5f696e74 65726e61 6c000000 01500503 _internal....P.. + 7cb10 00500b48 01045874 68616c5f 7265765f .P.H..Xthal_rev_ + 7cb20 6e6f0000 0000f205 03004e87 98010458 no........N....X + 7cb30 7468616c 5f6e756d 5f617265 67730000 thal_num_aregs.. + 7cb40 0000f205 03004e87 9c010275 6e736967 ......N....unsig + 7cb50 6e656420 63686172 00070103 000001e5 ned char........ + 7cb60 04587468 616c5f6e 756d5f61 72656773 .Xthal_num_aregs + 7cb70 5f6c6f67 32000000 01f60503 004e87a0 _log2........N.. + 7cb80 01045874 68616c5f 6d656d6f 72795f6f ..Xthal_memory_o + 7cb90 72646572 00000001 f6050300 4e87a101 rder........N... + 7cba0 04587468 616c5f68 6176655f 77696e64 .Xthal_have_wind + 7cbb0 6f776564 00000001 f6050300 4e87a201 owed........N... + 7cbc0 04587468 616c5f68 6176655f 64656e73 .Xthal_have_dens + 7cbd0 69747900 000001f6 0503004e 87a30104 ity........N.... + 7cbe0 58746861 6c5f6861 76655f62 6f6f6c65 Xthal_have_boole + 7cbf0 616e7300 000001f6 05030050 0b4c0104 ans........P.L.. + 7cc00 58746861 6c5f6861 76655f6c 6f6f7073 Xthal_have_loops + 7cc10 00000001 f6050300 4e87a401 04587468 ........N....Xth + 7cc20 616c5f68 6176655f 6e736100 000001f6 al_have_nsa..... + 7cc30 0503004e 87a50104 58746861 6c5f6861 ...N....Xthal_ha + 7cc40 76655f6d 696e6d61 78000000 01f60503 ve_minmax....... + 7cc50 004e87a6 01045874 68616c5f 68617665 .N....Xthal_have + 7cc60 5f736578 74000000 01f60503 004e87a7 _sext........N.. + 7cc70 01045874 68616c5f 68617665 5f636c61 ..Xthal_have_cla + 7cc80 6d707300 000001f6 05030050 0b4d0104 mps........P.M.. + 7cc90 58746861 6c5f6861 76655f6d 61633136 Xthal_have_mac16 + 7cca0 00000001 f6050300 500b4e01 04587468 ........P.N..Xth + 7ccb0 616c5f68 6176655f 6d756c31 36000000 al_have_mul16... + 7ccc0 01f60503 004e87a8 01045874 68616c5f .....N....Xthal_ + 7ccd0 68617665 5f667000 000001f6 05030050 have_fp........P + 7cce0 0b4f0104 58746861 6c5f6861 76655f73 .O..Xthal_have_s + 7ccf0 70656375 6c617469 6f6e0000 0001f605 peculation...... + 7cd00 0300500b 50010458 7468616c 5f686176 ..P.P..Xthal_hav + 7cd10 655f6578 63657074 696f6e73 00000001 e_exceptions.... + 7cd20 f6050300 4e87a901 04587468 616c5f78 ....N....Xthal_x + 7cd30 65615f76 65727369 6f6e0000 0001f605 ea_version...... + 7cd40 03004e87 aa010458 7468616c 5f686176 ..N....Xthal_hav + 7cd50 655f696e 74657272 75707473 00000001 e_interrupts.... + 7cd60 f6050300 4e87ab01 04587468 616c5f68 ....N....Xthal_h + 7cd70 6176655f 68696768 6c657665 6c5f696e ave_highlevel_in + 7cd80 74657272 75707473 00000001 f6050300 terrupts........ + 7cd90 4e87ac01 04587468 616c5f68 6176655f N....Xthal_have_ + 7cda0 6e6d6900 000001f6 0503004e 87ad0104 nmi........N.... + 7cdb0 58746861 6c5f6861 76655f70 72696400 Xthal_have_prid. + 7cdc0 000001f6 0503004e 87ae0104 58746861 .......N....Xtha + 7cdd0 6c5f6861 76655f72 656c6561 73655f73 l_have_release_s + 7cde0 796e6300 000001f6 0503004e 87af0104 ync........N.... + 7cdf0 58746861 6c5f6861 76655f73 33326331 Xthal_have_s32c1 + 7ce00 69000000 01f60503 004e87b0 01045874 i........N....Xt + 7ce10 68616c5f 68617665 5f746872 65616470 hal_have_threadp + 7ce20 74720000 0001f605 03004e87 b1010458 tr........N....X + 7ce30 7468616c 5f686176 655f7069 66000000 thal_have_pif... + 7ce40 01f60503 004e87b2 01027368 6f727420 .....N....short + 7ce50 756e7369 676e6564 20696e74 00070203 unsigned int.... + 7ce60 000004e4 04587468 616c5f6e 756d5f77 .....Xthal_num_w + 7ce70 72697465 62756666 65725f65 6e747269 ritebuffer_entri + 7ce80 65730000 0004fa05 03004e87 b4010458 es........N....X + 7ce90 7468616c 5f627569 6c645f75 6e697175 thal_build_uniqu + 7cea0 655f6964 00000000 f2050300 4e87b801 e_id........N... + 7ceb0 04587468 616c5f68 775f636f 6e666967 .Xthal_hw_config + 7cec0 69643000 000000f2 0503004e 87bc0104 id0........N.... + 7ced0 58746861 6c5f6877 5f636f6e 66696769 Xthal_hw_configi + 7cee0 64310000 0000f205 03004e87 c0010458 d1........N....X + 7cef0 7468616c 5f68775f 72656c65 6173655f thal_hw_release_ + 7cf00 6d616a6f 72000000 00f20503 004e87c4 major........N.. + 7cf10 01045874 68616c5f 68775f72 656c6561 ..Xthal_hw_relea + 7cf20 73655f6d 696e6f72 00000000 f2050300 se_minor........ + 7cf30 500b5401 04587468 616c5f68 775f7265 P.T..Xthal_hw_re + 7cf40 6c656173 655f6e61 6d650000 00015005 lease_name....P. + 7cf50 03004e87 c8010600 00013708 000005fe ..N.......7..... + 7cf60 07070004 58746861 6c5f6877 5f6d696e ....Xthal_hw_min + 7cf70 5f766572 73696f6e 5f6d616a 6f720000 _version_major.. + 7cf80 0000f205 03004e87 cc010458 7468616c ......N....Xthal + 7cf90 5f68775f 6d696e5f 76657273 696f6e5f _hw_min_version_ + 7cfa0 6d696e6f 72000000 00f20503 00500b58 minor........P.X + 7cfb0 01045874 68616c5f 68775f6d 61785f76 ..Xthal_hw_max_v + 7cfc0 65727369 6f6e5f6d 616a6f72 00000000 ersion_major.... + 7cfd0 f2050300 4e87d001 04587468 616c5f68 ....N....Xthal_h + 7cfe0 775f6d61 785f7665 7273696f 6e5f6d69 w_max_version_mi + 7cff0 6e6f7200 000000f2 05030050 0b5c0104 nor........P.\.. + 7d000 58746861 6c5f6877 5f72656c 65617365 Xthal_hw_release + 7d010 5f696e74 65726e61 6c000000 01500503 _internal....P.. + 7d020 00500b60 01045874 68616c5f 68617665 .P.`..Xthal_have + 7d030 5f737061 6e6e696e 675f7761 79000000 _spanning_way... + 7d040 01f60503 004e87d4 01045874 68616c5f .....N....Xthal_ + 7d050 68617665 5f696465 6e746974 795f6d61 have_identity_ma + 7d060 70000000 01f60503 004e87d5 01045874 p........N....Xt + 7d070 68616c5f 68617665 5f6d696d 69635f63 hal_have_mimic_c + 7d080 61636865 61747472 00000001 f6050300 acheattr........ + 7d090 4e87d601 04587468 616c5f68 6176655f N....Xthal_have_ + 7d0a0 786c745f 63616368 65617474 72000000 xlt_cacheattr... + 7d0b0 01f60503 00500b64 01045874 68616c5f .....P.d..Xthal_ + 7d0c0 68617665 5f636163 68656174 74720000 have_cacheattr.. + 7d0d0 0001f605 0300500b 65010458 7468616c ......P.e..Xthal + 7d0e0 5f686176 655f746c 62730000 0001f605 _have_tlbs...... + 7d0f0 03004e87 d7010458 7468616c 5f6d6d75 ..N....Xthal_mmu + 7d100 5f617369 645f6269 74730000 0001f605 _asid_bits...... + 7d110 0300500b 66010458 7468616c 5f6d6d75 ..P.f..Xthal_mmu + 7d120 5f617369 645f6b65 726e656c 00000001 _asid_kernel.... + 7d130 f6050300 500b6701 04587468 616c5f6d ....P.g..Xthal_m + 7d140 6d755f72 696e6773 00000001 f6050300 mu_rings........ + 7d150 4e87d801 04587468 616c5f6d 6d755f72 N....Xthal_mmu_r + 7d160 696e675f 62697473 00000001 f6050300 ing_bits........ + 7d170 500b6801 04587468 616c5f6d 6d755f73 P.h..Xthal_mmu_s + 7d180 725f6269 74730000 0001f605 0300500b r_bits........P. + 7d190 69010458 7468616c 5f6d6d75 5f63615f i..Xthal_mmu_ca_ + 7d1a0 62697473 00000001 f6050300 4e87d901 bits........N... + 7d1b0 04587468 616c5f6d 6d755f6d 61785f70 .Xthal_mmu_max_p + 7d1c0 74655f70 6167655f 73697a65 00000000 te_page_size.... + 7d1d0 f2050300 4e87dc01 04587468 616c5f6d ....N....Xthal_m + 7d1e0 6d755f6d 696e5f70 74655f70 6167655f mu_min_pte_page_ + 7d1f0 73697a65 00000000 f2050300 4e87e001 size........N... + 7d200 04587468 616c5f69 746c625f 7761795f .Xthal_itlb_way_ + 7d210 62697473 00000001 f6050300 500b6a01 bits........P.j. + 7d220 04587468 616c5f69 746c625f 77617973 .Xthal_itlb_ways + 7d230 00000001 f6050300 4e87e401 04587468 ........N....Xth + 7d240 616c5f69 746c625f 6172665f 77617973 al_itlb_arf_ways + 7d250 00000001 f6050300 500b6b01 04587468 ........P.k..Xth + 7d260 616c5f64 746c625f 7761795f 62697473 al_dtlb_way_bits + 7d270 00000001 f6050300 500b6c01 04587468 ........P.l..Xth + 7d280 616c5f64 746c625f 77617973 00000001 al_dtlb_ways.... + 7d290 f6050300 4e87e501 04587468 616c5f64 ....N....Xthal_d + 7d2a0 746c625f 6172665f 77617973 00000001 tlb_arf_ways.... + 7d2b0 f6050300 500b6d01 04587468 616c5f6e ....P.m..Xthal_n + 7d2c0 756d5f69 6e737472 6f6d0000 0001f605 um_instrom...... + 7d2d0 0300500b 6e010458 7468616c 5f6e756d ..P.n..Xthal_num + 7d2e0 5f696e73 7472616d 00000001 f6050300 _instram........ + 7d2f0 4e87e601 04587468 616c5f6e 756d5f64 N....Xthal_num_d + 7d300 61746172 6f6d0000 0001f605 0300500b atarom........P. + 7d310 6f010458 7468616c 5f6e756d 5f646174 o..Xthal_num_dat + 7d320 6172616d 00000001 f6050300 4e87e701 aram........N... + 7d330 04587468 616c5f6e 756d5f78 6c6d6900 .Xthal_num_xlmi. + 7d340 000001f6 05030050 0b700103 000000e2 .......P.p...... + 7d350 06000009 e6040000 09f80700 00030000 ................ + 7d360 09eb0300 0000e206 000009fd 0400000a ................ + 7d370 0f070000 04587468 616c5f69 6e737472 .....Xthal_instr + 7d380 6f6d5f76 61646472 00000009 f8050300 om_vaddr........ + 7d390 4e87ec01 04587468 616c5f69 6e737472 N....Xthal_instr + 7d3a0 6f6d5f70 61646472 00000009 f8050300 om_paddr........ + 7d3b0 4e87f001 04587468 616c5f69 6e737472 N....Xthal_instr + 7d3c0 6f6d5f73 697a6500 000009f8 0503004e om_size........N + 7d3d0 87f40104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d3e0 6d5f7661 64647200 000009f8 0503004e m_vaddr........N + 7d3f0 87f80104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d400 6d5f7061 64647200 000009f8 0503004e m_paddr........N + 7d410 87fc0104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d420 6d5f7369 7a650000 0009f805 03004e88 m_size........N. + 7d430 00010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d440 5f766164 64720000 0009f805 03004e88 _vaddr........N. + 7d450 04010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d460 5f706164 64720000 0009f805 03004e88 _paddr........N. + 7d470 08010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d480 5f73697a 65000000 09f80503 004e880c _size........N.. + 7d490 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4a0 76616464 72000000 09f80503 004e8810 vaddr........N.. + 7d4b0 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4c0 70616464 72000000 09f80503 004e8814 paddr........N.. + 7d4d0 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4e0 73697a65 00000009 f8050300 4e881801 size........N... + 7d4f0 04587468 616c5f78 6c6d695f 76616464 .Xthal_xlmi_vadd + 7d500 72000000 09f80503 004e881c 01045874 r........N....Xt + 7d510 68616c5f 786c6d69 5f706164 64720000 hal_xlmi_paddr.. + 7d520 0009f805 03004e88 20010458 7468616c ......N. ..Xthal + 7d530 5f786c6d 695f7369 7a650000 0009f805 _xlmi_size...... + 7d540 03004e88 24010458 7468616c 5f686176 ..N.$..Xthal_hav + 7d550 655f6363 6f756e74 00000001 f6050300 e_ccount........ + 7d560 4e87e801 04587468 616c5f6e 756d5f63 N....Xthal_num_c + 7d570 636f6d70 61726500 000001f6 0503004e compare........N + 7d580 87e90100 00000000 cf000200 002e2004 .............. . + 7d590 010001c0 b2008e62 ec008e64 232f686f .......b...d#/ho + 7d5a0 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 7d5b0 2f52422d 32303037 2e322f74 6f6f6c73 /RB-2007.2/tools + 7d5c0 2f737774 6f6f6c73 2d783836 2d6c696e /swtools-x86-lin + 7d5d0 75782f78 74656e73 612d656c 662f7372 ux/xtensa-elf/sr + 7d5e0 632f6861 6c2f7769 6e646f77 7370696c c/hal/windowspil + 7d5f0 6c5f6173 6d2e5300 2f70726f 6a656374 l_asm.S./project + 7d600 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- + 7d610 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath + 7d620 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie + 7d630 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild + 7d640 2f4f532f 68616c00 474e5520 41532032 /OS/hal.GNU AS 2 + 7d650 2e31362e 31008001 000000c7 00020000 .16.1........... + 7d660 2e340401 0001c3e7 008e6844 008e684c .4........hD..hL + 7d670 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t + 7d680 7265652f 52422d32 3030372e 322f746f ree/RB-2007.2/to + 7d690 6f6c732f 7377746f 6f6c732d 7838362d ols/swtools-x86- + 7d6a0 6c696e75 782f7874 656e7361 2d656c66 linux/xtensa-elf + 7d6b0 2f737263 2f68616c 2f696e74 5f61736d /src/hal/int_asm + 7d6c0 2e53002f 70726f6a 6563742f 63757374 .S./project/cust + 7d6d0 2f67656e 6170702f 52422d32 3030372e /genapp/RB-2007. + 7d6e0 322f6275 696c642f 61746865 726f732f 2/build/atheros/ + 7d6f0 70726f64 2f4d6167 7069655f 50302f38 prod/Magpie_P0/8 + 7d700 33373433 2f786275 696c642f 4f532f68 3743/xbuild/OS/h + 7d710 616c0047 4e552041 5320322e 31362e31 al.GNU AS 2.16.1 + 7d720 00800100 0000c700 0200002e 48040100 ............H... + 7d730 01c47300 8e684c00 8e68542f 686f6d65 ..s..hL..hT/home + 7d740 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 7d750 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s + 7d760 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux + 7d770 2f787465 6e73612d 656c662f 7372632f /xtensa-elf/src/ + 7d780 68616c2f 696e745f 61736d2e 53002f70 hal/int_asm.S./p + 7d790 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 7d7a0 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 7d7b0 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 7d7c0 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 7d7d0 78627569 6c642f4f 532f6861 6c00474e xbuild/OS/hal.GN + 7d7e0 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 7d7f0 00c70002 00002e5c 04010001 c500008e .......\........ + 7d800 6854008e 685c2f68 6f6d652f 63757374 hT..h\/home/cust + 7d810 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 7d820 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 7d830 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 7d840 73612d65 6c662f73 72632f68 616c2f69 sa-elf/src/hal/i + 7d850 6e745f61 736d2e53 002f7072 6f6a6563 nt_asm.S./projec + 7d860 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 7d870 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 7d880 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 7d890 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 7d8a0 642f4f53 2f68616c 00474e55 20415320 d/OS/hal.GNU AS + 7d8b0 322e3136 2e310080 01000002 25000200 2.16.1......%... + 7d8c0 002e7004 012f686f 6d652f63 7573746f ..p../home/custo + 7d8d0 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 7d8e0 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 7d8f0 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 7d900 612d656c 662f7372 632f6861 6c2f696e a-elf/src/hal/in + 7d910 74657272 75707473 2e63002f 70726f6a terrupts.c./proj + 7d920 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 7d930 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 7d940 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 7d950 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 7d960 696c642f 4f532f68 616c0078 742d7863 ild/OS/hal.xt-xc + 7d970 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 7d980 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 7d990 6f6e733d 3332202d 4f32202d 67330001 ons=32 -O2 -g3.. + 7d9a0 0002756e 7369676e 65642063 68617200 ..unsigned char. + 7d9b0 07010275 6e736967 6e656420 696e7400 ...unsigned int. + 7d9c0 07040300 0000f940 00000116 040f0005 .......@........ + 7d9d0 000000f9 01400000 01260404 040f0005 .....@...&...... + 7d9e0 000000f9 01000000 01360403 040f0006 .........6...... + 7d9f0 58744861 6c565072 69537461 74650002 XtHalVPriState.. + 7da00 50000001 de077670 72690000 0000e802 P.....vpri...... + 7da10 2300076c 6f636b6c 6576656c 00000000 #..locklevel.... + 7da20 e8022301 076c6f63 6b767072 69000000 ..#..lockvpri... + 7da30 00e80223 02077061 64300000 0000e802 ...#..pad0...... + 7da40 23030765 6e61626c 65640000 0000f902 #..enabled...... + 7da50 2304076c 6f636b6d 61736b00 000000f9 #..lockmask..... + 7da60 02230807 70616431 00000000 f902230c .#..pad1......#. + 7da70 07656e61 626c656d 61700000 00011602 .enablemap...... + 7da80 23100772 65736f6c 76656d61 70000000 #..resolvemap... + 7da90 01260323 d0020008 000000e8 08000000 .&.#............ + 7daa0 e8030000 01e32000 0001f504 1f000800 ...... ......... + 7dab0 0001e808 000000e8 03000001 fa200000 ............. .. + 7dac0 020c041f 00095874 68616c5f 696e746c ......Xthal_intl + 7dad0 6576656c 00000001 f5050300 4e883001 evel........N.0. + 7dae0 00000000 00f00002 00002ed2 04010001 ................ + 7daf0 c779008e 685c008e 68c42f68 6f6d652f .y..h\..h./home/ + 7db00 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 7db10 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw + 7db20 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ + 7db30 7874656e 73612d65 6c662f73 72632f6c xtensa-elf/src/l + 7db40 69626763 632d7863 632f636f 6e666967 ibgcc-xcc/config + 7db50 2f787465 6e73612f 6c696231 66756e63 /xtensa/lib1func + 7db60 732e6173 6d002f70 726f6a65 63742f63 s.asm./project/c + 7db70 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 + 7db80 30372e32 2f627569 6c642f61 74686572 07.2/build/ather + 7db90 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P + 7dba0 302f3833 3734332f 78627569 6c642f54 0/83743/xbuild/T + 7dbb0 61726765 742d6c69 62732f6c 69626763 arget-libs/libgc + 7dbc0 632d7863 6300474e 55204153 20322e31 c-xcc.GNU AS 2.1 + 7dbd0 362e3100 80010000 00f00002 00002ee6 6.1............. + 7dbe0 04010001 c8e5008e 68c4008e 690d2f68 ........h...i./h + 7dbf0 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 7dc00 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool + 7dc10 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li + 7dc20 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s + 7dc30 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co + 7dc40 6e666967 2f787465 6e73612f 6c696231 nfig/xtensa/lib1 + 7dc50 66756e63 732e6173 6d002f70 726f6a65 funcs.asm./proje + 7dc60 63742f63 7573742f 67656e61 70702f52 ct/cust/genapp/R + 7dc70 422d3230 30372e32 2f627569 6c642f61 B-2007.2/build/a + 7dc80 74686572 6f732f70 726f642f 4d616770 theros/prod/Magp + 7dc90 69655f50 302f3833 3734332f 78627569 ie_P0/83743/xbui + 7dca0 6c642f54 61726765 742d6c69 62732f6c ld/Target-libs/l + 7dcb0 69626763 632d7863 6300474e 55204153 ibgcc-xcc.GNU AS + 7dcc0 20322e31 362e3100 80010000 00f00002 2.16.1......... + 7dcd0 00002efa 04010001 ca09008e 6910008e ............i... + 7dce0 69602f68 6f6d652f 63757374 6f6d6572 i`/home/customer + 7dcf0 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 7dd00 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 + 7dd10 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e + 7dd20 6c662f73 72632f6c 69626763 632d7863 lf/src/libgcc-xc + 7dd30 632f636f 6e666967 2f787465 6e73612f c/config/xtensa/ + 7dd40 6c696231 66756e63 732e6173 6d002f70 lib1funcs.asm./p + 7dd50 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 7dd60 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 7dd70 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 7dd80 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 7dd90 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li + 7dda0 62732f6c 69626763 632d7863 6300474e bs/libgcc-xcc.GN + 7ddb0 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 7ddc0 00f00002 00002f0e 04010001 cb3f008e ....../......?.. + 7ddd0 6960008e 699d2f68 6f6d652f 63757374 i`..i./home/cust + 7dde0 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 7ddf0 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 7de00 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 7de10 73612d65 6c662f73 72632f6c 69626763 sa-elf/src/libgc + 7de20 632d7863 632f636f 6e666967 2f787465 c-xcc/config/xte + 7de30 6e73612f 6c696231 66756e63 732e6173 nsa/lib1funcs.as + 7de40 6d002f70 726f6a65 63742f63 7573742f m./project/cust/ + 7de50 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 + 7de60 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p + 7de70 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 + 7de80 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe + 7de90 742d6c69 62732f6c 69626763 632d7863 t-libs/libgcc-xc + 7dea0 6300474e 55204153 20322e31 362e3100 c.GNU AS 2.16.1. + 7deb0 80010000 0b3c0002 00002f22 04012f68 .....<..../"../h + 7dec0 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 7ded0 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro + 7dee0 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target + 7def0 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new + 7df00 6c69622f 6c696263 2f737472 696e672f lib/libc/string/ + 7df10 6d656d63 6d702e63 002f7072 6f6a6563 memcmp.c./projec + 7df20 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 7df30 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 7df40 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 7df50 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 7df60 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne + 7df70 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ + 7df80 6e65776c 69622f6c 6962632f 73747269 newlib/libc/stri + 7df90 6e670078 742d7863 6320666f 7220372e ng.xt-xcc for 7. + 7dfa0 312e3020 2d4f5054 3a616c69 676e5f69 1.0 -OPT:align_i + 7dfb0 6e737472 75637469 6f6e733d 3332202d nstructions=32 - + 7dfc0 4f32202d 67330001 000001cc 4502756e O2 -g3......E.un + 7dfd0 7369676e 65642069 6e740007 04037769 signed int....wi + 7dfe0 6e745f74 00000001 1b02756e 7369676e nt_t......unsign + 7dff0 65642063 68617200 07010400 00013704 ed char.......7. + 7e000 00000155 05030006 04000001 79075f5f ...U........y.__ + 7e010 77636800 0000012b 02230007 5f5f7763 wch....+.#..__wc + 7e020 68620000 00014802 23000002 696e7400 hb....H.#...int. + 7e030 05040808 000001a7 075f5f63 6f756e74 .........__count + 7e040 00000001 79022300 075f5f76 616c7565 ....y.#..__value + 7e050 00000001 55022304 00095f42 6967696e ....U.#..._Bigin + 7e060 74001800 00020507 5f6e6578 74000000 t......._next... + 7e070 02050223 00075f6b 00000001 79022304 ...#.._k....y.#. + 7e080 075f6d61 78776473 00000001 79022308 ._maxwds....y.#. + 7e090 075f7369 676e0000 00017902 230c075f ._sign....y.#.._ + 7e0a0 77647300 00000179 02231007 5f780000 wds....y.#.._x.. + 7e0b0 00022e02 2314000a 000001a7 0400026c ....#..........l + 7e0c0 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 7e0d0 00070403 5f5f554c 6f6e6700 0000020c ....__ULong..... + 7e0e0 04000002 21040000 023b0500 000a0000 ....!....;...... + 7e0f0 01a70400 095f5f74 6d002400 0002ee07 .....__tm.$..... + 7e100 5f5f746d 5f736563 00000001 79022300 __tm_sec....y.#. + 7e110 075f5f74 6d5f6d69 6e000000 01790223 .__tm_min....y.# + 7e120 04075f5f 746d5f68 6f757200 00000179 ..__tm_hour....y + 7e130 02230807 5f5f746d 5f6d6461 79000000 .#..__tm_mday... + 7e140 01790223 0c075f5f 746d5f6d 6f6e0000 .y.#..__tm_mon.. + 7e150 00017902 2310075f 5f746d5f 79656172 ..y.#..__tm_year + 7e160 00000001 79022314 075f5f74 6d5f7764 ....y.#..__tm_wd + 7e170 61790000 00017902 2318075f 5f746d5f ay....y.#..__tm_ + 7e180 79646179 00000001 7902231c 075f5f74 yday....y.#..__t + 7e190 6d5f6973 64737400 00000179 02232000 m_isdst....y.# . + 7e1a0 0b040004 000002ee 80000002 fe051f00 ................ + 7e1b0 0c5f6f6e 5f657869 745f6172 67730001 ._on_exit_args.. + 7e1c0 08000003 5c075f66 6e617267 73000000 ....\._fnargs... + 7e1d0 02f10223 00075f64 736f5f68 616e646c ...#.._dso_handl + 7e1e0 65000000 02f10323 8001075f 666e7479 e......#..._fnty + 7e1f0 70657300 00000221 03238002 075f6973 pes....!.#..._is + 7e200 5f637861 00000002 21032384 02000c5f _cxa....!.#...._ + 7e210 61746578 69740001 90000003 ab075f6e atexit........_n + 7e220 65787400 000003ab 02230007 5f696e64 ext......#.._ind + 7e230 00000001 79022304 075f666e 73000000 ....y.#.._fns... + 7e240 03bb0223 08075f6f 6e5f6578 69745f61 ...#.._on_exit_a + 7e250 72677300 000002fe 03238801 000a0000 rgs......#...... + 7e260 035c0400 0d010a00 0003b204 00040000 .\.............. + 7e270 03b48000 0003c805 1f000a00 00035c04 ..............\. + 7e280 000a0000 01370400 095f5f73 62756600 .....7...__sbuf. + 7e290 08000004 00075f62 61736500 000003cf ......_base..... + 7e2a0 02230007 5f73697a 65000000 01790223 .#.._size....y.# + 7e2b0 04000273 686f7274 20696e74 00050202 ...short int.... + 7e2c0 63686172 0007010a 0000040d 04000e00 char............ + 7e2d0 00017901 0a000004 1c04000f 0000040d ..y............. + 7e2e0 0f000004 0d0a0000 042e0400 0e000001 ................ + 7e2f0 79010a00 00043a04 00026c6f 6e672069 y.....:...long i + 7e300 6e740005 04035f66 706f735f 74000000 nt...._fpos_t... + 7e310 04470e00 00045301 0a000004 6004000e .G....S.....`... + 7e320 00000179 010a0000 046d0400 04000001 ...y.....m...... + 7e330 37030000 04870502 00040000 01370100 7............7.. + 7e340 00049405 00000c5f 7265656e 74000400 ......._reent... + 7e350 0000061b 075f6572 726e6f00 00000179 ....._errno....y + 7e360 02230007 5f737464 696e0000 0007cf02 .#.._stdin...... + 7e370 2304075f 7374646f 75740000 0007cf02 #.._stdout...... + 7e380 2308075f 73746465 72720000 0007cf02 #.._stderr...... + 7e390 230c075f 696e6300 00000179 02231007 #.._inc....y.#.. + 7e3a0 5f656d65 7267656e 63790000 000a7e02 _emergency....~. + 7e3b0 2314075f 63757272 656e745f 63617465 #.._current_cate + 7e3c0 676f7279 00000001 79022330 075f6375 gory....y.#0._cu + 7e3d0 7272656e 745f6c6f 63616c65 00000004 rrent_locale.... + 7e3e0 33022334 075f5f73 64696469 6e697400 3.#4.__sdidinit. + 7e3f0 00000179 02233807 5f5f636c 65616e75 ...y.#8.__cleanu + 7e400 70000000 0a8d0223 3c075f72 6573756c p......#<._resul + 7e410 74000000 023b0223 40075f72 6573756c t....;.#@._resul + 7e420 745f6b00 00000179 02234407 5f703573 t_k....y.#D._p5s + 7e430 00000002 3b022348 075f6672 65656c69 ....;.#H._freeli + 7e440 73740000 000a9402 234c075f 6376746c st......#L._cvtl + 7e450 656e0000 00017902 2350075f 63767462 en....y.#P._cvtb + 7e460 75660000 00041502 2354075f 6e657700 uf......#T._new. + 7e470 00000a58 02235807 5f617465 78697400 ...X.#X._atexit. + 7e480 000003c8 0323c802 075f6174 65786974 .....#..._atexit + 7e490 30000000 035c0323 cc02075f 7369675f 0....\.#..._sig_ + 7e4a0 66756e63 0000000a a40323dc 05075f5f func......#...__ + 7e4b0 73676c75 65000000 07840323 e005075f sglue......#..._ + 7e4c0 5f736600 00000aab 0323ec05 000a0000 _sf......#...... + 7e4d0 04940400 035f4c4f 434b5f52 45435552 ....._LOCK_RECUR + 7e4e0 53495645 5f540000 00017903 5f666c6f SIVE_T....y._flo + 7e4f0 636b5f74 00000006 22095f5f 7346494c ck_t....".__sFIL + 7e500 45005c00 00078407 5f700000 0003cf02 E.\....._p...... + 7e510 2300075f 72000000 01790223 04075f77 #.._r....y.#.._w + 7e520 00000001 79022308 075f666c 61677300 ....y.#.._flags. + 7e530 00000400 02230c07 5f66696c 65000000 .....#.._file... + 7e540 04000223 0e075f62 66000000 03d60223 ...#.._bf......# + 7e550 10075f6c 62667369 7a650000 00017902 .._lbfsize....y. + 7e560 2318075f 636f6f6b 69650000 0002ee02 #.._cookie...... + 7e570 231c075f 72656164 00000004 22022320 #.._read....".# + 7e580 075f7772 69746500 00000440 02232407 ._write....@.#$. + 7e590 5f736565 6b000000 04660223 28075f63 _seek....f.#(._c + 7e5a0 6c6f7365 00000004 7302232c 075f7562 lose....s.#,._ub + 7e5b0 00000003 d6022330 075f7570 00000003 ......#0._up.... + 7e5c0 cf022338 075f7572 00000001 7902233c ..#8._ur....y.#< + 7e5d0 075f7562 75660000 00047a02 2340075f ._ubuf....z.#@._ + 7e5e0 6e627566 00000004 87022343 075f6c62 nbuf......#C._lb + 7e5f0 00000003 d6022344 075f626c 6b73697a ......#D._blksiz + 7e600 65000000 01790223 4c075f6f 66667365 e....y.#L._offse + 7e610 74000000 01790223 50075f64 61746100 t....y.#P._data. + 7e620 0000061b 02235407 5f6c6f63 6b000000 .....#T._lock... + 7e630 06390223 5800095f 676c7565 000c0000 .9.#X.._glue.... + 7e640 07bc075f 6e657874 00000007 bc022300 ..._next......#. + 7e650 075f6e69 6f627300 00000179 02230407 ._niobs....y.#.. + 7e660 5f696f62 73000000 07cf0223 08000a00 _iobs......#.... + 7e670 00078404 00035f5f 46494c45 00000006 ......__FILE.... + 7e680 470a0000 07c30400 0a000007 84040002 G............... + 7e690 73686f72 7420756e 7369676e 65642069 short unsigned i + 7e6a0 6e740007 02040000 07dd0600 00080005 nt.............. + 7e6b0 0200095f 72616e64 3438000e 00000838 ..._rand48.....8 + 7e6c0 075f7365 65640000 0007f302 2300075f ._seed......#.._ + 7e6d0 6d756c74 00000007 f3022306 075f6164 mult......#.._ad + 7e6e0 64000000 07dd0223 0c000400 00040d1a d......#........ + 7e6f0 00000845 05190002 6c6f6e67 206c6f6e ...E....long lon + 7e700 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 7e710 08035f6d 62737461 74655f74 00000001 .._mbstate_t.... + 7e720 80040000 040d0800 00087c05 07000400 ..........|..... + 7e730 00040d18 00000889 05170008 d000000a ................ + 7e740 17075f75 6e757365 645f7261 6e640000 .._unused_rand.. + 7e750 00011b02 2300075f 73747274 6f6b5f6c ....#.._strtok_l + 7e760 61737400 00000415 02230407 5f617363 ast......#.._asc + 7e770 74696d65 5f627566 00000008 38022308 time_buf....8.#. + 7e780 075f6c6f 63616c74 696d655f 62756600 ._localtime_buf. + 7e790 00000242 02232407 5f67616d 6d615f73 ...B.#$._gamma_s + 7e7a0 69676e67 616d0000 00017902 2348075f igngam....y.#H._ + 7e7b0 72616e64 5f6e6578 74000000 08450223 rand_next....E.# + 7e7c0 50075f72 34380000 00080002 2358075f P._r48......#X._ + 7e7d0 6d626c65 6e5f7374 61746500 0000085f mblen_state...._ + 7e7e0 02236807 5f6d6274 6f77635f 73746174 .#h._mbtowc_stat + 7e7f0 65000000 085f0223 70075f77 63746f6d e...._.#p._wctom + 7e800 625f7374 61746500 0000085f 02237807 b_state...._.#x. + 7e810 5f6c3634 615f6275 66000000 086f0323 _l64a_buf....o.# + 7e820 8001075f 7369676e 616c5f62 75660000 ..._signal_buf.. + 7e830 00087c03 23880107 5f676574 64617465 ..|.#..._getdate + 7e840 5f657272 00000001 790323a0 01075f6d _err....y.#..._m + 7e850 62726c65 6e5f7374 61746500 0000085f brlen_state...._ + 7e860 0323a401 075f6d62 72746f77 635f7374 .#..._mbrtowc_st + 7e870 61746500 0000085f 0323ac01 075f6d62 ate...._.#..._mb + 7e880 7372746f 7763735f 73746174 65000000 srtowcs_state... + 7e890 085f0323 b401075f 77637274 6f6d625f ._.#..._wcrtomb_ + 7e8a0 73746174 65000000 085f0323 bc01075f state...._.#..._ + 7e8b0 77637372 746f6d62 735f7374 61746500 wcsrtombs_state. + 7e8c0 0000085f 0323c401 00040000 03cf7800 ..._.#........x. + 7e8d0 000a2405 1d000400 00011b78 00000a31 ..$........x...1 + 7e8e0 051d0008 f000000a 58075f6e 65787466 ........X._nextf + 7e8f0 0000000a 17022300 075f6e6d 616c6c6f ......#.._nmallo + 7e900 63000000 0a240223 780006f0 00000a7e c....$.#x......~ + 7e910 075f7265 656e7400 00000889 02230007 ._reent......#.. + 7e920 5f756e75 73656400 00000a31 02230000 _unused....1.#.. + 7e930 04000004 0d190000 0a8b0518 000d010a ................ + 7e940 00000a8b 04000a00 00023b04 000d010a ..........;..... + 7e950 00000a9b 04000a00 000a9d04 00100000 ................ + 7e960 07c30114 00000ab9 05020011 110a0000 ................ + 7e970 0aba0400 0373697a 655f7400 0000011b .....size_t..... + 7e980 0e000001 79010a00 00020c04 00120139 ....y..........9 + 7e990 6d656d63 6d700000 00017901 01039201 memcmp....y..... + 7e9a0 20029000 008e69a0 008e69e5 1301396d .....i...i...9m + 7e9b0 31000000 0abb0152 1301396d 32000000 1......R..9m2... + 7e9c0 0abb0153 1301396e 0000000a c2015414 ...S..9n......T. + 7e9d0 73310000 0003cf14 73320000 0003cf14 s1......s2...... + 7e9e0 61310000 000ad414 61320000 000ad400 a1......a2...... + 7e9f0 00000000 01090002 00002ff9 04010001 ........../..... + 7ea00 cf35008e 69e8008e 6b1d2f68 6f6d652f .5..i...k./home/ + 7ea10 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 7ea20 2d323030 372e322f 7034726f 6f742f58 -2007.2/p4root/X + 7ea30 74656e73 612f5461 72676574 2d6c6962 tensa/Target-lib + 7ea40 732f6e65 776c6962 2f6e6577 6c69622f s/newlib/newlib/ + 7ea50 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte + 7ea60 6e73612f 6d656d63 70792e53 002f7072 nsa/memcpy.S./pr + 7ea70 6f6a6563 742f6375 73742f67 656e6170 oject/cust/genap + 7ea80 702f5242 2d323030 372e322f 6275696c p/RB-2007.2/buil + 7ea90 642f6174 6865726f 732f7072 6f642f4d d/atheros/prod/M + 7eaa0 61677069 655f5030 2f383337 34332f78 agpie_P0/83743/x + 7eab0 6275696c 642f5461 72676574 2d6c6962 build/Target-lib + 7eac0 732f6e65 776c6962 2f787465 6e73612d s/newlib/xtensa- + 7ead0 656c662f 6e65776c 69622f6c 6962632f elf/newlib/libc/ + 7eae0 6d616368 696e652f 7874656e 73610047 machine/xtensa.G + 7eaf0 4e552041 5320322e 31362e31 00800100 NU AS 2.16.1.... + 7eb00 000b7d00 02000030 0d04012f 686f6d65 ..}....0.../home + 7eb10 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 7eb20 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 7eb30 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 7eb40 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 7eb50 2f6c6962 632f7374 72696e67 2f6d656d /libc/string/mem + 7eb60 6d6f7665 2e63002f 70726f6a 6563742f move.c./project/ + 7eb70 63757374 2f67656e 6170702f 52422d32 cust/genapp/RB-2 + 7eb80 3030372e 322f6275 696c642f 61746865 007.2/build/athe + 7eb90 726f732f 70726f64 2f4d6167 7069655f ros/prod/Magpie_ + 7eba0 50302f38 33373433 2f786275 696c642f P0/83743/xbuild/ + 7ebb0 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 7ebc0 69622f78 74656e73 612d656c 662f6e65 ib/xtensa-elf/ne + 7ebd0 776c6962 2f6c6962 632f7374 72696e67 wlib/libc/string + 7ebe0 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7ebf0 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7ec00 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7ec10 202d6733 00010000 01d28a02 756e7369 -g3........unsi + 7ec20 676e6564 20696e74 00070403 77696e74 gned int....wint + 7ec30 5f740000 00011c02 756e7369 676e6564 _t......unsigned + 7ec40 20636861 72000701 04000001 38040000 char.......8... + 7ec50 01560503 00060400 00017a07 5f5f7763 .V........z.__wc + 7ec60 68000000 012c0223 00075f5f 77636862 h....,.#..__wchb + 7ec70 00000001 49022300 0002696e 74000504 ....I.#...int... + 7ec80 08080000 01a8075f 5f636f75 6e740000 .......__count.. + 7ec90 00017a02 2300075f 5f76616c 75650000 ..z.#..__value.. + 7eca0 00015602 23040009 5f426967 696e7400 ..V.#..._Bigint. + 7ecb0 18000002 06075f6e 65787400 00000206 ......_next..... + 7ecc0 02230007 5f6b0000 00017a02 2304075f .#.._k....z.#.._ + 7ecd0 6d617877 64730000 00017a02 2308075f maxwds....z.#.._ + 7ece0 7369676e 00000001 7a02230c 075f7764 sign....z.#.._wd + 7ecf0 73000000 017a0223 10075f78 00000002 s....z.#.._x.... + 7ed00 2f022314 000a0000 01a80400 026c6f6e /.#..........lon + 7ed10 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 7ed20 04035f5f 554c6f6e 67000000 020d0400 ..__ULong....... + 7ed30 00022204 0000023c 0500000a 000001a8 .."....<........ + 7ed40 0400095f 5f746d00 24000002 ef075f5f ...__tm.$.....__ + 7ed50 746d5f73 65630000 00017a02 2300075f tm_sec....z.#.._ + 7ed60 5f746d5f 6d696e00 0000017a 02230407 _tm_min....z.#.. + 7ed70 5f5f746d 5f686f75 72000000 017a0223 __tm_hour....z.# + 7ed80 08075f5f 746d5f6d 64617900 0000017a ..__tm_mday....z + 7ed90 02230c07 5f5f746d 5f6d6f6e 00000001 .#..__tm_mon.... + 7eda0 7a022310 075f5f74 6d5f7965 61720000 z.#..__tm_year.. + 7edb0 00017a02 2314075f 5f746d5f 77646179 ..z.#..__tm_wday + 7edc0 00000001 7a022318 075f5f74 6d5f7964 ....z.#..__tm_yd + 7edd0 61790000 00017a02 231c075f 5f746d5f ay....z.#..__tm_ + 7ede0 69736473 74000000 017a0223 20000b04 isdst....z.# ... + 7edf0 00040000 02ef8000 0002ff05 1f000c5f ..............._ + 7ee00 6f6e5f65 7869745f 61726773 00010800 on_exit_args.... + 7ee10 00035d07 5f666e61 72677300 000002f2 ..]._fnargs..... + 7ee20 02230007 5f64736f 5f68616e 646c6500 .#.._dso_handle. + 7ee30 000002f2 03238001 075f666e 74797065 .....#..._fntype + 7ee40 73000000 02220323 8002075f 69735f63 s....".#..._is_c + 7ee50 78610000 00022203 23840200 0c5f6174 xa....".#...._at + 7ee60 65786974 00019000 0003ac07 5f6e6578 exit........_nex + 7ee70 74000000 03ac0223 00075f69 6e640000 t......#.._ind.. + 7ee80 00017a02 2304075f 666e7300 000003bc ..z.#.._fns..... + 7ee90 02230807 5f6f6e5f 65786974 5f617267 .#.._on_exit_arg + 7eea0 73000000 02ff0323 8801000a 0000035d s......#.......] + 7eeb0 04000d01 0a000003 b3040004 000003b5 ................ + 7eec0 80000003 c9051f00 0a000003 5d04000a ............]... + 7eed0 00000138 0400095f 5f736275 66000800 ...8...__sbuf... + 7eee0 00040107 5f626173 65000000 03d00223 ...._base......# + 7eef0 00075f73 697a6500 0000017a 02230400 .._size....z.#.. + 7ef00 0273686f 72742069 6e740005 02026368 .short int....ch + 7ef10 61720007 010a0000 040e0400 0e000001 ar.............. + 7ef20 7a010a00 00041d04 000f0000 040e0f00 z............... + 7ef30 00040e0a 0000042f 04000e00 00017a01 ......./......z. + 7ef40 0a000004 3b040002 6c6f6e67 20696e74 ....;...long int + 7ef50 00050403 5f66706f 735f7400 00000448 ...._fpos_t....H + 7ef60 0e000004 54010a00 00046104 000e0000 ....T.....a..... + 7ef70 017a010a 0000046e 04000400 00013803 .z.....n......8. + 7ef80 00000488 05020004 00000138 01000004 ...........8.... + 7ef90 95050000 0c5f7265 656e7400 04000000 ....._reent..... + 7efa0 061c075f 6572726e 6f000000 017a0223 ..._errno....z.# + 7efb0 00075f73 7464696e 00000007 d0022304 .._stdin......#. + 7efc0 075f7374 646f7574 00000007 d0022308 ._stdout......#. + 7efd0 075f7374 64657272 00000007 d002230c ._stderr......#. + 7efe0 075f696e 63000000 017a0223 10075f65 ._inc....z.#.._e + 7eff0 6d657267 656e6379 0000000a 7f022314 mergency......#. + 7f000 075f6375 7272656e 745f6361 7465676f ._current_catego + 7f010 72790000 00017a02 2330075f 63757272 ry....z.#0._curr + 7f020 656e745f 6c6f6361 6c650000 00043402 ent_locale....4. + 7f030 2334075f 5f736469 64696e69 74000000 #4.__sdidinit... + 7f040 017a0223 38075f5f 636c6561 6e757000 .z.#8.__cleanup. + 7f050 00000a8e 02233c07 5f726573 756c7400 .....#<._result. + 7f060 0000023c 02234007 5f726573 756c745f ...<.#@._result_ + 7f070 6b000000 017a0223 44075f70 35730000 k....z.#D._p5s.. + 7f080 00023c02 2348075f 66726565 6c697374 ..<.#H._freelist + 7f090 0000000a 9502234c 075f6376 746c656e ......#L._cvtlen + 7f0a0 00000001 7a022350 075f6376 74627566 ....z.#P._cvtbuf + 7f0b0 00000004 16022354 075f6e65 77000000 ......#T._new... + 7f0c0 0a590223 58075f61 74657869 74000000 .Y.#X._atexit... + 7f0d0 03c90323 c802075f 61746578 69743000 ...#..._atexit0. + 7f0e0 0000035d 0323cc02 075f7369 675f6675 ...].#..._sig_fu + 7f0f0 6e630000 000aa503 23dc0507 5f5f7367 nc......#...__sg + 7f100 6c756500 00000785 0323e005 075f5f73 lue......#...__s + 7f110 66000000 0aac0323 ec05000a 00000495 f......#........ + 7f120 0400035f 4c4f434b 5f524543 55525349 ..._LOCK_RECURSI + 7f130 56455f54 00000001 7a035f66 6c6f636b VE_T....z._flock + 7f140 5f740000 00062309 5f5f7346 494c4500 _t....#.__sFILE. + 7f150 5c000007 85075f70 00000003 d0022300 \....._p......#. + 7f160 075f7200 0000017a 02230407 5f770000 ._r....z.#.._w.. + 7f170 00017a02 2308075f 666c6167 73000000 ..z.#.._flags... + 7f180 04010223 0c075f66 696c6500 00000401 ...#.._file..... + 7f190 02230e07 5f626600 000003d7 02231007 .#.._bf......#.. + 7f1a0 5f6c6266 73697a65 00000001 7a022318 _lbfsize....z.#. + 7f1b0 075f636f 6f6b6965 00000002 ef02231c ._cookie......#. + 7f1c0 075f7265 61640000 00042302 2320075f ._read....#.# ._ + 7f1d0 77726974 65000000 04410223 24075f73 write....A.#$._s + 7f1e0 65656b00 00000467 02232807 5f636c6f eek....g.#(._clo + 7f1f0 73650000 00047402 232c075f 75620000 se....t.#,._ub.. + 7f200 0003d702 2330075f 75700000 0003d002 ....#0._up...... + 7f210 2338075f 75720000 00017a02 233c075f #8._ur....z.#<._ + 7f220 75627566 00000004 7b022340 075f6e62 ubuf....{.#@._nb + 7f230 75660000 00048802 2343075f 6c620000 uf......#C._lb.. + 7f240 0003d702 2344075f 626c6b73 697a6500 ....#D._blksize. + 7f250 0000017a 02234c07 5f6f6666 73657400 ...z.#L._offset. + 7f260 0000017a 02235007 5f646174 61000000 ...z.#P._data... + 7f270 061c0223 54075f6c 6f636b00 0000063a ...#T._lock....: + 7f280 02235800 095f676c 7565000c 000007bd .#X.._glue...... + 7f290 075f6e65 78740000 0007bd02 2300075f ._next......#.._ + 7f2a0 6e696f62 73000000 017a0223 04075f69 niobs....z.#.._i + 7f2b0 6f627300 000007d0 02230800 0a000007 obs......#...... + 7f2c0 85040003 5f5f4649 4c450000 0006480a ....__FILE....H. + 7f2d0 000007c4 04000a00 00078504 00027368 ..............sh + 7f2e0 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 7f2f0 00070204 000007de 06000008 01050200 ................ + 7f300 095f7261 6e643438 000e0000 0839075f ._rand48.....9._ + 7f310 73656564 00000007 f4022300 075f6d75 seed......#.._mu + 7f320 6c740000 0007f402 2306075f 61646400 lt......#.._add. + 7f330 000007de 02230c00 04000004 0e1a0000 .....#.......... + 7f340 08460519 00026c6f 6e67206c 6f6e6720 .F....long long + 7f350 756e7369 676e6564 20696e74 00070803 unsigned int.... + 7f360 5f6d6273 74617465 5f740000 00018104 _mbstate_t...... + 7f370 0000040e 08000008 7d050700 04000004 ........}....... + 7f380 0e180000 088a0517 0008d000 000a1807 ................ + 7f390 5f756e75 7365645f 72616e64 00000001 _unused_rand.... + 7f3a0 1c022300 075f7374 72746f6b 5f6c6173 ..#.._strtok_las + 7f3b0 74000000 04160223 04075f61 73637469 t......#.._ascti + 7f3c0 6d655f62 75660000 00083902 2308075f me_buf....9.#.._ + 7f3d0 6c6f6361 6c74696d 655f6275 66000000 localtime_buf... + 7f3e0 02430223 24075f67 616d6d61 5f736967 .C.#$._gamma_sig + 7f3f0 6e67616d 00000001 7a022348 075f7261 ngam....z.#H._ra + 7f400 6e645f6e 65787400 00000846 02235007 nd_next....F.#P. + 7f410 5f723438 00000008 01022358 075f6d62 _r48......#X._mb + 7f420 6c656e5f 73746174 65000000 08600223 len_state....`.# + 7f430 68075f6d 62746f77 635f7374 61746500 h._mbtowc_state. + 7f440 00000860 02237007 5f776374 6f6d625f ...`.#p._wctomb_ + 7f450 73746174 65000000 08600223 78075f6c state....`.#x._l + 7f460 3634615f 62756600 00000870 03238001 64a_buf....p.#.. + 7f470 075f7369 676e616c 5f627566 00000008 ._signal_buf.... + 7f480 7d032388 01075f67 65746461 74655f65 }.#..._getdate_e + 7f490 72720000 00017a03 23a00107 5f6d6272 rr....z.#..._mbr + 7f4a0 6c656e5f 73746174 65000000 08600323 len_state....`.# + 7f4b0 a401075f 6d627274 6f77635f 73746174 ..._mbrtowc_stat + 7f4c0 65000000 08600323 ac01075f 6d627372 e....`.#..._mbsr + 7f4d0 746f7763 735f7374 61746500 00000860 towcs_state....` + 7f4e0 0323b401 075f7763 72746f6d 625f7374 .#..._wcrtomb_st + 7f4f0 61746500 00000860 0323bc01 075f7763 ate....`.#..._wc + 7f500 7372746f 6d62735f 73746174 65000000 srtombs_state... + 7f510 08600323 c4010004 000003d0 7800000a .`.#........x... + 7f520 25051d00 04000001 1c780000 0a32051d %........x...2.. + 7f530 0008f000 000a5907 5f6e6578 74660000 ......Y._nextf.. + 7f540 000a1802 2300075f 6e6d616c 6c6f6300 ....#.._nmalloc. + 7f550 00000a25 02237800 06f00000 0a7f075f ...%.#x........_ + 7f560 7265656e 74000000 088a0223 00075f75 reent......#.._u + 7f570 6e757365 64000000 0a320223 00000400 nused....2.#.... + 7f580 00040e19 00000a8c 0518000d 010a0000 ................ + 7f590 0a8c0400 0a000002 3c04000d 010a0000 ........<....... + 7f5a0 0a9c0400 0a00000a 9e040010 000007c4 ................ + 7f5b0 01140000 0aba0502 0011110a 00000abb ................ + 7f5c0 04000373 697a655f 74000000 011c0e00 ...size_t....... + 7f5d0 0002ef01 0a000004 4804000f 00000448 ........H......H + 7f5e0 0f000004 480a0000 0ae10400 12013e6d ....H.........>m + 7f5f0 656d6d6f 76650000 0002ef01 01039201 emmove.......... + 7f600 20029000 008e6b20 008e6ce2 13013e64 .....k ..l...>d + 7f610 73745f76 6f696400 000002ef 01521301 st_void......R.. + 7f620 3e737263 5f766f69 64000000 0abc0153 >src_void......S + 7f630 13013e6c 656e6774 68000000 0ac30154 ..>length......T + 7f640 14647374 00000004 16147372 63000000 .dst......src... + 7f650 0434146c 656e0000 00017a14 616c6967 .4.len....z.alig + 7f660 6e65645f 64737400 00000ad5 14616c69 ned_dst......ali + 7f670 676e6564 5f737263 0000000a e6000000 gned_src........ + 7f680 00000109 00020000 30e40401 0001d5b7 ........0....... + 7f690 008e6ce4 008e6d58 2f686f6d 652f6375 ..l...mX/home/cu + 7f6a0 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 7f6b0 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte + 7f6c0 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ + 7f6d0 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li + 7f6e0 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens + 7f6f0 612f6d65 6d736574 2e53002f 70726f6a a/memset.S./proj + 7f700 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 7f710 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 7f720 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 7f730 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 7f740 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ + 7f750 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el + 7f760 662f6e65 776c6962 2f6c6962 632f6d61 f/newlib/libc/ma + 7f770 6368696e 652f7874 656e7361 00474e55 chine/xtensa.GNU + 7f780 20415320 322e3136 2e310080 01000001 AS 2.16.1...... + 7f790 09000200 0030f804 010001d7 43008e64 .....0......C..d + 7f7a0 24008e65 3c2f686f 6d652f63 7573746f $..e..... + 0120 03010149 130b0b01 13000004 21002f0b ...I........!./. + 0130 00000513 010b0b01 13000006 0d000308 ................ + 0140 4913380a 00000715 00270c00 00081600 I.8......'...... + 0150 03084913 0000090f 0049130b 0b330b00 ..I......I...3.. + 0160 000a0f00 0b0b330b 00000b13 0103080b ......3......... + 0170 0b011300 000c1500 4913270c 00000d26 ........I.'....& + 0180 00491300 000e2e01 3a0b3b0b 03084913 .I......:.;...I. + 0190 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 01a0 0f05003a 0b3b0b03 08491302 0a000010 ...:.;...I...... + 01b0 34000308 49130000 112e013a 0b3b0b03 4...I......:.;.. + 01c0 0849133f 0c270c40 0a2a0a11 01120100 .I.?.'.@.*...... + 01d0 00000111 00100611 01120103 081b0825 ...............% + 01e0 08130500 00000111 00100611 01120103 ................ + 01f0 081b0825 08130500 00000111 00100611 ...%............ + 0200 01120103 081b0825 08130500 00000111 .......%........ + 0210 00100611 01120103 081b0825 08130500 ...........%.... + 0220 00000111 00100611 01120103 081b0825 ...............% + 0230 08130500 00000111 0103081b 08250813 .............%.. + 0240 0b420b10 06000002 1500270c 0000030f .B........'..... + 0250 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. + 0260 0b0b0000 05260049 13000006 15004913 .....&.I......I. + 0270 270c0000 07130103 080b0b01 13000008 '............... + 0280 0d000308 4913380a 00000916 00030849 ....I.8........I + 0290 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. + 02a0 000c0401 03080b0b 01130000 0d280003 .............(.. + 02b0 081c0b00 000e0101 49130b0b 01130000 ........I....... + 02c0 0f21002f 0b000010 17010b0b 01130000 .!./............ + 02d0 1113010b 0b011300 00120d00 4913380a ............I.8. + 02e0 00001304 010b0b01 13000014 0d000308 ................ + 02f0 49130b0b 0c0b0d0b 380a0000 15010149 I.......8......I + 0300 130b0501 13000016 13010308 0b050113 ................ + 0310 00001717 010b0501 13000018 28000308 ............(... + 0320 1c060000 19010149 133c0c01 1300001a .......I.<...... + 0330 21000000 1b340003 08491302 0a3f0c00 !....4...I...?.. + 0340 001c3500 49130000 1d2e013a 0b3b0b03 ..5.I......:.;.. + 0350 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 0360 001e3400 03084913 020a0000 1f340003 ..4...I......4.. + 0370 08491300 00200500 3a0b3b0b 03084913 .I... ..:.;...I. + 0380 020a0000 212e013a 0b3b0b03 08491327 ....!..:.;...I.' + 0390 0c400a2a 0a110112 01011300 00222e01 .@.*.........".. + 03a0 3a0b3b0b 03084913 3f0c270c 400a2a0a :.;...I.?.'.@.*. + 03b0 11011201 01130000 232e013a 0b3b0503 ........#..:.;.. + 03c0 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 03d0 00240500 3a0b3b05 03084913 020a0000 .$..:.;...I..... + 03e0 252e013a 0b3b0503 08270c40 0a2a0a11 %..:.;...'.@.*.. + 03f0 01120101 13000026 2e013a0b 3b050308 .......&..:.;... + 0400 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 0410 0000272e 003a0b3b 0503083f 0c400a2a ..'..:.;...?.@.* + 0420 0a110112 01000028 2e003a0b 3b050308 .......(..:.;... + 0430 49133f0c 270c400a 360b2a0a 11011201 I.?.'.@.6.*..... + 0440 00000001 11010308 1b082508 130b420b ..........%...B. + 0450 10060000 02150027 0c000003 0f004913 .......'......I. + 0460 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... + 0470 00052600 49130000 06150049 13270c00 ..&.I......I.'.. + 0480 00071301 03080b0b 01130000 080d0003 ................ + 0490 08491338 0a000009 16000308 49130000 .I.8........I... + 04a0 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... + 04b0 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... + 04c0 01130000 0e280003 081c0b00 000f0101 .....(.......... + 04d0 49130b0b 01130000 1021002f 0b000011 I........!./.... + 04e0 17010b0b 01130000 1213010b 0b011300 ................ + 04f0 00130d00 4913380a 00001404 010b0b01 ....I.8......... + 0500 13000015 0d000308 49130b0b 0c0b0d0b ........I....... + 0510 380a0000 16010149 130b0501 13000017 8......I........ + 0520 13010308 0b050113 00001817 010b0501 ................ + 0530 13000019 28000308 1c060000 1a340003 ....(........4.. + 0540 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. + 0550 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... + 0560 01130000 1c05003a 0b3b0b03 08491302 .......:.;...I.. + 0570 0a00001d 34000308 49130000 1e2e003a ....4...I......: + 0580 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 0590 0100001f 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 05a0 400a2a0a 11011201 00000001 11010308 @.*............. + 05b0 1b082508 130b420b 10060000 02150027 ..%...B........' + 05c0 0c000003 0f004913 0b0b330b 00000424 ......I...3....$ + 05d0 0003083e 0b0b0b00 00052600 49130000 ...>......&.I... + 05e0 06150049 13270c00 00071301 03080b0b ...I.'.......... + 05f0 01130000 080d0003 08491338 0a000009 .........I.8.... + 0600 16000308 49130000 0a0f000b 0b330b00 ....I........3.. + 0610 000b2600 00000c13 0003080b 0b3c0c00 ..&..........<.. + 0620 000d0401 03080b0b 01130000 0e280003 .............(.. + 0630 081c0b00 000f0101 49130b0b 01130000 ........I....... + 0640 1021002f 0b000011 17010b0b 01130000 .!./............ + 0650 1213010b 0b011300 00130d00 4913380a ............I.8. + 0660 00001404 010b0b01 13000015 0d000308 ................ + 0670 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I + 0680 130b0501 13000017 13010308 0b050113 ................ + 0690 00001817 010b0501 13000019 28000308 ............(... + 06a0 1c060000 1a340003 08491302 0a3f0c00 .....4...I...?.. + 06b0 001b0101 49133c0c 01130000 1c210000 ....I.<......!.. + 06c0 001d2e01 3a0b3b0b 03083f0c 270c400a ....:.;...?.'.@. + 06d0 2a0a1101 12010113 00001e05 003a0b3b *............:.; + 06e0 0b030849 13020a00 001f3400 03084913 ...I......4...I. + 06f0 0000202e 003a0b3b 0b030849 133f0c27 .. ..:.;...I.?.' + 0700 0c400a2a 0a110112 01000021 2e003a0b .@.*.......!..:. + 0710 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 0720 00002234 00030849 13020a00 00232e01 .."4...I.....#.. + 0730 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 0740 12010000 2405003a 0b3b0503 08491302 ....$..:.;...I.. + 0750 0a000000 01110103 081b0825 08130b42 ...........%...B + 0760 0b100600 00021500 270c0000 030f0049 ........'......I + 0770 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 0780 00000526 00491300 00061500 4913270c ...&.I......I.'. + 0790 00000713 0103080b 0b011300 00080d00 ................ + 07a0 03084913 380a0000 09160003 08491300 ..I.8........I.. + 07b0 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 07c0 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 07d0 0b011300 000e2800 03081c0b 00000f01 ......(......... + 07e0 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 07f0 1117010b 0b011300 00121301 0b0b0113 ................ + 0800 0000130d 00491338 0a000014 04010b0b .....I.8........ + 0810 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 0820 0b380a00 00160101 49130b05 01130000 .8......I....... + 0830 17130103 080b0501 13000018 17010b05 ................ + 0840 01130000 19280003 081c0600 001a3400 .....(........4. + 0850 03084913 020a3f0c 00001b35 00491300 ..I...?....5.I.. + 0860 001c2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. + 0870 400a2a0a 11011201 01130000 1d05003a @.*............: + 0880 0b3b0b03 08491302 0a00001e 34000308 .;...I......4... + 0890 49130000 1f2e013a 0b3b0b03 083f0c27 I......:.;...?.' + 08a0 0c400a2a 0a110112 01011300 00203400 .@.*......... 4. + 08b0 03084913 020a0000 212e013a 0b3b0503 ..I.....!..:.;.. + 08c0 083f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." + 08d0 05003a0b 3b050308 4913020a 00000001 ..:.;...I....... + 08e0 11010308 1b082508 130b420b 10060000 ......%...B..... + 08f0 02150027 0c000003 0f004913 0b0b330b ...'......I...3. + 0900 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. + 0910 49130000 06150049 13270c00 00071301 I......I.'...... + 0920 03080b0b 01130000 080d0003 08491338 .............I.8 + 0930 0a000009 16000308 49130000 0a0f000b ........I....... + 0940 0b330b00 000b2600 00000c04 0103080b .3....&......... + 0950 0b011300 000d2800 03081c0b 00000e01 ......(......... + 0960 0149130b 0b011300 000f2100 2f0b0000 .I........!./... + 0970 1017010b 0b011300 00111301 0b0b0113 ................ + 0980 0000120d 00491338 0a000013 04010b0b .....I.8........ + 0990 01130000 140d0003 0849130b 0b0c0b0d .........I...... + 09a0 0b380a00 00150101 49130b05 01130000 .8......I....... + 09b0 16130103 080b0501 13000017 17010b05 ................ + 09c0 01130000 18280003 081c0600 00193400 .....(........4. + 09d0 03084913 020a3f0c 00001a35 00491300 ..I...?....5.I.. + 09e0 001b2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. + 09f0 400a2a0a 11011201 01130000 1c05003a @.*............: + 0a00 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. + 0a10 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 0a20 01130000 1e340003 08491300 001f2e01 .....4...I...... + 0a30 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 0a40 12010000 00011101 03081b08 2508130b ............%... + 0a50 420b1006 00000215 00270c00 00030f00 B........'...... + 0a60 49130b0b 330b0000 04240003 083e0b0b I...3....$...>.. + 0a70 0b000005 26004913 00000615 00491327 ....&.I......I.' + 0a80 0c000007 13010308 0b0b0113 0000080d ................ + 0a90 00030849 13380a00 00091600 03084913 ...I.8........I. + 0aa0 00000a0f 000b0b33 0b00000b 26000000 .......3....&... + 0ab0 0c130003 080b0b3c 0c00000d 04010308 .......<........ + 0ac0 0b0b0113 00000e28 0003081c 0b00000f .......(........ + 0ad0 01014913 0b0b0113 00001021 002f0b00 ..I........!./.. + 0ae0 00111701 0b0b0113 00001213 010b0b01 ................ + 0af0 13000013 0d004913 380a0000 1404010b ......I.8....... + 0b00 0b011300 00150d00 03084913 0b0b0c0b ..........I..... + 0b10 0d0b380a 00001601 0149130b 05011300 ..8......I...... + 0b20 00171301 03080b05 01130000 1817010b ................ + 0b30 05011300 00192800 03081c06 00001a2e ......(......... + 0b40 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 0b50 01120100 001b2e01 3a0b3b0b 03083f0c ........:.;...?. + 0b60 270c400a 2a0a1101 12010000 1c05003a '.@.*..........: + 0b70 0b3b0b03 08491302 0a000000 01110103 .;...I.......... + 0b80 081b0825 08130b42 0b100600 00021500 ...%...B........ + 0b90 270c0000 030f0049 130b0b33 0b000004 '......I...3.... + 0ba0 24000308 3e0b0b0b 00000526 00491300 $...>......&.I.. + 0bb0 00061500 4913270c 00000713 0103080b ....I.'......... + 0bc0 0b011300 00080d00 03084913 380a0000 ..........I.8... + 0bd0 09160003 08491300 000a0f00 0b0b330b .....I........3. + 0be0 00000b26 0000000c 04010308 0b0b0113 ...&............ + 0bf0 00000d28 0003081c 0b00000e 01014913 ...(..........I. + 0c00 0b0b0113 00000f21 002f0b00 00101701 .......!./...... + 0c10 0b0b0113 00001113 010b0b01 13000012 ................ + 0c20 0d004913 380a0000 1304010b 0b011300 ..I.8........... + 0c30 00140d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + 0c40 00001501 0149130b 05011300 00161301 .....I.......... + 0c50 03080b05 01130000 1717010b 05011300 ................ + 0c60 00182800 03081c06 00001935 00491300 ..(........5.I.. + 0c70 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... + 0c80 0149133c 0c011300 001c2100 00001d2e .I.<......!..... + 0c90 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 0ca0 01120100 001e2e01 3a0b3b0b 03083f0c ........:.;...?. + 0cb0 270c400a 2a0a1101 12010113 00001f05 '.@.*........... + 0cc0 003a0b3b 0b030849 13020a00 00203400 .:.;...I..... 4. + 0cd0 03084913 0000212e 003a0b3b 0b030849 ..I...!..:.;...I + 0ce0 133f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." + 0cf0 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. + 0d00 2a0a1101 12010113 00002334 00030849 *.........#4...I + 0d10 13020a00 00242e01 3a0b3b0b 03083f0c .....$..:.;...?. + 0d20 270c400a 2a0a1101 12010000 00011101 '.@.*........... + 0d30 03081b08 2508130b 420b1006 00000215 ....%...B....... + 0d40 00270c00 00030f00 49130b0b 330b0000 .'......I...3... + 0d50 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. + 0d60 00000615 00491327 0c000007 13010308 .....I.'........ + 0d70 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. + 0d80 00091600 03084913 00000a0f 000b0b33 ......I........3 + 0d90 0b00000b 26000000 0c130003 080b0b3c ....&..........< + 0da0 0c00000d 04010308 0b0b0113 00000e28 ...............( + 0db0 0003081c 0b00000f 01014913 0b0b0113 ..........I..... + 0dc0 00001021 002f0b00 00111701 0b0b0113 ...!./.......... + 0dd0 00001213 010b0b01 13000013 0d004913 ..............I. + 0de0 380a0000 1404010b 0b011300 00150d00 8............... + 0df0 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... + 0e00 0149130b 05011300 00171301 03080b05 .I.............. + 0e10 01130000 1817010b 05011300 00192800 ..............(. + 0e20 03081c06 00001a34 00030849 13020a3f .......4...I...? + 0e30 0c00001b 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 0e40 400a2a0a 11011201 01130000 1c05003a @.*............: + 0e50 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. + 0e60 3b0b0308 4913270c 400a2a0a 11011201 ;...I.'.@.*..... + 0e70 01130000 1e340003 08491300 001f3400 .....4...I....4. + 0e80 03084913 020a0000 202e013a 0b3b0503 ..I..... ..:.;.. + 0e90 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 0ea0 13000021 05003a0b 3b050308 4913020a ...!..:.;...I... + 0eb0 0000222e 003a0b3b 0503083f 0c270c40 .."..:.;...?.'.@ + 0ec0 0a2a0a11 01120100 00232e01 3a0b3b05 .*.......#..:.;. + 0ed0 03083f0c 270c400a 2a0a1101 12010000 ..?.'.@.*....... + 0ee0 00011101 03081b08 2508130b 420b1006 ........%...B... + 0ef0 00000215 00270c00 00030f00 49130b0b .....'......I... + 0f00 330b0000 04240003 083e0b0b 0b000005 3....$...>...... + 0f10 26004913 00000615 00491327 0c000007 &.I......I.'.... + 0f20 13010308 0b0b0113 0000080d 00030849 ...............I + 0f30 13380a00 00091600 03084913 00000a0f .8........I..... + 0f40 000b0b33 0b00000b 26000000 0c130003 ...3....&....... + 0f50 080b0b3c 0c00000d 04010308 0b0b0113 ...<............ + 0f60 00000e28 0003081c 0b00000f 01014913 ...(..........I. + 0f70 0b0b0113 00001021 002f0b00 00111701 .......!./...... + 0f80 0b0b0113 00001213 010b0b01 13000013 ................ + 0f90 0d004913 380a0000 1404010b 0b011300 ..I.8........... + 0fa0 00150d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + 0fb0 00001601 0149130b 05011300 00171301 .....I.......... + 0fc0 03080b05 01130000 1817010b 05011300 ................ + 0fd0 00192800 03081c06 00001a34 00030849 ..(........4...I + 0fe0 13020a3f 0c00001b 2e013a0b 3b0b0308 ...?......:.;... + 0ff0 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 1000 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... + 1010 001d3400 03084913 00001e2e 003a0b3b ..4...I......:.; + 1020 0b030849 133f0c27 0c400a2a 0a110112 ...I.?.'.@.*.... + 1030 0100001f 34000308 4913020a 0000202e ....4...I..... . + 1040 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 1050 01120100 00212e01 3a0b3b0b 03083f0c .....!..:.;...?. + 1060 270c400a 2a0a1101 12010000 00011101 '.@.*........... + 1070 03081b08 2508130b 420b1006 00000215 ....%...B....... + 1080 00270c00 00030f00 49130b0b 330b0000 .'......I...3... + 1090 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. + 10a0 00000615 00491327 0c000007 13010308 .....I.'........ + 10b0 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. + 10c0 00091600 03084913 00000a0f 000b0b33 ......I........3 + 10d0 0b00000b 26000000 0c130003 080b0b3c ....&..........< + 10e0 0c00000d 04010308 0b0b0113 00000e28 ...............( + 10f0 0003081c 0b00000f 01014913 0b0b0113 ..........I..... + 1100 00001021 002f0b00 00111701 0b0b0113 ...!./.......... + 1110 00001213 010b0b01 13000013 0d004913 ..............I. + 1120 380a0000 1404010b 0b011300 00150d00 8............... + 1130 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... + 1140 0149130b 05011300 00171301 03080b05 .I.............. + 1150 01130000 1817010b 05011300 00192800 ..............(. + 1160 03081c06 00001a2e 003a0b3b 0b03083f .........:.;...? + 1170 0c270c40 0a2a0a11 01120100 001b2e01 .'.@.*.......... + 1180 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1190 12010000 1c05003a 0b3b0b03 08491302 .......:.;...I.. + 11a0 0a000000 01110103 081b0825 08130b42 ...........%...B + 11b0 0b100600 00021500 270c0000 030f0049 ........'......I + 11c0 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 11d0 00000526 00491300 00061500 4913270c ...&.I......I.'. + 11e0 00000713 0103080b 0b011300 00080d00 ................ + 11f0 03084913 380a0000 09160003 08491300 ..I.8........I.. + 1200 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 1210 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 1220 0b011300 000e2800 03081c0b 00000f01 ......(......... + 1230 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 1240 1117010b 0b011300 00121301 0b0b0113 ................ + 1250 0000130d 00491338 0a000014 04010b0b .....I.8........ + 1260 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 1270 0b380a00 00160101 49130b05 01130000 .8......I....... + 1280 17130103 080b0501 13000018 17010b05 ................ + 1290 01130000 19280003 081c0600 001a3400 .....(........4. + 12a0 03084913 020a0000 1b2e003a 0b3b0b03 ..I........:.;.. + 12b0 083f0c27 0c400a2a 0a110112 0100001c .?.'.@.*........ + 12c0 2e013a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 12d0 11011201 01130000 1d05003a 0b3b0b03 ...........:.;.. + 12e0 08491302 0a00001e 34000308 49130000 .I......4...I... + 12f0 1f2e013a 0b3b0b03 083f0c27 0c400a2a ...:.;...?.'.@.* + 1300 0a110112 01000000 01110103 081b0825 ...............% + 1310 08130b42 0b100600 00021500 270c0000 ...B........'... + 1320 030f0049 130b0b33 0b000004 24000308 ...I...3....$... + 1330 3e0b0b0b 00000526 00491300 00061500 >......&.I...... + 1340 4913270c 00000713 0103080b 0b011300 I.'............. + 1350 00080d00 03084913 380a0000 09160003 ......I.8....... + 1360 08491300 000a0f00 0b0b330b 00000b26 .I........3....& + 1370 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1380 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1390 00000f01 0149130b 0b011300 00102100 .....I........!. + 13a0 2f0b0000 1117010b 0b011300 00121301 /............... + 13b0 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 13c0 04010b0b 01130000 150d0003 0849130b .............I.. + 13d0 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 13e0 01130000 17130103 080b0501 13000018 ................ + 13f0 17010b05 01130000 19280003 081c0600 .........(...... + 1400 001a3400 03084913 020a3f0c 00001b2e ..4...I...?..... + 1410 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 1420 01120101 1300001c 05003a0b 3b0b0308 ..........:.;... + 1430 4913020a 00001d34 00030849 1300001e I......4...I.... + 1440 2e003a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 1450 11011201 00001f2e 013a0b3b 0b03083f .........:.;...? + 1460 0c270c40 0a2a0a11 01120100 00000111 .'.@.*.......... + 1470 0103081b 08250813 0b420b10 06000002 .....%...B...... + 1480 1500270c 0000030f 0049130b 0b330b00 ..'......I...3.. + 1490 00042400 03083e0b 0b0b0000 05260049 ..$...>......&.I + 14a0 13000006 15004913 270c0000 07130103 ......I.'....... + 14b0 080b0b01 13000008 0d000308 4913380a ............I.8. + 14c0 00000916 00030849 1300000a 0f000b0b .......I........ + 14d0 330b0000 0b260000 000c1300 03080b0b 3....&.......... + 14e0 3c0c0000 0d040103 080b0b01 1300000e <............... + 14f0 28000308 1c0b0000 0f010149 130b0b01 (..........I.... + 1500 13000010 21002f0b 00001117 010b0b01 ....!./......... + 1510 13000012 13010b0b 01130000 130d0049 ...............I + 1520 13380a00 00140401 0b0b0113 0000150d .8.............. + 1530 00030849 130b0b0c 0b0d0b38 0a000016 ...I.......8.... + 1540 01014913 0b050113 00001713 0103080b ..I............. + 1550 05011300 00181701 0b050113 00001928 ...............( + 1560 0003081c 0600001a 34000308 4913020a ........4...I... + 1570 3f0c0000 1b2e003a 0b3b0b03 0849133f ?......:.;...I.? + 1580 0c270c40 0a2a0a11 01120100 001c2e00 .'.@.*.......... + 1590 3a0b3b0b 03083f0c 400a2a0a 11011201 :.;...?.@.*..... + 15a0 00001d2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ + 15b0 0a2a0a11 01120101 1300001e 05003a0b .*............:. + 15c0 3b0b0308 4913020a 00001f2e 013a0b3b ;...I........:.; + 15d0 0b03083f 0c400a2a 0a110112 01011300 ...?.@.*........ + 15e0 00203400 03084913 020a0000 212e013a . 4...I.....!..: + 15f0 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1600 01000000 01110103 081b0825 08130b42 ...........%...B + 1610 0b100600 00021500 270c0000 030f0049 ........'......I + 1620 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 1630 00000526 00491300 00061500 4913270c ...&.I......I.'. + 1640 00000713 0103080b 0b011300 00080d00 ................ + 1650 03084913 380a0000 09160003 08491300 ..I.8........I.. + 1660 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 1670 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 1680 0b011300 000e2800 03081c0b 00000f01 ......(......... + 1690 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 16a0 1117010b 0b011300 00121301 0b0b0113 ................ + 16b0 0000130d 00491338 0a000014 04010b0b .....I.8........ + 16c0 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 16d0 0b380a00 00160101 49130b05 01130000 .8......I....... + 16e0 17130103 080b0501 13000018 17010b05 ................ + 16f0 01130000 19280003 081c0600 001a3400 .....(........4. + 1700 03084913 020a0000 1b2e013a 0b3b0b03 ..I........:.;.. + 1710 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 1720 1300001c 34000308 49130000 1d2e013a ....4...I......: + 1730 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1740 01011300 001e0500 3a0b3b0b 03084913 ........:.;...I. + 1750 020a0000 1f2e003a 0b3b0b03 083f0c27 .......:.;...?.' + 1760 0c400a2a 0a110112 01000020 2e003a0b .@.*....... ..:. + 1770 3b0b0308 49133f0c 400a2a0a 11011201 ;...I.?.@.*..... + 1780 0000212e 013a0b3b 0503083f 0c270c40 ..!..:.;...?.'.@ + 1790 0a2a0a11 01120101 13000022 05003a0b .*........."..:. + 17a0 3b050308 4913020a 0000232e 013a0b3b ;...I.....#..:.; + 17b0 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ + 17c0 00242e01 3a0b3b05 03083f0c 270c400a .$..:.;...?.'.@. + 17d0 2a0a1101 12010000 00011101 03081b08 *............... + 17e0 2508130b 420b1006 00000213 0103080b %...B........... + 17f0 0b011300 00030d00 03084913 380a0000 ..........I.8... + 1800 040f0049 130b0b33 0b000005 24000308 ...I...3....$... + 1810 3e0b0b0b 00000616 00030849 13000007 >..........I.... + 1820 01014913 0b0b0113 00000821 002f0b00 ..I........!./.. + 1830 00091500 270c0000 0a150049 13270c00 ....'......I.'.. + 1840 000b0f00 0b0b330b 00000c13 010b0b01 ......3......... + 1850 1300000d 04010b0b 01130000 0e280003 .............(.. + 1860 081c0b00 000f1701 0b0b0113 00001004 ................ + 1870 0103080b 0b011300 00110d00 03084913 ..............I. + 1880 0b0b0c0b 0d0b380a 00001201 0149130b ......8......I.. + 1890 05011300 00131301 03080b05 01130000 ................ + 18a0 1417010b 05011300 00152600 49130000 ..........&.I... + 18b0 16260000 00171300 03080b0b 3c0c0000 .&..........<... + 18c0 180d0049 13380a00 00192800 03081c06 ...I.8....(..... + 18d0 00001a34 00030849 13020a3f 0c00001b ...4...I...?.... + 18e0 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. + 18f0 2a0a1101 12010113 00001c05 003a0b3b *............:.; + 1900 0b030849 13020a00 001d2e01 3a0b3b0b ...I........:.;. + 1910 03083f0c 270c400a 2a0a1101 12010113 ..?.'.@.*....... + 1920 00001e34 00030849 1300001f 34000308 ...4...I....4... + 1930 4913020a 0000202e 003a0b3b 0b03083f I..... ..:.;...? + 1940 0c270c40 0a2a0a11 01120100 00212e01 .'.@.*.......!.. + 1950 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1960 12010113 00002205 003a0b3b 05030849 ......"..:.;...I + 1970 13020a00 00232e01 3a0b3b05 03084913 .....#..:.;...I. + 1980 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1990 242e013a 0b3b0503 083f0c27 0c400a2a $..:.;...?.'.@.* + 19a0 0a110112 01000000 01110103 081b0825 ...............% + 19b0 08130b42 0b100600 00021500 270c0000 ...B........'... + 19c0 030f0049 130b0b33 0b000004 24000308 ...I...3....$... + 19d0 3e0b0b0b 00000526 00491300 00061500 >......&.I...... + 19e0 4913270c 00000713 0103080b 0b011300 I.'............. + 19f0 00080d00 03084913 380a0000 09160003 ......I.8....... + 1a00 08491300 000a0f00 0b0b330b 00000b26 .I........3....& + 1a10 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1a20 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1a30 00000f01 0149130b 0b011300 00102100 .....I........!. + 1a40 2f0b0000 1117010b 0b011300 00121301 /............... + 1a50 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 1a60 04010b0b 01130000 150d0003 0849130b .............I.. + 1a70 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 1a80 01130000 17130103 080b0501 13000018 ................ + 1a90 17010b05 01130000 19280003 081c0600 .........(...... + 1aa0 001a3400 03084913 020a3f0c 00001b35 ..4...I...?....5 + 1ab0 00491300 001c2e01 3a0b3b0b 03084913 .I......:.;...I. + 1ac0 400a2a0a 11011201 01130000 1d340003 @.*..........4.. + 1ad0 08491300 001e2e01 3a0b3b0b 0308270c .I......:.;...'. + 1ae0 400a2a0a 11011201 01130000 1f05003a @.*............: + 1af0 0b3b0b03 08491302 0a000020 2e013a0b .;...I..... ..:. + 1b00 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... + 1b10 12010113 00002134 00030849 13020a00 ......!4...I.... + 1b20 00222e01 3a0b3b0b 03083f0c 270c400a ."..:.;...?.'.@. + 1b30 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; + 1b40 0b030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... + 1b50 13000024 2e013a0b 3b050308 3f0c270c ...$..:.;...?.'. + 1b60 8b400c40 0a2a0a11 01120101 13000025 .@.@.*.........% + 1b70 05003a0b 3b050308 4913020a 0000262e ..:.;...I.....&. + 1b80 013a0b3b 05030849 133f0c27 0c8b400c .:.;...I.?.'..@. + 1b90 400a2a0a 11011201 01130000 272e013a @.*.........'..: + 1ba0 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1bb0 01011300 00282e01 3a0b3b05 03084913 .....(..:.;...I. + 1bc0 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1bd0 292e003a 0b3b0503 083f0c27 0c400a2a )..:.;...?.'.@.* + 1be0 0a110112 01000000 01110103 081b0825 ...............% + 1bf0 08130b42 0b100600 00022400 03083e0b ...B......$...>. + 1c00 0b0b0000 03160003 08491300 00041301 .........I...... + 1c10 03080b0b 01130000 050d0003 08491338 .............I.8 + 1c20 0a000006 1500270c 0000070f 0049130b ......'......I.. + 1c30 0b330b00 00082600 49130000 09150049 .3....&.I......I + 1c40 13270c00 000a0f00 0b0b330b 00000b26 .'........3....& + 1c50 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1c60 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1c70 00000f01 0149130b 0b011300 00102100 .....I........!. + 1c80 2f0b0000 1117010b 0b011300 00121301 /............... + 1c90 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 1ca0 04010b0b 01130000 150d0003 0849130b .............I.. + 1cb0 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 1cc0 01130000 17130103 080b0501 13000018 ................ + 1cd0 17010b05 01130000 19280003 081c0600 .........(...... + 1ce0 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... + 1cf0 0149133c 0c011300 001c2100 00001d35 .I.<......!....5 + 1d00 00491300 001e2e00 3a0b3b05 0308270c .I......:.;...'. + 1d10 400a2a0a 11011201 00001f2e 013a0b3b @.*..........:.; + 1d20 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ + 1d30 00203400 03084913 0000212e 013a0b3b . 4...I...!..:.; + 1d40 05030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... + 1d50 13000022 2e003a0b 3b050308 4913270c ..."..:.;...I.'. + 1d60 400a2a0a 11011201 00002334 00030849 @.*.......#4...I + 1d70 13020a00 00240500 3a0b3b05 03084913 .....$..:.;...I. + 1d80 020a0000 252e013a 0b3b0503 083f0c27 ....%..:.;...?.' + 1d90 0c400a2a 0a110112 01011300 00262e01 .@.*.........&.. + 1da0 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1db0 12010000 00011101 03081b08 2508130b ............%... + 1dc0 420b0000 02240003 083e0b0b 0b000003 B....$...>...... + 1dd0 16000308 49130000 04130103 080b0b01 ....I........... + 1de0 13000005 0d000308 4913380a 00000601 ........I.8..... + 1df0 0149133c 0c011300 00072100 00000826 .I.<......!....& + 1e00 00491300 00093400 03084913 020a3f0c .I....4...I...?. + 1e10 00000a21 002f0b00 00000111 0103081b ...!./.......... + 1e20 08250813 0b420b10 06000002 24000308 .%...B......$... + 1e30 3e0b0b0b 00000316 00030849 13000004 >..........I.... + 1e40 13010308 0b0b0113 0000050d 00030849 ...............I + 1e50 13380a00 00061500 270c0000 070f0049 .8......'......I + 1e60 130b0b33 0b000008 26004913 00000915 ...3....&.I..... + 1e70 00491327 0c00000a 0f000b0b 330b0000 .I.'........3... + 1e80 0b260000 000c1300 03080b0b 3c0c0000 .&..........<... + 1e90 0d040103 080b0b01 1300000e 28000308 ............(... + 1ea0 1c0b0000 0f010149 130b0b01 13000010 .......I........ + 1eb0 21002f0b 00001117 010b0b01 13000012 !./............. + 1ec0 13010b0b 01130000 130d0049 13380a00 ...........I.8.. + 1ed0 00140401 0b0b0113 0000150d 00030849 ...............I + 1ee0 130b0b0c 0b0d0b38 0a000016 01014913 .......8......I. + 1ef0 0b050113 00001713 0103080b 05011300 ................ + 1f00 00181701 0b050113 00001928 0003081c ...........(.... + 1f10 0600001a 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 1f20 400a2a0a 11011201 01130000 1b05003a @.*............: + 1f30 0b3b0b03 08491302 0a00001c 34000308 .;...I......4... + 1f40 49130000 1d2e013a 0b3b0503 083f0c27 I......:.;...?.' + 1f50 0c400a2a 0a110112 01000000 01110103 .@.*............ + 1f60 081b0825 08130b42 0b100600 00021301 ...%...B........ + 1f70 03080b0b 01130000 030d0003 08491338 .............I.8 + 1f80 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ + 1f90 0003083e 0b0b0b00 00061600 03084913 ...>..........I. + 1fa0 00000701 0149130b 0b011300 00082100 .....I........!. + 1fb0 2f0b0000 09150027 0c00000a 15004913 /......'......I. + 1fc0 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. + 1fd0 49130000 0d260000 000e1300 03080b0b I....&.......... + 1fe0 3c0c0000 0f040103 080b0b01 13000010 <............... + 1ff0 28000308 1c0b0000 1117010b 0b011300 (............... + 2000 00121301 0b0b0113 0000130d 00491338 .............I.8 + 2010 0a000014 04010b0b 01130000 150d0003 ................ + 2020 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... + 2030 49130b05 01130000 17130103 080b0501 I............... + 2040 13000018 17010b05 01130000 19280003 .............(.. + 2050 081c0600 001a2800 03081c05 00001b34 ......(........4 + 2060 00030849 13020a3f 0c00001c 2e013a0b ...I...?......:. + 2070 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... + 2080 12010113 00001d05 003a0b3b 0b030849 .........:.;...I + 2090 13020a00 001e2e01 3a0b3b0b 03083f0c ........:.;...?. + 20a0 270c400a 2a0a1101 12010113 00001f34 '.@.*..........4 + 20b0 00030849 13000020 2e013a0b 3b050308 ...I... ..:.;... + 20c0 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 20d0 00002105 003a0b3b 05030849 13020a00 ..!..:.;...I.... + 20e0 00222e01 3a0b3b05 03083f0c 270c400a ."..:.;...?.'.@. + 20f0 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; + 2100 0503083f 0c270c40 0a2a0a11 01120100 ...?.'.@.*...... + 2110 00000111 0103081b 08250813 0b420b10 .........%...B.. + 2120 06000002 13010308 0b0b0113 0000030d ................ + 2130 00030849 13380a00 00040f00 49130b0b ...I.8......I... + 2140 330b0000 05240003 083e0b0b 0b000006 3....$...>...... + 2150 16000308 49130000 07010149 130b0b01 ....I......I.... + 2160 13000008 21002f0b 00000915 00270c00 ....!./......'.. + 2170 000a1500 4913270c 00000b0f 000b0b33 ....I.'........3 + 2180 0b00000c 26004913 00000d26 0000000e ....&.I....&.... + 2190 13000308 0b0b3c0c 00000f04 0103080b ......<......... + 21a0 0b011300 00102800 03081c0b 00001117 ......(......... + 21b0 010b0b01 13000012 13010b0b 01130000 ................ + 21c0 130d0049 13380a00 00140401 0b0b0113 ...I.8.......... + 21d0 0000150d 00030849 130b0b0c 0b0d0b38 .......I.......8 + 21e0 0a000016 01014913 0b050113 00001713 ......I......... + 21f0 0103080b 05011300 00181701 0b050113 ................ + 2200 00001928 0003081c 0600001a 34000308 ...(........4... + 2210 4913020a 3f0c0000 1b2e013a 0b3b0b03 I...?......:.;.. + 2220 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 2230 001c0500 3a0b3b0b 03084913 020a0000 ....:.;...I..... + 2240 1d340003 08491300 001e2e01 3a0b3b0b .4...I......:.;. + 2250 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... + 2260 01130000 1f2e013a 0b3b0b03 083f0c27 .......:.;...?.' + 2270 0c400a2a 0a110112 01000000 01110103 .@.*............ + 2280 081b0825 08130b42 0b100600 00021301 ...%...B........ + 2290 03080b0b 01130000 030d0003 08491338 .............I.8 + 22a0 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ + 22b0 0003083e 0b0b0b00 00061600 03084913 ...>..........I. + 22c0 00000701 0149130b 0b011300 00082100 .....I........!. + 22d0 2f0b0000 09150027 0c00000a 15004913 /......'......I. + 22e0 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. + 22f0 49130000 0d260000 000e1300 03080b0b I....&.......... + 2300 3c0c0000 0f040103 080b0b01 13000010 <............... + 2310 28000308 1c0b0000 1117010b 0b011300 (............... + 2320 00121301 0b0b0113 0000130d 00491338 .............I.8 + 2330 0a000014 04010b0b 01130000 150d0003 ................ + 2340 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... + 2350 49130b05 01130000 17130103 080b0501 I............... + 2360 13000018 17010b05 01130000 19280003 .............(.. + 2370 081c0600 001a3400 03084913 020a3f0c ......4...I...?. + 2380 00001b2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ + 2390 0a2a0a11 01120101 1300001c 05003a0b .*............:. + 23a0 3b0b0308 4913020a 00001d34 00030849 ;...I......4...I + 23b0 1300001e 2e013a0b 3b0b0308 49133f0c ......:.;...I.?. + 23c0 400a2a0a 11011201 01130000 1f2e013a @.*............: + 23d0 0b3b0b03 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. + 23e0 01120101 13000020 2e013a0b 3b0b0308 ....... ..:.;... + 23f0 3f0c270c 400a2a0a 11011201 00000001 ?.'.@.*......... + 2400 11010308 1b082508 130b420b 10060000 ......%...B..... + 2410 02150027 0c000003 0f004913 0b0b330b ...'......I...3. + 2420 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. + 2430 49130000 06150049 13270c00 00071301 I......I.'...... + 2440 03080b0b 01130000 080d0003 08491338 .............I.8 + 2450 0a000009 16000308 49130000 0a0f000b ........I....... + 2460 0b330b00 000b2600 00000c13 0003080b .3....&......... + 2470 0b3c0c00 000d0401 03080b0b 01130000 .<.............. + 2480 0e280003 081c0b00 000f0101 49130b0b .(..........I... + 2490 01130000 1021002f 0b000011 17010b0b .....!./........ + 24a0 01130000 1213010b 0b011300 00130d00 ................ + 24b0 4913380a 00001404 010b0b01 13000015 I.8............. + 24c0 0d000308 49130b0b 0c0b0d0b 380a0000 ....I.......8... + 24d0 16010149 130b0501 13000017 13010308 ...I............ + 24e0 0b050113 00001817 010b0501 13000019 ................ + 24f0 28000308 1c060000 1a2e013a 0b3b0503 (..........:.;.. + 2500 08491327 0c8b400c 400a2a0a 11011201 .I.'..@.@.*..... + 2510 01130000 1b05003a 0b3b0503 08491302 .......:.;...I.. + 2520 0a00001c 2e013a0b 3b050308 270c8b40 ......:.;...'..@ + 2530 0c400a2a 0a110112 01011300 001d2e01 .@.*............ + 2540 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 2550 12010113 00001e05 003a0b3b 0b030849 .........:.;...I + 2560 13020a00 001f2e01 3a0b3b0b 03084913 ........:.;...I. + 2570 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... + 2580 13000020 34000308 49130000 21340003 ... 4...I...!4.. + 2590 08491302 0a000022 2e013a0b 3b0b0308 .I....."..:.;... + 25a0 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... + 25b0 13000023 2e013a0b 3b050308 3f0c270c ...#..:.;...?.'. + 25c0 8b400c40 0a2a0a11 01120101 13000024 .@.@.*.........$ + 25d0 2e013a0b 3b050308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 25e0 11011201 01130000 252e013a 0b3b0503 ........%..:.;.. + 25f0 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 2600 13000026 2e013a0b 3b050308 3f0c270c ...&..:.;...?.'. + 2610 400a2a0a 11011201 00000001 11010308 @.*............. + 2620 1b082508 130b420b 10060000 02130103 ..%...B......... + 2630 080b0b01 13000003 0d000308 4913380a ............I.8. + 2640 0000040f 0049130b 0b330b00 00052400 .....I...3....$. + 2650 03083e0b 0b0b0000 06160003 08491300 ..>..........I.. + 2660 00070101 49130b0b 01130000 0821002f ....I........!./ + 2670 0b000009 1500270c 00000a15 00491327 ......'......I.' + 2680 0c00000b 0f000b0b 330b0000 0c260049 ........3....&.I + 2690 1300000d 26000000 0e130003 080b0b3c ....&..........< + 26a0 0c00000f 04010308 0b0b0113 00001028 ...............( + 26b0 0003081c 0b000011 17010b0b 01130000 ................ + 26c0 1213010b 0b011300 00130d00 4913380a ............I.8. + 26d0 00001404 010b0b01 13000015 0d000308 ................ + 26e0 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I + 26f0 130b0501 13000017 13010308 0b050113 ................ + 2700 00001817 010b0501 13000019 28000308 ............(... + 2710 1c060000 1a2e003a 0b3b0b03 083f0c40 .......:.;...?.@ + 2720 0a2a0a11 01120100 001b2e01 3a0b3b0b .*..........:.;. + 2730 03083f0c 270c8b40 0c400a2a 0a110112 ..?.'..@.@.*.... + 2740 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. + 2750 020a0000 1d340003 08491300 001e2e01 .....4...I...... + 2760 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 2770 12010113 00001f2e 013a0b3b 0b030827 .........:.;...' + 2780 0c400a2a 0a110112 01011300 00202e01 .@.*......... .. + 2790 3a0b3b0b 0308270c 8b400c40 0a2a0a11 :.;...'..@.@.*.. + 27a0 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... + 27b0 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 27c0 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' + 27d0 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. + 27e0 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: + 27f0 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 2800 01011300 00252e01 3a0b3b05 0308270c .....%..:.;...'. + 2810 400a2a0a 11011201 00000001 11010308 @.*............. + 2820 1b082508 130b420b 10060000 02240003 ..%...B......$.. + 2830 083e0b0b 0b000003 16000308 49130000 .>..........I... + 2840 04010149 130b0b01 13000005 21002f0b ...I........!./. + 2850 00000617 010b0b01 13000007 0d000308 ................ + 2860 4913380a 00000813 010b0b01 13000009 I.8............. + 2870 13010308 0b0b0113 00000a0f 0049130b .............I.. + 2880 0b330b00 000b0f00 0b0b330b 00000c13 .3........3..... + 2890 0103080b 05011300 000d1500 270c0000 ............'... + 28a0 0e150049 13270c00 000f2600 49130000 ...I.'....&.I... + 28b0 10010149 130b0501 13000011 26000000 ...I........&... + 28c0 12130003 080b0b3c 0c000013 04010308 .......<........ + 28d0 0b0b0113 00001428 0003081c 0b000015 .......(........ + 28e0 0d004913 380a0000 1604010b 0b011300 ..I.8........... + 28f0 00170d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + 2900 00001817 010b0501 13000019 28000308 ............(... + 2910 1c060000 1a2e013a 0b3b0b03 0849133f .......:.;...I.? + 2920 0c270c40 0a2a0a11 01120101 1300001b .'.@.*.......... + 2930 05003a0b 3b0b0308 4913020a 00001c34 ..:.;...I......4 + 2940 00030849 1300001d 2e013a0b 3b0b0308 ...I......:.;... + 2950 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 2960 1e340003 08491302 0a00001f 2e013a0b .4...I........:. + 2970 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 2980 00000001 11010308 1b082508 130b420b ..........%...B. + 2990 10060000 02150027 0c000003 0f004913 .......'......I. + 29a0 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... + 29b0 00052600 49130000 06150049 13270c00 ..&.I......I.'.. + 29c0 00071301 03080b0b 01130000 080d0003 ................ + 29d0 08491338 0a000009 16000308 49130000 .I.8........I... + 29e0 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... + 29f0 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... + 2a00 01130000 0e280003 081c0b00 000f0101 .....(.......... + 2a10 49130b0b 01130000 1021002f 0b000011 I........!./.... + 2a20 17010b0b 01130000 1213010b 0b011300 ................ + 2a30 00130d00 4913380a 00001404 010b0b01 ....I.8......... + 2a40 13000015 0d000308 49130b0b 0c0b0d0b ........I....... + 2a50 380a0000 16010149 130b0501 13000017 8......I........ + 2a60 13010308 0b050113 00001817 010b0501 ................ + 2a70 13000019 28000308 1c060000 1a340003 ....(........4.. + 2a80 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. + 2a90 03084913 270c400a 2a0a1101 12010113 ..I.'.@.*....... + 2aa0 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... + 2ab0 001d2e01 3a0b3b0b 03084913 3f0c400a ....:.;...I.?.@. + 2ac0 2a0a1101 12010113 00001e34 00030849 *..........4...I + 2ad0 13020a00 001f3400 03084913 0000202e ......4...I... . + 2ae0 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 2af0 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... + 2b00 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 2b10 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' + 2b20 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. + 2b30 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: + 2b40 0b3b0503 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. + 2b50 01120100 00000111 0103081b 08250813 .............%.. + 2b60 0b420b10 06000002 1500270c 0000030f .B........'..... + 2b70 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. + 2b80 0b0b0000 05260049 13000006 15004913 .....&.I......I. + 2b90 270c0000 07130103 080b0b01 13000008 '............... + 2ba0 0d000308 4913380a 00000916 00030849 ....I.8........I + 2bb0 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. + 2bc0 000c1300 03080b0b 3c0c0000 0d040103 ........<....... + 2bd0 080b0b01 1300000e 28000308 1c0b0000 ........(....... + 2be0 0f010149 130b0b01 13000010 21002f0b ...I........!./. + 2bf0 00001117 010b0b01 13000012 13010b0b ................ + 2c00 01130000 130d0049 13380a00 00140401 .......I.8...... + 2c10 0b0b0113 0000150d 00030849 130b0b0c ...........I.... + 2c20 0b0d0b38 0a000016 01014913 0b050113 ...8......I..... + 2c30 00001713 0103080b 05011300 00181701 ................ + 2c40 0b050113 00001928 0003081c 0600001a .......(........ + 2c50 34000308 4913020a 3f0c0000 1b2e013a 4...I...?......: + 2c60 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 2c70 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. + 2c80 020a0000 1d2e013a 0b3b0b03 0849133f .......:.;...I.? + 2c90 0c270c40 0a2a0a11 01120101 1300001e .'.@.*.......... + 2ca0 34000308 49130000 1f2e013a 0b3b0b03 4...I......:.;.. + 2cb0 083f0c27 0c8b400c 400a2a0a 11011201 .?.'..@.@.*..... + 2cc0 01130000 202e013a 0b3b0b03 083f0c27 .... ..:.;...?.' + 2cd0 0c400a2a 0a110112 01000000 01110103 .@.*............ + 2ce0 081b0825 08130b42 0b100600 00022400 ...%...B......$. + 2cf0 03083e0b 0b0b0000 03010149 130b0b01 ..>........I.... + 2d00 13000004 21002f0b 00000513 010b0b01 ....!./......... + 2d10 13000006 0d000308 4913380a 00000715 ........I.8..... + 2d20 00270c00 00081600 03084913 0000090f .'........I..... + 2d30 0049130b 0b330b00 000a0f00 0b0b330b .I...3........3. + 2d40 00000b13 0103080b 0b011300 000c1500 ................ + 2d50 4913270c 00000d01 0149133c 0c011300 I.'......I.<.... + 2d60 000e2100 00000f2e 013a0b3b 0b030849 ..!......:.;...I + 2d70 133f0c27 0c400a2a 0a110112 01000010 .?.'.@.*........ + 2d80 05003a0b 3b0b0308 4913020a 00001134 ..:.;...I......4 + 2d90 00030849 13000000 01110010 06110112 ...I............ + 2da0 0103081b 08250813 05000000 01110010 .....%.......... + 2db0 06110112 0103081b 08250813 05000000 .........%...... + 2dc0 01110010 06110112 0103081b 08250813 .............%.. + 2dd0 05000000 01110103 081b0825 08130b42 ...........%...B + 2de0 0b000002 24000308 3e0b0b0b 00000326 ....$...>......& + 2df0 00491300 00043400 03084913 020a3f0c .I....4...I...?. + 2e00 0000050f 0049130b 0b330b00 00060101 .....I...3...... + 2e10 49130b0b 01130000 0721002f 0b000000 I........!./.... + 2e20 01110010 06110112 0103081b 08250813 .............%.. + 2e30 05000000 01110010 06110112 0103081b ................ + 2e40 08250813 05000000 01110010 06110112 .%.............. + 2e50 0103081b 08250813 05000000 01110010 .....%.......... + 2e60 06110112 0103081b 08250813 05000000 .........%...... + 2e70 01110103 081b0825 08130b42 0b000002 .......%...B.... + 2e80 24000308 3e0b0b0b 00000301 0149130b $...>........I.. + 2e90 0b011300 00042100 2f0b0000 05010149 ......!./......I + 2ea0 130b0501 13000006 13010308 0b050113 ................ + 2eb0 0000070d 00030849 13380a00 00082600 .......I.8....&. + 2ec0 49130000 09340003 08491302 0a3f0c00 I....4...I...?.. + 2ed0 00000111 00100611 01120103 081b0825 ...............% + 2ee0 08130500 00000111 00100611 01120103 ................ + 2ef0 081b0825 08130500 00000111 00100611 ...%............ + 2f00 01120103 081b0825 08130500 00000111 .......%........ + 2f10 00100611 01120103 081b0825 08130500 ...........%.... + 2f20 00000111 0103081b 08250813 0b420b10 .........%...B.. + 2f30 06000002 24000308 3e0b0b0b 00000316 ....$...>....... + 2f40 00030849 13000004 01014913 0b0b0113 ...I......I..... + 2f50 00000521 002f0b00 00061701 0b0b0113 ...!./.......... + 2f60 0000070d 00030849 13380a00 00081301 .......I.8...... + 2f70 0b0b0113 00000913 0103080b 0b011300 ................ + 2f80 000a0f00 49130b0b 330b0000 0b0f000b ....I...3....... + 2f90 0b330b00 000c1301 03080b05 01130000 .3.............. + 2fa0 0d150027 0c00000e 15004913 270c0000 ...'......I.'... + 2fb0 0f260049 13000010 01014913 0b050113 .&.I......I..... + 2fc0 00001126 00000012 2e013a0b 3b0b0308 ...&......:.;... + 2fd0 49133f0c 270c400a 2a0a1101 12010000 I.?.'.@.*....... + 2fe0 1305003a 0b3b0b03 08491302 0a000014 ...:.;...I...... + 2ff0 34000308 49130000 00011100 10061101 4...I........... + 3000 12010308 1b082508 13050000 00011101 ......%......... + 3010 03081b08 2508130b 420b1006 00000224 ....%...B......$ + 3020 0003083e 0b0b0b00 00031600 03084913 ...>..........I. + 3030 00000401 0149130b 0b011300 00052100 .....I........!. + 3040 2f0b0000 0617010b 0b011300 00070d00 /............... + 3050 03084913 380a0000 0813010b 0b011300 ..I.8........... + 3060 00091301 03080b0b 01130000 0a0f0049 ...............I + 3070 130b0b33 0b00000b 0f000b0b 330b0000 ...3........3... + 3080 0c130103 080b0501 1300000d 1500270c ..............'. + 3090 00000e15 00491327 0c00000f 26004913 .....I.'....&.I. + 30a0 00001001 0149130b 05011300 00112600 .....I........&. + 30b0 0000122e 013a0b3b 0b030849 133f0c27 .....:.;...I.?.' + 30c0 0c400a2a 0a110112 01000013 05003a0b .@.*..........:. + 30d0 3b0b0308 4913020a 00001434 00030849 ;...I......4...I + 30e0 13000000 01110010 06110112 0103081b ................ + 30f0 08250813 05000000 01110010 06110112 .%.............. + 3100 0103081b 08250813 05000000 01110010 .....%.......... + 3110 06110112 0103081b 08250813 05000000 .........%...... + 3120 01110010 06110112 0103081b 08250813 .............%.. + 3130 05000000 01110103 081b0825 08130b42 ...........%...B + 3140 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... + 3150 03160003 08491300 00040101 49130b0b .....I......I... + 3160 01130000 0521002f 0b000006 17010b0b .....!./........ + 3170 01130000 070d0003 08491338 0a000008 .........I.8.... + 3180 13010b0b 01130000 09130103 080b0b01 ................ + 3190 1300000a 0f004913 0b0b330b 00000b0f ......I...3..... + 31a0 000b0b33 0b00000c 13010308 0b050113 ...3............ + 31b0 00000d15 00270c00 000e1500 4913270c .....'......I.'. + 31c0 00000f26 00491300 00100101 49130b05 ...&.I......I... + 31d0 01130000 112e013a 0b3b0b03 0849133f .......:.;...I.? + 31e0 0c270c40 0a2a0a11 01120100 00120500 .'.@.*.......... + 31f0 3a0b3b0b 03084913 020a0000 13340003 :.;...I......4.. + 3200 08491300 00000111 00100611 01120103 .I.............. + 3210 081b0825 08130500 0000 ...%...... +Contents of section .debug_line: + 00000 00000083 00020000 001f0101 fb0e0a00 ................ + 00010 01010101 00000001 00637274 312d7469 .........crt1-ti + 00020 6e792e53 00000000 00000502 008e1000 ny.S............ + 00030 03300103 01090003 01030609 00030103 .0.............. + 00040 02090003 01030109 00030103 09090003 ................ + 00050 01030109 00030103 01090005 01030109 ................ + 00060 00030103 01090002 01030109 00020103 ................ + 00070 07090003 01030a09 00030103 02090003 ................ + 00080 01090003 00010100 00003e00 02000000 ..........>..... + 00090 220101fb 0e0a0001 01010100 00000100 "............... + 000a0 64656275 672d7665 63746f72 2e530000 debug-vector.S.. + 000b0 00000000 0502008e 0c200329 01030209 ......... .).... + 000c0 00030109 00030001 01000000 3f000200 ............?... + 000d0 00002301 01fb0e0a 00010101 01000000 ..#............. + 000e0 0100646f 75626c65 2d766563 746f722e ..double-vector. + 000f0 53000000 00000005 02008e0f 20032e01 S........... ... + 00100 03080900 03010900 03000101 0000003f ...............? + 00110 00020000 00230101 fb0e0a00 01010101 .....#.......... + 00120 00000001 006b6572 6e656c2d 76656374 .....kernel-vect + 00130 6f722e53 00000000 00000502 008e0d40 or.S...........@ + 00140 032a0103 08090003 01090003 00010100 .*.............. + 00150 0001a600 02000000 a20101fb 0e0a0001 ................ + 00160 01010100 0000012f 6361642f 74656e73 ......./cad/tens + 00170 696c6963 612f746f 6f6c732f 52422d32 ilica/tools/RB-2 + 00180 3030372e 322f5874 44657654 6f6f6c73 007.2/XtDevTools + 00190 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 001a0 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 001b0 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 001c0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 001d0 656e7361 00007265 7365742d 76656374 ensa..reset-vect + 001e0 6f722e53 00000000 63616368 65617474 or.S....cacheatt + 001f0 7261736d 2e680001 00000000 0502008e rasm.h.......... + 00200 00000337 01031109 00200103 03090002 ...7..... ...... + 00210 01033409 00030103 01090003 01030409 ..4............. + 00220 00030104 02031c09 00030103 eb010900 ................ + 00230 0301038d 7f09001f 01031709 00130103 ................ + 00240 dc000900 0f01034b 09001101 040103d5 .......K........ + 00250 7e09000c 01030109 00030103 01090002 ~............... + 00260 01030109 00020103 01090002 01030109 ................ + 00270 00020103 01090003 01030109 00030103 ................ + 00280 01090002 01030109 00020103 01090002 ................ + 00290 01030109 00020103 01090003 01030109 ................ + 002a0 00050103 01090003 0103c400 09000301 ................ + 002b0 03010900 03010301 09000301 030a0900 ................ + 002c0 0301030f 09000301 03010900 02010304 ................ + 002d0 09000301 03010900 03010301 09000301 ................ + 002e0 03c10009 00020103 01090006 01031209 ................ + 002f0 00060109 00030001 01000000 67000200 ............g... + 00300 00002101 01fb0e0a 00010101 01000000 ..!............. + 00310 01007573 65722d76 6563746f 722e5300 ..user-vector.S. + 00320 00000000 00050200 8e0e2003 18010301 .......... ..... + 00330 09000301 03010900 02010301 09000201 ................ + 00340 03010900 03010302 09000301 03010900 ................ + 00350 03010301 09000201 03010900 02010900 ................ + 00360 03000101 00000036 00020000 00200101 .......6..... .. + 00370 fb0e0a00 01010101 00000001 006e6d69 .............nmi + 00380 2d766563 746f722e 53000000 00000005 -vector.S....... + 00390 02008e0c e4032701 09000300 01010000 ......'......... + 003a0 01910002 00000024 0101fb0e 0a000101 .......$........ + 003b0 01010000 00010077 696e646f 772d7665 .......window-ve + 003c0 63746f72 732e5300 00000000 00050200 ctors.S......... + 003d0 8e080003 e8000103 01090003 01030109 ................ + 003e0 00030103 01090003 01030209 00030103 ................ + 003f0 17090034 01030109 00030103 01090003 ...4............ + 00400 01030109 00030103 02090003 01031f09 ................ + 00410 00340103 01090003 01030109 00030103 .4.............. + 00420 01090003 01030109 00030103 01090003 ................ + 00430 01030109 00030103 01090003 01030109 ................ + 00440 00030103 02090003 01031f09 00250103 .............%.. + 00450 01090003 01030109 00030103 01090003 ................ + 00460 01030109 00030103 01090003 01030109 ................ + 00470 00030103 01090003 01030109 00030103 ................ + 00480 02090003 01032309 00250103 01090003 ......#..%...... + 00490 01030109 00030103 01090003 01030109 ................ + 004a0 00030103 01090003 01030109 00030103 ................ + 004b0 01090003 01030109 00030103 01090003 ................ + 004c0 01030109 00030103 01090003 01030109 ................ + 004d0 00030103 02090003 01032309 00190103 ..........#..... + 004e0 01090003 01030109 00030103 01090003 ................ + 004f0 01030109 00030103 01090003 01030109 ................ + 00500 00030103 01090003 01030109 00030103 ................ + 00510 01090003 01030109 00030103 01090003 ................ + 00520 01030109 00030103 02090003 01090003 ................ + 00530 00010100 00003c00 02000000 200101fb ......<..... ... + 00540 0e0a0001 01010100 00000100 696e742d ............int- + 00550 76656374 6f722e53 00000000 00000502 vector.S........ + 00560 008e0a20 03240103 01090003 01090003 ... .$.......... + 00570 00010100 00003c00 02000000 200101fb ......<..... ... + 00580 0e0a0001 01010100 00000100 696e742d ............int- + 00590 76656374 6f722e53 00000000 00000502 vector.S........ + 005a0 008e0b20 03240103 01090003 01090003 ... .$.......... + 005b0 00010100 0001e300 02000000 280101fb ............(... + 005c0 0e0a0001 01010100 00000100 6578632d ............exc- + 005d0 616c6c6f 63612d68 616e646c 65722e53 alloca-handler.S + 005e0 00000000 00000502 008e102c 03e40001 ...........,.... + 005f0 03010900 03010301 09000201 03070900 ................ + 00600 02010301 09000301 03010900 03010301 ................ + 00610 09000301 03010900 03010301 09000301 ................ + 00620 03010900 03010302 09000301 03080900 ................ + 00630 03010301 09000201 03010900 03010301 ................ + 00640 09000301 03010900 02010303 09000301 ................ + 00650 03010900 03010301 09000301 03060900 ................ + 00660 03010303 09000301 03010900 03010301 ................ + 00670 09000201 03030900 0301030d 09000301 ................ + 00680 03030900 05010301 09000401 03010900 ................ + 00690 04010301 09000401 03010900 04010301 ................ + 006a0 09000401 03010900 04010301 09000401 ................ + 006b0 03010900 04010301 09000401 03010900 ................ + 006c0 04010301 09000401 03010900 04010301 ................ + 006d0 09000401 03010900 04010301 09000401 ................ + 006e0 03070900 02010301 09000301 03050900 ................ + 006f0 02010302 09000301 03050900 03010305 ................ + 00700 09000301 03010900 03010301 09000301 ................ + 00710 03030900 03010301 09000301 03010900 ................ + 00720 03010301 09000301 03010900 02010301 ................ + 00730 09000201 03010900 03010301 09000301 ................ + 00740 03010900 02010305 09000201 03010900 ................ + 00750 03010301 09000301 03010900 03010301 ................ + 00760 09000301 03010900 03010301 09000301 ................ + 00770 03010900 03010310 09000301 03010900 ................ + 00780 02010301 09000201 03010900 02010301 ................ + 00790 09000201 09000300 01010000 01360002 .............6.. + 007a0 00000029 0101fb0e 0a000101 01010000 ...)............ + 007b0 00010065 78632d73 79736361 6c6c2d68 ...exc-syscall-h + 007c0 616e646c 65722e53 00000000 00000502 andler.S........ + 007d0 008e1104 03c90001 03060900 03010301 ................ + 007e0 09000301 03010900 02010301 09000301 ................ + 007f0 03010900 03010301 09000201 03010900 ................ + 00800 02010301 09000301 03010900 03010306 ................ + 00810 09000201 03010900 03010304 09000201 ................ + 00820 03070900 03010301 09000301 03010900 ................ + 00830 03010302 09000301 03010900 03010301 ................ + 00840 09000301 03020900 03010301 09000301 ................ + 00850 03010900 03010307 09000301 03060900 ................ + 00860 02010302 09000301 03010900 03010301 ................ + 00870 09000301 03010900 02010303 09000201 ................ + 00880 03010900 03010301 09000201 03010900 ................ + 00890 02010301 09000301 03010900 03010301 ................ + 008a0 09000201 03010900 02010306 09000301 ................ + 008b0 03020900 0301030b 09000201 03050900 ................ + 008c0 02010301 09000201 03010900 03010900 ................ + 008d0 03000101 00000100 00020000 002b0101 .............+.. + 008e0 fb0e0a00 01010101 00000001 00696e74 .............int + 008f0 2d6c6f77 7072692d 64697370 61746368 -lowpri-dispatch + 00900 65722e53 00000000 00000502 008e1174 er.S...........t + 00910 03c40001 03100900 02010302 09000301 ................ + 00920 03010900 0301030a 09000301 03010900 ................ + 00930 020103c7 00090002 01030209 00030103 ................ + 00940 02090003 0103d300 09000301 03010900 ................ + 00950 03010308 09000301 03310900 0301031e .........1...... + 00960 09000301 03030900 02010302 09000301 ................ + 00970 03cc0109 00030103 0209000f 01030509 ................ + 00980 00030103 01090002 01030809 00020103 ................ + 00990 01090002 01030109 00020103 04090003 ................ + 009a0 01030209 000f0103 ea000900 02010308 ................ + 009b0 09000301 03010900 03010301 09000301 ................ + 009c0 03010900 03010303 09000301 03030900 ................ + 009d0 03010900 02000101 000002d4 00020000 ................ + 009e0 02880101 fb0e0a00 01010101 00000001 ................ + 009f0 2f666f6c 6b732f72 6873752f 70726f6a /folks/rhsu/proj + 00a00 6563742f 7034762f 70657266 6f726365 ect/p4v/perforce + 00a10 2f70305f 636f7265 2f70726f 6a656374 /p0_core/project + 00a20 2f737263 2f726f6d 2f6f732f 7372632f /src/rom/os/src/ + 00a30 78746f73 002f6361 642f7465 6e73696c xtos./cad/tensil + 00a40 6963612f 746f6f6c 732f5242 2d323030 ica/tools/RB-200 + 00a50 372e322f 58744465 76546f6f 6c732f69 7.2/XtDevTools/i + 00a60 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 00a70 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 00a80 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 00a90 656c662f 696e636c 7564652f 7874656e elf/include/xten + 00aa0 73612f63 6f6e6669 67002f63 61642f74 sa/config./cad/t + 00ab0 656e7369 6c696361 2f746f6f 6c732f52 ensilica/tools/R + 00ac0 422d3230 30372e32 2f587444 6576546f B-2007.2/XtDevTo + 00ad0 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 00ae0 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 00af0 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 00b00 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 00b10 2f787465 6e736100 2f747265 65732f62 /xtensa./trees/b + 00b20 79616e67 2f4d6167 7069655f 50302f63 yang/Magpie_P0/c + 00b30 61642f61 7468722f 69702f74 656e7369 ad/athr/ip/tensi + 00b40 6c696361 2f6d6167 7069655f 76315f30 lica/magpie_v1_0 + 00b50 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + 00b60 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 00b70 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + 00b80 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + 00b90 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 00ba0 00696e74 2d736574 68616e64 6c65722e .int-sethandler. + 00bb0 63000100 00636f72 652e6800 02000068 c....core.h....h + 00bc0 616c2e68 00030000 636f7265 2d697361 al.h....core-isa + 00bd0 2e680004 0000636f 72652d6d 61746d61 .h....core-matma + 00be0 702e6800 04000074 69652e68 00040000 p.h....tie.h.... + 00bf0 73706563 7265672e 68000400 00636f72 specreg.h....cor + 00c00 65626974 732e6800 03000078 746f732d ebits.h....xtos- + 00c10 696e7465 726e616c 2e680001 00007874 internal.h....xt + 00c20 72756e74 696d652e 68000300 00787472 runtime.h....xtr + 00c30 756e7469 6d652d66 72616d65 732e6800 untime-frames.h. + 00c40 03000078 74656e73 612d7665 7273696f ...xtensa-versio + 00c50 6e732e68 00030000 78746f73 2d706172 ns.h....xtos-par + 00c60 616d732e 68000100 00000005 02008e11 ams.h........... + 00c70 e4031e01 03050900 03010302 09000501 ................ + 00c80 03030900 0e010308 09000e01 03000900 ................ + 00c90 10010300 09001001 03000900 04010308 ................ + 00ca0 09000401 03010900 03010900 0d000101 ................ + 00cb0 0000016d 00020000 002b0101 fb0e0a00 ...m.....+...... + 00cc0 01010101 00000001 00696e74 2d6d6564 .........int-med + 00cd0 7072692d 64697370 61746368 65722e53 pri-dispatcher.S + 00ce0 00000000 00000502 008e1240 03340103 ...........@.4.. + 00cf0 04090003 01030109 00030103 04090002 ................ + 00d00 01030209 00030103 01090002 01030109 ................ + 00d10 00020103 01090003 01031109 00030103 ................ + 00d20 01090002 01030109 00030103 07090003 ................ + 00d30 01032409 00030103 01090003 01030109 ..$............. + 00d40 00030103 01090003 01030109 00030103 ................ + 00d50 01090003 01030109 00030103 01090003 ................ + 00d60 01030109 00020103 05090011 01030109 ................ + 00d70 00030103 01090003 01030109 00030103 ................ + 00d80 01090003 01030109 00080103 01090006 ................ + 00d90 01030109 00030103 06090002 01030109 ................ + 00da0 00030103 01090003 01030209 00030103 ................ + 00db0 01090003 01030109 00030103 01090003 ................ + 00dc0 01030109 00030103 01090003 01030609 ................ + 00dd0 00030103 0109000f 01031909 00030103 ................ + 00de0 01090003 01030109 00030103 01090003 ................ + 00df0 01030109 00030103 06090003 01030209 ................ + 00e00 00030103 02090002 01030109 00020103 ................ + 00e10 01090002 01030109 00020109 00030001 ................ + 00e20 01000001 67000200 00002b01 01fb0e0a ....g.....+..... + 00e30 00010101 01000000 0100696e 742d6d65 ..........int-me + 00e40 64707269 2d646973 70617463 6865722e dpri-dispatcher. + 00e50 53000000 00000005 02008e12 f4033401 S.............4. + 00e60 03040900 03010301 09000301 03040900 ................ + 00e70 02010302 09000301 03010900 02010301 ................ + 00e80 09000201 03010900 03010311 09000301 ................ + 00e90 03010900 02010301 09000301 03070900 ................ + 00ea0 03010324 09000301 03010900 03010301 ...$............ + 00eb0 09000301 03010900 03010301 09000301 ................ + 00ec0 03010900 03010301 09000301 03010900 ................ + 00ed0 03010301 09000201 03050900 11010301 ................ + 00ee0 09000301 03010900 03010301 09000301 ................ + 00ef0 03010900 03010301 09000801 03010900 ................ + 00f00 06010301 09000301 03060900 02010301 ................ + 00f10 09000301 03010900 03010302 09000301 ................ + 00f20 03010900 03010301 09000301 03010900 ................ + 00f30 03010301 09000301 03010900 03010306 ................ + 00f40 09000301 03010900 0f010319 09000301 ................ + 00f50 03010900 03010301 09000301 03010900 ................ + 00f60 03010301 09000301 03080900 03010302 ................ + 00f70 09000201 03010900 02010301 09000201 ................ + 00f80 03010900 02010900 03000101 00000048 ...............H + 00f90 00020000 00250101 fb0e0a00 01010101 .....%.......... + 00fa0 00000001 00696e74 65727275 70742d74 .....interrupt-t + 00fb0 61626c65 2e530000 00000000 0502008e able.S.......... + 00fc0 67e403e5 00010306 09000301 03070900 g............... + 00fd0 03010900 02000101 00000051 00020000 ...........Q.... + 00fe0 00230101 fb0e0a00 01010101 00000001 .#.............. + 00ff0 00657863 2d756e68 616e646c 65642e53 .exc-unhandled.S + 01000 00000000 00000502 008e67ec 03300103 ..........g..0.. + 01010 01090002 01030209 00020103 02090003 ................ + 01020 01030709 00030109 00030001 01000000 ................ + 01030 6c000200 00002001 01fb0e0a 00010101 l..... ......... + 01040 01000000 01006578 632d7265 7475726e ......exc-return + 01050 2e530000 00000000 0502008e 67fc033c .S..........g..< + 01060 01030109 00020103 01090002 01030909 ................ + 01070 00030103 01090002 01030109 00020103 ................ + 01080 0e090003 01030809 00030103 01090002 ................ + 01090 01030209 00020109 00030001 01000015 ................ + 010a0 1d000200 000da401 01fb0e0a 00010101 ................ + 010b0 01000000 012f726f 6f742f57 6f726b73 ...../root/Works + 010c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 010d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 010e0 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 010f0 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 01100 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 01110 6f6d2f61 74686f73 2f737263 002f726f om/athos/src./ro + 01120 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 01130 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 01140 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 01150 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 01160 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 01170 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 01180 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ + 01190 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 011a0 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 011b0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 011c0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 011d0 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 011e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 011f0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 01200 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 01210 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o + 01220 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 01230 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 01240 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 01250 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 01260 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 01270 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 01280 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 01290 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 012a0 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 012b0 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 012c0 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 012d0 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 012e0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 012f0 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 01300 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 01310 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 01320 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 01330 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 01340 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 01350 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 01360 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 01370 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 01380 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 01390 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 013a0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 013b0 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 013c0 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 013d0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 013e0 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 013f0 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 01400 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 01410 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 01420 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 01430 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 01440 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 01450 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 01460 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 01470 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 01480 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 01490 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 014a0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 014b0 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 014c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 014d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 014e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 014f0 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 01500 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 01510 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 01520 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 01530 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 01540 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 01550 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 01560 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 01570 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 01580 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 01590 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 015a0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 015b0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 015c0 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 015d0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 015e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 015f0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 01600 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 01610 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 01620 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 01630 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 01640 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 01650 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 01660 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 01670 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 01680 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 01690 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 016a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 016b0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 016c0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 016d0 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 016e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 016f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 01700 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 01710 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 01720 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 01730 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 01740 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 01750 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 01760 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 01770 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 01780 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 01790 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 017a0 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 017b0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 017c0 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 017d0 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 017e0 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 017f0 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 01800 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 01810 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 01820 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 01830 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 01840 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 01850 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 01860 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 01870 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 01880 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 01890 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 018a0 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 018b0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 018c0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 018d0 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 018e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 018f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 01900 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 01910 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 01920 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 01930 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 01940 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 01950 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 01960 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 01970 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 01980 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 01990 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 019a0 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 019b0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 019c0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 019d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 019e0 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 019f0 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + 01a00 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 01a10 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 01a20 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 01a30 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 01a40 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 01a50 612f7469 65002f72 6f6f742f 576f726b a/tie./root/Work + 01a60 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 01a70 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 01a80 5f315f31 2f726f6d 2f667764 00006174 _1_1/rom/fwd..at + 01a90 686f735f 6d61696e 2e630001 00007379 hos_main.c....sy + 01aa0 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c + 01ab0 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m + 01ac0 656d2e68 00020000 6174686f 735f6170 em.h....athos_ap + 01ad0 692e6800 0200006f 73617069 2e680004 i.h....osapi.h.. + 01ae0 00004f54 55535f73 6f632e68 00050000 ..OTUS_soc.h.... + 01af0 64745f64 6566732e 68000400 00636d6e dt_defs.h....cmn + 01b00 6f735f61 70692e68 00060000 636f7265 os_api.h....core + 01b10 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 01b20 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 01b30 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 01b40 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 01b50 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 01b60 09000063 6f726562 6974732e 68000800 ...corebits.h... + 01b70 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 01b80 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 01b90 65675f64 6566732e 68000300 00646267 eg_defs.h....dbg + 01ba0 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 01bb0 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 01bc0 68000e00 00737472 696e675f 6170692e h....string_api. + 01bd0 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 01be0 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 01bf0 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 01c00 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 01c10 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 01c20 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 01c30 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 01c40 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 01c50 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 01c60 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 01c70 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 01c80 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 01c90 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 01ca0 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 01cb0 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 01cc0 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 01cd0 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 01ce0 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 01cf0 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 01d00 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 01d10 73746461 72672e68 00040000 76612d78 stdarg.h....va-x + 01d20 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 01d30 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 01d40 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 01d50 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 01d60 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 01d70 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 01d80 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M + 01d90 61677069 655f6170 692e6800 02000075 agpie_api.h....u + 01da0 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 01db0 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 01dc0 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 01dd0 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 01de0 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 01df0 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 01e00 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 01e10 25000072 65676475 6d702e68 00020000 %..regdump.h.... + 01e20 6d616770 69655f72 65676475 6d702e68 magpie_regdump.h + 01e30 00020000 78745f63 6f72652e 68002600 ....xt_core.h.&. + 01e40 00667764 2e680027 00000000 0502008e .fwd.h.'........ + 01e50 13a403f6 00010302 09000301 031b0900 ................ + 01e60 0a010311 09001001 03010900 0b010301 ................ + 01e70 09000601 03030900 03010301 09000901 ................ + 01e80 03020900 0f010367 09000501 03200900 .......g..... .. + 01e90 03010308 09000301 03030900 03010301 ................ + 01ea0 09000b01 03010900 05010305 09000601 ................ + 01eb0 037d0900 0201037e 09000501 03010900 .}.....~........ + 01ec0 02010304 09000201 037c0900 03010301 .........|...... + 01ed0 09000201 03030900 02010304 09000301 ................ + 01ee0 03070900 02010301 09000301 03040900 ................ + 01ef0 03010305 09000201 037b0900 05010305 .........{...... + 01f00 09000301 03080900 08010301 09000901 ................ + 01f10 037b0900 02010310 09000901 03080900 .{.............. + 01f20 03010302 09000301 03050900 06010303 ................ + 01f30 09000301 03010900 03010304 09000201 ................ + 01f40 03000900 03010302 09000201 03020900 ................ + 01f50 03010306 09000401 03000900 03010305 ................ + 01f60 09000301 03050900 09010301 09000b01 ................ + 01f70 03010900 05010302 09000401 03030900 ................ + 01f80 02010308 09000301 03010900 0c010301 ................ + 01f90 09000801 03010900 06010301 09000601 ................ + 01fa0 03050900 0801037f 09000301 03040900 ................ + 01fb0 02010302 09000701 03040900 04010304 ................ + 01fc0 09000301 03010900 0b010301 09000501 ................ + 01fd0 03060900 0601037c 09000201 037e0900 .......|.....~.. + 01fe0 05010301 09000201 03050900 0201037b ...............{ + 01ff0 09000301 03010900 02010304 09000201 ................ + 02000 03010900 03010300 09000301 03000900 ................ + 02010 03010300 09000301 03050900 2a010308 ............*... + 02020 09000701 03030900 060103e6 00090006 ................ + 02030 01030009 00030103 03090003 01031209 ................ + 02040 00070103 77090009 01030a09 00050103 ....w........... + 02050 71090002 01030f09 00050103 7c090002 q...........|... + 02060 01030409 00050103 04090003 01030909 ................ + 02070 00030103 01090011 01030109 00030103 ................ + 02080 09090005 01030109 00040103 01090005 ................ + 02090 01037d09 00020103 0c09001b 01030609 ..}............. + 020a0 00020103 01090002 01030109 00020103 ................ + 020b0 01090002 01030209 00030103 7f090002 ................ + 020c0 01030509 00020103 0109000b 01030109 ................ + 020d0 00070103 01090007 01030109 00070103 ................ + 020e0 01090007 01030109 00070103 01090007 ................ + 020f0 01030109 00070103 0e090003 01030009 ................ + 02100 00030103 01090003 01037f09 00030103 ................ + 02110 01090002 01030109 00030103 05090002 ................ + 02120 01030309 00030103 7d090005 01030309 ........}....... + 02130 00030103 0209000f 01037e09 00050103 ..........~..... + 02140 02090003 01030109 00020103 01090007 ................ + 02150 01037e09 00020103 04090003 01030109 ..~............. + 02160 00140103 7f090003 01030109 00030103 ................ + 02170 03090003 01030109 00140103 02090003 ................ + 02180 0103ec02 09000401 03000900 03010304 ................ + 02190 09000301 03030900 09010301 09000601 ................ + 021a0 03060900 09010302 09000c01 03020900 ................ + 021b0 06010304 09000401 03000900 03010305 ................ + 021c0 09000301 03080900 0c01037d 09000201 ...........}.... + 021d0 03030900 0301037d 09000501 03030900 .......}........ + 021e0 0c010301 09000301 03020900 09010303 ................ + 021f0 09000401 03010900 0301037f 09000701 ................ + 02200 03030900 03010301 09001701 03020900 ................ + 02210 10010302 09000501 03010900 17010304 ................ + 02220 09001201 03010900 0b010301 09000b01 ................ + 02230 03010900 0b010301 09000b01 03010900 ................ + 02240 0b010302 09000801 03040900 03010300 ................ + 02250 09000301 03050900 0b010301 09000601 ................ + 02260 03030900 09010301 09000901 03020900 ................ + 02270 09010302 09000601 03010900 06010303 ................ + 02280 09000601 03020900 14010301 09000901 ................ + 02290 03020900 03010303 09001101 03010900 ................ + 022a0 08010301 09000d01 03010900 10010302 ................ + 022b0 09000c01 03050900 0b010301 09000901 ................ + 022c0 03060900 0901037c 09000501 03020900 .......|........ + 022d0 03010302 09000801 03050900 04010300 ................ + 022e0 09000301 03010900 06010301 09001a01 ................ + 022f0 03010900 0301037f 09000301 03010900 ................ + 02300 06010307 09000301 037a0900 0601030e .........z...... + 02310 09000401 03000900 03010301 09000901 ................ + 02320 03010900 0301037f 09000301 03010900 ................ + 02330 06010302 09000301 03010900 0801037e ...............~ + 02340 09000601 030f0900 03010300 09000301 ................ + 02350 03070900 0601037d 09000301 03030900 .......}........ + 02360 05010303 09000f01 03010900 11010304 ................ + 02370 09000301 03080900 03010302 09000301 ................ + 02380 03010900 1d010302 09000601 03020900 ................ + 02390 03010302 09000601 03030900 06010324 ...............$ + 023a0 09000801 03d80009 00140103 01090011 ................ + 023b0 01037f09 00060103 01090003 01030109 ................ + 023c0 00030103 0b090003 01030109 00110103 ................ + 023d0 7f090006 01030109 00030103 02090006 ................ + 023e0 01030309 000a0103 02090011 01037e09 ..............~. + 023f0 00060103 02090003 01030b09 00080103 ................ + 02400 06090009 01030409 00030103 03090006 ................ + 02410 01030109 000b0103 69090009 01031b09 ........i....... + 02420 00120103 01090003 01030209 00110103 ................ + 02430 7e090006 01030209 00030103 04090003 ~............... + 02440 01030509 00140103 05090009 01030309 ................ + 02450 00060103 05090006 01030409 00090103 ................ + 02460 09090003 01030109 00110103 7f090002 ................ + 02470 01030109 00030103 02090005 01030209 ................ + 02480 000b0103 02090002 01030409 00160103 ................ + 02490 03090006 01030309 00030103 03090003 ................ + 024a0 01030209 00060103 01090014 0103c100 ................ + 024b0 09000301 03420900 08010312 09000601 .....B.......... + 024c0 03020900 03010301 09000c01 03010900 ................ + 024d0 09010302 09000901 03060900 09010301 ................ + 024e0 09000901 03010900 03010303 09000501 ................ + 024f0 03090900 08010302 09000501 03100900 ................ + 02500 03010375 09000201 03020900 03010301 ...u............ + 02510 09001101 037f0900 06010301 09000301 ................ + 02520 03030900 06010301 09000801 03020900 ................ + 02530 08010346 09000301 03010900 0c010301 ...F............ + 02540 09000901 03020900 09010302 09000901 ................ + 02550 03020900 03010302 09000301 03010900 ................ + 02560 06010331 09000301 03f27e09 00020103 ...1......~..... + 02570 01090003 01030109 00110103 7f090006 ................ + 02580 01030109 00030103 77090006 01030209 ........w....... + 02590 00030103 9a010900 0d010300 09000301 ................ + 025a0 03030900 03010301 09000b01 03030900 ................ + 025b0 06010304 09000301 09000400 01010000 ................ + 025c0 0d920002 00000ce0 0101fb0e 0a000101 ................ + 025d0 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 025e0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 025f0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 02600 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 02610 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 02620 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 02630 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + 02640 616d2f73 7263002f 726f6f74 2f576f72 am/src./root/Wor + 02650 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 02660 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 02670 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ + 02680 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 02690 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 026a0 67706965 5f315f31 2f696e63 2f6d6167 gpie_1_1/inc/mag + 026b0 70696500 2f726f6f 742f576f 726b7370 pie./root/Worksp + 026c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 026d0 742f7461 72676574 2f696e63 002f726f t/target/inc./ro + 026e0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 026f0 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 02700 742f696e 632f4f54 5553002f 726f6f74 t/inc/OTUS./root + 02710 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 02720 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 02730 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 02740 6e6f732f 696e6300 2f6f7074 2f787465 nos/inc./opt/xte + 02750 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 02760 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 02770 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 02780 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 02790 656c662f 696e636c 7564652f 7874656e elf/include/xten + 027a0 73612f63 6f6e6669 67002f6f 70742f78 sa/config./opt/x + 027b0 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 027c0 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 027d0 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 027e0 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 027f0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 02800 656e7361 002f6f70 742f7874 656e7361 ensa./opt/xtensa + 02810 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 02820 616c6c2f 6275696c 64732f52 422d3230 all/builds/RB-20 + 02830 30372e32 2d6c696e 75782f4d 61677069 07.2-linux/Magpi + 02840 655f5030 2f787465 6e73612d 656c662f e_P0/xtensa-elf/ + 02850 61726368 2f696e63 6c756465 2f787465 arch/include/xte + 02860 6e73612f 636f6e66 6967002f 726f6f74 nsa/config./root + 02870 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 02880 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 02890 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 028a0 6e6f732f 7072696e 74662f69 6e63002f nos/printf/inc./ + 028b0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 028c0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 028d0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 028e0 6d2f636d 6e6f732f 75617274 2f696e63 m/cmnos/uart/inc + 028f0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 02900 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 02910 61726765 742f636d 6e6f732f 6462672f arget/cmnos/dbg/ + 02920 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 02930 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 02940 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 02950 5f312f72 6f6d2f63 6d6e6f73 2f6d656d _1/rom/cmnos/mem + 02960 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 02970 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 02980 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 02990 315f312f 726f6d2f 636d6e6f 732f6d69 1_1/rom/cmnos/mi + 029a0 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor + 029b0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 029c0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 029d0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 029e0 73747269 6e672f69 6e63002f 726f6f74 string/inc./root + 029f0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 02a00 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 02a10 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 02a20 6e6f732f 74696d65 722f696e 63002f72 nos/timer/inc./r + 02a30 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 02a40 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 02a50 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 02a60 2f636d6e 6f732f72 6f6d7061 7463682f /cmnos/rompatch/ + 02a70 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 02a80 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 02a90 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 02aa0 5f312f72 6f6d2f63 6d6e6f73 2f616c6c _1/rom/cmnos/all + 02ab0 6f637261 6d2f696e 63002f72 6f6f742f ocram/inc./root/ + 02ac0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 02ad0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 02ae0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 02af0 6f732f74 61736b6c 65742f69 6e63002f os/tasklet/inc./ + 02b00 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 02b10 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 02b20 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 02b30 6d2f636d 6e6f732f 636c6f63 6b2f696e m/cmnos/clock/in + 02b40 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 02b50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 02b60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 02b70 2f726f6d 2f636d6e 6f732f69 6e74722f /rom/cmnos/intr/ + 02b80 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 02b90 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 02ba0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 02bb0 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt + 02bc0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 02bd0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 02be0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 02bf0 315f312f 726f6d2f 636d6e6f 732f6565 1_1/rom/cmnos/ee + 02c00 70726f6d 2f696e63 002f726f 6f742f57 prom/inc./root/W + 02c10 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 02c20 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 02c30 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ + 02c40 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 02c50 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 02c60 742f6164 662f696e 636c7564 652f6e62 t/adf/include/nb + 02c70 7566002f 726f6f74 2f576f72 6b737061 uf./root/Workspa + 02c80 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 02c90 2f616466 2f696e63 6c756465 2f6f7300 /adf/include/os. + 02ca0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 02cb0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 02cc0 72676574 2f616466 2f6f732f 696e6300 rget/adf/os/inc. + 02cd0 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 02ce0 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 02cf0 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 02d00 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 02d10 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc + 02d20 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works + 02d30 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 02d40 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 02d50 315f312f 726f6d2f 76627566 2f696e63 1_1/rom/vbuf/inc + 02d60 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 02d70 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 02d80 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 02d90 726f6d2f 76646573 632f696e 63002f72 rom/vdesc/inc./r + 02da0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 02db0 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 02dc0 696e636c 7564652f 6e657400 2f726f6f include/net./roo + 02dd0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 02de0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 02df0 6d616770 69655f31 5f312f72 6f6d2f61 magpie_1_1/rom/a + 02e00 64662f6e 6275662f 696e6300 2f726f6f df/nbuf/inc./roo + 02e10 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 02e20 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 02e30 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 02e40 74632f69 6e63002f 726f6f74 2f576f72 tc/inc./root/Wor + 02e50 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 02e60 2f776c61 6e2f696e 636c7564 65002f72 /wlan/include./r + 02e70 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 02e80 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 02e90 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 02ea0 2f627566 5f706f6f 6c2f696e 63002f72 /buf_pool/inc./r + 02eb0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 02ec0 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 02ed0 65742f77 6d692f69 6e63002f 726f6f74 et/wmi/inc./root + 02ee0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 02ef0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 02f00 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm + 02f10 615f656e 67696e65 2f696e63 0000636d a_engine/inc..cm + 02f20 6e6f735f 616c6c6f 6372616d 2e630001 nos_allocram.c.. + 02f30 00007379 735f6366 672e6800 02000072 ..sys_cfg.h....r + 02f40 6f6d5f63 66672e68 00030000 6d616770 om_cfg.h....magp + 02f50 69655f6d 656d2e68 00020000 6174686f ie_mem.h....atho + 02f60 735f6170 692e6800 0200006f 73617069 s_api.h....osapi + 02f70 2e680004 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 02f80 00050000 64745f64 6566732e 68000400 ....dt_defs.h... + 02f90 00636d6e 6f735f61 70692e68 00060000 .cmnos_api.h.... + 02fa0 636f7265 2e680007 00006861 6c2e6800 core.h....hal.h. + 02fb0 08000063 6f72652d 6973612e 68000900 ...core-isa.h... + 02fc0 00636f72 652d6d61 746d6170 2e680009 .core-matmap.h.. + 02fd0 00007469 652e6800 09000078 7472756e ..tie.h....xtrun + 02fe0 74696d65 2e680008 00007370 65637265 time.h....specre + 02ff0 672e6800 09000063 6f726562 6974732e g.h....corebits. + 03000 68000800 00707269 6e74665f 6170692e h....printf_api. + 03010 68000a00 00756172 745f6170 692e6800 h....uart_api.h. + 03020 0b000072 65675f64 6566732e 68000300 ...reg_defs.h... + 03030 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + 03040 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + 03050 6170692e 68000e00 00737472 696e675f api.h....string_ + 03060 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + 03070 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + 03080 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + 03090 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + 030a0 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + 030b0 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + 030c0 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + 030d0 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + 030e0 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + 030f0 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + 03100 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + 03110 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + 03120 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + 03130 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + 03140 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + 03150 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + 03160 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + 03170 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + 03180 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + 03190 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + 031a0 001e0000 73746461 72672e68 00040000 ....stdarg.h.... + 031b0 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + 031c0 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + 031d0 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 031e0 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + 031f0 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 03200 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 03210 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + 03220 1800004d 61677069 655f6170 692e6800 ...Magpie_api.h. + 03230 02000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 03240 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + 03250 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 03260 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 03270 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 03280 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 03290 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 032a0 692e6800 25000000 00050200 8e1bcc03 i.h.%........... + 032b0 1a010300 09000301 031e0900 0501037e ...............~ + 032c0 09000201 03090900 0201030a 09000401 ................ + 032d0 03000900 03010304 09000301 037d0900 .............}.. + 032e0 07010303 09000201 03020900 0601037f ................ + 032f0 09000301 03010900 05010307 09000201 ................ + 03300 037b0900 05010302 09000901 03080900 .{.............. + 03310 03010300 09000301 03010900 06010301 ................ + 03320 09000b01 03010900 0b010304 09000501 ................ + 03330 03000900 03010303 09000901 037f0900 ................ + 03340 0201037f 09000201 03030900 02010900 ................ + 03350 02000101 00000e17 00020000 0cda0101 ................ + 03360 fb0e0a00 01010101 00000001 2f726f6f ............/roo + 03370 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 03380 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 03390 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 033a0 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 033b0 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 033c0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 033d0 636c6f63 6b2f7372 63002f72 6f6f742f clock/src./root/ + 033e0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 033f0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 03400 67706965 5f315f31 2f696e63 002f726f gpie_1_1/inc./ro + 03410 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 03420 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 03430 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 03440 6d616770 6965002f 726f6f74 2f576f72 magpie./root/Wor + 03450 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 03460 2f746774 2f746172 6765742f 696e6300 /tgt/target/inc. + 03470 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 03480 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 03490 72676574 2f696e63 2f4f5455 53002f72 rget/inc/OTUS./r + 034a0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 034b0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 034c0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 034d0 2f636d6e 6f732f69 6e63002f 6f70742f /cmnos/inc./opt/ + 034e0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 034f0 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 03500 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 03510 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 03520 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 03530 74656e73 612f636f 6e666967 002f6f70 tensa/config./op + 03540 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 03550 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 03560 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 03570 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 03580 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 03590 2f787465 6e736100 2f6f7074 2f787465 /xtensa./opt/xte + 035a0 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 035b0 6e737461 6c6c2f62 75696c64 732f5242 nstall/builds/RB + 035c0 2d323030 372e322d 6c696e75 782f4d61 -2007.2-linux/Ma + 035d0 67706965 5f50302f 7874656e 73612d65 gpie_P0/xtensa-e + 035e0 6c662f61 7263682f 696e636c 7564652f lf/arch/include/ + 035f0 7874656e 73612f63 6f6e6669 67002f72 xtensa/config./r + 03600 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 03610 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 03620 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 03630 2f636d6e 6f732f70 72696e74 662f696e /cmnos/printf/in + 03640 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 03650 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 03660 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 03670 2f726f6d 2f636d6e 6f732f75 6172742f /rom/cmnos/uart/ + 03680 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 03690 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 036a0 742f7461 72676574 2f636d6e 6f732f64 t/target/cmnos/d + 036b0 62672f69 6e63002f 726f6f74 2f576f72 bg/inc./root/Wor + 036c0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 036d0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 036e0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 036f0 6d656d2f 696e6300 2f726f6f 742f576f mem/inc./root/Wo + 03700 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 03710 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 03720 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 03730 2f6d6973 632f696e 63002f72 6f6f742f /misc/inc./root/ + 03740 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 03750 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 03760 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 03770 6f732f73 7472696e 672f696e 63002f72 os/string/inc./r + 03780 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 03790 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 037a0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 037b0 2f636d6e 6f732f74 696d6572 2f696e63 /cmnos/timer/inc + 037c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 037d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 037e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 037f0 726f6d2f 636d6e6f 732f726f 6d706174 rom/cmnos/rompat + 03800 63682f69 6e63002f 726f6f74 2f576f72 ch/inc./root/Wor + 03810 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 03820 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 03830 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 03840 616c6c6f 6372616d 2f696e63 002f726f allocram/inc./ro + 03850 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 03860 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 03870 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 03880 636d6e6f 732f7461 736b6c65 742f696e cmnos/tasklet/in + 03890 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 038a0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 038b0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 038c0 2f726f6d 2f636d6e 6f732f63 6c6f636b /rom/cmnos/clock + 038d0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 038e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 038f0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 03900 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in + 03910 74722f69 6e63002f 726f6f74 2f576f72 tr/inc./root/Wor + 03920 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 03930 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 03940 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 03950 7764742f 696e6300 2f726f6f 742f576f wdt/inc./root/Wo + 03960 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 03970 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 03980 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 03990 2f656570 726f6d2f 696e6300 2f726f6f /eeprom/inc./roo + 039a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 039b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 039c0 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 039d0 69662f69 6e63002f 726f6f74 2f576f72 if/inc./root/Wor + 039e0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 039f0 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 03a00 2f6e6275 66002f72 6f6f742f 576f726b /nbuf./root/Work + 03a10 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 03a20 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 03a30 6f73002f 726f6f74 2f576f72 6b737061 os./root/Workspa + 03a40 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 03a50 2f746172 6765742f 6164662f 6f732f69 /target/adf/os/i + 03a60 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + 03a70 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 03a80 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + 03a90 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + 03aa0 6f6c732f 6c69622f 7863632d 6c69622f ols/lib/xcc-lib/ + 03ab0 696e636c 75646500 2f726f6f 742f576f include./root/Wo + 03ac0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 03ad0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 03ae0 69655f31 5f312f72 6f6d2f76 6275662f ie_1_1/rom/vbuf/ + 03af0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 03b00 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 03b10 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 03b20 5f312f72 6f6d2f76 64657363 2f696e63 _1/rom/vdesc/inc + 03b30 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 03b40 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 03b50 64662f69 6e636c75 64652f6e 6574002f df/include/net./ + 03b60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 03b70 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 03b80 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 03b90 6d2f6164 662f6e62 75662f69 6e63002f m/adf/nbuf/inc./ + 03ba0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 03bb0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 03bc0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 03bd0 6d2f6874 632f696e 63002f72 6f6f742f m/htc/inc./root/ + 03be0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 03bf0 3230322f 776c616e 2f696e63 6c756465 202/wlan/include + 03c00 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 03c10 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 03c20 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 03c30 726f6d2f 6275665f 706f6f6c 2f696e63 rom/buf_pool/inc + 03c40 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 03c50 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 03c60 61726765 742f776d 692f696e 63002f72 arget/wmi/inc./r + 03c70 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 03c80 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 03c90 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 03ca0 2f646d61 5f656e67 696e652f 696e6300 /dma_engine/inc. + 03cb0 00636d6e 6f735f63 6c6f636b 2e630001 .cmnos_clock.c.. + 03cc0 00007379 735f6366 672e6800 02000072 ..sys_cfg.h....r + 03cd0 6f6d5f63 66672e68 00030000 6d616770 om_cfg.h....magp + 03ce0 69655f6d 656d2e68 00020000 6174686f ie_mem.h....atho + 03cf0 735f6170 692e6800 0200006f 73617069 s_api.h....osapi + 03d00 2e680004 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 03d10 00050000 64745f64 6566732e 68000400 ....dt_defs.h... + 03d20 00636d6e 6f735f61 70692e68 00060000 .cmnos_api.h.... + 03d30 636f7265 2e680007 00006861 6c2e6800 core.h....hal.h. + 03d40 08000063 6f72652d 6973612e 68000900 ...core-isa.h... + 03d50 00636f72 652d6d61 746d6170 2e680009 .core-matmap.h.. + 03d60 00007469 652e6800 09000078 7472756e ..tie.h....xtrun + 03d70 74696d65 2e680008 00007370 65637265 time.h....specre + 03d80 672e6800 09000063 6f726562 6974732e g.h....corebits. + 03d90 68000800 00707269 6e74665f 6170692e h....printf_api. + 03da0 68000a00 00756172 745f6170 692e6800 h....uart_api.h. + 03db0 0b000072 65675f64 6566732e 68000300 ...reg_defs.h... + 03dc0 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + 03dd0 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + 03de0 6170692e 68000e00 00737472 696e675f api.h....string_ + 03df0 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + 03e00 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + 03e10 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + 03e20 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + 03e30 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + 03e40 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + 03e50 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + 03e60 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + 03e70 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + 03e80 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + 03e90 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + 03ea0 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + 03eb0 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + 03ec0 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + 03ed0 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + 03ee0 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + 03ef0 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + 03f00 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + 03f10 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + 03f20 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + 03f30 001e0000 73746461 72672e68 00040000 ....stdarg.h.... + 03f40 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + 03f50 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + 03f60 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 03f70 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + 03f80 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 03f90 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 03fa0 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + 03fb0 1800004d 61677069 655f6170 692e6800 ...Magpie_api.h. + 03fc0 02000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 03fd0 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + 03fe0 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 03ff0 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 04000 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 04010 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 04020 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 04030 692e6800 25000000 00050200 8e1c4403 i.h.%.........D. + 04040 ec000103 00090003 01030409 00030103 ................ + 04050 01090007 01030309 00080103 03090009 ................ + 04060 01030909 00020103 0e090003 01030209 ................ + 04070 00060103 09090007 01030009 00030103 ................ + 04080 01090003 01030009 00020103 06090004 ................ + 04090 01030b09 00030103 09090005 01030209 ................ + 040a0 00030103 05090005 01030309 00030103 ................ + 040b0 0a090005 01030309 00030103 04090005 ................ + 040c0 01030309 00030103 04090005 01030709 ................ + 040d0 00030103 06090012 01030409 00020103 ................ + 040e0 7c090002 01030409 000a0103 76090003 |...........v... + 040f0 01030c09 00090103 04090002 01030609 ................ + 04100 00030103 09090003 01031009 00160103 ................ + 04110 7d090002 01030309 00050103 02090004 }............... + 04120 01030609 00040103 00090003 01030a09 ................ + 04130 001b0103 7e090002 01037f09 00020103 ....~........... + 04140 7f090002 01037f09 00020103 7f090002 ................ + 04150 01037f09 00020103 7f090002 01037f09 ................ + 04160 00020103 0a090002 01090002 00010100 ................ + 04170 00101000 0200000c dc0101fb 0e0a0001 ................ + 04180 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor + 04190 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 041a0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 041b0 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp + 041c0 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// + 041d0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 041e0 2f726f6d 2f636d6e 6f732f65 6570726f /rom/cmnos/eepro + 041f0 6d2f7372 63002f72 6f6f742f 576f726b m/src./root/Work + 04200 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 04210 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 04220 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 04230 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 04240 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 04250 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 04260 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 04270 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 04280 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 04290 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 042a0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 042b0 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 042c0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 042d0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 042e0 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 042f0 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten + 04300 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 04310 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 04320 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 04330 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 04340 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 04350 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 04360 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 04370 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 04380 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 04390 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 043a0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 043b0 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 043c0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 043d0 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 043e0 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 043f0 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 04400 7263682f 696e636c 7564652f 7874656e rch/include/xten + 04410 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 04420 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 04430 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 04440 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 04450 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 04460 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 04470 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 04480 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 04490 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 044a0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 044b0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 044c0 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 044d0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 044e0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 044f0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 04500 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 04510 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 04520 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 04530 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 04540 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 04550 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 04560 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 04570 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 04580 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 04590 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 045a0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 045b0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 045c0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 045d0 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 045e0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 045f0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 04600 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 04610 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + 04620 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 04630 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 04640 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 04650 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + 04660 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + 04670 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 04680 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 04690 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 046a0 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + 046b0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 046c0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 046d0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 046e0 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + 046f0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 04700 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 04710 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 04720 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + 04730 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 04740 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 04750 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 04760 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + 04770 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 04780 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 04790 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 047a0 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + 047b0 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + 047c0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 047d0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 047e0 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + 047f0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 04800 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 04810 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + 04820 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + 04830 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 04840 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + 04850 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 04860 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 04870 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + 04880 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 04890 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 048a0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 048b0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 048c0 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + 048d0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 048e0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 048f0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 04900 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 04910 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 04920 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 04930 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 04940 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 04950 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 04960 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 04970 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root + 04980 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 04990 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 049a0 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad + 049b0 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root + 049c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 049d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 049e0 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 049f0 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 04a00 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 04a10 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + 04a20 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 04a30 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 04a40 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 04a50 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + 04a60 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 04a70 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 04a80 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + 04a90 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 04aa0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 04ab0 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 04ac0 5f656e67 696e652f 696e6300 00636d6e _engine/inc..cmn + 04ad0 6f735f65 6570726f 6d2e6300 01000061 os_eeprom.c....a + 04ae0 74686f73 5f617069 2e680002 00006f73 thos_api.h....os + 04af0 6170692e 68000300 004f5455 535f736f api.h....OTUS_so + 04b00 632e6800 04000064 745f6465 66732e68 c.h....dt_defs.h + 04b10 00030000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. + 04b20 05000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + 04b30 726f6d5f 6366672e 68000600 006d6167 rom_cfg.h....mag + 04b40 7069655f 6d656d2e 68000200 00636f72 pie_mem.h....cor + 04b50 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... + 04b60 636f7265 2d697361 2e680009 0000636f core-isa.h....co + 04b70 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t + 04b80 69652e68 00090000 78747275 6e74696d ie.h....xtruntim + 04b90 652e6800 08000073 70656372 65672e68 e.h....specreg.h + 04ba0 00090000 636f7265 62697473 2e680008 ....corebits.h.. + 04bb0 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. + 04bc0 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... + 04bd0 7265675f 64656673 2e680006 00006462 reg_defs.h....db + 04be0 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + 04bf0 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + 04c00 2e68000e 00007374 72696e67 5f617069 .h....string_api + 04c10 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + 04c20 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + 04c30 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 04c40 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + 04c50 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + 04c60 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + 04c70 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + 04c80 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + 04c90 7573625f 6170692e 68001800 00686966 usb_api.h....hif + 04ca0 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + 04cb0 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + 04cc0 68001900 00616466 5f6f735f 7574696c h....adf_os_util + 04cd0 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + 04ce0 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + 04cf0 735f7479 7065732e 68001a00 00616466 s_types.h....adf + 04d00 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + 04d10 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 04d20 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + 04d30 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. + 04d40 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... + 04d50 00737464 6172672e 68000300 0076612d .stdarg.h....va- + 04d60 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ + 04d70 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ + 04d80 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... + 04d90 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + 04da0 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + 04db0 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. + 04dc0 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... + 04dd0 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... + 04de0 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... + 04df0 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht + 04e00 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ + 04e10 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc + 04e20 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. + 04e30 22000061 74686465 66732e68 00220000 "..athdefs.h.".. + 04e40 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h + 04e50 00250000 00000502 008e1d38 032c0103 .%.........8.,.. + 04e60 00090003 01030c09 00080103 0109000f ................ + 04e70 01030109 00060103 03090006 01030309 ................ + 04e80 00090103 03090009 01030509 000f0103 ................ + 04e90 0209000c 01030f09 00050103 00090003 ................ + 04ea0 01030909 00150103 02090006 01030209 ................ + 04eb0 00060103 01090003 01031209 00050103 ................ + 04ec0 00090003 01030109 00030103 02090003 ................ + 04ed0 01030209 000a0103 02090009 01030109 ................ + 04ee0 00030103 0c090002 01037809 00020103 ..........x..... + 04ef0 01090005 01030709 00020103 75090006 ............u... + 04f00 01030109 00030103 0a090002 01030b09 ................ + 04f10 00030103 00090003 01030909 00030103 ................ + 04f20 0209000b 01030709 00080103 02090005 ................ + 04f30 01030509 000e0103 01090009 01037809 ..............x. + 04f40 000a0103 11090005 01037c09 00020103 ..........|..... + 04f50 01090009 01030309 00020103 6a090002 ............j... + 04f60 01030109 00090103 15090002 01030b09 ................ + 04f70 00020103 00090003 01030809 00030103 ................ + 04f80 02090008 01030709 00080103 02090003 ................ + 04f90 01030409 00070103 7e090002 01037e09 ........~.....~. + 04fa0 00020103 04090003 01037a09 00030103 ..........z..... + 04fb0 08090003 01030609 00020103 7e090002 ............~... + 04fc0 01030209 00020103 6d090005 01030109 ........m....... + 04fd0 00090103 12090002 01031309 00050103 ................ + 04fe0 00090003 01030209 00080103 01090008 ................ + 04ff0 01030309 00030103 7d090003 01030509 ........}....... + 05000 000a0103 0409001d 01030509 000c0103 ................ + 05010 0d090014 01030409 00120103 0b090008 ................ + 05020 01030109 00140103 01090012 01030309 ................ + 05030 00050103 01090014 01030109 000f0103 ................ + 05040 7f090006 01030109 00030103 0b090003 ................ + 05050 01030109 00140103 0209000e 01037e09 ..............~. + 05060 00050103 02090003 01030409 00030103 ................ + 05070 0e090017 01030109 00140103 0109000e ................ + 05080 01037f09 00030103 01090003 01030309 ................ + 05090 00050103 0109000e 01037f09 00050103 ................ + 050a0 01090003 01030309 00030103 01090017 ................ + 050b0 01030a09 000f0103 0209000f 01030109 ................ + 050c0 000b0103 02090008 01037b09 00080103 ..........{..... + 050d0 09090003 01030209 000b0103 3209000f ............2... + 050e0 01035209 00020103 01090017 01030109 ..R............. + 050f0 000f0103 7f090005 01030109 00030103 ................ + 05100 02090003 01030109 00170103 0109000f ................ + 05110 01030809 00080103 0709000c 01030309 ................ + 05120 00060103 02090003 01031409 00030103 ................ + 05130 70090002 01030809 00050103 6d090009 p...........m... + 05140 01030d09 00030103 01090005 01030d09 ................ + 05150 00070103 02090005 01030009 00030103 ................ + 05160 0409000c 01037f09 00020103 7f090002 ................ + 05170 01037f09 00020103 04090002 01090002 ................ + 05180 00010100 000e7d00 0200000c f90101fb ......}......... + 05190 0e0a0001 01010100 0000012f 726f6f74 .........../root + 051a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 051b0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 051c0 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + 051d0 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + 051e0 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + 051f0 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 05200 6e74722f 73726300 2f726f6f 742f576f ntr/src./root/Wo + 05210 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 05220 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 05230 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 05240 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 05250 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 05260 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma + 05270 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works + 05280 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 05290 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 052a0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 052b0 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 052c0 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo + 052d0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 052e0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 052f0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 05300 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt + 05310 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 05320 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 05330 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 05340 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 05350 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 05360 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 05370 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 05380 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 05390 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 053a0 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 053b0 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 053c0 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 053d0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 053e0 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 053f0 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 05400 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 05410 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 05420 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 05430 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 05440 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 05450 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 05460 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 05470 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 05480 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 05490 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 054a0 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 054b0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 054c0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 054d0 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 054e0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 054f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 05500 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 05510 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 05520 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 05530 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 05540 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 05550 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 05560 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 05570 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 05580 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 05590 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 055a0 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 055b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 055c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 055d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 055e0 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 055f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 05600 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 05610 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 05620 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 05630 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 05640 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 05650 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 05660 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 05670 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 05680 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 05690 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 056a0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 056b0 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 056c0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 056d0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 056e0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 056f0 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 05700 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 05710 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 05720 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 05730 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 05740 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 05750 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 05760 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 05770 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 05780 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 05790 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 057a0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 057b0 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 057c0 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 057d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 057e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 057f0 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 05800 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 05810 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 05820 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 05830 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 05840 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 05850 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 05860 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 05870 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 05880 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 05890 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 058a0 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 058b0 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 058c0 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 058d0 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 058e0 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 058f0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 05900 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 05910 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 05920 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 05930 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 05940 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 05950 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 05960 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 05970 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 05980 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 05990 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 059a0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 059b0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 059c0 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 059d0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 059e0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 059f0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 05a00 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 05a10 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 05a20 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 05a30 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 05a40 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 05a50 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 05a60 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 05a70 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 05a80 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 05a90 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 05aa0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 05ab0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 05ac0 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 05ad0 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c + 05ae0 6d6e6f73 5f696e74 722e6300 01000073 mnos_intr.c....s + 05af0 79735f63 66672e68 00020000 726f6d5f ys_cfg.h....rom_ + 05b00 6366672e 68000300 006d6167 7069655f cfg.h....magpie_ + 05b10 6d656d2e 68000200 00617468 6f735f61 mem.h....athos_a + 05b20 70692e68 00020000 6f736170 692e6800 pi.h....osapi.h. + 05b30 0400004f 5455535f 736f632e 68000500 ...OTUS_soc.h... + 05b40 0064745f 64656673 2e680004 0000636d .dt_defs.h....cm + 05b50 6e6f735f 6170692e 68000600 00636f72 nos_api.h....cor + 05b60 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... + 05b70 636f7265 2d697361 2e680009 0000636f core-isa.h....co + 05b80 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t + 05b90 69652e68 00090000 78747275 6e74696d ie.h....xtruntim + 05ba0 652e6800 08000073 70656372 65672e68 e.h....specreg.h + 05bb0 00090000 636f7265 62697473 2e680008 ....corebits.h.. + 05bc0 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. + 05bd0 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... + 05be0 7265675f 64656673 2e680003 00006462 reg_defs.h....db + 05bf0 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + 05c00 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + 05c10 2e68000e 00007374 72696e67 5f617069 .h....string_api + 05c20 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + 05c30 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + 05c40 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 05c50 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + 05c60 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + 05c70 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + 05c80 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + 05c90 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + 05ca0 7573625f 6170692e 68001800 00686966 usb_api.h....hif + 05cb0 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + 05cc0 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + 05cd0 68001900 00616466 5f6f735f 7574696c h....adf_os_util + 05ce0 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + 05cf0 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + 05d00 735f7479 7065732e 68001a00 00616466 s_types.h....adf + 05d10 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + 05d20 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 05d30 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + 05d40 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. + 05d50 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... + 05d60 00737464 6172672e 68000400 0076612d .stdarg.h....va- + 05d70 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ + 05d80 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ + 05d90 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... + 05da0 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + 05db0 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + 05dc0 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. + 05dd0 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... + 05de0 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... + 05df0 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... + 05e00 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht + 05e10 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ + 05e20 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc + 05e30 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. + 05e40 22000061 74686465 66732e68 00220000 "..athdefs.h.".. + 05e50 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h + 05e60 00250000 72656764 756d702e 68000200 .%..regdump.h... + 05e70 006d6167 7069655f 72656764 756d702e .magpie_regdump. + 05e80 68000200 00000005 02008e21 20031d01 h..........! ... + 05e90 03020900 0301030a 09000501 03000900 ................ + 05ea0 03010304 09000301 03030900 06010301 ................ + 05eb0 09000901 03030900 07010302 09000801 ................ + 05ec0 03050900 04010300 09000301 03050900 ................ + 05ed0 03010301 09000601 037f0900 03010301 ................ + 05ee0 09000201 03030900 0301037e 09000301 ...........~.... + 05ef0 03020900 1201037e 09000301 03020900 .......~........ + 05f00 05010301 09000301 03010900 08010304 ................ + 05f10 09000401 03000900 03010305 09000301 ................ + 05f20 03010900 0601037f 09000301 03010900 ................ + 05f30 02010305 09000301 037c0900 06010304 .........|...... + 05f40 09000a01 037c0900 03010304 09000501 .....|.......... + 05f50 03010900 03010301 09000801 03050900 ................ + 05f60 05010300 09000301 03030900 03010300 ................ + 05f70 09002001 03010900 05010300 09001b01 .. ............. + 05f80 03020900 06010305 09000901 037d0900 .............}.. + 05f90 03010301 09000501 03020900 02010301 ................ + 05fa0 09000301 030d0900 02010300 09000301 ................ + 05fb0 03010900 03010300 09002001 03010900 .......... ..... + 05fc0 06010300 09002701 03040900 03010308 ......'......... + 05fd0 09000a01 03000900 03010305 09000f01 ................ + 05fe0 037f0900 0201037f 09000201 037f0900 ................ + 05ff0 0201037f 09000201 030f0900 02010900 ................ + 06000 02000101 00000d22 00020000 0cd60101 ......."........ + 06010 fb0e0a00 01010101 00000001 2f726f6f ............/roo + 06020 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 06030 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 06040 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 06050 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 06060 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 06070 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 06080 6d656d2f 73726300 2f726f6f 742f576f mem/src./root/Wo + 06090 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 060a0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 060b0 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 060c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 060d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 060e0 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma + 060f0 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works + 06100 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 06110 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 06120 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 06130 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 06140 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo + 06150 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 06160 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 06170 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 06180 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt + 06190 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 061a0 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 061b0 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 061c0 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 061d0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 061e0 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 061f0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 06200 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 06210 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 06220 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 06230 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 06240 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 06250 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 06260 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 06270 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 06280 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 06290 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 062a0 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 062b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 062c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 062d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 062e0 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 062f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 06300 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 06310 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 06320 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 06330 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 06340 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 06350 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 06360 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 06370 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 06380 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 06390 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 063a0 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 063b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 063c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 063d0 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 063e0 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 063f0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 06400 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 06410 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 06420 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 06430 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 06440 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 06450 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 06460 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 06470 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 06480 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 06490 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 064a0 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 064b0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 064c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 064d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 064e0 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 064f0 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 06500 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 06510 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 06520 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 06530 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 06540 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 06550 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 06560 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 06570 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 06580 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 06590 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 065a0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 065b0 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 065c0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 065d0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 065e0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 065f0 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 06600 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 06610 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 06620 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 06630 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 06640 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 06650 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 06660 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 06670 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 06680 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 06690 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 066a0 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 066b0 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 066c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 066d0 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 066e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 066f0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 06700 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 06710 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 06720 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 06730 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 06740 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 06750 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 06760 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 06770 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 06780 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 06790 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 067a0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 067b0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 067c0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 067d0 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 067e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 067f0 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 06800 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 06810 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 06820 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 06830 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 06840 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 06850 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 06860 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 06870 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 06880 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 06890 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 068a0 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 068b0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 068c0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 068d0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 068e0 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 068f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 06900 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 06910 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 06920 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 06930 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 06940 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 06950 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c + 06960 6d6e6f73 5f6d656d 2e630001 00007379 mnos_mem.c....sy + 06970 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c + 06980 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m + 06990 656d2e68 00020000 6174686f 735f6170 em.h....athos_ap + 069a0 692e6800 0200006f 73617069 2e680004 i.h....osapi.h.. + 069b0 00004f54 55535f73 6f632e68 00050000 ..OTUS_soc.h.... + 069c0 64745f64 6566732e 68000400 00636d6e dt_defs.h....cmn + 069d0 6f735f61 70692e68 00060000 636f7265 os_api.h....core + 069e0 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 069f0 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 06a00 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 06a10 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 06a20 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 06a30 09000063 6f726562 6974732e 68000800 ...corebits.h... + 06a40 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 06a50 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 06a60 65675f64 6566732e 68000300 00646267 eg_defs.h....dbg + 06a70 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 06a80 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 06a90 68000e00 00737472 696e675f 6170692e h....string_api. + 06aa0 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 06ab0 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 06ac0 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 06ad0 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 06ae0 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 06af0 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 06b00 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 06b10 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 06b20 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 06b30 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 06b40 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 06b50 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 06b60 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 06b70 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 06b80 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 06b90 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 06ba0 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 06bb0 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 06bc0 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 06bd0 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 06be0 73746461 72672e68 00040000 76612d78 stdarg.h....va-x + 06bf0 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 06c00 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 06c10 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 06c20 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 06c30 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 06c40 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 06c50 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M + 06c60 61677069 655f6170 692e6800 02000075 agpie_api.h....u + 06c70 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 06c80 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 06c90 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 06ca0 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 06cb0 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 06cc0 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 06cd0 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 06ce0 25000000 00050200 8e22b003 09010301 %........"...... + 06cf0 09000301 030b0900 05010300 09000301 ................ + 06d00 03050900 0f01037f 09000201 037f0900 ................ + 06d10 0201037f 09000201 037f0900 02010305 ................ + 06d20 09000201 09000200 01010000 0ea70002 ................ + 06d30 00000cf9 0101fb0e 0a000101 01010000 ................ + 06d40 00012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 06d50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 06d60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 06d70 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 06d80 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 06d90 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 06da0 636d6e6f 732f6d69 73632f73 7263002f cmnos/misc/src./ + 06db0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 06dc0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 06dd0 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 06de0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 06df0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 06e00 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 06e10 2f696e63 2f6d6167 70696500 2f726f6f /inc/magpie./roo + 06e20 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 06e30 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 06e40 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 06e50 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 06e60 67742f74 61726765 742f696e 632f4f54 gt/target/inc/OT + 06e70 5553002f 726f6f74 2f576f72 6b737061 US./root/Workspa + 06e80 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 06e90 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 06ea0 312f726f 6d2f636d 6e6f732f 696e6300 1/rom/cmnos/inc. + 06eb0 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 06ec0 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 06ed0 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 06ee0 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 06ef0 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 06f00 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 06f10 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt + 06f20 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 06f30 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 06f40 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 06f50 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 06f60 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op + 06f70 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 06f80 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 06f90 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 06fa0 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 06fb0 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc + 06fc0 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 06fd0 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa + 06fe0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 06ff0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 07000 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin + 07010 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor + 07020 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 07030 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 07040 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 07050 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W + 07060 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 07070 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm + 07080 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo + 07090 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 070a0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 070b0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 070c0 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro + 070d0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 070e0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 070f0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 07100 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ + 07110 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 07120 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 07130 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 07140 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i + 07150 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 07160 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 07170 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 07180 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time + 07190 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 071a0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 071b0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 071c0 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r + 071d0 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo + 071e0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 071f0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 07200 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 07210 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in + 07220 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 07230 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 07240 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 07250 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl + 07260 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 07270 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 07280 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 07290 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 072a0 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ + 072b0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 072c0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 072d0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 072e0 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo + 072f0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 07300 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 07310 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 07320 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro + 07330 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 07340 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 07350 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 07360 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc + 07370 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 07380 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 07390 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 073a0 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo + 073b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 073c0 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 073d0 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root + 073e0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 073f0 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 07400 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo + 07410 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 07420 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf + 07430 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte + 07440 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 07450 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 07460 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 07470 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc + 07480 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro + 07490 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 074a0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 074b0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 074c0 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 074d0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 074e0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 074f0 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 07500 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 07510 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 07520 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 07530 6e657400 2f726f6f 742f576f 726b7370 net./root/Worksp + 07540 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 07550 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 07560 5f312f72 6f6d2f61 64662f6e 6275662f _1/rom/adf/nbuf/ + 07570 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 07580 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 07590 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 075a0 5f312f72 6f6d2f68 74632f69 6e63002f _1/rom/htc/inc./ + 075b0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 075c0 3431325f 76323032 2f776c61 6e2f696e 412_v202/wlan/in + 075d0 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 075e0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 075f0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 07600 5f315f31 2f726f6d 2f627566 5f706f6f _1_1/rom/buf_poo + 07610 6c2f696e 63002f72 6f6f742f 576f726b l/inc./root/Work + 07620 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 07630 7467742f 74617267 65742f77 6d692f69 tgt/target/wmi/i + 07640 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 07650 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 07660 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 07670 312f726f 6d2f646d 615f656e 67696e65 1/rom/dma_engine + 07680 2f696e63 0000636d 6e6f735f 6d697363 /inc..cmnos_misc + 07690 2e630001 00007379 735f6366 672e6800 .c....sys_cfg.h. + 076a0 02000072 6f6d5f63 66672e68 00030000 ...rom_cfg.h.... + 076b0 6d616770 69655f6d 656d2e68 00020000 magpie_mem.h.... + 076c0 6174686f 735f6170 692e6800 0200006f athos_api.h....o + 076d0 73617069 2e680004 00004f54 55535f73 sapi.h....OTUS_s + 076e0 6f632e68 00050000 64745f64 6566732e oc.h....dt_defs. + 076f0 68000400 00636d6e 6f735f61 70692e68 h....cmnos_api.h + 07700 00060000 636f7265 2e680007 00006861 ....core.h....ha + 07710 6c2e6800 08000063 6f72652d 6973612e l.h....core-isa. + 07720 68000900 00636f72 652d6d61 746d6170 h....core-matmap + 07730 2e680009 00007469 652e6800 09000078 .h....tie.h....x + 07740 7472756e 74696d65 2e680008 00007370 truntime.h....sp + 07750 65637265 672e6800 09000063 6f726562 ecreg.h....coreb + 07760 6974732e 68000800 00707269 6e74665f its.h....printf_ + 07770 6170692e 68000a00 00756172 745f6170 api.h....uart_ap + 07780 692e6800 0b000072 65675f64 6566732e i.h....reg_defs. + 07790 68000300 00646267 5f617069 2e68000c h....dbg_api.h.. + 077a0 00006d65 6d5f6170 692e6800 0d00006d ..mem_api.h....m + 077b0 6973635f 6170692e 68000e00 00737472 isc_api.h....str + 077c0 696e675f 6170692e 68000f00 0074696d ing_api.h....tim + 077d0 65725f61 70692e68 00100000 726f6d70 er_api.h....romp + 077e0 5f617069 2e680011 0000616c 6c6f6372 _api.h....allocr + 077f0 616d5f61 70692e68 00120000 7461736b am_api.h....task + 07800 6c65745f 6170692e 68001300 00636c6f let_api.h....clo + 07810 636b5f61 70692e68 00140000 696e7472 ck_api.h....intr + 07820 5f617069 2e680015 00007764 745f6170 _api.h....wdt_ap + 07830 692e6800 16000065 6570726f 6d5f6170 i.h....eeprom_ap + 07840 692e6800 17000075 73625f61 70692e68 i.h....usb_api.h + 07850 00180000 6869665f 7063692e 68001800 ....hif_pci.h... + 07860 00686966 5f617069 2e680018 00006164 .hif_api.h....ad + 07870 665f6e62 75662e68 00190000 6164665f f_nbuf.h....adf_ + 07880 6f735f75 74696c2e 68001a00 00616466 os_util.h....adf + 07890 5f6f735f 7574696c 5f707674 2e68001b _os_util_pvt.h.. + 078a0 00006164 665f6f73 5f747970 65732e68 ..adf_os_types.h + 078b0 001a0000 6164665f 6f735f73 74647479 ....adf_os_stdty + 078c0 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ + 078d0 74797065 735f7076 742e6800 1b000073 types_pvt.h....s + 078e0 74646465 662e6800 1c000076 6275665f tddef.h....vbuf_ + 078f0 6170692e 68001d00 00766465 73635f61 api.h....vdesc_a + 07900 70692e68 001e0000 73746461 72672e68 pi.h....stdarg.h + 07910 00040000 76612d78 74656e73 612e6800 ....va-xtensa.h. + 07920 1c000061 64665f6f 735f646d 612e6800 ...adf_os_dma.h. + 07930 1a000061 64665f6f 735f646d 615f7076 ...adf_os_dma_pv + 07940 742e6800 1b000061 64665f6e 65745f74 t.h....adf_net_t + 07950 79706573 2e68001f 00006164 665f6e62 ypes.h....adf_nb + 07960 75665f70 76742e68 00200000 646d615f uf_pvt.h. ..dma_ + 07970 6c69622e 68001800 00686966 5f676d61 lib.h....hif_gma + 07980 632e6800 1800004d 61677069 655f6170 c.h....Magpie_ap + 07990 692e6800 02000075 73626669 666f5f61 i.h....usbfifo_a + 079a0 70692e68 00180000 6874635f 6170692e pi.h....htc_api. + 079b0 68002100 00687463 2e680022 00006275 h.!..htc.h."..bu + 079c0 665f706f 6f6c5f61 70692e68 00230000 f_pool_api.h.#.. + 079d0 776d695f 7376635f 6170692e 68002400 wmi_svc_api.h.$. + 079e0 00776d69 2e680022 00006174 68646566 .wmi.h."..athdef + 079f0 732e6800 22000064 6d615f65 6e67696e s.h."..dma_engin + 07a00 655f6170 692e6800 25000072 65676475 e_api.h.%..regdu + 07a10 6d702e68 00020000 6d616770 69655f72 mp.h....magpie_r + 07a20 65676475 6d702e68 00020000 00000502 egdump.h........ + 07a30 008e22d8 03140103 00090003 01030509 .."............. + 07a40 00060103 01090006 01031109 00050103 ................ + 07a50 05090003 01030909 00050103 00090003 ................ + 07a60 01030209 00030103 0609000e 01037f09 ................ + 07a70 00040103 01090005 01037f09 00030103 ................ + 07a80 05090006 01030209 000a0103 7f090004 ................ + 07a90 01030109 00040103 04090006 01030109 ................ + 07aa0 00080103 02090008 01030b09 00060103 ................ + 07ab0 00090003 01030109 00030103 03090008 ................ + 07ac0 01030209 00020103 02090002 01030409 ................ + 07ad0 000b0103 0509000c 01030309 000b0103 ................ + 07ae0 01090009 01030109 00040103 01090005 ................ + 07af0 01030209 00090103 0209000b 01037a09 ..............z. + 07b00 00040103 07090003 01030909 00090103 ................ + 07b10 07090002 01030209 00030103 07090005 ................ + 07b20 01030209 00030103 07090005 01030409 ................ + 07b30 00030103 06090011 01037d09 00090103 ..........}..... + 07b40 0909000b 01030809 00080103 00090003 ................ + 07b50 01030b09 00030103 0e090006 01037e09 ..............~. + 07b60 00040103 02090006 01030e09 00030103 ................ + 07b70 79090002 01030709 00020103 09090003 y............... + 07b80 01030009 00030103 03090003 01030809 ................ + 07b90 00060103 00090003 01030909 00180103 ................ + 07ba0 7f090002 01037f09 00020103 7e090002 ............~... + 07bb0 01037f09 00020103 7f090002 01037f09 ................ + 07bc0 00020103 7f090002 01030909 00020109 ................ + 07bd0 00020001 01000010 c3000200 000cdc01 ................ + 07be0 01fb0e0a 00010101 01000000 012f726f ............./ro + 07bf0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 07c00 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 07c10 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 07c20 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 07c30 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 07c40 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 07c50 2f707269 6e74662f 73726300 2f726f6f /printf/src./roo + 07c60 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 07c70 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 07c80 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 07c90 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 07ca0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 07cb0 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo + 07cc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 07cd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 07ce0 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 07cf0 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 07d00 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 07d10 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 07d20 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 07d30 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 07d40 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 07d50 6f6d2f63 6d6e6f73 2f696e63 002f6f70 om/cmnos/inc./op + 07d60 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 07d70 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 07d80 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 07d90 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 07da0 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 07db0 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 07dc0 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 07dd0 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 07de0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 07df0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 07e00 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 07e10 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x + 07e20 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 07e30 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + 07e40 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 07e50 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + 07e60 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + 07e70 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 07e80 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 07e90 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 07ea0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 07eb0 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ + 07ec0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 07ed0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 07ee0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 07ef0 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar + 07f00 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 07f10 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 07f20 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos + 07f30 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W + 07f40 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 07f50 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 07f60 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 07f70 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ + 07f80 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 07f90 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 07fa0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 07fb0 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo + 07fc0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 07fd0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 07fe0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 07ff0 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. + 08000 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 08010 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 08020 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 08030 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i + 08040 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 08050 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 08060 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 08070 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp + 08080 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W + 08090 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 080a0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 080b0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 080c0 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ + 080d0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 080e0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 080f0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 08100 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ + 08110 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 08120 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 08130 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 08140 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo + 08150 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor + 08160 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 08170 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 08180 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 08190 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W + 081a0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 081b0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 081c0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 081d0 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ + 081e0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 081f0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 08200 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 08210 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r + 08220 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 08230 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 08240 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 08250 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W + 08260 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 08270 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 08280 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo + 08290 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 082a0 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 082b0 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works + 082c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 082d0 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os + 082e0 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + 082f0 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 08300 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 08310 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 08320 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li + 08330 622f696e 636c7564 65002f72 6f6f742f b/include./root/ + 08340 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 08350 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 08360 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + 08370 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 08380 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 08390 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 083a0 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i + 083b0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 083c0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 083d0 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net + 083e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 083f0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 08400 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 08410 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc + 08420 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 08430 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 08440 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 08450 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo + 08460 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 08470 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu + 08480 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 08490 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 084a0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 084b0 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i + 084c0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 084d0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 084e0 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. + 084f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 08500 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 08510 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 08520 6f6d2f64 6d615f65 6e67696e 652f696e om/dma_engine/in + 08530 63000063 6d6e6f73 5f707269 6e74662e c..cmnos_printf. + 08540 63000100 0064745f 64656673 2e680002 c....dt_defs.h.. + 08550 00007379 735f6366 672e6800 03000072 ..sys_cfg.h....r + 08560 6f6d5f63 66672e68 00040000 6d616770 om_cfg.h....magp + 08570 69655f6d 656d2e68 00030000 6174686f ie_mem.h....atho + 08580 735f6170 692e6800 0300006f 73617069 s_api.h....osapi + 08590 2e680002 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 085a0 00050000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. + 085b0 06000063 6f72652e 68000700 0068616c ...core.h....hal + 085c0 2e680008 0000636f 72652d69 73612e68 .h....core-isa.h + 085d0 00090000 636f7265 2d6d6174 6d61702e ....core-matmap. + 085e0 68000900 00746965 2e680009 00007874 h....tie.h....xt + 085f0 72756e74 696d652e 68000800 00737065 runtime.h....spe + 08600 63726567 2e680009 0000636f 72656269 creg.h....corebi + 08610 74732e68 00080000 7072696e 74665f61 ts.h....printf_a + 08620 70692e68 000a0000 75617274 5f617069 pi.h....uart_api + 08630 2e68000b 00007265 675f6465 66732e68 .h....reg_defs.h + 08640 00040000 6462675f 6170692e 68000c00 ....dbg_api.h... + 08650 006d656d 5f617069 2e68000d 00006d69 .mem_api.h....mi + 08660 73635f61 70692e68 000e0000 73747269 sc_api.h....stri + 08670 6e675f61 70692e68 000f0000 74696d65 ng_api.h....time + 08680 725f6170 692e6800 10000072 6f6d705f r_api.h....romp_ + 08690 6170692e 68001100 00616c6c 6f637261 api.h....allocra + 086a0 6d5f6170 692e6800 12000074 61736b6c m_api.h....taskl + 086b0 65745f61 70692e68 00130000 636c6f63 et_api.h....cloc + 086c0 6b5f6170 692e6800 14000069 6e74725f k_api.h....intr_ + 086d0 6170692e 68001500 00776474 5f617069 api.h....wdt_api + 086e0 2e680016 00006565 70726f6d 5f617069 .h....eeprom_api + 086f0 2e680017 00007573 625f6170 692e6800 .h....usb_api.h. + 08700 18000068 69665f70 63692e68 00180000 ...hif_pci.h.... + 08710 6869665f 6170692e 68001800 00616466 hif_api.h....adf + 08720 5f6e6275 662e6800 19000061 64665f6f _nbuf.h....adf_o + 08730 735f7574 696c2e68 001a0000 6164665f s_util.h....adf_ + 08740 6f735f75 74696c5f 7076742e 68001b00 os_util_pvt.h... + 08750 00616466 5f6f735f 74797065 732e6800 .adf_os_types.h. + 08760 1a000061 64665f6f 735f7374 64747970 ...adf_os_stdtyp + 08770 65732e68 001a0000 6164665f 6f735f74 es.h....adf_os_t + 08780 79706573 5f707674 2e68001b 00007374 ypes_pvt.h....st + 08790 64646566 2e68001c 00007662 75665f61 ddef.h....vbuf_a + 087a0 70692e68 001d0000 76646573 635f6170 pi.h....vdesc_ap + 087b0 692e6800 1e000073 74646172 672e6800 i.h....stdarg.h. + 087c0 02000076 612d7874 656e7361 2e68001c ...va-xtensa.h.. + 087d0 00006164 665f6f73 5f646d61 2e68001a ..adf_os_dma.h.. + 087e0 00006164 665f6f73 5f646d61 5f707674 ..adf_os_dma_pvt + 087f0 2e68001b 00006164 665f6e65 745f7479 .h....adf_net_ty + 08800 7065732e 68001f00 00616466 5f6e6275 pes.h....adf_nbu + 08810 665f7076 742e6800 20000064 6d615f6c f_pvt.h. ..dma_l + 08820 69622e68 00180000 6869665f 676d6163 ib.h....hif_gmac + 08830 2e680018 00004d61 67706965 5f617069 .h....Magpie_api + 08840 2e680003 00007573 62666966 6f5f6170 .h....usbfifo_ap + 08850 692e6800 18000068 74635f61 70692e68 i.h....htc_api.h + 08860 00210000 6874632e 68002200 00627566 .!..htc.h."..buf + 08870 5f706f6f 6c5f6170 692e6800 23000077 _pool_api.h.#..w + 08880 6d695f73 76635f61 70692e68 00240000 mi_svc_api.h.$.. + 08890 776d692e 68002200 00617468 64656673 wmi.h."..athdefs + 088a0 2e680022 0000646d 615f656e 67696e65 .h."..dma_engine + 088b0 5f617069 2e680025 00000000 0502008e _api.h.%........ + 088c0 2450033a 01030009 00030103 01090003 $P.:............ + 088d0 01030109 00030103 01090008 01030509 ................ + 088e0 00080103 7c090002 01030209 00050103 ....|........... + 088f0 02090008 01030509 00040103 00090003 ................ + 08900 01030709 00020103 0209000c 01030109 ................ + 08910 00020103 01090007 01037f09 00020103 ................ + 08920 01090005 01037f09 00020103 01090005 ................ + 08930 01037e09 00050103 09090003 01037709 ..~...........w. + 08940 00020103 05090002 01030109 000c0103 ................ + 08950 7f09000d 01030409 00020103 01090003 ................ + 08960 01030509 00020103 00090003 01030609 ................ + 08970 00020103 7a090002 01030609 00080103 ....z........... + 08980 0209000f 01030109 00080103 7f090002 ................ + 08990 01030109 00030103 01090003 01030209 ................ + 089a0 00030103 7e090002 01030209 00020103 ....~........... + 089b0 01090003 0103af01 09000c01 03400900 .............@.. + 089c0 08010301 09000c01 03010900 0e010301 ................ + 089d0 09000201 038e7f09 00030103 03090004 ................ + 089e0 01030209 00050103 0209000c 01030209 ................ + 089f0 00040103 0109000d 01030109 000b0103 ................ + 08a00 7f090005 01037f09 00020103 0f090012 ................ + 08a10 01037509 00030103 01090005 01030109 ..u............. + 08a20 00030103 7f090002 01030209 00020103 ................ + 08a30 7f090003 01030109 00040103 02090006 ................ + 08a40 01037e09 00050103 35090009 01035309 ..~.....5.....S. + 08a50 00020103 02090003 01030409 00030103 ................ + 08a60 7c090002 01030409 00080103 2709000e |...........'... + 08a70 01036a09 00530103 0609000f 01037a09 ..j..S........z. + 08a80 00050103 06090008 01030109 00060103 ................ + 08a90 02090003 0103d200 09000801 03570900 .............W.. + 08aa0 4e01037b 09001501 03010900 0e010368 N..{...........h + 08ab0 09000301 03690900 02010301 09000301 .....i.......... + 08ac0 03db0009 00170103 6b090036 01030909 ........k..6.... + 08ad0 00020103 78090002 01037f09 00020103 ....x........... + 08ae0 01090002 01030809 00030103 01090008 ................ + 08af0 01030109 00080103 7f090002 01030109 ................ + 08b00 00090103 63090002 01032809 00040103 ....c.....(..... + 08b10 04090002 01037d09 00020103 7f090003 ......}......... + 08b20 01030209 00030103 7f090002 01030509 ................ + 08b30 00070103 01090007 01030109 00030103 ................ + 08b40 0409000c 01030209 00020103 0209000b ................ + 08b50 01030109 00070103 7e090002 01030509 ........~....... + 08b60 000d0103 01090009 01030109 00030103 ................ + 08b70 03090007 01030109 00050103 01090006 ................ + 08b80 01037d09 00020103 0509000a 0103f67e ..}............~ + 08b90 09001001 03120900 05010301 09000301 ................ + 08ba0 03d90009 00160103 bf7f0900 15010301 ................ + 08bb0 09000501 03020900 0601033e 09000b01 ...........>.... + 08bc0 035c0900 05010324 09001401 037d0900 .\.....$.....}.. + 08bd0 06010301 09000501 03010900 05010301 ................ + 08be0 09000501 037a0900 05010301 09000501 .....z.......... + 08bf0 03250900 03010301 09000501 037e0900 .%...........~.. + 08c00 02010388 7f09000c 01033509 000d0103 ..........5..... + 08c10 05090020 01031f09 00190103 65090005 ... ........e... + 08c20 0103c400 09001701 03a57f09 00020103 ................ + 08c30 5b09001a 01032c09 00230103 0109000e [.....,..#...... + 08c40 01030b09 00030103 cc000900 08010300 ................ + 08c50 09000301 03080900 0c010378 09000501 ...........x.... + 08c60 03080900 10010307 09000b01 03050900 ................ + 08c70 05010301 09000301 03030900 05010300 ................ + 08c80 09000301 03020900 0601037f 09000201 ................ + 08c90 03020900 02010900 02000101 00000e76 ...............v + 08ca0 00020000 0ce00101 fb0e0a00 01010101 ................ + 08cb0 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp + 08cc0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 08cd0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 08ce0 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 08cf0 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 08d00 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 08d10 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 08d20 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + 08d30 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 08d40 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 08d50 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo + 08d60 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 08d70 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 08d80 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 08d90 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 08da0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 08db0 74617267 65742f69 6e63002f 726f6f74 target/inc./root + 08dc0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 08dd0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 08de0 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 08df0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 08e00 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 08e10 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 08e20 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 08e30 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 08e40 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 08e50 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 08e60 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 08e70 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 08e80 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + 08e90 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 08ea0 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 08eb0 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 08ec0 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 08ed0 656c662f 696e636c 7564652f 7874656e elf/include/xten + 08ee0 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + 08ef0 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 08f00 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 08f10 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 08f20 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 08f30 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 08f40 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + 08f50 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 08f60 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 08f70 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 08f80 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + 08f90 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 08fa0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 08fb0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 08fc0 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + 08fd0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 08fe0 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 08ff0 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + 09000 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 09010 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 09020 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 09030 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + 09040 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 09050 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 09060 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 09070 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 09080 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 09090 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 090a0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 090b0 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + 090c0 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + 090d0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 090e0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 090f0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 09100 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + 09110 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 09120 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 09130 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 09140 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + 09150 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 09160 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 09170 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 09180 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 09190 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + 091a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 091b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 091c0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 091d0 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + 091e0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 091f0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 09200 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 09210 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + 09220 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 09230 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 09240 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 09250 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + 09260 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 09270 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 09280 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 09290 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + 092a0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 092b0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 092c0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 092d0 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 092e0 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + 092f0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 09300 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 09310 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + 09320 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 09330 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 09340 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + 09350 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 09360 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 09370 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + 09380 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 09390 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 093a0 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o + 093b0 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 093c0 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 093d0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 093e0 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l + 093f0 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu + 09400 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 09410 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 09420 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 09430 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ + 09440 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 09450 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 09460 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 09470 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo + 09480 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 09490 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 094a0 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ + 094b0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 094c0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 094d0 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf + 094e0 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ + 094f0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 09500 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 09510 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + 09520 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 09530 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w + 09540 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo + 09550 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 09560 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 09570 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b + 09580 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo + 09590 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 095a0 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 095b0 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W + 095c0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 095d0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 095e0 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 095f0 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno + 09600 735f726f 6d706174 63682e63 00010000 s_rompatch.c.... + 09610 7379735f 6366672e 68000200 00726f6d sys_cfg.h....rom + 09620 5f636667 2e680003 00006d61 67706965 _cfg.h....magpie + 09630 5f6d656d 2e680002 00006174 686f735f _mem.h....athos_ + 09640 6170692e 68000200 006f7361 70692e68 api.h....osapi.h + 09650 00040000 4f545553 5f736f63 2e680005 ....OTUS_soc.h.. + 09660 00006474 5f646566 732e6800 04000063 ..dt_defs.h....c + 09670 6d6e6f73 5f617069 2e680006 0000636f mnos_api.h....co + 09680 72652e68 00070000 68616c2e 68000800 re.h....hal.h... + 09690 00636f72 652d6973 612e6800 09000063 .core-isa.h....c + 096a0 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... + 096b0 7469652e 68000900 00787472 756e7469 tie.h....xtrunti + 096c0 6d652e68 00080000 73706563 7265672e me.h....specreg. + 096d0 68000900 00636f72 65626974 732e6800 h....corebits.h. + 096e0 08000070 72696e74 665f6170 692e6800 ...printf_api.h. + 096f0 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... + 09700 00726567 5f646566 732e6800 03000064 .reg_defs.h....d + 09710 62675f61 70692e68 000c0000 6d656d5f bg_api.h....mem_ + 09720 6170692e 68000d00 006d6973 635f6170 api.h....misc_ap + 09730 692e6800 0e000073 7472696e 675f6170 i.h....string_ap + 09740 692e6800 0f000074 696d6572 5f617069 i.h....timer_api + 09750 2e680010 0000726f 6d705f61 70692e68 .h....romp_api.h + 09760 00110000 616c6c6f 6372616d 5f617069 ....allocram_api + 09770 2e680012 00007461 736b6c65 745f6170 .h....tasklet_ap + 09780 692e6800 13000063 6c6f636b 5f617069 i.h....clock_api + 09790 2e680014 0000696e 74725f61 70692e68 .h....intr_api.h + 097a0 00150000 7764745f 6170692e 68001600 ....wdt_api.h... + 097b0 00656570 726f6d5f 6170692e 68001700 .eeprom_api.h... + 097c0 00757362 5f617069 2e680018 00006869 .usb_api.h....hi + 097d0 665f7063 692e6800 18000068 69665f61 f_pci.h....hif_a + 097e0 70692e68 00180000 6164665f 6e627566 pi.h....adf_nbuf + 097f0 2e680019 00006164 665f6f73 5f757469 .h....adf_os_uti + 09800 6c2e6800 1a000061 64665f6f 735f7574 l.h....adf_os_ut + 09810 696c5f70 76742e68 001b0000 6164665f il_pvt.h....adf_ + 09820 6f735f74 79706573 2e68001a 00006164 os_types.h....ad + 09830 665f6f73 5f737464 74797065 732e6800 f_os_stdtypes.h. + 09840 1a000061 64665f6f 735f7479 7065735f ...adf_os_types_ + 09850 7076742e 68001b00 00737464 6465662e pvt.h....stddef. + 09860 68001c00 00766275 665f6170 692e6800 h....vbuf_api.h. + 09870 1d000076 64657363 5f617069 2e68001e ...vdesc_api.h.. + 09880 00007374 64617267 2e680004 00007661 ..stdarg.h....va + 09890 2d787465 6e73612e 68001c00 00616466 -xtensa.h....adf + 098a0 5f6f735f 646d612e 68001a00 00616466 _os_dma.h....adf + 098b0 5f6f735f 646d615f 7076742e 68001b00 _os_dma_pvt.h... + 098c0 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h + 098d0 001f0000 6164665f 6e627566 5f707674 ....adf_nbuf_pvt + 098e0 2e680020 0000646d 615f6c69 622e6800 .h. ..dma_lib.h. + 098f0 18000068 69665f67 6d61632e 68001800 ...hif_gmac.h... + 09900 004d6167 7069655f 6170692e 68000200 .Magpie_api.h... + 09910 00757362 6669666f 5f617069 2e680018 .usbfifo_api.h.. + 09920 00006874 635f6170 692e6800 21000068 ..htc_api.h.!..h + 09930 74632e68 00220000 6275665f 706f6f6c tc.h."..buf_pool + 09940 5f617069 2e680023 0000776d 695f7376 _api.h.#..wmi_sv + 09950 635f6170 692e6800 24000077 6d692e68 c_api.h.$..wmi.h + 09960 00220000 61746864 6566732e 68002200 ."..athdefs.h.". + 09970 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. + 09980 68002500 00000005 02008e29 64032301 h.%........)d.#. + 09990 03000900 03010306 09000301 03010900 ................ + 099a0 10010306 09000201 03000900 0301030b ................ + 099b0 09000301 03010900 08010303 09000501 ................ + 099c0 03010900 0b010310 09000201 03730900 .............s.. + 099d0 02010302 09000801 03010900 0d010302 ................ + 099e0 09000701 03010900 0a010301 09000601 ................ + 099f0 03010900 0601037b 09000601 03060900 .......{........ + 09a00 0301037a 09000501 03080900 03010302 ...z............ + 09a10 09000201 030c0900 05010300 09000301 ................ + 09a20 030f0900 0301037c 09000301 03040900 .......|........ + 09a30 03010301 09000c01 037f0900 0a01030e ................ + 09a40 09000401 037e0900 02010302 09000201 .....~.......... + 09a50 03050900 08010311 09000401 03620900 .............b.. + 09a60 0201031e 09000201 030c0900 02010300 ................ + 09a70 09000301 030b0900 03010302 09000501 ................ + 09a80 03090900 05010300 09000301 03180900 ................ + 09a90 05010303 09000f01 03020900 0f010301 ................ + 09aa0 09000301 037f0900 03010301 09000301 ................ + 09ab0 03040900 08010305 09000801 03020900 ................ + 09ac0 09010370 09000b01 031a0900 02010378 ...p...........x + 09ad0 09000201 03080900 08010308 09000501 ................ + 09ae0 03030900 03010304 09000501 03000900 ................ + 09af0 03010304 09000c01 037f0900 0201037f ................ + 09b00 09000201 037f0900 02010305 09000201 ................ + 09b10 09000200 01010000 0d2e0002 00000cdc ................ + 09b20 0101fb0e 0a000101 01010000 00012f72 ............../r + 09b30 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 09b40 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 09b50 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 09b60 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 09b70 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 09b80 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 09b90 732f7374 72696e67 2f737263 002f726f s/string/src./ro + 09ba0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 09bb0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 09bc0 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 09bd0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 09be0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 09bf0 72676574 2f696e63 002f726f 6f742f57 rget/inc./root/W + 09c00 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 09c10 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 09c20 632f4f54 5553002f 726f6f74 2f576f72 c/OTUS./root/Wor + 09c30 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 09c40 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 09c50 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 09c60 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 09c70 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 09c80 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 09c90 5f312f69 6e632f6d 61677069 65002f6f _1/inc/magpie./o + 09ca0 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 09cb0 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 09cc0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 09cd0 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 09ce0 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 09cf0 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 09d00 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 09d10 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 09d20 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 09d30 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 09d40 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 09d50 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 09d60 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 09d70 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 09d80 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 09d90 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 09da0 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 09db0 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 09dc0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 09dd0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 09de0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 09df0 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 09e00 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 09e10 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 09e20 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 09e30 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 09e40 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 09e50 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 09e60 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 09e70 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 09e80 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 09e90 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 09ea0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 09eb0 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 09ec0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 09ed0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 09ee0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 09ef0 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 09f00 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 09f10 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 09f20 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 09f30 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 09f40 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 09f50 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 09f60 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 09f70 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 09f80 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 09f90 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 09fa0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 09fb0 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 09fc0 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 09fd0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 09fe0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 09ff0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0a000 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 0a010 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0a020 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0a030 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0a040 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 0a050 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0a060 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0a070 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0a080 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 0a090 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 0a0a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0a0b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0a0c0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0a0d0 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 0a0e0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0a0f0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0a100 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0a110 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 0a120 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0a130 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0a140 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0a150 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 0a160 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0a170 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0a180 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0a190 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 0a1a0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0a1b0 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 0a1c0 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 0a1d0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0a1e0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 0a1f0 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 0a200 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0a210 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 0a220 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 0a230 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 0a240 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 0a250 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 0a260 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 0a270 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 0a280 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0a290 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0a2a0 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 0a2b0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 0a2c0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0a2d0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0a2e0 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 0a2f0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0a300 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0a310 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 0a320 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 0a330 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0a340 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0a350 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 0a360 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0a370 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0a380 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0a390 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 0a3a0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0a3b0 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 0a3c0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 0a3d0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0a3e0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0a3f0 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 0a400 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0a410 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0a420 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 0a430 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0a440 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0a450 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0a460 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 0a470 6e630000 636d6e6f 735f7374 72696e67 nc..cmnos_string + 0a480 2e630001 00006174 686f735f 6170692e .c....athos_api. + 0a490 68000200 006f7361 70692e68 00030000 h....osapi.h.... + 0a4a0 4f545553 5f736f63 2e680004 00006474 OTUS_soc.h....dt + 0a4b0 5f646566 732e6800 03000063 6d6e6f73 _defs.h....cmnos + 0a4c0 5f617069 2e680005 00007379 735f6366 _api.h....sys_cf + 0a4d0 672e6800 02000072 6f6d5f63 66672e68 g.h....rom_cfg.h + 0a4e0 00060000 6d616770 69655f6d 656d2e68 ....magpie_mem.h + 0a4f0 00020000 636f7265 2e680007 00006861 ....core.h....ha + 0a500 6c2e6800 08000063 6f72652d 6973612e l.h....core-isa. + 0a510 68000900 00636f72 652d6d61 746d6170 h....core-matmap + 0a520 2e680009 00007469 652e6800 09000078 .h....tie.h....x + 0a530 7472756e 74696d65 2e680008 00007370 truntime.h....sp + 0a540 65637265 672e6800 09000063 6f726562 ecreg.h....coreb + 0a550 6974732e 68000800 00707269 6e74665f its.h....printf_ + 0a560 6170692e 68000a00 00756172 745f6170 api.h....uart_ap + 0a570 692e6800 0b000072 65675f64 6566732e i.h....reg_defs. + 0a580 68000600 00646267 5f617069 2e68000c h....dbg_api.h.. + 0a590 00006d65 6d5f6170 692e6800 0d00006d ..mem_api.h....m + 0a5a0 6973635f 6170692e 68000e00 00737472 isc_api.h....str + 0a5b0 696e675f 6170692e 68000f00 0074696d ing_api.h....tim + 0a5c0 65725f61 70692e68 00100000 726f6d70 er_api.h....romp + 0a5d0 5f617069 2e680011 0000616c 6c6f6372 _api.h....allocr + 0a5e0 616d5f61 70692e68 00120000 7461736b am_api.h....task + 0a5f0 6c65745f 6170692e 68001300 00636c6f let_api.h....clo + 0a600 636b5f61 70692e68 00140000 696e7472 ck_api.h....intr + 0a610 5f617069 2e680015 00007764 745f6170 _api.h....wdt_ap + 0a620 692e6800 16000065 6570726f 6d5f6170 i.h....eeprom_ap + 0a630 692e6800 17000075 73625f61 70692e68 i.h....usb_api.h + 0a640 00180000 6869665f 7063692e 68001800 ....hif_pci.h... + 0a650 00686966 5f617069 2e680018 00006164 .hif_api.h....ad + 0a660 665f6e62 75662e68 00190000 6164665f f_nbuf.h....adf_ + 0a670 6f735f75 74696c2e 68001a00 00616466 os_util.h....adf + 0a680 5f6f735f 7574696c 5f707674 2e68001b _os_util_pvt.h.. + 0a690 00006164 665f6f73 5f747970 65732e68 ..adf_os_types.h + 0a6a0 001a0000 6164665f 6f735f73 74647479 ....adf_os_stdty + 0a6b0 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ + 0a6c0 74797065 735f7076 742e6800 1b000073 types_pvt.h....s + 0a6d0 74646465 662e6800 1c000076 6275665f tddef.h....vbuf_ + 0a6e0 6170692e 68001d00 00766465 73635f61 api.h....vdesc_a + 0a6f0 70692e68 001e0000 73746461 72672e68 pi.h....stdarg.h + 0a700 00030000 76612d78 74656e73 612e6800 ....va-xtensa.h. + 0a710 1c000061 64665f6f 735f646d 612e6800 ...adf_os_dma.h. + 0a720 1a000061 64665f6f 735f646d 615f7076 ...adf_os_dma_pv + 0a730 742e6800 1b000061 64665f6e 65745f74 t.h....adf_net_t + 0a740 79706573 2e68001f 00006164 665f6e62 ypes.h....adf_nb + 0a750 75665f70 76742e68 00200000 646d615f uf_pvt.h. ..dma_ + 0a760 6c69622e 68001800 00686966 5f676d61 lib.h....hif_gma + 0a770 632e6800 1800004d 61677069 655f6170 c.h....Magpie_ap + 0a780 692e6800 02000075 73626669 666f5f61 i.h....usbfifo_a + 0a790 70692e68 00180000 6874635f 6170692e pi.h....htc_api. + 0a7a0 68002100 00687463 2e680022 00006275 h.!..htc.h."..bu + 0a7b0 665f706f 6f6c5f61 70692e68 00230000 f_pool_api.h.#.. + 0a7c0 776d695f 7376635f 6170692e 68002400 wmi_svc_api.h.$. + 0a7d0 00776d69 2e680022 00006174 68646566 .wmi.h."..athdef + 0a7e0 732e6800 22000064 6d615f65 6e67696e s.h."..dma_engin + 0a7f0 655f6170 692e6800 25000000 00050200 e_api.h.%....... + 0a800 8e2abc03 0c010301 09000301 03040900 .*.............. + 0a810 05010300 09000301 03060900 1201037f ................ + 0a820 09000201 037f0900 0201037f 09000201 ................ + 0a830 037f0900 0201037f 09000201 03060900 ................ + 0a840 02010900 02000101 00000e50 00020000 ...........P.... + 0a850 0cde0101 fb0e0a00 01010101 00000001 ................ + 0a860 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0a870 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0a880 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 0a890 6d616765 2f6d6167 7069652f 2e2e2f2e mage/magpie/../. + 0a8a0 2e2f2e2e 2f2e2e2f 2f627569 6c642f6d ./../..//build/m + 0a8b0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0a8c0 6e6f732f 7461736b 6c65742f 73726300 nos/tasklet/src. + 0a8d0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0a8e0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0a8f0 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 0a900 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0a910 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0a920 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0a930 312f696e 632f6d61 67706965 002f726f 1/inc/magpie./ro + 0a940 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0a950 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 0a960 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 0a970 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0a980 7467742f 74617267 65742f69 6e632f4f tgt/target/inc/O + 0a990 54555300 2f726f6f 742f576f 726b7370 TUS./root/Worksp + 0a9a0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0a9b0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0a9c0 5f312f72 6f6d2f63 6d6e6f73 2f696e63 _1/rom/cmnos/inc + 0a9d0 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 0a9e0 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 0a9f0 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 0aa00 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 0aa10 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc + 0aa20 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 0aa30 6967002f 6f70742f 7874656e 73612f58 ig./opt/xtensa/X + 0aa40 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 0aa50 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + 0aa60 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + 0aa70 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + 0aa80 6e636c75 64652f78 74656e73 61002f6f nclude/xtensa./o + 0aa90 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 0aaa0 6f6f6c73 2f696e73 74616c6c 2f627569 ools/install/bui + 0aab0 6c64732f 52422d32 3030372e 322d6c69 lds/RB-2007.2-li + 0aac0 6e75782f 4d616770 69655f50 302f7874 nux/Magpie_P0/xt + 0aad0 656e7361 2d656c66 2f617263 682f696e ensa-elf/arch/in + 0aae0 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + 0aaf0 66696700 2f726f6f 742f576f 726b7370 fig./root/Worksp + 0ab00 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0ab10 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0ab20 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri + 0ab30 6e74662f 696e6300 2f726f6f 742f576f ntf/inc./root/Wo + 0ab40 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0ab50 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0ab60 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0ab70 2f756172 742f696e 63002f72 6f6f742f /uart/inc./root/ + 0ab80 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0ab90 3230322f 7467742f 74617267 65742f63 202/tgt/target/c + 0aba0 6d6e6f73 2f646267 2f696e63 002f726f mnos/dbg/inc./ro + 0abb0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0abc0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0abd0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0abe0 636d6e6f 732f6d65 6d2f696e 63002f72 cmnos/mem/inc./r + 0abf0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0ac00 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0ac10 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0ac20 2f636d6e 6f732f6d 6973632f 696e6300 /cmnos/misc/inc. + 0ac30 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0ac40 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0ac50 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0ac60 6f6d2f63 6d6e6f73 2f737472 696e672f om/cmnos/string/ + 0ac70 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0ac80 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0ac90 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0aca0 5f312f72 6f6d2f63 6d6e6f73 2f74696d _1/rom/cmnos/tim + 0acb0 65722f69 6e63002f 726f6f74 2f576f72 er/inc./root/Wor + 0acc0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0acd0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0ace0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0acf0 726f6d70 61746368 2f696e63 002f726f rompatch/inc./ro + 0ad00 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0ad10 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0ad20 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0ad30 636d6e6f 732f616c 6c6f6372 616d2f69 cmnos/allocram/i + 0ad40 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0ad50 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0ad60 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0ad70 312f726f 6d2f636d 6e6f732f 7461736b 1/rom/cmnos/task + 0ad80 6c65742f 696e6300 2f726f6f 742f576f let/inc./root/Wo + 0ad90 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0ada0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0adb0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0adc0 2f636c6f 636b2f69 6e63002f 726f6f74 /clock/inc./root + 0add0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0ade0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0adf0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0ae00 6e6f732f 696e7472 2f696e63 002f726f nos/intr/inc./ro + 0ae10 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0ae20 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0ae30 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0ae40 636d6e6f 732f7764 742f696e 63002f72 cmnos/wdt/inc./r + 0ae50 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0ae60 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0ae70 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0ae80 2f636d6e 6f732f65 6570726f 6d2f696e /cmnos/eeprom/in + 0ae90 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0aea0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0aeb0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0aec0 2f726f6d 2f686966 2f696e63 002f726f /rom/hif/inc./ro + 0aed0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0aee0 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 0aef0 6e636c75 64652f6e 62756600 2f726f6f nclude/nbuf./roo + 0af00 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0af10 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 0af20 636c7564 652f6f73 002f726f 6f742f57 clude/os./root/W + 0af30 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0af40 30322f74 67742f74 61726765 742f6164 02/tgt/target/ad + 0af50 662f6f73 2f696e63 002f6f70 742f7874 f/os/inc./opt/xt + 0af60 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 0af70 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 0af80 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 0af90 656e7361 546f6f6c 732f6c69 622f7863 ensaTools/lib/xc + 0afa0 632d6c69 622f696e 636c7564 65002f72 c-lib/include./r + 0afb0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0afc0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0afd0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0afe0 2f766275 662f696e 63002f72 6f6f742f /vbuf/inc./root/ + 0aff0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0b000 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0b010 67706965 5f315f31 2f726f6d 2f766465 gpie_1_1/rom/vde + 0b020 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor + 0b030 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0b040 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 0b050 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works + 0b060 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0b070 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0b080 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf + 0b090 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0b0a0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0b0b0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0b0c0 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. + 0b0d0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0b0e0 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i + 0b0f0 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 0b100 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0b110 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0b120 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 0b130 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor + 0b140 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0b150 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ + 0b160 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0b170 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0b180 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0b190 5f312f72 6f6d2f64 6d615f65 6e67696e _1/rom/dma_engin + 0b1a0 652f696e 63000063 6d6e6f73 5f746173 e/inc..cmnos_tas + 0b1b0 6b6c6574 2e630001 00007379 735f6366 klet.c....sys_cf + 0b1c0 672e6800 02000072 6f6d5f63 66672e68 g.h....rom_cfg.h + 0b1d0 00030000 6d616770 69655f6d 656d2e68 ....magpie_mem.h + 0b1e0 00020000 6174686f 735f6170 692e6800 ....athos_api.h. + 0b1f0 0200006f 73617069 2e680004 00004f54 ...osapi.h....OT + 0b200 55535f73 6f632e68 00050000 64745f64 US_soc.h....dt_d + 0b210 6566732e 68000400 00636d6e 6f735f61 efs.h....cmnos_a + 0b220 70692e68 00060000 636f7265 2e680007 pi.h....core.h.. + 0b230 00006861 6c2e6800 08000063 6f72652d ..hal.h....core- + 0b240 6973612e 68000900 00636f72 652d6d61 isa.h....core-ma + 0b250 746d6170 2e680009 00007469 652e6800 tmap.h....tie.h. + 0b260 09000078 7472756e 74696d65 2e680008 ...xtruntime.h.. + 0b270 00007370 65637265 672e6800 09000063 ..specreg.h....c + 0b280 6f726562 6974732e 68000800 00707269 orebits.h....pri + 0b290 6e74665f 6170692e 68000a00 00756172 ntf_api.h....uar + 0b2a0 745f6170 692e6800 0b000072 65675f64 t_api.h....reg_d + 0b2b0 6566732e 68000300 00646267 5f617069 efs.h....dbg_api + 0b2c0 2e68000c 00006d65 6d5f6170 692e6800 .h....mem_api.h. + 0b2d0 0d00006d 6973635f 6170692e 68000e00 ...misc_api.h... + 0b2e0 00737472 696e675f 6170692e 68000f00 .string_api.h... + 0b2f0 0074696d 65725f61 70692e68 00100000 .timer_api.h.... + 0b300 726f6d70 5f617069 2e680011 0000616c romp_api.h....al + 0b310 6c6f6372 616d5f61 70692e68 00120000 locram_api.h.... + 0b320 7461736b 6c65745f 6170692e 68001300 tasklet_api.h... + 0b330 00636c6f 636b5f61 70692e68 00140000 .clock_api.h.... + 0b340 696e7472 5f617069 2e680015 00007764 intr_api.h....wd + 0b350 745f6170 692e6800 16000065 6570726f t_api.h....eepro + 0b360 6d5f6170 692e6800 17000075 73625f61 m_api.h....usb_a + 0b370 70692e68 00180000 6869665f 7063692e pi.h....hif_pci. + 0b380 68001800 00686966 5f617069 2e680018 h....hif_api.h.. + 0b390 00006164 665f6e62 75662e68 00190000 ..adf_nbuf.h.... + 0b3a0 6164665f 6f735f75 74696c2e 68001a00 adf_os_util.h... + 0b3b0 00616466 5f6f735f 7574696c 5f707674 .adf_os_util_pvt + 0b3c0 2e68001b 00006164 665f6f73 5f747970 .h....adf_os_typ + 0b3d0 65732e68 001a0000 6164665f 6f735f73 es.h....adf_os_s + 0b3e0 74647479 7065732e 68001a00 00616466 tdtypes.h....adf + 0b3f0 5f6f735f 74797065 735f7076 742e6800 _os_types_pvt.h. + 0b400 1b000073 74646465 662e6800 1c000076 ...stddef.h....v + 0b410 6275665f 6170692e 68001d00 00766465 buf_api.h....vde + 0b420 73635f61 70692e68 001e0000 73746461 sc_api.h....stda + 0b430 72672e68 00040000 76612d78 74656e73 rg.h....va-xtens + 0b440 612e6800 1c000061 64665f6f 735f646d a.h....adf_os_dm + 0b450 612e6800 1a000061 64665f6f 735f646d a.h....adf_os_dm + 0b460 615f7076 742e6800 1b000061 64665f6e a_pvt.h....adf_n + 0b470 65745f74 79706573 2e68001f 00006164 et_types.h....ad + 0b480 665f6e62 75665f70 76742e68 00200000 f_nbuf_pvt.h. .. + 0b490 646d615f 6c69622e 68001800 00686966 dma_lib.h....hif + 0b4a0 5f676d61 632e6800 1800004d 61677069 _gmac.h....Magpi + 0b4b0 655f6170 692e6800 02000075 73626669 e_api.h....usbfi + 0b4c0 666f5f61 70692e68 00180000 6874635f fo_api.h....htc_ + 0b4d0 6170692e 68002100 00687463 2e680022 api.h.!..htc.h." + 0b4e0 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h + 0b4f0 00230000 776d695f 7376635f 6170692e .#..wmi_svc_api. + 0b500 68002400 00776d69 2e680022 00006174 h.$..wmi.h."..at + 0b510 68646566 732e6800 22000064 6d615f65 hdefs.h."..dma_e + 0b520 6e67696e 655f6170 692e6800 25000000 ngine_api.h.%... + 0b530 00050200 8e2ae803 10010300 09000301 .....*.......... + 0b540 03020900 03010300 09000201 03010900 ................ + 0b550 02010304 09000201 03010900 0301037f ................ + 0b560 09000201 03020900 02010301 09000201 ................ + 0b570 03010900 02010301 09000201 03040900 ................ + 0b580 03010301 09000301 03040900 0501037c ...............| + 0b590 09000201 03050900 0301037f 09000201 ................ + 0b5a0 03040900 02010303 09000601 03040900 ................ + 0b5b0 05010304 09000301 03040900 08010301 ................ + 0b5c0 09000201 03020900 0201030d 09000401 ................ + 0b5d0 03720900 02010302 09000501 03080900 .r.............. + 0b5e0 05010301 09000201 03740900 02010305 .........t...... + 0b5f0 09000601 03030900 04010307 09000201 ................ + 0b600 03040900 05010300 09000301 03030900 ................ + 0b610 0301037d 09000201 03040900 02010304 ...}............ + 0b620 09000401 03010900 0201037c 09000201 ...........|.... + 0b630 03040900 0201037c 09000201 03010900 .......|........ + 0b640 02010303 09000201 03010900 03010302 ................ + 0b650 09000201 03780900 0201030b 09000301 .....x.......... + 0b660 03010900 02010304 09000201 03000900 ................ + 0b670 03010305 09000f01 037f0900 0201037f ................ + 0b680 09000201 037f0900 0201037f 09000201 ................ + 0b690 03050900 02010900 02000101 00000e28 ...............( + 0b6a0 00020000 0cda0101 fb0e0a00 01010101 ................ + 0b6b0 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp + 0b6c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0b6d0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0b6e0 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 0b6f0 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 0b700 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0b710 6d2f636d 6e6f732f 74696d65 722f7372 m/cmnos/timer/sr + 0b720 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0b730 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0b740 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0b750 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0b760 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0b770 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0b780 315f312f 696e632f 6d616770 6965002f 1_1/inc/magpie./ + 0b790 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0b7a0 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 0b7b0 6765742f 696e6300 2f726f6f 742f576f get/inc./root/Wo + 0b7c0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0b7d0 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 0b7e0 2f4f5455 53002f72 6f6f742f 576f726b /OTUS./root/Work + 0b7f0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0b800 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0b810 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 0b820 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + 0b830 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 0b840 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + 0b850 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + 0b860 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + 0b870 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 0b880 6e666967 002f6f70 742f7874 656e7361 nfig./opt/xtensa + 0b890 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 0b8a0 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 0b8b0 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 0b8c0 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + 0b8d0 2f696e63 6c756465 2f787465 6e736100 /include/xtensa. + 0b8e0 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 0b8f0 76546f6f 6c732f69 6e737461 6c6c2f62 vTools/install/b + 0b900 75696c64 732f5242 2d323030 372e322d uilds/RB-2007.2- + 0b910 6c696e75 782f4d61 67706965 5f50302f linux/Magpie_P0/ + 0b920 7874656e 73612d65 6c662f61 7263682f xtensa-elf/arch/ + 0b930 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + 0b940 6f6e6669 67002f72 6f6f742f 576f726b onfig./root/Work + 0b950 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0b960 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0b970 5f315f31 2f726f6d 2f636d6e 6f732f70 _1_1/rom/cmnos/p + 0b980 72696e74 662f696e 63002f72 6f6f742f rintf/inc./root/ + 0b990 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0b9a0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0b9b0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0b9c0 6f732f75 6172742f 696e6300 2f726f6f os/uart/inc./roo + 0b9d0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0b9e0 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 0b9f0 2f636d6e 6f732f64 62672f69 6e63002f /cmnos/dbg/inc./ + 0ba00 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0ba10 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0ba20 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0ba30 6d2f636d 6e6f732f 6d656d2f 696e6300 m/cmnos/mem/inc. + 0ba40 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0ba50 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0ba60 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0ba70 6f6d2f63 6d6e6f73 2f6d6973 632f696e om/cmnos/misc/in + 0ba80 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0ba90 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0baa0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0bab0 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin + 0bac0 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + 0bad0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0bae0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0baf0 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t + 0bb00 696d6572 2f696e63 002f726f 6f742f57 imer/inc./root/W + 0bb10 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0bb20 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0bb30 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0bb40 732f726f 6d706174 63682f69 6e63002f s/rompatch/inc./ + 0bb50 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0bb60 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0bb70 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0bb80 6d2f636d 6e6f732f 616c6c6f 6372616d m/cmnos/allocram + 0bb90 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0bba0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0bbb0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0bbc0 315f312f 726f6d2f 636d6e6f 732f7461 1_1/rom/cmnos/ta + 0bbd0 736b6c65 742f696e 63002f72 6f6f742f sklet/inc./root/ + 0bbe0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0bbf0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0bc00 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0bc10 6f732f63 6c6f636b 2f696e63 002f726f os/clock/inc./ro + 0bc20 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0bc30 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0bc40 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0bc50 636d6e6f 732f696e 74722f69 6e63002f cmnos/intr/inc./ + 0bc60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0bc70 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0bc80 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0bc90 6d2f636d 6e6f732f 7764742f 696e6300 m/cmnos/wdt/inc. + 0bca0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0bcb0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0bcc0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0bcd0 6f6d2f63 6d6e6f73 2f656570 726f6d2f om/cmnos/eeprom/ + 0bce0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0bcf0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0bd00 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0bd10 5f312f72 6f6d2f68 69662f69 6e63002f _1/rom/hif/inc./ + 0bd20 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0bd30 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 0bd40 2f696e63 6c756465 2f6e6275 66002f72 /include/nbuf./r + 0bd50 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0bd60 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 0bd70 696e636c 7564652f 6f73002f 726f6f74 include/os./root + 0bd80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0bd90 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 0bda0 6164662f 6f732f69 6e63002f 6f70742f adf/os/inc./opt/ + 0bdb0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 0bdc0 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 0bdd0 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 0bde0 5874656e 7361546f 6f6c732f 6c69622f XtensaTools/lib/ + 0bdf0 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. + 0be00 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0be10 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0be20 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0be30 6f6d2f76 6275662f 696e6300 2f726f6f om/vbuf/inc./roo + 0be40 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0be50 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0be60 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 0be70 64657363 2f696e63 002f726f 6f742f57 desc/inc./root/W + 0be80 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0be90 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 0bea0 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor + 0beb0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0bec0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0bed0 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb + 0bee0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 0bef0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0bf00 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0bf10 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in + 0bf20 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0bf30 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan + 0bf40 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 0bf50 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0bf60 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0bf70 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + 0bf80 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W + 0bf90 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0bfa0 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm + 0bfb0 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work + 0bfc0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0bfd0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0bfe0 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng + 0bff0 696e652f 696e6300 00636d6e 6f735f74 ine/inc..cmnos_t + 0c000 696d6572 2e630001 00007379 735f6366 imer.c....sys_cf + 0c010 672e6800 02000072 6f6d5f63 66672e68 g.h....rom_cfg.h + 0c020 00030000 6d616770 69655f6d 656d2e68 ....magpie_mem.h + 0c030 00020000 6174686f 735f6170 692e6800 ....athos_api.h. + 0c040 0200006f 73617069 2e680004 00004f54 ...osapi.h....OT + 0c050 55535f73 6f632e68 00050000 64745f64 US_soc.h....dt_d + 0c060 6566732e 68000400 00636d6e 6f735f61 efs.h....cmnos_a + 0c070 70692e68 00060000 636f7265 2e680007 pi.h....core.h.. + 0c080 00006861 6c2e6800 08000063 6f72652d ..hal.h....core- + 0c090 6973612e 68000900 00636f72 652d6d61 isa.h....core-ma + 0c0a0 746d6170 2e680009 00007469 652e6800 tmap.h....tie.h. + 0c0b0 09000078 7472756e 74696d65 2e680008 ...xtruntime.h.. + 0c0c0 00007370 65637265 672e6800 09000063 ..specreg.h....c + 0c0d0 6f726562 6974732e 68000800 00707269 orebits.h....pri + 0c0e0 6e74665f 6170692e 68000a00 00756172 ntf_api.h....uar + 0c0f0 745f6170 692e6800 0b000072 65675f64 t_api.h....reg_d + 0c100 6566732e 68000300 00646267 5f617069 efs.h....dbg_api + 0c110 2e68000c 00006d65 6d5f6170 692e6800 .h....mem_api.h. + 0c120 0d00006d 6973635f 6170692e 68000e00 ...misc_api.h... + 0c130 00737472 696e675f 6170692e 68000f00 .string_api.h... + 0c140 0074696d 65725f61 70692e68 00100000 .timer_api.h.... + 0c150 726f6d70 5f617069 2e680011 0000616c romp_api.h....al + 0c160 6c6f6372 616d5f61 70692e68 00120000 locram_api.h.... + 0c170 7461736b 6c65745f 6170692e 68001300 tasklet_api.h... + 0c180 00636c6f 636b5f61 70692e68 00140000 .clock_api.h.... + 0c190 696e7472 5f617069 2e680015 00007764 intr_api.h....wd + 0c1a0 745f6170 692e6800 16000065 6570726f t_api.h....eepro + 0c1b0 6d5f6170 692e6800 17000075 73625f61 m_api.h....usb_a + 0c1c0 70692e68 00180000 6869665f 7063692e pi.h....hif_pci. + 0c1d0 68001800 00686966 5f617069 2e680018 h....hif_api.h.. + 0c1e0 00006164 665f6e62 75662e68 00190000 ..adf_nbuf.h.... + 0c1f0 6164665f 6f735f75 74696c2e 68001a00 adf_os_util.h... + 0c200 00616466 5f6f735f 7574696c 5f707674 .adf_os_util_pvt + 0c210 2e68001b 00006164 665f6f73 5f747970 .h....adf_os_typ + 0c220 65732e68 001a0000 6164665f 6f735f73 es.h....adf_os_s + 0c230 74647479 7065732e 68001a00 00616466 tdtypes.h....adf + 0c240 5f6f735f 74797065 735f7076 742e6800 _os_types_pvt.h. + 0c250 1b000073 74646465 662e6800 1c000076 ...stddef.h....v + 0c260 6275665f 6170692e 68001d00 00766465 buf_api.h....vde + 0c270 73635f61 70692e68 001e0000 73746461 sc_api.h....stda + 0c280 72672e68 00040000 76612d78 74656e73 rg.h....va-xtens + 0c290 612e6800 1c000061 64665f6f 735f646d a.h....adf_os_dm + 0c2a0 612e6800 1a000061 64665f6f 735f646d a.h....adf_os_dm + 0c2b0 615f7076 742e6800 1b000061 64665f6e a_pvt.h....adf_n + 0c2c0 65745f74 79706573 2e68001f 00006164 et_types.h....ad + 0c2d0 665f6e62 75665f70 76742e68 00200000 f_nbuf_pvt.h. .. + 0c2e0 646d615f 6c69622e 68001800 00686966 dma_lib.h....hif + 0c2f0 5f676d61 632e6800 1800004d 61677069 _gmac.h....Magpi + 0c300 655f6170 692e6800 02000075 73626669 e_api.h....usbfi + 0c310 666f5f61 70692e68 00180000 6874635f fo_api.h....htc_ + 0c320 6170692e 68002100 00687463 2e680022 api.h.!..htc.h." + 0c330 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h + 0c340 00230000 776d695f 7376635f 6170692e .#..wmi_svc_api. + 0c350 68002400 00776d69 2e680022 00006174 h.$..wmi.h."..at + 0c360 68646566 732e6800 22000064 6d615f65 hdefs.h."..dma_e + 0c370 6e67696e 655f6170 692e6800 25000000 ngine_api.h.%... + 0c380 00050200 8e2b9c03 20010305 09000301 .....+.. ....... + 0c390 037b0900 02010306 09000201 037d0900 .{...........}.. + 0c3a0 02010301 09000201 03030900 02010306 ................ + 0c3b0 09000301 030a0900 03010303 09000901 ................ + 0c3c0 03020900 02010302 09000901 03020900 ................ + 0c3d0 0801037c 09000401 03070900 10010301 ...|............ + 0c3e0 09000201 03020900 04010306 09000201 ................ + 0c3f0 03060900 09010300 09000301 03050900 ................ + 0c400 0301030e 09000201 03740900 02010302 .........t...... + 0c410 09000401 03030900 0301037b 09000401 ...........{.... + 0c420 03090900 0a010301 09000401 03070900 ................ + 0c430 02010301 09000201 03010900 02010305 ................ + 0c440 09000701 03000900 03010301 09000301 ................ + 0c450 03000900 02010301 09000201 03050900 ................ + 0c460 02010300 09000301 03040900 05010305 ................ + 0c470 09001101 037e0900 04010301 09000401 .....~.......... + 0c480 03010900 0201037b 09000501 03070900 .......{........ + 0c490 03010305 09000501 03000900 03010305 ................ + 0c4a0 09000f01 037f0900 0201037f 09000201 ................ + 0c4b0 037f0900 0201037f 09000201 03050900 ................ + 0c4c0 02010900 02000101 00000ea2 00020000 ................ + 0c4d0 0cd60101 fb0e0a00 01010101 00000001 ................ + 0c4e0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0c4f0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0c500 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 0c510 6d616765 2f6d6167 7069652f 2e2e2f2e mage/magpie/../. + 0c520 2e2f2e2e 2f2e2e2f 2f627569 6c642f6d ./../..//build/m + 0c530 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0c540 6e6f732f 7764742f 73726300 2f726f6f nos/wdt/src./roo + 0c550 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0c560 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0c570 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + 0c580 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0c590 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0c5a0 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 0c5b0 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W + 0c5c0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0c5d0 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 0c5e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0c5f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0c600 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 0c610 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0c620 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0c630 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0c640 6f6d2f63 6d6e6f73 2f696e63 002f6f70 om/cmnos/inc./op + 0c650 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 0c660 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 0c670 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 0c680 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 0c690 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 0c6a0 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 0c6b0 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 0c6c0 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 0c6d0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 0c6e0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 0c6f0 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 0c700 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x + 0c710 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 0c720 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + 0c730 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 0c740 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + 0c750 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + 0c760 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 0c770 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0c780 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0c790 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0c7a0 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ + 0c7b0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0c7c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0c7d0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0c7e0 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar + 0c7f0 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 0c800 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0c810 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos + 0c820 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W + 0c830 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0c840 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0c850 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0c860 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ + 0c870 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0c880 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0c890 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0c8a0 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo + 0c8b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0c8c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0c8d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 0c8e0 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. + 0c8f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0c900 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0c910 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0c920 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i + 0c930 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0c940 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0c950 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0c960 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp + 0c970 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W + 0c980 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0c990 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0c9a0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0c9b0 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ + 0c9c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0c9d0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0c9e0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0c9f0 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ + 0ca00 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0ca10 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0ca20 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0ca30 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo + 0ca40 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor + 0ca50 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0ca60 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0ca70 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0ca80 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W + 0ca90 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0caa0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0cab0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 0cac0 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ + 0cad0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0cae0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0caf0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0cb00 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r + 0cb10 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0cb20 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0cb30 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0cb40 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W + 0cb50 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0cb60 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 0cb70 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo + 0cb80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0cb90 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 0cba0 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works + 0cbb0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0cbc0 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os + 0cbd0 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + 0cbe0 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 0cbf0 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 0cc00 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 0cc10 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li + 0cc20 622f696e 636c7564 65002f72 6f6f742f b/include./root/ + 0cc30 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0cc40 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0cc50 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + 0cc60 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 0cc70 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0cc80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0cc90 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i + 0cca0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0ccb0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0ccc0 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net + 0ccd0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0cce0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0ccf0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0cd00 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc + 0cd10 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0cd20 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0cd30 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0cd40 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo + 0cd50 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0cd60 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu + 0cd70 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 0cd80 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0cd90 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0cda0 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i + 0cdb0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0cdc0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0cdd0 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. + 0cde0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0cdf0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0ce00 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0ce10 6f6d2f64 6d615f65 6e67696e 652f696e om/dma_engine/in + 0ce20 63000063 6d6e6f73 5f776474 2e630001 c..cmnos_wdt.c.. + 0ce30 00007379 735f6366 672e6800 02000072 ..sys_cfg.h....r + 0ce40 6f6d5f63 66672e68 00030000 6d616770 om_cfg.h....magp + 0ce50 69655f6d 656d2e68 00020000 6174686f ie_mem.h....atho + 0ce60 735f6170 692e6800 0200006f 73617069 s_api.h....osapi + 0ce70 2e680004 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 0ce80 00050000 64745f64 6566732e 68000400 ....dt_defs.h... + 0ce90 00636d6e 6f735f61 70692e68 00060000 .cmnos_api.h.... + 0cea0 636f7265 2e680007 00006861 6c2e6800 core.h....hal.h. + 0ceb0 08000063 6f72652d 6973612e 68000900 ...core-isa.h... + 0cec0 00636f72 652d6d61 746d6170 2e680009 .core-matmap.h.. + 0ced0 00007469 652e6800 09000078 7472756e ..tie.h....xtrun + 0cee0 74696d65 2e680008 00007370 65637265 time.h....specre + 0cef0 672e6800 09000063 6f726562 6974732e g.h....corebits. + 0cf00 68000800 00707269 6e74665f 6170692e h....printf_api. + 0cf10 68000a00 00756172 745f6170 692e6800 h....uart_api.h. + 0cf20 0b000072 65675f64 6566732e 68000300 ...reg_defs.h... + 0cf30 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + 0cf40 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + 0cf50 6170692e 68000e00 00737472 696e675f api.h....string_ + 0cf60 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + 0cf70 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + 0cf80 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + 0cf90 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + 0cfa0 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + 0cfb0 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + 0cfc0 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + 0cfd0 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + 0cfe0 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + 0cff0 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + 0d000 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + 0d010 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + 0d020 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + 0d030 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + 0d040 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + 0d050 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + 0d060 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + 0d070 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + 0d080 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + 0d090 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + 0d0a0 001e0000 73746461 72672e68 00040000 ....stdarg.h.... + 0d0b0 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + 0d0c0 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + 0d0d0 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 0d0e0 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + 0d0f0 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 0d100 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 0d110 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + 0d120 1800004d 61677069 655f6170 692e6800 ...Magpie_api.h. + 0d130 02000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 0d140 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + 0d150 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 0d160 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 0d170 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 0d180 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 0d190 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 0d1a0 692e6800 25000000 00050200 8e2c7c03 i.h.%........,|. + 0d1b0 16010300 09000301 03090900 06010302 ................ + 0d1c0 09000c01 03030900 0601037e 09000201 ...........~.... + 0d1d0 037f0900 02010303 09000601 037c0900 .............|.. + 0d1e0 02010304 09000201 03120900 03010300 ................ + 0d1f0 09000301 03010900 03010301 09000801 ................ + 0d200 03010900 09010309 09000501 03000900 ................ + 0d210 03010311 09000601 037b0900 06010302 .........{...... + 0d220 09000301 03010900 02010303 09000601 ................ + 0d230 03740900 04010301 09000201 030b0900 .t.............. + 0d240 06010308 09000201 03000900 03010302 ................ + 0d250 09000301 03040900 0201037e 09000201 ...........~.... + 0d260 03010900 0201037f 09000401 03020900 ................ + 0d270 0201037c 09000201 03040900 03010302 ...|............ + 0d280 09000501 03070900 04010300 09000301 ................ + 0d290 03070900 0301037e 09000201 037b0900 .......~.....{.. + 0d2a0 02010306 09000201 037f0900 02010302 ................ + 0d2b0 09000201 037c0900 02010304 09000301 .....|.......... + 0d2c0 03030900 05010308 09000201 03000900 ................ + 0d2d0 03010304 09000801 03010900 03010301 ................ + 0d2e0 09000201 03030900 02010304 09000301 ................ + 0d2f0 037e0900 02010301 09000201 037f0900 .~.............. + 0d300 04010302 09000201 03010900 07010308 ................ + 0d310 09000201 03000900 03010302 09000301 ................ + 0d320 03020900 06010303 09000a01 03040900 ................ + 0d330 02010300 09000301 03070900 1501037f ................ + 0d340 09000201 037f0900 0201037f 09000201 ................ + 0d350 037f0900 0201037f 09000201 037f0900 ................ + 0d360 02010307 09000201 09000200 01010000 ................ + 0d370 0efc0002 00000cd6 0101fb0e 0a000101 ................ + 0d380 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 0d390 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0d3a0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0d3b0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 0d3c0 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 0d3d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0d3e0 726f6d2f 636d6e6f 732f7561 72742f73 rom/cmnos/uart/s + 0d3f0 7263002f 726f6f74 2f576f72 6b737061 rc./root/Workspa + 0d400 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0d410 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0d420 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work + 0d430 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0d440 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0d450 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + 0d460 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0d470 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 0d480 72676574 2f696e63 002f726f 6f742f57 rget/inc./root/W + 0d490 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0d4a0 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 0d4b0 632f4f54 5553002f 726f6f74 2f576f72 c/OTUS./root/Wor + 0d4c0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0d4d0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0d4e0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0d4f0 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + 0d500 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 0d510 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 0d520 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 0d530 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + 0d540 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + 0d550 6f6e6669 67002f6f 70742f78 74656e73 onfig./opt/xtens + 0d560 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 0d570 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 0d580 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 0d590 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 0d5a0 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 0d5b0 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 0d5c0 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 0d5d0 6275696c 64732f52 422d3230 30372e32 builds/RB-2007.2 + 0d5e0 2d6c696e 75782f4d 61677069 655f5030 -linux/Magpie_P0 + 0d5f0 2f787465 6e73612d 656c662f 61726368 /xtensa-elf/arch + 0d600 2f696e63 6c756465 2f787465 6e73612f /include/xtensa/ + 0d610 636f6e66 6967002f 726f6f74 2f576f72 config./root/Wor + 0d620 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0d630 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0d640 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0d650 7072696e 74662f69 6e63002f 726f6f74 printf/inc./root + 0d660 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0d670 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0d680 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0d690 6e6f732f 75617274 2f696e63 002f726f nos/uart/inc./ro + 0d6a0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0d6b0 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 0d6c0 742f636d 6e6f732f 6462672f 696e6300 t/cmnos/dbg/inc. + 0d6d0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0d6e0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0d6f0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0d700 6f6d2f63 6d6e6f73 2f6d656d 2f696e63 om/cmnos/mem/inc + 0d710 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0d720 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0d730 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0d740 726f6d2f 636d6e6f 732f6d69 73632f69 rom/cmnos/misc/i + 0d750 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0d760 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0d770 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0d780 312f726f 6d2f636d 6e6f732f 73747269 1/rom/cmnos/stri + 0d790 6e672f69 6e63002f 726f6f74 2f576f72 ng/inc./root/Wor + 0d7a0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0d7b0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0d7c0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0d7d0 74696d65 722f696e 63002f72 6f6f742f timer/inc./root/ + 0d7e0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0d7f0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0d800 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0d810 6f732f72 6f6d7061 7463682f 696e6300 os/rompatch/inc. + 0d820 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0d830 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0d840 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0d850 6f6d2f63 6d6e6f73 2f616c6c 6f637261 om/cmnos/allocra + 0d860 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 0d870 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0d880 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0d890 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t + 0d8a0 61736b6c 65742f69 6e63002f 726f6f74 asklet/inc./root + 0d8b0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0d8c0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0d8d0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0d8e0 6e6f732f 636c6f63 6b2f696e 63002f72 nos/clock/inc./r + 0d8f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0d900 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0d910 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0d920 2f636d6e 6f732f69 6e74722f 696e6300 /cmnos/intr/inc. + 0d930 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0d940 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0d950 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0d960 6f6d2f63 6d6e6f73 2f776474 2f696e63 om/cmnos/wdt/inc + 0d970 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0d980 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0d990 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0d9a0 726f6d2f 636d6e6f 732f6565 70726f6d rom/cmnos/eeprom + 0d9b0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 0d9c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 0d9d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 0d9e0 315f312f 726f6d2f 6869662f 696e6300 1_1/rom/hif/inc. + 0d9f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0da00 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 0da10 662f696e 636c7564 652f6e62 7566002f f/include/nbuf./ + 0da20 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0da30 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 0da40 2f696e63 6c756465 2f6f7300 2f726f6f /include/os./roo + 0da50 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0da60 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 0da70 2f616466 2f6f732f 696e6300 2f6f7074 /adf/os/inc./opt + 0da80 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 0da90 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + 0daa0 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 0dab0 2f587465 6e736154 6f6f6c73 2f6c6962 /XtensaTools/lib + 0dac0 2f786363 2d6c6962 2f696e63 6c756465 /xcc-lib/include + 0dad0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0dae0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0daf0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0db00 726f6d2f 76627566 2f696e63 002f726f rom/vbuf/inc./ro + 0db10 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0db20 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0db30 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0db40 76646573 632f696e 63002f72 6f6f742f vdesc/inc./root/ + 0db50 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0db60 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 0db70 7564652f 6e657400 2f726f6f 742f576f ude/net./root/Wo + 0db80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0db90 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0dba0 69655f31 5f312f72 6f6d2f61 64662f6e ie_1_1/rom/adf/n + 0dbb0 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo + 0dbc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0dbd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0dbe0 69655f31 5f312f72 6f6d2f68 74632f69 ie_1_1/rom/htc/i + 0dbf0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0dc00 63652f30 3431325f 76323032 2f776c61 ce/0412_v202/wla + 0dc10 6e2f696e 636c7564 65002f72 6f6f742f n/include./root/ + 0dc20 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0dc30 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0dc40 67706965 5f315f31 2f726f6d 2f627566 gpie_1_1/rom/buf + 0dc50 5f706f6f 6c2f696e 63002f72 6f6f742f _pool/inc./root/ + 0dc60 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0dc70 3230322f 7467742f 74617267 65742f77 202/tgt/target/w + 0dc80 6d692f69 6e63002f 726f6f74 2f576f72 mi/inc./root/Wor + 0dc90 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0dca0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0dcb0 655f315f 312f726f 6d2f646d 615f656e e_1_1/rom/dma_en + 0dcc0 67696e65 2f696e63 00007561 72745f61 gine/inc..uart_a + 0dcd0 70692e63 00010000 7379735f 6366672e pi.c....sys_cfg. + 0dce0 68000200 00726f6d 5f636667 2e680003 h....rom_cfg.h.. + 0dcf0 00006d61 67706965 5f6d656d 2e680002 ..magpie_mem.h.. + 0dd00 00006174 686f735f 6170692e 68000200 ..athos_api.h... + 0dd10 006f7361 70692e68 00040000 4f545553 .osapi.h....OTUS + 0dd20 5f736f63 2e680005 00006474 5f646566 _soc.h....dt_def + 0dd30 732e6800 04000063 6d6e6f73 5f617069 s.h....cmnos_api + 0dd40 2e680006 0000636f 72652e68 00070000 .h....core.h.... + 0dd50 68616c2e 68000800 00636f72 652d6973 hal.h....core-is + 0dd60 612e6800 09000063 6f72652d 6d61746d a.h....core-matm + 0dd70 61702e68 00090000 7469652e 68000900 ap.h....tie.h... + 0dd80 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... + 0dd90 73706563 7265672e 68000900 00636f72 specreg.h....cor + 0dda0 65626974 732e6800 08000070 72696e74 ebits.h....print + 0ddb0 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ + 0ddc0 6170692e 68000b00 00726567 5f646566 api.h....reg_def + 0ddd0 732e6800 03000064 62675f61 70692e68 s.h....dbg_api.h + 0dde0 000c0000 6d656d5f 6170692e 68000d00 ....mem_api.h... + 0ddf0 006d6973 635f6170 692e6800 0e000073 .misc_api.h....s + 0de00 7472696e 675f6170 692e6800 0f000074 tring_api.h....t + 0de10 696d6572 5f617069 2e680010 0000726f imer_api.h....ro + 0de20 6d705f61 70692e68 00110000 616c6c6f mp_api.h....allo + 0de30 6372616d 5f617069 2e680012 00007461 cram_api.h....ta + 0de40 736b6c65 745f6170 692e6800 13000063 sklet_api.h....c + 0de50 6c6f636b 5f617069 2e680014 0000696e lock_api.h....in + 0de60 74725f61 70692e68 00150000 7764745f tr_api.h....wdt_ + 0de70 6170692e 68001600 00656570 726f6d5f api.h....eeprom_ + 0de80 6170692e 68001700 00757362 5f617069 api.h....usb_api + 0de90 2e680018 00006869 665f7063 692e6800 .h....hif_pci.h. + 0dea0 18000068 69665f61 70692e68 00180000 ...hif_api.h.... + 0deb0 6164665f 6e627566 2e680019 00006164 adf_nbuf.h....ad + 0dec0 665f6f73 5f757469 6c2e6800 1a000061 f_os_util.h....a + 0ded0 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h + 0dee0 001b0000 6164665f 6f735f74 79706573 ....adf_os_types + 0def0 2e68001a 00006164 665f6f73 5f737464 .h....adf_os_std + 0df00 74797065 732e6800 1a000061 64665f6f types.h....adf_o + 0df10 735f7479 7065735f 7076742e 68001b00 s_types_pvt.h... + 0df20 00737464 6465662e 68001c00 00766275 .stddef.h....vbu + 0df30 665f6170 692e6800 1d000076 64657363 f_api.h....vdesc + 0df40 5f617069 2e68001e 00007374 64617267 _api.h....stdarg + 0df50 2e680004 00007661 2d787465 6e73612e .h....va-xtensa. + 0df60 68001c00 00616466 5f6f735f 646d612e h....adf_os_dma. + 0df70 68001a00 00616466 5f6f735f 646d615f h....adf_os_dma_ + 0df80 7076742e 68001b00 00616466 5f6e6574 pvt.h....adf_net + 0df90 5f747970 65732e68 001f0000 6164665f _types.h....adf_ + 0dfa0 6e627566 5f707674 2e680020 0000646d nbuf_pvt.h. ..dm + 0dfb0 615f6c69 622e6800 18000068 69665f67 a_lib.h....hif_g + 0dfc0 6d61632e 68001800 004d6167 7069655f mac.h....Magpie_ + 0dfd0 6170692e 68000200 00757362 6669666f api.h....usbfifo + 0dfe0 5f617069 2e680018 00006874 635f6170 _api.h....htc_ap + 0dff0 692e6800 21000068 74632e68 00220000 i.h.!..htc.h.".. + 0e000 6275665f 706f6f6c 5f617069 2e680023 buf_pool_api.h.# + 0e010 0000776d 695f7376 635f6170 692e6800 ..wmi_svc_api.h. + 0e020 24000077 6d692e68 00220000 61746864 $..wmi.h."..athd + 0e030 6566732e 68002200 00646d61 5f656e67 efs.h."..dma_eng + 0e040 696e655f 6170692e 68002500 00000005 ine_api.h.%..... + 0e050 02008e2d 90031801 03000900 03010305 ...-............ + 0e060 09000501 03040900 06010303 09000801 ................ + 0e070 037d0900 03010303 09000301 03040900 .}.............. + 0e080 0c010307 09000601 03060900 08010301 ................ + 0e090 09000301 03010900 03010308 09000401 ................ + 0e0a0 03020900 03010308 09000501 03010900 ................ + 0e0b0 03010300 09000201 03090900 03010300 ................ + 0e0c0 09000301 031c0900 09010301 09000601 ................ + 0e0d0 03060900 03010309 09000c01 03010900 ................ + 0e0e0 06010306 09000301 03070900 02010300 ................ + 0e0f0 09000301 03070900 09010301 09000601 ................ + 0e100 03060900 03010309 09000c01 03010900 ................ + 0e110 06010305 09000301 03080900 02010300 ................ + 0e120 09000301 03030900 03010308 09000901 ................ + 0e130 037a0900 04010301 09000901 03050900 .z.............. + 0e140 02010309 09000201 03170900 03010307 ................ + 0e150 09000501 03000900 03010301 09000301 ................ + 0e160 03080900 06010300 09000301 03030900 ................ + 0e170 03010303 09000501 03010900 0501037f ................ + 0e180 09000301 03020900 0301037e 09000201 ...........~.... + 0e190 03040900 03010308 09000501 03000900 ................ + 0e1a0 03010303 09000601 037e0900 02010302 .........~...... + 0e1b0 09000301 03030900 0201037b 09000201 ...........{.... + 0e1c0 03020900 06010303 09000601 03010900 ................ + 0e1d0 03010305 09000201 03070900 05010300 ................ + 0e1e0 09000301 03040900 05010302 09000601 ................ + 0e1f0 03020900 0901037e 09000301 03020900 .......~........ + 0e200 03010301 09000c01 03030900 09010301 ................ + 0e210 09000c01 03080900 02010300 09000301 ................ + 0e220 030c0900 0301037d 09001a01 03030900 .......}........ + 0e230 0201037f 09000301 037d0900 0201037f .........}...... + 0e240 09000201 037f0900 0201037f 09000201 ................ + 0e250 037f0900 0201037f 09000201 037f0900 ................ + 0e260 0201030b 09000201 09000200 01010000 ................ + 0e270 11500002 00000cc0 0101fb0e 0a000101 .P.............. + 0e280 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 0e290 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0e2a0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0e2b0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 0e2c0 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 0e2d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0e2e0 726f6d2f 6869662f 7063692f 002f726f rom/hif/pci/./ro + 0e2f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0e300 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0e310 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0e320 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo + 0e330 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0e340 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 0e350 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor + 0e360 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0e370 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 0e380 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp + 0e390 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0e3a0 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ + 0e3b0 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + 0e3c0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 0e3d0 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 0e3e0 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 0e3f0 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib + 0e400 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 0e410 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0e420 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 0e430 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0e440 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0e450 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 0e460 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0e470 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0e480 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0e490 6f6d2f76 6275662f 696e6300 2f726f6f om/vbuf/inc./roo + 0e4a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0e4b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0e4c0 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 0e4d0 64657363 2f696e63 002f726f 6f742f57 desc/inc./root/W + 0e4e0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0e4f0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 0e500 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor + 0e510 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0e520 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0e530 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb + 0e540 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 0e550 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0e560 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0e570 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ + 0e580 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0e590 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0e5a0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 0e5b0 6f732f69 6e63002f 726f6f74 2f576f72 os/inc./root/Wor + 0e5c0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0e5d0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0e5e0 655f315f 312f696e 632f6d61 67706965 e_1_1/inc/magpie + 0e5f0 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 0e600 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 0e610 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 0e620 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 0e630 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc + 0e640 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 0e650 6967002f 6f70742f 7874656e 73612f58 ig./opt/xtensa/X + 0e660 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 0e670 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + 0e680 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + 0e690 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + 0e6a0 6e636c75 64652f78 74656e73 61002f6f nclude/xtensa./o + 0e6b0 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 0e6c0 6f6f6c73 2f696e73 74616c6c 2f627569 ools/install/bui + 0e6d0 6c64732f 52422d32 3030372e 322d6c69 lds/RB-2007.2-li + 0e6e0 6e75782f 4d616770 69655f50 302f7874 nux/Magpie_P0/xt + 0e6f0 656e7361 2d656c66 2f617263 682f696e ensa-elf/arch/in + 0e700 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + 0e710 66696700 2f726f6f 742f576f 726b7370 fig./root/Worksp + 0e720 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0e730 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0e740 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri + 0e750 6e74662f 696e6300 2f726f6f 742f576f ntf/inc./root/Wo + 0e760 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0e770 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0e780 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0e790 2f756172 742f696e 63002f72 6f6f742f /uart/inc./root/ + 0e7a0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0e7b0 3230322f 7467742f 74617267 65742f63 202/tgt/target/c + 0e7c0 6d6e6f73 2f646267 2f696e63 002f726f mnos/dbg/inc./ro + 0e7d0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0e7e0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0e7f0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0e800 636d6e6f 732f6d65 6d2f696e 63002f72 cmnos/mem/inc./r + 0e810 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0e820 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0e830 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0e840 2f636d6e 6f732f6d 6973632f 696e6300 /cmnos/misc/inc. + 0e850 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0e860 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 0e870 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 0e880 6f6d2f63 6d6e6f73 2f737472 696e672f om/cmnos/string/ + 0e890 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0e8a0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0e8b0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0e8c0 5f312f72 6f6d2f63 6d6e6f73 2f74696d _1/rom/cmnos/tim + 0e8d0 65722f69 6e63002f 726f6f74 2f576f72 er/inc./root/Wor + 0e8e0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0e8f0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0e900 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0e910 726f6d70 61746368 2f696e63 002f726f rompatch/inc./ro + 0e920 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0e930 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0e940 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0e950 636d6e6f 732f616c 6c6f6372 616d2f69 cmnos/allocram/i + 0e960 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 0e970 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 0e980 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 0e990 312f726f 6d2f636d 6e6f732f 7461736b 1/rom/cmnos/task + 0e9a0 6c65742f 696e6300 2f726f6f 742f576f let/inc./root/Wo + 0e9b0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0e9c0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0e9d0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0e9e0 2f636c6f 636b2f69 6e63002f 726f6f74 /clock/inc./root + 0e9f0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0ea00 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0ea10 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0ea20 6e6f732f 696e7472 2f696e63 002f726f nos/intr/inc./ro + 0ea30 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0ea40 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 0ea50 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 0ea60 636d6e6f 732f7764 742f696e 63002f72 cmnos/wdt/inc./r + 0ea70 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0ea80 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0ea90 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0eaa0 2f636d6e 6f732f65 6570726f 6d2f696e /cmnos/eeprom/in + 0eab0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0eac0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0ead0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0eae0 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 0eaf0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0eb00 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 0eb10 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 0eb20 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0eb30 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0eb40 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 0eb50 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0eb60 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0eb70 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 0eb80 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0eb90 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0eba0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0ebb0 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 0ebc0 6e630000 6869665f 7063692e 63000100 nc..hif_pci.c... + 0ebd0 00686966 5f617069 2e680002 00006164 .hif_api.h....ad + 0ebe0 665f6e62 75662e68 00030000 6164665f f_nbuf.h....adf_ + 0ebf0 6f735f75 74696c2e 68000400 00616466 os_util.h....adf + 0ec00 5f6f735f 7574696c 5f707674 2e680005 _os_util_pvt.h.. + 0ec10 00006164 665f6f73 5f747970 65732e68 ..adf_os_types.h + 0ec20 00040000 6164665f 6f735f73 74647479 ....adf_os_stdty + 0ec30 7065732e 68000400 00616466 5f6f735f pes.h....adf_os_ + 0ec40 74797065 735f7076 742e6800 05000073 types_pvt.h....s + 0ec50 74646465 662e6800 0600006f 73617069 tddef.h....osapi + 0ec60 2e680007 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 0ec70 00080000 76627566 5f617069 2e680009 ....vbuf_api.h.. + 0ec80 00007664 6573635f 6170692e 68000a00 ..vdesc_api.h... + 0ec90 00737464 6172672e 68000700 0076612d .stdarg.h....va- + 0eca0 7874656e 73612e68 00060000 6164665f xtensa.h....adf_ + 0ecb0 6f735f64 6d612e68 00040000 6164665f os_dma.h....adf_ + 0ecc0 6f735f64 6d615f70 76742e68 00050000 os_dma_pvt.h.... + 0ecd0 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + 0ece0 0b000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + 0ecf0 68000c00 004d6167 7069655f 6170692e h....Magpie_api. + 0ed00 68000d00 00636d6e 6f735f61 70692e68 h....cmnos_api.h + 0ed10 000e0000 7379735f 6366672e 68000d00 ....sys_cfg.h... + 0ed20 00726f6d 5f636667 2e68000f 00006d61 .rom_cfg.h....ma + 0ed30 67706965 5f6d656d 2e68000d 0000636f gpie_mem.h....co + 0ed40 72652e68 00100000 68616c2e 68001100 re.h....hal.h... + 0ed50 00636f72 652d6973 612e6800 12000063 .core-isa.h....c + 0ed60 6f72652d 6d61746d 61702e68 00120000 ore-matmap.h.... + 0ed70 7469652e 68001200 00787472 756e7469 tie.h....xtrunti + 0ed80 6d652e68 00110000 73706563 7265672e me.h....specreg. + 0ed90 68001200 00636f72 65626974 732e6800 h....corebits.h. + 0eda0 11000070 72696e74 665f6170 692e6800 ...printf_api.h. + 0edb0 13000075 6172745f 6170692e 68001400 ...uart_api.h... + 0edc0 00726567 5f646566 732e6800 0f000064 .reg_defs.h....d + 0edd0 745f6465 66732e68 00070000 6462675f t_defs.h....dbg_ + 0ede0 6170692e 68001500 006d656d 5f617069 api.h....mem_api + 0edf0 2e680016 00006d69 73635f61 70692e68 .h....misc_api.h + 0ee00 00170000 73747269 6e675f61 70692e68 ....string_api.h + 0ee10 00180000 74696d65 725f6170 692e6800 ....timer_api.h. + 0ee20 19000072 6f6d705f 6170692e 68001a00 ...romp_api.h... + 0ee30 00616c6c 6f637261 6d5f6170 692e6800 .allocram_api.h. + 0ee40 1b000074 61736b6c 65745f61 70692e68 ...tasklet_api.h + 0ee50 001c0000 636c6f63 6b5f6170 692e6800 ....clock_api.h. + 0ee60 1d000069 6e74725f 6170692e 68001e00 ...intr_api.h... + 0ee70 00776474 5f617069 2e68001f 00006565 .wdt_api.h....ee + 0ee80 70726f6d 5f617069 2e680020 00007573 prom_api.h. ..us + 0ee90 625f6170 692e6800 02000068 69665f70 b_api.h....hif_p + 0eea0 63692e68 00020000 646d615f 6c69622e ci.h....dma_lib. + 0eeb0 68000200 00686966 5f676d61 632e6800 h....hif_gmac.h. + 0eec0 02000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 0eed0 00020000 6874635f 6170692e 68002100 ....htc_api.h.!. + 0eee0 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 0eef0 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 0ef00 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 0ef10 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 0ef20 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 0ef30 692e6800 25000000 00050200 8e2f2c03 i.h.%......../,. + 0ef40 30010301 09000301 03050900 09010301 0............... + 0ef50 09000301 03010900 05010304 09000401 ................ + 0ef60 03000900 03010310 09000201 037f0900 ................ + 0ef70 0f01037c 09000301 03780900 02010304 ...|.....x...... + 0ef80 09000201 037c0900 0201030a 09000201 .....|.......... + 0ef90 03760900 02010306 09000201 037a0900 .v...........z.. + 0efa0 02010312 09000301 03000900 0301030a ................ + 0efb0 09000201 037c0900 02010303 09000201 .....|.......... + 0efc0 03010900 02010379 09000301 030b0900 .......y........ + 0efd0 06010300 09000301 03100900 0201037c ...............| + 0efe0 09000901 03030900 02010301 09000201 ................ + 0eff0 03730900 03010303 09000601 037d0900 .s...........}.. + 0f000 02010306 09000201 037a0900 02010313 .........z...... + 0f010 09000301 03000900 03010306 09000301 ................ + 0f020 03020900 03010301 09000b01 03080900 ................ + 0f030 04010300 09000301 03060900 03010302 ................ + 0f040 09000c01 03020900 08010303 09000801 ................ + 0f050 03060900 0c010301 09000b01 03010900 ................ + 0f060 10010302 09000801 03060900 0b010301 ................ + 0f070 09000b01 03010900 10010302 09000801 ................ + 0f080 03060900 0b010301 09000801 03010900 ................ + 0f090 10010302 09000801 03010900 08010306 ................ + 0f0a0 09000201 03000900 03010301 09000301 ................ + 0f0b0 03010900 06010302 09000601 03010900 ................ + 0f0c0 0a010302 09000a01 03030900 0d01030a ................ + 0f0d0 09000501 03000900 03010301 09000301 ................ + 0f0e0 03010900 06010305 09000601 03010900 ................ + 0f0f0 0a010305 09000a01 03010900 0a010301 ................ + 0f100 09000a01 03010900 0a010302 09000d01 ................ + 0f110 030b0900 03010300 09000301 03040900 ................ + 0f120 03010302 09000a01 03010900 03010307 ................ + 0f130 09000901 037d0900 02010302 09000a01 .....}.......... + 0f140 037e0900 03010302 09000201 03010900 .~.............. + 0f150 0b010308 09000401 03010900 0301030a ................ + 0f160 09000501 03000900 03010303 09000301 ................ + 0f170 03000900 02010301 09000201 03010900 ................ + 0f180 04010301 09000401 030a0900 02010300 ................ + 0f190 09000301 03040900 03010302 09000801 ................ + 0f1a0 03030900 0a010305 09000701 037d0900 .............}.. + 0f1b0 02010303 09000901 030b0900 02010300 ................ + 0f1c0 09000301 03030900 05010303 09000a01 ................ + 0f1d0 03030900 0901037f 09000201 03010900 ................ + 0f1e0 09010308 09000201 03000900 03010305 ................ + 0f1f0 09000901 037f0900 0a010304 09000e01 ................ + 0f200 037f0900 0a010304 09000e01 037f0900 ................ + 0f210 0a010304 09000e01 037f0900 0a010307 ................ + 0f220 09000b01 03010900 0a010304 09001101 ................ + 0f230 03010900 0a010304 09000c01 03020900 ................ + 0f240 0301030c 09000301 03000900 03010303 ................ + 0f250 09000301 037d0900 03010303 09000201 .....}.......... + 0f260 03020900 03010301 09000301 03010900 ................ + 0f270 09010303 09000201 030b0900 10010300 ................ + 0f280 09000301 03030900 0301037d 09000301 ...........}.... + 0f290 03030900 02010302 09000301 03030900 ................ + 0f2a0 03010301 09000801 030b0900 03010301 ................ + 0f2b0 09000301 03010900 03010302 09000201 ................ + 0f2c0 030c0900 08010304 09000301 037e0900 .............~.. + 0f2d0 0301037f 09000301 03030900 0301030b ................ + 0f2e0 09000401 03010900 03010300 09000201 ................ + 0f2f0 03090900 03010301 09000301 03050900 ................ + 0f300 05010300 09000301 03010900 02010301 ................ + 0f310 09000301 03010900 03010308 09000501 ................ + 0f320 03000900 03010303 09001b01 03070900 ................ + 0f330 0201037f 09000201 037f0900 0201037f ................ + 0f340 09000201 037f0900 0201037f 09000201 ................ + 0f350 037d0900 02010302 09000201 03080900 .}.............. + 0f360 0501037f 09000801 03020900 02010301 ................ + 0f370 09000201 03040900 05010300 09000301 ................ + 0f380 03090900 1b01037f 09000201 037f0900 ................ + 0f390 0201037f 09000201 037f0900 0201037f ................ + 0f3a0 09000201 037f0900 0201037f 09000201 ................ + 0f3b0 037f0900 02010309 09000201 09000200 ................ + 0f3c0 01010000 129d0002 00000d16 0101fb0e ................ + 0f3d0 0a000101 01010000 00012f72 6f6f742f ........../root/ + 0f3e0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0f3f0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 0f400 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m + 0f410 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. + 0f420 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ + 0f430 315f312f 726f6d2f 6869662f 7573622f 1_1/rom/hif/usb/ + 0f440 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 0f450 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0f460 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0f470 5f312f69 6e63002f 726f6f74 2f576f72 _1/inc./root/Wor + 0f480 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0f490 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0f4a0 655f315f 312f696e 632f6d61 67706965 e_1_1/inc/magpie + 0f4b0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0f4c0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 0f4d0 61726765 742f696e 63002f72 6f6f742f arget/inc./root/ + 0f4e0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 0f4f0 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 0f500 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo + 0f510 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0f520 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0f530 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0f540 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + 0f550 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 0f560 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 0f570 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 0f580 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + 0f590 2f696e63 6c756465 2f787465 6e73612f /include/xtensa/ + 0f5a0 636f6e66 6967002f 6f70742f 7874656e config./opt/xten + 0f5b0 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 0f5c0 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 0f5d0 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 0f5e0 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 0f5f0 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 0f600 61002f6f 70742f78 74656e73 612f5874 a./opt/xtensa/Xt + 0f610 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 0f620 2f627569 6c64732f 52422d32 3030372e /builds/RB-2007. + 0f630 322d6c69 6e75782f 4d616770 69655f50 2-linux/Magpie_P + 0f640 302f7874 656e7361 2d656c66 2f617263 0/xtensa-elf/arc + 0f650 682f696e 636c7564 652f7874 656e7361 h/include/xtensa + 0f660 2f636f6e 66696700 2f726f6f 742f576f /config./root/Wo + 0f670 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0f680 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0f690 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0f6a0 2f707269 6e74662f 696e6300 2f726f6f /printf/inc./roo + 0f6b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0f6c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0f6d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 0f6e0 6d6e6f73 2f756172 742f696e 63002f72 mnos/uart/inc./r + 0f6f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0f700 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 0f710 65742f63 6d6e6f73 2f646267 2f696e63 et/cmnos/dbg/inc + 0f720 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0f730 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0f740 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0f750 726f6d2f 636d6e6f 732f6d65 6d2f696e rom/cmnos/mem/in + 0f760 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0f770 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0f780 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0f790 2f726f6d 2f636d6e 6f732f6d 6973632f /rom/cmnos/misc/ + 0f7a0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0f7b0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 0f7c0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 0f7d0 5f312f72 6f6d2f63 6d6e6f73 2f737472 _1/rom/cmnos/str + 0f7e0 696e672f 696e6300 2f726f6f 742f576f ing/inc./root/Wo + 0f7f0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0f800 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0f810 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 0f820 2f74696d 65722f69 6e63002f 726f6f74 /timer/inc./root + 0f830 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0f840 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0f850 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 0f860 6e6f732f 726f6d70 61746368 2f696e63 nos/rompatch/inc + 0f870 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0f880 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0f890 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0f8a0 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + 0f8b0 616d2f69 6e63002f 726f6f74 2f576f72 am/inc./root/Wor + 0f8c0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 0f8d0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 0f8e0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 0f8f0 7461736b 6c65742f 696e6300 2f726f6f tasklet/inc./roo + 0f900 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 0f910 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 0f920 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 0f930 6d6e6f73 2f636c6f 636b2f69 6e63002f mnos/clock/inc./ + 0f940 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 0f950 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 0f960 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 0f970 6d2f636d 6e6f732f 696e7472 2f696e63 m/cmnos/intr/inc + 0f980 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0f990 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 0f9a0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 0f9b0 726f6d2f 636d6e6f 732f7764 742f696e rom/cmnos/wdt/in + 0f9c0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 0f9d0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0f9e0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0f9f0 2f726f6d 2f636d6e 6f732f65 6570726f /rom/cmnos/eepro + 0fa00 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 0fa10 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 0fa20 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 0fa30 5f315f31 2f726f6d 2f686966 2f696e63 _1_1/rom/hif/inc + 0fa40 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 0fa50 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 0fa60 64662f69 6e636c75 64652f6e 62756600 df/include/nbuf. + 0fa70 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 0fa80 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 0fa90 662f696e 636c7564 652f6f73 002f726f f/include/os./ro + 0faa0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 0fab0 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 0fac0 742f6164 662f6f73 2f696e63 002f6f70 t/adf/os/inc./op + 0fad0 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 0fae0 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 0faf0 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 0fb00 782f5874 656e7361 546f6f6c 732f6c69 x/XtensaTools/li + 0fb10 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ + 0fb20 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 0fb30 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 0fb40 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 0fb50 2f726f6d 2f766275 662f696e 63002f72 /rom/vbuf/inc./r + 0fb60 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 0fb70 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 0fb80 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 0fb90 2f766465 73632f69 6e63002f 726f6f74 /vdesc/inc./root + 0fba0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0fbb0 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 0fbc0 6c756465 2f6e6574 002f726f 6f742f57 lude/net./root/W + 0fbd0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0fbe0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0fbf0 7069655f 315f312f 726f6d2f 6164662f pie_1_1/rom/adf/ + 0fc00 6e627566 2f696e63 002f726f 6f742f57 nbuf/inc./root/W + 0fc10 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 0fc20 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 0fc30 7069655f 315f312f 726f6d2f 6874632f pie_1_1/rom/htc/ + 0fc40 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 0fc50 6163652f 30343132 5f763230 322f776c ace/0412_v202/wl + 0fc60 616e2f69 6e636c75 6465002f 726f6f74 an/include./root + 0fc70 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0fc80 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 0fc90 61677069 655f315f 312f726f 6d2f6275 agpie_1_1/rom/bu + 0fca0 665f706f 6f6c2f69 6e63002f 726f6f74 f_pool/inc./root + 0fcb0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 0fcc0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 0fcd0 776d692f 696e6300 2f726f6f 742f576f wmi/inc./root/Wo + 0fce0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 0fcf0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 0fd00 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e + 0fd10 6e67696e 652f696e 63000048 49465f75 ngine/inc..HIF_u + 0fd20 73622e63 00010000 7379735f 6366672e sb.c....sys_cfg. + 0fd30 68000200 00726f6d 5f636667 2e680003 h....rom_cfg.h.. + 0fd40 00006d61 67706965 5f6d656d 2e680002 ..magpie_mem.h.. + 0fd50 00006474 5f646566 732e6800 04000072 ..dt_defs.h....r + 0fd60 65675f64 6566732e 68000300 006f7361 eg_defs.h....osa + 0fd70 70692e68 00040000 4f545553 5f736f63 pi.h....OTUS_soc + 0fd80 2e680005 00004d61 67706965 5f617069 .h....Magpie_api + 0fd90 2e680002 0000636d 6e6f735f 6170692e .h....cmnos_api. + 0fda0 68000600 00636f72 652e6800 07000068 h....core.h....h + 0fdb0 616c2e68 00080000 636f7265 2d697361 al.h....core-isa + 0fdc0 2e680009 0000636f 72652d6d 61746d61 .h....core-matma + 0fdd0 702e6800 09000074 69652e68 00090000 p.h....tie.h.... + 0fde0 78747275 6e74696d 652e6800 08000073 xtruntime.h....s + 0fdf0 70656372 65672e68 00090000 636f7265 pecreg.h....core + 0fe00 62697473 2e680008 00007072 696e7466 bits.h....printf + 0fe10 5f617069 2e68000a 00007561 72745f61 _api.h....uart_a + 0fe20 70692e68 000b0000 6462675f 6170692e pi.h....dbg_api. + 0fe30 68000c00 006d656d 5f617069 2e68000d h....mem_api.h.. + 0fe40 00006d69 73635f61 70692e68 000e0000 ..misc_api.h.... + 0fe50 73747269 6e675f61 70692e68 000f0000 string_api.h.... + 0fe60 74696d65 725f6170 692e6800 10000072 timer_api.h....r + 0fe70 6f6d705f 6170692e 68001100 00616c6c omp_api.h....all + 0fe80 6f637261 6d5f6170 692e6800 12000074 ocram_api.h....t + 0fe90 61736b6c 65745f61 70692e68 00130000 asklet_api.h.... + 0fea0 636c6f63 6b5f6170 692e6800 14000069 clock_api.h....i + 0feb0 6e74725f 6170692e 68001500 00776474 ntr_api.h....wdt + 0fec0 5f617069 2e680016 00006565 70726f6d _api.h....eeprom + 0fed0 5f617069 2e680017 00007573 625f6170 _api.h....usb_ap + 0fee0 692e6800 18000068 69665f70 63692e68 i.h....hif_pci.h + 0fef0 00180000 6869665f 6170692e 68001800 ....hif_api.h... + 0ff00 00616466 5f6e6275 662e6800 19000061 .adf_nbuf.h....a + 0ff10 64665f6f 735f7574 696c2e68 001a0000 df_os_util.h.... + 0ff20 6164665f 6f735f75 74696c5f 7076742e adf_os_util_pvt. + 0ff30 68001b00 00616466 5f6f735f 74797065 h....adf_os_type + 0ff40 732e6800 1a000061 64665f6f 735f7374 s.h....adf_os_st + 0ff50 64747970 65732e68 001a0000 6164665f dtypes.h....adf_ + 0ff60 6f735f74 79706573 5f707674 2e68001b os_types_pvt.h.. + 0ff70 00007374 64646566 2e68001c 00007662 ..stddef.h....vb + 0ff80 75665f61 70692e68 001d0000 76646573 uf_api.h....vdes + 0ff90 635f6170 692e6800 1e000073 74646172 c_api.h....stdar + 0ffa0 672e6800 04000076 612d7874 656e7361 g.h....va-xtensa + 0ffb0 2e68001c 00006164 665f6f73 5f646d61 .h....adf_os_dma + 0ffc0 2e68001a 00006164 665f6f73 5f646d61 .h....adf_os_dma + 0ffd0 5f707674 2e68001b 00006164 665f6e65 _pvt.h....adf_ne + 0ffe0 745f7479 7065732e 68001f00 00616466 t_types.h....adf + 0fff0 5f6e6275 665f7076 742e6800 20000064 _nbuf_pvt.h. ..d + 10000 6d615f6c 69622e68 00180000 6869665f ma_lib.h....hif_ + 10010 676d6163 2e680018 00007573 62666966 gmac.h....usbfif + 10020 6f5f6170 692e6800 18000068 74635f61 o_api.h....htc_a + 10030 70692e68 00210000 6874632e 68002200 pi.h.!..htc.h.". + 10040 00627566 5f706f6f 6c5f6170 692e6800 .buf_pool_api.h. + 10050 23000077 6d695f73 76635f61 70692e68 #..wmi_svc_api.h + 10060 00240000 776d692e 68002200 00617468 .$..wmi.h."..ath + 10070 64656673 2e680022 0000646d 615f656e defs.h."..dma_en + 10080 67696e65 5f617069 2e680025 00006164 gine_api.h.%..ad + 10090 665f6f73 5f6d656d 2e68001a 00006164 f_os_mem.h....ad + 100a0 665f6f73 5f6d656d 5f707674 2e68001b f_os_mem_pvt.h.. + 100b0 00006164 665f6f73 5f696f2e 68001a00 ..adf_os_io.h... + 100c0 00616466 5f6f735f 696f5f70 76742e68 .adf_os_io_pvt.h + 100d0 001b0000 6869665f 7573622e 68001800 ....hif_usb.h... + 100e0 00000005 02008e33 48032601 03000900 .......3H.&..... + 100f0 03010303 09000301 03020900 0201037f ................ + 10100 09000201 03010900 04010301 09000201 ................ + 10110 03040900 0401030b 09000301 03020900 ................ + 10120 02010301 09000901 03020900 0d01037e ...............~ + 10130 09000301 03020900 02010302 09000901 ................ + 10140 03020900 0b010303 09000401 03000900 ................ + 10150 03010303 09000301 03010900 0201037c ...............| + 10160 09000201 03040900 02010301 09000301 ................ + 10170 03010900 02010302 09000501 03030900 ................ + 10180 04010301 09000201 03040900 04010300 ................ + 10190 09000301 03050900 0301037b 09000201 ...........{.... + 101a0 03050900 02010302 09000501 03050900 ................ + 101b0 05010300 09000301 03040900 0f010301 ................ + 101c0 09000201 03010900 02010302 09000201 ................ + 101d0 037f0900 03010301 09000201 03040900 ................ + 101e0 05010301 09000901 03030900 09010304 ................ + 101f0 09000901 03030900 0c010301 09000401 ................ + 10200 03010900 02010302 09000201 03040900 ................ + 10210 03010302 09000301 03030900 05010300 ................ + 10220 09000301 03030900 03010300 09000201 ................ + 10230 03010900 02010301 09000401 03020900 ................ + 10240 04010305 09000201 03000900 03010308 ................ + 10250 09000601 03010900 0b010302 09001101 ................ + 10260 03010900 08010302 09000801 03010900 ................ + 10270 0b010304 09000801 03010900 0b010303 ................ + 10280 09000c01 03010900 08010303 09000601 ................ + 10290 03040900 06010303 09000501 03030900 ................ + 102a0 04010301 09000301 03000900 0201031a ................ + 102b0 09000301 03000900 03010301 09000501 ................ + 102c0 03040900 0301030d 09000601 03770900 .............w.. + 102d0 02010301 09000301 03080900 0601037c ...............| + 102e0 09000201 03010900 06010303 09000501 ................ + 102f0 03030900 03010303 09000301 03130900 ................ + 10300 06010371 09000201 030f0900 06010377 ...q...........w + 10310 09000201 03060900 03010303 09000601 ................ + 10320 03080900 04010300 09000301 03060900 ................ + 10330 05010321 09000301 03010900 07010301 ...!............ + 10340 09001601 037b0900 05010367 09000201 .....{.....g.... + 10350 03020900 09010302 09000a01 03020900 ................ + 10360 0301043e 03f27d09 000a0104 01038e02 ...>..}......... + 10370 09000301 043e03f2 7d090002 01040103 .....>..}....... + 10380 8e020900 05010301 09000201 03790900 .............y.. + 10390 0d010316 09000201 03720900 02010301 .........r...... + 103a0 09000401 03010900 03010301 09000301 ................ + 103b0 03080900 0501036d 09000401 03b27f09 .......m........ + 103c0 000d0103 04090006 01037e09 00020103 ..........~..... + 103d0 04090004 01037d09 00020103 01090002 ......}......... + 103e0 01030509 00040103 01090002 01037509 ..............u. + 103f0 00020103 e8000900 03010324 09000501 ...........$.... + 10400 03000900 03010301 09000301 036b0900 .............k.. + 10410 03010302 09000201 03010900 0601037f ................ + 10420 09000201 03010900 03010302 09000801 ................ + 10430 037e0900 03010302 09000201 03030900 .~.............. + 10440 09010304 09000201 037d0900 04010307 .........}...... + 10450 09000201 037d0900 03010303 09000201 .....}.......... + 10460 03060900 03010302 09000301 03040900 ................ + 10470 0e010304 09000801 036c0900 04010319 .........l...... + 10480 09000701 03030900 03010301 09000601 ................ + 10490 03030900 04010308 09000401 037c0900 .............|.. + 104a0 02010301 09000801 03010900 08010302 ................ + 104b0 09000501 03030900 04010303 09000301 ................ + 104c0 03030900 05010302 09000301 03030900 ................ + 104d0 05010302 09000301 03030900 05010301 ................ + 104e0 09000301 03010900 05010302 09000201 ................ + 104f0 03050900 06010308 09000301 037c0900 .............|.. + 10500 06010303 09000201 03f40009 00090103 ................ + 10510 00090003 01030709 00030103 0709000f ................ + 10520 01035409 000c0103 0109000a 01033109 ..T...........1. + 10530 00090103 65090011 01030109 000a0103 ....e........... + 10540 29090009 01030209 000e0103 0f090003 )............... + 10550 0103fd7e 09000a01 03010900 08010384 ...~............ + 10560 01090007 01030509 00070103 917f0900 ................ + 10570 0a010301 09000a01 03f00009 00090103 ................ + 10580 04090002 01030709 00030103 03090005 ................ + 10590 01030209 00030103 7f090002 01030109 ................ + 105a0 00050103 01090003 01030509 00030103 ................ + 105b0 00090003 01030309 001b0103 07090002 ................ + 105c0 01037f09 00020103 7f090002 01037f09 ................ + 105d0 00020103 7f090002 01037f09 00020103 ................ + 105e0 7d090002 01030209 00020103 08090005 }............... + 105f0 01037f09 00080103 02090002 01030409 ................ + 10600 00020103 03090005 01030009 00030103 ................ + 10610 02090006 01030109 00110103 0209000c ................ + 10620 01030409 00090103 01090011 01030209 ................ + 10630 000b0103 03090009 01030109 000e0103 ................ + 10640 0109000c 01030209 00090103 0109000e ................ + 10650 01030109 000c0103 01090009 01090002 ................ + 10660 00010100 001c7b00 0200000d 640101fb ......{.....d... + 10670 0e0a0001 01010100 0000012f 726f6f74 .........../root + 10680 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10690 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 106a0 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + 106b0 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + 106c0 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + 106d0 5f315f31 2f726f6d 2f686966 2f757362 _1_1/rom/hif/usb + 106e0 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + 106f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 10700 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 10710 315f312f 726f6d2f 6869662f 7573622f 1_1/rom/hif/usb/ + 10720 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 10730 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10740 742f7461 72676574 2f696e63 002f726f t/target/inc./ro + 10750 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10760 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 10770 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 10780 6d616770 6965002f 726f6f74 2f576f72 magpie./root/Wor + 10790 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 107a0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 107b0 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ + 107c0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 107d0 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 107e0 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo + 107f0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10800 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10810 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 10820 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + 10830 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 10840 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 10850 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 10860 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + 10870 2f696e63 6c756465 2f787465 6e73612f /include/xtensa/ + 10880 636f6e66 6967002f 6f70742f 7874656e config./opt/xten + 10890 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 108a0 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 108b0 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 108c0 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 108d0 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 108e0 61002f6f 70742f78 74656e73 612f5874 a./opt/xtensa/Xt + 108f0 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 10900 2f627569 6c64732f 52422d32 3030372e /builds/RB-2007. + 10910 322d6c69 6e75782f 4d616770 69655f50 2-linux/Magpie_P + 10920 302f7874 656e7361 2d656c66 2f617263 0/xtensa-elf/arc + 10930 682f696e 636c7564 652f7874 656e7361 h/include/xtensa + 10940 2f636f6e 66696700 2f726f6f 742f576f /config./root/Wo + 10950 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10960 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10970 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 10980 2f707269 6e74662f 696e6300 2f726f6f /printf/inc./roo + 10990 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 109a0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 109b0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 109c0 6d6e6f73 2f756172 742f696e 63002f72 mnos/uart/inc./r + 109d0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 109e0 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 109f0 65742f63 6d6e6f73 2f646267 2f696e63 et/cmnos/dbg/inc + 10a00 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10a10 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10a20 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10a30 726f6d2f 636d6e6f 732f6d65 6d2f696e rom/cmnos/mem/in + 10a40 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 10a50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10a60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10a70 2f726f6d 2f636d6e 6f732f6d 6973632f /rom/cmnos/misc/ + 10a80 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10a90 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10aa0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 10ab0 5f312f72 6f6d2f63 6d6e6f73 2f737472 _1/rom/cmnos/str + 10ac0 696e672f 696e6300 2f726f6f 742f576f ing/inc./root/Wo + 10ad0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10ae0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10af0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 10b00 2f74696d 65722f69 6e63002f 726f6f74 /timer/inc./root + 10b10 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10b20 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10b30 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 10b40 6e6f732f 726f6d70 61746368 2f696e63 nos/rompatch/inc + 10b50 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10b60 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10b70 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10b80 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + 10b90 616d2f69 6e63002f 726f6f74 2f576f72 am/inc./root/Wor + 10ba0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 10bb0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 10bc0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 10bd0 7461736b 6c65742f 696e6300 2f726f6f tasklet/inc./roo + 10be0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 10bf0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 10c00 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 10c10 6d6e6f73 2f636c6f 636b2f69 6e63002f mnos/clock/inc./ + 10c20 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 10c30 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 10c40 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 10c50 6d2f636d 6e6f732f 696e7472 2f696e63 m/cmnos/intr/inc + 10c60 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10c70 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10c80 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10c90 726f6d2f 636d6e6f 732f7764 742f696e rom/cmnos/wdt/in + 10ca0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 10cb0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10cc0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10cd0 2f726f6d 2f636d6e 6f732f65 6570726f /rom/cmnos/eepro + 10ce0 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 10cf0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 10d00 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 10d10 5f315f31 2f726f6d 2f686966 2f696e63 _1_1/rom/hif/inc + 10d20 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10d30 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 10d40 64662f69 6e636c75 64652f6e 62756600 df/include/nbuf. + 10d50 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 10d60 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 10d70 662f696e 636c7564 652f6f73 002f726f f/include/os./ro + 10d80 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10d90 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 10da0 742f6164 662f6f73 2f696e63 002f6f70 t/adf/os/inc./op + 10db0 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 10dc0 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 10dd0 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 10de0 782f5874 656e7361 546f6f6c 732f6c69 x/XtensaTools/li + 10df0 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ + 10e00 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 10e10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10e20 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10e30 2f726f6d 2f766275 662f696e 63002f72 /rom/vbuf/inc./r + 10e40 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 10e50 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 10e60 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 10e70 2f766465 73632f69 6e63002f 726f6f74 /vdesc/inc./root + 10e80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10e90 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 10ea0 6c756465 2f6e6574 002f726f 6f742f57 lude/net./root/W + 10eb0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 10ec0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 10ed0 7069655f 315f312f 726f6d2f 6164662f pie_1_1/rom/adf/ + 10ee0 6e627566 2f696e63 002f726f 6f742f57 nbuf/inc./root/W + 10ef0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 10f00 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 10f10 7069655f 315f312f 726f6d2f 6874632f pie_1_1/rom/htc/ + 10f20 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10f30 6163652f 30343132 5f763230 322f776c ace/0412_v202/wl + 10f40 616e2f69 6e636c75 6465002f 726f6f74 an/include./root + 10f50 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10f60 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10f70 61677069 655f315f 312f726f 6d2f6275 agpie_1_1/rom/bu + 10f80 665f706f 6f6c2f69 6e63002f 726f6f74 f_pool/inc./root + 10f90 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10fa0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 10fb0 776d692f 696e6300 2f726f6f 742f576f wmi/inc./root/Wo + 10fc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10fd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10fe0 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e + 10ff0 6e67696e 652f696e 63000075 73625f61 ngine/inc..usb_a + 11000 70692e63 00010000 7573625f 64656673 pi.c....usb_defs + 11010 2e680002 00007573 625f7461 626c652e .h....usb_table. + 11020 68000200 0064745f 64656673 2e680003 h....dt_defs.h.. + 11030 00007265 675f6465 66732e68 00040000 ..reg_defs.h.... + 11040 7573625f 74797065 2e680002 00007573 usb_type.h....us + 11050 625f7072 652e6800 02000075 73625f65 b_pre.h....usb_e + 11060 7874722e 68000200 00757362 5f737464 xtr.h....usb_std + 11070 2e680002 00006174 686f735f 6170692e .h....athos_api. + 11080 68000500 006f7361 70692e68 00030000 h....osapi.h.... + 11090 4f545553 5f736f63 2e680006 0000636d OTUS_soc.h....cm + 110a0 6e6f735f 6170692e 68000700 00737973 nos_api.h....sys + 110b0 5f636667 2e680005 0000726f 6d5f6366 _cfg.h....rom_cf + 110c0 672e6800 0400006d 61677069 655f6d65 g.h....magpie_me + 110d0 6d2e6800 05000063 6f72652e 68000800 m.h....core.h... + 110e0 0068616c 2e680009 0000636f 72652d69 .hal.h....core-i + 110f0 73612e68 000a0000 636f7265 2d6d6174 sa.h....core-mat + 11100 6d61702e 68000a00 00746965 2e68000a map.h....tie.h.. + 11110 00007874 72756e74 696d652e 68000900 ..xtruntime.h... + 11120 00737065 63726567 2e68000a 0000636f .specreg.h....co + 11130 72656269 74732e68 00090000 7072696e rebits.h....prin + 11140 74665f61 70692e68 000b0000 75617274 tf_api.h....uart + 11150 5f617069 2e68000c 00006462 675f6170 _api.h....dbg_ap + 11160 692e6800 0d00006d 656d5f61 70692e68 i.h....mem_api.h + 11170 000e0000 6d697363 5f617069 2e68000f ....misc_api.h.. + 11180 00007374 72696e67 5f617069 2e680010 ..string_api.h.. + 11190 00007469 6d65725f 6170692e 68001100 ..timer_api.h... + 111a0 00726f6d 705f6170 692e6800 12000061 .romp_api.h....a + 111b0 6c6c6f63 72616d5f 6170692e 68001300 llocram_api.h... + 111c0 00746173 6b6c6574 5f617069 2e680014 .tasklet_api.h.. + 111d0 0000636c 6f636b5f 6170692e 68001500 ..clock_api.h... + 111e0 00696e74 725f6170 692e6800 16000077 .intr_api.h....w + 111f0 64745f61 70692e68 00170000 65657072 dt_api.h....eepr + 11200 6f6d5f61 70692e68 00180000 7573625f om_api.h....usb_ + 11210 6170692e 68001900 00686966 5f706369 api.h....hif_pci + 11220 2e680019 00006869 665f6170 692e6800 .h....hif_api.h. + 11230 19000061 64665f6e 6275662e 68001a00 ...adf_nbuf.h... + 11240 00616466 5f6f735f 7574696c 2e68001b .adf_os_util.h.. + 11250 00006164 665f6f73 5f757469 6c5f7076 ..adf_os_util_pv + 11260 742e6800 1c000061 64665f6f 735f7479 t.h....adf_os_ty + 11270 7065732e 68001b00 00616466 5f6f735f pes.h....adf_os_ + 11280 73746474 79706573 2e68001b 00006164 stdtypes.h....ad + 11290 665f6f73 5f747970 65735f70 76742e68 f_os_types_pvt.h + 112a0 001c0000 73746464 65662e68 001d0000 ....stddef.h.... + 112b0 76627566 5f617069 2e68001e 00007664 vbuf_api.h....vd + 112c0 6573635f 6170692e 68001f00 00737464 esc_api.h....std + 112d0 6172672e 68000300 0076612d 7874656e arg.h....va-xten + 112e0 73612e68 001d0000 6164665f 6f735f64 sa.h....adf_os_d + 112f0 6d612e68 001b0000 6164665f 6f735f64 ma.h....adf_os_d + 11300 6d615f70 76742e68 001c0000 6164665f ma_pvt.h....adf_ + 11310 6e65745f 74797065 732e6800 20000061 net_types.h. ..a + 11320 64665f6e 6275665f 7076742e 68002100 df_nbuf_pvt.h.!. + 11330 00646d61 5f6c6962 2e680019 00006869 .dma_lib.h....hi + 11340 665f676d 61632e68 00190000 4d616770 f_gmac.h....Magp + 11350 69655f61 70692e68 00050000 75736266 ie_api.h....usbf + 11360 69666f5f 6170692e 68001900 00687463 ifo_api.h....htc + 11370 5f617069 2e680022 00006874 632e6800 _api.h."..htc.h. + 11380 23000062 75665f70 6f6f6c5f 6170692e #..buf_pool_api. + 11390 68002400 00776d69 5f737663 5f617069 h.$..wmi_svc_api + 113a0 2e680025 0000776d 692e6800 23000061 .h.%..wmi.h.#..a + 113b0 74686465 66732e68 00230000 646d615f thdefs.h.#..dma_ + 113c0 656e6769 6e655f61 70692e68 00260000 engine_api.h.&.. + 113d0 00000502 008e381c 03be0201 03000900 ......8......... + 113e0 0301030c 09000301 037d0900 05010303 .........}...... + 113f0 09000201 037d0900 0301037b 09000c01 .....}.....{.... + 11400 030a0900 06010301 09000401 030b0900 ................ + 11410 02010300 09000301 030a0900 0301037b ...............{ + 11420 09000801 03070900 06010304 09000c01 ................ + 11430 03040900 04010304 09000301 03020900 ................ + 11440 11010301 09001e01 03010900 0f010301 ................ + 11450 09000501 03020900 07010301 09001e01 ................ + 11460 03010900 0f010301 09000501 030b0900 ................ + 11470 05010300 09000301 03030900 0601037d ...............} + 11480 09000301 03030900 02010305 09000901 ................ + 11490 037b0900 02010305 09000301 03010900 .{.............. + 114a0 06010304 09000601 03020900 06010301 ................ + 114b0 09000401 030b0900 02010300 09000301 ................ + 114c0 03010900 03010303 09000801 037e0900 .............~.. + 114d0 06010308 09000201 037e0900 0201037f .........~...... + 114e0 09000201 03010900 05010302 09000201 ................ + 114f0 031b0900 03010300 09000301 03f10009 ................ + 11500 00050103 987f0900 060103e8 00090005 ................ + 11510 01037b09 00060103 9d7f0900 150103e7 ..{............. + 11520 00090003 01039f7f 09000201 03070900 ................ + 11530 02010302 09000f01 03de0009 00040103 ................ + 11540 7d090002 01030109 00030103 9b7f0900 }............... + 11550 03010318 09000501 037f0900 02010301 ................ + 11560 09000c01 03010900 02010307 09000501 ................ + 11570 03010900 08010307 09000601 030b0900 ................ + 11580 06010308 09000c01 03020900 08010303 ................ + 11590 09000a01 03010900 0b010305 09000501 ................ + 115a0 03010900 0b010304 09000601 03090900 ................ + 115b0 06010305 09000801 031a0900 05010300 ................ + 115c0 09000301 03040900 03010301 09000901 ................ + 115d0 03080900 0201037d 09000201 03020900 .......}........ + 115e0 06010301 09000401 030d0900 03010300 ................ + 115f0 09000301 03d40009 00030103 78090018 ............x... + 11600 01030109 00020103 09090006 01030409 ................ + 11610 00030103 7c090003 01030409 00060103 ....|........... + 11620 01090003 01034609 00040103 32090005 ......F.....2... + 11630 01030809 00020103 b57f0900 02010301 ................ + 11640 09000501 032d0900 0601037b 09001001 .....-.....{.... + 11650 03060900 05010305 09000901 03010900 ................ + 11660 02010356 09000601 03010900 0401030e ...V............ + 11670 09000601 03050900 06010305 09000601 ................ + 11680 03330900 07010300 09000301 03060900 .3.............. + 11690 08010301 09000601 03040900 09010302 ................ + 116a0 09000801 03020900 0201030f 09000401 ................ + 116b0 03000900 03010305 09000601 03050900 ................ + 116c0 0301037d 09000701 03030900 05010304 ...}............ + 116d0 09001201 03020900 09010301 09000301 ................ + 116e0 03260900 02010367 09000201 03030900 .&.....g........ + 116f0 03010301 09000301 03040900 03010306 ................ + 11700 09001101 03030900 12010302 09000301 ................ + 11710 03010900 0f010304 09000f01 03010900 ................ + 11720 0401035c 09000201 03010900 03010304 ...\............ + 11730 09000301 032b0900 15010300 09000301 .....+.......... + 11740 03030900 03010317 09000901 036a0900 .............j.. + 11750 0301037f 09000201 03170900 03010373 ...............s + 11760 09000301 031a0900 09010377 09000201 ...........w.... + 11770 03010900 08010304 09000901 03020900 ................ + 11780 08010301 09000301 03010900 0201030e ................ + 11790 09000501 03000900 03010305 09000301 ................ + 117a0 032a0900 0901037e 09000201 03580900 .*.....~.....X.. + 117b0 03010309 09000501 03020900 0801037e ...............~ + 117c0 09000501 03020900 03010301 09000301 ................ + 117d0 03010900 06010302 09000901 03030900 ................ + 117e0 03010318 09001201 036d0900 02010303 .........m...... + 117f0 09000301 03030900 11010301 09000301 ................ + 11800 03020900 04010301 09000f01 03090900 ................ + 11810 0f01030b 09000501 03000900 03010305 ................ + 11820 09000601 037b0900 0501030a 09000201 .....{.......... + 11830 037b0900 02010305 09000801 037b0900 .{...........{.. + 11840 0301030b 09000301 037a0900 03010306 .........z...... + 11850 09000301 037a0900 0201037b 09000601 .....z.....{.... + 11860 03130900 0601037f 09000301 03010900 ................ + 11870 07010305 09000401 03730900 0b010313 .........s...... + 11880 09000201 036d0900 03010313 09000201 .....m.......... + 11890 03070900 03010301 09000201 037f0900 ................ + 118a0 03010301 09000301 03040900 04010301 ................ + 118b0 09000801 03020900 0e010302 09000301 ................ + 118c0 03010900 06010302 09000e01 03020900 ................ + 118d0 03010301 09000601 03040900 0b010307 ................ + 118e0 09000a01 037f0900 02010303 09000401 ................ + 118f0 030a0900 04010300 09000301 03030900 ................ + 11900 0301037d 09000201 03030900 02010305 ...}............ + 11910 09000301 03050900 02010376 09000201 ...........v.... + 11920 03050900 0b010307 09000c01 03040900 ................ + 11930 1001030c 09000601 03790900 02010301 .........y...... + 11940 09000301 03010900 03010301 09000301 ................ + 11950 037f0900 0601037f 09000301 03040900 ................ + 11960 03010302 09000201 037c0900 03010302 .........|...... + 11970 09000201 03720900 05010312 09000a01 .....r.......... + 11980 03070900 0701037f 09000201 03010900 ................ + 11990 04010302 09000201 030a0900 02010300 ................ + 119a0 09000301 03070900 09010302 09000e01 ................ + 119b0 03020900 0c010304 09000301 037f0900 ................ + 119c0 0301037f 09000301 03010900 0601037e ...............~ + 119d0 09000301 03030900 06010310 09000301 ................ + 119e0 03780900 0501037f 09000301 037f0900 .x.............. + 119f0 0901037f 09000301 03160900 07010300 ................ + 11a00 09000301 03890109 00030103 01090024 ...............$ + 11a10 0103fa7e 09000201 03830109 000c0103 ...~............ + 11a20 01090006 0103fc7e 09000201 03030900 .......~........ + 11a30 0201037d 09000801 03060900 0201037a ...}...........z + 11a40 09000801 03090900 02010377 09000801 ...........w.... + 11a50 030c0900 02010374 09000801 03150900 .......t........ + 11a60 0201036b 09000801 03fc0009 00020103 ...k............ + 11a70 847f0900 0801031d 09000201 03050900 ................ + 11a80 06010301 09001101 03030900 06010304 ................ + 11a90 09000601 03070900 05010307 09001101 ................ + 11aa0 03010900 11010303 09000f01 03040900 ................ + 11ab0 12010340 09001201 038b0109 00050103 ...@............ + 11ac0 00090003 01030909 000c0103 3a090003 ............:... + 11ad0 01034609 00050103 3a090003 01035409 ..F.....:.....T. + 11ae0 00080103 01090006 01037f09 00030103 ................ + 11af0 01090003 01030209 00050103 02090011 ................ + 11b00 01030109 000b0103 02090007 01030209 ................ + 11b10 000f0103 01090008 01030109 00060103 ................ + 11b20 01090006 01032009 00060103 4c090005 ...... .....L... + 11b30 01037f09 00030103 7f090003 01030109 ................ + 11b40 00060103 01090005 01030209 00030103 ................ + 11b50 32090004 01036609 00020103 01090002 2.....f......... + 11b60 01030109 00060103 01090006 01030109 ................ + 11b70 00060103 02090006 01030209 000c0103 ................ + 11b80 0109000f 01030809 00170103 09090006 ................ + 11b90 01030f09 00040103 00090003 01030809 ................ + 11ba0 00030103 0609000e 01037c09 00030103 ..........|..... + 11bb0 04090005 01030209 00060103 0209000f ................ + 11bc0 01030509 00060103 7b090005 01030209 ........{....... + 11bd0 00030103 03090003 01030209 00060103 ................ + 11be0 01090006 01037f09 00020103 01090006 ................ + 11bf0 01030409 00030103 03090003 01037d09 ..............}. + 11c00 00040103 05090009 01030109 000c0103 ................ + 11c10 15090008 01030309 00020103 0209000a ................ + 11c20 01030109 000c0103 04090005 01030309 ................ + 11c30 00030103 7d090006 01030509 00090103 ....}........... + 11c40 0109000c 01031409 00050103 03090006 ................ + 11c50 01030609 000b0103 0209000b 01030309 ................ + 11c60 00050103 7f090003 01030209 00030103 ................ + 11c70 7e090006 01030109 00030103 7f090006 ~............... + 11c80 01030409 00030103 0109000b 01030309 ................ + 11c90 00180103 0209000b 01030109 00180103 ................ + 11ca0 03090018 01030309 00030103 1109000d ................ + 11cb0 01037409 00020103 03090003 01030909 ..t............. + 11cc0 00060103 07090009 01030009 00030103 ................ + 11cd0 02090002 01037e09 00060103 02090003 ......~......... + 11ce0 01030409 000f0103 01090006 01030109 ................ + 11cf0 00060103 03090006 01030109 00060103 ................ + 11d00 07090006 01030109 00080103 03090006 ................ + 11d10 01030109 00080103 03090008 01030309 ................ + 11d20 00060103 03090006 01030209 00080103 ................ + 11d30 01090006 01030109 00060103 04090006 ................ + 11d40 01030409 00050103 00090003 01030109 ................ + 11d50 00030103 00090003 01030109 000f0103 ................ + 11d60 03090004 01030009 00030103 01090003 ................ + 11d70 01030009 00020103 01090002 01030109 ................ + 11d80 00040103 01090004 01030109 00040103 ................ + 11d90 03090002 01030009 00030103 0a090003 ................ + 11da0 01030209 00080103 01090003 01030509 ................ + 11db0 00070103 04090006 01030109 00080103 ................ + 11dc0 02090008 01030409 00070103 01090006 ................ + 11dd0 01030109 00050103 7c090002 01031209 ........|....... + 11de0 00020103 6e090002 01030e09 00020103 ....n........... + 11df0 7e090003 01037f09 00030103 02090002 ~............... + 11e00 01030209 00030103 01090002 01030209 ................ + 11e10 00030103 11090003 01037809 00050103 ..........x..... + 11e20 08090006 01030109 00020103 00090003 ................ + 11e30 01030b09 00030103 01090005 01030409 ................ + 11e40 00030103 01090002 01037f09 00030103 ................ + 11e50 0d090008 01037309 00030103 10090006 ......s......... + 11e60 01030109 000b0103 7c090002 01030909 ........|....... + 11e70 00020103 0d090005 01037809 00090103 ..........x..... + 11e80 0609000b 01030409 00080103 0409000b ................ + 11e90 01030209 000b0103 04090011 01030f09 ................ + 11ea0 00050103 49090005 01033709 00120103 ....I.....7..... + 11eb0 60090002 01032109 000b0103 00090003 `.....!......... + 11ec0 01030109 00030103 02090009 01030a09 ................ + 11ed0 00050103 00090003 01030109 00030103 ................ + 11ee0 1c090009 01030409 00050103 00090003 ................ + 11ef0 01030309 00030103 00090009 01030309 ................ + 11f00 000f0103 0309000b 01030209 00060103 ................ + 11f10 01090011 01030309 00040103 04090003 ................ + 11f20 01030109 00030103 03090002 01030009 ................ + 11f30 00030103 05090006 01030209 00060103 ................ + 11f40 02090003 01030109 00060103 0309000e ................ + 11f50 01030209 00030103 03090006 01030209 ................ + 11f60 00030103 0309000f 01030309 00030103 ................ + 11f70 02090006 01030309 00030103 02090006 ................ + 11f80 01030309 00030103 03090006 01030209 ................ + 11f90 00050103 0309000b 01030309 00030103 ................ + 11fa0 02090008 01030309 00020103 03090003 ................ + 11fb0 01030409 00060103 7e090003 01030209 ........~....... + 11fc0 00030103 02090012 01030209 00030103 ................ + 11fd0 02090012 01030209 00030103 04090012 ................ + 11fe0 01030409 00040103 00090003 01030509 ................ + 11ff0 00060103 08090006 01030209 00030103 ................ + 12000 01090006 01030109 00030103 03090006 ................ + 12010 01030309 00030103 01090006 01030109 ................ + 12020 00030103 0309000b 01030309 00030103 ................ + 12030 03090006 01030309 00030103 0109000f ................ + 12040 01030309 00090103 03090003 01030209 ................ + 12050 00060103 03090003 01030209 00060103 ................ + 12060 03090003 01030409 00060103 02090003 ................ + 12070 01030309 000a0103 02090003 01030309 ................ + 12080 00080103 03090005 01030309 000b0103 ................ + 12090 03090003 01030209 00080103 03090002 ................ + 120a0 01030309 00030103 0f090006 01030209 ................ + 120b0 00030103 02090012 01037e09 00060103 ..........~..... + 120c0 02090003 01030309 00030103 08090003 ................ + 120d0 01030109 00120103 0409000f 01030609 ................ + 120e0 00030103 7a090003 01030609 00030103 ....z........... + 120f0 03090003 01030909 00060103 02090003 ................ + 12100 01030109 00120103 04090009 01038b01 ................ + 12110 09000201 03030900 0301037d 09000501 ...........}.... + 12120 03030900 06010302 09000f01 037e0900 .............~.. + 12130 03010302 09000301 03040900 08010309 ................ + 12140 09000301 03010900 0f010302 09001401 ................ + 12150 03060900 0301030a 09003a01 03030900 ..........:..... + 12160 14010304 09000201 03000900 03010305 ................ + 12170 09000301 03080900 06010311 09001c01 ................ + 12180 036f0900 03010305 09000301 03010900 .o.............. + 12190 03010304 09000301 03070900 0301031b ................ + 121a0 09000301 03070900 0c010306 09000901 ................ + 121b0 03010900 1101037f 09000601 03010900 ................ + 121c0 03010312 09000301 03010900 1101037f ................ + 121d0 09000301 03010900 03010302 09000301 ................ + 121e0 03020900 17010307 09000601 03030900 ................ + 121f0 09010302 09001201 037c0900 02010304 .........|...... + 12200 09001201 03060900 02010300 09000301 ................ + 12210 03030900 0601037f 09000201 03020900 ................ + 12220 02010304 09000301 03000900 0301030b ................ + 12230 09001b01 037f0900 0201037e 09000201 ...........~.... + 12240 037f0900 0301037f 09000301 037e0900 .............~.. + 12250 0201037f 09000201 037e0900 02010301 .........~...... + 12260 09000201 03160900 0501037e 09001b01 ...........~.... + 12270 037f0900 0301037f 09000201 037f0900 ................ + 12280 0201037e 09000201 037f0900 0201037d ...~...........} + 12290 09000201 03010900 02010316 09000501 ................ + 122a0 037f0900 1a01037e 09000201 037f0900 .......~........ + 122b0 0301037e 09000301 037f0900 0301037e ...~...........~ + 122c0 09000301 037e0900 03010301 09000301 .....~.......... + 122d0 030c0900 06010301 09000301 09000200 ................ + 122e0 01010000 01b80002 000001b2 0101fb0e ................ + 122f0 0a000101 01010000 00012f72 6f6f742f ........../root/ + 12300 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12310 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 12320 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m + 12330 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. + 12340 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ + 12350 315f312f 726f6d2f 6869662f 7573622f 1_1/rom/hif/usb/ + 12360 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 12370 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12380 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12390 5f312f72 6f6d2f68 69662f75 73622f73 _1/rom/hif/usb/s + 123a0 7263002f 726f6f74 2f576f72 6b737061 rc./root/Workspa + 123b0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 123c0 2f746172 6765742f 696e6300 2f726f6f /target/inc./roo + 123d0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 123e0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 123f0 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + 12400 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12410 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 12420 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 12430 632f6d61 67706965 00007573 625f7461 c/magpie..usb_ta + 12440 626c652e 63000100 00757362 5f747970 ble.c....usb_typ + 12450 652e6800 02000064 745f6465 66732e68 e.h....dt_defs.h + 12460 00030000 7573625f 7461626c 652e6800 ....usb_table.h. + 12470 02000073 79735f63 66672e68 00040000 ...sys_cfg.h.... + 12480 726f6d5f 6366672e 68000500 006d6167 rom_cfg.h....mag + 12490 7069655f 6d656d2e 68000400 00000000 pie_mem.h....... + 124a0 10250002 00000d65 0101fb0e 0a000101 .%.....e........ + 124b0 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 124c0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 124d0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 124e0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 124f0 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 12500 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 12510 726f6d2f 6869662f 7573622f 73726300 rom/hif/usb/src. + 12520 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 12530 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 12540 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 12550 6f6d2f68 69662f75 73622f73 7263002f om/hif/usb/src./ + 12560 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12570 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 12580 6765742f 696e6300 2f726f6f 742f576f get/inc./root/Wo + 12590 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 125a0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 125b0 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 125c0 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 125d0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 125e0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 125f0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 12600 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 12610 67742f74 61726765 742f696e 632f4f54 gt/target/inc/OT + 12620 5553002f 726f6f74 2f576f72 6b737061 US./root/Workspa + 12630 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12640 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12650 312f726f 6d2f636d 6e6f732f 696e6300 1/rom/cmnos/inc. + 12660 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 12670 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 12680 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 12690 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 126a0 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 126b0 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 126c0 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt + 126d0 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 126e0 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 126f0 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 12700 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 12710 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op + 12720 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 12730 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 12740 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 12750 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 12760 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc + 12770 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 12780 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa + 12790 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 127a0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 127b0 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin + 127c0 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor + 127d0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 127e0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 127f0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 12800 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W + 12810 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 12820 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm + 12830 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo + 12840 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 12850 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 12860 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 12870 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro + 12880 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12890 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 128a0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 128b0 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ + 128c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 128d0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 128e0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 128f0 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i + 12900 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12910 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12920 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12930 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time + 12940 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 12950 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12960 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 12970 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r + 12980 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo + 12990 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 129a0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 129b0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 129c0 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in + 129d0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 129e0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 129f0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 12a00 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl + 12a10 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 12a20 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 12a30 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 12a40 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 12a50 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ + 12a60 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12a70 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 12a80 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 12a90 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo + 12aa0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 12ab0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 12ac0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 12ad0 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro + 12ae0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12af0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12b00 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 12b10 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc + 12b20 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 12b30 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 12b40 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 12b50 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo + 12b60 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 12b70 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 12b80 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root + 12b90 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 12ba0 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 12bb0 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo + 12bc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 12bd0 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf + 12be0 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte + 12bf0 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 12c00 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 12c10 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 12c20 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc + 12c30 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro + 12c40 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12c50 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12c60 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 12c70 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 12c80 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 12c90 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 12ca0 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 12cb0 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 12cc0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12cd0 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 12ce0 6e657400 2f726f6f 742f576f 726b7370 net./root/Worksp + 12cf0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12d00 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12d10 5f312f72 6f6d2f61 64662f6e 6275662f _1/rom/adf/nbuf/ + 12d20 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 12d30 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12d40 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12d50 5f312f72 6f6d2f68 74632f69 6e63002f _1/rom/htc/inc./ + 12d60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12d70 3431325f 76323032 2f776c61 6e2f696e 412_v202/wlan/in + 12d80 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 12d90 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12da0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 12db0 5f315f31 2f726f6d 2f627566 5f706f6f _1_1/rom/buf_poo + 12dc0 6c2f696e 63002f72 6f6f742f 576f726b l/inc./root/Work + 12dd0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12de0 7467742f 74617267 65742f77 6d692f69 tgt/target/wmi/i + 12df0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12e00 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12e10 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12e20 312f726f 6d2f646d 615f656e 67696e65 1/rom/dma_engine + 12e30 2f696e63 00007573 625f6669 666f2e63 /inc..usb_fifo.c + 12e40 00010000 7573625f 64656673 2e680002 ....usb_defs.h.. + 12e50 00007573 625f7461 626c652e 68000200 ..usb_table.h... + 12e60 0064745f 64656673 2e680003 00007265 .dt_defs.h....re + 12e70 675f6465 66732e68 00040000 7573625f g_defs.h....usb_ + 12e80 74797065 2e680002 00007573 625f7072 type.h....usb_pr + 12e90 652e6800 02000075 73625f65 7874722e e.h....usb_extr. + 12ea0 68000200 00757362 5f737464 2e680002 h....usb_std.h.. + 12eb0 00007379 735f6366 672e6800 05000072 ..sys_cfg.h....r + 12ec0 6f6d5f63 66672e68 00040000 6d616770 om_cfg.h....magp + 12ed0 69655f6d 656d2e68 00050000 6174686f ie_mem.h....atho + 12ee0 735f6170 692e6800 0500006f 73617069 s_api.h....osapi + 12ef0 2e680003 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 12f00 00060000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. + 12f10 07000063 6f72652e 68000800 0068616c ...core.h....hal + 12f20 2e680009 0000636f 72652d69 73612e68 .h....core-isa.h + 12f30 000a0000 636f7265 2d6d6174 6d61702e ....core-matmap. + 12f40 68000a00 00746965 2e68000a 00007874 h....tie.h....xt + 12f50 72756e74 696d652e 68000900 00737065 runtime.h....spe + 12f60 63726567 2e68000a 0000636f 72656269 creg.h....corebi + 12f70 74732e68 00090000 7072696e 74665f61 ts.h....printf_a + 12f80 70692e68 000b0000 75617274 5f617069 pi.h....uart_api + 12f90 2e68000c 00006462 675f6170 692e6800 .h....dbg_api.h. + 12fa0 0d00006d 656d5f61 70692e68 000e0000 ...mem_api.h.... + 12fb0 6d697363 5f617069 2e68000f 00007374 misc_api.h....st + 12fc0 72696e67 5f617069 2e680010 00007469 ring_api.h....ti + 12fd0 6d65725f 6170692e 68001100 00726f6d mer_api.h....rom + 12fe0 705f6170 692e6800 12000061 6c6c6f63 p_api.h....alloc + 12ff0 72616d5f 6170692e 68001300 00746173 ram_api.h....tas + 13000 6b6c6574 5f617069 2e680014 0000636c klet_api.h....cl + 13010 6f636b5f 6170692e 68001500 00696e74 ock_api.h....int + 13020 725f6170 692e6800 16000077 64745f61 r_api.h....wdt_a + 13030 70692e68 00170000 65657072 6f6d5f61 pi.h....eeprom_a + 13040 70692e68 00180000 7573625f 6170692e pi.h....usb_api. + 13050 68001900 00686966 5f706369 2e680019 h....hif_pci.h.. + 13060 00006869 665f6170 692e6800 19000061 ..hif_api.h....a + 13070 64665f6e 6275662e 68001a00 00616466 df_nbuf.h....adf + 13080 5f6f735f 7574696c 2e68001b 00006164 _os_util.h....ad + 13090 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. + 130a0 1c000061 64665f6f 735f7479 7065732e ...adf_os_types. + 130b0 68001b00 00616466 5f6f735f 73746474 h....adf_os_stdt + 130c0 79706573 2e68001b 00006164 665f6f73 ypes.h....adf_os + 130d0 5f747970 65735f70 76742e68 001c0000 _types_pvt.h.... + 130e0 73746464 65662e68 001d0000 76627566 stddef.h....vbuf + 130f0 5f617069 2e68001e 00007664 6573635f _api.h....vdesc_ + 13100 6170692e 68001f00 00737464 6172672e api.h....stdarg. + 13110 68000300 0076612d 7874656e 73612e68 h....va-xtensa.h + 13120 001d0000 6164665f 6f735f64 6d612e68 ....adf_os_dma.h + 13130 001b0000 6164665f 6f735f64 6d615f70 ....adf_os_dma_p + 13140 76742e68 001c0000 6164665f 6e65745f vt.h....adf_net_ + 13150 74797065 732e6800 20000061 64665f6e types.h. ..adf_n + 13160 6275665f 7076742e 68002100 00646d61 buf_pvt.h.!..dma + 13170 5f6c6962 2e680019 00006869 665f676d _lib.h....hif_gm + 13180 61632e68 00190000 4d616770 69655f61 ac.h....Magpie_a + 13190 70692e68 00050000 75736266 69666f5f pi.h....usbfifo_ + 131a0 6170692e 68001900 00687463 5f617069 api.h....htc_api + 131b0 2e680022 00006874 632e6800 23000062 .h."..htc.h.#..b + 131c0 75665f70 6f6f6c5f 6170692e 68002400 uf_pool_api.h.$. + 131d0 00776d69 5f737663 5f617069 2e680025 .wmi_svc_api.h.% + 131e0 0000776d 692e6800 23000061 74686465 ..wmi.h.#..athde + 131f0 66732e68 00230000 646d615f 656e6769 fs.h.#..dma_engi + 13200 6e655f61 70692e68 00260000 00000502 ne_api.h.&...... + 13210 008e47bc 03130103 06090003 01030009 ..G............. + 13220 00110103 07090006 01030309 00020103 ................ + 13230 09090003 01030009 00130103 06090006 ................ + 13240 01030309 00040103 06090003 01030009 ................ + 13250 00130103 06090006 01030309 00040103 ................ + 13260 06090003 01030009 00190103 04090009 ................ + 13270 01030309 00030103 06090003 01030009 ................ + 13280 00190103 03090006 01030309 00020103 ................ + 13290 0c090003 01030009 00140103 01090012 ................ + 132a0 01030409 001f0103 20090004 01030009 ........ ....... + 132b0 00030103 9f010900 0601037b 09000301 ...........{.... + 132c0 037b0900 0e010380 7f090006 01030109 .{.............. + 132d0 00070103 02090007 01030209 00070103 ................ + 132e0 06090008 01030309 00070103 0109000a ................ + 132f0 01030109 000a0103 0509000a 01030109 ................ + 13300 00080103 02090007 01030209 00070103 ................ + 13310 06090008 01030309 00070103 0109000a ................ + 13320 01030109 000a0103 0509000a 01030109 ................ + 13330 00080103 01090007 01030909 00080103 ................ + 13340 01090009 01030109 00090103 05090009 ................ + 13350 01030109 00080103 01090007 01030909 ................ + 13360 00080103 01090009 01030109 00090103 ................ + 13370 07090009 01030109 00070103 02090007 ................ + 13380 01030209 00070103 06090008 01030309 ................ + 13390 00070103 0109000a 01030109 000a0103 ................ + 133a0 0809000a 01030109 00080103 02090007 ................ + 133b0 01030209 00070103 06090008 01030309 ................ + 133c0 00070103 0109000a 01030109 000a0103 ................ + 133d0 1509000a 01030309 00020103 00090003 ................ + 133e0 0103fb00 09000601 037b0900 0301037b .........{.....{ + 133f0 09000e01 03a47f09 00060103 01090007 ................ + 13400 01030109 00070103 06090008 01030309 ................ + 13410 00070103 01090009 01030209 00090103 ................ + 13420 05090009 01030109 00080103 01090007 ................ + 13430 01030609 00080103 03090007 01030109 ................ + 13440 00090103 01090009 01030509 00090103 ................ + 13450 01090008 01030109 00070103 09090008 ................ + 13460 01030109 00090103 01090009 01030509 ................ + 13470 00090103 01090008 01030109 00070103 ................ + 13480 09090008 01030109 00090103 01090009 ................ + 13490 01030509 00090103 01090007 01030109 ................ + 134a0 00070103 06090008 01030309 00070103 ................ + 134b0 01090009 01030109 00090103 12090009 ................ + 134c0 01090002 00010100 000f3300 0200000c ..........3..... + 134d0 c40101fb 0e0a0001 01010100 0000012f .............../ + 134e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 134f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 13500 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 13510 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 13520 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 13530 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 13540 2f646d61 5f6c6962 2f002f72 6f6f742f /dma_lib/./root/ + 13550 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13560 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 13570 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13580 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13590 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 135a0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 135b0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 135c0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 135d0 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 135e0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 135f0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13600 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13610 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ + 13620 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 13630 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 13640 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 13650 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo + 13660 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 13670 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 13680 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + 13690 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 136a0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 136b0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 136c0 6d2f636d 6e6f732f 696e6300 2f726f6f m/cmnos/inc./roo + 136d0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 136e0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 136f0 6d616770 69655f31 5f312f69 6e632f6d magpie_1_1/inc/m + 13700 61677069 65002f6f 70742f78 74656e73 agpie./opt/xtens + 13710 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 13720 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 13730 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 13740 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 13750 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 13760 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + 13770 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 13780 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 13790 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 137a0 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 137b0 656c662f 696e636c 7564652f 7874656e elf/include/xten + 137c0 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + 137d0 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 137e0 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 137f0 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 13800 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 13810 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 13820 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + 13830 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 13840 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 13850 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 13860 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + 13870 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 13880 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 13890 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 138a0 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + 138b0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 138c0 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 138d0 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + 138e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 138f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 13900 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 13910 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + 13920 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13930 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13940 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13950 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 13960 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 13970 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 13980 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 13990 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + 139a0 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + 139b0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 139c0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 139d0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 139e0 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + 139f0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 13a00 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 13a10 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 13a20 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + 13a30 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 13a40 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 13a50 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 13a60 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 13a70 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + 13a80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13a90 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 13aa0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 13ab0 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + 13ac0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 13ad0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 13ae0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 13af0 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + 13b00 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 13b10 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 13b20 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 13b30 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + 13b40 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 13b50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 13b60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 13b70 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + 13b80 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13b90 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13ba0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13bb0 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 13bc0 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + 13bd0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 13be0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 13bf0 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + 13c00 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 13c10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 13c20 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + 13c30 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 13c40 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 13c50 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + 13c60 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 13c70 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 13c80 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o + 13c90 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 13ca0 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 13cb0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 13cc0 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l + 13cd0 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu + 13ce0 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 13cf0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13d00 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net + 13d10 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 13d20 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 13d30 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 13d40 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc + 13d50 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 13d60 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 13d70 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 13d80 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo + 13d90 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 13da0 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu + 13db0 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 13dc0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13dd0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13de0 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i + 13df0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13e00 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13e10 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. + 13e20 00646d61 5f6c6962 2e630001 00006474 .dma_lib.c....dt + 13e30 5f646566 732e6800 0200006f 73617069 _defs.h....osapi + 13e40 2e680002 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 13e50 00030000 646d615f 656e6769 6e655f61 ....dma_engine_a + 13e60 70692e68 00040000 76627566 5f617069 pi.h....vbuf_api + 13e70 2e680005 00007664 6573635f 6170692e .h....vdesc_api. + 13e80 68000600 004d6167 7069655f 6170692e h....Magpie_api. + 13e90 68000700 00636d6e 6f735f61 70692e68 h....cmnos_api.h + 13ea0 00080000 7379735f 6366672e 68000700 ....sys_cfg.h... + 13eb0 00726f6d 5f636667 2e680009 00006d61 .rom_cfg.h....ma + 13ec0 67706965 5f6d656d 2e680007 0000636f gpie_mem.h....co + 13ed0 72652e68 000a0000 68616c2e 68000b00 re.h....hal.h... + 13ee0 00636f72 652d6973 612e6800 0c000063 .core-isa.h....c + 13ef0 6f72652d 6d61746d 61702e68 000c0000 ore-matmap.h.... + 13f00 7469652e 68000c00 00787472 756e7469 tie.h....xtrunti + 13f10 6d652e68 000b0000 73706563 7265672e me.h....specreg. + 13f20 68000c00 00636f72 65626974 732e6800 h....corebits.h. + 13f30 0b000070 72696e74 665f6170 692e6800 ...printf_api.h. + 13f40 0d000075 6172745f 6170692e 68000e00 ...uart_api.h... + 13f50 00726567 5f646566 732e6800 09000064 .reg_defs.h....d + 13f60 62675f61 70692e68 000f0000 6d656d5f bg_api.h....mem_ + 13f70 6170692e 68001000 006d6973 635f6170 api.h....misc_ap + 13f80 692e6800 11000073 7472696e 675f6170 i.h....string_ap + 13f90 692e6800 12000074 696d6572 5f617069 i.h....timer_api + 13fa0 2e680013 0000726f 6d705f61 70692e68 .h....romp_api.h + 13fb0 00140000 616c6c6f 6372616d 5f617069 ....allocram_api + 13fc0 2e680015 00007461 736b6c65 745f6170 .h....tasklet_ap + 13fd0 692e6800 16000063 6c6f636b 5f617069 i.h....clock_api + 13fe0 2e680017 0000696e 74725f61 70692e68 .h....intr_api.h + 13ff0 00180000 7764745f 6170692e 68001900 ....wdt_api.h... + 14000 00656570 726f6d5f 6170692e 68001a00 .eeprom_api.h... + 14010 00757362 5f617069 2e68001b 00006869 .usb_api.h....hi + 14020 665f7063 692e6800 1b000068 69665f61 f_pci.h....hif_a + 14030 70692e68 001b0000 6164665f 6e627566 pi.h....adf_nbuf + 14040 2e68001c 00006164 665f6f73 5f757469 .h....adf_os_uti + 14050 6c2e6800 1d000061 64665f6f 735f7574 l.h....adf_os_ut + 14060 696c5f70 76742e68 001e0000 6164665f il_pvt.h....adf_ + 14070 6f735f74 79706573 2e68001d 00006164 os_types.h....ad + 14080 665f6f73 5f737464 74797065 732e6800 f_os_stdtypes.h. + 14090 1d000061 64665f6f 735f7479 7065735f ...adf_os_types_ + 140a0 7076742e 68001e00 00737464 6465662e pvt.h....stddef. + 140b0 68001f00 00737464 6172672e 68000200 h....stdarg.h... + 140c0 0076612d 7874656e 73612e68 001f0000 .va-xtensa.h.... + 140d0 6164665f 6f735f64 6d612e68 001d0000 adf_os_dma.h.... + 140e0 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 140f0 001e0000 6164665f 6e65745f 74797065 ....adf_net_type + 14100 732e6800 20000061 64665f6e 6275665f s.h. ..adf_nbuf_ + 14110 7076742e 68002100 00646d61 5f6c6962 pvt.h.!..dma_lib + 14120 2e68001b 00006869 665f676d 61632e68 .h....hif_gmac.h + 14130 001b0000 75736266 69666f5f 6170692e ....usbfifo_api. + 14140 68001b00 00687463 5f617069 2e680022 h....htc_api.h." + 14150 00006874 632e6800 23000062 75665f70 ..htc.h.#..buf_p + 14160 6f6f6c5f 6170692e 68002400 00776d69 ool_api.h.$..wmi + 14170 5f737663 5f617069 2e680025 0000776d _svc_api.h.%..wm + 14180 692e6800 23000061 74686465 66732e68 i.h.#..athdefs.h + 14190 00230000 00000502 008e4b70 03a50101 .#........Kp.... + 141a0 03010900 0301030a 09000901 03010900 ................ + 141b0 03010301 09000501 03090900 04010300 ................ + 141c0 09000301 030d0900 08010301 09000601 ................ + 141d0 037b0900 05010305 09000801 030b0900 .{.............. + 141e0 02010304 09000301 03010900 0601030e ................ + 141f0 09000201 03740900 08010302 09000e01 .....t.......... + 14200 03020900 07010304 09000401 037c0900 .............|.. + 14210 02010304 09000201 03010900 05010301 ................ + 14220 09000701 03020900 08010306 09000401 ................ + 14230 03000900 03010308 09000a01 03050900 ................ + 14240 0e01037e 09000401 03080900 04010378 ...~...........x + 14250 09000501 03080900 0201037a 09000201 ...........z.... + 14260 03060900 06010301 09000301 03010900 ................ + 14270 07010301 09000801 03010900 0701030b ................ + 14280 09000501 03010900 03010301 09000301 ................ + 14290 030a0900 0201037c 09000801 03020900 .......|........ + 142a0 0e010302 09000701 030b0900 07010300 ................ + 142b0 09000301 03030900 08010302 09000a01 ................ + 142c0 037e0900 05010302 09000201 03040900 .~.............. + 142d0 03010301 09000701 030a0900 02010300 ................ + 142e0 09000301 03010900 0601030a 09001301 ................ + 142f0 03000900 0301030d 09000301 03770900 .............w.. + 14300 02010302 09000a01 03010900 0201037d ...............} + 14310 09000201 03090900 02010301 09000501 ................ + 14320 03050900 07010301 09000501 037f0900 ................ + 14330 0b010304 09000301 030b0900 05010301 ................ + 14340 09000301 03010900 06010302 09000201 ................ + 14350 030b0900 1d010300 09000301 03010900 ................ + 14360 0601030b 09001301 03000900 03010303 ................ + 14370 09000801 03020900 0a01037e 09000501 ...........~.... + 14380 03020900 02010302 09000301 03010900 ................ + 14390 0701030a 09000201 03010900 03010301 ................ + 143a0 09000301 03020900 02010305 09001c01 ................ + 143b0 03000900 03010309 09001b01 037f0900 ................ + 143c0 0201037f 09000201 037f0900 0201037f ................ + 143d0 09000201 037f0900 0201037f 09000201 ................ + 143e0 037e0900 02010301 09000201 03080900 .~.............. + 143f0 05010301 09000201 09000200 01010000 ................ + 14400 0e090002 00000d03 0101fb0e 0a000101 ................ + 14410 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 14420 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 14430 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 14440 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 14450 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 14460 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14470 726f6d2f 76627566 2f737263 002f726f rom/vbuf/src./ro + 14480 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14490 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 144a0 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 144b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 144c0 7467742f 74617267 65742f69 6e632f4f tgt/target/inc/O + 144d0 54555300 2f726f6f 742f576f 726b7370 TUS./root/Worksp + 144e0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 144f0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14500 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 14510 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 14520 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 14530 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 14540 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 14550 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14560 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 14570 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 14580 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 14590 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 145a0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 145b0 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 145c0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 145d0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 145e0 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 145f0 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten + 14600 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 14610 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 14620 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 14630 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 14640 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 14650 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 14660 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 14670 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 14680 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 14690 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 146a0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 146b0 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 146c0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 146d0 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 146e0 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 146f0 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 14700 7263682f 696e636c 7564652f 7874656e rch/include/xten + 14710 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 14720 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14730 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 14740 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 14750 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 14760 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 14770 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 14780 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 14790 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 147a0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 147b0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 147c0 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 147d0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 147e0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 147f0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 14800 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 14810 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14820 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14830 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14840 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 14850 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 14860 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 14870 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 14880 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 14890 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 148a0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 148b0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 148c0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 148d0 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 148e0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 148f0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 14900 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 14910 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + 14920 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 14930 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 14940 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 14950 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + 14960 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + 14970 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 14980 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 14990 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 149a0 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + 149b0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 149c0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 149d0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 149e0 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + 149f0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 14a00 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 14a10 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14a20 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + 14a30 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 14a40 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 14a50 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 14a60 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + 14a70 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14a80 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14a90 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14aa0 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + 14ab0 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + 14ac0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 14ad0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 14ae0 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + 14af0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 14b00 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 14b10 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + 14b20 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + 14b30 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 14b40 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + 14b50 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 14b60 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 14b70 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + 14b80 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 14b90 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 14ba0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 14bb0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 14bc0 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + 14bd0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 14be0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14bf0 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 14c00 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 14c10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 14c20 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 14c30 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 14c40 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 14c50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 14c60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 14c70 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 14c80 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14c90 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 14ca0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 14cb0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14cc0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14cd0 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 14ce0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14cf0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14d00 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 14d10 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 14d20 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 14d30 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14d40 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 14d50 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 14d60 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 14d70 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 14d80 312f726f 6d2f7662 75662f73 72630000 1/rom/vbuf/src.. + 14d90 76627566 2e630001 00006f73 6170692e vbuf.c....osapi. + 14da0 68000200 004f5455 535f736f 632e6800 h....OTUS_soc.h. + 14db0 03000076 6275665f 6170692e 68000400 ...vbuf_api.h... + 14dc0 00766465 73635f61 70692e68 00050000 .vdesc_api.h.... + 14dd0 4d616770 69655f61 70692e68 00060000 Magpie_api.h.... + 14de0 636d6e6f 735f6170 692e6800 07000073 cmnos_api.h....s + 14df0 79735f63 66672e68 00060000 726f6d5f ys_cfg.h....rom_ + 14e00 6366672e 68000800 006d6167 7069655f cfg.h....magpie_ + 14e10 6d656d2e 68000600 00636f72 652e6800 mem.h....core.h. + 14e20 09000068 616c2e68 000a0000 636f7265 ...hal.h....core + 14e30 2d697361 2e68000b 0000636f 72652d6d -isa.h....core-m + 14e40 61746d61 702e6800 0b000074 69652e68 atmap.h....tie.h + 14e50 000b0000 78747275 6e74696d 652e6800 ....xtruntime.h. + 14e60 0a000073 70656372 65672e68 000b0000 ...specreg.h.... + 14e70 636f7265 62697473 2e68000a 00007072 corebits.h....pr + 14e80 696e7466 5f617069 2e68000c 00007561 intf_api.h....ua + 14e90 72745f61 70692e68 000d0000 7265675f rt_api.h....reg_ + 14ea0 64656673 2e680008 00006474 5f646566 defs.h....dt_def + 14eb0 732e6800 02000064 62675f61 70692e68 s.h....dbg_api.h + 14ec0 000e0000 6d656d5f 6170692e 68000f00 ....mem_api.h... + 14ed0 006d6973 635f6170 692e6800 10000073 .misc_api.h....s + 14ee0 7472696e 675f6170 692e6800 11000074 tring_api.h....t + 14ef0 696d6572 5f617069 2e680012 0000726f imer_api.h....ro + 14f00 6d705f61 70692e68 00130000 616c6c6f mp_api.h....allo + 14f10 6372616d 5f617069 2e680014 00007461 cram_api.h....ta + 14f20 736b6c65 745f6170 692e6800 15000063 sklet_api.h....c + 14f30 6c6f636b 5f617069 2e680016 0000696e lock_api.h....in + 14f40 74725f61 70692e68 00170000 7764745f tr_api.h....wdt_ + 14f50 6170692e 68001800 00656570 726f6d5f api.h....eeprom_ + 14f60 6170692e 68001900 00757362 5f617069 api.h....usb_api + 14f70 2e68001a 00006869 665f7063 692e6800 .h....hif_pci.h. + 14f80 1a000068 69665f61 70692e68 001a0000 ...hif_api.h.... + 14f90 6164665f 6e627566 2e68001b 00006164 adf_nbuf.h....ad + 14fa0 665f6f73 5f757469 6c2e6800 1c000061 f_os_util.h....a + 14fb0 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h + 14fc0 001d0000 6164665f 6f735f74 79706573 ....adf_os_types + 14fd0 2e68001c 00006164 665f6f73 5f737464 .h....adf_os_std + 14fe0 74797065 732e6800 1c000061 64665f6f types.h....adf_o + 14ff0 735f7479 7065735f 7076742e 68001d00 s_types_pvt.h... + 15000 00737464 6465662e 68001e00 00737464 .stddef.h....std + 15010 6172672e 68000200 0076612d 7874656e arg.h....va-xten + 15020 73612e68 001e0000 6164665f 6f735f64 sa.h....adf_os_d + 15030 6d612e68 001c0000 6164665f 6f735f64 ma.h....adf_os_d + 15040 6d615f70 76742e68 001d0000 6164665f ma_pvt.h....adf_ + 15050 6e65745f 74797065 732e6800 1f000061 net_types.h....a + 15060 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . + 15070 00646d61 5f6c6962 2e68001a 00006869 .dma_lib.h....hi + 15080 665f676d 61632e68 001a0000 75736266 f_gmac.h....usbf + 15090 69666f5f 6170692e 68001a00 00687463 ifo_api.h....htc + 150a0 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + 150b0 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + 150c0 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + 150d0 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + 150e0 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + 150f0 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + 15100 76627566 2e680026 00000000 0502008e vbuf.h.&........ + 15110 4db4031c 01030009 00030103 05090003 M............... + 15120 01030209 000f0103 7f090002 01030309 ................ + 15130 00020103 02090002 01030309 00050103 ................ + 15140 0509000a 01037e09 00020103 7f090004 ......~......... + 15150 01030209 00020103 79090002 01030a09 ........y....... + 15160 00030103 02090002 01030409 00030103 ................ + 15170 00090003 01030309 00030103 06090002 ................ + 15180 01037d09 00020103 02090004 01037e09 ..}...........~. + 15190 00020103 02090002 01037e09 00020103 ..........~..... + 151a0 03090002 01030309 00020103 7d090002 ............}... + 151b0 01030309 00020103 04090004 01030009 ................ + 151c0 00030103 06090003 01037d09 00020103 ..........}..... + 151d0 01090004 01030209 00020103 01090004 ................ + 151e0 01030509 00020103 00090003 01030409 ................ + 151f0 00090103 7f090002 01037f09 00020103 ................ + 15200 06090002 01090002 00010100 000e6200 ..............b. + 15210 0200000d 070101fb 0e0a0001 01010100 ................ + 15220 0000012f 726f6f74 2f576f72 6b737061 .../root/Workspa + 15230 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 15240 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 15250 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. + 15260 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil + 15270 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 15280 2f766465 73632f73 7263002f 726f6f74 /vdesc/src./root + 15290 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 152a0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 152b0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 152c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 152d0 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU + 152e0 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac + 152f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15300 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 15310 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 15320 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 15330 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 15340 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 15350 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15360 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15370 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 15380 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ + 15390 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 153a0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 153b0 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 153c0 632f6d61 67706965 002f6f70 742f7874 c/magpie./opt/xt + 153d0 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 153e0 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 153f0 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 15400 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 15410 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 15420 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 15430 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 15440 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 15450 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 15460 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 15470 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 15480 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 15490 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 154a0 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 154b0 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 154c0 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 154d0 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 154e0 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 154f0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 15500 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 15510 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 15520 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 15530 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15540 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 15550 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 15560 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 15570 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15580 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15590 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 155a0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 155b0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 155c0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 155d0 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 155e0 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 155f0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15600 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15610 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 15620 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 15630 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 15640 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 15650 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 15660 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 15670 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 15680 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 15690 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 156a0 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 156b0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 156c0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 156d0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 156e0 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 156f0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 15700 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 15710 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 15720 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 15730 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 15740 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 15750 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 15760 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 15770 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 15780 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15790 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 157a0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 157b0 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 157c0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 157d0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 157e0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 157f0 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 15800 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 15810 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 15820 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 15830 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 15840 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 15850 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15860 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15870 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 15880 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 15890 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 158a0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 158b0 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 158c0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 158d0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 158e0 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 158f0 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 15900 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 15910 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 15920 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 15930 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 15940 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 15950 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 15960 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 15970 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 15980 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 15990 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 159a0 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 159b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 159c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 159d0 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 159e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 159f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15a00 6164662f 696e636c 7564652f 6e657400 adf/include/net. + 15a10 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15a20 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 15a30 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 15a40 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + 15a50 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15a60 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 15a70 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 15a80 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root + 15a90 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 15aa0 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ + 15ab0 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 15ac0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15ad0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 15ae0 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in + 15af0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15b00 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15b10 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ + 15b20 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 15b30 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 15b40 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 15b50 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc + 15b60 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 15b70 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 15b80 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 15b90 726f6d2f 76646573 632f7372 63000076 rom/vdesc/src..v + 15ba0 64657363 2e630001 00006f73 6170692e desc.c....osapi. + 15bb0 68000200 004f5455 535f736f 632e6800 h....OTUS_soc.h. + 15bc0 03000076 64657363 5f617069 2e680004 ...vdesc_api.h.. + 15bd0 00004d61 67706965 5f617069 2e680005 ..Magpie_api.h.. + 15be0 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + 15bf0 00737973 5f636667 2e680005 0000726f .sys_cfg.h....ro + 15c00 6d5f6366 672e6800 0700006d 61677069 m_cfg.h....magpi + 15c10 655f6d65 6d2e6800 05000063 6f72652e e_mem.h....core. + 15c20 68000800 0068616c 2e680009 0000636f h....hal.h....co + 15c30 72652d69 73612e68 000a0000 636f7265 re-isa.h....core + 15c40 2d6d6174 6d61702e 68000a00 00746965 -matmap.h....tie + 15c50 2e68000a 00007874 72756e74 696d652e .h....xtruntime. + 15c60 68000900 00737065 63726567 2e68000a h....specreg.h.. + 15c70 0000636f 72656269 74732e68 00090000 ..corebits.h.... + 15c80 7072696e 74665f61 70692e68 000b0000 printf_api.h.... + 15c90 75617274 5f617069 2e68000c 00007265 uart_api.h....re + 15ca0 675f6465 66732e68 00070000 64745f64 g_defs.h....dt_d + 15cb0 6566732e 68000200 00646267 5f617069 efs.h....dbg_api + 15cc0 2e68000d 00006d65 6d5f6170 692e6800 .h....mem_api.h. + 15cd0 0e00006d 6973635f 6170692e 68000f00 ...misc_api.h... + 15ce0 00737472 696e675f 6170692e 68001000 .string_api.h... + 15cf0 0074696d 65725f61 70692e68 00110000 .timer_api.h.... + 15d00 726f6d70 5f617069 2e680012 0000616c romp_api.h....al + 15d10 6c6f6372 616d5f61 70692e68 00130000 locram_api.h.... + 15d20 7461736b 6c65745f 6170692e 68001400 tasklet_api.h... + 15d30 00636c6f 636b5f61 70692e68 00150000 .clock_api.h.... + 15d40 696e7472 5f617069 2e680016 00007764 intr_api.h....wd + 15d50 745f6170 692e6800 17000065 6570726f t_api.h....eepro + 15d60 6d5f6170 692e6800 18000075 73625f61 m_api.h....usb_a + 15d70 70692e68 00190000 6869665f 7063692e pi.h....hif_pci. + 15d80 68001900 00686966 5f617069 2e680019 h....hif_api.h.. + 15d90 00006164 665f6e62 75662e68 001a0000 ..adf_nbuf.h.... + 15da0 6164665f 6f735f75 74696c2e 68001b00 adf_os_util.h... + 15db0 00616466 5f6f735f 7574696c 5f707674 .adf_os_util_pvt + 15dc0 2e68001c 00006164 665f6f73 5f747970 .h....adf_os_typ + 15dd0 65732e68 001b0000 6164665f 6f735f73 es.h....adf_os_s + 15de0 74647479 7065732e 68001b00 00616466 tdtypes.h....adf + 15df0 5f6f735f 74797065 735f7076 742e6800 _os_types_pvt.h. + 15e00 1c000073 74646465 662e6800 1d000076 ...stddef.h....v + 15e10 6275665f 6170692e 68001e00 00737464 buf_api.h....std + 15e20 6172672e 68000200 0076612d 7874656e arg.h....va-xten + 15e30 73612e68 001d0000 6164665f 6f735f64 sa.h....adf_os_d + 15e40 6d612e68 001b0000 6164665f 6f735f64 ma.h....adf_os_d + 15e50 6d615f70 76742e68 001c0000 6164665f ma_pvt.h....adf_ + 15e60 6e65745f 74797065 732e6800 1f000061 net_types.h....a + 15e70 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . + 15e80 00646d61 5f6c6962 2e680019 00006869 .dma_lib.h....hi + 15e90 665f676d 61632e68 00190000 75736266 f_gmac.h....usbf + 15ea0 69666f5f 6170692e 68001900 00687463 ifo_api.h....htc + 15eb0 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + 15ec0 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + 15ed0 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + 15ee0 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + 15ef0 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + 15f00 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + 15f10 76646573 632e6800 26000000 00050200 vdesc.h.&....... + 15f20 8e4e3803 21010300 09000301 03080900 .N8.!........... + 15f30 03010302 09000f01 037f0900 03010304 ................ + 15f40 09000201 03020900 02010304 09000501 ................ + 15f50 03060900 0a01037e 09000201 037e0900 .......~.....~.. + 15f60 04010303 09000301 03770900 0201030e .........w...... + 15f70 09000301 03c70009 00030103 00090003 ................ + 15f80 01030409 00030103 06090002 01037f09 ................ + 15f90 00040103 01090004 01030309 00020103 ................ + 15fa0 7d090002 01030309 00020103 0e090002 }............... + 15fb0 01030209 00030103 00090003 01030e09 ................ + 15fc0 00020103 14090003 01037409 00020103 ..........t..... + 15fd0 08090003 01037c09 00030103 09090003 ......|......... + 15fe0 01036f09 00020103 0d090003 01037809 ..o...........x. + 15ff0 00030103 04090003 01037809 00030103 ..........x..... + 16000 00090003 01030109 00030103 03090003 ................ + 16010 01030109 00030103 03090003 01030109 ................ + 16020 00030103 03090003 01030109 00030103 ................ + 16030 03090003 01030109 00020103 01090002 ................ + 16040 01030509 00050103 00090003 01030509 ................ + 16050 000c0103 7f090002 01037f09 00020103 ................ + 16060 7f090002 01030909 00020109 00020001 ................ + 16070 01000018 0b000200 000d4e01 01fb0e0a ..........N..... + 16080 00010101 01000000 012f726f 6f742f57 ........./root/W + 16090 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 160a0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 160b0 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + 160c0 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + 160d0 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + 160e0 5f312f72 6f6d2f68 74632f73 7263002f _1/rom/htc/src./ + 160f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16100 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 16110 6765742f 696e6300 2f726f6f 742f576f get/inc./root/Wo + 16120 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 16130 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 16140 2f4f5455 53002f72 6f6f742f 576f726b /OTUS./root/Work + 16150 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16160 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 16170 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 16180 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 16190 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 161a0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 161b0 732f696e 63002f72 6f6f742f 576f726b s/inc./root/Work + 161c0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 161d0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 161e0 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + 161f0 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 16200 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 16210 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 16220 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 16230 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 16240 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 16250 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt + 16260 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 16270 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 16280 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 16290 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 162a0 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op + 162b0 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 162c0 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 162d0 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 162e0 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 162f0 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc + 16300 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 16310 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa + 16320 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 16330 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 16340 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin + 16350 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor + 16360 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 16370 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 16380 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 16390 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W + 163a0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 163b0 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm + 163c0 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo + 163d0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 163e0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 163f0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 16400 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro + 16410 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16420 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 16430 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 16440 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ + 16450 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16460 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 16470 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 16480 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i + 16490 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 164a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 164b0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 164c0 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time + 164d0 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 164e0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 164f0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 16500 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r + 16510 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo + 16520 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 16530 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 16540 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 16550 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in + 16560 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 16570 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 16580 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 16590 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl + 165a0 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 165b0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 165c0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 165d0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 165e0 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ + 165f0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 16600 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 16610 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 16620 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo + 16630 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 16640 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 16650 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 16660 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro + 16670 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16680 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 16690 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 166a0 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc + 166b0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 166c0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 166d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 166e0 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo + 166f0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 16700 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 16710 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root + 16720 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 16730 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 16740 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo + 16750 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 16760 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf + 16770 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte + 16780 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 16790 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 167a0 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 167b0 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc + 167c0 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro + 167d0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 167e0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 167f0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 16800 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 16810 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 16820 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 16830 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 16840 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 16850 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16860 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 16870 6e657400 2f726f6f 742f576f 726b7370 net./root/Worksp + 16880 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 16890 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 168a0 5f312f72 6f6d2f61 64662f6e 6275662f _1/rom/adf/nbuf/ + 168b0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 168c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 168d0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 168e0 5f312f72 6f6d2f68 74632f69 6e63002f _1/rom/htc/inc./ + 168f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16900 3431325f 76323032 2f776c61 6e2f696e 412_v202/wlan/in + 16910 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 16920 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16930 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 16940 5f315f31 2f726f6d 2f627566 5f706f6f _1_1/rom/buf_poo + 16950 6c2f696e 63002f72 6f6f742f 576f726b l/inc./root/Work + 16960 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16970 7467742f 74617267 65742f77 6d692f69 tgt/target/wmi/i + 16980 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 16990 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 169a0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 169b0 312f726f 6d2f646d 615f656e 67696e65 1/rom/dma_engine + 169c0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 169d0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 169e0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 169f0 315f312f 726f6d2f 6874632f 73726300 1_1/rom/htc/src. + 16a00 00687463 2e630001 00006f73 6170692e .htc.c....osapi. + 16a10 68000200 004f5455 535f736f 632e6800 h....OTUS_soc.h. + 16a20 0300004d 61677069 655f6170 692e6800 ...Magpie_api.h. + 16a30 04000063 6d6e6f73 5f617069 2e680005 ...cmnos_api.h.. + 16a40 00007379 735f6366 672e6800 04000072 ..sys_cfg.h....r + 16a50 6f6d5f63 66672e68 00060000 6d616770 om_cfg.h....magp + 16a60 69655f6d 656d2e68 00040000 636f7265 ie_mem.h....core + 16a70 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 16a80 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 16a90 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 16aa0 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 16ab0 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 16ac0 09000063 6f726562 6974732e 68000800 ...corebits.h... + 16ad0 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 16ae0 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 16af0 65675f64 6566732e 68000600 0064745f eg_defs.h....dt_ + 16b00 64656673 2e680002 00006462 675f6170 defs.h....dbg_ap + 16b10 692e6800 0c00006d 656d5f61 70692e68 i.h....mem_api.h + 16b20 000d0000 6d697363 5f617069 2e68000e ....misc_api.h.. + 16b30 00007374 72696e67 5f617069 2e68000f ..string_api.h.. + 16b40 00007469 6d65725f 6170692e 68001000 ..timer_api.h... + 16b50 00726f6d 705f6170 692e6800 11000061 .romp_api.h....a + 16b60 6c6c6f63 72616d5f 6170692e 68001200 llocram_api.h... + 16b70 00746173 6b6c6574 5f617069 2e680013 .tasklet_api.h.. + 16b80 0000636c 6f636b5f 6170692e 68001400 ..clock_api.h... + 16b90 00696e74 725f6170 692e6800 15000077 .intr_api.h....w + 16ba0 64745f61 70692e68 00160000 65657072 dt_api.h....eepr + 16bb0 6f6d5f61 70692e68 00170000 7573625f om_api.h....usb_ + 16bc0 6170692e 68001800 00686966 5f706369 api.h....hif_pci + 16bd0 2e680018 00006869 665f6170 692e6800 .h....hif_api.h. + 16be0 18000061 64665f6e 6275662e 68001900 ...adf_nbuf.h... + 16bf0 00616466 5f6f735f 7574696c 2e68001a .adf_os_util.h.. + 16c00 00006164 665f6f73 5f757469 6c5f7076 ..adf_os_util_pv + 16c10 742e6800 1b000061 64665f6f 735f7479 t.h....adf_os_ty + 16c20 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ + 16c30 73746474 79706573 2e68001a 00006164 stdtypes.h....ad + 16c40 665f6f73 5f747970 65735f70 76742e68 f_os_types_pvt.h + 16c50 001b0000 73746464 65662e68 001c0000 ....stddef.h.... + 16c60 76627566 5f617069 2e68001d 00007664 vbuf_api.h....vd + 16c70 6573635f 6170692e 68001e00 00737464 esc_api.h....std + 16c80 6172672e 68000200 0076612d 7874656e arg.h....va-xten + 16c90 73612e68 001c0000 6164665f 6f735f64 sa.h....adf_os_d + 16ca0 6d612e68 001a0000 6164665f 6f735f64 ma.h....adf_os_d + 16cb0 6d615f70 76742e68 001b0000 6164665f ma_pvt.h....adf_ + 16cc0 6e65745f 74797065 732e6800 1f000061 net_types.h....a + 16cd0 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . + 16ce0 00646d61 5f6c6962 2e680018 00006869 .dma_lib.h....hi + 16cf0 665f676d 61632e68 00180000 75736266 f_gmac.h....usbf + 16d00 69666f5f 6170692e 68001800 00687463 ifo_api.h....htc + 16d10 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + 16d20 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + 16d30 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + 16d40 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + 16d50 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + 16d60 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + 16d70 6164665f 6f735f6d 656d2e68 001a0000 adf_os_mem.h.... + 16d80 6164665f 6f735f6d 656d5f70 76742e68 adf_os_mem_pvt.h + 16d90 001b0000 6164665f 6f735f69 6f2e6800 ....adf_os_io.h. + 16da0 1a000061 64665f6f 735f696f 5f707674 ...adf_os_io_pvt + 16db0 2e68001b 00006874 635f696e 7465726e .h....htc_intern + 16dc0 616c2e68 00260000 00042400 0502008e al.h.&....$..... + 16dd0 4ef003b9 02010432 034b0900 03010304 N......2.K...... + 16de0 09000201 03020900 0401037e 09000201 ...........~.... + 16df0 04240332 09000501 030f0900 14010300 .$.2............ + 16e00 09000301 0432037f 09000201 03030900 .....2.......... + 16e10 0201037f 09000301 03010900 0301037f ................ + 16e20 09000201 03010900 06010301 09000301 ................ + 16e30 0424037e 09000801 030f0900 0c010432 .$.~...........2 + 16e40 03ab7f09 00030103 04090002 01030209 ................ + 16e50 00040103 7e090002 0103d900 09000501 ....~........... + 16e60 03010900 03010301 09000501 037e0900 .............~.. + 16e70 03010302 09000501 037e0900 05010424 .........~.....$ + 16e80 03790900 0401030f 09000301 03000900 .y.............. + 16e90 03010432 030b0900 02010303 09000201 ...2............ + 16ea0 037f0900 03010301 09000301 037f0900 ................ + 16eb0 03010301 09000501 03010900 03010424 ...............$ + 16ec0 03720900 0901031d 09000b01 03010900 .r.............. + 16ed0 03010300 09000301 032c0900 02010432 .........,.....2 + 16ee0 03c07e09 00030103 02090002 01030109 ..~............. + 16ef0 00050103 7f090003 01030109 00040104 ................ + 16f00 2403bf01 09000201 030e0900 05010301 $............... + 16f10 09000301 03000900 02010401 038a7d09 ..............}. + 16f20 00030103 00090003 01030109 00070103 ................ + 16f30 0109000b 01030509 00030103 00090003 ................ + 16f40 01043e03 b37f0900 0501031e 09000d01 ..>............. + 16f50 0401033c 09000a01 03010900 0201037f ...<............ + 16f60 09000501 03010900 02010301 09000501 ................ + 16f70 03050900 05010303 09000201 037c0900 .............|.. + 16f80 0301037f 09000301 03010900 05010304 ................ + 16f90 09000201 03040900 0501030e 09000201 ................ + 16fa0 037d0900 02010379 09000201 03180900 .}.....y........ + 16fb0 02010364 09000301 03040900 0501030a ...d............ + 16fc0 09000301 037a0900 03010303 09000301 .....z.......... + 16fd0 03030900 03010310 09000301 03720900 .............r.. + 16fe0 02010306 09000601 030d0900 02010373 ...............s + 16ff0 09000501 03010900 03010305 09000201 ................ + 17000 03010900 03010302 09000301 037e0900 .............~.. + 17010 0301037c 09000301 03050900 03010379 ...|...........y + 17020 09000301 030d0900 0301037c 09000601 ...........|.... + 17030 03040900 0301037f 09000601 03010900 ................ + 17040 02010305 09000301 03010900 05010301 ................ + 17050 09000c01 03020900 0a010306 09000701 ................ + 17060 03040900 0301037b 09000601 03050900 .......{........ + 17070 05010303 09000301 03020900 09010304 ................ + 17080 09000201 03040900 03010303 09000501 ................ + 17090 03040900 03010300 09000301 03010900 ................ + 170a0 02010301 09000301 03030900 05010306 ................ + 170b0 09000301 03060900 07010302 09000a01 ................ + 170c0 03090900 0901037c 09000601 037c0900 .......|.....|.. + 170d0 02010301 09000401 03020900 0301037e ...............~ + 170e0 09000601 037f0900 03010301 09000301 ................ + 170f0 03020900 0301037d 09000301 03040900 .......}........ + 17100 03010304 09000301 037b0900 0301037e .........{.....~ + 17110 09000301 03070900 03010302 09000301 ................ + 17120 03030900 04010307 09000301 03040900 ................ + 17130 07010303 09001701 03170900 03010369 ...............i + 17140 09000301 03020900 03010301 09000d01 ................ + 17150 03060900 0d01037f 09000301 037c0900 .............|.. + 17160 0301037f 09000201 03050900 03010301 ................ + 17170 09000201 037f0900 06010304 09000301 ................ + 17180 03030900 1101030a 09000901 037e0900 .............~.. + 17190 02010302 09000501 03030900 05010300 ................ + 171a0 09000301 030c0900 0b01037d 09000401 ...........}.... + 171b0 0424038c 02090002 01040103 f77d0900 .$...........}.. + 171c0 0201037b 09000501 03080900 03010301 ...{............ + 171d0 09001701 03030900 03010300 09000301 ................ + 171e0 03010900 06010301 09000501 03030900 ................ + 171f0 02010306 09000301 03030900 07010307 ................ + 17200 09000901 03050900 1001037e 09000301 ...........~.... + 17210 03150900 0501036b 09000801 03080900 .......k........ + 17220 0601037f 09000301 030e0900 03010424 ...............$ + 17230 03650900 0c010401 031b0900 02010301 .e.............. + 17240 09000201 04240364 09000201 0401031c .....$.d........ + 17250 09000301 03050900 05010301 09001501 ................ + 17260 03090900 06010331 09000301 03540900 .......1.....T.. + 17270 02010302 09000301 030b0900 09010375 ...............u + 17280 09000801 03040900 02010304 09000201 ................ + 17290 037d0900 03010306 09000201 037a0900 .}...........z.. + 172a0 0201037f 09000301 03230900 03010368 .........#.....h + 172b0 09000d01 03020900 0b01030a 09000701 ................ + 172c0 03790900 0201037d 09000201 03050900 .y.....}........ + 172d0 02010301 09000301 03010900 0601037c ...............| + 172e0 09000301 03040900 05010301 09000501 ................ + 172f0 037f0900 03010301 09000301 03040900 ................ + 17300 06010377 09000301 03070900 03010302 ...w............ + 17310 09000301 03020900 0a010301 09000401 ................ + 17320 037f0900 02010302 09000301 037f0900 ................ + 17330 0201037f 09000301 03040900 05010304 ................ + 17340 09000301 03060900 0f01030f 09000301 ................ + 17350 03020900 0201037c 09000201 03020900 .......|........ + 17360 03010302 09000301 037e0900 0401030c .........~...... + 17370 09000601 037f0900 05010301 09000201 ................ + 17380 037f0900 03010301 09000601 037f0900 ................ + 17390 05010301 09000301 03010900 06010361 ...............a + 173a0 09000201 03220900 08010308 09000301 .....".......... + 173b0 03030900 0501031b 09000301 03030900 ................ + 173c0 05010300 09000301 03030900 03010304 ................ + 173d0 09000e01 03000900 03010302 09001b01 ................ + 173e0 03070900 0201037f 09000201 037f0900 ................ + 173f0 0201037f 09000201 037f0900 0201037f ................ + 17400 09000201 037d0900 02010302 09000201 .....}.......... + 17410 03080900 0501037f 09000801 03020900 ................ + 17420 02010307 09000201 03080900 05010300 ................ + 17430 09000301 03010900 05010301 09000b01 ................ + 17440 03050900 05010300 09000301 03010900 ................ + 17450 03010304 09001a01 03060900 03010305 ................ + 17460 09000301 037b0900 03010305 09000301 .....{.......... + 17470 03050900 03010302 09000401 03010900 ................ + 17480 03010307 09000301 03790900 04010307 .........y...... + 17490 09000301 030d0900 06010378 09000901 ...........x.... + 174a0 031f0900 09010372 09000201 03030900 .......r........ + 174b0 05010302 09000201 037e0900 05010302 .........~...... + 174c0 09000401 03060900 06010303 09000c01 ................ + 174d0 03040900 04010309 09000301 037e0900 .............~.. + 174e0 0201037a 09000601 03060900 0301037f ...z............ + 174f0 09000601 03030900 04010304 09000501 ................ + 17500 03020900 0a010303 09000901 037e0900 .............~.. + 17510 08010302 09000801 03020900 05010302 ................ + 17520 09000501 03030900 03010303 09000501 ................ + 17530 03310900 06010347 09000201 03c00009 .1.....G........ + 17540 00080103 7c090002 01030409 00030103 ....|........... + 17550 0109000a 01035c09 00020103 73090006 ......\.....s... + 17560 01030309 00030103 0a090002 01037609 ..............v. + 17570 00020103 7d090002 01030d09 00030103 ....}........... + 17580 03090005 01030309 00030103 02090005 ................ + 17590 01030209 00060103 02090006 01037e09 ..............~. + 175a0 00060103 04090006 01037e09 00060103 ..........~..... + 175b0 02090002 01030209 00120103 0109000f ................ + 175c0 01030509 000f0103 7e090003 01030209 ........~....... + 175d0 00050103 7e090002 01030209 00060103 ....~........... + 175e0 11090006 01030609 00030103 05090007 ................ + 175f0 01030209 000a0103 02090009 01030209 ................ + 17600 000a0103 02090006 01030109 000b0103 ................ + 17610 06090005 01030309 00090103 7d090002 ............}... + 17620 01030709 00050103 7a090006 01030609 ........z....... + 17630 00030103 05090006 01030109 000c0103 ................ + 17640 76090002 01030109 00030103 78090003 v...........x... + 17650 01031509 00080103 00090003 01030709 ................ + 17660 00020103 04090003 01031909 00090103 ................ + 17670 73090017 01037d09 00080103 10090005 s.....}......... + 17680 01030209 00020103 7e090003 01030209 ........~....... + 17690 00050103 02090003 01030409 000f0103 ................ + 176a0 03090007 01030509 00160103 01090003 ................ + 176b0 01037f09 00030103 02090008 01030309 ................ + 176c0 00030103 67090002 01031d09 00070103 ....g........... + 176d0 05090003 01031709 00050103 03090006 ................ + 176e0 01030409 000f0103 03090007 01030509 ................ + 176f0 00060103 7e090004 01030209 00020103 ....~........... + 17700 7e090002 01030209 00060103 02090005 ~............... + 17710 01030309 00030103 05090003 01030109 ................ + 17720 00050103 05090003 01030509 00070103 ................ + 17730 01090013 01033c09 00030103 02090003 ......<......... + 17740 01031009 00030103 70090003 01031009 ........p....... + 17750 00030103 70090003 01031009 00070103 ....p........... + 17760 7d090006 01030509 00060103 02090003 }............... + 17770 01037609 00020103 01090003 01030c09 ..v............. + 17780 00060103 02090003 01030409 00050103 ................ + 17790 15090003 01030409 000e0103 0d090004 ................ + 177a0 01037309 00020103 02090003 01030b09 ..s............. + 177b0 00030103 73090005 01030809 00060103 ....s........... + 177c0 78090009 01030d09 00050103 7b090005 x...........{... + 177d0 01030509 00080103 01090005 01030509 ................ + 177e0 00070103 02090005 01037a09 00070103 ..........z..... + 177f0 7f090002 01030709 00030103 03090003 ................ + 17800 0103c400 09000801 03400900 02010303 .........@...... + 17810 09000a01 03030900 0901037f 09000301 ................ + 17820 03010900 0601037f 09000301 03010900 ................ + 17830 05010301 09000301 03030900 09010308 ................ + 17840 09001101 03030900 06010302 09000601 ................ + 17850 03040900 1001037f 09000401 03010900 ................ + 17860 0b010305 09000301 03180900 07010307 ................ + 17870 09000f01 03010900 0b010900 02000101 ................ + 17880 000011cb 00020000 0d5b0101 fb0e0a00 .........[...... + 17890 01010101 00000001 2f726f6f 742f576f ......../root/Wo + 178a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 178b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 178c0 69655f31 5f312f69 6d616765 2f6d6167 ie_1_1/image/mag + 178d0 7069652f 2e2e2f2e 2e2f2e2e 2f2e2e2f pie/../../../../ + 178e0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 178f0 312f726f 6d2f646d 615f656e 67696e65 1/rom/dma_engine + 17900 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + 17910 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 17920 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 17930 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo + 17940 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 17950 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 17960 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 17970 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 17980 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 17990 74617267 65742f69 6e63002f 726f6f74 target/inc./root + 179a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 179b0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 179c0 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm + 179d0 615f656e 67696e65 2f737263 002f726f a_engine/src./ro + 179e0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 179f0 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 17a00 742f696e 632f4f54 5553002f 726f6f74 t/inc/OTUS./root + 17a10 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 17a20 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 17a30 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm + 17a40 615f656e 67696e65 2f696e63 002f726f a_engine/inc./ro + 17a50 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17a60 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17a70 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17a80 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 17a90 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 17aa0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 17ab0 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 17ac0 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 17ad0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17ae0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17af0 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 17b00 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + 17b10 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 17b20 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + 17b30 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + 17b40 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + 17b50 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 17b60 6e666967 002f6f70 742f7874 656e7361 nfig./opt/xtensa + 17b70 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 17b80 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 17b90 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 17ba0 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + 17bb0 2f696e63 6c756465 2f787465 6e736100 /include/xtensa. + 17bc0 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 17bd0 76546f6f 6c732f69 6e737461 6c6c2f62 vTools/install/b + 17be0 75696c64 732f5242 2d323030 372e322d uilds/RB-2007.2- + 17bf0 6c696e75 782f4d61 67706965 5f50302f linux/Magpie_P0/ + 17c00 7874656e 73612d65 6c662f61 7263682f xtensa-elf/arch/ + 17c10 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + 17c20 6f6e6669 67002f72 6f6f742f 576f726b onfig./root/Work + 17c30 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17c40 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17c50 5f315f31 2f726f6d 2f636d6e 6f732f70 _1_1/rom/cmnos/p + 17c60 72696e74 662f696e 63002f72 6f6f742f rintf/inc./root/ + 17c70 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 17c80 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 17c90 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 17ca0 6f732f75 6172742f 696e6300 2f726f6f os/uart/inc./roo + 17cb0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 17cc0 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 17cd0 2f636d6e 6f732f64 62672f69 6e63002f /cmnos/dbg/inc./ + 17ce0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 17cf0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17d00 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 17d10 6d2f636d 6e6f732f 6d656d2f 696e6300 m/cmnos/mem/inc. + 17d20 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 17d30 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 17d40 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 17d50 6f6d2f63 6d6e6f73 2f6d6973 632f696e om/cmnos/misc/in + 17d60 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 17d70 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 17d80 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 17d90 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin + 17da0 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + 17db0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17dc0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17dd0 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t + 17de0 696d6572 2f696e63 002f726f 6f742f57 imer/inc./root/W + 17df0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 17e00 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 17e10 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 17e20 732f726f 6d706174 63682f69 6e63002f s/rompatch/inc./ + 17e30 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 17e40 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17e50 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 17e60 6d2f636d 6e6f732f 616c6c6f 6372616d m/cmnos/allocram + 17e70 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 17e80 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 17e90 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 17ea0 315f312f 726f6d2f 636d6e6f 732f7461 1_1/rom/cmnos/ta + 17eb0 736b6c65 742f696e 63002f72 6f6f742f sklet/inc./root/ + 17ec0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 17ed0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 17ee0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 17ef0 6f732f63 6c6f636b 2f696e63 002f726f os/clock/inc./ro + 17f00 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17f10 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17f20 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17f30 636d6e6f 732f696e 74722f69 6e63002f cmnos/intr/inc./ + 17f40 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 17f50 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17f60 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 17f70 6d2f636d 6e6f732f 7764742f 696e6300 m/cmnos/wdt/inc. + 17f80 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 17f90 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 17fa0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 17fb0 6f6d2f63 6d6e6f73 2f656570 726f6d2f om/cmnos/eeprom/ + 17fc0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 17fd0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 17fe0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 17ff0 5f312f72 6f6d2f68 69662f69 6e63002f _1/rom/hif/inc./ + 18000 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 18010 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 18020 2f696e63 6c756465 2f6e6275 66002f72 /include/nbuf./r + 18030 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18040 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 18050 696e636c 7564652f 6f73002f 726f6f74 include/os./root + 18060 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 18070 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 18080 6164662f 6f732f69 6e63002f 6f70742f adf/os/inc./opt/ + 18090 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 180a0 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 180b0 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 180c0 5874656e 7361546f 6f6c732f 6c69622f XtensaTools/lib/ + 180d0 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. + 180e0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 180f0 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 18100 662f696e 636c7564 652f6e65 74002f72 f/include/net./r + 18110 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18120 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18130 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 18140 2f616466 2f6e6275 662f696e 63002f72 /adf/nbuf/inc./r + 18150 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18160 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18170 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 18180 2f687463 2f696e63 002f726f 6f742f57 /htc/inc./root/W + 18190 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 181a0 30322f77 6c616e2f 696e636c 75646500 02/wlan/include. + 181b0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 181c0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 181d0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 181e0 6f6d2f62 75665f70 6f6f6c2f 696e6300 om/buf_pool/inc. + 181f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 18200 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 18210 72676574 2f776d69 2f696e63 0000646d rget/wmi/inc..dm + 18220 615f656e 67696e65 2e630001 00007379 a_engine.c....sy + 18230 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c + 18240 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m + 18250 656d2e68 00020000 64745f64 6566732e em.h....dt_defs. + 18260 68000400 00726567 5f646566 732e6800 h....reg_defs.h. + 18270 03000064 6573632e 68000500 006f7361 ...desc.h....osa + 18280 70692e68 00040000 4f545553 5f736f63 pi.h....OTUS_soc + 18290 2e680006 0000646d 615f656e 67696e65 .h....dma_engine + 182a0 5f617069 2e680007 00007662 75665f61 _api.h....vbuf_a + 182b0 70692e68 00080000 76646573 635f6170 pi.h....vdesc_ap + 182c0 692e6800 0900004d 61677069 655f6170 i.h....Magpie_ap + 182d0 692e6800 02000063 6d6e6f73 5f617069 i.h....cmnos_api + 182e0 2e68000a 0000636f 72652e68 000b0000 .h....core.h.... + 182f0 68616c2e 68000c00 00636f72 652d6973 hal.h....core-is + 18300 612e6800 0d000063 6f72652d 6d61746d a.h....core-matm + 18310 61702e68 000d0000 7469652e 68000d00 ap.h....tie.h... + 18320 00787472 756e7469 6d652e68 000c0000 .xtruntime.h.... + 18330 73706563 7265672e 68000d00 00636f72 specreg.h....cor + 18340 65626974 732e6800 0c000070 72696e74 ebits.h....print + 18350 665f6170 692e6800 0e000075 6172745f f_api.h....uart_ + 18360 6170692e 68000f00 00646267 5f617069 api.h....dbg_api + 18370 2e680010 00006d65 6d5f6170 692e6800 .h....mem_api.h. + 18380 1100006d 6973635f 6170692e 68001200 ...misc_api.h... + 18390 00737472 696e675f 6170692e 68001300 .string_api.h... + 183a0 0074696d 65725f61 70692e68 00140000 .timer_api.h.... + 183b0 726f6d70 5f617069 2e680015 0000616c romp_api.h....al + 183c0 6c6f6372 616d5f61 70692e68 00160000 locram_api.h.... + 183d0 7461736b 6c65745f 6170692e 68001700 tasklet_api.h... + 183e0 00636c6f 636b5f61 70692e68 00180000 .clock_api.h.... + 183f0 696e7472 5f617069 2e680019 00007764 intr_api.h....wd + 18400 745f6170 692e6800 1a000065 6570726f t_api.h....eepro + 18410 6d5f6170 692e6800 1b000075 73625f61 m_api.h....usb_a + 18420 70692e68 001c0000 6869665f 7063692e pi.h....hif_pci. + 18430 68001c00 00686966 5f617069 2e68001c h....hif_api.h.. + 18440 00006164 665f6e62 75662e68 001d0000 ..adf_nbuf.h.... + 18450 6164665f 6f735f75 74696c2e 68001e00 adf_os_util.h... + 18460 00616466 5f6f735f 7574696c 5f707674 .adf_os_util_pvt + 18470 2e68001f 00006164 665f6f73 5f747970 .h....adf_os_typ + 18480 65732e68 001e0000 6164665f 6f735f73 es.h....adf_os_s + 18490 74647479 7065732e 68001e00 00616466 tdtypes.h....adf + 184a0 5f6f735f 74797065 735f7076 742e6800 _os_types_pvt.h. + 184b0 1f000073 74646465 662e6800 20000073 ...stddef.h. ..s + 184c0 74646172 672e6800 04000076 612d7874 tdarg.h....va-xt + 184d0 656e7361 2e680020 00006164 665f6f73 ensa.h. ..adf_os + 184e0 5f646d61 2e68001e 00006164 665f6f73 _dma.h....adf_os + 184f0 5f646d61 5f707674 2e68001f 00006164 _dma_pvt.h....ad + 18500 665f6e65 745f7479 7065732e 68002100 f_net_types.h.!. + 18510 00616466 5f6e6275 665f7076 742e6800 .adf_nbuf_pvt.h. + 18520 22000064 6d615f6c 69622e68 001c0000 "..dma_lib.h.... + 18530 6869665f 676d6163 2e68001c 00007573 hif_gmac.h....us + 18540 62666966 6f5f6170 692e6800 1c000068 bfifo_api.h....h + 18550 74635f61 70692e68 00230000 6874632e tc_api.h.#..htc. + 18560 68002400 00627566 5f706f6f 6c5f6170 h.$..buf_pool_ap + 18570 692e6800 25000077 6d695f73 76635f61 i.h.%..wmi_svc_a + 18580 70692e68 00260000 776d692e 68002400 pi.h.&..wmi.h.$. + 18590 00617468 64656673 2e680024 00006164 .athdefs.h.$..ad + 185a0 665f6f73 5f6d656d 2e68001e 00006164 f_os_mem.h....ad + 185b0 665f6f73 5f6d656d 5f707674 2e68001f f_os_mem_pvt.h.. + 185c0 00006164 665f6f73 5f696f2e 68001e00 ..adf_os_io.h... + 185d0 00616466 5f6f735f 696f5f70 76742e68 .adf_os_io_pvt.h + 185e0 001f0000 00000502 008e57e0 03310103 ..........W..1.. + 185f0 02090003 01030309 00050103 00090003 ................ + 18600 01030409 00030103 03090008 01036a09 ..............j. + 18610 00020103 01090006 01030109 00060103 ................ + 18620 01090006 01030109 00060103 01090005 ................ + 18630 01030109 00050103 12090005 01030209 ................ + 18640 00070103 03090002 01030109 00030103 ................ + 18650 01090005 01030109 00040103 01090002 ................ + 18660 01030509 00020103 01090003 01030209 ................ + 18670 00090103 03090005 01030409 00080103 ................ + 18680 7c090003 01030109 00030103 04090009 |............... + 18690 01030209 00020103 0109001d 01030109 ................ + 186a0 00020103 05090003 01030009 00030103 ................ + 186b0 04090002 01030109 00080103 01090008 ................ + 186c0 01030309 00030103 00090003 01030709 ................ + 186d0 00030103 01090007 0103b57f 09000801 ................ + 186e0 03010900 06010301 09000601 03010900 ................ + 186f0 06010301 09000601 03010900 05010301 ................ + 18700 09000501 03c80009 00050103 01090003 ................ + 18710 01030109 00070103 0309000b 01030309 ................ + 18720 00050103 04090005 01037c09 00020103 ..........|..... + 18730 74090002 01031409 00080103 7f090004 t............... + 18740 01030109 00030103 7f090003 01030109 ................ + 18750 00020103 02090003 01030709 00020103 ................ + 18760 00090003 01030509 00060103 0209000c ................ + 18770 01030209 000a0104 3f03f27e 09000301 ........?..~.... + 18780 04010390 0109000a 01030109 00020103 ................ + 18790 0f090003 01037209 00020103 01090004 ......r......... + 187a0 01030109 00030103 01090003 01030809 ................ + 187b0 00050103 6d090004 01031809 000a0103 ....m........... + 187c0 01090005 01030309 00030103 09090003 ................ + 187d0 01037709 00020103 0a090002 01030209 ..w............. + 187e0 000a0103 ec7e0900 03010301 09000601 .....~.......... + 187f0 03010900 06010301 09000601 03010900 ................ + 18800 06010301 09000501 03010900 05010391 ................ + 18810 01090005 01030109 00060103 7f090002 ................ + 18820 01030109 00030103 0109000a 01030109 ................ + 18830 00060103 07090008 01030209 00020103 ................ + 18840 0309000f 01030409 000c0103 04090005 ................ + 18850 01037c09 00020103 68090002 01031f09 ..|.....h....... + 18860 00030103 01090012 01030209 00030103 ................ + 18870 7e090004 01030409 00060103 7f090002 ~............... + 18880 01030209 00020103 03090005 01030109 ................ + 18890 00020103 03090005 01030109 00060103 ................ + 188a0 03090004 01030109 00030103 03090005 ................ + 188b0 01030409 00030103 04090015 01037c09 ..............|. + 188c0 00020103 04090002 01030409 00040103 ................ + 188d0 00090003 01030609 00050103 0309000a ................ + 188e0 01030609 00050103 0109000a 01030209 ................ + 188f0 00030103 01090005 01030409 00070103 ................ + 18900 00090003 01030409 00030103 0309000c ................ + 18910 01030409 00050103 01090002 01037f09 ................ + 18920 00020103 01090002 01030109 00030103 ................ + 18930 01090002 01030209 00050103 03090004 ................ + 18940 01030109 00020103 01090005 01030409 ................ + 18950 00060103 04090003 01030309 000b0103 ................ + 18960 05090005 01031209 000b0103 01090009 ................ + 18970 01037509 00020103 03090019 01030209 ..u............. + 18980 000b0103 03090009 01030809 000a0103 ................ + 18990 00090003 01030609 001b0103 04090002 ................ + 189a0 01037f09 00020103 7f090002 01037d09 ..............}. + 189b0 00020103 02090002 01037d09 00020103 ..........}..... + 189c0 7f090002 01037f09 00020103 0d090005 ................ + 189d0 01037f09 00110103 02090002 01037d09 ..............}. + 189e0 00020103 7f090002 01037f09 00020103 ................ + 189f0 09090002 01030309 00020103 06090003 ................ + 18a00 01030109 00050103 0209000b 01030109 ................ + 18a10 00020103 03090003 01037d09 00030103 ..........}..... + 18a20 0609000b 01030409 00040103 05090009 ................ + 18a30 01037009 00050103 14090003 01037809 ..p...........x. + 18a40 00020103 08090008 01090002 00010100 ................ + 18a50 000fad00 0200000e 700101fb 0e0a0001 ........p....... + 18a60 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor + 18a70 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 18a80 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 18a90 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp + 18aa0 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// + 18ab0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18ac0 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ + 18ad0 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 18ae0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 18af0 742f7461 72676574 2f696e63 002f6f70 t/target/inc./op + 18b00 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 18b10 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 18b20 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 18b30 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 18b40 6e73612d 656c662f 696e636c 75646500 nsa-elf/include. + 18b50 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 18b60 76546f6f 6c732f69 6e737461 6c6c2f62 vTools/install/b + 18b70 75696c64 732f5242 2d323030 372e322d uilds/RB-2007.2- + 18b80 6c696e75 782f4d61 67706965 5f50302f linux/Magpie_P0/ + 18b90 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 18ba0 64652f73 7973002f 6f70742f 7874656e de/sys./opt/xten + 18bb0 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 18bc0 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 18bd0 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 18be0 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 18bf0 662f696e 636c7564 652f6d61 6368696e f/include/machin + 18c00 65002f6f 70742f78 74656e73 612f5874 e./opt/xtensa/Xt + 18c10 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 18c20 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 18c30 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 18c40 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i + 18c50 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 18c60 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 18c70 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 18c80 655f315f 312f696e 632f6d61 67706965 e_1_1/inc/magpie + 18c90 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 18ca0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 18cb0 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ + 18cc0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 18cd0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 18ce0 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 18cf0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 18d00 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18d10 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18d20 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ + 18d30 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 18d40 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 18d50 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 18d60 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 18d70 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 18d80 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 18d90 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 18da0 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 18db0 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 18dc0 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 18dd0 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc + 18de0 6c756465 2f787465 6e736100 2f6f7074 lude/xtensa./opt + 18df0 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 18e00 6c732f69 6e737461 6c6c2f62 75696c64 ls/install/build + 18e10 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 18e20 782f4d61 67706965 5f50302f 7874656e x/Magpie_P0/xten + 18e30 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl + 18e40 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 18e50 67002f72 6f6f742f 576f726b 73706163 g./root/Workspac + 18e60 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18e70 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18e80 2f726f6d 2f636d6e 6f732f70 72696e74 /rom/cmnos/print + 18e90 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 18ea0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 18eb0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 18ec0 5f315f31 2f726f6d 2f636d6e 6f732f75 _1_1/rom/cmnos/u + 18ed0 6172742f 696e6300 2f726f6f 742f576f art/inc./root/Wo + 18ee0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 18ef0 322f7467 742f7461 72676574 2f636d6e 2/tgt/target/cmn + 18f00 6f732f64 62672f69 6e63002f 726f6f74 os/dbg/inc./root + 18f10 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 18f20 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 18f30 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 18f40 6e6f732f 6d656d2f 696e6300 2f726f6f nos/mem/inc./roo + 18f50 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 18f60 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 18f70 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 18f80 6d6e6f73 2f6d6973 632f696e 63002f72 mnos/misc/inc./r + 18f90 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18fa0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18fb0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 18fc0 2f636d6e 6f732f73 7472696e 672f696e /cmnos/string/in + 18fd0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 18fe0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18ff0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19000 2f726f6d 2f636d6e 6f732f74 696d6572 /rom/cmnos/timer + 19010 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19020 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19030 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19040 315f312f 726f6d2f 636d6e6f 732f726f 1_1/rom/cmnos/ro + 19050 6d706174 63682f69 6e63002f 726f6f74 mpatch/inc./root + 19060 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19070 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 19080 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 19090 6e6f732f 616c6c6f 6372616d 2f696e63 nos/allocram/inc + 190a0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 190b0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 190c0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 190d0 726f6d2f 636d6e6f 732f7461 736b6c65 rom/cmnos/taskle + 190e0 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 190f0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19100 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19110 5f315f31 2f726f6d 2f636d6e 6f732f63 _1_1/rom/cmnos/c + 19120 6c6f636b 2f696e63 002f726f 6f742f57 lock/inc./root/W + 19130 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19140 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19150 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 19160 732f696e 74722f69 6e63002f 726f6f74 s/intr/inc./root + 19170 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19180 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 19190 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 191a0 6e6f732f 7764742f 696e6300 2f726f6f nos/wdt/inc./roo + 191b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 191c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 191d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 191e0 6d6e6f73 2f656570 726f6d2f 696e6300 mnos/eeprom/inc. + 191f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19200 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19210 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19220 6f6d2f68 69662f69 6e63002f 726f6f74 om/hif/inc./root + 19230 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19240 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 19250 6c756465 2f6e6275 66002f72 6f6f742f lude/nbuf./root/ + 19260 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 19270 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 19280 7564652f 6f73002f 726f6f74 2f576f72 ude/os./root/Wor + 19290 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 192a0 2f746774 2f746172 6765742f 6164662f /tgt/target/adf/ + 192b0 6f732f69 6e63002f 726f6f74 2f576f72 os/inc./root/Wor + 192c0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 192d0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 192e0 655f315f 312f726f 6d2f7662 75662f69 e_1_1/rom/vbuf/i + 192f0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19300 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19310 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19320 312f726f 6d2f7664 6573632f 696e6300 1/rom/vdesc/inc. + 19330 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19340 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 19350 662f696e 636c7564 652f6e65 74002f72 f/include/net./r + 19360 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 19370 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 19380 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 19390 2f616466 2f6e6275 662f696e 63002f72 /adf/nbuf/inc./r + 193a0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 193b0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 193c0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 193d0 2f687463 2f696e63 002f726f 6f742f57 /htc/inc./root/W + 193e0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 193f0 30322f77 6c616e2f 696e636c 75646500 02/wlan/include. + 19400 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19410 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19420 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19430 6f6d2f62 75665f70 6f6f6c2f 696e6300 om/buf_pool/inc. + 19440 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19450 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 19460 72676574 2f776d69 2f696e63 002f726f rget/wmi/inc./ro + 19470 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 19480 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 19490 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 194a0 646d615f 656e6769 6e652f69 6e63002f dma_engine/inc./ + 194b0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 194c0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 194d0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 194e0 6d2f646d 615f656e 67696e65 2f737263 m/dma_engine/src + 194f0 00006465 73632e63 00010000 64745f64 ..desc.c....dt_d + 19500 6566732e 68000200 00737472 696e672e efs.h....string. + 19510 68000300 005f616e 73692e68 00030000 h...._ansi.h.... + 19520 6e65776c 69622e68 00030000 636f6e66 newlib.h....conf + 19530 69672e68 00040000 69656565 66702e68 ig.h....ieeefp.h + 19540 00050000 7265656e 742e6800 0400005f ....reent.h...._ + 19550 74797065 732e6800 0400006c 6f636b2e types.h....lock. + 19560 68000400 00737464 6465662e 68000600 h....stddef.h... + 19570 00726567 5f646566 732e6800 0700006f .reg_defs.h....o + 19580 73617069 2e680002 00004f54 55535f73 sapi.h....OTUS_s + 19590 6f632e68 00080000 4d616770 69655f61 oc.h....Magpie_a + 195a0 70692e68 00090000 636d6e6f 735f6170 pi.h....cmnos_ap + 195b0 692e6800 0a000073 79735f63 66672e68 i.h....sys_cfg.h + 195c0 00090000 726f6d5f 6366672e 68000700 ....rom_cfg.h... + 195d0 006d6167 7069655f 6d656d2e 68000900 .magpie_mem.h... + 195e0 00636f72 652e6800 0b000068 616c2e68 .core.h....hal.h + 195f0 000c0000 636f7265 2d697361 2e68000d ....core-isa.h.. + 19600 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + 19610 0d000074 69652e68 000d0000 78747275 ...tie.h....xtru + 19620 6e74696d 652e6800 0c000073 70656372 ntime.h....specr + 19630 65672e68 000d0000 636f7265 62697473 eg.h....corebits + 19640 2e68000c 00007072 696e7466 5f617069 .h....printf_api + 19650 2e68000e 00007561 72745f61 70692e68 .h....uart_api.h + 19660 000f0000 6462675f 6170692e 68001000 ....dbg_api.h... + 19670 006d656d 5f617069 2e680011 00006d69 .mem_api.h....mi + 19680 73635f61 70692e68 00120000 73747269 sc_api.h....stri + 19690 6e675f61 70692e68 00130000 74696d65 ng_api.h....time + 196a0 725f6170 692e6800 14000072 6f6d705f r_api.h....romp_ + 196b0 6170692e 68001500 00616c6c 6f637261 api.h....allocra + 196c0 6d5f6170 692e6800 16000074 61736b6c m_api.h....taskl + 196d0 65745f61 70692e68 00170000 636c6f63 et_api.h....cloc + 196e0 6b5f6170 692e6800 18000069 6e74725f k_api.h....intr_ + 196f0 6170692e 68001900 00776474 5f617069 api.h....wdt_api + 19700 2e68001a 00006565 70726f6d 5f617069 .h....eeprom_api + 19710 2e68001b 00007573 625f6170 692e6800 .h....usb_api.h. + 19720 1c000068 69665f70 63692e68 001c0000 ...hif_pci.h.... + 19730 6869665f 6170692e 68001c00 00616466 hif_api.h....adf + 19740 5f6e6275 662e6800 1d000061 64665f6f _nbuf.h....adf_o + 19750 735f7574 696c2e68 001e0000 6164665f s_util.h....adf_ + 19760 6f735f75 74696c5f 7076742e 68001f00 os_util_pvt.h... + 19770 00616466 5f6f735f 74797065 732e6800 .adf_os_types.h. + 19780 1e000061 64665f6f 735f7374 64747970 ...adf_os_stdtyp + 19790 65732e68 001e0000 6164665f 6f735f74 es.h....adf_os_t + 197a0 79706573 5f707674 2e68001f 00007662 ypes_pvt.h....vb + 197b0 75665f61 70692e68 00200000 76646573 uf_api.h. ..vdes + 197c0 635f6170 692e6800 21000073 74646172 c_api.h.!..stdar + 197d0 672e6800 02000076 612d7874 656e7361 g.h....va-xtensa + 197e0 2e680006 00006164 665f6f73 5f646d61 .h....adf_os_dma + 197f0 2e68001e 00006164 665f6f73 5f646d61 .h....adf_os_dma + 19800 5f707674 2e68001f 00006164 665f6e65 _pvt.h....adf_ne + 19810 745f7479 7065732e 68002200 00616466 t_types.h."..adf + 19820 5f6e6275 665f7076 742e6800 23000064 _nbuf_pvt.h.#..d + 19830 6d615f6c 69622e68 001c0000 6869665f ma_lib.h....hif_ + 19840 676d6163 2e68001c 00007573 62666966 gmac.h....usbfif + 19850 6f5f6170 692e6800 1c000068 74635f61 o_api.h....htc_a + 19860 70692e68 00240000 6874632e 68002500 pi.h.$..htc.h.%. + 19870 00627566 5f706f6f 6c5f6170 692e6800 .buf_pool_api.h. + 19880 26000077 6d695f73 76635f61 70692e68 &..wmi_svc_api.h + 19890 00270000 776d692e 68002500 00617468 .'..wmi.h.%..ath + 198a0 64656673 2e680025 0000646d 615f656e defs.h.%..dma_en + 198b0 67696e65 5f617069 2e680028 00006465 gine_api.h.(..de + 198c0 73632e68 00290000 00000502 008e5bb4 sc.h.)........[. + 198d0 033a0103 00090003 01030309 00020103 .:.............. + 198e0 01090007 01030d09 00020103 76090002 ............v... + 198f0 01030809 00170103 02090002 01037e09 ..............~. + 19900 00020103 0209000c 01031909 00050103 ................ + 19910 09090003 01030309 00060103 01090006 ................ + 19920 01030109 00060103 0a090006 01030809 ................ + 19930 000b0103 04090008 01037c09 00080103 ..........|..... + 19940 04090002 01030309 00060103 0309000f ................ + 19950 01030509 000c0103 7b090002 01030509 ........{....... + 19960 00030103 0309000c 01030409 000b0103 ................ + 19970 03090011 01030109 00020103 62090002 ............b... + 19980 01036e09 00050103 c8000900 05010309 ..n............. + 19990 09000301 03040900 07010303 09001201 ................ + 199a0 03080900 08010303 09000a01 03020900 ................ + 199b0 08010304 09000a01 03050900 0b010303 ................ + 199c0 09000f01 03050900 0c01037b 09000201 ...........{.... + 199d0 03050900 03010302 09000c01 03010900 ................ + 199e0 0b010302 09001101 03010900 02010360 ...............` + 199f0 09000201 03760900 05010900 03000101 .....v.......... + 19a00 0000114a 00020000 0cf80101 fb0e0a00 ...J............ + 19a10 01010101 00000001 2f726f6f 742f576f ......../root/Wo + 19a20 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19a30 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19a40 69655f31 5f312f69 6d616765 2f6d6167 ie_1_1/image/mag + 19a50 7069652f 2e2e2f2e 2e2f2e2e 2f2e2e2f pie/../../../../ + 19a60 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19a70 312f726f 6d2f6677 642f002f 726f6f74 1/rom/fwd/./root + 19a80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19a90 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 19aa0 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 19ab0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19ac0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19ad0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 19ae0 732f696e 63002f72 6f6f742f 576f726b s/inc./root/Work + 19af0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19b00 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19b10 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 19b20 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19b30 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19b40 7069655f 315f312f 696e632f 6d616770 pie_1_1/inc/magp + 19b50 6965002f 6f70742f 7874656e 73612f58 ie./opt/xtensa/X + 19b60 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 19b70 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + 19b80 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + 19b90 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + 19ba0 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 19bb0 6e666967 002f6f70 742f7874 656e7361 nfig./opt/xtensa + 19bc0 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 19bd0 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 19be0 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 19bf0 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + 19c00 2f696e63 6c756465 2f787465 6e736100 /include/xtensa. + 19c10 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 19c20 76546f6f 6c732f69 6e737461 6c6c2f62 vTools/install/b + 19c30 75696c64 732f5242 2d323030 372e322d uilds/RB-2007.2- + 19c40 6c696e75 782f4d61 67706965 5f50302f linux/Magpie_P0/ + 19c50 7874656e 73612d65 6c662f61 7263682f xtensa-elf/arch/ + 19c60 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + 19c70 6f6e6669 67002f72 6f6f742f 576f726b onfig./root/Work + 19c80 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19c90 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19ca0 5f315f31 2f726f6d 2f636d6e 6f732f70 _1_1/rom/cmnos/p + 19cb0 72696e74 662f696e 63002f72 6f6f742f rintf/inc./root/ + 19cc0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 19cd0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 19ce0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 19cf0 6f732f75 6172742f 696e6300 2f726f6f os/uart/inc./roo + 19d00 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 19d10 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 19d20 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19d30 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19d40 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ + 19d50 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo + 19d60 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19d70 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19d80 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 19d90 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W + 19da0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19db0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19dc0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 19dd0 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root + 19de0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19df0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 19e00 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 19e10 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ + 19e20 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 19e30 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 19e40 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 19e50 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in + 19e60 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 19e70 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19e80 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19e90 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa + 19ea0 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo + 19eb0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19ec0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19ed0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 19ee0 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r + 19ef0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 19f00 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 19f10 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 19f20 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i + 19f30 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19f40 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19f50 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19f60 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc + 19f70 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work + 19f80 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19f90 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19fa0 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 19fb0 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo + 19fc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19fd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19fe0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 19ff0 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W + 1a000 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a010 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1a020 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 1a030 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro + 1a040 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1a050 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1a060 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 1a070 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo + 1a080 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1a090 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 1a0a0 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor + 1a0b0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1a0c0 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 1a0d0 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp + 1a0e0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1a0f0 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ + 1a100 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + 1a110 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 1a120 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 1a130 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 1a140 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib + 1a150 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 1a160 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a170 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1a180 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf + 1a190 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1a1a0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1a1b0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1a1c0 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in + 1a1d0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1a1e0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1a1f0 6164662f 696e636c 7564652f 6e657400 adf/include/net. + 1a200 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1a210 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1a220 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1a230 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + 1a240 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1a250 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1a260 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1a270 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root + 1a280 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1a290 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ + 1a2a0 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 1a2b0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1a2c0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 1a2d0 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in + 1a2e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1a2f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1a300 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ + 1a310 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1a320 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1a330 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1a340 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc + 1a350 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1a360 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 1a370 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1a380 726f6d2f 66776400 00667764 2e630001 rom/fwd..fwd.c.. + 1a390 00004f54 55535f73 6f632e68 00020000 ..OTUS_soc.h.... + 1a3a0 636d6e6f 735f6170 692e6800 03000073 cmnos_api.h....s + 1a3b0 79735f63 66672e68 00040000 726f6d5f ys_cfg.h....rom_ + 1a3c0 6366672e 68000500 006d6167 7069655f cfg.h....magpie_ + 1a3d0 6d656d2e 68000400 00636f72 652e6800 mem.h....core.h. + 1a3e0 06000068 616c2e68 00070000 636f7265 ...hal.h....core + 1a3f0 2d697361 2e680008 0000636f 72652d6d -isa.h....core-m + 1a400 61746d61 702e6800 08000074 69652e68 atmap.h....tie.h + 1a410 00080000 78747275 6e74696d 652e6800 ....xtruntime.h. + 1a420 07000073 70656372 65672e68 00080000 ...specreg.h.... + 1a430 636f7265 62697473 2e680007 00007072 corebits.h....pr + 1a440 696e7466 5f617069 2e680009 00007561 intf_api.h....ua + 1a450 72745f61 70692e68 000a0000 7265675f rt_api.h....reg_ + 1a460 64656673 2e680005 00006474 5f646566 defs.h....dt_def + 1a470 732e6800 0b000064 62675f61 70692e68 s.h....dbg_api.h + 1a480 000c0000 6d656d5f 6170692e 68000d00 ....mem_api.h... + 1a490 006d6973 635f6170 692e6800 0e000073 .misc_api.h....s + 1a4a0 7472696e 675f6170 692e6800 0f000074 tring_api.h....t + 1a4b0 696d6572 5f617069 2e680010 0000726f imer_api.h....ro + 1a4c0 6d705f61 70692e68 00110000 616c6c6f mp_api.h....allo + 1a4d0 6372616d 5f617069 2e680012 00007461 cram_api.h....ta + 1a4e0 736b6c65 745f6170 692e6800 13000063 sklet_api.h....c + 1a4f0 6c6f636b 5f617069 2e680014 0000696e lock_api.h....in + 1a500 74725f61 70692e68 00150000 7764745f tr_api.h....wdt_ + 1a510 6170692e 68001600 00656570 726f6d5f api.h....eeprom_ + 1a520 6170692e 68001700 00757362 5f617069 api.h....usb_api + 1a530 2e680018 00006869 665f7063 692e6800 .h....hif_pci.h. + 1a540 18000068 69665f61 70692e68 00180000 ...hif_api.h.... + 1a550 6164665f 6e627566 2e680019 00006164 adf_nbuf.h....ad + 1a560 665f6f73 5f757469 6c2e6800 1a000061 f_os_util.h....a + 1a570 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h + 1a580 001b0000 6164665f 6f735f74 79706573 ....adf_os_types + 1a590 2e68001a 00006164 665f6f73 5f737464 .h....adf_os_std + 1a5a0 74797065 732e6800 1a000061 64665f6f types.h....adf_o + 1a5b0 735f7479 7065735f 7076742e 68001b00 s_types_pvt.h... + 1a5c0 00737464 6465662e 68001c00 006f7361 .stddef.h....osa + 1a5d0 70692e68 000b0000 76627566 5f617069 pi.h....vbuf_api + 1a5e0 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + 1a5f0 68001e00 00737464 6172672e 68000b00 h....stdarg.h... + 1a600 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + 1a610 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + 1a620 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 1a630 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + 1a640 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + 1a650 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + 1a660 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + 1a670 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + 1a680 00040000 75736266 69666f5f 6170692e ....usbfifo_api. + 1a690 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + 1a6a0 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + 1a6b0 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + 1a6c0 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + 1a6d0 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + 1a6e0 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + 1a6f0 70692e68 00250000 6677642e 68002600 pi.h.%..fwd.h.&. + 1a700 0000043d 00050200 8e5d2403 35010301 ...=.....]$.5... + 1a710 09000301 03010900 0601037f 09000501 ................ + 1a720 03010900 02010301 09000301 04010361 ...............a + 1a730 09000501 03000900 03010304 09000c01 ................ + 1a740 03010900 02010303 09000201 037e0900 .............~.. + 1a750 03010302 09000201 03040900 05010302 ................ + 1a760 09000d01 03020900 0a010305 09000401 ................ + 1a770 03000900 03010301 09000801 03010900 ................ + 1a780 0b010304 09000201 03000900 0301030a ................ + 1a790 09000301 037a0900 0201037e 09000201 .....z.....~.... + 1a7a0 03020900 05010301 09000901 037f0900 ................ + 1a7b0 0a010303 09000201 03010900 0301037f ................ + 1a7c0 09000201 03030900 03010307 09000401 ................ + 1a7d0 030a0900 03010301 09000a01 037f0900 ................ + 1a7e0 03010304 09000201 03010900 06010301 ................ + 1a7f0 09000501 03010900 06010303 09000401 ................ + 1a800 03010900 07010306 09000801 037d0900 .............}.. + 1a810 03010302 09000201 03040900 05010301 ................ + 1a820 09000801 03010900 0a010301 09000201 ................ + 1a830 037d0900 02010307 09000201 03010900 .}.............. + 1a840 0401037f 09000201 03020900 05010301 ................ + 1a850 09000c01 03020900 03010301 09000201 ................ + 1a860 037d0900 02010304 09000301 037c0900 .}...........|.. + 1a870 02010303 09000301 037f0900 03010302 ................ + 1a880 09000301 037e0900 03010304 09000401 .....~.......... + 1a890 03030900 0c010301 09000701 030c0900 ................ + 1a8a0 03010304 09000a01 03040900 11010302 ................ + 1a8b0 09000301 036d0900 02010304 09000c01 .....m.......... + 1a8c0 03020900 05010301 09000301 03100900 ................ + 1a8d0 0b010300 09000301 03020900 05010301 ................ + 1a8e0 09000201 03010900 02010301 09000201 ................ + 1a8f0 03010900 02010301 09000201 03010900 ................ + 1a900 02010301 09000201 03010900 02010301 ................ + 1a910 09000201 03010900 02010301 09000201 ................ + 1a920 03010900 02010304 09000401 03000900 ................ + 1a930 03010303 09000301 03020900 0c010302 ................ + 1a940 09000901 03020900 0c010303 09000901 ................ + 1a950 03020900 09010303 09000c01 03020900 ................ + 1a960 0c010301 09000901 03030900 09010302 ................ + 1a970 09000c01 03010900 09010303 09000901 ................ + 1a980 03020900 09010302 09000e01 03010900 ................ + 1a990 05010302 09000c01 03010900 05010301 ................ + 1a9a0 09000901 03010900 09010304 09000401 ................ + 1a9b0 03000900 03010303 09000301 03010900 ................ + 1a9c0 0b010301 09000901 037f0900 0b010304 ................ + 1a9d0 09000901 03060900 0e010300 09000301 ................ + 1a9e0 03010900 03010301 09000e01 03040900 ................ + 1a9f0 04010304 09000301 03010900 1a010306 ................ + 1aa00 09000501 03040900 02010376 09000201 ...........v.... + 1aa10 03020900 03010305 09000501 037f0900 ................ + 1aa20 0301037e 09000901 03050900 05010303 ...~............ + 1aa30 09000201 03050900 07010300 09000301 ................ + 1aa40 03040900 06010301 09000a01 03010900 ................ + 1aa50 05010302 09000501 03010900 07010301 ................ + 1aa60 09000201 037b0900 05010307 09000301 .....{.......... + 1aa70 03010900 0d010304 09000501 03000900 ................ + 1aa80 03010304 09000201 03010900 0b010302 ................ + 1aa90 09000901 037d0900 02010303 09000201 .....}.......... + 1aaa0 03090900 03010303 09000301 03010900 ................ + 1aab0 08010302 09000b01 03010900 07010302 ................ + 1aac0 09000b01 037f0900 02010301 09000401 ................ + 1aad0 03030900 03010301 09000501 03010900 ................ + 1aae0 05010301 09000b01 03020900 05010302 ................ + 1aaf0 09000701 03020900 07010306 09000301 ................ + 1ab00 03010900 0f010301 09000901 03010900 ................ + 1ab10 02010373 09000501 03100900 08010302 ...s............ + 1ab20 09000901 037f0900 02010301 09000401 ................ + 1ab30 03030900 03010301 09000301 03010900 ................ + 1ab40 03010306 09000901 09000400 01010000 ................ + 1ab50 0ebd0002 00000d45 0101fb0e 0a000101 .......E........ + 1ab60 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 1ab70 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1ab80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1ab90 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 1aba0 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 1abb0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1abc0 726f6d2f 6275665f 706f6f6c 2f737263 rom/buf_pool/src + 1abd0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1abe0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 1abf0 61726765 742f696e 63002f72 6f6f742f arget/inc./root/ + 1ac00 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1ac10 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 1ac20 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo + 1ac30 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1ac40 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1ac50 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 1ac60 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1ac70 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1ac80 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 1ac90 6e6f732f 696e6300 2f726f6f 742f576f nos/inc./root/Wo + 1aca0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1acb0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1acc0 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 1acd0 65002f6f 70742f78 74656e73 612f5874 e./opt/xtensa/Xt + 1ace0 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 1acf0 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 1ad00 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 1ad10 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 1ad20 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + 1ad30 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ + 1ad40 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 1ad50 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 1ad60 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 1ad70 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + 1ad80 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 1ad90 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 1ada0 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu + 1adb0 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l + 1adc0 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x + 1add0 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i + 1ade0 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 1adf0 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works + 1ae00 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1ae10 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1ae20 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr + 1ae30 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W + 1ae40 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1ae50 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1ae60 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 1ae70 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root + 1ae80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1ae90 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 1aea0 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r + 1aeb0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1aec0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 1aed0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 1aee0 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ + 1aef0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1af00 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1af10 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1af20 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc + 1af30 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1af40 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 1af50 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1af60 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string + 1af70 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1af80 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1af90 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1afa0 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti + 1afb0 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo + 1afc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1afd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1afe0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 1aff0 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r + 1b000 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1b010 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 1b020 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 1b030 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ + 1b040 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1b050 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1b060 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 1b070 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas + 1b080 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W + 1b090 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1b0a0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1b0b0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 1b0c0 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo + 1b0d0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1b0e0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1b0f0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 1b100 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r + 1b110 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1b120 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 1b130 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 1b140 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ + 1b150 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b160 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1b170 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1b180 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i + 1b190 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 1b1a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 1b1b0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 1b1c0 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r + 1b1d0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1b1e0 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 1b1f0 696e636c 7564652f 6e627566 002f726f include/nbuf./ro + 1b200 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1b210 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 1b220 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ + 1b230 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1b240 3230322f 7467742f 74617267 65742f61 202/tgt/target/a + 1b250 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x + 1b260 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 1b270 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 1b280 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 1b290 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x + 1b2a0 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ + 1b2b0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b2c0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1b2d0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1b2e0 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root + 1b2f0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1b300 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1b310 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd + 1b320 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo + 1b330 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1b340 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 1b350 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work + 1b360 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1b370 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1b380 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu + 1b390 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 1b3a0 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1b3b0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1b3c0 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc + 1b3d0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1b3e0 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ + 1b3f0 696e636c 75646500 2f726f6f 742f576f include./root/Wo + 1b400 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1b410 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1b420 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p + 1b430 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo + 1b440 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1b450 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi + 1b460 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1b470 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1b480 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1b490 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi + 1b4a0 6e652f69 6e63002f 726f6f74 2f576f72 ne/inc./root/Wor + 1b4b0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1b4c0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 1b4d0 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 1b4e0 6f6c2f73 72630000 6275665f 706f6f6c ol/src..buf_pool + 1b4f0 5f737461 7469632e 63000100 006f7361 _static.c....osa + 1b500 70692e68 00020000 4f545553 5f736f63 pi.h....OTUS_soc + 1b510 2e680003 00004d61 67706965 5f617069 .h....Magpie_api + 1b520 2e680004 0000636d 6e6f735f 6170692e .h....cmnos_api. + 1b530 68000500 00737973 5f636667 2e680004 h....sys_cfg.h.. + 1b540 0000726f 6d5f6366 672e6800 0600006d ..rom_cfg.h....m + 1b550 61677069 655f6d65 6d2e6800 04000063 agpie_mem.h....c + 1b560 6f72652e 68000700 0068616c 2e680008 ore.h....hal.h.. + 1b570 0000636f 72652d69 73612e68 00090000 ..core-isa.h.... + 1b580 636f7265 2d6d6174 6d61702e 68000900 core-matmap.h... + 1b590 00746965 2e680009 00007874 72756e74 .tie.h....xtrunt + 1b5a0 696d652e 68000800 00737065 63726567 ime.h....specreg + 1b5b0 2e680009 0000636f 72656269 74732e68 .h....corebits.h + 1b5c0 00080000 7072696e 74665f61 70692e68 ....printf_api.h + 1b5d0 000a0000 75617274 5f617069 2e68000b ....uart_api.h.. + 1b5e0 00007265 675f6465 66732e68 00060000 ..reg_defs.h.... + 1b5f0 64745f64 6566732e 68000200 00646267 dt_defs.h....dbg + 1b600 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 1b610 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 1b620 68000e00 00737472 696e675f 6170692e h....string_api. + 1b630 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 1b640 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 1b650 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 1b660 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 1b670 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 1b680 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 1b690 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 1b6a0 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 1b6b0 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 1b6c0 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 1b6d0 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 1b6e0 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 1b6f0 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 1b700 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 1b710 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 1b720 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 1b730 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 1b740 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 1b750 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 1b760 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 1b770 73746461 72672e68 00020000 76612d78 stdarg.h....va-x + 1b780 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 1b790 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 1b7a0 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 1b7b0 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 1b7c0 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 1b7d0 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 1b7e0 00686966 5f676d61 632e6800 18000075 .hif_gmac.h....u + 1b7f0 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 1b800 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 1b810 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 1b820 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 1b830 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 1b840 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 1b850 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 1b860 25000061 64665f6f 735f6d65 6d2e6800 %..adf_os_mem.h. + 1b870 1a000061 64665f6f 735f6d65 6d5f7076 ...adf_os_mem_pv + 1b880 742e6800 1b000062 75665f70 6f6f6c5f t.h....buf_pool_ + 1b890 73746174 69632e68 00260000 00000502 static.h.&...... + 1b8a0 008e6128 03210103 00090003 01030609 ..a(.!.......... + 1b8b0 00120103 7f090002 01037f09 00020103 ................ + 1b8c0 7f090002 01037f09 00020103 7f090002 ................ + 1b8d0 01030609 00020103 03090003 01030009 ................ + 1b8e0 00030103 04090003 01030109 000a0103 ................ + 1b8f0 01090002 01030209 00020103 0d090004 ................ + 1b900 01030209 00030103 03090005 01030009 ................ + 1b910 00030103 07090002 01030109 000e0103 ................ + 1b920 01090006 01037f09 00030103 01090002 ................ + 1b930 01043e03 bb7f0900 05010401 03c30009 ..>............. + 1b940 000a0103 12090002 01037309 00020103 ..........s..... + 1b950 7f090003 01030309 00020103 7f090005 ................ + 1b960 01030609 00030103 7e090002 01037f09 ........~....... + 1b970 00020103 04090005 01037309 00020103 ..........s..... + 1b980 13090003 01037c09 00020103 04090005 ......|......... + 1b990 01030309 00050103 00090003 01030309 ................ + 1b9a0 00030103 02090005 01030309 00040103 ................ + 1b9b0 7d090002 01030209 00040103 01090002 }............... + 1b9c0 01030109 00030103 01090003 01030309 ................ + 1b9d0 00030103 04090004 01030009 00030103 ................ + 1b9e0 01090006 01030409 00070103 00090003 ................ + 1b9f0 01030109 00030103 03090007 01037e09 ..............~. + 1ba00 00020103 05090002 01090002 00010100 ................ + 1ba10 0002b600 02000002 880101fb 0e0a0001 ................ + 1ba20 01010100 0000012f 666f6c6b 732f7268 ......./folks/rh + 1ba30 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p + 1ba40 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ + 1ba50 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ + 1ba60 6f732f73 72632f78 746f7300 2f636164 os/src/xtos./cad + 1ba70 2f74656e 73696c69 63612f74 6f6f6c73 /tensilica/tools + 1ba80 2f52422d 32303037 2e322f58 74446576 /RB-2007.2/XtDev + 1ba90 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 1baa0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 1bab0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 1bac0 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 1bad0 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 1bae0 002f6361 642f7465 6e73696c 6963612f ./cad/tensilica/ + 1baf0 746f6f6c 732f5242 2d323030 372e322f tools/RB-2007.2/ + 1bb00 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 1bb10 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 1bb20 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 1bb30 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + 1bb40 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 1bb50 74726565 732f6279 616e672f 4d616770 trees/byang/Magp + 1bb60 69655f50 302f6361 642f6174 68722f69 ie_P0/cad/athr/i + 1bb70 702f7465 6e73696c 6963612f 6d616770 p/tensilica/magp + 1bb80 69655f76 315f302f 696e7374 616c6c2f ie_v1_0/install/ + 1bb90 6275696c 64732f52 422d3230 30372e32 builds/RB-2007.2 + 1bba0 2d6c696e 75782f4d 61677069 655f5030 -linux/Magpie_P0 + 1bbb0 2f787465 6e73612d 656c662f 61726368 /xtensa-elf/arch + 1bbc0 2f696e63 6c756465 2f787465 6e73612f /include/xtensa/ + 1bbd0 636f6e66 69670000 6578632d 73657468 config..exc-seth + 1bbe0 616e646c 65722e63 00010000 636f7265 andler.c....core + 1bbf0 2e680002 00006861 6c2e6800 03000063 .h....hal.h....c + 1bc00 6f72652d 6973612e 68000400 00636f72 ore-isa.h....cor + 1bc10 652d6d61 746d6170 2e680004 00007469 e-matmap.h....ti + 1bc20 652e6800 04000073 70656372 65672e68 e.h....specreg.h + 1bc30 00040000 636f7265 62697473 2e680003 ....corebits.h.. + 1bc40 00007874 6f732d69 6e746572 6e616c2e ..xtos-internal. + 1bc50 68000100 00787472 756e7469 6d652e68 h....xtruntime.h + 1bc60 00030000 78747275 6e74696d 652d6672 ....xtruntime-fr + 1bc70 616d6573 2e680003 00007874 656e7361 ames.h....xtensa + 1bc80 2d766572 73696f6e 732e6800 03000078 -versions.h....x + 1bc90 746f732d 70617261 6d732e68 00010000 tos-params.h.... + 1bca0 00000502 008e620c 03200103 03090003 ......b.. ...... + 1bcb0 01030c09 00080103 00090029 01030009 ...........).... + 1bcc0 00040109 00290001 01000000 3b000200 .....)......;... + 1bcd0 00001f01 01fb0e0a 00010101 01000000 ................ + 1bce0 01006578 632d7461 626c652e 53000000 ..exc-table.S... + 1bcf0 00000005 02008e68 14032901 03020900 .......h..)..... + 1bd00 03010900 02000101 0000010f 00020000 ................ + 1bd10 002b0101 fb0e0a00 01010101 00000001 .+.............. + 1bd20 00657863 2d632d77 72617070 65722d68 .exc-c-wrapper-h + 1bd30 616e646c 65722e53 00000000 00000502 andler.S........ + 1bd40 008e6270 031d0103 01090002 01030d09 ..bp............ + 1bd50 00060103 07090002 01032b09 00020103 ..........+..... + 1bd60 02090003 01030109 00030103 07090003 ................ + 1bd70 01030109 00020103 15090002 01030209 ................ + 1bd80 00030103 02090003 0103d300 09000301 ................ + 1bd90 03010900 03010308 09000301 03c10009 ................ + 1bda0 00030103 01090003 01030609 00030103 ................ + 1bdb0 01090003 01030209 00020103 01090002 ................ + 1bdc0 01030209 000f0103 01090003 01030709 ................ + 1bdd0 00020103 02090002 01030109 00030103 ................ + 1bde0 08090003 01030109 000f0103 1c090002 ................ + 1bdf0 01030309 00030103 02090003 01030709 ................ + 1be00 00030103 0b090003 01030109 00030103 ................ + 1be10 01090003 01090002 00010100 0000c800 ................ + 1be20 02000000 640101fb 0e0a0001 01010100 ....d........... + 1be30 0000012f 686f6d65 2f637573 746f6d65 .../home/custome + 1be40 722f7472 65652f52 422d3230 30372e32 r/tree/RB-2007.2 + 1be50 2f746f6f 6c732f73 77746f6f 6c732d78 /tools/swtools-x + 1be60 38362d6c 696e7578 2f787465 6e73612d 86-linux/xtensa- + 1be70 656c662f 7372632f 68616c00 00636c6f elf/src/hal..clo + 1be80 636b2e53 00010000 00000502 008e681c ck.S..........h. + 1be90 032a0103 02090003 01030e09 00030103 .*.............. + 1bea0 0d090002 01030209 00030103 01090003 ................ + 1beb0 01030a09 00030103 04090003 01030909 ................ + 1bec0 00040103 02090003 01030109 00020103 ................ + 1bed0 01090003 01030c09 00020103 02090002 ................ + 1bee0 01090002 00010100 0001c700 02000001 ................ + 1bef0 c10101fb 0e0a0001 01010100 0000012f .............../ + 1bf00 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1bf10 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1bf20 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1bf30 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1bf40 7372632f 68616c00 2f686f6d 652f6375 src/hal./home/cu + 1bf50 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1bf60 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1bf70 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt + 1bf80 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 1bf90 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 1bfa0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1bfb0 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1bfc0 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1bfd0 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1bfe0 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 1bff0 70726f6a 6563742f 63757374 2f67656e project/cust/gen + 1c000 6170702f 52422d32 3030372e 322f6275 app/RB-2007.2/bu + 1c010 696c642f 61746865 726f732f 70726f64 ild/atheros/prod + 1c020 2f4d6167 7069655f 50302f38 33373433 /Magpie_P0/83743 + 1c030 2f52422d 32303037 2e322f4d 61677069 /RB-2007.2/Magpi + 1c040 655f5030 2f787465 6e73612d 656c662f e_P0/xtensa-elf/ + 1c050 61726368 2f696e63 6c756465 2f787465 arch/include/xte + 1c060 6e73612f 636f6e66 69670000 6d697363 nsa/config..misc + 1c070 2e630001 0000636f 72652e68 00020000 .c....core.h.... + 1c080 68616c2e 68000300 00636f72 652d6973 hal.h....core-is + 1c090 612e6800 04000063 6f72652d 6d61746d a.h....core-matm + 1c0a0 61702e68 00040000 7469652e 68000400 ap.h....tie.h... + 1c0b0 00000000 03310002 0000006e 0101fb0e .....1.....n.... + 1c0c0 0a000101 01010000 00012f68 6f6d652f ........../home/ + 1c0d0 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 1c0e0 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw + 1c0f0 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ + 1c100 7874656e 73612d65 6c662f73 72632f68 xtensa-elf/src/h + 1c110 616c0000 77696e64 6f777370 696c6c5f al..windowspill_ + 1c120 61736d2e 53000100 00000005 02008e62 asm.S..........b + 1c130 ec03f100 01030109 00030103 01090002 ................ + 1c140 01030109 00030103 01090003 01030109 ................ + 1c150 00030103 01090003 01030209 00030103 ................ + 1c160 01090003 01030f09 00030103 01090003 ................ + 1c170 01030109 00030103 01090003 01030c09 ................ + 1c180 00030103 01090003 01030909 00030103 ................ + 1c190 02090003 01030109 00030103 04090003 ................ + 1c1a0 01030109 00030103 03090003 01031809 ................ + 1c1b0 00030103 01090003 01030109 00030103 ................ + 1c1c0 01090003 01030109 00030103 06090003 ................ + 1c1d0 01030109 00030103 01090002 01030109 ................ + 1c1e0 00020103 01090002 01030209 00020103 ................ + 1c1f0 01090003 01030209 00030103 04090003 ................ + 1c200 01030109 00030103 01090002 01030109 ................ + 1c210 00020103 01090002 01030209 00020103 ................ + 1c220 01090003 01030209 00020103 02090003 ................ + 1c230 01030109 00020103 01090002 01030109 ................ + 1c240 00020103 02090002 01030109 00030103 ................ + 1c250 02090003 01030409 00030103 02090003 ................ + 1c260 01030109 00030103 01090002 01030109 ................ + 1c270 00020103 01090002 01030209 00020103 ................ + 1c280 01090003 01030109 00020103 01090003 ................ + 1c290 01030209 00030103 01090002 01030109 ................ + 1c2a0 00020103 01090002 01030109 00020103 ................ + 1c2b0 01090002 01030109 00020103 01090002 ................ + 1c2c0 01030209 00020103 01090003 01030109 ................ + 1c2d0 00030103 02090003 01030509 00050103 ................ + 1c2e0 01090003 01030109 00030103 01090003 ................ + 1c2f0 01030109 00020103 01090003 01030109 ................ + 1c300 00030103 01090003 01030109 00020103 ................ + 1c310 07090002 01030109 00020103 0f090004 ................ + 1c320 01030109 00030103 01090002 01030109 ................ + 1c330 00030103 01090003 01030109 00020103 ................ + 1c340 01090003 01030109 00030103 04090003 ................ + 1c350 01030109 00030103 01090003 01030109 ................ + 1c360 00030103 01090003 01030109 00030103 ................ + 1c370 01090003 01030109 00020103 01090003 ................ + 1c380 01030109 00030103 02090003 01030109 ................ + 1c390 00020103 1a090005 01030209 00030103 ................ + 1c3a0 01090003 01030109 00030103 01090002 ................ + 1c3b0 01030109 00030103 01090002 01030109 ................ + 1c3c0 00030103 01090003 01030109 00030103 ................ + 1c3d0 01090002 01030109 00030103 02090003 ................ + 1c3e0 01090002 00010100 00008800 02000000 ................ + 1c3f0 660101fb 0e0a0001 01010100 0000012f f............../ + 1c400 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1c410 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1c420 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1c430 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1c440 7372632f 68616c00 00696e74 5f61736d src/hal..int_asm + 1c450 2e530001 00000000 0502008e 6844033e .S..........hD.> + 1c460 01030209 00030103 04090003 01090002 ................ + 1c470 00010100 00008900 02000000 660101fb ............f... + 1c480 0e0a0001 01010100 0000012f 686f6d65 .........../home + 1c490 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1c4a0 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s + 1c4b0 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux + 1c4c0 2f787465 6e73612d 656c662f 7372632f /xtensa-elf/src/ + 1c4d0 68616c00 00696e74 5f61736d 2e530001 hal..int_asm.S.. + 1c4e0 00000000 0502008e 684c03e5 00010302 ........hL...... + 1c4f0 09000301 03040900 03010900 02000101 ................ + 1c500 00000089 00020000 00660101 fb0e0a00 .........f...... + 1c510 01010101 00000001 2f686f6d 652f6375 ......../home/cu + 1c520 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1c530 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1c540 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt + 1c550 656e7361 2d656c66 2f737263 2f68616c ensa-elf/src/hal + 1c560 0000696e 745f6173 6d2e5300 01000000 ..int_asm.S..... + 1c570 00050200 8e685403 cf000103 02090003 .....hT......... + 1c580 01030209 00030109 00020001 01000001 ................ + 1c590 e8000200 0001e201 01fb0e0a 00010101 ................ + 1c5a0 01000000 012f686f 6d652f63 7573746f ...../home/custo + 1c5b0 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 1c5c0 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 1c5d0 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 1c5e0 612d656c 662f7372 632f6861 6c002f68 a-elf/src/hal./h + 1c5f0 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 1c600 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool + 1c610 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li + 1c620 6e75782f 7874656e 73612d65 6c662f69 nux/xtensa-elf/i + 1c630 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 1c640 6e666967 002f686f 6d652f63 7573746f nfig./home/custo + 1c650 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 1c660 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 1c670 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 1c680 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 1c690 656e7361 002f7072 6f6a6563 742f6375 ensa./project/cu + 1c6a0 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 + 1c6b0 372e322f 6275696c 642f6174 6865726f 7.2/build/athero + 1c6c0 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 + 1c6d0 2f383337 34332f52 422d3230 30372e32 /83743/RB-2007.2 + 1c6e0 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 1c6f0 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 1c700 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 1c710 0000696e 74657272 75707473 2e630001 ..interrupts.c.. + 1c720 0000636f 72652e68 00020000 68616c2e ..core.h....hal. + 1c730 68000300 00636f72 652d6973 612e6800 h....core-isa.h. + 1c740 04000063 6f72652d 6d61746d 61702e68 ...core-matmap.h + 1c750 00040000 7469652e 68000400 00737065 ....tie.h....spe + 1c760 63726567 2e680004 0000636f 72656269 creg.h....corebi + 1c770 74732e68 00030000 00000001 68000200 ts.h........h... + 1c780 00007f01 01fb0e0a 00010101 01000000 ................ + 1c790 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1c7a0 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 1c7b0 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 1c7c0 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 1c7d0 662f7372 632f6c69 62676363 2d786363 f/src/libgcc-xcc + 1c7e0 2f636f6e 6669672f 7874656e 73610000 /config/xtensa.. + 1c7f0 6c696231 66756e63 732e6173 6d000100 lib1funcs.asm... + 1c800 00000005 02008e68 5c03ca04 01030409 .......h\....... + 1c810 00030103 01090003 01030109 00030103 ................ + 1c820 01090003 01030a09 00030103 01090003 ................ + 1c830 01030109 00030103 01090003 01030309 ................ + 1c840 00030103 01090003 01030109 00030103 ................ + 1c850 01090003 01030409 00020103 03090003 ................ + 1c860 01030109 00030103 01090003 01030209 ................ + 1c870 00020103 01090003 01030709 00030103 ................ + 1c880 01090003 01030209 00020103 01090003 ................ + 1c890 01030109 00030103 03090002 01030109 ................ + 1c8a0 00020103 01090003 01030109 00030103 ................ + 1c8b0 03090004 01030109 00030103 01090002 ................ + 1c8c0 01030109 00020103 01090003 01030609 ................ + 1c8d0 00030103 04090007 01030209 00020109 ................ + 1c8e0 00020001 01000001 20000200 00007f01 ........ ....... + 1c8f0 01fb0e0a 00010101 01000000 012f686f ............./ho + 1c900 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1c910 2f52422d 32303037 2e322f74 6f6f6c73 /RB-2007.2/tools + 1c920 2f737774 6f6f6c73 2d783836 2d6c696e /swtools-x86-lin + 1c930 75782f78 74656e73 612d656c 662f7372 ux/xtensa-elf/sr + 1c940 632f6c69 62676363 2d786363 2f636f6e c/libgcc-xcc/con + 1c950 6669672f 7874656e 73610000 6c696231 fig/xtensa..lib1 + 1c960 66756e63 732e6173 6d000100 00000005 funcs.asm....... + 1c970 02008e68 c403da05 01030409 00030103 ...h............ + 1c980 01090003 01030109 00030103 01090003 ................ + 1c990 01030109 00030103 01090003 01030109 ................ + 1c9a0 00030103 02090003 01030109 00030103 ................ + 1c9b0 01090003 01030409 00030103 03090003 ................ + 1c9c0 01030109 00030103 02090003 01030809 ................ + 1c9d0 00030103 01090003 01030209 00030103 ................ + 1c9e0 01090003 01030209 00030103 03090003 ................ + 1c9f0 01030509 00020103 04090007 01030209 ................ + 1ca00 00020109 00020001 01000001 32000200 ............2... + 1ca10 00007f01 01fb0e0a 00010101 01000000 ................ + 1ca20 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1ca30 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 1ca40 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 1ca50 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 1ca60 662f7372 632f6c69 62676363 2d786363 f/src/libgcc-xcc + 1ca70 2f636f6e 6669672f 7874656e 73610000 /config/xtensa.. + 1ca80 6c696231 66756e63 732e6173 6d000100 lib1funcs.asm... + 1ca90 00000005 02008e69 10038504 01030409 .......i........ + 1caa0 00030103 02090003 01030109 00020103 ................ + 1cab0 01090003 01030109 00030103 02090003 ................ + 1cac0 01030109 00030103 01090003 01030109 ................ + 1cad0 00030103 04090003 01030309 00030103 ................ + 1cae0 01090003 01030109 00030103 02090002 ................ + 1caf0 01030109 00030103 07090003 01030109 ................ + 1cb00 00030103 02090003 01030309 00020103 ................ + 1cb10 01090002 01030409 00040103 01090003 ................ + 1cb20 01030109 00020103 06090004 01030409 ................ + 1cb30 00070103 02090002 01090002 00010100 ................ + 1cb40 00010200 02000000 7f0101fb 0e0a0001 ................ + 1cb50 01010100 0000012f 686f6d65 2f637573 ......./home/cus + 1cb60 746f6d65 722f7472 65652f52 422d3230 tomer/tree/RB-20 + 1cb70 30372e32 2f746f6f 6c732f73 77746f6f 07.2/tools/swtoo + 1cb80 6c732d78 38362d6c 696e7578 2f787465 ls-x86-linux/xte + 1cb90 6e73612d 656c662f 7372632f 6c696267 nsa-elf/src/libg + 1cba0 63632d78 63632f63 6f6e6669 672f7874 cc-xcc/config/xt + 1cbb0 656e7361 00006c69 62316675 6e63732e ensa..lib1funcs. + 1cbc0 61736d00 01000000 00050200 8e696003 asm..........i`. + 1cbd0 a0050103 04090003 01030209 00030103 ................ + 1cbe0 01090003 01030109 00030103 02090003 ................ + 1cbf0 01030109 00030103 01090003 01030409 ................ + 1cc00 00050103 03090003 01030109 00030103 ................ + 1cc10 02090003 01030809 00030103 01090003 ................ + 1cc20 01030209 00030103 03090004 01030509 ................ + 1cc30 00020103 04090007 01030209 00020109 ................ + 1cc40 00020001 01000002 ec000200 0002a001 ................ + 1cc50 01fb0e0a 00010101 01000000 012f686f ............./ho + 1cc60 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1cc70 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1cc80 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1cc90 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1cca0 69622f6c 6962632f 73747269 6e67002f ib/libc/string./ + 1ccb0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1ccc0 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 1ccd0 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 1cce0 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 1ccf0 776c6962 2f6c6962 632f696e 636c7564 wlib/libc/includ + 1cd00 65002f70 726f6a65 63742f63 7573742f e./project/cust/ + 1cd10 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 + 1cd20 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p + 1cd30 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 + 1cd40 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe + 1cd50 742d6c69 62732f6e 65776c69 622f7874 t-libs/newlib/xt + 1cd60 656e7361 2d656c66 2f6e6577 6c69622f ensa-elf/newlib/ + 1cd70 74617267 2d696e63 6c756465 002f686f targ-include./ho + 1cd80 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1cd90 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1cda0 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1cdb0 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1cdc0 69622f6c 6962632f 696e636c 7564652f ib/libc/include/ + 1cdd0 73797300 2f686f6d 652f6375 73746f6d sys./home/custom + 1cde0 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1cdf0 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1ce00 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1ce10 69622f6e 65776c69 622f6c69 62632f69 ib/newlib/libc/i + 1ce20 6e636c75 64652f6d 61636869 6e65002f nclude/machine./ + 1ce30 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1ce40 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1ce50 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1ce60 696e7578 2f6c6962 2f786363 2d6c6962 inux/lib/xcc-lib + 1ce70 2f696e63 6c756465 00006d65 6d636d70 /include..memcmp + 1ce80 2e630001 00007374 72696e67 2e680002 .c....string.h.. + 1ce90 00005f61 6e73692e 68000200 006e6577 .._ansi.h....new + 1cea0 6c69622e 68000300 00636f6e 6669672e lib.h....config. + 1ceb0 68000400 00696565 6566702e 68000500 h....ieeefp.h... + 1cec0 00726565 6e742e68 00040000 5f747970 .reent.h...._typ + 1ced0 65732e68 00040000 6c6f636b 2e680004 es.h....lock.h.. + 1cee0 00007374 64646566 2e680006 00000000 ..stddef.h...... + 1cef0 0502008e 69a00338 01031809 00030103 ....i..8........ + 1cf00 0509000b 01030309 00060103 04090007 ................ + 1cf10 01030909 00070103 0209000a 01037e09 ..............~. + 1cf20 000d0103 08090002 01030009 00050109 ................ + 1cf30 00050001 01000003 51000200 00007601 ........Q.....v. + 1cf40 01fb0e0a 00010101 01000000 012f686f ............./ho + 1cf50 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1cf60 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1cf70 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1cf80 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1cf90 69622f6c 6962632f 6d616368 696e652f ib/libc/machine/ + 1cfa0 7874656e 73610000 6d656d63 70792e53 xtensa..memcpy.S + 1cfb0 00010000 00000502 008e69e9 03c60001 ..........i..... + 1cfc0 03050900 03010301 09000301 03010900 ................ + 1cfd0 02010301 09000301 03040900 02010309 ................ + 1cfe0 09000201 03030900 03010301 09000301 ................ + 1cff0 03010900 02010301 09000301 03010900 ................ + 1d000 03010303 09000301 03050900 03010303 ................ + 1d010 09000301 03010900 03010301 09000301 ................ + 1d020 03010900 02010301 09000301 03010900 ................ + 1d030 03010301 09000301 03030900 02010307 ................ + 1d040 09000601 03030900 03010301 09000301 ................ + 1d050 03010900 03010304 09000301 03030900 ................ + 1d060 03010307 09000301 03050900 03010306 ................ + 1d070 09000301 03010900 02010301 09000201 ................ + 1d080 03010900 02010301 09000201 03010900 ................ + 1d090 02010301 09000201 03010900 02010301 ................ + 1d0a0 09000301 03010900 02010306 09000301 ................ + 1d0b0 03030900 03010301 09000201 03010900 ................ + 1d0c0 02010301 09000201 03010900 02010301 ................ + 1d0d0 09000201 03020900 02010301 09000301 ................ + 1d0e0 03010900 03010301 09000301 030b0900 ................ + 1d0f0 02010301 09000201 03010900 02010301 ................ + 1d100 09000201 03010900 02010301 09000301 ................ + 1d110 03010900 03010303 09000201 03010900 ................ + 1d120 03010301 09000201 03010900 03010301 ................ + 1d130 09000201 03010900 03010303 09000301 ................ + 1d140 03010900 03010303 09000301 03080900 ................ + 1d150 02010304 09000301 03020900 03010301 ................ + 1d160 09000301 03020900 03010302 09000201 ................ + 1d170 03060900 03010301 09000201 03010900 ................ + 1d180 02010301 09000301 03010900 02010301 ................ + 1d190 09000201 03010900 03010301 09000201 ................ + 1d1a0 03010900 02010301 09000301 03010900 ................ + 1d1b0 02010301 09000301 03010900 03010301 ................ + 1d1c0 09000201 03050900 03010303 09000301 ................ + 1d1d0 03010900 02010301 09000201 03010900 ................ + 1d1e0 03010301 09000201 03010900 02010301 ................ + 1d1f0 09000301 03010900 02010301 09000301 ................ + 1d200 03020900 03010303 09000301 03010900 ................ + 1d210 02010301 09000201 03010900 03010301 ................ + 1d220 09000201 03010900 02010303 09000201 ................ + 1d230 03020900 02010301 09000301 03010900 ................ + 1d240 03010303 09000401 03010900 03010301 ................ + 1d250 09000301 03010900 02010301 09000301 ................ + 1d260 03010900 03010301 09000201 03010900 ................ + 1d270 03010303 09000201 03010900 03010301 ................ + 1d280 09000301 09000200 01010000 03290002 .............).. + 1d290 000002ad 0101fb0e 0a000101 01010000 ................ + 1d2a0 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer + 1d2b0 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 1d2c0 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta + 1d2d0 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 1d2e0 2f6e6577 6c69622f 6c696263 2f737472 /newlib/libc/str + 1d2f0 696e6700 2f686f6d 652f6375 73746f6d ing./home/custom + 1d300 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1d310 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1d320 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1d330 69622f6e 65776c69 622f6c69 62632f69 ib/newlib/libc/i + 1d340 6e636c75 6465002f 70726f6a 6563742f nclude./project/ + 1d350 63757374 2f67656e 6170702f 52422d32 cust/genapp/RB-2 + 1d360 3030372e 322f6275 696c642f 61746865 007.2/build/athe + 1d370 726f732f 70726f64 2f4d6167 7069655f ros/prod/Magpie_ + 1d380 50302f38 33373433 2f786275 696c642f P0/83743/xbuild/ + 1d390 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1d3a0 69622f78 74656e73 612d656c 662f6e65 ib/xtensa-elf/ne + 1d3b0 776c6962 2f746172 672d696e 636c7564 wlib/targ-includ + 1d3c0 65002f68 6f6d652f 63757374 6f6d6572 e./home/customer + 1d3d0 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 1d3e0 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta + 1d3f0 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 1d400 2f6e6577 6c69622f 6c696263 2f696e63 /newlib/libc/inc + 1d410 6c756465 2f737973 002f686f 6d652f63 lude/sys./home/c + 1d420 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- + 1d430 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt + 1d440 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs + 1d450 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l + 1d460 6962632f 696e636c 7564652f 6d616368 ibc/include/mach + 1d470 696e6500 2f686f6d 652f6375 73746f6d ine./home/custom + 1d480 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1d490 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- + 1d4a0 7838362d 6c696e75 782f6c69 622f7863 x86-linux/lib/xc + 1d4b0 632d6c69 622f696e 636c7564 6500006d c-lib/include..m + 1d4c0 656d6d6f 76652e63 00010000 73747269 emmove.c....stri + 1d4d0 6e672e68 00020000 5f616e73 692e6800 ng.h...._ansi.h. + 1d4e0 0200006e 65776c69 622e6800 03000063 ...newlib.h....c + 1d4f0 6f6e6669 672e6800 04000069 65656566 onfig.h....ieeef + 1d500 702e6800 05000072 65656e74 2e680004 p.h....reent.h.. + 1d510 00005f74 79706573 2e680004 00006c6f .._types.h....lo + 1d520 636b2e68 00040000 73746464 65662e68 ck.h....stddef.h + 1d530 00060000 6c696d69 74732e68 00020000 ....limits.h.... + 1d540 00000502 008e6b20 033d0103 1f090003 ......k .=...... + 1d550 01030409 00120103 03090008 01032909 ..............). + 1d560 000a0103 5f090005 01031b09 000c0103 ...._........... + 1d570 02090011 01030409 00750103 65090004 .........u..e... + 1d580 01030509 00220103 7b090016 01030509 ....."..{....... + 1d590 000b0103 05090048 01030209 00130103 .......H........ + 1d5a0 7e090008 01030209 000c0103 7e090046 ~...........~..F + 1d5b0 01090008 00010100 00018800 02000000 ................ + 1d5c0 760101fb 0e0a0001 01010100 0000012f v............../ + 1d5d0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1d5e0 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 1d5f0 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 1d600 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 1d610 776c6962 2f6c6962 632f6d61 6368696e wlib/libc/machin + 1d620 652f7874 656e7361 00006d65 6d736574 e/xtensa..memset + 1d630 2e530001 00000000 0502008e 6ce5033a .S..........l..: + 1d640 01030509 00030103 01090003 01030409 ................ + 1d650 00020103 0a090003 01030309 00030103 ................ + 1d660 01090003 01030109 00020103 03090002 ................ + 1d670 01030509 00030103 03090003 01030109 ................ + 1d680 00030103 01090002 01030309 00030103 ................ + 1d690 07090004 01030409 00030103 01090003 ................ + 1d6a0 01030109 00030103 01090003 01030109 ................ + 1d6b0 00030103 02090003 01030309 00020103 ................ + 1d6c0 01090003 01030409 00030103 04090003 ................ + 1d6d0 01030709 00030103 01090002 01030109 ................ + 1d6e0 00020103 01090002 01030109 00020103 ................ + 1d6f0 06090003 01030309 00030103 01090002 ................ + 1d700 01030109 00020103 02090003 01030309 ................ + 1d710 00030103 01090002 01030209 00020103 ................ + 1d720 03090003 01030109 00030103 02090002 ................ + 1d730 01030309 00030103 01090003 01090002 ................ + 1d740 00010100 0002de00 02000000 760101fb ............v... + 1d750 0e0a0001 01010100 0000012f 686f6d65 .........../home + 1d760 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1d770 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 1d780 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 1d790 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 1d7a0 2f6c6962 632f6d61 6368696e 652f7874 /libc/machine/xt + 1d7b0 656e7361 00007374 72636d70 2e530001 ensa..strcmp.S.. + 1d7c0 00000000 0502008e 6424032c 01030309 ........d$.,.... + 1d7d0 00030103 01090003 01030109 00030103 ................ + 1d7e0 01090002 01030209 00030103 01090003 ................ + 1d7f0 01030209 00030103 01090003 01030309 ................ + 1d800 00030103 01090002 01030109 00030103 ................ + 1d810 01090002 01030109 00030103 01090003 ................ + 1d820 01030109 00030103 01090002 01030109 ................ + 1d830 00030103 01090003 01030109 00020103 ................ + 1d840 01090003 01030109 00030103 01090003 ................ + 1d850 01030109 00020103 01090003 01030109 ................ + 1d860 00030103 01090002 01031b09 00050103 ................ + 1d870 04090002 01030309 00030103 01090003 ................ + 1d880 01030109 00030103 01090002 01030109 ................ + 1d890 00030103 02090002 01030509 00030103 ................ + 1d8a0 01090003 01031d09 00040103 01090003 ................ + 1d8b0 01030909 00030103 03090003 01030109 ................ + 1d8c0 00030103 01090003 01030109 00030103 ................ + 1d8d0 01090003 01030109 00030103 03090003 ................ + 1d8e0 01030109 00030103 01090003 01030109 ................ + 1d8f0 00030103 01090003 01030109 00030103 ................ + 1d900 02090003 01030109 00030103 02090003 ................ + 1d910 01030409 00030103 01090003 01031909 ................ + 1d920 00030103 02090003 01030109 00030103 ................ + 1d930 01090003 01030109 00030103 01090003 ................ + 1d940 01030109 00030103 0f090003 01030109 ................ + 1d950 00020103 0d090002 01030309 00030103 ................ + 1d960 01090002 01030109 00020103 01090002 ................ + 1d970 01030109 00030103 01090003 01030109 ................ + 1d980 00030103 01090003 01030109 00030103 ................ + 1d990 13090002 01030109 00020103 06090003 ................ + 1d9a0 01030109 00030103 01090003 01030109 ................ + 1d9b0 00030103 01090002 01030209 00020103 ................ + 1d9c0 01090002 01030409 00020103 01090003 ................ + 1d9d0 01030109 00030103 01090003 01030109 ................ + 1d9e0 00030103 01090003 01030109 00030103 ................ + 1d9f0 01090003 01030109 00030103 08090003 ................ + 1da00 01030109 00030103 04090002 01030109 ................ + 1da10 00030103 01090003 01030109 00030109 ................ + 1da20 00020001 01000001 d6000200 00007601 ..............v. + 1da30 01fb0e0a 00010101 01000000 012f686f ............./ho + 1da40 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1da50 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1da60 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1da70 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1da80 69622f6c 6962632f 6d616368 696e652f ib/libc/machine/ + 1da90 7874656e 73610000 73747263 70792e53 xtensa..strcpy.S + 1daa0 00010000 00000502 008e653c 03220103 ..........e<.".. + 1dab0 03090003 01030109 00030103 01090003 ................ + 1dac0 01030109 00030103 01090003 01030109 ................ + 1dad0 00030103 01090003 01030409 00030103 ................ + 1dae0 01090002 01030209 00030103 03090004 ................ + 1daf0 01030109 00030103 01090002 01030109 ................ + 1db00 00030103 01090002 01030109 00020103 ................ + 1db10 03090003 01030209 00030103 01090003 ................ + 1db20 01030109 00020103 01090003 01030109 ................ + 1db30 00020103 01090003 01030109 00020103 ................ + 1db40 01090003 01031009 00030103 04090002 ................ + 1db50 01030109 00030103 01090002 01030109 ................ + 1db60 00020103 01090003 01030109 00030103 ................ + 1db70 01090003 01030109 00020103 01090003 ................ + 1db80 01031009 00020103 04090003 01030209 ................ + 1db90 00020103 01090003 01030409 00030103 ................ + 1dba0 02090003 01030109 00030103 04090002 ................ + 1dbb0 01030209 00030103 01090003 01030109 ................ + 1dbc0 00020103 01090003 01031309 00050103 ................ + 1dbd0 04090002 01030209 00030103 01090003 ................ + 1dbe0 01030109 00020103 01090003 01030209 ................ + 1dbf0 00020103 04090003 01090002 00010100 ................ + 1dc00 00016400 02000000 760101fb 0e0a0001 ..d.....v....... + 1dc10 01010100 0000012f 686f6d65 2f637573 ......./home/cus + 1dc20 746f6d65 722f7472 65652f52 422d3230 tomer/tree/RB-20 + 1dc30 30372e32 2f703472 6f6f742f 5874656e 07.2/p4root/Xten + 1dc40 73612f54 61726765 742d6c69 62732f6e sa/Target-libs/n + 1dc50 65776c69 622f6e65 776c6962 2f6c6962 ewlib/newlib/lib + 1dc60 632f6d61 6368696e 652f7874 656e7361 c/machine/xtensa + 1dc70 00007374 726c656e 2e530001 00000000 ..strlen.S...... + 1dc80 0502008e 65d40322 01030309 00030103 ....e.."........ + 1dc90 01090003 01030109 00030103 01090003 ................ + 1dca0 01030109 00030103 01090003 01030109 ................ + 1dcb0 00030103 01090003 01030309 00040103 ................ + 1dcc0 01090003 01030109 00020103 01090002 ................ + 1dcd0 01030309 00030103 01090002 01030109 ................ + 1dce0 00020103 01090003 01030309 00030103 ................ + 1dcf0 01090002 01030109 00030103 12090003 ................ + 1dd00 01030409 00020103 02090003 01030109 ................ + 1dd10 00020103 01090002 01030109 00030103 ................ + 1dd20 01090003 01030209 00030103 06090003 ................ + 1dd30 01030409 00020103 01090003 01030309 ................ + 1dd40 00020103 01090002 01030109 00030103 ................ + 1dd50 03090003 01030109 00020103 01090003 ................ + 1dd60 01090002 00010100 00031e00 02000002 ................ + 1dd70 ad0101fb 0e0a0001 01010100 0000012f .............../ + 1dd80 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1dd90 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 1dda0 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 1ddb0 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 1ddc0 776c6962 2f6c6962 632f7374 72696e67 wlib/libc/string + 1ddd0 002f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1dde0 74726565 2f52422d 32303037 2e322f70 tree/RB-2007.2/p + 1ddf0 34726f6f 742f5874 656e7361 2f546172 4root/Xtensa/Tar + 1de00 6765742d 6c696273 2f6e6577 6c69622f get-libs/newlib/ + 1de10 6e65776c 69622f6c 6962632f 696e636c newlib/libc/incl + 1de20 75646500 2f70726f 6a656374 2f637573 ude./project/cus + 1de30 742f6765 6e617070 2f52422d 32303037 t/genapp/RB-2007 + 1de40 2e322f62 75696c64 2f617468 65726f73 .2/build/atheros + 1de50 2f70726f 642f4d61 67706965 5f50302f /prod/Magpie_P0/ + 1de60 38333734 332f7862 75696c64 2f546172 83743/xbuild/Tar + 1de70 6765742d 6c696273 2f6e6577 6c69622f get-libs/newlib/ + 1de80 7874656e 73612d65 6c662f6e 65776c69 xtensa-elf/newli + 1de90 622f7461 72672d69 6e636c75 6465002f b/targ-include./ + 1dea0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1deb0 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 1dec0 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 1ded0 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 1dee0 776c6962 2f6c6962 632f696e 636c7564 wlib/libc/includ + 1def0 652f7379 73002f68 6f6d652f 63757374 e/sys./home/cust + 1df00 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1df10 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1df20 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1df30 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1df40 2f696e63 6c756465 2f6d6163 68696e65 /include/machine + 1df50 002f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1df60 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 1df70 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 1df80 2d6c696e 75782f6c 69622f78 63632d6c -linux/lib/xcc-l + 1df90 69622f69 6e636c75 64650000 7374726e ib/include..strn + 1dfa0 636d702e 63000100 00737472 696e672e cmp.c....string. + 1dfb0 68000200 005f616e 73692e68 00020000 h...._ansi.h.... + 1dfc0 6e65776c 69622e68 00030000 636f6e66 newlib.h....conf + 1dfd0 69672e68 00040000 69656565 66702e68 ig.h....ieeefp.h + 1dfe0 00050000 7265656e 742e6800 0400005f ....reent.h...._ + 1dff0 74797065 732e6800 0400006c 6f636b2e types.h....lock. + 1e000 68000400 00737464 6465662e 68000600 h....stddef.h... + 1e010 006c696d 6974732e 68000200 00000005 .limits.h....... + 1e020 02008e66 3803c100 01031209 00030103 ...f8........... + 1e030 04090003 01031709 00090103 04090011 ................ + 1e040 01030209 00060103 7a090008 01030909 ........z....... + 1e050 000c0103 0009000b 01036509 000b0103 ..........e..... + 1e060 0a090015 01037809 00070103 04090005 ......x......... + 1e070 01030409 000b0103 1109000a 01030009 ................ + 1e080 00040109 00040001 01000002 fd000200 ................ + 1e090 00007701 01fb0e0a 00010101 01000000 ..w............. + 1e0a0 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1e0b0 74726565 2f52422d 32303037 2e322f70 tree/RB-2007.2/p + 1e0c0 34726f6f 742f5874 656e7361 2f546172 4root/Xtensa/Tar + 1e0d0 6765742d 6c696273 2f6e6577 6c69622f get-libs/newlib/ + 1e0e0 6e65776c 69622f6c 6962632f 6d616368 newlib/libc/mach + 1e0f0 696e652f 7874656e 73610000 7374726e ine/xtensa..strn + 1e100 6370792e 53000100 00000005 02008e66 cpy.S..........f + 1e110 c8032201 03010900 03010301 09000201 .."............. + 1e120 03010900 03010301 09000201 03010900 ................ + 1e130 02010301 09000201 03010900 03010303 ................ + 1e140 09000301 03010900 03010301 09000201 ................ + 1e150 03010900 03010301 09000201 03010900 ................ + 1e160 02010301 09000201 03010900 03010301 ................ + 1e170 09000201 03010900 03010301 09000201 ................ + 1e180 03010900 02010301 09000201 03010900 ................ + 1e190 02010303 09000301 03070900 03010303 ................ + 1e1a0 09000301 03010900 02010302 09000301 ................ + 1e1b0 03010900 03010301 09000301 03010900 ................ + 1e1c0 03010301 09000301 03010900 03010304 ................ + 1e1d0 09000301 03010900 02010302 09000301 ................ + 1e1e0 03060900 03010301 09000201 03010900 ................ + 1e1f0 03010302 09000301 03050900 03010301 ................ + 1e200 09000501 03010900 03010301 09000201 ................ + 1e210 03020900 02010301 09000301 030b0900 ................ + 1e220 03010304 09000201 03010900 03010301 ................ + 1e230 09000201 03010900 03010302 09000301 ................ + 1e240 03030900 04010301 09000301 03010900 ................ + 1e250 02010301 09000301 03010900 02010303 ................ + 1e260 09000301 03010900 03010301 09000201 ................ + 1e270 03010900 03010301 09000301 03010900 ................ + 1e280 02010301 09000301 03010900 02010312 ................ + 1e290 09000501 03040900 02010301 09000301 ................ + 1e2a0 03010900 03010301 09000201 03010900 ................ + 1e2b0 02010301 09000301 03010900 03010301 ................ + 1e2c0 09000301 03010900 02010301 09000301 ................ + 1e2d0 03010900 02010311 09000301 03040900 ................ + 1e2e0 03010302 09000201 03010900 03010301 ................ + 1e2f0 09000201 03010900 02010304 09000501 ................ + 1e300 03020900 03010301 09000301 03010900 ................ + 1e310 03010301 09000201 03040900 05010302 ................ + 1e320 09000301 03010900 03010301 09000201 ................ + 1e330 03010900 03010301 09000301 03010900 ................ + 1e340 02010310 09000401 03040900 02010302 ................ + 1e350 09000301 03010900 03010301 09000201 ................ + 1e360 03010900 03010301 09000201 03010900 ................ + 1e370 02010302 09000201 03040900 03010302 ................ + 1e380 09000301 09000200 0101 .......... +Contents of section .xt.prop: + 0000 008e1000 0000001a 00020082 008e101a ................ + 0010 00000007 000200a2 008e1021 00000009 ...........!.... + 0020 000200a2 008e102a 00000000 00000008 .......*........ + 0030 004e8000 00000010 00002804 008e0c20 .N........(.... + 0040 00000006 00020082 008e0c26 00000000 ...........&.... + 0050 00000008 008e0f20 00000006 00020082 ....... ........ + 0060 008e0f26 00000000 00000008 008e0d40 ...&...........@ + 0070 00000006 00020082 008e0d46 00000000 ...........F.... + 0080 00000008 008e0000 00000003 00020082 ................ + 0090 008e0003 00000000 00002808 008e0004 ..........(..... + 00a0 00000018 00002801 008e0020 00000021 ......(.... ...! + 00b0 00000082 008e0041 00000000 00004808 .......A......H. + 00c0 008e0050 00000008 000001a2 008e0058 ...P...........X + 00d0 00000005 00000082 008e005d 00000015 ...........].... + 00e0 000000a2 008e0072 0000000a 000000a2 .......r........ + 00f0 008e007c 00000001 00000008 008e007d ...|...........} + 0100 00000017 000000a2 008e0094 0000000c ................ + 0110 000000a2 008e00a0 0000000e 000000a2 ................ + 0120 008e00ae 00000002 00000008 008e00b0 ................ + 0130 00000006 000000a2 008e00b6 00000009 ................ + 0140 000000a2 008e00bf 0000001f 00020082 ................ + 0150 004e8010 00000008 00002804 008e0e20 .N........(.... + 0160 00000017 00020082 008e0e37 00000000 ...........7.... + 0170 00000008 008e0ce4 00000003 00020082 ................ + 0180 008e0ce7 00000000 00000008 008e0800 ................ + 0190 0000000f 00020182 008e080f 00000000 ................ + 01a0 00000108 008e0840 0000000f 00020182 .......@........ + 01b0 008e084f 00000000 00000108 008e0880 ...O............ + 01c0 0000001e 00020182 008e089e 00000000 ................ + 01d0 00000108 008e08c0 0000001e 00020182 ................ + 01e0 008e08de 00000000 00000108 008e0900 ................ + 01f0 0000002a 00020182 008e092a 00000000 ...*.......*.... + 0200 00000108 008e0940 0000002a 00020082 .......@...*.... + 0210 008e096a 00000000 00000008 008e0a20 ...j........... + 0220 00000006 00020082 008e0a26 00000000 ...........&.... + 0230 00000008 008e0b20 00000006 00020082 ....... ........ + 0240 008e0b26 00000000 00000008 008e102c ...&..........., + 0250 0000001c 00020082 008e1048 0000002a ...........H...* + 0260 000200a2 008e1072 00000000 00002808 .......r......(. + 0270 008e1074 00000006 00022982 008e107c ...t......)....| + 0280 00000034 00022982 008e10b0 00000002 ...4..)......... + 0290 00020182 008e10b2 0000000b 000200a2 ................ + 02a0 008e10bd 00000023 000200a2 008e10e0 .......#........ + 02b0 00000023 000200a2 008e1103 00000000 ...#............ + 02c0 00000008 008e1104 00000018 00020082 ................ + 02d0 008e111c 00000027 000200a2 008e1143 .......'.......C + 02e0 00000006 00020182 008e1149 00000007 ...........I.... + 02f0 00020082 008e1150 00000000 00000008 .......P........ + 0300 008e1150 00000014 00020082 008e1164 ...P...........d + 0310 00000000 00002808 008e1164 00000007 ......(....d.... + 0320 00020082 008e116b 00000000 00000008 .......k........ + 0330 008e116b 00000008 000200a2 008e1173 ...k...........s + 0340 00000000 00000008 004e8020 00000004 .........N. .... + 0350 00002804 008e1174 0000001e 00020082 ..(....t........ + 0360 008e1192 00000003 00020182 008e1195 ................ + 0370 0000004d 00020082 008e11e2 00000000 ...M............ + 0380 00000008 004e8028 0000000c 00002804 .....N.(......(. + 0390 008e11e4 00000034 00020082 008e1218 .......4........ + 03a0 00000000 00000008 008e1218 00000010 ................ + 03b0 000200a2 008e1228 00000000 00000008 .......(........ + 03c0 008e1228 00000004 000200a2 008e122c ...(..........., + 03d0 00000000 00000008 008e122c 00000004 ...........,.... + 03e0 000200a2 008e1230 00000000 00002808 .......0......(. + 03f0 008e1230 00000010 00020082 008e1240 ...0...........@ + 0400 00000000 00000008 004e8034 0000000c .........N.4.... + 0410 00002804 008e1240 0000001a 00020082 ..(....@........ + 0420 008e125a 00000003 00020182 008e125d ...Z...........] + 0430 00000015 00020082 008e1272 00000003 ...........r.... + 0440 00020182 008e1275 00000013 00020082 .......u........ + 0450 008e1288 00000037 000200a2 008e12bf .......7........ + 0460 00000003 00020182 008e12c2 00000012 ................ + 0470 00020082 008e12d4 00000014 000200a2 ................ + 0480 008e12e8 00000000 00000008 008e12e8 ................ + 0490 00000009 00020082 008e12f1 00000000 ................ + 04a0 00000008 004e8040 00000008 00002804 .....N.@......(. + 04b0 008e12f4 0000001a 00020082 008e130e ................ + 04c0 00000003 00020182 008e1311 00000015 ................ + 04d0 00020082 008e1326 00000003 00020182 .......&........ + 04e0 008e1329 00000013 00020082 008e133c ...)...........< + 04f0 00000037 000200a2 008e1373 00000003 ...7.......s.... + 0500 00020182 008e1376 00000012 00020082 .......v........ + 0510 008e1388 00000011 000200a2 008e1399 ................ + 0520 00000000 00000008 008e1399 00000009 ................ + 0530 00020082 008e13a2 00000000 00000008 ................ + 0540 004e8048 00000008 00002804 008e67e4 .N.H......(...g. + 0550 00000008 00020082 008e67ec 00000000 ..........g..... + 0560 00000008 00500504 00000004 00003804 .....P........8. + 0570 008e67ec 0000000d 00020082 008e67f9 ..g...........g. + 0580 00000000 00000008 008e67fc 00000018 ..........g..... + 0590 00020082 008e6814 00000000 00000008 ......h......... + 05a0 008e13a4 0000001d 00020082 008e13c1 ................ + 05b0 0000001a 000200a2 008e13db 0000001c ................ + 05c0 000200a2 008e13f7 00000000 00002808 ..............(. + 05d0 008e13f8 00000030 00020082 008e1428 .......0.......( + 05e0 00000000 00002808 008e1428 00000015 ......(....(.... + 05f0 00020082 008e143d 00000010 000200a2 .......=........ + 0600 008e144d 00000000 00000008 008e144d ...M...........M + 0610 00000004 000200a2 008e1451 00000000 ...........Q.... + 0620 00002808 008e1454 0000000b 00020082 ..(....T........ + 0630 008e145f 00000000 00002808 008e1460 ..._......(....` + 0640 00000008 00020082 008e1468 00000000 ...........h.... + 0650 00002808 008e1468 00000008 00020082 ..(....h........ + 0660 008e1470 00000002 000200a2 008e1472 ...p...........r + 0670 00000000 00002808 008e1474 00000055 ......(....t...U + 0680 00020082 008e14c9 00000009 000200b2 ................ + 0690 008e14d2 00000000 00002808 008e14d4 ..........(..... + 06a0 00000071 00020082 008e1545 00000000 ...q.......E.... + 06b0 00002808 008e1548 00000016 00020082 ..(....H........ + 06c0 008e155e 00000007 000200a2 008e1565 ...^...........e + 06d0 00000000 00000008 008e1565 00000005 ...........e.... + 06e0 000200a2 008e156a 00000002 000200a2 .......j........ + 06f0 008e156c 00000000 00000008 008e156c ...l...........l + 0700 00000007 000200a2 008e1573 00000000 ...........s.... + 0710 00002808 008e1574 00000019 00020082 ..(....t........ + 0720 008e158d 00000003 00020092 008e1590 ................ + 0730 00000006 000200a2 008e1596 0000006d ...............m + 0740 000200a2 008e1603 00000000 00002808 ..............(. + 0750 008e1604 00000010 00020082 008e1614 ................ + 0760 00000000 00002808 008e1614 00000024 ......(........$ + 0770 00020082 008e1638 00000042 000200a2 .......8...B.... + 0780 008e167a 00000000 00002808 008e167c ...z......(....| + 0790 00000032 00020082 008e16ae 00000000 ...2............ + 07a0 00002808 008e16b0 00000036 00020082 ..(........6.... + 07b0 008e16e6 00000000 00002808 008e16e8 ..........(..... + 07c0 000000a1 00020082 008e1789 00000002 ................ + 07d0 000200a2 008e178b 00000000 00002808 ..............(. + 07e0 008e178c 000000c2 00020082 008e184e ...............N + 07f0 00000000 00000008 008e184e 00000010 ...........N.... + 0800 000200a2 008e185e 00000000 00002808 .......^......(. + 0810 008e1860 0000003b 00020082 008e189b ...`...;........ + 0820 00000000 00002808 008e189c 0000002c ......(........, + 0830 00020082 008e18c8 00000000 00002808 ..............(. + 0840 008e18c8 00000033 00020082 008e18fb .......3........ + 0850 00000000 00002808 008e18fc 00000094 ......(......... + 0860 00020082 008e1990 0000002c 000200a2 ...........,.... + 0870 008e19bc 00000000 00000008 008e19bc ................ + 0880 00000023 000200a2 008e19df 00000000 ...#............ + 0890 00000008 008e19df 00000015 000200a2 ................ + 08a0 008e19f4 00000000 00000008 008e19f4 ................ + 08b0 0000001a 00020082 008e1a0e 00000029 ...............) + 08c0 000200a2 008e1a37 00000000 00000008 .......7........ + 08d0 008e1a37 0000000c 000200a2 008e1a43 ...7...........C + 08e0 00000000 00000008 008e1a43 00000050 ...........C...P + 08f0 000200a2 008e1a93 0000001f 000200a2 ................ + 0900 008e1ab2 00000000 00000008 008e1ab2 ................ + 0910 00000059 000200a2 008e1b0b 00000000 ...Y............ + 0920 00000008 008e1b0b 00000036 000200a2 ...........6.... + 0930 008e1b41 00000000 00000008 008e1b41 ...A...........A + 0940 00000036 000200a2 008e1b77 00000002 ...6.......w.... + 0950 000200a2 008e1b79 00000000 00000008 .......y........ + 0960 008e1b79 00000023 000200a2 008e1b9c ...y...#........ + 0970 00000000 00000008 008e1b9c 0000000f ................ + 0980 00020082 008e1bab 00000000 00002808 ..............(. + 0990 008e1bac 0000001e 00020082 008e1bca ................ + 09a0 00000000 00000008 004e8730 00000000 .........N.0.... + 09b0 00004804 004e8050 0000010c 00002804 ..H..N.P......(. + 09c0 008e1bcc 00000010 00020082 008e1bdc ................ + 09d0 00000000 00002808 008e1bdc 00000021 ......(........! + 09e0 00020082 008e1bfd 00000000 00000008 ................ + 09f0 008e1bfd 0000000f 000200a2 008e1c0c ................ + 0a00 00000000 00002808 008e1c0c 00000021 ......(........! + 0a10 00020082 008e1c2d 00000000 00002808 .......-......(. + 0a20 008e1c30 00000014 00020082 008e1c44 ...0...........D + 0a30 00000000 00000008 004e815c 00000018 .........N.\.... + 0a40 00002804 008e1c44 00000015 00020082 ..(....D........ + 0a50 008e1c59 0000000b 000200a2 008e1c64 ...Y...........d + 0a60 00000000 00002808 008e1c64 0000000d ......(....d.... + 0a70 00020082 008e1c71 00000000 00002808 .......q......(. + 0a80 008e1c74 0000000c 00020082 008e1c80 ...t............ + 0a90 00000000 00002808 008e1c80 00000005 ......(......... + 0aa0 00020082 008e1c85 00000000 00002808 ..............(. + 0ab0 008e1c88 00000005 00020082 008e1c8d ................ + 0ac0 00000000 00002808 008e1c90 00000005 ......(......... + 0ad0 00020082 008e1c95 00000000 00002808 ..............(. + 0ae0 008e1c98 00000005 00020082 008e1c9d ................ + 0af0 00000000 00002808 008e1ca0 00000005 ......(......... + 0b00 00020082 008e1ca5 00000000 00002808 ..............(. + 0b10 008e1ca8 00000023 00020082 008e1ccb .......#........ + 0b20 00000003 000200a2 008e1cce 0000000d ................ + 0b30 000200b2 008e1cdb 00000000 00002808 ..............(. + 0b40 008e1cdc 00000026 00020082 008e1d02 .......&........ + 0b50 00000000 00002808 008e1d04 00000032 ......(........2 + 0b60 00020082 008e1d36 00000000 00000008 .......6........ + 0b70 004e8178 00000034 00002804 008e1d38 .N.x...4..(....8 + 0b80 00000047 00020082 008e1d7f 00000010 ...G............ + 0b90 000200a2 008e1d8f 00000000 00002808 ..............(. + 0ba0 008e1d90 00000018 00020082 008e1da8 ................ + 0bb0 00000013 000200a2 008e1dbb 00000000 ................ + 0bc0 00002808 008e1dbc 00000023 00020082 ..(........#.... + 0bd0 008e1ddf 00000000 00000008 008e1ddf ................ + 0be0 00000002 000200a2 008e1de1 00000007 ................ + 0bf0 000200a2 008e1de8 00000000 00000008 ................ + 0c00 008e1de8 00000004 000200a2 008e1dec ................ + 0c10 00000000 00000008 008e1dec 00000007 ................ + 0c20 000200a2 008e1df3 00000000 00002808 ..............(. + 0c30 008e1df4 0000001e 00020082 008e1e12 ................ + 0c40 00000014 000200a2 008e1e26 00000010 ...........&.... + 0c50 000200a2 008e1e36 00000004 000200a2 .......6........ + 0c60 008e1e3a 00000000 00000008 008e1e3a ...:...........: + 0c70 0000000d 000200a2 008e1e47 00000000 ...........G.... + 0c80 00000008 008e1e47 0000000d 000200a2 .......G........ + 0c90 008e1e54 00000000 00002808 008e1e54 ...T......(....T + 0ca0 00000019 00020082 008e1e6d 00000014 ...........m.... + 0cb0 000200a2 008e1e81 00000004 000200a2 ................ + 0cc0 008e1e85 00000000 00000008 008e1e85 ................ + 0cd0 00000004 000200a2 008e1e89 00000000 ................ + 0ce0 00000008 008e1e89 00000010 000200a2 ................ + 0cf0 008e1e99 00000000 00002808 008e1e9c ..........(..... + 0d00 0000007a 00020082 008e1f16 00000000 ...z............ + 0d10 00000008 008e1f16 00000137 000200a2 ...........7.... + 0d20 008e204d 00000000 00000008 008e204d .. M.......... M + 0d30 0000001c 000200a2 008e2069 00000000 .......... i.... + 0d40 00000008 008e2069 00000059 000200a2 ...... i...Y.... + 0d50 008e20c2 00000020 000200a2 008e20e2 .. .... ...... . + 0d60 00000000 00000008 008e20e2 00000011 .......... ..... + 0d70 000200a2 008e20f3 00000000 00000008 ...... ......... + 0d80 008e20f3 0000000e 000200a2 008e2101 .. ...........!. + 0d90 00000000 00000008 008e2101 00000002 ..........!..... + 0da0 000200a2 008e2103 00000000 00002808 ......!.......(. + 0db0 008e2104 00000019 00020082 008e211d ..!...........!. + 0dc0 00000000 00000008 004e81b0 0000004c .........N.....L + 0dd0 00002804 008e2120 00000005 00020082 ..(...! ........ + 0de0 008e2125 00000000 00002808 008e2128 ..!%......(...!( + 0df0 00000019 00020082 008e2141 0000000d ..........!A.... + 0e00 00020092 008e214e 00000000 00002808 ......!N......(. + 0e10 008e2150 0000003e 00020082 008e218e ..!P...>......!. + 0e20 00000000 00002808 008e2190 00000039 ......(...!....9 + 0e30 00020082 008e21c9 00000000 00002808 ......!.......(. + 0e40 008e21cc 0000000e 00020082 008e21da ..!...........!. + 0e50 0000001d 000200a2 008e21f7 00000039 ..........!....9 + 0e60 000200a2 008e2230 00000000 00002808 ......"0......(. + 0e70 008e2230 0000000e 00020082 008e223e .."0.........."> + 0e80 0000002d 000200a2 008e226b 00000024 ...-......"k...$ + 0e90 000200a2 008e228f 00000000 00002808 ......".......(. + 0ea0 008e2290 0000001e 00020082 008e22ae .."...........". + 0eb0 00000000 00000008 004e8200 00000028 .........N.....( + 0ec0 00002804 008e22b0 00000005 00020082 ..(..."......... + 0ed0 008e22b5 00000000 00002808 008e22b8 ..".......(...". + 0ee0 0000001e 00020082 008e22d6 00000000 .........."..... + 0ef0 00000008 004e822c 00000010 00002804 .....N.,......(. + 0f00 008e22d8 00000011 00020082 008e22e9 .."...........". + 0f10 00000000 00002808 008e22ec 00000005 ......(..."..... + 0f20 00020082 008e22f1 00000000 00002808 ......".......(. + 0f30 008e22f4 00000023 00020082 008e2317 .."....#......#. + 0f40 00000000 00000008 008e2317 0000002e ..........#..... + 0f50 000200a2 008e2345 00000000 00002808 ......#E......(. + 0f60 008e2348 00000041 00020082 008e2389 ..#H...A......#. + 0f70 0000000b 000200a2 008e2394 0000001b ..........#..... + 0f80 000200a2 008e23af 00000005 000200a2 ......#......... + 0f90 008e23b4 00000000 00002808 008e23b4 ..#.......(...#. + 0fa0 00000005 00020082 008e23b9 00000000 ..........#..... + 0fb0 00002808 008e23bc 00000005 00020082 ..(...#......... + 0fc0 008e23c1 00000000 00002808 008e23c4 ..#.......(...#. + 0fd0 00000014 00020082 008e23d8 00000000 ..........#..... + 0fe0 00000008 008e23d8 00000014 000200a2 ......#......... + 0ff0 008e23ec 00000005 000200a2 008e23f1 ..#...........#. + 1000 00000000 00002808 008e23f4 0000001b ......(...#..... + 1010 00020082 008e240f 00000000 00000008 ......$......... + 1020 008e240f 00000004 000200a2 008e2413 ..$...........$. + 1030 00000000 00002808 008e2414 0000000b ......(...$..... + 1040 00020082 008e241f 00000000 00002808 ......$.......(. + 1050 008e2420 0000002d 00020082 008e244d ..$ ...-......$M + 1060 00000000 00000008 005006fc 00000000 .........P...... + 1070 00002804 004e8240 0000004c 00002804 ..(..N.@...L..(. + 1080 008e2450 0000001b 00020082 008e246b ..$P..........$k + 1090 00000000 00000008 008e246b 0000000a ..........$k.... + 10a0 000200a2 008e2475 00000005 000200a2 ......$u........ + 10b0 008e247a 00000000 00002808 008e247c ..$z......(...$| + 10c0 00000011 00020082 008e248d 00000000 ..........$..... + 10d0 00000008 008e248d 00000002 000200a2 ......$......... + 10e0 008e248f 00000037 000200a2 008e24c6 ..$....7......$. + 10f0 00000003 00020092 008e24c9 00000000 ..........$..... + 1100 00000008 008e24c9 00000007 000200a2 ......$......... + 1110 008e24d0 00000000 00002808 008e24d0 ..$.......(...$. + 1120 0000001b 00020082 008e24eb 00000029 ..........$....) + 1130 000200a2 008e2514 00000000 00000008 ......%......... + 1140 008e2514 00000008 000200a2 008e251c ..%...........%. + 1150 00000000 00000008 008e251c 00000007 ..........%..... + 1160 000200a2 008e2523 00000018 000200a2 ......%#........ + 1170 008e253b 00000000 00000008 008e253b ..%;..........%; + 1180 00000015 000200a2 008e2550 00000000 ..........%P.... + 1190 00000008 008e2550 00000011 000200a2 ......%P........ + 11a0 008e2561 00000020 000200a2 008e2581 ..%a... ......%. + 11b0 00000000 00000008 008e2581 00000020 ..........%.... + 11c0 000200a2 008e25a1 0000000e 000200a2 ......%......... + 11d0 008e25af 0000001c 000200a2 008e25cb ..%...........%. + 11e0 00000000 00000008 008e25cb 0000004f ..........%....O + 11f0 000200a2 008e261a 00000008 000200a2 ......&......... + 1200 008e2622 00000000 00000008 008e2622 ..&"..........&" + 1210 00000007 000200a2 008e2629 0000001b ..........&).... + 1220 000200a2 008e2644 00000041 000200a2 ......&D...A.... + 1230 008e2685 0000002d 000200a2 008e26b2 ..&....-......&. + 1240 00000011 000200a2 008e26c3 00000000 ..........&..... + 1250 00000008 008e26c3 00000002 000200a2 ......&......... + 1260 008e26c5 0000001a 000200a2 008e26df ..&...........&. + 1270 00000000 00000008 008e26df 00000020 ..........&.... + 1280 000200a2 008e26ff 00000000 00000008 ......&......... + 1290 008e26ff 00000010 000200a2 008e270f ..&...........'. + 12a0 0000002e 000200a2 008e273d 00000025 ..........'=...% + 12b0 000200b2 008e2762 00000008 000200a2 ......'b........ + 12c0 008e276a 00000000 00000008 008e276a ..'j..........'j + 12d0 0000000d 000200a2 008e2777 00000000 ..........'w.... + 12e0 00000008 008e2777 00000016 000200a2 ......'w........ + 12f0 008e278d 0000000e 000200a2 008e279b ..'...........'. + 1300 00000005 000200a2 008e27a0 00000000 ..........'..... + 1310 00000008 008e27a0 00000027 000200a2 ......'....'.... + 1320 008e27c7 00000000 00000008 008e27c7 ..'...........'. + 1330 00000005 000200a2 008e27cc 00000000 ..........'..... + 1340 00000008 008e27cc 00000019 000200a2 ......'......... + 1350 008e27e5 00000000 00000008 008e27e5 ..'...........'. + 1360 0000002b 000200a2 008e2810 00000000 ...+......(..... + 1370 00000008 008e2810 00000005 000200a2 ......(......... + 1380 008e2815 00000014 000200a2 008e2829 ..(...........() + 1390 00000000 00000008 008e2829 00000006 ..........().... + 13a0 000200a2 008e282f 0000000f 000200a2 ......(/........ + 13b0 008e283e 00000000 00000008 008e283e ..(>..........(> + 13c0 0000000d 000200a2 008e284b 00000000 ..........(K.... + 13d0 00000008 008e284b 00000013 000200a2 ......(K........ + 13e0 008e285e 00000000 00000008 008e285e ..(^..........(^ + 13f0 00000005 000200a2 008e2863 00000008 ..........(c.... + 1400 000200a2 008e286b 00000000 00000008 ......(k........ + 1410 008e286b 00000007 000200a2 008e2872 ..(k..........(r + 1420 00000019 000200a2 008e288b 0000000c ..........(..... + 1430 000200a2 008e2897 0000000d 000200a2 ......(......... + 1440 008e28a4 00000000 00000008 008e28a4 ..(...........(. + 1450 00000005 000200a2 008e28a9 00000017 ..........(..... + 1460 000200a2 008e28c0 00000000 00000008 ......(......... + 1470 008e28c0 00000002 000200a2 008e28c2 ..(...........(. + 1480 00000000 00000008 008e28c2 00000011 ..........(..... + 1490 000200a2 008e28d3 00000000 00000008 ......(......... + 14a0 008e28d3 00000009 000200a2 008e28dc ..(...........(. + 14b0 00000000 00000008 008e28dc 00000014 ..........(..... + 14c0 000200a2 008e28f0 00000000 00000008 ......(......... + 14d0 008e28f0 00000007 000200a2 008e28f7 ..(...........(. + 14e0 00000008 000200a2 008e28ff 00000000 ..........(..... + 14f0 00000008 008e28ff 00000011 000200a2 ......(......... + 1500 008e2910 00000000 00000008 008e2910 ..)...........). + 1510 00000005 000200a2 008e2915 00000000 ..........)..... + 1520 00002808 008e2918 00000033 00020082 ..(...)....3.... + 1530 008e294b 00000000 00002808 008e294c ..)K......(...)L + 1540 00000005 00020082 008e2951 00000000 ..........)Q.... + 1550 00002808 008e2954 0000000f 00020082 ..(...)T........ + 1560 008e2963 00000000 00000008 004e8290 ..)c.........N.. + 1570 00000018 00002804 008e2964 00000018 ......(...)d.... + 1580 00020082 008e297c 00000000 00002808 ......)|......(. + 1590 008e297c 00000022 00020082 008e299e ..)|..."......). + 15a0 00000000 00000008 008e299e 0000001c ..........)..... + 15b0 000200a2 008e29ba 00000027 000200a2 ......)....'.... + 15c0 008e29e1 00000004 000200a2 008e29e5 ..)...........). + 15d0 00000000 00002808 008e29e8 0000001f ......(...)..... + 15e0 00020082 008e2a07 00000003 00020092 ......*......... + 15f0 008e2a0a 00000000 00000008 008e2a0a ..*...........*. + 1600 00000016 000200a2 008e2a20 00000000 ..........* .... + 1610 00000008 008e2a20 00000004 000200a2 ......* ........ + 1620 008e2a24 00000000 00002808 008e2a24 ..*$......(...*$ + 1630 0000000d 00020082 008e2a31 00000000 ..........*1.... + 1640 00002808 008e2a34 00000053 00020082 ..(...*4...S.... + 1650 008e2a87 00000004 000200a2 008e2a8b ..*...........*. + 1660 00000000 00000008 008e2a8b 0000000a ..........*..... + 1670 000200a2 008e2a95 00000000 00002808 ......*.......(. + 1680 008e2a98 00000005 00020082 008e2a9d ..*...........*. + 1690 00000000 00002808 008e2aa0 00000019 ......(...*..... + 16a0 00020082 008e2ab9 00000000 00000008 ......*......... + 16b0 004e82ac 00000030 00002804 008e2abc .N.....0..(...*. + 16c0 00000005 00020082 008e2ac1 00000000 ..........*..... + 16d0 00002808 008e2ac4 00000023 00020082 ..(...*....#.... + 16e0 008e2ae7 00000000 00000008 004e82e0 ..*..........N.. + 16f0 00000014 00002804 008e2ae8 0000000c ......(...*..... + 1700 00020082 008e2af4 00000000 00002808 ......*.......(. + 1710 008e2af4 0000000f 00020082 008e2b03 ..*...........+. + 1720 00000000 00002808 008e2b04 00000017 ......(...+..... + 1730 00020082 008e2b1b 00000002 000200a2 ......+......... + 1740 008e2b1d 00000000 00002808 008e2b20 ..+.......(...+ + 1750 00000013 00020082 008e2b33 00000002 ..........+3.... + 1760 000200a2 008e2b35 00000000 00000008 ......+5........ + 1770 008e2b35 0000000a 000200a2 008e2b3f ..+5..........+? + 1780 00000000 00000008 008e2b3f 0000000a ..........+?.... + 1790 000200a2 008e2b49 00000000 00000008 ......+I........ + 17a0 008e2b49 00000008 000200a2 008e2b51 ..+I..........+Q + 17b0 00000000 00002808 008e2b54 0000000e ......(...+T.... + 17c0 00020082 008e2b62 00000016 000200a2 ......+b........ + 17d0 008e2b78 00000004 000200a2 008e2b7c ..+x..........+| + 17e0 00000000 00002808 008e2b7c 0000001e ......(...+|.... + 17f0 00020082 008e2b9a 00000000 00000008 ......+......... + 1800 004e82f8 00000014 00002804 008e2b9c .N........(...+. + 1810 0000000f 00020082 008e2bab 00000000 ..........+..... + 1820 00002808 008e2bac 00000017 00020082 ..(...+......... + 1830 008e2bc3 00000012 000200a2 008e2bd5 ..+...........+. + 1840 00000000 00000008 008e2bd5 0000000a ..........+..... + 1850 000200a2 008e2bdf 0000000a 000200a2 ......+......... + 1860 008e2be9 00000000 00000008 008e2be9 ..+...........+. + 1870 00000005 000200a2 008e2bee 00000000 ..........+..... + 1880 00002808 008e2bf0 0000000e 00020082 ..(...+......... + 1890 008e2bfe 0000000d 000200a2 008e2c0b ..+...........,. + 18a0 00000000 00000008 008e2c0b 00000002 ..........,..... + 18b0 000200a2 008e2c0d 00000008 000200a2 ......,......... + 18c0 008e2c15 00000006 000200a2 008e2c1b ..,...........,. + 18d0 00000000 00000008 008e2c1b 00000005 ..........,..... + 18e0 000200a2 008e2c20 00000000 00002808 ......, ......(. + 18f0 008e2c20 0000000c 00020082 008e2c2c .., ..........,, + 1900 00000000 00002808 008e2c2c 0000002b ......(...,,...+ + 1910 00020082 008e2c57 00000000 00000008 ......,W........ + 1920 008e2c57 00000002 000200a2 008e2c59 ..,W..........,Y + 1930 00000000 00002808 008e2c5c 0000001e ......(...,\.... + 1940 00020082 008e2c7a 00000000 00000008 ......,z........ + 1950 004e8310 00000018 00002804 008e2c7c .N........(...,| + 1960 00000027 00020082 008e2ca3 00000000 ...'......,..... + 1970 00000008 008e2ca3 00000004 000200a2 ......,......... + 1980 008e2ca7 00000000 00002808 008e2ca8 ..,.......(...,. + 1990 0000001a 00020082 008e2cc2 00000000 ..........,..... + 19a0 00002808 008e2cc4 0000001c 00020082 ..(...,......... + 19b0 008e2ce0 00000000 00000008 008e2ce0 ..,...........,. + 19c0 00000004 000200a2 008e2ce4 00000008 ..........,..... + 19d0 000200a2 008e2cec 00000000 00002808 ......,.......(. + 19e0 008e2cec 0000001e 00020082 008e2d0a ..,...........-. + 19f0 00000000 00002808 008e2d0c 0000001c ......(...-..... + 1a00 00020082 008e2d28 00000000 00002808 ......-(......(. + 1a10 008e2d28 00000028 00020082 008e2d50 ..-(...(......-P + 1a20 00000000 00002808 008e2d50 00000010 ......(...-P.... + 1a30 00020082 008e2d60 00000008 000200a2 ......-`........ + 1a40 008e2d68 00000000 00002808 008e2d68 ..-h......(...-h + 1a50 00000028 00020082 008e2d90 00000000 ...(......-..... + 1a60 00000008 004e832c 00000024 00002804 .....N.,...$..(. + 1a70 008e2d90 0000003e 00020082 008e2dce ..-....>......-. + 1a80 00000000 00002808 008e2dd0 00000005 ......(...-..... + 1a90 00020082 008e2dd5 00000000 00002808 ......-.......(. + 1aa0 008e2dd8 00000007 00020082 008e2ddf ..-...........-. + 1ab0 00000000 00002808 008e2de0 00000015 ......(...-..... + 1ac0 00020082 008e2df5 00000015 000200b2 ......-......... + 1ad0 008e2e0a 00000002 000200b2 008e2e0c ................ + 1ae0 00000000 00002808 008e2e0c 00000015 ......(......... + 1af0 00020082 008e2e21 00000015 000200b2 .......!........ + 1b00 008e2e36 00000002 000200b2 008e2e38 ...6...........8 + 1b10 00000000 00002808 008e2e38 00000013 ......(....8.... + 1b20 00020082 008e2e4b 00000000 00000008 .......K........ + 1b30 008e2e4b 0000000d 000200a2 008e2e58 ...K...........X + 1b40 00000000 00002808 008e2e58 00000005 ......(....X.... + 1b50 00020082 008e2e5d 00000000 00002808 .......]......(. + 1b60 008e2e60 0000000a 00020082 008e2e6a ...`...........j + 1b70 00000000 00002808 008e2e6c 00000010 ......(....l.... + 1b80 00020082 008e2e7c 0000000b 000200a2 .......|........ + 1b90 008e2e87 00000002 000200a2 008e2e89 ................ + 1ba0 00000000 00002808 008e2e8c 00000025 ......(........% + 1bb0 00020082 008e2eb1 00000000 00000008 ................ + 1bc0 008e2eb1 00000002 000200a2 008e2eb3 ................ + 1bd0 00000000 00002808 008e2eb4 00000040 ......(........@ + 1be0 00020082 008e2ef4 00000000 00002808 ..............(. + 1bf0 008e2ef4 00000037 00020082 008e2f2b .......7....../+ + 1c00 00000000 00000008 004e8354 00000030 .........N.T...0 + 1c10 00002804 008e2f2c 0000000a 00020082 ..(.../,........ + 1c20 008e2f36 00000000 00002808 008e2f38 ../6......(.../8 + 1c30 0000000a 00020082 008e2f42 00000000 ........../B.... + 1c40 00002808 008e2f44 00000017 00020082 ..(.../D........ + 1c50 008e2f5b 00000000 00000008 008e2f5b ../[........../[ + 1c60 00000004 000200a2 008e2f5f 00000000 ........../_.... + 1c70 00000008 008e2f5f 00000004 000200a2 ....../_........ + 1c80 008e2f63 00000000 00000008 008e2f63 ../c........../c + 1c90 00000004 000200a2 008e2f67 00000000 ........../g.... + 1ca0 00000008 008e2f67 00000004 000200a2 ....../g........ + 1cb0 008e2f6b 00000000 00002808 008e2f6c ../k......(.../l + 1cc0 00000010 00020082 008e2f7c 00000000 ........../|.... + 1cd0 00000008 008e2f7c 00000004 000200a2 ....../|........ + 1ce0 008e2f80 00000000 00002808 008e2f80 ../.......(.../. + 1cf0 00000017 00020082 008e2f97 00000000 ........../..... + 1d00 00000008 008e2f97 00000004 000200a2 ....../......... + 1d10 008e2f9b 00000000 00000008 008e2f9b ../.........../. + 1d20 00000004 000200a2 008e2f9f 00000000 ........../..... + 1d30 00000008 008e2f9f 00000004 000200a2 ....../......... + 1d40 008e2fa3 00000000 00002808 008e2fa4 ../.......(.../. + 1d50 00000016 00020082 008e2fba 00000000 ........../..... + 1d60 00002808 008e2fbc 0000000c 00020082 ..(.../......... + 1d70 008e2fc8 000000a8 000200a2 008e3070 ../...........0p + 1d80 00000000 00002808 008e3070 00000035 ......(...0p...5 + 1d90 00020082 008e30a5 00000000 00002808 ......0.......(. + 1da0 008e30a8 00000053 00020082 008e30fb ..0....S......0. + 1db0 00000000 00002808 008e30fc 0000001e ......(...0..... + 1dc0 00020082 008e311a 00000000 00000008 ......1......... + 1dd0 008e311a 0000001c 000200a2 008e3136 ..1...........16 + 1de0 00000000 00002808 008e3138 00000005 ......(...18.... + 1df0 00020082 008e313d 00000000 00002808 ......1=......(. + 1e00 008e3140 00000014 00020082 008e3154 ..1@..........1T + 1e10 00000000 00002808 008e3154 00000021 ......(...1T...! + 1e20 00020082 008e3175 00000000 00000008 ......1u........ + 1e30 008e3175 0000000b 000200a2 008e3180 ..1u..........1. + 1e40 00000000 00002808 008e3180 0000001d ......(...1..... + 1e50 00020082 008e319d 00000000 00000008 ......1......... + 1e60 008e319d 0000000b 000200a2 008e31a8 ..1...........1. + 1e70 00000000 00002808 008e31a8 0000000c ......(...1..... + 1e80 00020082 008e31b4 00000000 00000008 ......1......... + 1e90 008e31b4 00000018 000200a2 008e31cc ..1...........1. + 1ea0 00000000 00000008 008e31cc 00000018 ..........1..... + 1eb0 000200a2 008e31e4 00000000 00000008 ......1......... + 1ec0 008e31e4 00000018 000200a2 008e31fc ..1...........1. + 1ed0 00000000 00000008 008e31fc 00000015 ..........1..... + 1ee0 000200a2 008e3211 00000019 000200a2 ......2......... + 1ef0 008e322a 00000000 00000008 008e322a ..2*..........2* + 1f00 00000018 000200a2 008e3242 00000005 ..........2B.... + 1f10 000200a2 008e3247 00000000 00002808 ......2G......(. + 1f20 008e3248 0000001e 00020082 008e3266 ..2H..........2f + 1f30 00000000 00000008 008e3266 0000000c ..........2f.... + 1f40 000200a2 008e3272 00000000 00002808 ......2r......(. + 1f50 008e3274 00000016 00020082 008e328a ..2t..........2. + 1f60 00000005 000200a2 008e328f 00000000 ..........2..... + 1f70 00002808 008e3290 0000000a 00020082 ..(...2......... + 1f80 008e329a 00000000 00000008 008e329a ..2...........2. + 1f90 00000004 000200a2 008e329e 00000000 ..........2..... + 1fa0 00002808 008e32a0 0000000e 00020082 ..(...2......... + 1fb0 008e32ae 00000000 00002808 008e32b0 ..2.......(...2. + 1fc0 00000007 00020082 008e32b7 00000000 ..........2..... + 1fd0 00002808 008e32b8 00000005 00020082 ..(...2......... + 1fe0 008e32bd 00000000 00002808 008e32c0 ..2.......(...2. + 1ff0 0000000d 00020082 008e32cd 00000000 ..........2..... + 2000 00002808 008e32d0 00000041 00020082 ..(...2....A.... + 2010 008e3311 00000000 00002808 008e3314 ..3.......(...3. + 2020 00000032 00020082 008e3346 00000000 ...2......3F.... + 2030 00000008 004e8388 00000078 00002804 .....N.....x..(. + 2040 008e3348 00000012 00020082 008e335a ..3H..........3Z + 2050 00000000 00002808 008e335c 00000036 ......(...3\...6 + 2060 00020082 008e3392 00000000 00002808 ......3.......(. + 2070 008e3394 00000016 00020082 008e33aa ..3...........3. + 2080 00000000 00000008 008e33aa 00000008 ..........3..... + 2090 000200a2 008e33b2 00000000 00002808 ......3.......(. + 20a0 008e33b4 00000011 00020082 008e33c5 ..3...........3. + 20b0 00000000 00002808 008e33c8 00000053 ......(...3....S + 20c0 00020082 008e341b 00000000 00002808 ......4.......(. + 20d0 008e341c 00000005 00020082 008e3421 ..4...........4! + 20e0 00000000 00002808 008e3424 00000014 ......(...4$.... + 20f0 00020082 008e3438 00000000 00002808 ......48......(. + 2100 008e3438 0000007a 00020082 008e34b2 ..48...z......4. + 2110 00000000 00002808 008e34b4 00000007 ......(...4..... + 2120 00020082 008e34bb 00000000 00002808 ......4.......(. + 2130 008e34bc 00000013 00020082 008e34cf ..4...........4. + 2140 00000000 00000008 008e34cf 0000000b ..........4..... + 2150 000200a2 008e34da 00000000 00000008 ......4......... + 2160 008e34da 0000000b 000200a2 008e34e5 ..4...........4. + 2170 00000002 000200a2 008e34e7 00000000 ..........4..... + 2180 00002808 008e34e8 0000000b 00020082 ..(...4......... + 2190 008e34f3 00000000 00000008 008e34f3 ..4...........4. + 21a0 00000008 000200a2 008e34fb 00000000 ..........4..... + 21b0 00000008 008e34fb 00000006 000200a2 ......4......... + 21c0 008e3501 00000000 00000008 008e3501 ..5...........5. + 21d0 00000005 000200a2 008e3506 00000000 ..........5..... + 21e0 00002808 008e3508 0000002f 00020082 ..(...5..../.... + 21f0 008e3537 00000000 00000008 008e3537 ..57..........57 + 2200 00000009 000200a2 008e3540 0000000d ..........5@.... + 2210 000200a2 008e354d 00000000 00000008 ......5M........ + 2220 008e354d 00000038 000200a2 008e3585 ..5M...8......5. + 2230 00000008 000200a2 008e358d 00000000 ..........5..... + 2240 00000008 008e358d 00000007 000200a2 ......5......... + 2250 008e3594 00000016 000200a2 008e35aa ..5...........5. + 2260 00000007 000200a2 008e35b1 00000000 ..........5..... + 2270 00000008 008e35b1 00000002 000200a2 ......5......... + 2280 008e35b3 00000000 00002808 008e35b4 ..5.......(...5. + 2290 0000003f 00020082 008e35f3 00000000 ...?......5..... + 22a0 00000008 008e35f3 0000001a 000200a2 ......5......... + 22b0 008e360d 00000000 00000008 008e360d ..6...........6. + 22c0 00000005 000200a2 008e3612 00000000 ..........6..... + 22d0 00002808 008e3614 0000000f 00020082 ..(...6......... + 22e0 008e3623 00000004 000200a2 008e3627 ..6#..........6' + 22f0 00000000 00000008 008e3627 00000017 ..........6'.... + 2300 000200a2 008e363e 00000000 00002808 ......6>......(. + 2310 008e3640 00000005 00020082 008e3645 ..6@..........6E + 2320 00000000 00002808 008e3648 00000005 ......(...6H.... + 2330 00020082 008e364d 00000000 00002808 ......6M......(. + 2340 008e3650 00000005 00020082 008e3655 ..6P..........6U + 2350 00000000 00002808 008e3658 0000000c ......(...6X.... + 2360 00020082 008e3664 00000000 00000008 ......6d........ + 2370 008e3664 00000004 000200a2 008e3668 ..6d..........6h + 2380 00000000 00002808 008e3668 0000000d ......(...6h.... + 2390 00020082 008e3675 00000000 00000008 ......6u........ + 23a0 008e3675 00000005 000200a2 008e367a ..6u..........6z + 23b0 00000000 00002808 008e367c 00000021 ......(...6|...! + 23c0 00020082 008e369d 00000000 00000008 ......6......... + 23d0 008e369d 00000024 000200a2 008e36c1 ..6....$......6. + 23e0 00000000 00000008 008e36c1 00000021 ..........6....! + 23f0 000200a2 008e36e2 00000021 000200a2 ......6....!.... + 2400 008e3703 00000000 00000008 008e3703 ..7...........7. + 2410 00000021 000200a2 008e3724 00000003 ...!......7$.... + 2420 000200a2 008e3727 00000002 000200a2 ......7'........ + 2430 008e3729 00000000 00002808 008e372c ..7)......(...7, + 2440 0000000f 00020082 008e373b 00000000 ..........7;.... + 2450 00002808 008e373c 00000041 00020082 ..(...7<...A.... + 2460 008e377d 00000000 00002808 008e3780 ..7}......(...7. + 2470 0000009c 00020082 008e381c 00000000 ..........8..... + 2480 00000008 004e8404 00000088 00002804 .....N........(. + 2490 008e381c 00000019 00020082 008e3835 ..8...........85 + 24a0 00000000 00000008 008e3835 0000000f ..........85.... + 24b0 000200a2 008e3844 00000000 00002808 ......8D......(. + 24c0 008e3844 0000000e 00020082 008e3852 ..8D..........8R + 24d0 00000014 000200a2 008e3866 00000000 ..........8f.... + 24e0 00002808 008e3868 00000046 00020082 ..(...8h...F.... + 24f0 008e38ae 00000039 00020092 008e38e7 ..8....9......8. + 2500 00000002 00020092 008e38e9 00000000 ..........8..... + 2510 00002808 008e38ec 00000034 00020082 ..(...8....4.... + 2520 008e3920 00000000 00002808 008e3920 ..9 ......(...9 + 2530 00000014 00020082 008e3934 00000004 ..........94.... + 2540 000200a2 008e3938 00000000 00000008 ......98........ + 2550 008e3938 0000000b 000200a2 008e3943 ..98..........9C + 2560 00000000 00002808 008e3944 00000025 ......(...9D...% + 2570 00020082 008e3969 00000009 000200a2 ......9i........ + 2580 008e3972 00000000 00000008 008e3972 ..9r..........9r + 2590 00000003 000200a2 008e3975 00000004 ..........9u.... + 25a0 000200a2 008e3979 00000000 00000008 ......9y........ + 25b0 008e3979 00000018 000200a2 008e3991 ..9y..........9. + 25c0 00000005 000200a2 008e3996 00000000 ..........9..... + 25d0 00000008 008e3996 00000016 000200a2 ......9......... + 25e0 008e39ac 00000002 00000008 008e39ae ..9...........9. + 25f0 00000002 000200a2 008e39b0 00000040 ..........9....@ + 2600 000200a2 008e39f0 00000024 00020092 ......9....$.... + 2610 008e3a14 00000000 00002808 008e3a14 ..:.......(...:. + 2620 00000013 00020082 008e3a27 00000000 ..........:'.... + 2630 00000008 008e3a27 0000000c 000200a2 ......:'........ + 2640 008e3a33 00000000 00002808 008e3a34 ..:3......(...:4 + 2650 00000039 00020082 008e3a6d 00000000 ...9......:m.... + 2660 00000008 008e3a6d 00000005 000200a2 ......:m........ + 2670 008e3a72 00000004 000200a2 008e3a76 ..:r..........:v + 2680 00000000 00000008 008e3a76 0000000b ..........:v.... + 2690 000200a2 008e3a81 00000000 00000008 ......:......... + 26a0 008e3a81 0000001b 000200a2 008e3a9c ..:...........:. + 26b0 00000000 00000008 008e3a9c 0000000b ..........:..... + 26c0 000200a2 008e3aa7 00000000 00000008 ......:......... + 26d0 008e3aa7 0000000a 000200a2 008e3ab1 ..:...........:. + 26e0 00000000 00000008 008e3ab1 00000006 ..........:..... + 26f0 000200a2 008e3ab7 00000000 00000008 ......:......... + 2700 008e3ab7 00000006 000200a2 008e3abd ..:...........:. + 2710 00000000 00000008 008e3abd 00000006 ..........:..... + 2720 000200a2 008e3ac3 00000000 00002808 ......:.......(. + 2730 008e3ac4 00000026 00020082 008e3aea ..:....&......:. + 2740 00000000 00002808 008e3aec 0000002a ......(...:....* + 2750 00020082 008e3b16 00000000 00000008 ......;......... + 2760 008e3b16 0000000c 000200a2 008e3b22 ..;...........;" + 2770 00000004 000200a2 008e3b26 00000000 ..........;&.... + 2780 00000008 008e3b26 00000053 000200a2 ......;&...S.... + 2790 008e3b79 00000000 00000008 008e3b79 ..;y..........;y + 27a0 00000018 000200a2 008e3b91 00000000 ..........;..... + 27b0 00002808 008e3b94 00000023 00020082 ..(...;....#.... + 27c0 008e3bb7 00000002 000200a2 008e3bb9 ..;...........;. + 27d0 00000000 00000008 008e3bb9 00000020 ..........;.... + 27e0 000200a2 008e3bd9 00000000 00002808 ......;.......(. + 27f0 008e3bdc 00000050 00020082 008e3c2c ..;....P......<, + 2800 00000000 00000008 008e3c2c 00000002 ..........<,.... + 2810 000200a2 008e3c2e 00000000 00000008 ......<......... + 2820 008e3c2e 0000003b 000200a2 008e3c69 ..<....;............(...V@ + 37f0 0000001d 00020082 008e565d 00000020 ..........V]... + 3800 000200a2 008e567d 00000002 000200a2 ......V}........ + 3810 008e567f 00000000 00002808 008e5680 ..V.......(...V. + 3820 00000027 00020082 008e56a7 00000000 ...'......V..... + 3830 00002808 008e56a8 0000001c 00020082 ..(...V......... + 3840 008e56c4 0000000b 000200a2 008e56cf ..V...........V. + 3850 00000000 00000008 008e56cf 00000006 ..........V..... + 3860 000200a2 008e56d5 00000000 00002808 ......V.......(. + 3870 008e56d8 00000005 00020082 008e56dd ..V...........V. + 3880 00000000 00002808 008e56e0 0000006d ......(...V....m + 3890 00020082 008e574d 00000000 00000008 ......WM........ + 38a0 008e574d 00000021 000200a2 008e576e ..WM...!......Wn + 38b0 0000001a 000200a2 008e5788 00000000 ..........W..... + 38c0 00000008 008e5788 00000022 000200a2 ......W....".... + 38d0 008e57aa 00000000 00000008 008e57aa ..W...........W. + 38e0 00000006 000200a2 008e57b0 0000002f ..........W..../ + 38f0 000200a2 008e57df 00000000 00000008 ......W......... + 3900 004e85e4 00000030 00002804 008e57e0 .N.....0..(...W. + 3910 00000005 00020082 008e57e5 00000000 ..........W..... + 3920 00002808 008e57e8 0000003e 00020082 ..(...W....>.... + 3930 008e5826 00000002 000200a2 008e5828 ..X&..........X( + 3940 00000000 00002808 008e5828 00000010 ......(...X(.... + 3950 00020082 008e5838 00000000 00002808 ......X8......(. + 3960 008e5838 00000022 00020082 008e585a ..X8..."......XZ + 3970 00000027 000200a2 008e5881 00000002 ...'......X..... + 3980 00020092 008e5883 00000000 00002808 ......X.......(. + 3990 008e5884 00000017 00020082 008e589b ..X...........X. + 39a0 00000000 00002808 008e589c 0000000d ......(...X..... + 39b0 00020082 008e58a9 00000049 000200a2 ......X....I.... + 39c0 008e58f2 00000000 00000008 008e58f2 ..X...........X. + 39d0 0000000f 000200a2 008e5901 00000000 ..........Y..... + 39e0 00000008 008e5901 00000013 000200a2 ......Y......... + 39f0 008e5914 00000000 00002808 008e5914 ..Y.......(...Y. + 3a00 00000015 00020082 008e5929 0000000d ..........Y).... + 3a10 000200a2 008e5936 00000000 00000008 ......Y6........ + 3a20 008e5936 00000022 000200a2 008e5958 ..Y6..."......YX + 3a30 0000000a 000200a2 008e5962 00000000 ..........Yb.... + 3a40 00000008 008e5962 00000009 000200a2 ......Yb........ + 3a50 008e596b 00000000 00002808 008e596c ..Yk......(...Yl + 3a60 0000000e 00020082 008e597a 0000006d ..........Yz...m + 3a70 000200a2 008e59e7 00000000 00000008 ......Y......... + 3a80 008e59e7 0000000c 000200a2 008e59f3 ..Y...........Y. + 3a90 00000028 000200a2 008e5a1b 00000000 ...(......Z..... + 3aa0 00000008 008e5a1b 0000000f 000200a2 ......Z......... + 3ab0 008e5a2a 00000000 00002808 008e5a2c ..Z*......(...Z, + 3ac0 00000005 00020082 008e5a31 00000000 ..........Z1.... + 3ad0 00002808 008e5a34 0000001a 00020082 ..(...Z4........ + 3ae0 008e5a4e 00000000 00000008 008e5a4e ..ZN..........ZN + 3af0 00000004 000200a2 008e5a52 00000000 ..........ZR.... + 3b00 00002808 008e5a54 00000017 00020082 ..(...ZT........ + 3b10 008e5a6b 00000000 00000008 008e5a6b ..Zk..........Zk + 3b20 0000000d 000200a2 008e5a78 00000000 ..........Zx.... + 3b30 00000008 008e5a78 0000000b 000200a2 ......Zx........ + 3b40 008e5a83 00000000 00002808 008e5a84 ..Z.......(...Z. + 3b50 00000017 00020082 008e5a9b 00000000 ..........Z..... + 3b60 00000008 008e5a9b 00000010 000200a2 ......Z......... + 3b70 008e5aab 00000000 00000008 008e5aab ..Z...........Z. + 3b80 0000000f 000200a2 008e5aba 00000000 ..........Z..... + 3b90 00002808 008e5abc 00000029 00020082 ..(...Z....).... + 3ba0 008e5ae5 00000000 00000008 008e5ae5 ..Z...........Z. + 3bb0 0000002a 000200a2 008e5b0f 0000000b ...*......[..... + 3bc0 000200a2 008e5b1a 00000000 00002808 ......[.......(. + 3bd0 008e5b1c 00000050 00020082 008e5b6c ..[....P......[l + 3be0 00000000 00002808 008e5b6c 00000015 ......(...[l.... + 3bf0 00020082 008e5b81 00000013 000200a2 ......[......... + 3c00 008e5b94 00000013 000200a2 008e5ba7 ..[...........[. + 3c10 00000002 000200a2 008e5ba9 00000000 ..........[..... + 3c20 00000008 008e5ba9 0000000a 000200a2 ......[......... + 3c30 008e5bb3 00000000 00000008 004e8614 ..[..........N.. + 3c40 0000004c 00002804 008e5bb4 00000010 ...L..(...[..... + 3c50 00020082 008e5bc4 00000000 00000008 ......[......... + 3c60 008e5bc4 0000001b 000200a2 008e5bdf ..[...........[. + 3c70 00000000 00000008 008e5bdf 0000000e ..........[..... + 3c80 000200a2 008e5bed 00000000 00002808 ......[.......(. + 3c90 008e5bf0 0000008a 00020082 008e5c7a ..[...........\z + 3ca0 00000000 00000008 008e5c7a 00000008 ..........\z.... + 3cb0 000200a2 008e5c82 00000000 00002808 ......\.......(. + 3cc0 008e5c84 0000003d 00020082 008e5cc1 ..\....=......\. + 3cd0 0000005a 000200a2 008e5d1b 00000000 ...Z......]..... + 3ce0 00000008 008e5d1b 00000008 000200a2 ......]......... + 3cf0 008e5d23 00000000 00000008 004e8664 ..]#.........N.d + 3d00 00000004 00002804 008e5d24 00000015 ......(...]$.... + 3d10 00020082 008e5d39 00000000 00002808 ......]9......(. + 3d20 008e5d3c 00000038 00020082 008e5d74 ..]<...8......]t + 3d30 00000000 00002808 008e5d74 00000018 ......(...]t.... + 3d40 00020082 008e5d8c 00000000 00002808 ......].......(. + 3d50 008e5d8c 0000001f 00020082 008e5dab ..]...........]. + 3d60 00000003 00020092 008e5dae 00000000 ..........]..... + 3d70 00000008 008e5dae 0000000c 000200a2 ......]......... + 3d80 008e5dba 00000000 00002808 008e5dbc ..].......(...]. + 3d90 00000033 00020082 008e5def 00000001 ...3......]..... + 3da0 00000008 008e5df0 00000022 000200a2 ......]....".... + 3db0 008e5e12 00000002 00020092 008e5e14 ..^...........^. + 3dc0 00000004 000200a2 008e5e18 00000007 ..........^..... + 3dd0 000200a2 008e5e1f 0000003e 000200a2 ......^....>.... + 3de0 008e5e5d 00000000 00000008 008e5e5d ..^]..........^] + 3df0 0000001b 000200a2 008e5e78 00000005 ..........^x.... + 3e00 000200a2 008e5e7d 00000000 00000008 ......^}........ + 3e10 008e5e7d 0000001e 000200a2 008e5e9b ..^}..........^. + 3e20 00000000 00002808 008e5e9c 00000022 ......(...^...." + 3e30 00020082 008e5ebe 00000000 00002808 ......^.......(. + 3e40 008e5ec0 000000c2 00020082 008e5f82 ..^..........._. + 3e50 00000000 00002808 008e5f84 0000001a ......(..._..... + 3e60 00020082 008e5f9e 00000014 000200a2 ......_......... + 3e70 008e5fb2 0000000b 000200a2 008e5fbd .._..........._. + 3e80 00000000 00002808 008e5fc0 00000016 ......(..._..... + 3e90 00020082 008e5fd6 00000000 00002808 ......_.......(. + 3ea0 008e5fd8 0000002e 00020082 008e6006 .._...........`. + 3eb0 0000000c 000200a2 008e6012 00000000 ..........`..... + 3ec0 00000008 008e6012 00000007 000200a2 ......`......... + 3ed0 008e6019 00000004 000200b2 008e601d ..`...........`. + 3ee0 00000000 00002808 008e6020 00000018 ......(...` .... + 3ef0 00020082 008e6038 00000016 000200a2 ......`8........ + 3f00 008e604e 0000000f 000200a2 008e605d ..`N..........`] + 3f10 00000000 00002808 008e6060 00000019 ......(...``.... + 3f20 00020082 008e6079 00000002 00020092 ......`y........ + 3f30 008e607b 00000000 00000008 008e607b ..`{..........`{ + 3f40 00000004 000200a2 008e607f 00000000 ..........`..... + 3f50 00002808 008e6080 00000052 00020082 ..(...`....R.... + 3f60 008e60d2 00000031 000200a2 008e6103 ..`....1......a. + 3f70 00000000 00000008 008e6103 0000001e ..........a..... + 3f80 000200a2 008e6121 00000007 000200a2 ......a!........ + 3f90 008e6128 00000000 00000008 004e8668 ..a(.........N.h + 3fa0 00000054 00002804 008e6128 00000023 ...T..(...a(...# + 3fb0 00020082 008e614b 00000000 00002808 ......aK......(. + 3fc0 008e614c 00000014 00020082 008e6160 ..aL..........a` + 3fd0 00000002 00020092 008e6162 00000000 ..........ab.... + 3fe0 00002808 008e6164 00000005 00020082 ..(...ad........ + 3ff0 008e6169 00000000 00002808 008e616c ..ai......(...al + 4000 00000010 00020082 008e617c 0000003e ..........a|...> + 4010 000200a2 008e61ba 00000000 00000008 ......a......... + 4020 008e61ba 00000005 000200a2 008e61bf ..a...........a. + 4030 00000000 00000008 008e61bf 00000002 ..........a..... + 4040 000200a2 008e61c1 00000000 00002808 ......a.......(. + 4050 008e61c4 00000017 00020082 008e61db ..a...........a. + 4060 0000000b 000200a2 008e61e6 00000000 ..........a..... + 4070 00002808 008e61e8 00000010 00020082 ..(...a......... + 4080 008e61f8 00000000 00002808 008e61f8 ..a.......(...a. + 4090 0000000f 00020082 008e6207 00000004 ..........b..... + 40a0 000200a2 008e620b 00000000 00000008 ......b......... + 40b0 004e86bc 0000001c 00002804 008e620c .N........(...b. + 40c0 00000034 00020082 008e6240 00000000 ...4......b@.... + 40d0 00000008 008e6240 00000004 000200a2 ......b@........ + 40e0 008e6244 00000000 00000008 008e6244 ..bD..........bD + 40f0 00000029 000200a2 008e626d 00000000 ...)......bm.... + 4100 00000008 004e86d8 00000010 00002804 .....N........(. + 4110 008e6814 00000005 00020082 008e6819 ..h...........h. + 4120 00000000 00000008 008e6270 00000005 ..........bp.... + 4130 00020082 008e6275 00000000 00002808 ......bu......(. + 4140 008e6278 00000020 00020082 008e6298 ..bx... ......b. + 4150 00000003 00020182 008e629b 00000029 ..........b....) + 4160 00020082 008e62c4 00000025 000200a2 ......b....%.... + 4170 008e62e9 00000000 00000008 004e86e8 ..b..........N.. + 4180 00000000 00002804 008e681c 00000008 ......(...h..... + 4190 00020082 008e6824 00000000 00002808 ......h$......(. + 41a0 008e6824 0000000c 00020082 008e6830 ..h$..........h0 + 41b0 00000002 000200a2 008e6832 00000000 ..........h2.... + 41c0 00002808 008e6834 0000000a 00020082 ..(...h4........ + 41d0 008e683e 00000000 00000008 008e683e ..h>..........h> + 41e0 00000004 000200a2 008e6842 00000000 ..........hB.... + 41f0 00000008 004e8794 00000000 00002904 .....N........). + 4200 004e8798 00000000 00002904 004e879c .N........)..N.. + 4210 00000000 00002904 004e87a0 00000000 ......)..N...... + 4220 00002904 004e87a2 00000000 00001904 ..)..N.......... + 4230 004e87a4 00000000 00002904 004e87a6 .N........)..N.. + 4240 00000000 00001904 004e87a8 00000000 .........N...... + 4250 00002904 004e87aa 00000000 00001904 ..)..N.......... + 4260 004e87ac 00000000 00002904 004e87ae .N........)..N.. + 4270 00000000 00001904 004e87b0 00000000 .........N...... + 4280 00002904 004e87b2 00000000 00001904 ..)..N.......... + 4290 004e87b4 00000000 00002904 004e87b8 .N........)..N.. + 42a0 00000000 00002904 004e87bc 00000000 ......)..N...... + 42b0 00002904 004e87c0 00000000 00002904 ..)..N........). + 42c0 004e87c4 00000000 00002904 004e87c8 .N........)..N.. + 42d0 00000000 00002904 004e87cc 00000000 ......)..N...... + 42e0 00002904 004e87d0 00000000 00002904 ..)..N........). + 42f0 004e87d4 00000000 00002904 004e87d6 .N........)..N.. + 4300 00000000 00001904 004e87d8 00000000 .........N...... + 4310 00002904 004e87dc 00000000 00002904 ..)..N........). + 4320 004e87e0 00000000 00002904 004e87e4 .N........)..N.. + 4330 00000000 00002904 004e87e6 00000000 ......)..N...... + 4340 00001904 004e87e8 00000000 00002904 .....N........). + 4350 004e87ec 00000000 00002904 004e87f0 .N........)..N.. + 4360 00000000 00002904 004e87f4 00000000 ......)..N...... + 4370 00002904 004e87f8 00000000 00002904 ..)..N........). + 4380 004e87fc 00000000 00002904 004e8800 .N........)..N.. + 4390 00000000 00002904 004e8804 00000000 ......)..N...... + 43a0 00002904 004e8808 00000000 00002904 ..)..N........). + 43b0 004e880c 00000000 00002904 004e8810 .N........)..N.. + 43c0 00000000 00002904 004e8814 00000000 ......)..N...... + 43d0 00002904 004e8818 00000000 00002904 ..)..N........). + 43e0 004e881c 00000000 00002904 004e8820 .N........)..N. + 43f0 00000000 00002904 004e8824 00000000 ......)..N.$.... + 4400 00002804 008e62ec 0000004d 00020082 ..(...b....M.... + 4410 008e6339 00000000 00000008 008e6339 ..c9..........c9 + 4420 00000014 000200a2 008e634d 00000000 ..........cM.... + 4430 00000008 008e634d 00000024 000200a2 ......cM...$.... + 4440 008e6371 00000000 00000008 008e6371 ..cq..........cq + 4450 00000035 000200a2 008e63a6 00000002 ...5......c..... + 4460 00000008 008e63a8 00000018 000200a2 ......c......... + 4470 008e63c0 00000000 00000008 008e63c0 ..c...........c. + 4480 00000004 000200a2 008e63c4 00000002 ..........c..... + 4490 00000008 008e63c6 0000000b 00020082 ......c......... + 44a0 008e63d1 0000000b 000200a2 008e63dc ..c...........c. + 44b0 00000021 000200a2 008e63fd 00000000 ...!......c..... + 44c0 00002808 008e6400 00000023 00020082 ..(...d....#.... + 44d0 008e6423 00000000 00000008 004e86e8 ..d#.........N.. + 44e0 00000004 00002804 008e6844 00000008 ......(...hD.... + 44f0 00020082 008e684c 00000000 00000008 ......hL........ + 4500 008e684c 00000008 00020082 008e6854 ..hL..........hT + 4510 00000000 00000008 008e6854 00000008 ..........hT.... + 4520 00020082 008e685c 00000000 00000008 ......h\........ + 4530 008e685c 00000031 00020002 008e688d ..h\...1......h. + 4540 00000006 00020022 008e6893 00000005 ......."..h..... + 4550 00020012 008e6898 00000008 00020022 ......h........" + 4560 008e68a0 00000000 00000008 008e68a0 ..h...........h. + 4570 0000000a 00020022 008e68aa 00000002 ......."..h..... + 4580 00000008 008e68ac 0000000c 00020022 ......h........" + 4590 008e68b8 00000001 00000008 008e68b9 ..h...........h. + 45a0 00000003 00020022 008e68c0 00000004 ......."..h..... + 45b0 00020022 008e68c4 00000000 00000008 ..."..h......... + 45c0 008e68c4 0000002a 00020002 008e68ee ..h....*......h. + 45d0 00000003 00020022 008e68f1 00000006 ......."..h..... + 45e0 00020022 008e68f7 00000006 00020022 ..."..h........" + 45f0 008e68fd 00000002 00020022 008e68ff ..h........"..h. + 4600 00000001 00000008 008e6900 00000005 ..........i..... + 4610 00020022 008e6909 00000004 00020022 ..."..i........" + 4620 008e690d 00000000 00000008 008e6910 ..i...........i. + 4630 00000028 00020002 008e6938 00000006 ...(......i8.... + 4640 00020022 008e693e 00000006 00020012 ..."..i>........ + 4650 008e6944 00000002 00020022 008e6946 ..iD......."..iF + 4660 00000000 00000008 008e6946 00000004 ..........iF.... + 4670 00020022 008e694a 00000002 00000008 ..."..iJ........ + 4680 008e694c 00000007 00020022 008e6953 ..iL......."..iS + 4690 00000002 00000008 008e6955 00000003 ..........iU.... + 46a0 00020022 008e695c 00000004 00020022 ..."..i\......." + 46b0 008e6960 00000000 00000008 008e6960 ..i`..........i` + 46c0 00000023 00020002 008e6983 00000003 ...#......i..... + 46d0 00020022 008e6986 00000006 00020022 ..."..i........" + 46e0 008e698c 00000002 00020022 008e698e ..i........"..i. + 46f0 00000002 00000008 008e6990 00000005 ..........i..... + 4700 00020022 008e6999 00000004 00020022 ..."..i........" + 4710 008e699d 00000000 00000008 008e69a0 ..i...........i. + 4720 0000003b 00020082 008e69db 00000004 ...;......i..... + 4730 000200a2 008e69df 00000001 00000008 ......i......... + 4740 008e69e0 00000005 000200a2 008e69e5 ..i...........i. + 4750 00000000 00000008 008e69e9 0000000d ..........i..... + 4760 000200a2 008e69f6 00000002 00020092 ......i......... + 4770 008e69f8 00000000 00002808 008e69f8 ..i.......(...i. + 4780 00000003 000201a2 008e69fb 0000000e ..........i..... + 4790 00020082 008e6a09 00000003 00020182 ......j......... + 47a0 008e6a0c 00000003 000201a2 008e6a0f ..j...........j. + 47b0 00000016 00020082 008e6a25 00000000 ..........j%.... + 47c0 00002808 008e6a28 00000006 00020082 ..(...j(........ + 47d0 008e6a2e 00000006 00020182 008e6a34 ..j...........j4 + 47e0 00000006 000200a2 008e6a3a 00000003 ..........j:.... + 47f0 00020182 008e6a3d 00000019 00020082 ......j=........ + 4800 008e6a56 0000000f 00020092 008e6a65 ..jV..........je + 4810 0000000b 000200a2 008e6a70 00000000 ..........jp.... + 4820 00000008 008e6a70 00000010 000200a2 ......jp........ + 4830 008e6a80 00000000 00000008 008e6a80 ..j...........j. + 4840 0000000f 000200a2 008e6a8f 00000001 ..........j..... + 4850 00000008 008e6a90 00000006 000200a2 ......j......... + 4860 008e6a96 00000002 000200a2 008e6a98 ..j...........j. + 4870 00000000 00002808 008e6a98 00000003 ......(...j..... + 4880 000201a2 008e6a9b 00000030 00020082 ......j....0.... + 4890 008e6acb 00000019 00020092 008e6ae4 ..j...........j. + 48a0 00000010 000200a2 008e6af4 0000000a ..........j..... + 48b0 000200a2 008e6afe 00000002 00000008 ......j......... + 48c0 008e6b00 00000015 000200a2 008e6b15 ..k...........k. + 48d0 00000000 00000008 008e6b15 00000008 ..........k..... + 48e0 000200a2 008e6b1d 00000000 00000008 ......k......... + 48f0 008e6b20 00000027 00020082 008e6b47 ..k ...'......kG + 4900 00000004 00020092 008e6b4b 00000001 ..........kK.... + 4910 00000008 008e6b4c 0000000c 00020082 ......kL........ + 4920 008e6b58 0000001b 000200a2 008e6b73 ..kX..........ks + 4930 0000006f 00020092 008e6be2 00000000 ...o......k..... + 4940 00000008 008e6be2 00000038 000200a2 ......k....8.... + 4950 008e6c1a 00000051 00020092 008e6c6b ..l....Q......lk + 4960 00000002 00020092 008e6c6d 0000001b ..........lm.... + 4970 000200a2 008e6c88 00000052 00020092 ......l....R.... + 4980 008e6cda 00000008 00020092 008e6ce2 ..l...........l. + 4990 00000000 00000008 008e6ce5 00000008 ..........l..... + 49a0 000200a2 008e6ced 00000002 00020092 ......l......... + 49b0 008e6cef 00000000 00002808 008e6cf0 ..l.......(...l. + 49c0 0000000a 000200a2 008e6cfa 00000003 ..........l..... + 49d0 00020182 008e6cfd 0000000e 000200a2 ......l......... + 49e0 008e6d0b 00000000 00002808 008e6d0c ..m.......(...m. + 49f0 00000014 00020082 008e6d20 00000006 ..........m .... + 4a00 00020182 008e6d26 00000011 000200a2 ......m&........ + 4a10 008e6d37 0000000a 00020092 008e6d41 ..m7..........mA + 4a20 00000007 000200a2 008e6d48 00000008 ..........mH.... + 4a30 000200a2 008e6d50 00000006 000200a2 ......mP........ + 4a40 008e6d56 00000002 000200a2 008e6d58 ..mV..........mX + 4a50 00000000 00000008 008e6424 0000004a ..........d$...J + 4a60 00020082 008e646e 00000000 00002808 ......dn......(. + 4a70 008e6470 00000002 000201a2 008e6472 ..dp..........dr + 4a80 00000013 00020082 008e6485 00000005 ..........d..... + 4a90 000200b2 008e648a 00000000 00002808 ......d.......(. + 4aa0 008e648c 00000033 000201a2 008e64bf ..d....3......d. + 4ab0 00000027 000201b2 008e64e6 00000015 ...'......d..... + 4ac0 00020082 008e64fb 00000004 000200b2 ......d......... + 4ad0 008e64ff 00000001 00000008 008e6500 ..d...........e. + 4ae0 0000000d 000200a2 008e650d 00000000 ..........e..... + 4af0 00000008 008e650d 00000004 000200a2 ......e......... + 4b00 008e6511 00000000 00000008 008e6511 ..e...........e. + 4b10 0000001b 000200a2 008e652c 00000005 ..........e,.... + 4b20 000200a2 008e6531 00000000 00000008 ......e1........ + 4b30 008e6531 0000000b 000200a2 008e653c ..e1..........e< + 4b40 00000000 00000008 004e86ec 00000010 .........N...... + 4b50 00002804 008e653c 00000018 00020082 ..(...e<........ + 4b60 008e6554 00000008 000200a2 008e655c ..eT..........e\ + 4b70 00000001 00000008 008e655d 0000000f ..........e].... + 4b80 000200a2 008e656c 00000015 000200a2 ......el........ + 4b90 008e6581 00000002 000200a2 008e6583 ..e...........e. + 4ba0 00000000 00002808 008e6584 00000002 ......(...e..... + 4bb0 000201a2 008e6586 00000017 00020082 ......e......... + 4bc0 008e659d 00000002 000200b2 008e659f ..e...........e. + 4bd0 00000001 00000008 008e65a0 00000007 ..........e..... + 4be0 000200a2 008e65a7 00000001 00000008 ......e......... + 4bf0 008e65a8 00000008 000200a2 008e65b0 ..e...........e. + 4c00 00000000 00000008 008e65b0 0000000d ..........e..... + 4c10 000200a2 008e65bd 00000000 00002808 ......e.......(. + 4c20 008e65c0 00000002 00020182 008e65c2 ..e...........e. + 4c30 00000010 00020082 008e65d2 00000002 ..........e..... + 4c40 000200b2 008e65d4 00000000 00000008 ......e......... + 4c50 004e86fc 00000000 00002804 008e65d4 .N........(...e. + 4c60 0000001b 00020082 008e65ef 00000001 ..........e..... + 4c70 00000008 008e65f0 0000000a 000200a2 ......e......... + 4c80 008e65fa 00000011 000200a2 008e660b ..e...........f. + 4c90 00000000 00002808 008e660c 00000002 ......(...f..... + 4ca0 000201a2 008e660e 00000013 00020082 ......f......... + 4cb0 008e6621 00000002 000200b2 008e6623 ..f!..........f# + 4cc0 00000005 000200a2 008e6628 00000000 ..........f(.... + 4cd0 00000008 008e6628 00000007 000200a2 ......f(........ + 4ce0 008e662f 00000001 00000008 008e6630 ..f/..........f0 + 4cf0 00000007 000200a2 008e6637 00000000 ..........f7.... + 4d00 00000008 004e86fc 00000000 00002804 .....N........(. + 4d10 008e6638 0000000f 00020082 008e6647 ..f8..........fG + 4d20 00000028 000200a2 008e666f 00000003 ...(......fo.... + 4d30 00020092 008e6672 00000000 00000008 ......fr........ + 4d40 008e6672 00000004 000200a2 008e6676 ..fr..........fv + 4d50 00000002 00000008 008e6678 00000005 ..........fx.... + 4d60 00020082 008e667d 00000000 00000008 ......f}........ + 4d70 008e667d 0000000b 000200a2 008e6688 ..f}..........f. + 4d80 00000000 00000008 008e6688 00000015 ..........f..... + 4d90 000200a2 008e669d 00000000 00000008 ......f......... + 4da0 008e669d 00000021 000200a2 008e66be ..f....!......f. + 4db0 00000000 00000008 008e66be 00000004 ..........f..... + 4dc0 000200a2 008e66c2 00000000 00000008 ......f......... + 4dd0 008e66c2 00000004 000200a2 008e66c6 ..f...........f. + 4de0 00000000 00000008 004e86fc 00000004 .........N...... + 4df0 00002804 008e66c8 00000014 000200a2 ..(...f......... + 4e00 008e66dc 00000021 000200a2 008e66fd ..f....!......f. + 4e10 00000000 00000008 008e66fd 00000002 ..........f..... + 4e20 000200a2 008e66ff 00000000 00002808 ......f.......(. + 4e30 008e6700 0000001a 00020082 008e671a ..g...........g. + 4e40 00000008 000200a2 008e6722 00000000 ..........g".... + 4e50 00000008 008e6722 00000008 000200a2 ......g"........ + 4e60 008e672a 0000000f 000200a2 008e6739 ..g*..........g9 + 4e70 00000008 00020092 008e6741 0000000b ..........gA.... + 4e80 000200a2 008e674c 00000002 000200a2 ......gL........ + 4e90 008e674e 00000002 00000008 008e6750 ..gN..........gP + 4ea0 0000000d 000200a2 008e675d 00000015 ..........g].... + 4eb0 000200a2 008e6772 00000000 00002808 ......gr......(. + 4ec0 008e6774 00000002 000201a2 008e6776 ..gt..........gv + 4ed0 0000001d 00020082 008e6793 00000003 ..........g..... + 4ee0 00020092 008e6796 00000000 00000008 ......g......... + 4ef0 008e6796 0000000c 000200a2 008e67a2 ..g...........g. + 4f00 00000002 00000008 008e67a4 0000000e ..........g..... + 4f10 000200a2 008e67b2 00000002 00000008 ......g......... + 4f20 008e67b4 00000013 000200a2 008e67c7 ..g...........g. + 4f30 00000000 00002808 008e67c8 00000002 ......(...g..... + 4f40 000201a2 008e67ca 00000014 00020082 ......g......... + 4f50 008e67de 00000003 000200b2 008e67e1 ..g...........g. + 4f60 00000000 00000008 008e67e1 00000002 ..........g..... + 4f70 000200a2 008e67e3 00000000 00000008 ......g......... + 4f80 004e8704 00000000 00002804 .N........(. +Contents of section .xt.lit: + 0000 008e0004 00000018 ........ +Contents of section .xtensa.info: + 0000 0000000c 0000019c 00000001 5874656e ............Xten + 0010 73615f49 6e666f00 48575f43 4f4e4649 sa_Info.HW_CONFI + 0020 47494430 3d307863 32383064 6266660a GID0=0xc280dbff. + 0030 48575f43 4f4e4649 47494431 3d307830 HW_CONFIGID1=0x0 + 0040 64303232 3330660a 4255494c 445f554e d02230f.BUILD_UN + 0050 49515545 5f49443d 30783030 30323233 IQUE_ID=0x000223 + 0060 30660a41 42493d30 0a555345 5f414253 0f.ABI=0.USE_ABS + 0070 4f4c5554 455f4c49 54455241 4c533d31 OLUTE_LITERALS=1 + 0080 0a48575f 56455253 494f4e3d 224c5832 .HW_VERSION="LX2 + 0090 2e312e30 220a4857 5f4d494e 5f564552 .1.0".HW_MIN_VER + 00a0 53494f4e 5f4d414a 4f523d32 3231300a SION_MAJOR=2210. + 00b0 48575f4d 494e5f56 45525349 4f4e5f4d HW_MIN_VERSION_M + 00c0 494e4f52 3d300a48 575f4d41 585f5645 INOR=0.HW_MAX_VE + 00d0 5253494f 4e5f4d41 4a4f523d 32323130 RSION_MAJOR=2210 + 00e0 0a48575f 4d41585f 56455253 494f4e5f .HW_MAX_VERSION_ + 00f0 4d494e4f 523d300a 52454c45 4153455f MINOR=0.RELEASE_ + 0100 4e414d45 3d225242 2d323030 372e3222 NAME="RB-2007.2" + 0110 0a52454c 45415345 5f564552 53494f4e .RELEASE_VERSION + 0120 3d22372e 312e3022 0a52454c 45415345 ="7.1.0".RELEASE + 0130 5f4d414a 4f523d37 3031300a 52454c45 _MAJOR=7010.RELE + 0140 4153455f 4d494e4f 523d300a 434f5245 ASE_MINOR=0.CORE + 0150 5f4e414d 453d224d 61677069 655f5030 _NAME="Magpie_P0 + 0160 220a5449 455f4348 45434b53 554d5f30 ".TIE_CHECKSUM_0 + 0170 3d300a54 49455f43 4845434b 53554d5f =0.TIE_CHECKSUM_ + 0180 313d300a 5449455f 43484543 4b53554d 1=0.TIE_CHECKSUM + 0190 5f323d30 0a544945 5f434845 434b5355 _2=0.TIE_CHECKSU + 01a0 4d5f333d 300a5444 4b5f5041 54483d22 M_3=0.TDK_PATH=" + 01b0 220a0000 "... +Disassembly of section .lit4: + +004e8000 <.lit4>: + 4e8000: 0053fff0 00040020 00500950 00500b78 .S..... .P.P.P.x + +004e8010 <.lit4+0x10>: + 4e8010: 008e1240 008e12f4 ...@.... + +004e8018 <.lit4+0x18>: + 4e8018: 00500400 008e1074 .P.....t + +004e8020 <.lit4+0x20>: + 4e8020: 00050023 ...# + +004e8024 <.lit4+0x24>: + 4e8024: 80000007 .... + +004e8028 <.lit4+0x28>: + 4e8028: c0000000 00500598 008e67fc .....P....g. + +004e8034 <.lit4+0x34>: + 4e8034: 004e8830 00500508 008e67e4 .N.0.P....g. + +004e8040 <.lit4+0x40>: + 4e8040: 00007ffe 008e12e8 ........ + +004e8048 <.lit4+0x48>: + 4e8048: 00038000 008e1399 ........ + +004e8050 <.lit4+0x50>: + 4e8050: 004e8730 0053fe00 00520e00 40000000 .N.0.S...R..@... + 4e8060: 00500000 004e8850 004e885c 004e8898 .P...N.P.N.\.N.. + 4e8070: 004e88a0 004e88b4 004e88f8 004e8928 .N...N...N...N.( + 4e8080: 00500640 005006f8 00500100 008e6844 .P.@.P...P....hD + 4e8090: 008e6854 008e684c 008e1460 008e1454 ..hT..hL...`...T + 4e80a0: 008e1468 008e1428 008e1474 008e14d4 ...h...(...t.... + 4e80b0: 008e1604 02625a00 004e8944 004e8958 .....bZ..N.D.N.X + 4e80c0: 41544852 10000000 00500b7c 00500b9c ATHR.....P.|.P.. + 4e80d0: 00500ba8 00500bc0 00500be0 0004fe00 .P...P...P...... + 4e80e0: 0005be00 00051e00 fffeefef 004e8960 .............N.` + 4e80f0: 13fffe00 a55a0000 00036000 00000b7c .....Z....`....| + 4e8100: 004e8974 008e1860 004e8988 008e189c .N.t...`.N...... + 4e8110: 00500978 008e18fc 004e89a4 004e89a8 .P.x.....N...N.. + 4e8120: 00500950 20000000 004e89d8 004e89f8 .P.P ....N...N.. + 4e8130: 004e8a08 004e8a18 004e8a28 00530000 .N...N...N.(.S.. + 4e8140: 00010000 004e8a38 004e8a44 004e8a60 .....N.8.N.D.N.` + 4e8150: 004e89bc 004e89ac 008e1bac .N...N...... + +004e815c <.lit4+0x15c>: + 4e815c: 00500954 004e8a7c 004e8aa0 004e8abc .P.T.N.|.N...N.. + 4e816c: 008e1bcc 008e1bdc ........ + +004e8174 <.lit4+0x174>: + 4e8174: 008e1c0c .... + +004e8178 <.lit4+0x178>: + 4e8178: 0050095c 000c3500 fff3cb00 004e8740 .P.\..5......N.@ + 4e8188: 00500974 008e1ca8 008e1c90 008e1c44 .P.t...........D + 4e8198: 008e1c98 008e1c74 008e1c64 008e1c80 .......t...d.... + 4e81a8: 008e1c88 .... + +004e81ac <.lit4+0x1ac>: + 4e81ac: 008e1cdc .... + +004e81b0 <.lit4+0x1b0>: + 4e81b0: 00020000 10ff3e00 10ff2000 00000fff ......>... ..... + 4e81c0: 004e8aec 004e8adc 004e8ae4 004e8af4 .N...N...N...N.. + 4e81d0: 5f574454 0003fe00 0001fe00 0000ffc1 _WDT............ + 4e81e0: 04000000 10ff0000 10ff1e00 000c0000 ................ + 4e81f0: 008e1e9c 008e1e54 008e1df4 .......T.... + +004e81fc <.lit4+0x1fc>: + 4e81fc: 008e1dbc .... + +004e8200 <.lit4+0x200>: + 4e8200: 00500980 008e2120 004e0600 004e0601 .P....! .N...N.. + 4e8210: 00500990 004e0771 008e2128 008e2230 .P...N.q..!(.."0 + 4e8220: 008e21cc 008e2150 ..!...!P + +004e8228 <.lit4+0x228>: + 4e8228: 008e2190 ..!. + +004e822c <.lit4+0x22c>: + 4e822c: 008e22b0 008e6d0c 008e6a28 008e6b20 .."...m...j(..k + +004e823c <.lit4+0x23c>: + 4e823c: 008e69a0 ..i. + +004e8240 <.lit4+0x240>: + 4e8240: 01000000 fffe000f 004e0001 004e8afc .........N...N.. + 4e8250: 00500a28 005006f8 004e8b34 004e8b68 .P.(.P...N.4.N.h + 4e8260: 004e8b80 004e8970 004e8b8c 004e0100 .N...N.p.N...N.. + 4e8270: 008e22d8 008e22ec 008e2348 008e22f4 .."..."...#H..". + 4e8280: 008e23b4 008e23f4 008e23c4 ..#...#...#. + +004e828c <.lit4+0x28c>: + 4e828c: 008e2414 ..$. + +004e8290 <.lit4+0x290>: + 4e8290: 004e8ba0 004e8bc8 004e8b94 004e8bb4 .N...N...N...N.. + 4e82a0: 00500700 008e294c .P....)L + +004e82a8 <.lit4+0x2a8>: + 4e82a8: 008e2918 ..). + +004e82ac <.lit4+0x2ac>: + 4e82ac: 004e8bd0 ffb00000 0003ffff 004e8bec .N...........N.. + 4e82bc: 004e8c10 00500704 00500a2c 004e8c34 .N...P...P.,.N.4 + 4e82cc: 0053c000 008e2a98 008e2a34 008e2a24 .S....*...*4..*$ + +004e82dc <.lit4+0x2dc>: + 4e82dc: 008e29e8 ..). + +004e82e0 <.lit4+0x2e0>: + 4e82e0: 008e2abc 008e653c 008e6700 008e65d4 ..*...e<..g...e. + 4e82f0: 008e6424 ..d$ + +004e82f4 <.lit4+0x2f4>: + 4e82f4: 008e6638 ..f8 + +004e82f8 <.lit4+0x2f8>: + 4e82f8: 00500a30 008e2ae8 008e2af4 008e2b20 .P.0..*...*...+ + 4e8308: 008e2b04 ..+. + +004e830c <.lit4+0x30c>: + 4e830c: 008e2b54 ..+T + +004e8310 <.lit4+0x310>: + 4e8310: 00500a34 00009c40 008e2c20 008e2bac .P.4...@.., ..+. + 4e8320: 008e2bf0 008e2b9c ..+...+. + +004e8328 <.lit4+0x328>: + 4e8328: 008e2c2c ..,, + +004e832c <.lit4+0x32c>: + 4e832c: 5f535553 00500a38 07270e00 008e2d28 _SUS.P.8.'....-( + 4e833c: 008e2cec 008e2d0c 008e2cc4 008e2d50 ..,...-...,...-P + 4e834c: 008e2ca8 ..,. + +004e8350 <.lit4+0x350>: + 4e8350: 008e2c7c ..,| + +004e8354 <.lit4+0x354>: + 4e8354: 00050e00 0001c200 00500a44 00002710 .........P.D..'. + 4e8364: 008e2dd0 008e2de0 008e2d90 008e2e38 ..-...-...-....8 + 4e8374: 008e2e6c 008e2e58 008e2e8c 008e2e60 ...l...X.......` + +004e8384 <.lit4+0x384>: + 4e8384: 008e2eb4 .... + +004e8388 <.lit4+0x388>: + 4e8388: 00050018 004e8c54 00050010 004e8c70 .....N.T.....N.p + 4e8398: ffffdfff 00002000 00500708 004e8c7c ...... ..P...N.| + 4e83a8: 004e8c90 004e8ca0 004e8cb0 008e30a8 .N...N...N....0. + 4e83b8: 008e3138 008e30fc 008e31a8 008e3248 ..18..0...1...2H + 4e83c8: 008e3274 008e3290 008e32a0 008e3140 ..2t..2...2...1@ + 4e83d8: 008e32b8 008e32b0 008e32c0 008e3070 ..2...2...2...0p + 4e83e8: 008e2fa4 008e3180 008e3154 008e2fbc ../...1...1T../. + 4e83f8: 008e2f44 008e2f80 ../D../. + +004e8400 <.lit4+0x400>: + 4e8400: 008e2f6c ../l + +004e8404 <.lit4+0x404>: + 4e8404: 00500a54 008e3348 008e335c 008e3394 .P.T..3H..3\..3. + 4e8414: 008e33b4 00500a60 00500a68 00500a78 ..3..P.`.P.h.P.x + 4e8424: 00500a80 004e8cc8 004e8ce0 00055a00 .P...N...N....Z. + 4e8434: 00055600 004e8cf4 004e8d0c 00054e00 ..V..N...N....N. + 4e8444: 00010007 008e33c8 008e3438 008e3508 ......3...48..5. + 4e8454: 008e367c 008e35b4 008e3614 008e3658 ..6|..5...6...6X + 4e8464: 008e3668 008e3424 008e341c 008e34b4 ..6h..4$..4...4. + 4e8474: 008e372c 004e8d24 004e8d38 004e8d50 ..7,.N.$.N.8.N.P + 4e8484: 004e8d64 004e8d78 .N.d.N.x + +004e848c <.lit4+0x48c>: + 4e848c: 00500a98 0000ff80 0000fe00 00500620 .P...........P. + 4e849c: 00500730 007efdfb 00500780 00500770 .P.0.~...P...P.p + 4e84ac: 004e8d8c 004e01a0 004e01b0 004e01c0 .N...N...N...N.. + 4e84bc: 004e01e0 008e3efc 004e8dcc 004e8dec .N....>..N...N.. + 4e84cc: 08000000 004e8da4 004e8df8 f7ffffff .....N...N...... + 4e84dc: 004e0160 004e0120 00010080 004e8e18 .N.`.N. .....N.. + 4e84ec: 004e8e2c 0000c600 004e8e40 004e8e50 .N.,.....N.@.N.P + 4e84fc: 004e8e68 004e8e78 004e8e88 004e8e98 .N.h.N.x.N...N.. + 4e850c: 008e4208 008e41ec 008e4638 008e43a0 ..B...A...F8..C. + 4e851c: 008e4468 008e4168 008e3ff0 008e3c6c ..Dh..Ah..?...: + 4e857c: 008e4594 ..E. + +004e8580 <.lit4+0x580>: + 4e8580: 00500ace 00500ad0 00500ad2 .P...P...P.. + +004e858c <.lit4+0x58c>: + 4e858c: 005007c0 00053000 00054000 008e4ba8 .P....0...@...K. + 4e859c: 008e4c40 008e4bf0 008e4c6c 008e4cb0 ..L@..K...Ll..L. + 4e85ac: 008e4cec 008e4d14 008e4c94 008e4d30 ..L...M...L...M0 + +004e85bc <.lit4+0x5bc>: + 4e85bc: 008e4d58 ..MX + +004e85c0 <.lit4+0x5c0>: + 4e85c0: 00500af8 008e4db4 008e4df0 .P....M...M. + +004e85cc <.lit4+0x5cc>: + 4e85cc: 008e4e10 ..N. + +004e85d0 <.lit4+0x5d0>: + 4e85d0: 00500b04 008e4e38 008e4e74 008e4e8c .P....N8..Nt..N. + +004e85e0 <.lit4+0x5e0>: + 4e85e0: 008e4e94 ..N. + +004e85e4 <.lit4+0x5e4>: + 4e85e4: 008e4fd8 008e51dc 008e51a4 008e50d0 ..O...Q...Q...P. + 4e85f4: 008e50c0 008e51ec 008e50b8 008e5358 ..P...Q...P...SX + 4e8604: 008e56e0 008e5680 008e55b8 008e5640 ..V...V...U...V@ + +004e8614 <.lit4+0x614>: + 4e8614: 0000ff00 004e8eac 004e8940 004e8eb8 .....N...N.@.N.. + 4e8624: 004e8ec8 008e57e0 008e5914 008e596c .N....W...Y...Yl + 4e8634: 008e5a2c 008e5a54 008e5884 008e5a84 ..Z,..ZT..X...Z. + 4e8644: 008e5838 008e5a34 008e57e8 008e5828 ..X8..Z4..W...X( + 4e8654: 008e5abc 008e5bb4 008e5bf0 ..Z...[...[. + +004e8660 <.lit4+0x660>: + 4e8660: 008e5c84 ..\. + +004e8664 <.lit4+0x664>: + 4e8664: 0000fffc .... + +004e8668 <.lit4+0x668>: + 4e8668: 008e5d74 008e5dbc 00500b0c 00500b14 ..]t..]..P...P.. + 4e8678: 008e5ec0 004e8ed0 00001a00 ffffe5ff ..^..N.......... + 4e8688: 00054100 00060000 004e8eec 00054200 ..A......N....B. + 4e8698: 00054204 004e8f08 004e8f34 004e8f60 ..B..N...N.4.N.` + 4e86a8: 004e8f80 004e8f9c 004e8fb4 004e8fe0 .N...N...N...N.. + 4e86b8: 004e8ffc .N.. + +004e86bc <.lit4+0x6bc>: + 4e86bc: 008e614c 008e616c 008e61c4 008e61e8 ..aL..al..a...a. + 4e86cc: 008e61f8 008e6164 00500b18 ..a...ad.P.. + +004e86d8 <.lit4+0x6d8>: + 4e86d8: 008e6814 00500850 008e6278 008e67ec ..h..P.P..bx..g. + +004e86e8 <.lit4+0x6e8>: + 4e86e8: fffbfff0 .... + +004e86ec <.lit4+0x6ec>: + 4e86ec: ff000000 00ff0000 000000ff 40404040 ............@@@@ + +004e86fc <.lit4+0x6fc>: + 4e86fc: fefefeff .... + +004e8700 <.lit4+0x700>: + 4e8700: 80808080 .... +Disassembly of section .dport0.stack: + +0053f7f0 <_reserved_stack_start>: + ... +Disassembly of section .dport0.usb_in_rom: + +004e0100 : +_reserved_rom_data_start(): + 4e0100: 01120200 ffff40ff 0cf37010 82022010 ......@...p... . + 4e0110: 01300000 00000000 00000000 00000000 .0.............. + +004e0120 : + 4e0120: 0209003c 01018000 09fa0004 060000ff ...<............ + 4e0130: 00000507 02010200 07008205 00020002 ................ + 4e0140: 05070383 00400701 04054003 01000507 .....@....@..... + 4e0150: 02050200 07000605 00020002 00000000 ................ + +004e0160 : + 4e0160: 0209003c 01018000 09fa0004 060000ff ...<............ + 4e0170: 00000507 02010040 07008205 40020000 .......@....@... + 4e0180: 05070383 00400701 04054002 00000507 .....@....@..... + 4e0190: 02050040 07000605 40020000 00000000 ...@....@....... + +004e01a0 : + 4e01a0: 03040409 00000000 00000000 00000000 ................ + +004e01b0 : + 4e01b0: 03100041 00540048 00450052 004f0053 ...A.T.H.E.R.O.S + +004e01c0 : + 4e01c0: 03180055 00530042 0032002e 00300020 ...U.S.B.2...0. + 4e01d0: 0057004c 0041004e 00000000 00000000 .W.L.A.N........ + +004e01e0 : + 4e01e0: 030c0031 00320033 00340035 ...1.2.3.4.5 +Disassembly of section .dport0.data: + +00500000 <_indir_tbl>: + ... +Disassembly of section .rodata: + +004e8710 <_rom_store_table>: + 4e8710: 00500400 00500950 004e0260 00000000 .P...P.P.N.`.... + ... + +004e8730 : + 4e8730: 55aa55aa aa55aa55 ffffffff 00000000 U.U..U.U........ + +004e8740 : + 4e8740: 00000000 00989680 00000000 00000000 ................ + 4e8750: 00000000 00000001 01312d00 00000000 .........1-..... + ... + 4e8768: 00000002 02625a00 00000000 00000000 .....bZ......... + 4e8778: 00000000 ffffffff 00000000 00000000 ................ + ... + +004e8790 : + 4e8790: 00001b62 ...b + +004e8794 : + 4e8794: 004e9024 .N.$ + +004e8798 : + 4e8798: 1b620000 .b.. + +004e879c : + 4e879c: 00000020 ... + +004e87a0 : + 4e87a0: 05 . + +004e87a1 : + 4e87a1: 01 . + +004e87a2 : + 4e87a2: 01 . + +004e87a3 : + 4e87a3: 01 . + +004e87a4 : + 4e87a4: 01 . + +004e87a5 : + 4e87a5: 01 . + +004e87a6 : + 4e87a6: 01 . + +004e87a7 : + 4e87a7: 01 . + +004e87a8 : + 4e87a8: 01 . + +004e87a9 : + 4e87a9: 01 . + +004e87aa : + 4e87aa: 02 . + +004e87ab : + 4e87ab: 01 . + +004e87ac : + 4e87ac: 01 . + +004e87ad : + 4e87ad: 01 . + +004e87ae : + 4e87ae: 01 . + +004e87af : + 4e87af: 01 . + +004e87b0 : + 4e87b0: 01 . + +004e87b1 : + 4e87b1: 01 . + +004e87b2 : + 4e87b2: 0100 .. + +004e87b4 : + 4e87b4: 00040000 .... + +004e87b8 : + 4e87b8: 0002230f ..#. + +004e87bc : + 4e87bc: c280dbff .... + +004e87c0 : + 4e87c0: 0d02230f ..#. + +004e87c4 : + 4e87c4: 000008a2 .... + +004e87c8 : + 4e87c8: 004e902c .N., + +004e87cc : + 4e87cc: 000008a2 .... + +004e87d0 : + 4e87d0: 000008a2 .... + +004e87d4 : + 4e87d4: 01 . + +004e87d5 : + 4e87d5: 01 . + +004e87d6 : + 4e87d6: 01 . + +004e87d7 : + 4e87d7: 01 . + +004e87d8 : + 4e87d8: 01 . + +004e87d9 : + 4e87d9: 040000 ... + +004e87dc : + 4e87dc: 0000001d .... + +004e87e0 : + 4e87e0: 0000001d .... + +004e87e4 : + 4e87e4: 01 . + +004e87e5 : + 4e87e5: 01 . + +004e87e6 : + 4e87e6: 01 . + +004e87e7 : + 4e87e7: 01 . + +004e87e8 : + 4e87e8: 01 . + +004e87e9 : + 4e87e9: 010000 ... + +004e87ec : + 4e87ec: 00000000 .... + +004e87f0 : + 4e87f0: 00000000 .... + +004e87f4 : + 4e87f4: 00000000 .... + +004e87f8 : + 4e87f8: 00800000 .... + +004e87fc : + 4e87fc: 00800000 .... + +004e8800 : + 4e8800: 00400000 .@.. + +004e8804 : + 4e8804: 00000000 .... + +004e8808 : + 4e8808: 00000000 .... + +004e880c : + 4e880c: 00000000 .... + +004e8810 : + 4e8810: 00400000 .@.. + +004e8814 : + 4e8814: 00400000 .@.. + +004e8818 : + 4e8818: 00400000 .@.. + +004e881c : + 4e881c: 00000000 .... + +004e8820 : + 4e8820: 00000000 .... + +004e8824 : + ... + +004e8830 : + 4e8830: 01020202 02020202 02020202 02020203 ................ + 4e8840: 03030500 00000000 00000000 00000000 ................ + 4e8850: 52414d20 6661696c 0a0d0000 46617461 RAM fail....Fata + 4e8860: 6c206578 63657074 696f6e20 28256429 l exception (%d) + 4e8870: 3a207063 3d307825 78206261 64766164 : pc=0x%x badvad + 4e8880: 64723d30 78257820 64756d70 20617265 dr=0x%x dump are + 4e8890: 613d3078 25780a00 2d41312d 0a0d0000 a=0x%x..-A1-.... + 4e88a0: 6d697361 6c69676e 65645f6c 6f61640a misaligned_load. + 4e88b0: 0d000000 46617461 6c206578 63657074 ....Fatal except + 4e88c0: 696f6e20 28256429 3a200970 633d3078 ion (%d): .pc=0x + 4e88d0: 2578200a 0d096261 64766164 64723d30 %x ...badvaddr=0 + 4e88e0: 78257820 0a0d0964 756d7020 61726561 x%x ...dump area + 4e88f0: 3d307825 780a0000 09657063 313d3078 =0x%x....epc1=0x + 4e8900: 25782c20 65706332 3d307825 782c2065 %x, epc2=0x%x, e + 4e8910: 7063333d 30782578 2c206570 63343d30 pc3=0x%x, epc4=0 + 4e8920: 7825780a 00000000 30782530 38782c20 x%x.....0x%08x, + 4e8930: 30782530 38782c20 30782530 38782c20 0x%08x, 0x%08x, + 4e8940: 0a0d0000 202d2025 735f7665 723a2025 .... - %s_ver: % + 4e8950: 78202d20 0a0a0d00 66706761 00000000 x - ....fpga.... + 4e8960: 64696476 69642066 726f6d20 6f776c20 didvid from owl + 4e8970: 0a000000 64656661 756c7420 64696476 ....default didv + 4e8980: 6964200a 00000000 382e2077 61697420 id .....8. wait + 4e8990: 666f7220 646f776e 6c6f6164 2e2e2e2e for download.... + 4e89a0: 200a0d00 32000000 33000000 20332e31 ...2...3... 3.1 + 4e89b0: 206e6f20 70617463 680a0d00 20332e31 no patch... 3.1 + 4e89c0: 206e6f20 65657020 6f722063 6f727275 no eep or corru + 4e89d0: 70740a0d 00000000 20332e31 20534e53 pt...... 3.1 SNS + 4e89e0: 543a2065 65702069 6e697420 6661696c T: eep init fail + 4e89f0: 6564210a 0d000000 342e2077 64742073 ed!.....4. wdt s + 4e8a00: 74617274 0a0d0000 342e2077 61726d20 tart....4. warm + 4e8a10: 73746172 740a0d00 342e2063 6f6c6420 start...4. cold + 4e8a20: 73746172 740a0d00 352e2068 69662028 start...5. hif ( + 4e8a30: 2564290a 00000000 53544152 542e2e2e %d).....START... + 4e8a40: 2e0a0000 524f4d3e 3a6d6469 6f20646f ....ROM>:mdio do + 4e8a50: 776e6c6f 61642072 65616479 0a000000 wnload ready.... + 4e8a60: 362e2053 4e53543a 20686f73 74696620 6. SNST: hostif + 4e8a70: 636f7272 7570740a 0d000000 52414d20 corrupt.....RAM + 4e8a80: 616c6c6f 63617469 6f6e2028 25642062 allocation (%d b + 4e8a90: 79746573 29206661 696c6564 210a0000 ytes) failed!... + 4e8aa0: 414c4c4f 4352414d 20437572 72656e74 ALLOCRAM Current + 4e8ab0: 20416464 72203078 25780a00 414c4c4f Addr 0x%x..ALLO + 4e8ac0: 4352414d 2052656d 61696e69 6e672042 CRAM Remaining B + 4e8ad0: 79746573 2025640a 00000000 2d453132 ytes %d.....-E12 + 4e8ae0: 2d000000 2d453130 2d000000 2d453131 -...-E10-...-E11 + 4e8af0: 2d000000 2d453133 2d000000 4d697361 -...-E13-...Misa + 4e8b00: 6c69676e 6564206c 6f61643a 2070633d ligned load: pc= + 4e8b10: 30782578 20626164 76616464 723d3078 0x%x badvaddr=0x + 4e8b20: 25782064 756d7020 61726561 3d307825 %x dump area=0x% + 4e8b30: 780a0000 61737365 7274696f 6e206661 x...assertion fa + 4e8b40: 696c6564 3f207063 3d307825 782c206c iled? pc=0x%x, l + 4e8b50: 696e653d 25642c20 64756d70 20617265 ine=%d, dump are + 4e8b60: 613d3078 25780a00 54617267 65742049 a=0x%x..Target I + 4e8b70: 443a2030 78257820 28256429 0a000000 D: 0x%x (%d).... + 4e8b80: 44656275 6720496e 666f3a00 30782530 Debug Info:.0x%0 + 4e8b90: 38782000 30313233 34353637 38390000 8x .0123456789.. + 4e8ba0: 30313233 34353637 38396162 63646566 0123456789abcdef + 4e8bb0: 00000000 30313233 34353637 38394142 ....0123456789AB + 4e8bc0: 43444546 00000000 3c6e756c 6c3e0000 CDEF...... + 4e8bd0: 09737a3a 2025642c 206c643a 2025702c .sz: %d, ld: %p, + 4e8be0: 20666e3a 2025700d 00000000 21696e76 fn: %p.....!inv + 4e8bf0: 616c6964 2064706f 72742061 64647265 alid dport addre + 4e8c00: 73732c20 30782530 38780a0d 00000000 ss, 0x%08x...... + 4e8c10: 63702025 64206279 74657320 66726f6d cp %d bytes from + 4e8c20: 20307825 30387820 746f2030 78253038 0x%08x to 0x%08 + 4e8c30: 78000000 702e6f66 743a2030 78253034 x...p.oft: 0x%04 + 4e8c40: 782c2070 2e737a20 3a203078 25303478 x, p.sz : 0x%04x + 4e8c50: 0a000000 57616974 696e6720 666f7220 ....Waiting for + 4e8c60: 686f7374 20726573 65742e2e 00000000 host reset...... + 4e8c70: 72656365 69766564 2e0a0000 42616420 received....Bad + 4e8c80: 456e6769 6e65206e 756d6265 720a0000 Engine number... + 4e8c90: 456d7074 79205258 20526561 700a0000 Empty RX Reap... + 4e8ca0: 456d7074 79205458 20526561 70200a00 Empty TX Reap .. + 4e8cb0: 496e7661 6c696420 50697065 206e756d Invalid Pipe num + 4e8cc0: 6265720a 00000000 0a0d093d 3e5b646e ber........=>[dn + 4e8cd0: 515d2030 78253038 78200a5b 00000000 Q] 0x%08x .[.... + 4e8ce0: 093d3e5b 7570515d 20307825 30387820 .=>[upQ] 0x%08x + 4e8cf0: 0a5b0000 093d3e5b 68702064 6e515d20 .[...=>[hp dnQ] + 4e8d00: 30782530 3878200a 5b000000 093d3e5b 0x%08x .[....=>[ + 4e8d10: 6d702064 6e515d20 30782530 3878200a mp dnQ] 0x%08x . + 4e8d20: 5b000000 0a0d5b68 7020646e 515d2030 [.....[hp dnQ] 0 + 4e8d30: 78253038 782c2000 444d4120 54524143 x%08x, .DMA TRAC + 4e8d40: 45203078 25303878 0a0d2020 20205b00 E 0x%08x.. [. + 4e8d50: 0a0d5b6d 7020646e 515d2030 78253038 ..[mp dnQ] 0x%08 + 4e8d60: 782c2000 0a0d5b64 6e515d20 30782530 x, ...[dnQ] 0x%0 + 4e8d70: 38782c20 00000000 0a0a0d5b 7570515d 8x, .......[upQ] + 4e8d80: 20307825 3038782c 20000000 2d206375 0x%08x, ...- cu + 4e8d90: 73746f6d 20757362 20636f6e 6669670a stom usb config. + 4e8da0: 00000000 095b6355 53425f52 45515f44 .....[cUSB_REQ_D + 4e8db0: 4f574e4c 4f41445d 3a203078 25303878 OWNLOAD]: 0x%08x + 4e8dc0: 2c202530 32780a0d 00000000 090a0d3d , %02x.........= + 4e8dd0: 3d3e5b63 5553425f 5245515f 434f4d50 =>[cUSB_REQ_COMP + 4e8de0: 5d3a2030 78253038 780a0d00 636b7375 ]: 0x%08x...cksu + 4e8df0: 6d3d2578 00000000 56656e64 6f72436d m=%x....VendorCm + 4e8e00: 643a2044 6f776e6c 6f616443 6f6d706c d: DownloadCompl + 4e8e10: 65746521 0a000000 7a665265 73657455 ete!....zfResetU + 4e8e20: 53424649 464f0a0d 00000000 7a665475 SBFIFO......zfTu + 4e8e30: 726e4f66 66506f77 65720a0d 00000000 rnOffPower...... + 4e8e40: 47656e65 72617465 20457665 6e740a00 Generate Event.. + 4e8e50: 215b534f 55524345 5f305d20 62697437 ![SOURCE_0] bit7 + 4e8e60: 206f6e0a 0d000000 21555342 20726573 on.....!USB res + 4e8e70: 65740a0d 00000000 21555342 20737573 et......!USB sus + 4e8e80: 70656e64 0a0d0000 21555342 20726573 pend....!USB res + 4e8e90: 756d650a 0d000000 362e2075 73625f68 ume.....6. usb_h + 4e8ea0: 636c6b20 7264790a 0d000000 30782530 clk rdy.....0x%0 + 4e8eb0: 38782854 295d0000 30782530 38782825 8x(T)]..0x%08x(% + 4e8ec0: 63292d3e 00000000 0a0d2020 20000000 c)->...... ... + 4e8ed0: 6d69692d 6d64696f 202d2072 65736574 mii-mdio - reset + 4e8ee0: 202d2073 74617274 200a0000 6d69692d - start ...mii- + 4e8ef0: 6d64696f 202d2072 65736574 202d2065 mdio - reset - e + 4e8f00: 6e64200a 00000000 73746172 74656420 nd .....started + 4e8f10: 72656365 6976696e 67206279 74657320 receiving bytes + 4e8f20: 746f2025 78206c65 6e677468 2025640a to %x length %d. + 4e8f30: 00000000 636f6d70 6c657465 64207265 ....completed re + 4e8f40: 63656976 696e6720 62797465 7320746f ceiving bytes to + 4e8f50: 20257820 6c656e67 74682025 640a0000 %x length %d... + 4e8f60: 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa + 4e8f70: 64206c65 6e677468 20307825 780a0000 d length 0x%x... + 4e8f80: 4669726d 77617265 20457865 63204164 Firmware Exec Ad + 4e8f90: 64726573 73203078 25780a00 4669726d dress 0x%x..Firm + 4e8fa0: 77617265 20636865 636b7375 6d203078 ware checksum 0x + 4e8fb0: 25780a00 4669726d 77617265 20636865 %x..Firmware che + 4e8fc0: 636b7375 6d206661 696c6564 202d2072 cksum failed - r + 4e8fd0: 65206e65 676f7469 6174696e 67200a00 e negotiating .. + 4e8fe0: 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa + 4e8ff0: 64206973 20676f6f 64200a00 434f4d4d d is good ..COMM + 4e9000: 414e4420 544f2053 54415254 20464952 AND TO START FIR + 4e9010: 4d574152 45205245 43454956 4544200a MWARE RECEIVED . + 4e9020: 00000000 372e312e 30000000 4c58322e ....7.1.0...LX2. + 4e9030: 312e3000 00500400 00500400 00500950 1.0..P...P...P.P + 4e9040: 00500b78 .P.x +Disassembly of section .data: + +00500400 <_xtos_exc_handler_table>: +_xtos_exc_handler_table(): + 500400: 008e67ec 008e1104 008e67ec 008e67ec ..g.......g...g. + 500410: 008e1174 008e102c 008e67ec 008e67ec ...t...,..g...g. + 500420: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500430: 008e6270 008e6270 008e6270 008e6270 ..bp..bp..bp..bp + 500440: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500450: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500460: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500470: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500480: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 500490: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004a0: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004b0: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004c0: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004d0: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004e0: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + 5004f0: 008e67ec 008e67ec 008e67ec 008e67ec ..g...g...g...g. + +00500500 <_xtos_enabled>: +_xtos_intstruct(): + 500500: 00000000 .... + +00500504 <_xtos_vpri_enabled>: + 500504: ffffffff .... + +00500508 <_xtos_interrupt_table>: +_xtos_interrupt_table(): + 500508: 008e67e4 00000012 008e67e4 00000011 ..g.......g..... + 500518: 008e67e4 00000010 008e67e4 0000000f ..g.......g..... + 500528: 008e67e4 0000000e 008e67e4 0000000d ..g.......g..... + 500538: 008e67e4 0000000c 008e67e4 0000000b ..g.......g..... + 500548: 008e67e4 0000000a 008e67e4 00000009 ..g.......g..... + 500558: 008e67e4 00000008 008e67e4 00000007 ..g.......g..... + 500568: 008e67e4 00000006 008e67e4 00000005 ..g.......g..... + 500578: 008e67e4 00000004 008e67e4 00000003 ..g.......g..... + 500588: 008e67e4 00000002 008e67e4 00000001 ..g.......g..... + 500598: 008e67e4 00000000 ..g..... + +005005a0 <_xtos_interrupt_mask_table>: +_xtos_interrupt_mask_table(): + 5005a0: fffffffe 00040000 fffffffe 00020000 ................ + 5005b0: fffffffe 00010000 fffffffe 00008000 ................ + 5005c0: fffffffe 00004000 fffffffe 00002000 ......@....... . + 5005d0: fffffffe 00001000 fffffffe 00000800 ................ + 5005e0: fffffffe 00000400 fffffffe 00000200 ................ + 5005f0: fffffffe 00000100 fffffffe 00000080 ................ + 500600: fffffffe 00000040 fffffffe 00000020 .......@....... + 500610: fffffffe 00000010 fffffffe 00000008 ................ + 500620: fffffffe 00000004 fffffffe 00000002 ................ + 500630: fffffffe 00000001 00000000 00000000 ................ + +00500640 : + 500640: 008e22b8 0050000c 008e2420 00500020 .."..P....$ .P. + 500650: 008e2954 00500044 008e2ef4 0050004c ..)T.P.D.....P.L + 500660: 008e471c 00500070 008e2290 00500118 ..G..P.p.."..P.. + 500670: 008e2c5c 00500104 008e1d04 005000e0 ..,\.P.......P.. + 500680: 008e1c30 00500144 008e2aa0 00500150 ...0.P.D..*..P.P + 500690: 008e2d68 00500160 008e2104 0050017c ..-h.P.`..!..P.| + 5006a0: 00000000 0050006c 008e2ac4 0050018c .....P.l..*..P.. + 5006b0: 008e2b7c 005001a4 008e4ed4 00500294 ..+|.P....N..P.. + 5006c0: 008e4e24 00500280 008e1548 005001c0 ..N$.P.....H.P.. + 5006d0: 008e3314 00500328 008e4d7c 005002f4 ..3..P.(..M|.P.. + 5006e0: 008e6128 00500264 008e470c 00500258 ..a(.P.d..G..P.X + 5006f0: 008e5b1c 005002b4 ..[..P.. + +005006f8 : + 5006f8: 00000001 .... + +005006fc : + 5006fc: 00000001 .... + +00500700 <_putc>: + 500700: 008e2450 ..$P + +00500704 : + 500704: 00000000 .... + +00500708 : + ... + +00500720 : + 500720: 00020004 00080000 00000000 00000000 ................ + +00500730 : + ... + 500738: aa00aa00 aaaaaaaa eeaaaaaa eeeeeeee ................ + 500748: feeeeeee ffffffff ffffffff 7fffffff ................ + 500758: f7efdfbf 7efcfdfb fdfbdfbf 00000000 ....~........... + ... + +00500770 : + ... + +00500780 : + 500780: 07090000 00000000 00000000 00000000 ................ + ... + +005007c0 : + 5007c0: 00053000 00000800 00000000 00000000 ..0............. + ... + 5007d8: 00053000 00000900 00000000 00000000 ..0............. + ... + 5007f0: 00053000 00000a00 00000000 00000000 ..0............. + ... + 500808: 00053000 00000b00 00000000 00000000 ..0............. + ... + 500820: 00053000 00000c00 00000000 00000000 ..0............. + ... + 500838: 00053000 00000d00 00000000 00000000 ..0............. + ... + +00500850 <_xtos_c_handler_table>: +_xtos_c_handler_table(): + 500850: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500860: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500870: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500880: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500890: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008a0: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008b0: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008c0: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008d0: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008e0: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 5008f0: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500900: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500910: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500920: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500930: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. + 500940: 008e6814 008e6814 008e6814 008e6814 ..h...h...h...h. +Disassembly of section .bss: + +00500950 : + 500950: 00000000 .... + +00500954 : + 500954: 00000000 .... + +00500958 : + 500958: 00000000 .... + +0050095c : + 50095c: 00000000 .... + +00500960 : + 500960: 00000000 .... + +00500964 : + 500964: 00000000 .... + +00500968 : + 500968: 00000000 .... + +0050096c : + 50096c: 00000000 .... + +00500970 : + 500970: 00000000 .... + +00500974 : + 500974: 00000000 .... + +00500978 : + ... + +0050097a : + 50097a: 00000000 00000000 ...... + +00500980 : + ... + +00500990 : + ... + +00500a28 : + 500a28: 00000000 .... + +00500a2c : + 500a2c: 00000000 .... + +00500a30 : + 500a30: 00000000 .... + +00500a34 : + 500a34: 00000000 .... + +00500a38 : + ... + +00500a44 : + ... + +00500a54 : + ... + +00500a98 : + ... + +00500aa4 : + ... + +00500ab4 : + 500ab4: 00000000 .... + +00500ab8 : + 500ab8: 00000000 .... + +00500abc : + 500abc: 00000000 .... + +00500ac0 : + 500ac0: 00000000 .... + +00500ac4 : + 500ac4: 00000000 .... + +00500ac8 : + 500ac8: 00000000 .... + +00500acc : + ... + +00500ace : + ... + +00500ad0 : + ... + +00500ad2 : + ... + +00500ad4 : + 500ad4: 00000000 .... + +00500ad8 : + 500ad8: 00000000 .... + +00500adc : + 500adc: 00000000 .... + +00500ae0 : + 500ae0: 00000000 .... + +00500ae4 : + 500ae4: 00000000 .... + +00500ae8 : + ... + +00500af0 : + ... + +00500af8 : + ... + +00500b04 : + ... + +00500b0c : + ... + +00500b18 : + ... + +00500b44 : + 500b44: 00000000 .... + +00500b48 : + 500b48: 00000000 .... + +00500b4c : + ... + +00500b4d : + ... + +00500b4e : + ... + +00500b4f : + ... + +00500b50 : + 500b50: 00000000 .... + +00500b54 : + 500b54: 00000000 .... + +00500b58 : + 500b58: 00000000 .... + +00500b5c : + 500b5c: 00000000 .... + +00500b60 : + 500b60: 00000000 .... + +00500b64 : + ... + +00500b65 : + ... + +00500b66 : + ... + +00500b67 : + ... + +00500b68 : + ... + +00500b69 : + ... + +00500b6a : + ... + +00500b6b : + ... + +00500b6c : + ... + +00500b6d : + ... + +00500b6e : + ... + +00500b6f : + ... + +00500b70 : + ... +Disassembly of section .ResetVector.text: + +008e0000 <_ResetVector>: +_ResetVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:56 + 8e0000: 60001c j 8e0020 <_ResetHandler> + +008e0003 <_ResetVector+0x3>: + ... + +008e0004 <_ResetVector+0x4>: + 8e0004: 008e0800 22221221 e0000000 008e0063 ...."".!.......c + 8e0014: 004e8710 00528001 .N...R.. + +008e001c <_ResetVector+0x1c>: + 8e001c: 00000000 .... + +008e0020 <_ResetHandler>: +_ResetHandler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:73 + 8e0020: c000 movi.n a0, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:76 + 8e0022: 00e431 wsr.intenable a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:128 + 8e0025: 12fff7 l32r a2, 8e0004 <_ResetVector_text_start+0x4> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:129 + 8e0028: 02e731 wsr.vecbase a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:133 + 8e002b: 021600 rsil a2, 1 +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:161 + 8e002e: 12fff6 l32r a2, 8e0008 <_ResetVector_text_start+0x8> +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:396 + 8e0031: 15fff6 l32r a5, 8e000c <_ResetVector_text_start+0xc> + 8e0034: 16fff7 l32r a6, 8e0010 <_ResetVector_text_start+0x10> + 8e0037: c030 movi.n a3, 0 + 8e0039: d720 mov.n a7, a2 + 8e003b: 056601 and a6, a6, a5 + 8e003e: 600021 j 8e0063 <_ResetHandler+0x43> + +008e0041 <_ResetHandler+0x21>: + ... + +008e0050 <_ResetHandler+0x30>: +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:281 + 8e0050: 043605 witlb a4, a3 + 8e0053: 000200 isync + 8e0056: d30f nop.n + +008e0058 <_ResetHandler+0x38>: + 8e0058: d30f nop.n + 8e005a: 753114 beq a3, a5, 8e0072 <_ResetHandler+0x52> + +008e005d <_ResetHandler+0x3d>: + 8e005d: 074714 srli a7, a7, 4 + 8e0060: 05330c sub a3, a3, a5 +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:304 + 8e0063: 070443 extui a4, a7, 0, 4 + 8e0066: 7631e6 beq a3, a6, 8e0050 <_ResetHandler+0x30> + 8e0069: 043605 witlb a4, a3 + 8e006c: 7539ed bne a3, a5, 8e005d <_ResetHandler+0x3d> + 8e006f: 000200 isync + +008e0072 <_ResetHandler+0x52>: +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:396 + 8e0072: 15ffe6 l32r a5, 8e000c <_ResetVector_text_start+0xc> + 8e0075: c030 movi.n a3, 0 + 8e0077: d720 mov.n a7, a2 + 8e0079: 600006 j 8e0083 <_ResetHandler+0x63> + +008e007c <_ResetHandler+0x5c>: + ... + +008e007d <_ResetHandler+0x5d>: + 8e007d: 074714 srli a7, a7, 4 + 8e0080: 05330c sub a3, a3, a5 +/cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:343 + 8e0083: 070443 extui a4, a7, 0, 4 + 8e0086: 043e05 wdtlb a4, a3 + 8e0089: 7539f0 bne a3, a5, 8e007d <_ResetHandler+0x5d> + 8e008c: 030200 dsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:172 + 8e008f: 12ffe1 l32r a2, 8e0014 <_ResetVector_text_start+0x14> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:173 + 8e0092: ca20 beqz.n a2, 8e00b6 + +008e0094 : +unpack(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:174 + 8e0094: 8320 l32i.n a3, a2, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:175 + 8e0096: 8421 l32i.n a4, a2, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:176 + 8e0098: 8522 l32i.n a5, a2, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:177 + 8e009a: 222c0c addi a2, a2, 12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:178 + 8e009d: 743b0f bgeu a3, a4, 8e00b0 + +008e00a0 : +uploop(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:179 + 8e00a0: 8650 l32i.n a6, a5, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:180 + 8e00a2: b455 addi.n a5, a5, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:181 + 8e00a4: 9630 s32i.n a6, a3, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:182 + 8e00a6: b433 addi.n a3, a3, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:183 + 8e00a8: 7433f4 bltu a3, a4, 8e00a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:184 + 8e00ab: 63ffe5 j 8e0094 + +008e00ae : + ... + +008e00b0 : +upnext(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:185 + 8e00b0: 653fe0 bnez a3, 8e0094 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:186 + 8e00b3: 655fdd bnez a5, 8e0094 + +008e00b6 : +unpackdone(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:254 + 8e00b6: 12ffd8 l32r a2, 8e0018 <_ResetVector_text_start+0x18> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:255 + 8e00b9: 020531 wsr.litbase a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:256 + 8e00bc: 010200 rsync + +008e00bf : +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:266 + 8e00bf: 000200 isync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:281 + 8e00c2: c011 movi.n a1, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:282 + 8e00c4: 014931 wsr.windowstart a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:286 + 8e00c7: 004831 wsr.windowbase a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:287 + 8e00ca: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:288 + 8e00cd: c000 movi.n a0, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:353 + 8e00cf: 140004 l32r a4, 4e8010 <_lit4_start+0x10> + 8e00d2: 04d231 wsr.excsave2 a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:354 + 8e00d5: 140005 l32r a4, 4e8014 <_lit4_start+0x14> + 8e00d8: 04d331 wsr.excsave3 a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:372 + 8e00db: 5003c9 call0 8e1000 <_iram0_text_end> +Disassembly of section .WindowVectors.text: + +008e0800 <_WindowOverflow4>: +_WindowOverflow4(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:105 + 8e0800: 005c94 s32e a0, a5, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:106 + 8e0803: 015d94 s32e a1, a5, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:107 + 8e0806: 025e94 s32e a2, a5, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:108 + 8e0809: 035f94 s32e a3, a5, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:110 + 8e080c: 004300 rfwo + +008e080f <_WindowOverflow4+0xf>: + ... + +008e0840 <_WindowUnderflow4>: +_WindowUnderflow4(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:133 + 8e0840: 005c90 l32e a0, a5, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:134 + 8e0843: 015d90 l32e a1, a5, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:135 + 8e0846: 025e90 l32e a2, a5, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:136 + 8e0849: 035f90 l32e a3, a5, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:138 + 8e084c: 005300 rfwu + +008e084f <_WindowUnderflow4+0xf>: + ... + +008e0880 <_WindowOverflow8>: +_WindowOverflow8(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:169 + 8e0880: 009c94 s32e a0, a9, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:170 + 8e0883: 001d90 l32e a0, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:171 + 8e0886: 019d94 s32e a1, a9, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:172 + 8e0889: 029e94 s32e a2, a9, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:173 + 8e088c: 039f94 s32e a3, a9, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:174 + 8e088f: 040894 s32e a4, a0, -32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:175 + 8e0892: 050994 s32e a5, a0, -28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:176 + 8e0895: 060a94 s32e a6, a0, -24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:177 + 8e0898: 070b94 s32e a7, a0, -20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:179 + 8e089b: 004300 rfwo + +008e089e <_WindowOverflow8+0x1e>: + ... + +008e08c0 <_WindowUnderflow8>: +_WindowUnderflow8(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:210 + 8e08c0: 009c90 l32e a0, a9, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:211 + 8e08c3: 019d90 l32e a1, a9, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:212 + 8e08c6: 029e90 l32e a2, a9, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:213 + 8e08c9: 071d90 l32e a7, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:214 + 8e08cc: 039f90 l32e a3, a9, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:215 + 8e08cf: 047890 l32e a4, a7, -32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:216 + 8e08d2: 057990 l32e a5, a7, -28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:217 + 8e08d5: 067a90 l32e a6, a7, -24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:218 + 8e08d8: 077b90 l32e a7, a7, -20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:220 + 8e08db: 005300 rfwu + +008e08de <_WindowUnderflow8+0x1e>: + ... + +008e0900 <_WindowOverflow12>: +_WindowOverflow12(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:255 + 8e0900: 00dc94 s32e a0, a13, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:256 + 8e0903: 001d90 l32e a0, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:257 + 8e0906: 01dd94 s32e a1, a13, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:258 + 8e0909: 02de94 s32e a2, a13, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:259 + 8e090c: 03df94 s32e a3, a13, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:260 + 8e090f: 040494 s32e a4, a0, -48 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:261 + 8e0912: 050594 s32e a5, a0, -44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:262 + 8e0915: 060694 s32e a6, a0, -40 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:263 + 8e0918: 070794 s32e a7, a0, -36 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:264 + 8e091b: 080894 s32e a8, a0, -32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:265 + 8e091e: 090994 s32e a9, a0, -28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:266 + 8e0921: 0a0a94 s32e a10, a0, -24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:267 + 8e0924: 0b0b94 s32e a11, a0, -20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:269 + 8e0927: 004300 rfwo + +008e092a <_WindowOverflow12+0x2a>: + ... + +008e0940 <_WindowUnderflow12>: +_WindowUnderflow12(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:304 + 8e0940: 00dc90 l32e a0, a13, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:305 + 8e0943: 01dd90 l32e a1, a13, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:306 + 8e0946: 02de90 l32e a2, a13, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:307 + 8e0949: 0b1d90 l32e a11, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:308 + 8e094c: 03df90 l32e a3, a13, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:309 + 8e094f: 04b490 l32e a4, a11, -48 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:310 + 8e0952: 05b590 l32e a5, a11, -44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:311 + 8e0955: 06b690 l32e a6, a11, -40 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:312 + 8e0958: 07b790 l32e a7, a11, -36 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:313 + 8e095b: 08b890 l32e a8, a11, -32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:314 + 8e095e: 09b990 l32e a9, a11, -28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:315 + 8e0961: 0aba90 l32e a10, a11, -24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:316 + 8e0964: 0bbb90 l32e a11, a11, -20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:318 + 8e0967: 005300 rfwu +Disassembly of section .KernelExceptionVector.text: + +008e0d40 <_KernelExceptionVector>: +_KernelExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/kernel-vector.S:43 + 8e0d40: 001400 break 1, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/kernel-vector.S:51 + 8e0d43: 63fff9 j 8e0d40 <_KernelExceptionVector_text_start> +Disassembly of section .UserExceptionVector.text: + +008e0e20 <_UserExceptionVector>: +_UserExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:25 + 8e0e20: 211c90 addi a1, a1, -112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:26 + 8e0e23: 9214 s32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:27 + 8e0e25: 9315 s32i.n a3, a1, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:28 + 8e0e27: 130006 l32r a3, 4e8018 <_lit4_start+0x18> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:29 + 8e0e2a: 02e830 rsr.exccause a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:31 + 8e0e2d: 03230a addx4 a3, a2, a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:32 + 8e0e30: 8330 l32i.n a3, a3, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:33 + 8e0e32: 9416 s32i.n a4, a1, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:34 + 8e0e34: 0a3000 jx a3 +Disassembly of section .DoubleExceptionVector.text: + +008e0f20 <_DoubleExceptionVector>: +_DoubleExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/double-vector.S:47 + 8e0f20: 041400 break 1, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/double-vector.S:55 + 8e0f23: 63fff9 j 8e0f20 <_DoubleExceptionVector_text_start> +Disassembly of section .Level2InterruptVector.text: + +008e0a20 <_Level2Vector>: +_Level2Vector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:37 + 8e0a20: 02d216 xsr.excsave2 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:38 + 8e0a23: 0a2000 jx a2 +Disassembly of section .Level3InterruptVector.text: + +008e0b20 <_Level3Vector>: +_Level3Vector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:37 + 8e0b20: 02d316 xsr.excsave3 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:38 + 8e0b23: 0a2000 jx a2 +Disassembly of section .DebugExceptionVector.text: + +008e0c20 <_DebugExceptionVector>: +_DebugExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/debug-vector.S:42 + 8e0c20: 004700 waiti 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/debug-vector.S:44 + 8e0c23: 63fff9 j 8e0c20 <_DebugExceptionVector_text_start> +Disassembly of section .NMIExceptionVector.text: + +008e0ce4 <_NMIExceptionVector>: +_NMIExceptionVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/nmi-vector.S:40 + 8e0ce4: 015300 rfi 5 +Disassembly of section .text: + +008e1000 <_start>: +_stext(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:49 + 8e1000: 200a00 movi a0, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:50 + 8e1003: 110000 l32r a1, 4e8000 <_lit4_start> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:56 + 8e1006: 130001 l32r a3, 4e8004 <_lit4_start+0x4> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:58 + 8e1009: 03e631 wsr.ps a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:59 + 8e100c: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:68 + 8e100f: 160002 l32r a6, 4e8008 <_lit4_start+0x8> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:69 + 8e1012: 170003 l32r a7, 4e800c <_lit4_start+0xc> + 8e1015: d30f nop.n +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:70 + 8e1017: 776b06 bgeu a6, a7, 8e1021 <_iram0_text_end+0x21> + +008e101a <_start+0x1a>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:71 + 8e101a: 9060 s32i.n a0, a6, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:72 + 8e101c: b466 addi.n a6, a6, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:73 + 8e101e: 7763f8 bltu a6, a7, 8e101a <_iram0_text_end+0x1a> + +008e1021 <_start+0x21>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:80 + 8e1021: 5402e2 call4 8e1bac

+/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:90 + 8e1024: 0f1400 break 1, 15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:92 + 8e1027: 63fff9 j 8e1024 <_iram0_text_end+0x24> + +008e102a <_start+0x2a>: + ... + +008e102c <_xtos_alloca_handler>: +_xtos_alloca_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:101 + 8e102c: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:102 + 8e102f: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:103 + 8e1031: b332 addi.n a2, a3, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:110 + 8e1033: 040130 rsr.lend a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:111 + 8e1036: 050230 rsr.lcount a5 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:112 + 8e1039: 72490b bne a4, a2, 8e1048 <_xtos_alloca_handler+0x1c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:113 + 8e103c: 645008 beqz a5, 8e1048 <_xtos_alloca_handler+0x1c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:114 + 8e103f: 255cff addi a5, a5, -1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:115 + 8e1042: 050231 wsr.lcount a5 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:116 + 8e1045: 020030 rsr.lbeg a2 + +008e1048 <_xtos_alloca_handler+0x1c>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:118 + 8e1048: 02b131 wsr.epc1 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:126 + 8e104b: b133 addi.n a3, a3, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:127 + 8e104d: 030241 extui a2, a3, 0, 2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:128 + 8e1050: 02330c sub a3, a3, a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:129 + 8e1053: 8330 l32i.n a3, a3, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:130 + 8e1055: 040330 rsr.sar a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:133 + 8e1058: 002304 ssa8b a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:134 + 8e105b: 00331a sll a3, a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:135 + 8e105e: 03c353 extui a3, a3, 28, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:141 + 8e1061: 040331 wsr.sar a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:144 + 8e1064: 140007 l32r a4, 4e801c <_lit4_start+0x1c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:145 + 8e1067: d510 mov.n a5, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:146 + 8e1069: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:149 + 8e106c: 04340a addx4 a4, a3, a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:162 + 8e106f: 0a4000 jx a4 + +008e1072 <_xtos_alloca_handler+0x46>: + ... + +008e1074 <_xtos_alloca_handler+0x48>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:165 + 8e1074: d100 mov.n a1, a0 + 8e1076: cf48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:166 + 8e1078: cf46 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> + +008e107a <_xtos_alloca_handler+0x4e>: + ... + +008e107c <_xtos_alloca_handler+0x50>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:167 + 8e107c: 8154 l32i.n a1, a5, 16 + 8e107e: cf40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:168 + 8e1080: 8155 l32i.n a1, a5, 20 + 8e1082: ce4c bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:169 + 8e1084: 8156 l32i.n a1, a5, 24 + 8e1086: ce48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:170 + 8e1088: 8157 l32i.n a1, a5, 28 + 8e108a: ce44 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:171 + 8e108c: d160 mov.n a1, a6 + 8e108e: ce40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:172 + 8e1090: d170 mov.n a1, a7 + 8e1092: cd4c bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:173 + 8e1094: d180 mov.n a1, a8 + 8e1096: cd48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:174 + 8e1098: d190 mov.n a1, a9 + 8e109a: cd44 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:175 + 8e109c: d1a0 mov.n a1, a10 + 8e109e: cd40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:176 + 8e10a0: d1b0 mov.n a1, a11 + 8e10a2: cc4c bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:177 + 8e10a4: d1c0 mov.n a1, a12 + 8e10a6: cc48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:178 + 8e10a8: d1d0 mov.n a1, a13 + 8e10aa: cc44 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:179 + 8e10ac: d1e0 mov.n a1, a14 + 8e10ae: cc40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> + +008e10b0 <_xtos_alloca_handler+0x84>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:180 + 8e10b0: d1f0 mov.n a1, a15 + +008e10b2 <_xtos_alloca_handler+0x86>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:187 + 8e10b2: 010341 extui a3, a1, 0, 2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:188 + 8e10b5: c834 beqz.n a3, 8e10bd <_xtos_alloca_handler+0x91> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:193 + 8e10b7: 0f1400 break 1, 15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:195 + 8e10ba: 03110c sub a1, a1, a3 + +008e10bd <_xtos_alloca_handler+0x91>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:200 + 8e10bd: 225c70 addi a2, a5, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:205 + 8e10c0: 240a70 movi a4, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:206 + 8e10c3: 05130c sub a3, a1, a5 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:207 + 8e10c6: 743b16 bgeu a3, a4, 8e10e0 <_xtos_alloca_handler+0xb4> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:210 + 8e10c9: 04550c sub a5, a5, a4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:211 + 8e10cc: 235220 l32i a3, a5, 128 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:212 + 8e10cf: 245221 l32i a4, a5, 132 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:213 + 8e10d2: 9354 s32i.n a3, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:214 + 8e10d4: 9455 s32i.n a4, a5, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:215 + 8e10d6: 235222 l32i a3, a5, 136 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:216 + 8e10d9: 245223 l32i a4, a5, 140 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:217 + 8e10dc: 9356 s32i.n a3, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:218 + 8e10de: 9457 s32i.n a4, a5, 28 + +008e10e0 <_xtos_alloca_handler+0xb4>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:223 + 8e10e0: 032c90 l32e a3, a2, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:224 + 8e10e3: 042d90 l32e a4, a2, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:225 + 8e10e6: 031c94 s32e a3, a1, -16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:226 + 8e10e9: 041d94 s32e a4, a1, -12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:227 + 8e10ec: 032e90 l32e a3, a2, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:228 + 8e10ef: 042f90 l32e a4, a2, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:229 + 8e10f2: 031e94 s32e a3, a1, -8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:230 + 8e10f5: 041f94 s32e a4, a1, -4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:246 + 8e10f8: 8254 l32i.n a2, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:247 + 8e10fa: 8355 l32i.n a3, a5, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:248 + 8e10fc: 8456 l32i.n a4, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:249 + 8e10fe: 8557 l32i.n a5, a5, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:250 + 8e1100: 000300 rfe + +008e1103 <_xtos_alloca_handler+0xd7>: + ... + +008e1104 <_xtos_syscall_handler>: +_xtos_syscall_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:74 + 8e1104: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:80 + 8e1107: 020130 rsr.lend a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:81 + 8e110a: b333 addi.n a3, a3, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:82 + 8e110c: 73290c bne a2, a3, 8e111c <_xtos_syscall_handler+0x18> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:83 + 8e110f: 020230 rsr.lcount a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:84 + 8e1112: c826 beqz.n a2, 8e111c <_xtos_syscall_handler+0x18> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:85 + 8e1114: b022 addi.n a2, a2, -1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:86 + 8e1116: 020231 wsr.lcount a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:87 + 8e1119: 030030 rsr.lbeg a3 + +008e111c <_xtos_syscall_handler+0x18>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:88 + 8e111c: 8214 l32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:94 + 8e111e: 03b131 wsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:95 + 8e1121: 8315 l32i.n a3, a1, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:99 + 8e1123: 652044 bnez a2, 8e116b <_SyscallException+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:106 + 8e1126: 231615 s32i a3, a1, 84 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:107 + 8e1129: 241616 s32i a4, a1, 88 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:108 + 8e112c: 251617 s32i a5, a1, 92 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:110 + 8e112f: 130008 l32r a3, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:111 + 8e1132: 02e630 rsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:112 + 8e1135: 03e631 wsr.ps a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:114 + 8e1138: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:115 + 8e113b: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:116 + 8e113e: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:123 + 8e1141: c040 movi.n a4, 0 + +008e1143 <_SyscallException>: +_SyscallException(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:129 + 8e1143: 6c1008 entry a1, 64 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:131 + 8e1146: 5c0007 call12 8e1164 <_SyscallException+0x21> + +008e1149 <_SyscallException+0x6>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:132 + 8e1149: 1e0009 l32r a14, 4e8024 <_lit4_start+0x24> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:133 + 8e114c: aec0 add.n a0, a12, a14 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:134 + 8e114e: d10f retw.n + +008e1150 <_SyscallException+0xd>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:137 + 8e1150: 02e631 wsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:138 + 8e1153: c020 movi.n a2, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:139 + 8e1155: 845a l32i.n a4, a5, 40 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:140 + 8e1157: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:141 + 8e115a: 03b131 wsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:142 + 8e115d: 8359 l32i.n a3, a5, 36 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:143 + 8e115f: 855b l32i.n a5, a5, 44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:144 + 8e1161: 000300 rfe + +008e1164 <_SyscallException+0x21>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:150 + 8e1164: 6c1006 entry a1, 48 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:152 + 8e1167: dff0 mov.n a15, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:163 + 8e1169: d10f retw.n + +008e116b <_SyscallException+0x28>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:168 + 8e116b: c72f movi.n a2, -1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:169 + 8e116d: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:170 + 8e1170: 000300 rfe + +008e1173 <_SyscallException+0x30>: + ... + +008e1174 <_xtos_l1int_handler>: +_xtos_l1int_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:69 + 8e1174: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:85 + 8e1176: 120008 l32r a2, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:87 + 8e1179: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:88 + 8e117c: 02e616 xsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:98 + 8e117f: 9310 s32i.n a3, a1, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:99 + 8e1181: 9211 s32i.n a2, a1, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:170 + 8e1183: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:172 + 8e1186: 12000a l32r a2, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:174 + 8e1189: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:257 + 8e118c: 032402 or a4, a2, a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:258 + 8e118f: 042409 addx2 a4, a2, a4 + +008e1192 <_LevelOneInterrupt>: +_LevelOneInterrupt(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:266 + 8e1192: 6c100e entry a1, 112 + +008e1195 <_LevelOneInterrupt+0x3>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:315 + 8e1195: 0f1600 rsil a15, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:345 + 8e1198: c0d1 movi.n a13, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:348 + 8e119a: 1c000b l32r a12, 4e802c <_lit4_start+0x2c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:350 + 8e119d: 0de331 wsr.intclear a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:554 + 8e11a0: 0d0230 rsr.lcount a13 + 8e11a3: 0f0030 rsr.lbeg a15 + 8e11a6: 9d19 s32i.n a13, a1, 36 + 8e11a8: 0d0130 rsr.lend a13 + 8e11ab: 9f1a s32i.n a15, a1, 40 + 8e11ad: 9d1b s32i.n a13, a1, 44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:556 + 8e11af: 0f0330 rsr.sar a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:561 + 8e11b2: 8dc0 l32i.n a13, a12, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:562 + 8e11b4: 9f12 s32i.n a15, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:570 + 8e11b6: df10 mov.n a15, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:571 + 8e11b8: 8ec1 l32i.n a14, a12, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:572 + 8e11ba: 0fd000 callx12 a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:576 + 8e11bd: 8d19 l32i.n a13, a1, 36 + 8e11bf: 8e1a l32i.n a14, a1, 40 + 8e11c1: 8f1b l32i.n a15, a1, 44 + 8e11c3: 0d0231 wsr.lcount a13 + 8e11c6: 0e0031 wsr.lbeg a14 + 8e11c9: 0f0131 wsr.lend a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:578 + 8e11cc: 8c12 l32i.n a12, a1, 8 + +008e11ce : +spurious_int(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:684 + 8e11ce: 10000c l32r a0, 4e8030 <_lit4_start+0x30> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:692 + 8e11d1: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:693 + 8e11d4: 0c0331 wsr.sar a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:694 + 8e11d7: 0d0002 or a0, a0, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:695 + 8e11da: 00d009 addx2 a0, a13, a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:698 + 8e11dd: 0d3600 rsil a13, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:701 + 8e11e0: d10f retw.n + +008e11e2 : + ... + +008e11e4 <_xtos_set_interrupt_handler_arg>: +_xtos_set_interrupt_handler_arg(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:31 + 8e11e4: 6c1004 entry a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:36 + 8e11e7: c152 movi.n a5, 18 + 8e11e9: 72533b bltu a5, a2, 8e1228 <_xtos_set_interrupt_handler_arg+0x44> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:38 + 8e11ec: 18000d l32r a8, 4e8034 <_lit4_start+0x34> + 8e11ef: a828 add.n a8, a2, a8 + 8e11f1: 288000 l8ui a8, a8, 0 + 8e11f4: 17000e l32r a7, 4e8038 <_lit4_start+0x38> + 8e11f7: 6f8531 bgeui a8, 5, 8e122c <_xtos_set_interrupt_handler_arg+0x48> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:41 + 8e11fa: 0d2811 slli a8, a2, 3 + 8e11fd: 08770c sub a7, a7, a8 + 8e1200: 18000f l32r a8, 4e803c <_lit4_start+0x3c> + 8e1203: 267224 l32i a6, a7, 144 + 8e1206: c83e beqz.n a3, 8e1218 <_xtos_set_interrupt_handler_arg+0x34> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:49 + 8e1208: 237624 s32i a3, a7, 144 + 8e120b: 247625 s32i a4, a7, 148 + 8e120e: c020 movi.n a2, 0 + 8e1210: 08690c sub a9, a6, a8 + 8e1213: 096239 movnez a2, a6, a9 + 8e1216: d10f retw.n + +008e1218 <_xtos_set_interrupt_handler_arg+0x34>: + 8e1218: 287624 s32i a8, a7, 144 + 8e121b: 227625 s32i a2, a7, 148 + 8e121e: 086a0c sub a10, a6, a8 + 8e1221: c020 movi.n a2, 0 + 8e1223: 0a6239 movnez a2, a6, a10 + 8e1226: d10f retw.n + +008e1228 <_xtos_set_interrupt_handler_arg+0x44>: + 8e1228: c020 movi.n a2, 0 + 8e122a: d10f retw.n + +008e122c <_xtos_set_interrupt_handler_arg+0x48>: + 8e122c: c020 movi.n a2, 0 + 8e122e: d10f retw.n + +008e1230 <_xtos_set_interrupt_handler>: +_xtos_set_interrupt_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:57 + 8e1230: 6c1004 entry a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:58 + 8e1233: da20 mov.n a10, a2 + 8e1235: db30 mov.n a11, a3 + 8e1237: dc20 mov.n a12, a2 + 8e1239: 5bffea call8 8e11e4 <_xtos_set_interrupt_handler_arg> + 8e123c: d2a0 mov.n a2, a10 + 8e123e: d10f retw.n + +008e1240 <_Level2FromVector>: +_Level2FromVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:53 + 8e1240: 02d216 xsr.excsave2 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:57 + 8e1243: 211c90 addi a1, a1, -112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:58 + 8e1246: 9214 s32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:62 + 8e1248: 120008 l32r a2, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:64 + 8e124b: 9416 s32i.n a4, a1, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:65 + 8e124d: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:66 + 8e124f: 02e631 wsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:67 + 8e1252: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:84 + 8e1255: c040 movi.n a4, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:85 + 8e1257: 211c70 addi a1, a1, 112 + +008e125a <_Level2FromVector+0x1a>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:86 + 8e125a: 6c100e entry a1, 112 + +008e125d <_Level2FromVector+0x1d>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:93 + 8e125d: 0f2600 rsil a15, 2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:129 + 8e1260: 0fe230 rsr.interrupt a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:130 + 8e1263: 0ce430 rsr.intenable a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:131 + 8e1266: 1d0010 l32r a13, 4e8040 <_lit4_start+0x40> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:132 + 8e1269: 0cff01 and a15, a15, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:133 + 8e126c: 0dff01 and a15, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:134 + 8e126f: 0e0330 rsr.sar a14 + +008e1272 <_Level2FromVector+0x32>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:135 + 8e1272: 64f05e beqz a15, 8e12d4 + +008e1275 <_Level2FromVector+0x35>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:136 + 8e1275: 9e12 s32i.n a14, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:137 + 8e1277: 0d0230 rsr.lcount a13 + 8e127a: 0e0030 rsr.lbeg a14 + 8e127d: 9d19 s32i.n a13, a1, 36 + 8e127f: 0d0130 rsr.lend a13 + 8e1282: 2e160a s32i a14, a1, 40 + 8e1285: 2d160b s32i a13, a1, 44 + +008e1288 <_Level2FromVector+0x48>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:142 + 8e1288: 0f0c06 neg a12, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:143 + 8e128b: 0fcc01 and a12, a12, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:144 + 8e128e: 0ce331 wsr.intclear a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:145 + 8e1291: 1d000e l32r a13, 4e8038 <_lit4_start+0x38> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:146 + 8e1294: c1ef movi.n a14, 31 + 8e1296: 0ccf04 nsau a12, a12 + 8e1299: 0cef0c sub a15, a14, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:147 + 8e129c: 0f0f06 neg a15, a15 + 8e129f: 2ffc12 addi a15, a15, 18 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:148 + 8e12a2: 0dfc0b addx8 a12, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:149 + 8e12a5: 8dc0 l32i.n a13, a12, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:155 + 8e12a7: 2ec201 l32i a14, a12, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:156 + 8e12aa: 011f02 or a15, a1, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:157 + 8e12ad: 0fd000 callx12 a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:159 + 8e12b0: 0fe230 rsr.interrupt a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:160 + 8e12b3: 0ce430 rsr.intenable a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:161 + 8e12b6: 1d0010 l32r a13, 4e8040 <_lit4_start+0x40> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:162 + 8e12b9: 0cff01 and a15, a15, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:163 + 8e12bc: 0dff01 and a15, a15, a13 + +008e12bf <_Level2FromVector+0x7f>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:164 + 8e12bf: 65ffc5 bnez a15, 8e1288 <_Level2FromVector+0x48> + +008e12c2 <_Level2FromVector+0x82>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:170 + 8e12c2: 8d19 l32i.n a13, a1, 36 + 8e12c4: 8e1a l32i.n a14, a1, 40 + 8e12c6: 8f1b l32i.n a15, a1, 44 + 8e12c8: 0d0231 wsr.lcount a13 + 8e12cb: 0e0031 wsr.lbeg a14 + 8e12ce: 0f0131 wsr.lend a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:171 + 8e12d1: 2e1202 l32i a14, a1, 8 + +008e12d4 : +spurious2int(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:196 + 8e12d4: 100011 l32r a0, 4e8044 <_lit4_start+0x44> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:197 + 8e12d7: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:198 + 8e12da: 0e0331 wsr.sar a14 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:199 + 8e12dd: 0d0002 or a0, a0, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:200 + 8e12e0: 00d009 addx2 a0, a13, a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:206 + 8e12e3: 0e3600 rsil a14, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:208 + 8e12e6: d10f retw.n + +008e12e8 : +return2from_exc(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:210 + 8e12e8: 8254 l32i.n a2, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:211 + 8e12ea: 8456 l32i.n a4, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:212 + 8e12ec: 8557 l32i.n a5, a5, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:213 + 8e12ee: 012300 rfi 2 + +008e12f1 <_Level2HandlerLabel>: +_Level2HandlerLabel(): + 8e12f1: 000000 ... + +008e12f4 <_Level3FromVector>: +_Level3FromVector(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:53 + 8e12f4: 02d316 xsr.excsave3 a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:57 + 8e12f7: 211c90 addi a1, a1, -112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:58 + 8e12fa: 9214 s32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:62 + 8e12fc: 120008 l32r a2, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:64 + 8e12ff: 9416 s32i.n a4, a1, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:65 + 8e1301: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:66 + 8e1303: 02e631 wsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:67 + 8e1306: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:84 + 8e1309: c040 movi.n a4, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:85 + 8e130b: 211c70 addi a1, a1, 112 + +008e130e <_Level3FromVector+0x1a>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:86 + 8e130e: 6c100e entry a1, 112 + +008e1311 <_Level3FromVector+0x1d>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:93 + 8e1311: 0f3600 rsil a15, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:129 + 8e1314: 0fe230 rsr.interrupt a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:130 + 8e1317: 0ce430 rsr.intenable a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:131 + 8e131a: 1d0012 l32r a13, 4e8048 <_lit4_start+0x48> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:132 + 8e131d: 0cff01 and a15, a15, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:133 + 8e1320: 0dff01 and a15, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:134 + 8e1323: 0e0330 rsr.sar a14 + +008e1326 <_Level3FromVector+0x32>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:135 + 8e1326: 64f05e beqz a15, 8e1388 + +008e1329 <_Level3FromVector+0x35>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:136 + 8e1329: 9e12 s32i.n a14, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:137 + 8e132b: 0d0230 rsr.lcount a13 + 8e132e: 0e0030 rsr.lbeg a14 + 8e1331: 9d19 s32i.n a13, a1, 36 + 8e1333: 0d0130 rsr.lend a13 + 8e1336: 2e160a s32i a14, a1, 40 + 8e1339: 2d160b s32i a13, a1, 44 + +008e133c <_Level3FromVector+0x48>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:142 + 8e133c: 0f0c06 neg a12, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:143 + 8e133f: 0fcc01 and a12, a12, a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:144 + 8e1342: 0ce331 wsr.intclear a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:145 + 8e1345: 1d000e l32r a13, 4e8038 <_lit4_start+0x38> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:146 + 8e1348: c1ef movi.n a14, 31 + 8e134a: 0ccf04 nsau a12, a12 + 8e134d: 0cef0c sub a15, a14, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:147 + 8e1350: 0f0f06 neg a15, a15 + 8e1353: 2ffc12 addi a15, a15, 18 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:148 + 8e1356: 0dfc0b addx8 a12, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:149 + 8e1359: 8dc0 l32i.n a13, a12, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:155 + 8e135b: 2ec201 l32i a14, a12, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:156 + 8e135e: 011f02 or a15, a1, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:157 + 8e1361: 0fd000 callx12 a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:159 + 8e1364: 0fe230 rsr.interrupt a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:160 + 8e1367: 0ce430 rsr.intenable a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:161 + 8e136a: 1d0012 l32r a13, 4e8048 <_lit4_start+0x48> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:162 + 8e136d: 0cff01 and a15, a15, a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:163 + 8e1370: 0dff01 and a15, a15, a13 + +008e1373 <_Level3FromVector+0x7f>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:164 + 8e1373: 65ffc5 bnez a15, 8e133c <_Level3FromVector+0x48> + +008e1376 <_Level3FromVector+0x82>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:170 + 8e1376: 8d19 l32i.n a13, a1, 36 + 8e1378: 8e1a l32i.n a14, a1, 40 + 8e137a: 8f1b l32i.n a15, a1, 44 + 8e137c: 0d0231 wsr.lcount a13 + 8e137f: 0e0031 wsr.lbeg a14 + 8e1382: 0f0131 wsr.lend a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:171 + 8e1385: 2e1202 l32i a14, a1, 8 + +008e1388 : +spurious3int(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:196 + 8e1388: 100013 l32r a0, 4e804c <_lit4_start+0x4c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:197 + 8e138b: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:198 + 8e138e: 0e0331 wsr.sar a14 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:199 + 8e1391: 0d0002 or a0, a0, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:200 + 8e1394: 00d009 addx2 a0, a13, a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:208 + 8e1397: d10f retw.n + +008e1399 : +return3from_exc(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:210 + 8e1399: 8254 l32i.n a2, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:211 + 8e139b: 8456 l32i.n a4, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:212 + 8e139d: 8557 l32i.n a5, a5, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:213 + 8e139f: 013300 rfi 3 + +008e13a2 <_Level3HandlerLabel>: + ... + +008e13a4 : +post(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:119 + 8e13a4: 6c1006 entry a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:121 + 8e13a7: da10 mov.n a10, a1 + 8e13a9: 1b0014 l32r a11, 4e8050 <_lit4_start+0x50> + 8e13ac: c1c0 movi.n a12, 16 + 8e13ae: 58159e call8 8e6a28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:148 + 8e13b1: c020 movi.n a2, 0 + 8e13b3: 160015 l32r a6, 4e8054 <_lit4_start+0x54> + 8e13b6: d410 mov.n a4, a1 + 8e13b8: 130016 l32r a3, 4e8058 <_lit4_start+0x58> + 8e13bb: 150017 l32r a5, 4e805c <_lit4_start+0x5c> + 8e13be: 170018 l32r a7, 4e8060 <_lit4_start+0x60> + +008e13c1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:165 + 8e13c1: 04280a addx4 a8, a2, a4 + 8e13c4: 8880 l32i.n a8, a8, 0 + 8e13c6: 0c0200 memw + 8e13c9: 283680 s32i a8, a3, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:166 + 8e13cc: 0c0200 memw + 8e13cf: 293280 l32i a9, a3, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:167 + 8e13d2: 798117 beq a8, a9, 8e13ed +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:170 + 8e13d5: 287216 l32i a8, a7, 88 + 8e13d8: 1a0019 l32r a10, 4e8064 <_lit4_start+0x64> + +008e13db : + 8e13db: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:171 + 8e13de: 0c0200 memw + 8e13e1: 29627d l32i a9, a6, 0x1f4 + 8e13e4: 059902 or a9, a9, a5 + 8e13e7: 0c0200 memw + 8e13ea: 29667d s32i a9, a6, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:173 + 8e13ed: b122 addi.n a2, a2, 1 + 8e13ef: 02024f extui a2, a2, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:148 + 8e13f2: 6924cb bnei a2, 4, 8e13c1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:180 + 8e13f5: d10f retw.n + +008e13f7 : + ... + +008e13f8 : +Magpie_fatal_exception_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:188 + 8e13f8: 6c1016 entry a1, 176 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:191 + 8e13fb: 2a1c10 addi a10, a1, 16 + 8e13fe: db20 mov.n a11, a2 + 8e1400: 2c0a80 movi a12, 128 + 8e1403: 581589 call8 8e6a28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:192 + 8e1406: 0dee30 rsr.excvaddr a13 + 8e1409: 9d13 s32i.n a13, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:193 + 8e140b: 0be830 rsr.exccause a11 + 8e140e: 1a001a l32r a10, 4e8068 <_lit4_start+0x68> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:198 + 8e1411: de10 mov.n a14, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:195 + 8e1413: c090 movi.n a9, 0 + 8e1415: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:193 + 8e1418: 9b1c s32i.n a11, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:194 + 8e141a: 8c20 l32i.n a12, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:198 + 8e141c: 288212 l32i a8, a8, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:194 + 8e141f: 9c12 s32i.n a12, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:195 + 8e1421: 9911 s32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:198 + 8e1423: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:202 + 8e1426: d10f retw.n + +008e1428 : +athos_linkage_check(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:209 + 8e1428: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:210 + 8e142b: 69280e bnei a2, 8, 8e143d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:214 + 8e142e: 8830 l32i.n a8, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:219 + 8e1430: 8a31 l32i.n a10, a3, 4 + 8e1432: 293a4c movi a9, 0x34c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:214 + 8e1435: 698404 bnei a8, 4, 8e143d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:219 + 8e1438: 79a111 beq a10, a9, 8e144d + 8e143b: c8ae beqz.n a10, 8e144d + +008e143d : + 8e143d: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:227 + 8e1440: 288216 l32i a8, a8, 88 + 8e1443: 1a001b l32r a10, 4e806c <_lit4_start+0x6c> + 8e1446: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:228 + 8e1449: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:223 + 8e144b: d10f retw.n + +008e144d : + 8e144d: c021 movi.n a2, 1 + 8e144f: d10f retw.n + +008e1451 : + 8e1451: 000000 ... + +008e1454 : +athos_block_all_intrlvl(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:239 + 8e1454: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:247 + 8e1457: 022600 rsil a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:249 + 8e145a: 020243 extui a2, a2, 0, 4 + 8e145d: d10f retw.n + +008e145f : + ... + +008e1460 : +athos_unblock_all_intrlvl(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:254 + 8e1460: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:257 + 8e1463: 020600 rsil a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:258 + 8e1466: d10f retw.n + +008e1468 : +athos_restore_intrlvl(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:262 + 8e1468: 6c1004 entry a1, 32 + 8e146b: cc21 bnez.n a2, 8e1470 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:264 + 8e146d: 5bfffc call8 8e1460 + +008e1470 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:266 + 8e1470: d10f retw.n + +008e1472 : + ... + +008e1474 : +AR6002_misaligned_load_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:272 + 8e1474: 6c1016 entry a1, 176 + 8e1477: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:277 + 8e147a: 283212 l32i a8, a3, 72 + 8e147d: 1a001c l32r a10, 4e8070 <_lit4_start+0x70> + 8e1480: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:282 + 8e1483: 2a1c10 addi a10, a1, 16 + 8e1486: db20 mov.n a11, a2 + 8e1488: 2c0a80 movi a12, 128 + 8e148b: 581567 call8 8e6a28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:283 + 8e148e: 0aee30 rsr.excvaddr a10 + 8e1491: 9a13 s32i.n a10, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:284 + 8e1493: 8920 l32i.n a9, a2, 0 + 8e1495: 9912 s32i.n a9, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:286 + 8e1497: d210 mov.n a2, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:289 + 8e1499: 5813d9 call8 8e6400 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:297 + 8e149c: 011902 or a9, a1, a1 + 8e149f: 2ddaf8 movi a13, 0xfffffdf8 + 8e14a2: 2b0a05 movi a11, 5 + 8e14a5: 6dba20 loopgtz a11, 8e14c9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:298 + 8e14a8: ad2e add.n a14, a2, a13 + 8e14aa: 2ce27e l32i a12, a14, 0x1f8 + 8e14ad: 2c9610 s32i a12, a9, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:299 + 8e14b0: 2ae27f l32i a10, a14, 0x1fc + 8e14b3: 2a9611 s32i a10, a9, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:300 + 8e14b6: 2fe280 l32i a15, a14, 0x200 + 8e14b9: 2f9612 s32i a15, a9, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:301 + 8e14bc: 2ee281 l32i a14, a14, 0x204 + 8e14bf: 2e9613 s32i a14, a9, 76 + 8e14c2: c8c3 beqz.n a12, 8e14c9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:306 + 8e14c4: 299c10 addi a9, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:305 + 8e14c7: d2a0 mov.n a2, a10 + +008e14c9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:309 + 8e14c9: 883b l32i.n a8, a3, 44 + 8e14cb: da10 mov.n a10, a1 + 8e14cd: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:311 + 8e14d0: d10f retw.n + +008e14d2 : + ... + +008e14d4 : +AR6002_fatal_exception_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:315 + 8e14d4: 6c1016 entry a1, 176 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:319 + 8e14d7: 2a1c10 addi a10, a1, 16 + 8e14da: db20 mov.n a11, a2 + 8e14dc: 2c0a80 movi a12, 128 + 8e14df: 581552 call8 8e6a28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:320 + 8e14e2: 0dee30 rsr.excvaddr a13 + 8e14e5: 9d13 s32i.n a13, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:321 + 8e14e7: 0be830 rsr.exccause a11 + 8e14ea: 1a001d l32r a10, 4e8074 <_lit4_start+0x74> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:327 + 8e14ed: de10 mov.n a14, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:323 + 8e14ef: c090 movi.n a9, 0 + 8e14f1: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:321 + 8e14f4: 9b1c s32i.n a11, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:322 + 8e14f6: 8c20 l32i.n a12, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:327 + 8e14f8: 283212 l32i a8, a3, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:322 + 8e14fb: 9c12 s32i.n a12, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:323 + 8e14fd: 9911 s32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:327 + 8e14ff: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:328 + 8e1502: 0bb130 rsr.epc1 a11 + 8e1505: 0cb230 rsr.epc2 a12 + 8e1508: 0db330 rsr.epc3 a13 + 8e150b: 0eb430 rsr.epc4 a14 + 8e150e: 283212 l32i a8, a3, 72 + 8e1511: 1a001e l32r a10, 4e8078 <_lit4_start+0x78> + 8e1514: 0b8000 callx8 a8 + 8e1517: 1d0015 l32r a13, 4e8054 <_lit4_start+0x54> + 8e151a: 1a001f l32r a10, 4e807c <_lit4_start+0x7c> + 8e151d: 0c0200 memw + 8e1520: 2bd27d l32i a11, a13, 0x1f4 + 8e1523: 0c0200 memw + 8e1526: 2cd27e l32i a12, a13, 0x1f8 + 8e1529: 0c0200 memw + 8e152c: 283212 l32i a8, a3, 72 + 8e152f: 2dd27f l32i a13, a13, 0x1fc + 8e1532: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:333 + 8e1535: 883a l32i.n a8, a3, 40 + 8e1537: da10 mov.n a10, a1 + 8e1539: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:341 + 8e153c: 283259 l32i a8, a3, 0x164 + 8e153f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:344 + 8e1542: 63fffc j 8e1542 + +008e1545 : + 8e1545: 000000 ... + +008e1548 : +generic_hif_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:446 + 8e1548: 6c1004 entry a1, 32 + 8e154b: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:449 + 8e154e: 888e l32i.n a8, a8, 56 + 8e1550: 0b8000 callx8 a8 + 8e1553: c8ae beqz.n a10, 8e1565 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:467 + 8e1555: 68a105 beqi a10, 1, 8e155e + 8e1558: 68a210 beqi a10, 2, 8e156c + 8e155b: 69a30b bnei a10, 3, 8e156a + +008e155e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:458 + 8e155e: da20 mov.n a10, a2 + 8e1560: 58075b call8 8e32d0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:468 + 8e1563: d10f retw.n + +008e1565 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:453 + 8e1565: da20 mov.n a10, a2 + 8e1567: 580875 call8 8e373c + +008e156a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:468 + 8e156a: d10f retw.n + +008e156c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:464 + 8e156c: da20 mov.n a10, a2 + 8e156e: 58124b call8 8e5e9c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:468 + 8e1571: d10f retw.n + +008e1573 : + ... + +008e1574 : +athos_indirection_table_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:472 + 8e1574: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:481 + 8e1577: c0a0 movi.n a10, 0 + 8e1579: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e157c: 120020 l32r a2, 4e8080 <_lit4_start+0x80> + 8e157f: 283a4c movi a8, 0x34c + 8e1582: 033902 or a9, a3, a3 + 8e1585: 6d8a04 loopgtz a8, 8e158d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:482 + 8e1588: 2a9400 s8i a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:483 + 8e158b: b199 addi.n a9, a9, 1 + +008e158d : + 8e158d: 140021 l32r a4, 4e8084 <_lit4_start+0x84> + +008e1590 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:492 + 8e1590: 8b20 l32i.n a11, a2, 0 + 8e1592: c8b3 beqz.n a11, 8e1599 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:493 + 8e1594: 8a21 l32i.n a10, a2, 4 + +008e1596 : + 8e1596: 0bb000 callx8 a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:494 + 8e1599: b822 addi.n a2, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:491 + 8e159b: 7429f1 bne a2, a4, 8e1590 + 8e159e: 1c0027 l32r a12, 4e809c <_lit4_start+0x9c> + 8e15a1: 1a0028 l32r a10, 4e80a0 <_lit4_start+0xa0> + 8e15a4: 1d0026 l32r a13, 4e8098 <_lit4_start+0x98> + 8e15a7: 1e0025 l32r a14, 4e8094 <_lit4_start+0x94> + 8e15aa: 1f0024 l32r a15, 4e8090 <_lit4_start+0x90> + 8e15ad: 1b0022 l32r a11, 4e8088 <_lit4_start+0x88> + 8e15b0: 180023 l32r a8, 4e808c <_lit4_start+0x8c> + 8e15b3: 190029 l32r a9, 4e80a4 <_lit4_start+0xa4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:503 + 8e15b6: 9930 s32i.n a9, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:509 + 8e15b8: 98bd s32i.n a8, a11, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:510 + 8e15ba: 9fbe s32i.n a15, a11, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:511 + 8e15bc: 9ebf s32i.n a14, a11, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:512 + 8e15be: 2db610 s32i a13, a11, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:514 + 8e15c1: 9ab9 s32i.n a10, a11, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:513 + 8e15c3: 9cb8 s32i.n a12, a11, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:518 + 8e15c5: c0a9 movi.n a10, 9 + 8e15c7: 1b002a l32r a11, 4e80a8 <_lit4_start+0xa8> + 8e15ca: 581310 call8 8e620c <_xtos_set_exception_handler> + 8e15cd: 12002b l32r a2, 4e80ac <_lit4_start+0xac> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:519 + 8e15d0: c0a3 movi.n a10, 3 + 8e15d2: db20 mov.n a11, a2 + 8e15d4: 58130d call8 8e620c <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:520 + 8e15d7: c0a0 movi.n a10, 0 + 8e15d9: db20 mov.n a11, a2 + 8e15db: 58130c call8 8e620c <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:521 + 8e15de: c0a2 movi.n a10, 2 + 8e15e0: db20 mov.n a11, a2 + 8e15e2: 58130a call8 8e620c <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:522 + 8e15e5: c0a8 movi.n a10, 8 + 8e15e7: db20 mov.n a11, a2 + 8e15e9: 581308 call8 8e620c <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:523 + 8e15ec: c0ac movi.n a10, 12 + 8e15ee: db20 mov.n a11, a2 + 8e15f0: 581306 call8 8e620c <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:524 + 8e15f3: c0ad movi.n a10, 13 + 8e15f5: db20 mov.n a11, a2 + 8e15f7: 581305 call8 8e620c <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:525 + 8e15fa: db20 mov.n a11, a2 + 8e15fc: c0a6 movi.n a10, 6 + 8e15fe: 581303 call8 8e620c <_xtos_set_exception_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:526 + 8e1601: d10f retw.n + +008e1603 : + ... + +008e1604 : +athos_interrupt_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:540 + 8e1604: 6c1004 entry a1, 32 + 8e1607: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:541 + 8e160a: 288247 l32i a8, a8, 0x11c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:540 + 8e160d: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:541 + 8e160f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:542 + 8e1612: d10f retw.n + +008e1614 : +athos_interrupt_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:547 + 8e1614: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:550 + 8e1617: c230 movi.n a3, 32 + 8e1619: 150015 l32r a5, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:547 + 8e161c: 26fa00 movi a6, 0xffffff00 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:550 + 8e161f: 0c0200 memw + 8e1622: 22527d l32i a2, a5, 0x1f4 + 8e1625: 062201 and a2, a2, a6 + 8e1628: 032202 or a2, a2, a3 + 8e162b: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:552 + 8e162e: c133 movi.n a3, 19 + 8e1630: 14002c l32r a4, 4e80b0 <_lit4_start+0xb0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:550 + 8e1633: 22567d s32i a2, a5, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:552 + 8e1636: c020 movi.n a2, 0 + +008e1638 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:553 + 8e1638: db40 mov.n a11, a4 + 8e163a: da20 mov.n a10, a2 + 8e163c: 5bfefc call8 8e1230 <_xtos_set_interrupt_handler> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:554 + 8e163f: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:552 + 8e1641: 7329f3 bne a2, a3, 8e1638 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:556 + 8e1644: c2a1 movi.n a10, 33 + 8e1646: 0c0200 memw + 8e1649: 29527d l32i a9, a5, 0x1f4 + 8e164c: 069901 and a9, a9, a6 + 8e164f: 0a9902 or a9, a9, a10 + 8e1652: 0c0200 memw + 8e1655: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:557 + 8e1658: 288246 l32i a8, a8, 0x118 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:556 + 8e165b: 29567d s32i a9, a5, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:557 + 8e165e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:560 + 8e1661: c2b2 movi.n a11, 34 + 8e1663: 0c0200 memw + 8e1666: 2a527d l32i a10, a5, 0x1f4 + 8e1669: 06aa01 and a10, a10, a6 + 8e166c: 0baa02 or a10, a10, a11 + 8e166f: 0c0200 memw + 8e1672: 2a567d s32i a10, a5, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:561 + 8e1675: 5bff7a call8 8e1460 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:563 + 8e1678: d10f retw.n + +008e167a : + ... + +008e167c : +athos_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:927 + 8e167c: 6c1004 entry a1, 32 + 8e167f: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:931 + 8e1682: 282238 l32i a8, a2, 224 + 8e1685: 1a002d l32r a10, 4e80b4 <_lit4_start+0xb4> + 8e1688: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:934 + 8e168b: 282213 l32i a8, a2, 76 + 8e168e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:935 + 8e1691: 282211 l32i a8, a2, 68 + 8e1694: 0b8000 callx8 a8 + 8e1697: 1a002e l32r a10, 4e80b8 <_lit4_start+0xb8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:941 + 8e169a: 282212 l32i a8, a2, 72 + 8e169d: 1b002f l32r a11, 4e80bc <_lit4_start+0xbc> + 8e16a0: 2c2a02 movi a12, 0x202 + 8e16a3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:943 + 8e16a6: 28225f l32i a8, a2, 0x17c + 8e16a9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:945 + 8e16ac: d10f retw.n + +008e16ae : + ... + +008e16b0 <_read_usb_desc>: +_read_usb_desc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:949 + 8e16b0: 6c1006 entry a1, 48 + 8e16b3: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:954 + 8e16b6: da30 mov.n a10, a3 + 8e16b8: 285260 l32i a8, a5, 0x180 + 8e16bb: c0b1 movi.n a11, 1 + 8e16bd: dc10 mov.n a12, a1 + 8e16bf: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:962 + 8e16c2: da30 mov.n a10, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:959 + 8e16c4: 2b1100 l16ui a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:962 + 8e16c7: dc20 mov.n a12, a2 + 8e16c9: 285260 l32i a8, a5, 0x180 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:959 + 8e16cc: 0b1b46 extui a11, a11, 1, 7 + 8e16cf: 0b4b36 minu a11, a4, a11 + 8e16d2: 0b0b4f extui a11, a11, 0, 16 + 8e16d5: 2b1500 s16i a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:962 + 8e16d8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:963 + 8e16db: 28523b l32i a8, a5, 236 + 8e16de: 2a1af4 movi a10, 0x1f4 + 8e16e1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:965 + 8e16e4: d10f retw.n + +008e16e6 <_read_usb_desc+0x36>: + ... + +008e16e8 : +read_usb_conf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:968 + 8e16e8: 6c1006 entry a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:969 + 8e16eb: c0a0 movi.n a10, 0 + 8e16ed: 9a10 s32i.n a10, a1, 0 + 8e16ef: 120015 l32r a2, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:968 + 8e16f2: 23fa00 movi a3, 0xffffff00 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:971 + 8e16f5: c0ac movi.n a10, 12 + 8e16f7: 0c0200 memw + 8e16fa: 29227d l32i a9, a2, 0x1f4 + 8e16fd: 039901 and a9, a9, a3 + 8e1700: 0a9902 or a9, a9, a10 + 8e1703: 0c0200 memw + 8e1706: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e1709: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:972 + 8e170c: 2a0a80 movi a10, 128 + 8e170f: 288260 l32i a8, a8, 0x180 + 8e1712: c0b2 movi.n a11, 2 + 8e1714: dc10 mov.n a12, a1 + 8e1716: 0b8000 callx8 a8 + 8e1719: 1c0030 l32r a12, 4e80c0 <_lit4_start+0xc0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:974 + 8e171c: 8b10 l32i.n a11, a1, 0 + 8e171e: 7cb967 bne a11, a12, 8e1789 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:976 + 8e1721: c186 movi.n a8, 22 + 8e1723: 0c0200 memw + 8e1726: 2f227d l32i a15, a2, 0x1f4 + 8e1729: 03ff01 and a15, a15, a3 + 8e172c: 08ff02 or a15, a15, a8 + 8e172f: 0c0200 memw + 8e1732: 2f267d s32i a15, a2, 0x1f4 + 8e1735: 1e0031 l32r a14, 4e80c4 <_lit4_start+0xc4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:977 + 8e1738: 0c0200 memw + 8e173b: 2d227d l32i a13, a2, 0x1f4 + 8e173e: 0edd02 or a13, a13, a14 + 8e1741: 0c0200 memw + 8e1744: 2d267d s32i a13, a2, 0x1f4 + 8e1747: 1a0003 l32r a10, 4e800c <_lit4_start+0xc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:981 + 8e174a: 2b0a80 movi a11, 128 + 8e174d: c0c2 movi.n a12, 2 + 8e174f: 5bffd8 call8 8e16b0 <_read_usb_desc> + 8e1752: 1a0032 l32r a10, 4e80c8 <_lit4_start+0xc8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:982 + 8e1755: 2b0a82 movi a11, 130 + 8e1758: c1c0 movi.n a12, 16 + 8e175a: 5bffd5 call8 8e16b0 <_read_usb_desc> + 8e175d: 1a0033 l32r a10, 4e80cc <_lit4_start+0xcc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:983 + 8e1760: 2b0a92 movi a11, 146 + 8e1763: c0c6 movi.n a12, 6 + 8e1765: 5bffd2 call8 8e16b0 <_read_usb_desc> + 8e1768: 1a0034 l32r a10, 4e80d0 <_lit4_start+0xd0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:984 + 8e176b: 2b0a98 movi a11, 152 + 8e176e: c0cc movi.n a12, 12 + 8e1770: 5bffcf call8 8e16b0 <_read_usb_desc> + 8e1773: 1a0035 l32r a10, 4e80d4 <_lit4_start+0xd4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:985 + 8e1776: 2b0aa4 movi a11, 164 + 8e1779: c1c0 movi.n a12, 16 + 8e177b: 5bffcd call8 8e16b0 <_read_usb_desc> + 8e177e: 1a0036 l32r a10, 4e80d8 <_lit4_start+0xd8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:986 + 8e1781: 2b0ab4 movi a11, 180 + 8e1784: c0c8 movi.n a12, 8 + 8e1786: 5bffca call8 8e16b0 <_read_usb_desc> + +008e1789 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:988 + 8e1789: d10f retw.n + +008e178b : + ... + +008e178c : +set_pci_conf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:992 + 8e178c: 6c1004 entry a1, 32 + 8e178f: c0b2 movi.n a11, 2 + 8e1791: 1d0037 l32r a13, 4e80dc <_lit4_start+0xdc> + 8e1794: 190039 l32r a9, 4e80e4 <_lit4_start+0xe4> + 8e1797: 120038 l32r a2, 4e80e0 <_lit4_start+0xe0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:997 + 8e179a: 0c0200 memw + 8e179d: 2f928a l32i a15, a9, 0x228 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:998 + 8e17a0: 0c0200 memw + 8e17a3: 1c003a l32r a12, 4e80e8 <_lit4_start+0xe8> + 8e17a6: 2a9280 l32i a10, a9, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1001 + 8e17a9: 0cfc01 and a12, a15, a12 + 8e17ac: 0c0200 memw + 8e17af: 2c968a s32i a12, a9, 0x228 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1002 + 8e17b2: 0bab02 or a11, a10, a11 + 8e17b5: 0c0200 memw + 8e17b8: 2b9680 s32i a11, a9, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1004 + 8e17bb: 0c0200 memw + 8e17be: 289281 l32i a8, a9, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1006 + 8e17c1: 0c0200 memw + 8e17c4: 2a9680 s32i a10, a9, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1007 + 8e17c7: 0c0200 memw + 8e17ca: 2f968a s32i a15, a9, 0x228 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1010 + 8e17cd: c1e0 movi.n a14, 16 + 8e17cf: 0c0200 memw + 8e17d2: 2cd286 l32i a12, a13, 0x218 + 8e17d5: 0ecc02 or a12, a12, a14 + 8e17d8: 0c0200 memw + 8e17db: 1b0018 l32r a11, 4e8060 <_lit4_start+0x60> + 8e17de: 2cd686 s32i a12, a13, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1012 + 8e17e1: 2bb212 l32i a11, a11, 72 + 8e17e4: 7e8766 bbci a8, 30, 8e184e + 8e17e7: 1a003b l32r a10, 4e80ec <_lit4_start+0xec> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1013 + 8e17ea: 0bb000 callx8 a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1015 + 8e17ed: c7ac movi.n a10, -4 + 8e17ef: 1b003c l32r a11, 4e80f0 <_lit4_start+0xf0> + 8e17f2: 0c0200 memw + 8e17f5: 190032 l32r a9, 4e80c8 <_lit4_start+0xc8> + 8e17f8: 1e003d l32r a14, 4e80f4 <_lit4_start+0xf4> + 8e17fb: 2bb280 l32i a11, a11, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1018 + 8e17fe: 0a9f01 and a15, a9, a10 + 8e1801: 0c0200 memw + 8e1804: 9ef0 s32i.n a14, a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1019 + 8e1806: b49d addi.n a13, a9, 4 + 8e1808: 1c003e l32r a12, 4e80f8 <_lit4_start+0xf8> + 8e180b: 0add01 and a13, a13, a10 + 8e180e: 0c0200 memw + 8e1811: 9cd0 s32i.n a12, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1020 + 8e1813: 010404 ssai 16 + 8e1816: b89c addi.n a12, a9, 8 + 8e1818: 0bbb18 src a11, a11, a11 + 8e181b: 0acc01 and a12, a12, a10 + 8e181e: 0c0200 memw + 8e1821: 9bc0 s32i.n a11, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1021 + 8e1823: bc99 addi.n a9, a9, 12 + 8e1825: c78f movi.n a8, -1 + 8e1827: 0a9901 and a9, a9, a10 + 8e182a: 0c0200 memw + 8e182d: 9890 s32i.n a8, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1023 + 8e182f: c0f0 movi.n a15, 0 + 8e1831: 0c0200 memw + 8e1834: 2f2680 s32i a15, a2, 0x200 + 8e1837: 1d003f l32r a13, 4e80fc <_lit4_start+0xfc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1028 + 8e183a: 0c0200 memw + 8e183d: 2d2681 s32i a13, a2, 0x204 + 8e1840: 1e0031 l32r a14, 4e80c4 <_lit4_start+0xc4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1029 + 8e1843: 0edd02 or a13, a13, a14 + 8e1846: 0c0200 memw + 8e1849: 2d2681 s32i a13, a2, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1035 + 8e184c: d10f retw.n + +008e184e : + 8e184e: 1a0040 l32r a10, 4e8100 <_lit4_start+0x100> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1031 + 8e1851: 0bb000 callx8 a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1033 + 8e1854: c0c1 movi.n a12, 1 + 8e1856: 0c0200 memw + 8e1859: 2c2680 s32i a12, a2, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1035 + 8e185c: d10f retw.n + +008e185e : + ... + +008e1860 : +bootload(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1040 + 8e1860: 6c1004 entry a1, 32 + 8e1863: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e1866: 1a0015 l32r a10, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1041 + 8e1869: c0ce movi.n a12, 14 + 8e186b: 2dfa00 movi a13, 0xffffff00 + 8e186e: 0c0200 memw + 8e1871: 2ba27d l32i a11, a10, 0x1f4 + 8e1874: 0dbb01 and a11, a11, a13 + 8e1877: 0cbb02 or a11, a11, a12 + 8e187a: 0c0200 memw + 8e187d: 2ba67d s32i a11, a10, 0x1f4 + 8e1880: 190041 l32r a9, 4e8104 <_lit4_start+0x104> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1042 + 8e1883: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1043 + 8e1886: 282216 l32i a8, a2, 88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1042 + 8e1889: 29a67c s32i a9, a10, 0x1f0 + 8e188c: 1a0042 l32r a10, 4e8108 <_lit4_start+0x108> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1043 + 8e188f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1050 + 8e1892: 28221d l32i a8, a2, 116 + 8e1895: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1044 + 8e1898: 63fff6 j 8e1892 + +008e189b : + ... + +008e189c : +pci_gmac_bootload(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1058 + 8e189c: 6c1004 entry a1, 32 + 8e189f: 1a0015 l32r a10, 4e8054 <_lit4_start+0x54> + 8e18a2: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e18a5: 190043 l32r a9, 4e810c <_lit4_start+0x10c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1059 + 8e18a8: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1060 + 8e18ab: 282216 l32i a8, a2, 88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1059 + 8e18ae: 29a67c s32i a9, a10, 0x1f0 + 8e18b1: 1a0042 l32r a10, 4e8108 <_lit4_start+0x108> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1060 + 8e18b4: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1062 + 8e18b7: 28227b l32i a8, a2, 0x1ec + 8e18ba: c0a0 movi.n a10, 0 + 8e18bc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1063 + 8e18bf: 282217 l32i a8, a2, 92 + 8e18c2: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1061 + 8e18c5: 63ffee j 8e18b7 + +008e18c8 : +turn_off_rc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1076 + 8e18c8: 6c1004 entry a1, 32 + 8e18cb: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> + 8e18ce: 1b0044 l32r a11, 4e8110 <_lit4_start+0x110> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1083 + 8e18d1: 297a80 movi a9, 0x780 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1080 + 8e18d4: c0a0 movi.n a10, 0 + 8e18d6: 2ab500 s16i a10, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1083 + 8e18d9: 0c0200 memw + 8e18dc: 283284 l32i a8, a3, 0x210 + 8e18df: 098802 or a8, a8, a9 + 8e18e2: 0c0200 memw + 8e18e5: 283684 s32i a8, a3, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1086 + 8e18e8: c042 movi.n a4, 2 + 8e18ea: 0c0200 memw + 8e18ed: 223286 l32i a2, a3, 0x218 + 8e18f0: 042202 or a2, a2, a4 + 8e18f3: 0c0200 memw + 8e18f6: 223686 s32i a2, a3, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1087 + 8e18f9: d10f retw.n + +008e18fb : + ... + +008e18fc : +bootentry(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1091 + 8e18fc: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1099 + 8e18ff: 5bff1d call8 8e1574 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1101 + 8e1902: c0a1 movi.n a10, 1 + 8e1904: 120015 l32r a2, 4e8054 <_lit4_start+0x54> + 8e1907: 23fa00 movi a3, 0xffffff00 + 8e190a: 0c0200 memw + 8e190d: 29227d l32i a9, a2, 0x1f4 + 8e1910: 039901 and a9, a9, a3 + 8e1913: 0a9902 or a9, a9, a10 + 8e1916: 0c0200 memw + 8e1919: 29267d s32i a9, a2, 0x1f4 + 8e191c: 180045 l32r a8, 4e8114 <_lit4_start+0x114> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1102 + 8e191f: 0c0200 memw + 8e1922: 28267c s32i a8, a2, 0x1f0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1104 + 8e1925: 5bff55 call8 8e167c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1106 + 8e1928: 5bff3a call8 8e1614 + 8e192b: 140018 l32r a4, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1108 + 8e192e: 28425a l32i a8, a4, 0x168 + 8e1931: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1111 + 8e1934: 28425e l32i a8, a4, 0x178 + 8e1937: 0b8000 callx8 a8 + 8e193a: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1147 + 8e193c: c0b2 movi.n a11, 2 + 8e193e: 0c0200 memw + 8e1941: 2a227d l32i a10, a2, 0x1f4 + 8e1944: 03aa01 and a10, a10, a3 + 8e1947: 0baa02 or a10, a10, a11 + 8e194a: 0c0200 memw + 8e194d: 2a267d s32i a10, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1235 + 8e1950: c0a5 movi.n a10, 5 + 8e1952: 0c0200 memw + 8e1955: 29227d l32i a9, a2, 0x1f4 + 8e1958: 039901 and a9, a9, a3 + 8e195b: 0a9902 or a9, a9, a10 + 8e195e: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1236 + 8e1961: 284216 l32i a8, a4, 88 + 8e1964: 1a0046 l32r a10, 4e8118 <_lit4_start+0x118> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1235 + 8e1967: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1236 + 8e196a: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1237 + 8e196d: 5bfe8d call8 8e13a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1248 + 8e1970: c0a6 movi.n a10, 6 + 8e1972: 0c0200 memw + 8e1975: 29227d l32i a9, a2, 0x1f4 + 8e1978: 039901 and a9, a9, a3 + 8e197b: 0a9902 or a9, a9, a10 + 8e197e: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1249 + 8e1981: 284216 l32i a8, a4, 88 + 8e1984: 1a0047 l32r a10, 4e811c <_lit4_start+0x11c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1248 + 8e1987: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1249 + 8e198a: 0b8000 callx8 a8 + 8e198d: 170048 l32r a7, 4e8120 <_lit4_start+0x120> + +008e1990 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1251 + 8e1990: 284262 l32i a8, a4, 0x188 + 8e1993: 0b8000 callx8 a8 + 8e1996: d6a0 mov.n a6, a10 + 8e1998: cea0 bnez.n a10, 8e19bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1254 + 8e199a: c0a7 movi.n a10, 7 + 8e199c: 0c0200 memw + 8e199f: 29227d l32i a9, a2, 0x1f4 + 8e19a2: 039901 and a9, a9, a3 + 8e19a5: 0a9902 or a9, a9, a10 + 8e19a8: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1256 + 8e19ab: 284255 l32i a8, a4, 0x154 + 8e19ae: 2a0afc movi a10, 252 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1254 + 8e19b1: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1256 + 8e19b4: 0b8000 callx8 a8 + 8e19b7: cea4 bnez.n a10, 8e19df + 8e19b9: 6001df j 8e1b9c + +008e19bc : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1267 + 8e19bc: 2bacfe addi a11, a10, -2 + 8e19bf: 6fb202 bgeui a11, 2, 8e19c5 + 8e19c2: 6001b3 j 8e1b79 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1273 + 8e19c5: 69a14b bnei a10, 1, 8e1a14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1277 + 8e19c8: 28425f l32i a8, a4, 0x17c + 8e19cb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1280 + 8e19ce: 297100 l16ui a9, a7, 0 + 8e19d1: b199 addi.n a9, a9, 1 + 8e19d3: 09094f extui a9, a9, 0, 16 + 8e19d6: 297500 s16i a9, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1281 + 8e19d9: 6e92b3 bltui a9, 2, 8e1990 + 8e19dc: 600014 j 8e19f4 + +008e19df : + 8e19df: 1b0049 l32r a11, 4e8124 <_lit4_start+0x124> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1258 + 8e19e2: 0c0200 memw + 8e19e5: 2a227d l32i a10, a2, 0x1f4 + 8e19e8: 0baa02 or a10, a10, a11 + 8e19eb: 0c0200 memw + 8e19ee: 2a267d s32i a10, a2, 0x1f4 + 8e19f1: 60001f j 8e1a14 + +008e19f4 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1285 + 8e19f4: 5bffb4 call8 8e18c8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1286 + 8e19f7: c1a4 movi.n a10, 20 + 8e19f9: 0c0200 memw + 8e19fc: 29227d l32i a9, a2, 0x1f4 + 8e19ff: 039901 and a9, a9, a3 + 8e1a02: 0a9902 or a9, a9, a10 + 8e1a05: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1288 + 8e1a08: 284216 l32i a8, a4, 88 + 8e1a0b: 1a004a l32r a10, 4e8128 <_lit4_start+0x128> + +008e1a0e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1286 + 8e1a0e: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1288 + 8e1a11: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1292 + 8e1a14: c0b9 movi.n a11, 9 + 8e1a16: 0c0200 memw + 8e1a19: 2a227d l32i a10, a2, 0x1f4 + 8e1a1c: 03aa01 and a10, a10, a3 + 8e1a1f: 0baa02 or a10, a10, a11 + 8e1a22: 0c0200 memw + 8e1a25: 2a267d s32i a10, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1297 + 8e1a28: 2b4216 l32i a11, a4, 88 + 8e1a2b: 695108 bnei a5, 1, 8e1a37 + 8e1a2e: 1a004b l32r a10, 4e812c <_lit4_start+0x12c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1302 + 8e1a31: 0bb000 callx8 a11 + 8e1a34: 600011 j 8e1a49 + +008e1a37 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1305 + 8e1a37: 695308 bnei a5, 3, 8e1a43 + 8e1a3a: 1a004c l32r a10, 4e8130 <_lit4_start+0x130> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1310 + 8e1a3d: 0bb000 callx8 a11 + 8e1a40: 600005 j 8e1a49 + +008e1a43 : + 8e1a43: 1a004d l32r a10, 4e8134 <_lit4_start+0x134> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1314 + 8e1a46: 0bb000 callx8 a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1323 + 8e1a49: c1a5 movi.n a10, 21 + 8e1a4b: 0c0200 memw + 8e1a4e: 29227d l32i a9, a2, 0x1f4 + 8e1a51: 039901 and a9, a9, a3 + 8e1a54: 0a9902 or a9, a9, a10 + 8e1a57: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1324 + 8e1a5a: 884e l32i.n a8, a4, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1323 + 8e1a5c: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1324 + 8e1a5f: 0b8000 callx8 a8 + 8e1a62: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1326 + 8e1a64: 284212 l32i a8, a4, 72 + 8e1a67: 1a004e l32r a10, 4e8138 <_lit4_start+0x138> + 8e1a6a: db50 mov.n a11, a5 + 8e1a6c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1328 + 8e1a6f: cf5f bnez.n a5, 8e1ab2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1330 + 8e1a71: c0ab movi.n a10, 11 + 8e1a73: 0c0200 memw + 8e1a76: 29227d l32i a9, a2, 0x1f4 + 8e1a79: 039901 and a9, a9, a3 + 8e1a7c: 0a9902 or a9, a9, a10 + 8e1a7f: 0c0200 memw + 8e1a82: 29267d s32i a9, a2, 0x1f4 + 8e1a85: cc6a bnez.n a6, 8e1a93 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1334 + 8e1a87: 28425f l32i a8, a4, 0x17c + 8e1a8a: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1337 + 8e1a8d: 5bff16 call8 8e16e8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1340 + 8e1a90: 5bff8d call8 8e18c8 + +008e1a93 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1343 + 8e1a93: 28421c l32i a8, a4, 112 + 8e1a96: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1345 + 8e1a99: c0ad movi.n a10, 13 + 8e1a9b: 0c0200 memw + 8e1a9e: 29227d l32i a9, a2, 0x1f4 + 8e1aa1: 039901 and a9, a9, a3 + 8e1aa4: 0a9902 or a9, a9, a10 + 8e1aa7: 0c0200 memw + 8e1aaa: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1346 + 8e1aad: 5bff6c call8 8e1860 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1411 + 8e1ab0: d10f retw.n + +008e1ab2 : + 8e1ab2: 2a5cfd addi a10, a5, -3 + 8e1ab5: 64a088 beqz a10, 8e1b41 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1349 + 8e1ab8: 695102 bnei a5, 1, 8e1abe + 8e1abb: 600082 j 8e1b41 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1367 + 8e1abe: 695249 bnei a5, 2, 8e1b0b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1369 + 8e1ac1: 284251 l32i a8, a4, 0x144 + 8e1ac4: 1a004f l32r a10, 4e813c <_lit4_start+0x13c> + 8e1ac7: 1b0050 l32r a11, 4e8140 <_lit4_start+0x140> + 8e1aca: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1370 + 8e1acd: 2842a0 l32i a8, a4, 0x280 + 8e1ad0: 2a0a64 movi a10, 100 + 8e1ad3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1371 + 8e1ad6: 2842a5 l32i a8, a4, 0x294 + 8e1ad9: 2a0a64 movi a10, 100 + 8e1adc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1373 + 8e1adf: 284212 l32i a8, a4, 72 + 8e1ae2: 1a0051 l32r a10, 4e8144 <_lit4_start+0x144> + 8e1ae5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1379 + 8e1ae8: 284212 l32i a8, a4, 72 + 8e1aeb: 1a0052 l32r a10, 4e8148 <_lit4_start+0x148> + 8e1aee: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1380 + 8e1af1: 5810f3 call8 8e5ec0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1381 + 8e1af4: c0a0 movi.n a10, 0 + 8e1af6: 581132 call8 8e5fc0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1384 + 8e1af9: da10 mov.n a10, a1 + 8e1afb: 581161 call8 8e6080 + 8e1afe: 65a075 bnez a10, 8e1b77 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1393 + 8e1b01: 8a12 l32i.n a10, a1, 8 + 8e1b03: 64a070 beqz a10, 8e1b77 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1395 + 8e1b06: 0ba000 callx8 a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1411 + 8e1b09: d10f retw.n + +008e1b0b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1400 + 8e1b0b: 695568 bnei a5, 5, 8e1b77 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1402 + 8e1b0e: c0aa movi.n a10, 10 + 8e1b10: 0c0200 memw + 8e1b13: 29227d l32i a9, a2, 0x1f4 + 8e1b16: 039901 and a9, a9, a3 + 8e1b19: 0a9902 or a9, a9, a10 + 8e1b1c: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1403 + 8e1b1f: 284216 l32i a8, a4, 88 + 8e1b22: 1a0053 l32r a10, 4e814c <_lit4_start+0x14c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1402 + 8e1b25: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1403 + 8e1b28: 0b8000 callx8 a8 + 8e1b2b: 1b0037 l32r a11, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1406 + 8e1b2e: c0c3 movi.n a12, 3 + 8e1b30: 0c0200 memw + 8e1b33: 2cb682 s32i a12, a11, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1407 + 8e1b36: c1a0 movi.n a10, 16 + 8e1b38: 0c0200 memw + 8e1b3b: 2ab683 s32i a10, a11, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1409 + 8e1b3e: 63fffc j 8e1b3e + +008e1b41 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1351 + 8e1b41: 284251 l32i a8, a4, 0x144 + 8e1b44: 1a004f l32r a10, 4e813c <_lit4_start+0x13c> + 8e1b47: 1b0050 l32r a11, 4e8140 <_lit4_start+0x140> + 8e1b4a: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1352 + 8e1b4d: 2842a0 l32i a8, a4, 0x280 + 8e1b50: 2a0a64 movi a10, 100 + 8e1b53: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1353 + 8e1b56: 2842a5 l32i a8, a4, 0x294 + 8e1b59: 2a0a64 movi a10, 100 + 8e1b5c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1355 + 8e1b5f: 284212 l32i a8, a4, 72 + 8e1b62: 1a0051 l32r a10, 4e8144 <_lit4_start+0x144> + 8e1b65: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1357 + 8e1b68: 581074 call8 8e5d3c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1359 + 8e1b6b: 5bff08 call8 8e178c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1361 + 8e1b6e: 2842ca l32i a8, a4, 0x328 + 8e1b71: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1362 + 8e1b74: 5bff49 call8 8e189c + +008e1b77 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1411 + 8e1b77: d10f retw.n + +008e1b79 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1269 + 8e1b79: 5bff53 call8 8e18c8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1270 + 8e1b7c: c0a8 movi.n a10, 8 + 8e1b7e: 0c0200 memw + 8e1b81: 29227d l32i a9, a2, 0x1f4 + 8e1b84: 039901 and a9, a9, a3 + 8e1b87: 0a9902 or a9, a9, a10 + 8e1b8a: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1271 + 8e1b8d: 284216 l32i a8, a4, 88 + 8e1b90: 1a0054 l32r a10, 4e8150 <_lit4_start+0x150> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1270 + 8e1b93: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1271 + 8e1b96: 0b8000 callx8 a8 + 8e1b99: 63fe77 j 8e1a14 + +008e1b9c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1262 + 8e1b9c: 5bff4a call8 8e18c8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1264 + 8e1b9f: 284216 l32i a8, a4, 88 + 8e1ba2: 1a0055 l32r a10, 4e8154 <_lit4_start+0x154> + 8e1ba5: 0b8000 callx8 a8 + 8e1ba8: 63fe68 j 8e1a14 + +008e1bab : + ... + +008e1bac
: +main(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1418 + 8e1bac: 6c1004 entry a1, 32 + 8e1baf: 190015 l32r a9, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1421 + 8e1bb2: c0a0 movi.n a10, 0 + 8e1bb4: 0c0200 memw + 8e1bb7: 2a967d s32i a10, a9, 0x1f4 + 8e1bba: 180056 l32r a8, 4e8158 <_lit4_start+0x158> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1422 + 8e1bbd: 0c0200 memw + 8e1bc0: 28967c s32i a8, a9, 0x1f0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1425 + 8e1bc3: 5bff4e call8 8e18fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1429 + 8e1bc6: c020 movi.n a2, 0 + 8e1bc8: d10f retw.n + +008e1bca : + ... + +008e1bcc : +cmnos_allocram_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:27 + 8e1bcc: 6c1004 entry a1, 32 + 8e1bcf: d520 mov.n a5, a2 + 8e1bd1: 120057 l32r a2, 4e815c <_lit4_start+0x15c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:57 + 8e1bd4: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:55 + 8e1bd6: 9520 s32i.n a5, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:64 + 8e1bd8: c020 movi.n a2, 0 + 8e1bda: d10f retw.n + +008e1bdc : +cmnos_allocram(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:74 + 8e1bdc: 6c1004 entry a1, 32 + 8e1bdf: 1d0057 l32r a13, 4e815c <_lit4_start+0x15c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:78 + 8e1be2: b33c addi.n a12, a3, 3 + 8e1be4: 0c2c14 srli a12, a12, 2 + 8e1be7: 8ad1 l32i.n a10, a13, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:75 + 8e1be9: 82d0 l32i.n a2, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:78 + 8e1beb: 0ecb11 slli a11, a12, 2 + 8e1bee: 7ba30b bltu a10, a11, 8e1bfd +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:80 + 8e1bf1: 02ce0a addx4 a14, a12, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:79 + 8e1bf4: 0baf0c sub a15, a10, a11 + 8e1bf7: 9fd1 s32i.n a15, a13, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:80 + 8e1bf9: 9ed0 s32i.n a14, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:87 + 8e1bfb: d10f retw.n + +008e1bfd : + 8e1bfd: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:82 + 8e1c00: 288212 l32i a8, a8, 72 + 8e1c03: 1a0058 l32r a10, 4e8160 <_lit4_start+0x160> + 8e1c06: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:84 + 8e1c09: 63fffc j 8e1c09 + +008e1c0c : +cmnos_allocram_debug(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:92 + 8e1c0c: 6c1004 entry a1, 32 + 8e1c0f: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e1c12: 120057 l32r a2, 4e815c <_lit4_start+0x15c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:93 + 8e1c15: 283212 l32i a8, a3, 72 + 8e1c18: 1a0059 l32r a10, 4e8164 <_lit4_start+0x164> + 8e1c1b: 8b20 l32i.n a11, a2, 0 + 8e1c1d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:94 + 8e1c20: 283212 l32i a8, a3, 72 + 8e1c23: 8b21 l32i.n a11, a2, 4 + 8e1c25: 1a005a l32r a10, 4e8168 <_lit4_start+0x168> + 8e1c28: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:95 + 8e1c2b: d10f retw.n + +008e1c2d : + 8e1c2d: 000000 ... + +008e1c30 : +cmnos_allocram_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:99 + 8e1c30: 6c1004 entry a1, 32 + 8e1c33: 18005c l32r a8, 4e8170 <_lit4_start+0x170> + 8e1c36: 19005b l32r a9, 4e816c <_lit4_start+0x16c> + 8e1c39: 13005d l32r a3, 4e8174 <_lit4_start+0x174> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:102 + 8e1c3c: 9322 s32i.n a3, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:101 + 8e1c3e: 9821 s32i.n a8, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:100 + 8e1c40: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:103 + 8e1c42: d10f retw.n + +008e1c44 : +cmnos_delay_us(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:109 + 8e1c44: 6c1004 entry a1, 32 + 8e1c47: 13005e l32r a3, 4e8178 <_lit4_start+0x178> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:113 + 8e1c4a: 8332 l32i.n a3, a3, 8 + 8e1c4c: 8331 l32i.n a3, a3, 4 + 8e1c4e: 03435b extui a3, a3, 20, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:114 + 8e1c51: 5812f2 call8 8e681c + 8e1c54: 032228 mull a2, a2, a3 + 8e1c57: d3a0 mov.n a3, a10 + +008e1c59 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:117 + 8e1c59: 5812f0 call8 8e681c + 8e1c5c: 03a80c sub a8, a10, a3 + 8e1c5f: 7283f6 bltu a8, a2, 8e1c59 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:120 + 8e1c62: d10f retw.n + +008e1c64 : +cmnos_milliseconds(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:129 + 8e1c64: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:143 + 8e1c67: 58001d call8 8e1cdc + 8e1c6a: 12005e l32r a2, 4e8178 <_lit4_start+0x178> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:145 + 8e1c6d: 8220 l32i.n a2, a2, 0 + 8e1c6f: d10f retw.n + +008e1c71 : + 8e1c71: 000000 ... + +008e1c74 : +cmnos_refclk_speed_get(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:154 + 8e1c74: 6c1004 entry a1, 32 + 8e1c77: 12005e l32r a2, 4e8178 <_lit4_start+0x178> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:155 + 8e1c7a: 8222 l32i.n a2, a2, 8 + 8e1c7c: 8221 l32i.n a2, a2, 4 + 8e1c7e: d10f retw.n + +008e1c80 : +cmnos_uart_frequency(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:161 + 8e1c80: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:172 + 8e1c83: d10f retw.n + +008e1c85 : + 8e1c85: 000000 ... + +008e1c88 : +cmnos_sysclk_change(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:181 + 8e1c88: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:183 + 8e1c8b: d10f retw.n + +008e1c8d : + 8e1c8d: 000000 ... + +008e1c90 : +cmnos_clockregs_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:188 + 8e1c90: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:191 + 8e1c93: d10f retw.n + +008e1c95 : + 8e1c95: 000000 ... + +008e1c98 : +cmnos_wlan_band_set(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:201 + 8e1c98: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:204 + 8e1c9b: d10f retw.n + +008e1c9d : + 8e1c9d: 000000 ... + +008e1ca0 : +cmnos_pll_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:208 + 8e1ca0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:211 + 8e1ca3: d10f retw.n + +008e1ca5 : + 8e1ca5: 000000 ... + +008e1ca8 : +cmnos_clock_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:215 + 8e1ca8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:222 + 8e1cab: c060 movi.n a6, 0 + 8e1cad: 19005f l32r a9, 4e817c <_lit4_start+0x17c> + 8e1cb0: 1a0061 l32r a10, 4e8184 <_lit4_start+0x184> + 8e1cb3: 170060 l32r a7, 4e8180 <_lit4_start+0x180> + 8e1cb6: c033 movi.n a3, 3 + 8e1cb8: d5a0 mov.n a5, a10 + 8e1cba: 6d3a10 loopgtz a3, 8e1cce +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:228 + 8e1cbd: 8851 l32i.n a8, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:232 + 8e1cbf: b166 addi.n a6, a6, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:228 + 8e1cc1: a784 add.n a4, a8, a7 + 8e1cc3: 724b04 bgeu a4, a2, 8e1ccb + 8e1cc6: a98b add.n a11, a8, a9 + 8e1cc8: 7b2308 bltu a2, a11, 8e1cd4 + +008e1ccb : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:232 + 8e1ccb: 255c14 addi a5, a5, 20 + +008e1cce : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:222 + 8e1cce: 06650a addx4 a5, a6, a6 + 8e1cd1: 0a550a addx4 a5, a5, a10 + 8e1cd4: 18005e l32r a8, 4e8178 <_lit4_start+0x178> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:234 + 8e1cd7: 9582 s32i.n a5, a8, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:238 + 8e1cd9: d10f retw.n + +008e1cdb : + ... + +008e1cdc : +cmnos_tick(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:244 + 8e1cdc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:253 + 8e1cdf: 5812cf call8 8e681c + 8e1ce2: 150062 l32r a5, 4e8188 <_lit4_start+0x188> + 8e1ce5: 2b3ae8 movi a11, 0x3e8 + 8e1ce8: 8250 l32i.n a2, a5, 0 + 8e1cea: d3a0 mov.n a3, a10 + 8e1cec: 245ce8 addi a4, a5, -24 + 8e1cef: 02aa0c sub a10, a10, a2 + 8e1cf2: 581307 call8 8e6910 <__udivsi3> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:269 + 8e1cf5: 8840 l32i.n a8, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:266 + 8e1cf7: 0a3239 movnez a2, a3, a10 + 8e1cfa: 9250 s32i.n a2, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:269 + 8e1cfc: aa88 add.n a8, a8, a10 + 8e1cfe: 9840 s32i.n a8, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:271 + 8e1d00: d10f retw.n + +008e1d02 : + ... + +008e1d04 : +cmnos_clock_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:277 + 8e1d04: 6c1004 entry a1, 32 + 8e1d07: 18006a l32r a8, 4e81a8 <_lit4_start+0x1a8> + 8e1d0a: 190069 l32r a9, 4e81a4 <_lit4_start+0x1a4> + 8e1d0d: 1a0068 l32r a10, 4e81a0 <_lit4_start+0x1a0> + 8e1d10: 1b0067 l32r a11, 4e819c <_lit4_start+0x19c> + 8e1d13: 1c0066 l32r a12, 4e8198 <_lit4_start+0x198> + 8e1d16: 1d0065 l32r a13, 4e8194 <_lit4_start+0x194> + 8e1d19: 1e0064 l32r a14, 4e8190 <_lit4_start+0x190> + 8e1d1c: 1f0063 l32r a15, 4e818c <_lit4_start+0x18c> + 8e1d1f: 13006b l32r a3, 4e81ac <_lit4_start+0x1ac> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:287 + 8e1d22: 9328 s32i.n a3, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:285 + 8e1d24: 9827 s32i.n a8, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:284 + 8e1d26: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:283 + 8e1d28: 9a26 s32i.n a10, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:282 + 8e1d2a: 9b25 s32i.n a11, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:281 + 8e1d2c: 9c24 s32i.n a12, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:280 + 8e1d2e: 9d23 s32i.n a13, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:279 + 8e1d30: 9e21 s32i.n a14, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:278 + 8e1d32: 9f20 s32i.n a15, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:288 + 8e1d34: d10f retw.n + +008e1d36 : + ... + +008e1d38 : +cmnos_eeprom_write_hword(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:45 + 8e1d38: 6c1004 entry a1, 32 + 8e1d3b: 1a006c l32r a10, 4e81b0 <_lit4_start+0x1b0> + 8e1d3e: 15006d l32r a5, 4e81b4 <_lit4_start+0x1b4> + 8e1d41: c070 movi.n a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:57 + 8e1d43: 0c0200 memw + 8e1d46: 295295 l32i a9, a5, 0x254 + 8e1d49: 0a9902 or a9, a9, a10 + 8e1d4c: 0c0200 memw + 8e1d4f: 295695 s32i a9, a5, 0x254 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:58 + 8e1d52: 0c0200 memw + 8e1d55: 275698 s32i a7, a5, 0x260 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:59 + 8e1d58: 0c0200 memw + 8e1d5b: 275699 s32i a7, a5, 0x264 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:62 + 8e1d5e: 280ac0 movi a8, 192 + 8e1d61: 0c0200 memw + 8e1d64: 285693 s32i a8, a5, 0x24c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:65 + 8e1d67: 0c0200 memw + 8e1d6a: 16006e l32r a6, 4e81b8 <_lit4_start+0x1b8> + 8e1d6d: 275692 s32i a7, a5, 0x248 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:68 + 8e1d70: 0e2411 slli a4, a2, 2 + 8e1d73: a644 add.n a4, a4, a6 + 8e1d75: c76c movi.n a6, -4 + 8e1d77: 064401 and a4, a4, a6 + 8e1d7a: 0c0200 memw + 8e1d7d: 9340 s32i.n a3, a4, 0 + +008e1d7f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:73 + 8e1d7f: 0c0200 memw + 8e1d82: 2b529f l32i a11, a5, 0x27c + 8e1d85: 0b0b51 extui a11, a11, 16, 2 + 8e1d88: 65bff3 bnez a11, 8e1d7f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:75 + 8e1d8b: c021 movi.n a2, 1 + 8e1d8d: d10f retw.n + +008e1d8f : + ... + +008e1d90 : +cmnos_eeprom_read_hword(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:90 + 8e1d90: 6c1004 entry a1, 32 + 8e1d93: 18006e l32r a8, 4e81b8 <_lit4_start+0x1b8> + 8e1d96: 0e2411 slli a4, a2, 2 + 8e1d99: a844 add.n a4, a4, a8 + 8e1d9b: c78c movi.n a8, -4 + 8e1d9d: 084401 and a4, a4, a8 + 8e1da0: 0c0200 memw + 8e1da3: 8440 l32i.n a4, a4, 0 + 8e1da5: 12006d l32r a2, 4e81b4 <_lit4_start+0x1b4> + +008e1da8 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:99 + 8e1da8: 0c0200 memw + 8e1dab: 25229f l32i a5, a2, 0x27c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:101 + 8e1dae: 050951 extui a9, a5, 16, 2 + 8e1db1: 659ff3 bnez a9, 8e1da8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:103 + 8e1db4: 253500 s16i a5, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:104 + 8e1db7: c021 movi.n a2, 1 + 8e1db9: d10f retw.n + +008e1dbb : + ... + +008e1dbc : +cmnos_eep_is_exist(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:122 + 8e1dbc: 6c1004 entry a1, 32 + 8e1dbf: 120044 l32r a2, 4e8110 <_lit4_start+0x110> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:123 + 8e1dc2: 232100 l16ui a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:125 + 8e1dc5: 282101 l16ui a8, a2, 2 + 8e1dc8: c93c beqz.n a3, 8e1de8 + 8e1dca: cd86 bnez.n a8, 8e1de4 + 8e1dcc: 14006d l32r a4, 4e81b4 <_lit4_start+0x1b4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:127 + 8e1dcf: 0c0200 memw + 8e1dd2: 244287 l32i a4, a4, 0x21c + 8e1dd5: 04044f extui a4, a4, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:129 + 8e1dd8: 774710 bbci a4, 23, 8e1dec +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:130 + 8e1ddb: c022 movi.n a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:142 + 8e1ddd: d10f retw.n + +008e1ddf : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:134 + 8e1ddf: c081 movi.n a8, 1 + +008e1de1 : + 8e1de1: 282501 s16i a8, a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:135 + 8e1de4: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:142 + 8e1de6: d10f retw.n + +008e1de8 : + 8e1de8: c021 movi.n a2, 1 + 8e1dea: d10f retw.n + +008e1dec : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:131 + 8e1dec: 7647ef bbci a4, 22, 8e1ddf +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:132 + 8e1def: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:142 + 8e1df1: d10f retw.n + +008e1df3 : + ... + +008e1df4 : +cmnos_eep_write(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:153 + 8e1df4: 6c1004 entry a1, 32 + 8e1df7: 180044 l32r a8, 4e8110 <_lit4_start+0x110> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:162 + 8e1dfa: 288100 l16ui a8, a8, 0 + 8e1dfd: 1a006f l32r a10, 4e81bc <_lit4_start+0x1bc> + 8e1e00: 160018 l32r a6, 4e8060 <_lit4_start+0x60> + 8e1e03: cb83 beqz.n a8, 8e1e3a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:164 + 8e1e05: 72a33e bltu a10, a2, 8e1e47 + 8e1e08: a325 add.n a5, a2, a3 + 8e1e0a: 75a239 blt a10, a5, 8e1e47 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:171 + 8e1e0d: 752a25 bge a2, a5, 8e1e36 + 8e1e10: c030 movi.n a3, 0 + +008e1e12 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:173 + 8e1e12: da20 mov.n a10, a2 + 8e1e14: 043b09 addx2 a11, a3, a4 + 8e1e17: 2bb100 l16ui a11, a11, 0 + 8e1e1a: 5bffc7 call8 8e1d38 + 8e1e1d: 68a108 beqi a10, 1, 8e1e29 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:178 + 8e1e20: 286216 l32i a8, a6, 88 + 8e1e23: 1a0070 l32r a10, 4e81c0 <_lit4_start+0x1c0> + +008e1e26 : + 8e1e26: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:179 + 8e1e29: b122 addi.n a2, a2, 1 + 8e1e2b: b133 addi.n a3, a3, 1 + 8e1e2d: 03034f extui a3, a3, 0, 16 + 8e1e30: 02024f extui a2, a2, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:171 + 8e1e33: 7259db bne a5, a2, 8e1e12 + +008e1e36 : + 8e1e36: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:188 + 8e1e38: d10f retw.n + +008e1e3a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:184 + 8e1e3a: 286216 l32i a8, a6, 88 + 8e1e3d: 1a0071 l32r a10, 4e81c4 <_lit4_start+0x1c4> + 8e1e40: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:185 + 8e1e43: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:188 + 8e1e45: d10f retw.n + +008e1e47 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:166 + 8e1e47: 286216 l32i a8, a6, 88 + 8e1e4a: 1a0072 l32r a10, 4e81c8 <_lit4_start+0x1c8> + 8e1e4d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:167 + 8e1e50: c024 movi.n a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:188 + 8e1e52: d10f retw.n + +008e1e54 : +cmnos_eep_read(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:199 + 8e1e54: 6c1004 entry a1, 32 + 8e1e57: 180044 l32r a8, 4e8110 <_lit4_start+0x110> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:207 + 8e1e5a: 288100 l16ui a8, a8, 0 + 8e1e5d: 1a006f l32r a10, 4e81bc <_lit4_start+0x1bc> + 8e1e60: ca81 beqz.n a8, 8e1e85 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:209 + 8e1e62: 72a323 bltu a10, a2, 8e1e89 + 8e1e65: a323 add.n a3, a2, a3 + 8e1e67: 73a21e blt a10, a3, 8e1e89 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:216 + 8e1e6a: 732a13 bge a2, a3, 8e1e81 + +008e1e6d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:218 + 8e1e6d: da20 mov.n a10, a2 + 8e1e6f: db40 mov.n a11, a4 + 8e1e71: 5bffc7 call8 8e1d90 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:222 + 8e1e74: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:220 + 8e1e76: b248 addi.n a8, a4, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:218 + 8e1e78: 0a8439 movnez a4, a8, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:222 + 8e1e7b: 02024f extui a2, a2, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:216 + 8e1e7e: 7239eb bne a3, a2, 8e1e6d + +008e1e81 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:224 + 8e1e81: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:230 + 8e1e83: d10f retw.n + +008e1e85 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:228 + 8e1e85: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:230 + 8e1e87: d10f retw.n + +008e1e89 : + 8e1e89: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:211 + 8e1e8c: 288216 l32i a8, a8, 88 + 8e1e8f: 1a0073 l32r a10, 4e81cc <_lit4_start+0x1cc> + 8e1e92: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:212 + 8e1e95: c024 movi.n a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:230 + 8e1e97: d10f retw.n + +008e1e99 : + 8e1e99: 000000 ... + +008e1e9c : +cmnos_eep_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:249 + 8e1e9c: 6c1006 entry a1, 48 + 8e1e9f: c070 movi.n a7, 0 + 8e1ea1: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e1ea4: 160044 l32r a6, 4e8110 <_lit4_start+0x110> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:251 + 8e1ea7: 293ae8 movi a9, 0x3e8 + 8e1eaa: 0c0200 memw + 8e1ead: 9910 s32i.n a9, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:252 + 8e1eaf: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:255 + 8e1eb2: 286100 l16ui a8, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:252 + 8e1eb5: 9711 s32i.n a7, a1, 4 + 8e1eb7: 150037 l32r a5, 4e80dc <_lit4_start+0xdc> + 8e1eba: b088 addi.n a8, a8, -1 + 8e1ebc: 648205 beqz a8, 8e20c5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:257 + 8e1ebf: 24fa00 movi a4, 0xffffff00 + 8e1ec2: 120015 l32r a2, 4e8054 <_lit4_start+0x54> + 8e1ec5: c4e0 movi.n a14, 64 + 8e1ec7: 0c0200 memw + 8e1eca: 2d227d l32i a13, a2, 0x1f4 + 8e1ecd: 04dd01 and a13, a13, a4 + 8e1ed0: 0edd02 or a13, a13, a14 + 8e1ed3: 0c0200 memw + 8e1ed6: 2d267d s32i a13, a2, 0x1f4 + 8e1ed9: 1c0074 l32r a12, 4e81d0 <_lit4_start+0x1d0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:261 + 8e1edc: 2b323b l32i a11, a3, 236 + 8e1edf: 0c0200 memw + 8e1ee2: 2a227f l32i a10, a2, 0x1fc + 8e1ee5: 7ca92d bne a10, a12, 8e1f16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:266 + 8e1ee8: c491 movi.n a9, 65 + 8e1eea: 0c0200 memw + 8e1eed: 28227d l32i a8, a2, 0x1f4 + 8e1ef0: 048801 and a8, a8, a4 + 8e1ef3: 098802 or a8, a8, a9 + 8e1ef6: 0c0200 memw + 8e1ef9: 28267d s32i a8, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:279 + 8e1efc: 2feabf movi a15, 0xfffffebf + 8e1eff: 0c0200 memw + 8e1f02: 2e5284 l32i a14, a5, 0x210 + 8e1f05: 0fee01 and a14, a14, a15 + 8e1f08: 0c0200 memw + 8e1f0b: 2e5684 s32i a14, a5, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:283 + 8e1f0e: c1a4 movi.n a10, 20 + 8e1f10: 0bb000 callx8 a11 + 8e1f13: 600059 j 8e1f70 + +008e1f16 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:294 + 8e1f16: c4d3 movi.n a13, 67 + 8e1f18: 0c0200 memw + 8e1f1b: 2c227d l32i a12, a2, 0x1f4 + 8e1f1e: 04cc01 and a12, a12, a4 + 8e1f21: 0dcc02 or a12, a12, a13 + 8e1f24: 0c0200 memw + 8e1f27: 2c267d s32i a12, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:295 + 8e1f2a: 2a5ac0 movi a10, 0x5c0 + 8e1f2d: 0c0200 memw + 8e1f30: 295284 l32i a9, a5, 0x210 + 8e1f33: 0a9902 or a9, a9, a10 + 8e1f36: 0c0200 memw + 8e1f39: 295684 s32i a9, a5, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:296 + 8e1f3c: c1a4 movi.n a10, 20 + 8e1f3e: 0bb000 callx8 a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:299 + 8e1f41: c4c4 movi.n a12, 68 + 8e1f43: 0c0200 memw + 8e1f46: 2b227d l32i a11, a2, 0x1f4 + 8e1f49: 04bb01 and a11, a11, a4 + 8e1f4c: 0cbb02 or a11, a11, a12 + 8e1f4f: 0c0200 memw + 8e1f52: 2b267d s32i a11, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:300 + 8e1f55: 2aaa3f movi a10, 0xfffffa3f + 8e1f58: 0c0200 memw + 8e1f5b: 295284 l32i a9, a5, 0x210 + 8e1f5e: 0a9901 and a9, a9, a10 + 8e1f61: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:301 + 8e1f64: 28323b l32i a8, a3, 236 + 8e1f67: 2a1af4 movi a10, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:300 + 8e1f6a: 295684 s32i a9, a5, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:301 + 8e1f6d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:312 + 8e1f70: c4c9 movi.n a12, 73 + 8e1f72: 0c0200 memw + 8e1f75: 2b227d l32i a11, a2, 0x1f4 + 8e1f78: 04bb01 and a11, a11, a4 + 8e1f7b: 0cbb02 or a11, a11, a12 + 8e1f7e: 0c0200 memw + 8e1f81: 2b267d s32i a11, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:313 + 8e1f84: c0a2 movi.n a10, 2 + 8e1f86: 0c0200 memw + 8e1f89: 295286 l32i a9, a5, 0x218 + 8e1f8c: 0a9902 or a9, a9, a10 + 8e1f8f: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:315 + 8e1f92: 28323b l32i a8, a3, 236 + 8e1f95: c1a4 movi.n a10, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:313 + 8e1f97: 295686 s32i a9, a5, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:315 + 8e1f9a: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:319 + 8e1f9d: c7eb movi.n a14, -5 + 8e1f9f: 150075 l32r a5, 4e81d4 <_lit4_start+0x1d4> + 8e1fa2: 1a0076 l32r a10, 4e81d8 <_lit4_start+0x1d8> + 8e1fa5: 0c0200 memw + 8e1fa8: 2d5286 l32i a13, a5, 0x218 + 8e1fab: 0edd01 and a13, a13, a14 + 8e1fae: 0c0200 memw + 8e1fb1: 2d5686 s32i a13, a5, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:333 + 8e1fb4: c4d5 movi.n a13, 69 + 8e1fb6: 0c0200 memw + 8e1fb9: 2c227d l32i a12, a2, 0x1f4 + 8e1fbc: 04cc01 and a12, a12, a4 + 8e1fbf: 0dcc02 or a12, a12, a13 + 8e1fc2: 0c0200 memw + 8e1fc5: 2c267d s32i a12, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:334 + 8e1fc8: c0b6 movi.n a11, 6 + 8e1fca: 0c0200 memw + 8e1fcd: 29a281 l32i a9, a10, 0x204 + 8e1fd0: 0b9902 or a9, a9, a11 + 8e1fd3: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:335 + 8e1fd6: 28323b l32i a8, a3, 236 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:334 + 8e1fd9: 29a681 s32i a9, a10, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:335 + 8e1fdc: c1a4 movi.n a10, 20 + 8e1fde: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:338 + 8e1fe1: c0a4 movi.n a10, 4 + 8e1fe3: 0c0200 memw + 8e1fe6: 295286 l32i a9, a5, 0x218 + 8e1fe9: 0a9902 or a9, a9, a10 + 8e1fec: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:339 + 8e1fef: 28323b l32i a8, a3, 236 + 8e1ff2: c1a4 movi.n a10, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:338 + 8e1ff4: 295686 s32i a9, a5, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:339 + 8e1ff7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:342 + 8e1ffa: c4e6 movi.n a14, 70 + 8e1ffc: 0c0200 memw + 8e1fff: 2d227d l32i a13, a2, 0x1f4 + 8e2002: 04dd01 and a13, a13, a4 + 8e2005: 0edd02 or a13, a13, a14 + 8e2008: 0c0200 memw + 8e200b: 2d267d s32i a13, a2, 0x1f4 + 8e200e: 1c0077 l32r a12, 4e81dc <_lit4_start+0x1dc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:343 + 8e2011: 0c0200 memw + 8e2014: 2b5280 l32i a11, a5, 0x200 + 8e2017: 0cbb02 or a11, a11, a12 + 8e201a: 0c0200 memw + 8e201d: 2b5680 s32i a11, a5, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:353 + 8e2020: 0c0200 memw + 8e2023: 8e10 l32i.n a14, a1, 0 + 8e2025: b0ef addi.n a15, a14, -1 + 8e2027: 0c0200 memw + 8e202a: 9f10 s32i.n a15, a1, 0 + 8e202c: 6ae11d blti a14, 1, 8e204d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:355 + 8e202f: 0c0200 memw + 8e2032: 295286 l32i a9, a5, 0x218 + 8e2035: 0c0200 memw + 8e2038: 9911 s32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:356 + 8e203a: 0c0200 memw + 8e203d: 8811 l32i.n a8, a1, 4 + 8e203f: 7f8f0a bbsi a8, 31, 8e204d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:358 + 8e2042: 28323b l32i a8, a3, 236 + 8e2045: c1a4 movi.n a10, 20 + 8e2047: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:353 + 8e204a: 63ffd2 j 8e2020 + +008e204d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:362 + 8e204d: 0c0200 memw + 8e2050: 8910 l32i.n a9, a1, 0 + 8e2052: 6b9113 bgei a9, 1, 8e2069 + 8e2055: 1b0078 l32r a11, 4e81e0 <_lit4_start+0x1e0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:364 + 8e2058: 0c0200 memw + 8e205b: 2a227d l32i a10, a2, 0x1f4 + 8e205e: 0baa02 or a10, a10, a11 + 8e2061: 0c0200 memw + 8e2064: 2a267d s32i a10, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:414 + 8e2067: d10f retw.n + +008e2069 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:368 + 8e2069: c4c7 movi.n a12, 71 + 8e206b: 15003c l32r a5, 4e80f0 <_lit4_start+0xf0> + 8e206e: 0c0200 memw + 8e2071: 2b227d l32i a11, a2, 0x1f4 + 8e2074: 04bb01 and a11, a11, a4 + 8e2077: 0cbb02 or a11, a11, a12 + 8e207a: 0c0200 memw + 8e207d: 2b267d s32i a11, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:369 + 8e2080: 2a1a16 movi a10, 0x116 + 8e2083: 0c0200 memw + 8e2086: 295281 l32i a9, a5, 0x204 + 8e2089: 0a9902 or a9, a9, a10 + 8e208c: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:370 + 8e208f: 28323b l32i a8, a3, 236 + 8e2092: c1a4 movi.n a10, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:369 + 8e2094: 295681 s32i a9, a5, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:370 + 8e2097: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:372 + 8e209a: c488 movi.n a8, 72 + 8e209c: 0c0200 memw + 8e209f: 2f227d l32i a15, a2, 0x1f4 + 8e20a2: 04ff01 and a15, a15, a4 + 8e20a5: 08ff02 or a15, a15, a8 + 8e20a8: 0c0200 memw + 8e20ab: 2f267d s32i a15, a2, 0x1f4 + 8e20ae: 1e0079 l32r a14, 4e81e4 <_lit4_start+0x1e4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:373 + 8e20b1: 0c0200 memw + 8e20b4: 2d5284 l32i a13, a5, 0x210 + 8e20b7: 0edd02 or a13, a13, a14 + 8e20ba: 0c0200 memw + 8e20bd: 2d5684 s32i a13, a5, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:374 + 8e20c0: c0c1 movi.n a12, 1 + +008e20c2 : + 8e20c2: 2c6500 s16i a12, a6, 0 + 8e20c5: 12007a l32r a2, 4e81e8 <_lit4_start+0x1e8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:382 + 8e20c8: 0c0200 memw + 8e20cb: 222281 l32i a2, a2, 0x204 + 8e20ce: 12006d l32r a2, 4e81b4 <_lit4_start+0x1b4> + 8e20d1: 14007b l32r a4, 4e81ec <_lit4_start+0x1ec> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:389 + 8e20d4: 0c0200 memw + 8e20d7: 2a229f l32i a10, a2, 0x27c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:392 + 8e20da: 7a4004 bnone a4, a10, 8e20e2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:394 + 8e20dd: 276500 s16i a7, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:414 + 8e20e0: d10f retw.n + +008e20e2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:398 + 8e20e2: 0a0851 extui a8, a10, 16, 2 + 8e20e5: c88a beqz.n a8, 8e20f3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:406 + 8e20e7: 28323b l32i a8, a3, 236 + 8e20ea: 2a0a64 movi a10, 100 + 8e20ed: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:387 + 8e20f0: 63ffe0 j 8e20d4 + +008e20f3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:400 + 8e20f3: 0a094f extui a9, a10, 0, 16 + 8e20f6: c897 beqz.n a9, 8e2101 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:401 + 8e20f8: c0a1 movi.n a10, 1 + 8e20fa: c0b0 movi.n a11, 0 + 8e20fc: 5bff0e call8 8e1d38 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:414 + 8e20ff: d10f retw.n + +008e2101 : + 8e2101: d10f retw.n + +008e2103 : + ... + +008e2104 : +cmnos_eep_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:416 + 8e2104: 6c1004 entry a1, 32 + 8e2107: 18007e l32r a8, 4e81f8 <_lit4_start+0x1f8> + 8e210a: 19007d l32r a9, 4e81f4 <_lit4_start+0x1f4> + 8e210d: 1a007c l32r a10, 4e81f0 <_lit4_start+0x1f0> + 8e2110: 13007f l32r a3, 4e81fc <_lit4_start+0x1fc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:420 + 8e2113: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:419 + 8e2115: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:418 + 8e2117: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:417 + 8e2119: 9a20 s32i.n a10, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:421 + 8e211b: d10f retw.n + +008e211d : + 8e211d: 000000 ... + +008e2120 : +cmnos_intr_dummy(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:30 + 8e2120: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:32 + 8e2123: d10f retw.n + +008e2125 : + 8e2125: 000000 ... + +008e2128 : +cmnos_intr_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:42 + 8e2128: 6c1004 entry a1, 32 + 8e212b: 190080 l32r a9, 4e8200 <_lit4_start+0x200> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:46 + 8e212e: c0a0 movi.n a10, 0 + 8e2130: c183 movi.n a8, 19 + 8e2132: 9a90 s32i.n a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:49 + 8e2134: 299c10 addi a9, a9, 16 + 8e2137: 1a0081 l32r a10, 4e8204 <_lit4_start+0x204> + 8e213a: 6d8a03 loopgtz a8, 8e2141 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:50 + 8e213d: 9a90 s32i.n a10, a9, 0 + 8e213f: b899 addi.n a9, a9, 8 + +008e2141 : + 8e2141: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:53 + 8e2144: 28824e l32i a8, a8, 0x138 + 8e2147: c0a0 movi.n a10, 0 + 8e2149: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:55 + 8e214c: d10f retw.n + +008e214e : + ... + +008e2150 : +cmnos_intr_mask_inum(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:60 + 8e2150: 6c1004 entry a1, 32 + 8e2153: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:65 + 8e2156: 283248 l32i a8, a3, 0x120 + 8e2159: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:66 + 8e215c: 28324d l32i a8, a3, 0x134 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:65 + 8e215f: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:66 + 8e2161: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:69 + 8e2164: 28324e l32i a8, a3, 0x138 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:67 + 8e2167: c7df movi.n a13, -1 + 8e2169: 1c0080 l32r a12, 4e8200 <_lit4_start+0x200> + 8e216c: 002104 ssl a2 + 8e216f: c091 movi.n a9, 1 + 8e2171: 00991a sll a9, a9 + 8e2174: 8bc0 l32i.n a11, a12, 0 + 8e2176: 0d9903 xor a9, a9, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:69 + 8e2179: 0a9a01 and a10, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:67 + 8e217c: 0b9b01 and a11, a9, a11 + 8e217f: 9bc0 s32i.n a11, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:69 + 8e2181: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:70 + 8e2184: 283249 l32i a8, a3, 0x124 + 8e2187: da40 mov.n a10, a4 + 8e2189: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:71 + 8e218c: d10f retw.n + +008e218e : + ... + +008e2190 : +cmnos_intr_unmask_inum(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:75 + 8e2190: 6c1004 entry a1, 32 + 8e2193: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:80 + 8e2196: 283248 l32i a8, a3, 0x120 + 8e2199: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:81 + 8e219c: 28324d l32i a8, a3, 0x134 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:80 + 8e219f: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:81 + 8e21a1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:86 + 8e21a4: 28324e l32i a8, a3, 0x138 + 8e21a7: 1c0080 l32r a12, 4e8200 <_lit4_start+0x200> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:82 + 8e21aa: 002104 ssl a2 + 8e21ad: c091 movi.n a9, 1 + 8e21af: 8bc0 l32i.n a11, a12, 0 + 8e21b1: 00991a sll a9, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:86 + 8e21b4: 0a9a02 or a10, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:82 + 8e21b7: 0b9b02 or a11, a9, a11 + 8e21ba: 9bc0 s32i.n a11, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:86 + 8e21bc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:87 + 8e21bf: 283249 l32i a8, a3, 0x124 + 8e21c2: da40 mov.n a10, a4 + 8e21c4: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:88 + 8e21c7: d10f retw.n + +008e21c9 : + 8e21c9: 000000 ... + +008e21cc : +cmnos_intr_attach_isr(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:93 + 8e21cc: 6c1004 entry a1, 32 + 8e21cf: 1a0082 l32r a10, 4e8208 <_lit4_start+0x208> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:96 + 8e21d2: c182 movi.n a8, 18 + 8e21d4: 728b1d bgeu a8, a2, 8e21f5 + 8e21d7: 190083 l32r a9, 4e820c <_lit4_start+0x20c> + +008e21da : + 8e21da: 0c0200 memw + 8e21dd: 2d9000 l8ui a13, a9, 0 + 8e21e0: 0c0200 memw + 8e21e3: 2c9001 l8ui a12, a9, 1 + 8e21e6: 0c0200 memw + 8e21e9: 2b9002 l8ui a11, a9, 2 + 8e21ec: 0c0200 memw + 8e21ef: 299003 l8ui a9, a9, 3 + 8e21f2: 0c0200 memw + 8e21f5: cd3c bnez.n a3, 8e2215 + +008e21f7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:97 + 8e21f7: 2eac11 addi a14, a10, 17 + 8e21fa: 0c0200 memw + 8e21fd: 29e000 l8ui a9, a14, 0 + 8e2200: 0c0200 memw + 8e2203: 28e001 l8ui a8, a14, 1 + 8e2206: 0c0200 memw + 8e2209: 2fe002 l8ui a15, a14, 2 + 8e220c: 0c0200 memw + 8e220f: 2ee003 l8ui a14, a14, 3 + 8e2212: 0c0200 memw + 8e2215: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:99 + 8e2218: 285248 l32i a8, a5, 0x120 + 8e221b: 0b8000 callx8 a8 + 8e221e: 190084 l32r a9, 4e8210 <_lit4_start+0x210> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:104 + 8e2221: 285249 l32i a8, a5, 0x124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:101 + 8e2224: 09290b addx8 a9, a2, a9 + 8e2227: 9390 s32i.n a3, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:102 + 8e2229: 9491 s32i.n a4, a9, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:104 + 8e222b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:105 + 8e222e: d10f retw.n + +008e2230 : +cmnos_intr_invoke_isr(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:118 + 8e2230: 6c1004 entry a1, 32 + 8e2233: 1a0082 l32r a10, 4e8208 <_lit4_start+0x208> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:119 + 8e2236: c182 movi.n a8, 18 + 8e2238: 728b1d bgeu a8, a2, 8e2259 + 8e223b: 190085 l32r a9, 4e8214 <_lit4_start+0x214> + +008e223e : + 8e223e: 0c0200 memw + 8e2241: 2d9000 l8ui a13, a9, 0 + 8e2244: 0c0200 memw + 8e2247: 2c9001 l8ui a12, a9, 1 + 8e224a: 0c0200 memw + 8e224d: 2b9002 l8ui a11, a9, 2 + 8e2250: 0c0200 memw + 8e2253: 299003 l8ui a9, a9, 3 + 8e2256: 0c0200 memw + 8e2259: 1c0084 l32r a12, 4e8210 <_lit4_start+0x210> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:120 + 8e225c: 0c2c0b addx8 a12, a2, a12 + 8e225f: 8bc0 l32i.n a11, a12, 0 + 8e2261: ceb1 bnez.n a11, 8e2286 + 8e2263: 2dad01 addmi a13, a10, 0x100 + 8e2266: 2ddc7f addi a13, a13, 127 + 8e2269: b2dd addi.n a13, a13, 2 + +008e226b : + 8e226b: 0c0200 memw + 8e226e: 28d000 l8ui a8, a13, 0 + 8e2271: 0c0200 memw + 8e2274: 2fd001 l8ui a15, a13, 1 + 8e2277: 0c0200 memw + 8e227a: 2ed002 l8ui a14, a13, 2 + 8e227d: 0c0200 memw + 8e2280: 2dd003 l8ui a13, a13, 3 + 8e2283: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:124 + 8e2286: 8ac1 l32i.n a10, a12, 4 + 8e2288: 0bb000 callx8 a11 + 8e228b: d2a0 mov.n a2, a10 + 8e228d: d10f retw.n + +008e228f : + ... + +008e2290 : +cmnos_intr_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:132 + 8e2290: 6c1004 entry a1, 32 + 8e2293: 180089 l32r a8, 4e8224 <_lit4_start+0x224> + 8e2296: 190088 l32r a9, 4e8220 <_lit4_start+0x220> + 8e2299: 1a0087 l32r a10, 4e821c <_lit4_start+0x21c> + 8e229c: 1b0086 l32r a11, 4e8218 <_lit4_start+0x218> + 8e229f: 13008a l32r a3, 4e8228 <_lit4_start+0x228> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:137 + 8e22a2: 9325 s32i.n a3, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:136 + 8e22a4: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:135 + 8e22a6: 9926 s32i.n a9, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:134 + 8e22a8: 9a21 s32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:133 + 8e22aa: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:148 + 8e22ac: d10f retw.n + +008e22ae : + ... + +008e22b0 : +cmnos_mem_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:10 + 8e22b0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:11 + 8e22b3: d10f retw.n + +008e22b5 : + 8e22b5: 000000 ... + +008e22b8 : +cmnos_mem_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:22 + 8e22b8: 6c1004 entry a1, 32 + 8e22bb: 18008e l32r a8, 4e8238 <_lit4_start+0x238> + 8e22be: 19008d l32r a9, 4e8234 <_lit4_start+0x234> + 8e22c1: 1a008c l32r a10, 4e8230 <_lit4_start+0x230> + 8e22c4: 1b008b l32r a11, 4e822c <_lit4_start+0x22c> + 8e22c7: 13008f l32r a3, 4e823c <_lit4_start+0x23c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:27 + 8e22ca: 9324 s32i.n a3, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:26 + 8e22cc: 9823 s32i.n a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:25 + 8e22ce: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:24 + 8e22d0: 9a21 s32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:23 + 8e22d2: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:28 + 8e22d4: d10f retw.n + +008e22d6 : + ... + +008e22d8 : +cmnos_system_reset(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:21 + 8e22d8: 6c1004 entry a1, 32 + 8e22db: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> + 8e22de: 120090 l32r a2, 4e8240 <_lit4_start+0x240> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:26 + 8e22e1: 0c0200 memw + 8e22e4: 223684 s32i a2, a3, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:27 + 8e22e7: d10f retw.n + +008e22e9 : + 8e22e9: 000000 ... + +008e22ec : +cmnos_mac_reset(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:44 + 8e22ec: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:49 + 8e22ef: d10f retw.n + +008e22f1 : + 8e22f1: 000000 ... + +008e22f4 : +cmnos_misaligned_load_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:58 + 8e22f4: 6c1004 entry a1, 32 + 8e22f7: 180091 l32r a8, 4e8244 <_lit4_start+0x244> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:60 + 8e22fa: 8c23 l32i.n a12, a2, 12 + 8e22fc: 190092 l32r a9, 4e8248 <_lit4_start+0x248> + 8e22ff: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e2302: 08c801 and a8, a12, a8 + 8e2305: 79890e bne a8, a9, 8e2317 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:66 + 8e2308: da20 mov.n a10, a2 + 8e230a: 883a l32i.n a8, a3, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:65 + 8e230c: 0c494c extui a9, a12, 4, 13 + 8e230f: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:66 + 8e2311: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:65 + 8e2314: 600017 j 8e232f + +008e2317 : + 8e2317: 1a0093 l32r a10, 4e824c <_lit4_start+0x24c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:70 + 8e231a: 283212 l32i a8, a3, 72 + 8e231d: 8b22 l32i.n a11, a2, 8 + 8e231f: dd20 mov.n a13, a2 + 8e2321: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:72 + 8e2324: da20 mov.n a10, a2 + 8e2326: 883a l32i.n a8, a3, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:71 + 8e2328: c090 movi.n a9, 0 + 8e232a: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:72 + 8e232c: 0b8000 callx8 a8 + 8e232f: 1b0037 l32r a11, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:76 + 8e2332: c0c3 movi.n a12, 3 + 8e2334: 0c0200 memw + 8e2337: 2cb682 s32i a12, a11, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:77 + 8e233a: c1a0 movi.n a10, 16 + 8e233c: 0c0200 memw + 8e233f: 2ab683 s32i a10, a11, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:79 + 8e2342: 63fffc j 8e2342 + +008e2345 : + 8e2345: 000000 ... + +008e2348 : +cmnos_assfail(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:90 + 8e2348: 6c1004 entry a1, 32 + 8e234b: 190094 l32r a9, 4e8250 <_lit4_start+0x250> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:91 + 8e234e: 8890 l32i.n a8, a9, 0 + 8e2350: 1a0095 l32r a10, 4e8254 <_lit4_start+0x254> + 8e2353: 65805b bnez a8, 8e23b2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:94 + 8e2356: 9290 s32i.n a2, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:96 + 8e2358: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:98 + 8e235a: 8aa1 l32i.n a10, a10, 4 + 8e235c: 64a052 beqz a10, 8e23b2 + 8e235f: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e2362: 1a0096 l32r a10, 4e8258 <_lit4_start+0x258> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:102 + 8e2365: 8b22 l32i.n a11, a2, 8 + 8e2367: 283212 l32i a8, a3, 72 + 8e236a: 8c21 l32i.n a12, a2, 4 + 8e236c: dd20 mov.n a13, a2 + 8e236e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:107 + 8e2371: 283212 l32i a8, a3, 72 + 8e2374: dbc0 mov.n a11, a12 + 8e2376: 1a0097 l32r a10, 4e825c <_lit4_start+0x25c> + 8e2379: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:110 + 8e237c: 283212 l32i a8, a3, 72 + 8e237f: 1a0098 l32r a10, 4e8260 <_lit4_start+0x260> + 8e2382: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:111 + 8e2385: c040 movi.n a4, 0 + 8e2387: c254 movi.n a5, 36 + +008e2389 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:112 + 8e2389: 040941 extui a9, a4, 0, 2 + 8e238c: cc97 bnez.n a9, 8e2397 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:113 + 8e238e: 283212 l32i a8, a3, 72 + 8e2391: 1a0099 l32r a10, 4e8264 <_lit4_start+0x264> + +008e2394 : + 8e2394: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:115 + 8e2397: 283212 l32i a8, a3, 72 + 8e239a: 1a009a l32r a10, 4e8268 <_lit4_start+0x268> + 8e239d: 8b20 l32i.n a11, a2, 0 + 8e239f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:117 + 8e23a2: b422 addi.n a2, a2, 4 + 8e23a4: b144 addi.n a4, a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:111 + 8e23a6: 7549df bne a4, a5, 8e2389 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:118 + 8e23a9: 283212 l32i a8, a3, 72 + 8e23ac: 1a0099 l32r a10, 4e8264 <_lit4_start+0x264> + +008e23af : + 8e23af: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:127 + 8e23b2: d10f retw.n + +008e23b4 : +cmnos_report_failure_to_host(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:134 + 8e23b4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:136 + 8e23b7: d10f retw.n + +008e23b9 : + 8e23b9: 000000 ... + +008e23bc : +cmnos_target_id_get(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:143 + 8e23bc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:145 + 8e23bf: d10f retw.n + +008e23c1 : + 8e23c1: 000000 ... + +008e23c4 : +cmnos_get_kbhit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:152 + 8e23c4: 6c1006 entry a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:156 + 8e23c7: 581115 call8 8e681c + 8e23ca: 18002d l32r a8, 4e80b4 <_lit4_start+0xb4> + 8e23cd: d3a0 mov.n a3, a10 + 8e23cf: 140018 l32r a4, 4e8060 <_lit4_start+0x60> + 8e23d2: 082228 mull a2, a2, a8 + 8e23d5: 600008 j 8e23e1 + +008e23d8 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:162 + 8e23d8: 581110 call8 8e681c + 8e23db: 03a90c sub a9, a10, a3 + 8e23de: 729b0a bgeu a9, a2, 8e23ec +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:159 + 8e23e1: 284215 l32i a8, a4, 84 + 8e23e4: da10 mov.n a10, a1 + 8e23e6: 0b8000 callx8 a8 + 8e23e9: 64afeb beqz a10, 8e23d8 + +008e23ec : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:168 + 8e23ec: 221000 l8ui a2, a1, 0 + 8e23ef: d10f retw.n + +008e23f1 : + 8e23f1: 000000 ... + +008e23f4 : +cmnos_is_host_present(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:176 + 8e23f4: 6c1004 entry a1, 32 + 8e23f7: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:187 + 8e23fa: 0c0200 memw + 8e23fd: 233285 l32i a3, a3, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:201 + 8e2400: c082 movi.n a8, 2 + 8e2402: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:199 + 8e2404: 032440 extui a4, a3, 2, 1 + 8e2407: 7c3704 bbci a3, 28, 8e240f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:201 + 8e240a: 048239 movnez a2, a8, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:215 + 8e240d: d10f retw.n + +008e240f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:208 + 8e240f: d240 mov.n a2, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:215 + 8e2411: d10f retw.n + +008e2413 : + ... + +008e2414 : +cmnos_rom_version_get(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:224 + 8e2414: 6c1004 entry a1, 32 + 8e2417: 12009b l32r a2, 4e826c <_lit4_start+0x26c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:227 + 8e241a: 222106 l16ui a2, a2, 12 + 8e241d: d10f retw.n + +008e241f : + ... + +008e2420 : +cmnos_misc_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:235 + 8e2420: 6c1004 entry a1, 32 + 8e2423: 1800a2 l32r a8, 4e8288 <_lit4_start+0x288> + 8e2426: 1900a1 l32r a9, 4e8284 <_lit4_start+0x284> + 8e2429: 1a00a0 l32r a10, 4e8280 <_lit4_start+0x280> + 8e242c: 1b009f l32r a11, 4e827c <_lit4_start+0x27c> + 8e242f: 1c009e l32r a12, 4e8278 <_lit4_start+0x278> + 8e2432: 1d009d l32r a13, 4e8274 <_lit4_start+0x274> + 8e2435: 1e009c l32r a14, 4e8270 <_lit4_start+0x270> + 8e2438: 1300a3 l32r a3, 4e828c <_lit4_start+0x28c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:244 + 8e243b: 9328 s32i.n a3, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:243 + 8e243d: 9827 s32i.n a8, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:242 + 8e243f: 9926 s32i.n a9, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:240 + 8e2441: 9a24 s32i.n a10, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:239 + 8e2443: 9b23 s32i.n a11, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:238 + 8e2445: 9c22 s32i.n a12, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:237 + 8e2447: 9d21 s32i.n a13, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:236 + 8e2449: 9e20 s32i.n a14, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:245 + 8e244b: d10f retw.n + +008e244d : + 8e244d: 000000 ... + +008e2450 : +cmnos_write_char(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:59 + 8e2450: 6c1004 entry a1, 32 + 8e2453: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:60 + 8e2456: 692911 bnei a2, 10, 8e246b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:61 + 8e2459: 283214 l32i a8, a3, 80 + 8e245c: c0ad movi.n a10, 13 + 8e245e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:62 + 8e2461: 283214 l32i a8, a3, 80 + 8e2464: c0aa movi.n a10, 10 + 8e2466: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:67 + 8e2469: d10f retw.n + +008e246b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:63 + 8e246b: c09d movi.n a9, 13 + 8e246d: 792107 beq a2, a9, 8e2478 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:65 + 8e2470: 283214 l32i a8, a3, 80 + 8e2473: da20 mov.n a10, a2 + +008e2475 : + 8e2475: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:67 + 8e2478: d10f retw.n + +008e247a : + ... + +008e247c <_cvt>: +_cvt(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:72 + 8e247c: 6c100e entry a1, 112 + 8e247f: cc2a bnez.n a2, 8e248d <_cvt+0x11> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:79 + 8e2481: d810 mov.n a8, a1 + 8e2483: c360 movi.n a6, 48 + 8e2485: 268400 s8i a6, a8, 0 + 8e2488: b116 addi.n a6, a1, 1 + 8e248a: 60001e j 8e24ac <_cvt+0x30> + +008e248d <_cvt+0x11>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:81 + 8e248d: d610 mov.n a6, a1 + +008e248f <_cvt+0x13>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:82 + 8e248f: da20 mov.n a10, a2 + 8e2491: db40 mov.n a11, a4 + 8e2493: 581133 call8 8e6960 <__umodsi3> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:83 + 8e2496: db40 mov.n a11, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:82 + 8e2498: aa59 add.n a9, a5, a10 + 8e249a: 299000 l8ui a9, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:83 + 8e249d: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:82 + 8e249f: 296400 s8i a9, a6, 0 + 8e24a2: b166 addi.n a6, a6, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:83 + 8e24a4: 58111a call8 8e6910 <__udivsi3> + 8e24a7: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:81 + 8e24a9: 65afe2 bnez a10, 8e248f <_cvt+0x13> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:90 + 8e24ac: c0d0 movi.n a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:81 + 8e24ae: da10 mov.n a10, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:86 + 8e24b0: 7a6115 beq a6, a10, 8e24c9 <_cvt+0x4d> + 8e24b3: 0a6b0c sub a11, a6, a10 + 8e24b6: 0bb202 or a2, a11, a11 + 8e24b9: 6db909 loopnez a11, 8e24c6 <_cvt+0x4a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:87 + 8e24bc: b066 addi.n a6, a6, -1 + 8e24be: 2c6000 l8ui a12, a6, 0 + 8e24c1: 2c3400 s8i a12, a3, 0 + 8e24c4: b133 addi.n a3, a3, 1 + +008e24c6 <_cvt+0x4a>: + 8e24c6: 600001 j 8e24cb <_cvt+0x4f> + +008e24c9 <_cvt+0x4d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:86 + 8e24c9: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:90 + 8e24cb: 2d3400 s8i a13, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:91 + 8e24ce: d10f retw.n + +008e24d0 : +cmnos_vprintf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:96 + 8e24d0: 6c100e entry a1, 112 + 8e24d3: d950 mov.n a9, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:102 + 8e24d5: dd10 mov.n a13, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:96 + 8e24d7: da40 mov.n a10, a4 + 8e24d9: 261611 s32i a6, a1, 68 + 8e24dc: 221613 s32i a2, a1, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:102 + 8e24df: c060 movi.n a6, 0 + 8e24e1: c020 movi.n a2, 0 + 8e24e3: c040 movi.n a4, 0 + 8e24e5: 9d18 s32i.n a13, a1, 32 + 8e24e7: 9a1b s32i.n a10, a1, 44 + 8e24e9: 991a s32i.n a9, a1, 40 + +008e24eb : + 8e24eb: 251213 l32i a5, a1, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:104 + 8e24ee: b133 addi.n a3, a3, 1 + 8e24f0: 273dff addmi a7, a3, 0xffffff00 + 8e24f3: 2770ff l8ui a7, a7, 255 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:105 + 8e24f6: c285 movi.n a8, 37 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:104 + 8e24f8: 6473c4 beqz a7, 8e28c0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:105 + 8e24fb: 787915 bne a7, a8, 8e2514 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:106 + 8e24fe: 273000 l8ui a7, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:108 + 8e2501: c29d movi.n a9, 45 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:106 + 8e2503: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:108 + 8e2505: 797932 bne a7, a9, 8e253b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:109 + 8e2508: 273000 l8ui a7, a3, 0 + 8e250b: c0a1 movi.n a10, 1 + 8e250d: b133 addi.n a3, a3, 1 + 8e250f: 9a1d s32i.n a10, a1, 52 + 8e2511: 60002a j 8e253f + +008e2514 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:284 + 8e2514: da70 mov.n a10, a7 + 8e2516: 0b5000 callx8 a5 + 8e2519: 600019 j 8e2536 + +008e251c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:220 + 8e251c: c1c8 movi.n a12, 24 + 8e251e: 7bc204 blt a12, a11, 8e2526 + 8e2521: c2d4 movi.n a13, 36 + +008e2523 : + 8e2523: 2d1611 s32i a13, a1, 68 + 8e2526: 8b1b l32i.n a11, a1, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:221 + 8e2528: 2a1211 l32i a10, a1, 68 + 8e252b: abaa add.n a10, a10, a11 + 8e252d: 2aadff addmi a10, a10, 0xffffff00 + 8e2530: 2aa0ff l8ui a10, a10, 255 + 8e2533: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:222 + 8e2536: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:223 + 8e2538: 63ffb2 j 8e24ee + +008e253b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:109 + 8e253b: c0b0 movi.n a11, 0 + 8e253d: 9b1d s32i.n a11, a1, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:112 + 8e253f: c3c0 movi.n a12, 48 + 8e2541: 7c790b bne a7, a12, 8e2550 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:114 + 8e2544: 273000 l8ui a7, a3, 0 + 8e2547: c0d1 movi.n a13, 1 + 8e2549: b133 addi.n a3, a3, 1 + 8e254b: 9d1e s32i.n a13, a1, 56 + 8e254d: 600003 j 8e2554 + +008e2550 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:116 + 8e2550: c0e0 movi.n a14, 0 + 8e2552: 9e1e s32i.n a14, a1, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:118 + 8e2554: 2f7cd0 addi a15, a7, -48 + 8e2557: 0f0f47 extui a15, a15, 0, 8 + 8e255a: 6ff923 bgeui a15, 10, 8e2581 + 8e255d: c080 movi.n a8, 0 + 8e255f: 981c s32i.n a8, a1, 48 + +008e2561 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:119 + 8e2561: 891c l32i.n a9, a1, 48 + 8e2563: 099b0a addx4 a11, a9, a9 + 8e2566: 07bb09 addx2 a11, a11, a7 + 8e2569: 29bcd0 addi a9, a11, -48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:120 + 8e256c: 273000 l8ui a7, a3, 0 + 8e256f: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:119 + 8e2571: 991c s32i.n a9, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:118 + 8e2573: 2a7cd0 addi a10, a7, -48 + 8e2576: 0a0a47 extui a10, a10, 0, 8 + 8e2579: 6ea9e4 bltui a10, 10, 8e2561 + 8e257c: 991c s32i.n a9, a1, 48 + 8e257e: 600003 j 8e2585 + +008e2581 : + 8e2581: c0c0 movi.n a12, 0 + 8e2583: 9c1c s32i.n a12, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:133 + 8e2585: 290a6c movi a9, 108 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:122 + 8e2588: c2de movi.n a13, 46 + 8e258a: 7d7921 bne a7, a13, 8e25af +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:123 + 8e258d: 273000 l8ui a7, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:124 + 8e2590: 8f1e l32i.n a15, a1, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:123 + 8e2592: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:125 + 8e2594: 2e7cd0 addi a14, a7, -48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:124 + 8e2597: b1ff addi.n a15, a15, 1 + 8e2599: 9f1e s32i.n a15, a1, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:125 + 8e259b: 0e0e47 extui a14, a14, 0, 8 + 8e259e: 6fe90d bgeui a14, 10, 8e25af + +008e25a1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:127 + 8e25a1: 273000 l8ui a7, a3, 0 + 8e25a4: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:125 + 8e25a6: 287cd0 addi a8, a7, -48 + 8e25a9: 080847 extui a8, a8, 0, 8 + 8e25ac: 6e89f1 bltui a8, 10, 8e25a1 + +008e25af : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:178 + 8e25af: c4c2 movi.n a12, 66 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:133 + 8e25b1: 797916 bne a7, a9, 8e25cb +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:135 + 8e25b4: 273000 l8ui a7, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:139 + 8e25b7: c0b1 movi.n a11, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:135 + 8e25b9: b133 addi.n a3, a3, 1 + 8e25bb: 2a7c94 addi a10, a7, -108 + 8e25be: 65a205 bnez a10, 8e27c7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:139 + 8e25c1: 273000 l8ui a7, a3, 0 + 8e25c4: c0a1 movi.n a10, 1 + 8e25c6: b133 addi.n a3, a3, 1 + 8e25c8: 6001fd j 8e27c9 + +008e25cb : + 8e25cb: c0a0 movi.n a10, 0 + 8e25cd: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:178 + 8e25cf: 7c7902 bne a7, a12, 8e25d5 + 8e25d2: 6000ef j 8e26c5 + 8e25d5: c4d4 movi.n a13, 68 + 8e25d7: 7d7902 bne a7, a13, 8e25dd + 8e25da: 6000e7 j 8e26c5 + 8e25dd: c5e5 movi.n a14, 85 + 8e25df: 7e7902 bne a7, a14, 8e25e5 + 8e25e2: 6000df j 8e26c5 + 8e25e5: c5f8 movi.n a15, 88 + 8e25e7: 7f7902 bne a7, a15, 8e25ed + 8e25ea: 6000d7 j 8e26c5 + 8e25ed: 280a62 movi a8, 98 + 8e25f0: 787902 bne a7, a8, 8e25f6 + 8e25f3: 6000ce j 8e26c5 + 8e25f6: 290a64 movi a9, 100 + 8e25f9: 797902 bne a7, a9, 8e25ff + 8e25fc: 6000c5 j 8e26c5 + 8e25ff: 2c0a70 movi a12, 112 + 8e2602: 7c7902 bne a7, a12, 8e2608 + 8e2605: 6000ba j 8e26c3 + 8e2608: 2d0a75 movi a13, 117 + 8e260b: 7d7902 bne a7, a13, 8e2611 + 8e260e: 6000b3 j 8e26c5 + 8e2611: 2e0a78 movi a14, 120 + 8e2614: 7e7902 bne a7, a14, 8e261a + 8e2617: 6000aa j 8e26c5 + +008e261a : + 8e261a: c0f0 movi.n a15, 0 + 8e261c: 2f1610 s32i a15, a1, 64 + 8e261f: 60002c j 8e264f + +008e2622 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:156 + 8e2622: c188 movi.n a8, 24 + 8e2624: 7a8204 blt a8, a10, 8e262c + 8e2627: c294 movi.n a9, 36 + +008e2629 : + 8e2629: 291611 s32i a9, a1, 68 + 8e262c: 8a1b l32i.n a10, a1, 44 + 8e262e: 241211 l32i a4, a1, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:162 + 8e2631: c484 movi.n a8, 68 + 8e2633: 2b0a64 movi a11, 100 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:156 + 8e2636: aa44 add.n a4, a4, a10 + 8e2638: 244dff addmi a4, a4, 0xffffff00 + 8e263b: 24423f l32i a4, a4, 252 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:162 + 8e263e: 7b7102 beq a7, a11, 8e2644 + 8e2641: 7879d5 bne a7, a8, 8e261a + +008e2644 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:163 + 8e2644: 674fd2 bgez a4, 8e261a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:165 + 8e2647: 040406 neg a4, a4 + 8e264a: c29d movi.n a9, 45 + 8e264c: 291610 s32i a9, a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e264f: 2a0a62 movi a10, 98 + 8e2652: 7a7a02 bge a7, a10, 8e2658 + 8e2655: 600086 j 8e26df + 8e2658: 2b0a62 movi a11, 98 + 8e265b: 77b202 blt a11, a7, 8e2661 + 8e265e: 6000ad j 8e270f + 8e2661: 2c0a73 movi a12, 115 + 8e2664: 7c7a02 bge a7, a12, 8e266a + 8e2667: 60017a j 8e27e5 + 8e266a: 2d0a73 movi a13, 115 + 8e266d: 77d202 blt a13, a7, 8e2673 + 8e2670: 6001a1 j 8e2815 + 8e2673: 2e0a78 movi a14, 120 + 8e2676: 7e7a02 bge a7, a14, 8e267c + 8e2679: 600256 j 8e28d3 + 8e267c: 2f0a78 movi a15, 120 + 8e267f: 77fa02 bge a15, a7, 8e2685 + 8e2682: 6001a9 j 8e282f + +008e2685 : + 8e2685: 287cbc addi a8, a7, -68 + 8e2688: 648236 beqz a8, 8e28c2 + 8e268b: 297cab addi a9, a7, -85 + 8e268e: 649230 beqz a9, 8e28c2 + 8e2691: 2a7ca8 addi a10, a7, -88 + 8e2694: 64a267 beqz a10, 8e28ff + 8e2697: 2b7c9c addi a11, a7, -100 + 8e269a: 64b224 beqz a11, 8e28c2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:206 + 8e269d: 2c0a70 movi a12, 112 + 8e26a0: 7c710e beq a7, a12, 8e26b2 + 8e26a3: 2d7c8b addi a13, a7, -117 + 8e26a6: 64d218 beqz a13, 8e28c2 + 8e26a9: 2e0a78 movi a14, 120 + 8e26ac: 7e7102 beq a7, a14, 8e26b2 + 8e26af: 60008a j 8e273d + +008e26b2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:201 + 8e26b2: da40 mov.n a10, a4 + 8e26b4: db10 mov.n a11, a1 + 8e26b6: c1c0 movi.n a12, 16 + 8e26b8: 1d00a4 l32r a13, 4e8290 <_lit4_start+0x290> + 8e26bb: 5bff70 call8 8e247c <_cvt> + 8e26be: d6a0 mov.n a6, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:202 + 8e26c0: 600079 j 8e273d + +008e26c3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:178 + 8e26c3: c0b1 movi.n a11, 1 + +008e26c5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:155 + 8e26c5: 64a103 beqz a10, 8e27cc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:156 + 8e26c8: 281211 l32i a8, a1, 68 + 8e26cb: c1f8 movi.n a15, 24 + 8e26cd: da80 mov.n a10, a8 + 8e26cf: b488 addi.n a8, a8, 4 + 8e26d1: 281611 s32i a8, a1, 68 + 8e26d4: 78fa02 bge a15, a8, 8e26da + 8e26d7: 63ff47 j 8e2622 + 8e26da: 8a1a l32i.n a10, a1, 40 + 8e26dc: 63ff4e j 8e262e + +008e26df : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e26df: c494 movi.n a9, 68 + 8e26e1: 79721a blt a7, a9, 8e26ff + 8e26e4: c4a4 movi.n a10, 68 + 8e26e6: 77aa9b bge a10, a7, 8e2685 + 8e26e9: c5b5 movi.n a11, 85 + 8e26eb: 7b7a02 bge a7, a11, 8e26f1 + 8e26ee: 60011e j 8e2810 + 8e26f1: c5c5 movi.n a12, 85 + 8e26f3: 77ca8e bge a12, a7, 8e2685 + 8e26f6: 2d7ca8 addi a13, a7, -88 + 8e26f9: 65d132 bnez a13, 8e282f + 8e26fc: 63ff85 j 8e2685 + +008e26ff : + 8e26ff: c4e2 movi.n a14, 66 + 8e2701: 7e7a02 bge a7, a14, 8e2707 + 8e2704: 600136 j 8e283e + 8e2707: c4f2 movi.n a15, 66 + 8e2709: 77fa02 bge a15, a7, 8e270f + 8e270c: 600194 j 8e28a4 + +008e270f : + 8e270f: c29e movi.n a9, 46 + 8e2711: c0b1 movi.n a11, 1 + 8e2713: c3c1 movi.n a12, 49 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:226 + 8e2715: 8d1c l32i.n a13, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:235 + 8e2717: de10 mov.n a14, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:227 + 8e2719: c2a0 movi.n a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:226 + 8e271b: d6d0 mov.n a6, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:227 + 8e271d: 0da638 moveqz a6, a10, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:235 + 8e2720: c0d0 movi.n a13, 0 + 8e2722: 286cff addi a8, a6, -1 + 8e2725: 6d8a14 loopgtz a8, 8e273d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:236 + 8e2728: df90 mov.n a15, a9 + 8e272a: 00d104 ssl a13 + 8e272d: 00b81a sll a8, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:237 + 8e2730: b1dd addi.n a13, a13, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:236 + 8e2732: 084801 and a8, a4, a8 + 8e2735: 08cf39 movnez a15, a12, a8 + 8e2738: 2fe400 s8i a15, a14, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:237 + 8e273b: b1ee addi.n a14, a14, 1 + +008e273d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:208 + 8e273d: d910 mov.n a9, a1 + 8e273f: 9918 s32i.n a9, a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:248 + 8e2741: 8b1c l32i.n a11, a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:252 + 8e2743: 8a1e l32i.n a10, a1, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:249 + 8e2745: 2d1210 l32i a13, a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:248 + 8e2748: 06bb0c sub a11, a11, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:250 + 8e274b: b0bc addi.n a12, a11, -1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:249 + 8e274d: 0dcb39 movnez a11, a12, a13 + 8e2750: 9b1f s32i.n a11, a1, 60 + 8e2752: c9a4 beqz.n a10, 8e276a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:254 + 8e2754: 2c1210 l32i a12, a1, 64 + 8e2757: c8ca beqz.n a12, 8e2765 + 8e2759: dac0 mov.n a10, a12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:255 + 8e275b: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:256 + 8e275e: b122 addi.n a2, a2, 1 + 8e2760: c0d0 movi.n a13, 0 + +008e2762 : + 8e2762: 2d1610 s32i a13, a1, 64 + 8e2765: c370 movi.n a7, 48 + 8e2767: 600001 j 8e276c + +008e276a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:260 + 8e276a: c270 movi.n a7, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:262 + 8e276c: 8e1d l32i.n a14, a1, 52 + 8e276e: 891f l32i.n a9, a1, 60 + 8e2770: cde9 bnez.n a14, 8e278d + 8e2772: b199 addi.n a9, a9, 1 + 8e2774: 600008 j 8e2780 + +008e2777 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:264 + 8e2777: da70 mov.n a10, a7 + 8e2779: 0b5000 callx8 a5 + 8e277c: 8919 l32i.n a9, a1, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:265 + 8e277e: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:263 + 8e2780: 8a1f l32i.n a10, a1, 60 + 8e2782: b099 addi.n a9, a9, -1 + 8e2784: 9919 s32i.n a9, a1, 36 + 8e2786: b0aa addi.n a10, a10, -1 + 8e2788: 9a1f s32i.n a10, a1, 60 + 8e278a: 6b91e9 bgei a9, 1, 8e2777 + +008e278d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:268 + 8e278d: 2b1210 l32i a11, a1, 64 + 8e2790: 8718 l32i.n a7, a1, 32 + 8e2792: c8b5 beqz.n a11, 8e279b + 8e2794: dab0 mov.n a10, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:269 + 8e2796: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:270 + 8e2799: b122 addi.n a2, a2, 1 + +008e279b : + 8e279b: b169 addi.n a9, a6, 1 + 8e279d: 60000c j 8e27ad + +008e27a0 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:273 + 8e27a0: 2a7000 l8ui a10, a7, 0 + 8e27a3: b177 addi.n a7, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:274 + 8e27a5: 0b5000 callx8 a5 + 8e27a8: 291212 l32i a9, a1, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:275 + 8e27ab: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:272 + 8e27ad: b066 addi.n a6, a6, -1 + 8e27af: b099 addi.n a9, a9, -1 + 8e27b1: 291612 s32i a9, a1, 72 + 8e27b4: 6b91e8 bgei a9, 1, 8e27a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:277 + 8e27b7: 8c1d l32i.n a12, a1, 52 + 8e27b9: 9718 s32i.n a7, a1, 32 + 8e27bb: 64cd2f beqz a12, 8e24ee + 8e27be: 8d1f l32i.n a13, a1, 60 + 8e27c0: b1dd addi.n a13, a13, 1 + 8e27c2: 9d19 s32i.n a13, a1, 36 + 8e27c4: 60008a j 8e2852 + +008e27c7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:139 + 8e27c7: c0a0 movi.n a10, 0 + 8e27c9: 63fe02 j 8e25cf + +008e27cc : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:157 + 8e27cc: 64b10c beqz a11, 8e28dc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:158 + 8e27cf: 2f1211 l32i a15, a1, 68 + 8e27d2: c1b8 movi.n a11, 24 + 8e27d4: c1e8 movi.n a14, 24 + 8e27d6: daf0 mov.n a10, a15 + 8e27d8: b4ff addi.n a15, a15, 4 + 8e27da: 2f1611 s32i a15, a1, 68 + 8e27dd: 7fe27d blt a14, a15, 8e285e + 8e27e0: 8a1a l32i.n a10, a1, 40 + 8e27e2: 63fe48 j 8e262e + +008e27e5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e27e5: 280a64 movi a8, 100 + 8e27e8: 78723d blt a7, a8, 8e2829 + 8e27eb: 290a64 movi a9, 100 + 8e27ee: 779202 blt a9, a7, 8e27f4 + 8e27f1: 63fe90 j 8e2685 + 8e27f4: 2a0a70 movi a10, 112 + 8e27f7: 7a7934 bne a7, a10, 8e282f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:182 + 8e27fa: c3a0 movi.n a10, 48 + 8e27fc: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:183 + 8e27ff: 2a0a78 movi a10, 120 + 8e2802: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:185 + 8e2805: c0b8 movi.n a11, 8 + 8e2807: c0c1 movi.n a12, 1 + 8e2809: 9c1e s32i.n a12, a1, 56 + 8e280b: 9b1c s32i.n a11, a1, 48 + 8e280d: 63fe74 j 8e2685 + +008e2810 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e2810: c5d3 movi.n a13, 83 + 8e2812: 7d7919 bne a7, a13, 8e282f + +008e2815 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:211 + 8e2815: 2f1211 l32i a15, a1, 68 + 8e2818: c1e8 movi.n a14, 24 + 8e281a: dbf0 mov.n a11, a15 + 8e281c: b4ff addi.n a15, a15, 4 + 8e281e: 2f1611 s32i a15, a1, 68 + 8e2821: 7fe246 blt a14, a15, 8e286b + 8e2824: 891a l32i.n a9, a1, 40 + 8e2826: 60004d j 8e2877 + +008e2829 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e2829: 280a63 movi a8, 99 + 8e282c: 787179 beq a7, a8, 8e28a9 + +008e282f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:244 + 8e282f: c2a5 movi.n a10, 37 + 8e2831: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:245 + 8e2834: da70 mov.n a10, a7 + 8e2836: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:246 + 8e2839: b222 addi.n a2, a2, 2 + 8e283b: 63ff02 j 8e2741 + +008e283e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e283e: c295 movi.n a9, 37 + 8e2840: 7979eb bne a7, a9, 8e282f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:241 + 8e2843: c2a5 movi.n a10, 37 + 8e2845: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:242 + 8e2848: 63fef5 j 8e2741 + +008e284b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:279 + 8e284b: c2a0 movi.n a10, 32 + 8e284d: 0b5000 callx8 a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:280 + 8e2850: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:278 + 8e2852: 8a19 l32i.n a10, a1, 36 + 8e2854: b0aa addi.n a10, a10, -1 + 8e2856: 9a19 s32i.n a10, a1, 36 + 8e2858: 6ba1ef bgei a10, 1, 8e284b + 8e285b: 63fc8f j 8e24ee + +008e285e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:158 + 8e285e: 7ab204 blt a11, a10, 8e2866 + 8e2861: c2c4 movi.n a12, 36 + +008e2863 : + 8e2863: 2c1611 s32i a12, a1, 68 + 8e2866: 8a1b l32i.n a10, a1, 44 + 8e2868: 63fdc2 j 8e262e + +008e286b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:211 + 8e286b: c1d8 movi.n a13, 24 + 8e286d: 7bd204 blt a13, a11, 8e2875 + 8e2870: c2e4 movi.n a14, 36 + +008e2872 : + 8e2872: 2e1611 s32i a14, a1, 68 + 8e2875: 891b l32i.n a9, a1, 44 + 8e2877: 2f1211 l32i a15, a1, 68 + 8e287a: a9ff add.n a15, a15, a9 + 8e287c: 2ffdff addmi a15, a15, 0xffffff00 + 8e287f: 2ff23f l32i a15, a15, 252 + 8e2882: 9f18 s32i.n a15, a1, 32 + 8e2884: ccf3 bnez.n a15, 8e288b + 8e2886: 1800a5 l32r a8, 4e8294 <_lit4_start+0x294> + 8e2889: 9818 s32i.n a8, a1, 32 + +008e288b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:216 + 8e288b: 8918 l32i.n a9, a1, 32 + 8e288d: 299000 l8ui a9, a9, 0 + 8e2890: c060 movi.n a6, 0 + 8e2892: 64907a beqz a9, 8e2910 + 8e2895: 8918 l32i.n a9, a1, 32 + +008e2897 : + 8e2897: 2a9001 l8ui a10, a9, 1 + 8e289a: b166 addi.n a6, a6, 1 + 8e289c: b199 addi.n a9, a9, 1 + 8e289e: 65aff5 bnez a10, 8e2897 + 8e28a1: 63fe9c j 8e2741 + +008e28a4 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 + 8e28a4: c4b3 movi.n a11, 67 + 8e28a6: 7b7985 bne a7, a11, 8e282f + +008e28a9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:220 + 8e28a9: 2d1211 l32i a13, a1, 68 + 8e28ac: c1c8 movi.n a12, 24 + 8e28ae: dbd0 mov.n a11, a13 + 8e28b0: b4dd addi.n a13, a13, 4 + 8e28b2: 2d1611 s32i a13, a1, 68 + 8e28b5: 7dca02 bge a12, a13, 8e28bb + 8e28b8: 63fc60 j 8e251c + 8e28bb: 8b1a l32i.n a11, a1, 40 + 8e28bd: 63fc67 j 8e2528 + +008e28c0 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:288 + 8e28c0: d10f retw.n + +008e28c2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:197 + 8e28c2: da40 mov.n a10, a4 + 8e28c4: db10 mov.n a11, a1 + 8e28c6: c0ca movi.n a12, 10 + 8e28c8: 1d00a6 l32r a13, 4e8298 <_lit4_start+0x298> + 8e28cb: 5bfeec call8 8e247c <_cvt> + 8e28ce: d6a0 mov.n a6, a10 + 8e28d0: 63fe69 j 8e273d + +008e28d3 : + 8e28d3: 2e7c8b addi a14, a7, -117 + 8e28d6: 65ef55 bnez a14, 8e282f + 8e28d9: 63fda8 j 8e2685 + +008e28dc : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:160 + 8e28dc: 281211 l32i a8, a1, 68 + 8e28df: c1f8 movi.n a15, 24 + 8e28e1: da80 mov.n a10, a8 + 8e28e3: b488 addi.n a8, a8, 4 + 8e28e5: 281611 s32i a8, a1, 68 + 8e28e8: 78f204 blt a15, a8, 8e28f0 + 8e28eb: 8a1a l32i.n a10, a1, 40 + 8e28ed: 63fd3d j 8e262e + +008e28f0 : + 8e28f0: c198 movi.n a9, 24 + 8e28f2: 7a9204 blt a9, a10, 8e28fa + 8e28f5: c2a4 movi.n a10, 36 + +008e28f7 : + 8e28f7: 2a1611 s32i a10, a1, 68 + 8e28fa: 8a1b l32i.n a10, a1, 44 + 8e28fc: 63fd2e j 8e262e + +008e28ff : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:204 + 8e28ff: da40 mov.n a10, a4 + 8e2901: db10 mov.n a11, a1 + 8e2903: c1c0 movi.n a12, 16 + 8e2905: 1d00a7 l32r a13, 4e829c <_lit4_start+0x29c> + 8e2908: 5bfedc call8 8e247c <_cvt> + 8e290b: d6a0 mov.n a6, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:205 + 8e290d: 63fe2c j 8e273d + +008e2910 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:216 + 8e2910: c060 movi.n a6, 0 + 8e2912: 63fe2b j 8e2741 + +008e2915 : + 8e2915: 000000 ... + +008e2918 : +cmnos_printf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:292 + 8e2918: 6c100a entry a1, 80 + 8e291b: db20 mov.n a11, a2 + 8e291d: 9214 s32i.n a2, a1, 16 + 8e291f: 9416 s32i.n a4, a1, 24 + 8e2921: 9517 s32i.n a5, a1, 28 + 8e2923: 9618 s32i.n a6, a1, 32 + 8e2925: 9719 s32i.n a7, a1, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:300 + 8e2927: de10 mov.n a14, a1 + 8e2929: 1a00a8 l32r a10, 4e82a0 <_lit4_start+0x2a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:292 + 8e292c: 9315 s32i.n a3, a1, 20 + 8e292e: 2f1c30 addi a15, a1, 48 + 8e2931: 2d1c10 addi a13, a1, 16 + 8e2934: 9d11 s32i.n a13, a1, 4 + 8e2936: 9f10 s32i.n a15, a1, 0 + 8e2938: c034 movi.n a3, 4 + 8e293a: 9312 s32i.n a3, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:300 + 8e293c: 8aa0 l32i.n a10, a10, 0 + 8e293e: 8ce0 l32i.n a12, a14, 0 + 8e2940: 8de1 l32i.n a13, a14, 4 + 8e2942: 8ee2 l32i.n a14, a14, 8 + 8e2944: 5bfee2 call8 8e24d0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:307 + 8e2947: d2a0 mov.n a2, a10 + 8e2949: d10f retw.n + +008e294b : + ... + +008e294c : +cmnos_printf_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:312 + 8e294c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:313 + 8e294f: d10f retw.n + +008e2951 : + 8e2951: 000000 ... + +008e2954 : +cmnos_printf_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:316 + 8e2954: 6c1004 entry a1, 32 + 8e2957: 1800a9 l32r a8, 4e82a4 <_lit4_start+0x2a4> + 8e295a: 1300aa l32r a3, 4e82a8 <_lit4_start+0x2a8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:318 + 8e295d: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:317 + 8e295f: 9820 s32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:319 + 8e2961: d10f retw.n + +008e2963 : + ... + +008e2964 <_patch_dump>: +_patch_dump(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:36 + 8e2964: 6c1004 entry a1, 32 + 8e2967: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:42 + 8e296a: 2b2101 l16ui a11, a2, 2 + 8e296d: 8c21 l32i.n a12, a2, 4 + 8e296f: 288212 l32i a8, a8, 72 + 8e2972: 8d22 l32i.n a13, a2, 8 + 8e2974: 1a00ab l32r a10, 4e82ac <_lit4_start+0x2ac> + 8e2977: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:43 + 8e297a: d10f retw.n + +008e297c <_read_rom_patch>: +_read_rom_patch(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:49 + 8e297c: 6c1004 entry a1, 32 + 8e297f: 1900ac l32r a9, 4e82b0 <_lit4_start+0x2b0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:60 + 8e2982: 8321 l32i.n a3, a2, 4 + 8e2984: 1800ad l32r a8, 4e82b4 <_lit4_start+0x2b4> + 8e2987: 140018 l32r a4, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:61 + 8e298a: a939 add.n a9, a3, a9 + 8e298c: 798b0e bgeu a8, a9, 8e299e <_read_rom_patch+0x22> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:64 + 8e298f: 284212 l32i a8, a4, 72 + 8e2992: db30 mov.n a11, a3 + 8e2994: 1a00ae l32r a10, 4e82b8 <_lit4_start+0x2b8> + 8e2997: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:65 + 8e299a: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:81 + 8e299c: d10f retw.n + +008e299e <_read_rom_patch+0x22>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:68 + 8e299e: da20 mov.n a10, a2 + 8e29a0: 5bfff0 call8 8e2964 <_patch_dump> + 8e29a3: 1a00af l32r a10, 4e82bc <_lit4_start+0x2bc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:70 + 8e29a6: 2b2101 l16ui a11, a2, 2 + 8e29a9: 284212 l32i a8, a4, 72 + 8e29ac: bc2c addi.n a12, a2, 12 + 8e29ae: dd30 mov.n a13, a3 + 8e29b0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:71 + 8e29b3: 292101 l16ui a9, a2, 2 + 8e29b6: c0a0 movi.n a10, 0 + 8e29b8: ca95 beqz.n a9, 8e29e1 <_read_rom_patch+0x65> + +008e29ba <_read_rom_patch+0x3e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:73 + 8e29ba: aa3c add.n a12, a3, a10 + 8e29bc: aa2b add.n a11, a2, a10 + 8e29be: 2fb00c l8ui a15, a11, 12 + 8e29c1: 2fc403 s8i a15, a12, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:74 + 8e29c4: 2eb00d l8ui a14, a11, 13 + 8e29c7: 2ec402 s8i a14, a12, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:75 + 8e29ca: 2db00e l8ui a13, a11, 14 + 8e29cd: 2dc401 s8i a13, a12, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:76 + 8e29d0: 2bb00f l8ui a11, a11, 15 + 8e29d3: 2bc400 s8i a11, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:71 + 8e29d6: 2b2101 l16ui a11, a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:77 + 8e29d9: b4aa addi.n a10, a10, 4 + 8e29db: 0a0a4f extui a10, a10, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:71 + 8e29de: 7ba3d8 bltu a10, a11, 8e29ba <_read_rom_patch+0x3e> + +008e29e1 <_read_rom_patch+0x65>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:79 + 8e29e1: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:81 + 8e29e3: d10f retw.n + +008e29e5 <_read_rom_patch+0x69>: + 8e29e5: 000000 ... + +008e29e8 : +cmnos_romp_decode(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:93 + 8e29e8: 6c1004 entry a1, 32 + 8e29eb: 1a00b0 l32r a10, 4e82c0 <_lit4_start+0x2c0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:108 + 8e29ee: 2aa101 l16ui a10, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:104 + 8e29f1: 022b02 or a11, a2, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:108 + 8e29f4: 290a00 movi a9, 0 + 8e29f7: 0a1a4f extui a10, a10, 1, 16 + 8e29fa: 6aa10c blti a10, 1, 8e2a0a + 8e29fd: 6da906 loopnez a10, 8e2a07 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:109 + 8e2a00: 8cb0 l32i.n a12, a11, 0 + 8e2a02: b4bb addi.n a11, a11, 4 + 8e2a04: 09c903 xor a9, a12, a9 + +008e2a07 : + 8e2a07: 600001 j 8e2a0c + +008e2a0a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:108 + 8e2a0a: c090 movi.n a9, 0 + 8e2a0c: cd90 bnez.n a9, 8e2a20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:122 + 8e2a0e: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:120 + 8e2a10: 8322 l32i.n a3, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:122 + 8e2a12: 5bffda call8 8e297c <_read_rom_patch> + 8e2a15: c8a7 beqz.n a10, 8e2a20 + 8e2a17: 1d00b1 l32r a13, 4e82c4 <_lit4_start+0x2c4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:127 + 8e2a1a: c021 movi.n a2, 1 + 8e2a1c: 93d0 s32i.n a3, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:144 + 8e2a1e: d10f retw.n + +008e2a20 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:114 + 8e2a20: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:144 + 8e2a22: d10f retw.n + +008e2a24 : +cmnos_romp_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:156 + 8e2a24: 6c1004 entry a1, 32 + 8e2a27: 1800b1 l32r a8, 4e82c4 <_lit4_start+0x2c4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:167 + 8e2a2a: 8880 l32i.n a8, a8, 0 + 8e2a2c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:169 + 8e2a2f: d10f retw.n + +008e2a31 : + 8e2a31: 000000 ... + +008e2a34 : +cmnos_romp_download(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:178 + 8e2a34: 6c1006 entry a1, 48 + 8e2a37: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e2a3a: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:202 + 8e2a3c: 283260 l32i a8, a3, 0x180 + 8e2a3f: c0b2 movi.n a11, 2 + 8e2a41: dc10 mov.n a12, a1 + 8e2a43: 0b8000 callx8 a8 + 8e2a46: cfad bnez.n a10, 8e2a87 + 8e2a48: 1a00b2 l32r a10, 4e82c8 <_lit4_start+0x2c8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:205 + 8e2a4b: 283212 l32i a8, a3, 72 + 8e2a4e: 2b1100 l16ui a11, a1, 0 + 8e2a51: 2c1101 l16ui a12, a1, 2 + 8e2a54: 0b8000 callx8 a8 + 8e2a57: 1900b0 l32r a9, 4e82c0 <_lit4_start+0x2c0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:207 + 8e2a5a: 2a1100 l16ui a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:208 + 8e2a5d: 2b1101 l16ui a11, a1, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:207 + 8e2a60: 2a9500 s16i a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:208 + 8e2a63: 2b9501 s16i a11, a9, 2 + 8e2a66: c9bd beqz.n a11, 8e2a87 + 8e2a68: 1c006f l32r a12, 4e81bc <_lit4_start+0x1bc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:212 + 8e2a6b: aabd add.n a13, a11, a10 + 8e2a6d: 7ac316 bltu a12, a10, 8e2a87 + 8e2a70: 7dc213 blt a12, a13, 8e2a87 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:217 + 8e2a73: 283260 l32i a8, a3, 0x180 + 8e2a76: 1c00b3 l32r a12, 4e82cc <_lit4_start+0x2cc> + 8e2a79: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:219 + 8e2a7c: 283257 l32i a8, a3, 0x15c + 8e2a7f: 1a00b3 l32r a10, 4e82cc <_lit4_start+0x2cc> + 8e2a82: 0b8000 callx8 a8 + 8e2a85: cca2 bnez.n a10, 8e2a8b + +008e2a87 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:203 + 8e2a87: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:229 + 8e2a89: d10f retw.n + +008e2a8b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:221 + 8e2a8b: 283256 l32i a8, a3, 0x158 + 8e2a8e: 0b8000 callx8 a8 + 8e2a91: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:229 + 8e2a93: d10f retw.n + +008e2a95 : + 8e2a95: 000000 ... + +008e2a98 : +cmnos_romp_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:237 + 8e2a98: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:240 + 8e2a9b: d10f retw.n + +008e2a9d : + 8e2a9d: 000000 ... + +008e2aa0 : +cmnos_romp_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:244 + 8e2aa0: 6c1004 entry a1, 32 + 8e2aa3: 1800b6 l32r a8, 4e82d8 <_lit4_start+0x2d8> + 8e2aa6: 1900b5 l32r a9, 4e82d4 <_lit4_start+0x2d4> + 8e2aa9: 1a00b4 l32r a10, 4e82d0 <_lit4_start+0x2d0> + 8e2aac: 1300b7 l32r a3, 4e82dc <_lit4_start+0x2dc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:248 + 8e2aaf: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:247 + 8e2ab1: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:246 + 8e2ab3: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:245 + 8e2ab5: 9a20 s32i.n a10, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:250 + 8e2ab7: d10f retw.n + +008e2ab9 : + 8e2ab9: 000000 ... + +008e2abc : +cmnos_string_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:13 + 8e2abc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:14 + 8e2abf: d10f retw.n + +008e2ac1 : + 8e2ac1: 000000 ... + +008e2ac4 : +cmnos_string_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:18 + 8e2ac4: 6c1004 entry a1, 32 + 8e2ac7: 1800bc l32r a8, 4e82f0 <_lit4_start+0x2f0> + 8e2aca: 1900bb l32r a9, 4e82ec <_lit4_start+0x2ec> + 8e2acd: 1a00ba l32r a10, 4e82e8 <_lit4_start+0x2e8> + 8e2ad0: 1b00b9 l32r a11, 4e82e4 <_lit4_start+0x2e4> + 8e2ad3: 1c00b8 l32r a12, 4e82e0 <_lit4_start+0x2e0> + 8e2ad6: 1300bd l32r a3, 4e82f4 <_lit4_start+0x2f4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:24 + 8e2ad9: 9325 s32i.n a3, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:23 + 8e2adb: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:22 + 8e2add: 9923 s32i.n a9, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:21 + 8e2adf: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:20 + 8e2ae1: 9b21 s32i.n a11, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:19 + 8e2ae3: 9c20 s32i.n a12, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:25 + 8e2ae5: d10f retw.n + +008e2ae7 : + ... + +008e2ae8 : +cmnos_tasklet_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:17 + 8e2ae8: 6c1004 entry a1, 32 + 8e2aeb: 1300be l32r a3, 4e82f8 <_lit4_start+0x2f8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:19 + 8e2aee: c020 movi.n a2, 0 + 8e2af0: 9230 s32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:20 + 8e2af2: d10f retw.n + +008e2af4 : +cmnos_tasklet_init_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:24 + 8e2af4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:25 + 8e2af7: 9240 s32i.n a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:24 + 8e2af9: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:26 + 8e2afb: 9341 s32i.n a3, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:27 + 8e2afd: 9543 s32i.n a5, a4, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:28 + 8e2aff: 9542 s32i.n a5, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:29 + 8e2b01: d10f retw.n + +008e2b03 : + ... + +008e2b04 : +cmnos_tasklet_schedule(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:33 + 8e2b04: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:34 + 8e2b07: 8322 l32i.n a3, a2, 8 + 8e2b09: 1500be l32r a5, 4e82f8 <_lit4_start+0x2f8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:38 + 8e2b0c: c081 movi.n a8, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:34 + 8e2b0e: 683109 beqi a3, 1, 8e2b1b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:39 + 8e2b11: 8450 l32i.n a4, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:38 + 8e2b13: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:42 + 8e2b15: 9250 s32i.n a2, a5, 0 + 8e2b17: c840 beqz.n a4, 8e2b1b + 8e2b19: 9423 s32i.n a4, a2, 12 + +008e2b1b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:45 + 8e2b1b: d10f retw.n + +008e2b1d : + 8e2b1d: 000000 ... + +008e2b20 : +cmnos_tasklet_disable(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:49 + 8e2b20: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:53 + 8e2b23: 8322 l32i.n a3, a2, 8 + 8e2b25: 1700be l32r a7, 4e82f8 <_lit4_start+0x2f8> + 8e2b28: 693107 bnei a3, 1, 8e2b33 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:57 + 8e2b2b: 8570 l32i.n a5, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:58 + 8e2b2d: c060 movi.n a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:60 + 8e2b2f: c040 movi.n a4, 0 + 8e2b31: cc50 bnez.n a5, 8e2b35 + +008e2b33 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:73 + 8e2b33: d10f retw.n + +008e2b35 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:59 + 8e2b35: 752906 bne a2, a5, 8e2b3f + 8e2b38: cc6d bnez.n a6, 8e2b49 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:61 + 8e2b3a: 9470 s32i.n a4, a7, 0 + 8e2b3c: 60000d j 8e2b4d + +008e2b3f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:69 + 8e2b3f: d650 mov.n a6, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:70 + 8e2b41: 8553 l32i.n a5, a5, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:58 + 8e2b43: 655fee bnez a5, 8e2b35 + 8e2b46: 63ffe9 j 8e2b33 + +008e2b49 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:63 + 8e2b49: 8853 l32i.n a8, a5, 12 + 8e2b4b: 9863 s32i.n a8, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:66 + 8e2b4d: 9422 s32i.n a4, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:73 + 8e2b4f: d10f retw.n + +008e2b51 : + 8e2b51: 000000 ... + +008e2b54 : +cmnos_tasklet_run(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:77 + 8e2b54: 6c1004 entry a1, 32 + 8e2b57: 1300be l32r a3, 4e82f8 <_lit4_start+0x2f8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:80 + 8e2b5a: 8230 l32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:77 + 8e2b5c: c040 movi.n a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:81 + 8e2b5e: c052 movi.n a5, 2 + 8e2b60: c924 beqz.n a2, 8e2b78 + +008e2b62 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:85 + 8e2b62: 9522 s32i.n a5, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:86 + 8e2b64: 8a21 l32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:82 + 8e2b66: 8923 l32i.n a9, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:86 + 8e2b68: 8820 l32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:82 + 8e2b6a: 9930 s32i.n a9, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:83 + 8e2b6c: 9423 s32i.n a4, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:86 + 8e2b6e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:87 + 8e2b71: 9422 s32i.n a4, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:89 + 8e2b73: 8230 l32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:81 + 8e2b75: 652fe9 bnez a2, 8e2b62 + +008e2b78 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:92 + 8e2b78: 9430 s32i.n a4, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:93 + 8e2b7a: d10f retw.n + +008e2b7c : +cmnos_tasklet_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:97 + 8e2b7c: 6c1004 entry a1, 32 + 8e2b7f: 1800c2 l32r a8, 4e8308 <_lit4_start+0x308> + 8e2b82: 1900c1 l32r a9, 4e8304 <_lit4_start+0x304> + 8e2b85: 1a00c0 l32r a10, 4e8300 <_lit4_start+0x300> + 8e2b88: 1b00bf l32r a11, 4e82fc <_lit4_start+0x2fc> + 8e2b8b: 1300c3 l32r a3, 4e830c <_lit4_start+0x30c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:102 + 8e2b8e: 9324 s32i.n a3, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:101 + 8e2b90: 9823 s32i.n a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:100 + 8e2b92: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:99 + 8e2b94: 9a21 s32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:98 + 8e2b96: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:103 + 8e2b98: d10f retw.n + +008e2b9a : + ... + +008e2b9c : +cmnos_timer_setfn(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:33 + 8e2b9c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:38 + 8e2b9f: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:33 + 8e2ba1: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:39 + 8e2ba3: 9424 s32i.n a4, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:36 + 8e2ba5: 9520 s32i.n a5, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:37 + 8e2ba7: 9521 s32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:40 + 8e2ba9: d10f retw.n + +008e2bab : + ... + +008e2bac : +cmnos_timer_arm(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:46 + 8e2bac: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:56 + 8e2baf: 580f1b call8 8e681c + 8e2bb2: 1c00c4 l32r a12, 4e8310 <_lit4_start+0x310> + 8e2bb5: 1800c5 l32r a8, 4e8314 <_lit4_start+0x314> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:59 + 8e2bb8: 89c0 l32i.n a9, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:61 + 8e2bba: c0b0 movi.n a11, 0 + 8e2bbc: 083828 mull a8, a3, a8 + 8e2bbf: c992 beqz.n a9, 8e2bd5 + 8e2bc1: a8aa add.n a10, a10, a8 + +008e2bc3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:63 + 8e2bc3: 8d91 l32i.n a13, a9, 4 + 8e2bc5: 0dad0c sub a13, a10, a13 + 8e2bc8: 6ad113 blti a13, 1, 8e2bdf +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:65 + 8e2bcb: db90 mov.n a11, a9 + 8e2bcd: 8990 l32i.n a9, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:61 + 8e2bcf: 659ff0 bnez a9, 8e2bc3 + 8e2bd2: 600009 j 8e2bdf + +008e2bd5 : + 8e2bd5: 1e00c5 l32r a14, 4e8314 <_lit4_start+0x314> + 8e2bd8: 0e3e28 mull a14, a3, a14 + 8e2bdb: c0b0 movi.n a11, 0 + 8e2bdd: aeaa add.n a10, a10, a14 + +008e2bdf : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:68 + 8e2bdf: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:69 + 8e2be1: 9a21 s32i.n a10, a2, 4 + 8e2be3: c8b2 beqz.n a11, 8e2be9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:71 + 8e2be5: 92b0 s32i.n a2, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:77 + 8e2be7: d10f retw.n + +008e2be9 : + 8e2be9: dbc0 mov.n a11, a12 + 8e2beb: 63fff6 j 8e2be5 + +008e2bee : + ... + +008e2bf0 : +cmnos_timer_disarm(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:83 + 8e2bf0: 6c1004 entry a1, 32 + 8e2bf3: 1700c4 l32r a7, 4e8310 <_lit4_start+0x310> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:88 + 8e2bf6: 8570 l32i.n a5, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:102 + 8e2bf8: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:90 + 8e2bfa: c060 movi.n a6, 0 + 8e2bfc: c85b beqz.n a5, 8e2c0b + +008e2bfe : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:92 + 8e2bfe: 75210b beq a2, a5, 8e2c0d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:95 + 8e2c01: d650 mov.n a6, a5 + 8e2c03: 8550 l32i.n a5, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:90 + 8e2c05: 655ff5 bnez a5, 8e2bfe + 8e2c08: 600009 j 8e2c15 + +008e2c0b : + 8e2c0b: c060 movi.n a6, 0 + +008e2c0d : + 8e2c0d: c854 beqz.n a5, 8e2c15 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:99 + 8e2c0f: 8450 l32i.n a4, a5, 0 + 8e2c11: c866 beqz.n a6, 8e2c1b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:100 + 8e2c13: 9460 s32i.n a4, a6, 0 + +008e2c15 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:107 + 8e2c15: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:108 + 8e2c17: 9322 s32i.n a3, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:109 + 8e2c19: d10f retw.n + +008e2c1b : + 8e2c1b: d670 mov.n a6, a7 + 8e2c1d: 63fff2 j 8e2c13 + +008e2c20 : +cmnos_timer_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:114 + 8e2c20: 6c1004 entry a1, 32 + 8e2c23: 1300c4 l32r a3, 4e8310 <_lit4_start+0x310> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:115 + 8e2c26: c020 movi.n a2, 0 + 8e2c28: 9230 s32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:116 + 8e2c2a: d10f retw.n + +008e2c2c : +cmnos_timer_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:121 + 8e2c2c: 6c1004 entry a1, 32 + 8e2c2f: 1200c4 l32r a2, 4e8310 <_lit4_start+0x310> + 8e2c32: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:125 + 8e2c34: 8820 l32i.n a8, a2, 0 + 8e2c36: c98d beqz.n a8, 8e2c57 + 8e2c38: 580ef8 call8 8e681c + 8e2c3b: 8c20 l32i.n a12, a2, 0 + 8e2c3d: 89c1 l32i.n a9, a12, 4 + 8e2c3f: 0a990c sub a9, a9, a10 + 8e2c42: 6b9111 bgei a9, 1, 8e2c57 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:130 + 8e2c45: dac0 mov.n a10, a12 + 8e2c47: 88c3 l32i.n a8, a12, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:128 + 8e2c49: 89c0 l32i.n a9, a12, 0 + 8e2c4b: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:129 + 8e2c4d: 93c0 s32i.n a3, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:130 + 8e2c4f: 8bc4 l32i.n a11, a12, 16 + 8e2c51: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:125 + 8e2c54: 63ffdc j 8e2c34 + +008e2c57 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:132 + 8e2c57: d10f retw.n + +008e2c59 : + 8e2c59: 000000 ... + +008e2c5c : +cmnos_timer_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:137 + 8e2c5c: 6c1004 entry a1, 32 + 8e2c5f: 1800c9 l32r a8, 4e8324 <_lit4_start+0x324> + 8e2c62: 1900c8 l32r a9, 4e8320 <_lit4_start+0x320> + 8e2c65: 1a00c7 l32r a10, 4e831c <_lit4_start+0x31c> + 8e2c68: 1b00c6 l32r a11, 4e8318 <_lit4_start+0x318> + 8e2c6b: 1300ca l32r a3, 4e8328 <_lit4_start+0x328> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:142 + 8e2c6e: 9324 s32i.n a3, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:141 + 8e2c70: 9823 s32i.n a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:140 + 8e2c72: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:139 + 8e2c74: 9a21 s32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:138 + 8e2c76: 9b20 s32i.n a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:143 + 8e2c78: d10f retw.n + +008e2c7a : + ... + +008e2c7c : +cmnos_wdt_last_boot(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:23 + 8e2c7c: 6c1004 entry a1, 32 + 8e2c7f: 120015 l32r a2, 4e8054 <_lit4_start+0x54> + 8e2c82: 140074 l32r a4, 4e81d0 <_lit4_start+0x1d0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:32 + 8e2c85: 0c0200 memw + 8e2c88: 23227f l32i a3, a2, 0x1fc + 8e2c8b: 1900cb l32r a9, 4e832c <_lit4_start+0x32c> + 8e2c8e: 743111 beq a3, a4, 8e2ca3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:34 + 8e2c91: 0c0200 memw + 8e2c94: 28227f l32i a8, a2, 0x1fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:37 + 8e2c97: c052 movi.n a5, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:35 + 8e2c99: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:34 + 8e2c9b: 09880c sub a8, a8, a9 + 8e2c9e: 085239 movnez a2, a5, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:37 + 8e2ca1: d10f retw.n + +008e2ca3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:33 + 8e2ca3: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:37 + 8e2ca5: d10f retw.n + +008e2ca7 : + ... + +008e2ca8 : +cmnos_wdt_reset(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:55 + 8e2ca8: 6c1004 entry a1, 32 + 8e2cab: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:56 + 8e2cae: c083 movi.n a8, 3 + 8e2cb0: 0c0200 memw + 8e2cb3: 283682 s32i a8, a3, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:57 + 8e2cb6: 221a00 movi a2, 0x100 + 8e2cb9: 0c0200 memw + 8e2cbc: 223683 s32i a2, a3, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:58 + 8e2cbf: 63fffc j 8e2cbf + +008e2cc2 : + ... + +008e2cc4 : +cmnos_wdt_set(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:67 + 8e2cc4: 6c1004 entry a1, 32 + 8e2cc7: 150037 l32r a5, 4e80dc <_lit4_start+0xdc> + 8e2cca: 1600cc l32r a6, 4e8330 <_lit4_start+0x330> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:84 + 8e2ccd: 68210f beqi a2, 1, 8e2ce0 + 8e2cd0: 692216 bnei a2, 2, 8e2cea +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:79 + 8e2cd3: 6f3413 bgeui a3, 4, 8e2cea +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:81 + 8e2cd6: 9361 s32i.n a3, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:82 + 8e2cd8: 0c0200 memw + 8e2cdb: 235682 s32i a3, a5, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:85 + 8e2cde: d10f retw.n + +008e2ce0 : + 8e2ce0: c836 beqz.n a3, 8e2cea +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:73 + 8e2ce2: 9362 s32i.n a3, a6, 8 + +008e2ce4 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:74 + 8e2ce4: 0c0200 memw + 8e2ce7: 235683 s32i a3, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:85 + 8e2cea: d10f retw.n + +008e2cec : +cmnos_wdt_enable(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:93 + 8e2cec: 6c1006 entry a1, 48 + 8e2cef: 1900cc l32r a9, 4e8330 <_lit4_start+0x330> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:95 + 8e2cf2: c081 movi.n a8, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:99 + 8e2cf4: db10 mov.n a11, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:97 + 8e2cf6: c0c2 movi.n a12, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:98 + 8e2cf8: c0d3 movi.n a13, 3 + 8e2cfa: 9d11 s32i.n a13, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:97 + 8e2cfc: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:99 + 8e2cfe: 8ab0 l32i.n a10, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:95 + 8e2d00: 289500 s16i a8, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:99 + 8e2d03: 8bb1 l32i.n a11, a11, 4 + 8e2d05: 5bffef call8 8e2cc4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:101 + 8e2d08: d10f retw.n + +008e2d0a : + ... + +008e2d0c : +cmnos_wdt_disable(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:108 + 8e2d0c: 6c1006 entry a1, 48 + 8e2d0f: 1e00cc l32r a14, 4e8330 <_lit4_start+0x330> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:115 + 8e2d12: db10 mov.n a11, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:113 + 8e2d14: c0c2 movi.n a12, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:108 + 8e2d16: c0d0 movi.n a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:114 + 8e2d18: 9d11 s32i.n a13, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:113 + 8e2d1a: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:115 + 8e2d1c: 8ab0 l32i.n a10, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:111 + 8e2d1e: 2de500 s16i a13, a14, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:115 + 8e2d21: 8bb1 l32i.n a11, a11, 4 + 8e2d23: 5bffe8 call8 8e2cc4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:118 + 8e2d26: d10f retw.n + +008e2d28 : +cmnos_wdt_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:126 + 8e2d28: 6c1006 entry a1, 48 + 8e2d2b: 1200cc l32r a2, 4e8330 <_lit4_start+0x330> + 8e2d2e: 1800cd l32r a8, 4e8334 <_lit4_start+0x334> + 8e2d31: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:130 + 8e2d33: 292500 s16i a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:131 + 8e2d36: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:132 + 8e2d38: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:135 + 8e2d3a: 5bffec call8 8e2cec +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:139 + 8e2d3d: db10 mov.n a11, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:137 + 8e2d3f: c0c1 movi.n a12, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:138 + 8e2d41: 8d22 l32i.n a13, a2, 8 + 8e2d43: 9d11 s32i.n a13, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:137 + 8e2d45: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:139 + 8e2d47: 8ab0 l32i.n a10, a11, 0 + 8e2d49: 8bb1 l32i.n a11, a11, 4 + 8e2d4b: 5bffde call8 8e2cc4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:140 + 8e2d4e: d10f retw.n + +008e2d50 : +cmnos_wdt_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:148 + 8e2d50: 6c1004 entry a1, 32 + 8e2d53: 1400cc l32r a4, 4e8330 <_lit4_start+0x330> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:150 + 8e2d56: 224100 l16ui a2, a4, 0 + 8e2d59: 180037 l32r a8, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:152 + 8e2d5c: 8342 l32i.n a3, a4, 8 + 8e2d5e: c824 beqz.n a2, 8e2d66 + +008e2d60 : + 8e2d60: 0c0200 memw + 8e2d63: 238683 s32i a3, a8, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:155 + 8e2d66: d10f retw.n + +008e2d68 : +cmnos_wdt_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:159 + 8e2d68: 6c1004 entry a1, 32 + 8e2d6b: 1800d3 l32r a8, 4e834c <_lit4_start+0x34c> + 8e2d6e: 1900d2 l32r a9, 4e8348 <_lit4_start+0x348> + 8e2d71: 1a00d1 l32r a10, 4e8344 <_lit4_start+0x344> + 8e2d74: 1b00d0 l32r a11, 4e8340 <_lit4_start+0x340> + 8e2d77: 1c00cf l32r a12, 4e833c <_lit4_start+0x33c> + 8e2d7a: 1d00ce l32r a13, 4e8338 <_lit4_start+0x338> + 8e2d7d: 1300d4 l32r a3, 4e8350 <_lit4_start+0x350> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:166 + 8e2d80: 9326 s32i.n a3, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:165 + 8e2d82: 9825 s32i.n a8, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:164 + 8e2d84: 9924 s32i.n a9, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:163 + 8e2d86: 9a23 s32i.n a10, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:162 + 8e2d88: 9b22 s32i.n a11, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:161 + 8e2d8a: 9c21 s32i.n a12, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:160 + 8e2d8c: 9d20 s32i.n a13, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:167 + 8e2d8e: d10f retw.n + +008e2d90 <_uart_init>: +_uart_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:25 + 8e2d90: 6c1004 entry a1, 32 + 8e2d93: 1200d5 l32r a2, 4e8354 <_lit4_start+0x354> + 8e2d96: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:30 + 8e2d98: 0c0200 memw + 8e2d9b: 232681 s32i a3, a2, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:34 + 8e2d9e: c093 movi.n a9, 3 + 8e2da0: 0c0200 memw + 8e2da3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:37 + 8e2da6: 28823d l32i a8, a8, 244 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:34 + 8e2da9: 292683 s32i a9, a2, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:37 + 8e2dac: 0b8000 callx8 a8 + 8e2daf: 1b00d6 l32r a11, 4e8358 <_lit4_start+0x358> + 8e2db2: 580040 call8 8e2eb4 <_uart_hwinit> + 8e2db5: 1900d7 l32r a9, 4e835c <_lit4_start+0x35c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:41 + 8e2db8: 0c0200 memw + 8e2dbb: 232681 s32i a3, a2, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:48 + 8e2dbe: c0a7 movi.n a10, 7 + 8e2dc0: 0c0200 memw + 8e2dc3: 2a2682 s32i a10, a2, 0x208 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:54 + 8e2dc6: 239504 s16i a3, a9, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:55 + 8e2dc9: 239505 s16i a3, a9, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:56 + 8e2dcc: d10f retw.n + +008e2dce <_uart_init+0x3e>: + ... + +008e2dd0 <_uart_char_put_nothing>: +_uart_char_put_nothing(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:64 + 8e2dd0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:66 + 8e2dd3: d10f retw.n + +008e2dd5 <_uart_char_put_nothing+0x5>: + 8e2dd5: 000000 ... + +008e2dd8 <_uart_char_get_nothing>: +_uart_char_get_nothing(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:74 + 8e2dd8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:75 + 8e2ddb: c020 movi.n a2, 0 + 8e2ddd: d10f retw.n + +008e2ddf <_uart_char_get_nothing+0x7>: + ... + +008e2de0 <_uart_char_put>: +_uart_char_put(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:84 + 8e2de0: 6c1004 entry a1, 32 + 8e2de3: 1300d8 l32r a3, 4e8360 <_lit4_start+0x360> + 8e2de6: 1400d5 l32r a4, 4e8354 <_lit4_start+0x354> + 8e2de9: 6d3a08 loopgtz a3, 8e2df5 <_uart_char_put+0x15> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:112 + 8e2dec: 0c0200 memw + 8e2def: 284285 l32i a8, a4, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:113 + 8e2df2: 7a8fff bbsi a8, 26, 8e2df5 <_uart_char_put+0x15> + +008e2df5 <_uart_char_put+0x15>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:119 + 8e2df5: 0c0200 memw + 8e2df8: 1900d8 l32r a9, 4e8360 <_lit4_start+0x360> + 8e2dfb: 224680 s32i a2, a4, 0x200 + 8e2dfe: 6d9a08 loopgtz a9, 8e2e0a <_uart_char_put+0x2a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:128 + 8e2e01: 0c0200 memw + 8e2e04: 2a4285 l32i a10, a4, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:129 + 8e2e07: 79afff bbsi a10, 25, 8e2e0a <_uart_char_put+0x2a> + +008e2e0a <_uart_char_put+0x2a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:135 + 8e2e0a: d10f retw.n + +008e2e0c <_uart_char_put_nowait>: +_uart_char_put_nowait(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:142 + 8e2e0c: 6c1004 entry a1, 32 + 8e2e0f: 1300d8 l32r a3, 4e8360 <_lit4_start+0x360> + 8e2e12: 1400d5 l32r a4, 4e8354 <_lit4_start+0x354> + 8e2e15: 6d3a08 loopgtz a3, 8e2e21 <_uart_char_put_nowait+0x15> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:149 + 8e2e18: 0c0200 memw + 8e2e1b: 284285 l32i a8, a4, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:150 + 8e2e1e: 7a8fff bbsi a8, 26, 8e2e21 <_uart_char_put_nowait+0x15> + +008e2e21 <_uart_char_put_nowait+0x15>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:156 + 8e2e21: 0c0200 memw + 8e2e24: 1900d8 l32r a9, 4e8360 <_lit4_start+0x360> + 8e2e27: 224680 s32i a2, a4, 0x200 + 8e2e2a: 6d9a08 loopgtz a9, 8e2e36 <_uart_char_put_nowait+0x2a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:165 + 8e2e2d: 0c0200 memw + 8e2e30: 2a4285 l32i a10, a4, 0x214 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:166 + 8e2e33: 79afff bbsi a10, 25, 8e2e36 <_uart_char_put_nowait+0x2a> + +008e2e36 <_uart_char_put_nowait+0x2a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:171 + 8e2e36: d10f retw.n + +008e2e38 <_uart_char_get>: +_uart_char_get(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:179 + 8e2e38: 6c1004 entry a1, 32 + 8e2e3b: 1400d5 l32r a4, 4e8354 <_lit4_start+0x354> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:182 + 8e2e3e: 0c0200 memw + 8e2e41: 234285 l32i a3, a4, 0x214 + 8e2e44: 7f3f03 bbsi a3, 31, 8e2e4b <_uart_char_get+0x13> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:190 + 8e2e47: c020 movi.n a2, 0 + 8e2e49: d10f retw.n + +008e2e4b <_uart_char_get+0x13>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:184 + 8e2e4b: 0c0200 memw + 8e2e4e: 284280 l32i a8, a4, 0x200 + 8e2e51: 282400 s8i a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:185 + 8e2e54: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:190 + 8e2e56: d10f retw.n + +008e2e58 <_uart_task>: +_uart_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:199 + 8e2e58: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:222 + 8e2e5b: d10f retw.n + +008e2e5d <_uart_task+0x5>: + 8e2e5d: 000000 ... + +008e2e60 <_uart_status>: +_uart_status(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:229 + 8e2e60: 6c1004 entry a1, 32 + 8e2e63: 1200d7 l32r a2, 4e835c <_lit4_start+0x35c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:230 + 8e2e66: 8223 l32i.n a2, a2, 12 + 8e2e68: d10f retw.n + +008e2e6a <_uart_status+0xa>: + ... + +008e2e6c <_uart_str_out>: +_uart_str_out(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:238 + 8e2e6c: 6c1004 entry a1, 32 + 8e2e6f: 1800d7 l32r a8, 4e835c <_lit4_start+0x35c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:241 + 8e2e72: 288100 l16ui a8, a8, 0 + 8e2e75: c88e beqz.n a8, 8e2e87 <_uart_str_out+0x1b> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:244 + 8e2e77: 2a2000 l8ui a10, a2, 0 + 8e2e7a: c8a9 beqz.n a10, 8e2e87 <_uart_str_out+0x1b> + +008e2e7c <_uart_str_out+0x10>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:245 + 8e2e7c: 5bffd8 call8 8e2de0 <_uart_char_put> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:244 + 8e2e7f: 2a2001 l8ui a10, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:246 + 8e2e82: b122 addi.n a2, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:244 + 8e2e84: 65aff4 bnez a10, 8e2e7c <_uart_str_out+0x10> + +008e2e87 <_uart_str_out+0x1b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:248 + 8e2e87: d10f retw.n + +008e2e89 <_uart_str_out+0x1d>: + 8e2e89: 000000 ... + +008e2e8c <_uart_config>: +_uart_config(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:256 + 8e2e8c: 6c1004 entry a1, 32 + 8e2e8f: 1700d7 l32r a7, 4e835c <_lit4_start+0x35c> + 8e2e92: 1400d9 l32r a4, 4e8364 <_lit4_start+0x364> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:259 + 8e2e95: c081 movi.n a8, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:257 + 8e2e97: 257100 l16ui a5, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:259 + 8e2e9a: c060 movi.n a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:262 + 8e2e9c: 8371 l32i.n a3, a7, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:257 + 8e2e9e: 75210f beq a2, a5, 8e2eb1 <_uart_config+0x25> + 8e2ea1: 1200da l32r a2, 4e8368 <_lit4_start+0x368> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:259 + 8e2ea4: 058638 moveqz a6, a8, a5 + 8e2ea7: 267500 s16i a6, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:262 + 8e2eaa: 064238 moveqz a2, a4, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:263 + 8e2ead: 9231 s32i.n a2, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:268 + 8e2eaf: d10f retw.n + +008e2eb1 <_uart_config+0x25>: + 8e2eb1: d10f retw.n + +008e2eb3 <_uart_config+0x27>: + ... + +008e2eb4 <_uart_hwinit>: +_uart_hwinit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:275 + 8e2eb4: 6c1004 entry a1, 32 + 8e2eb7: 1400d5 l32r a4, 4e8354 <_lit4_start+0x354> + 8e2eba: db30 mov.n a11, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:279 + 8e2ebc: 0c0200 memw + 8e2ebf: 234283 l32i a3, a4, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:281 + 8e2ec2: 280a80 movi a8, 128 + 8e2ec5: 083802 or a8, a3, a8 + 8e2ec8: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:283 + 8e2ecb: 024a14 srli a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:281 + 8e2ece: 284683 s32i a8, a4, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:283 + 8e2ed1: 580e8f call8 8e6910 <__udivsi3> + 8e2ed4: 0a8b14 srli a11, a10, 8 + 8e2ed7: 0c0200 memw + 8e2eda: 2b4681 s32i a11, a4, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:284 + 8e2edd: 0a0a47 extui a10, a10, 0, 8 + 8e2ee0: 0c0200 memw + 8e2ee3: 2a4680 s32i a10, a4, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:287 + 8e2ee6: 29fa7f movi a9, -129 + 8e2ee9: 093901 and a9, a3, a9 + 8e2eec: 0c0200 memw + 8e2eef: 294683 s32i a9, a4, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:288 + 8e2ef2: d10f retw.n + +008e2ef4 : +cmnos_uart_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:296 + 8e2ef4: 6c1004 entry a1, 32 + 8e2ef7: 1f00d7 l32r a15, 4e835c <_lit4_start+0x35c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:308 + 8e2efa: c0e1 movi.n a14, 1 + 8e2efc: 1400e0 l32r a4, 4e8380 <_lit4_start+0x380> + 8e2eff: 1800df l32r a8, 4e837c <_lit4_start+0x37c> + 8e2f02: 1900de l32r a9, 4e8378 <_lit4_start+0x378> + 8e2f05: 1a00dd l32r a10, 4e8374 <_lit4_start+0x374> + 8e2f08: 1b00dc l32r a11, 4e8370 <_lit4_start+0x370> + 8e2f0b: 1c00da l32r a12, 4e8368 <_lit4_start+0x368> + 8e2f0e: 1d00db l32r a13, 4e836c <_lit4_start+0x36c> + 8e2f11: 1300e1 l32r a3, 4e8384 <_lit4_start+0x384> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:305 + 8e2f14: 9327 s32i.n a3, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:308 + 8e2f16: 2ef500 s16i a14, a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:307 + 8e2f19: 92f1 s32i.n a2, a15, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:304 + 8e2f1b: 9425 s32i.n a4, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:303 + 8e2f1d: 9826 s32i.n a8, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:302 + 8e2f1f: 9924 s32i.n a9, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:301 + 8e2f21: 9a23 s32i.n a10, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:300 + 8e2f23: 9b22 s32i.n a11, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:299 + 8e2f25: 9c21 s32i.n a12, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:298 + 8e2f27: 9d20 s32i.n a13, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:309 + 8e2f29: d10f retw.n + +008e2f2b : + ... + +008e2f2c <__pci_reg_read>: +__pci_reg_read(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:49 + 8e2f2c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:50 + 8e2f2f: 0c0200 memw + 8e2f32: 8220 l32i.n a2, a2, 0 + 8e2f34: d10f retw.n + +008e2f36 <__pci_reg_read+0xa>: + ... + +008e2f38 <__pci_reg_write>: +__pci_reg_write(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:55 + 8e2f38: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:56 + 8e2f3b: 0c0200 memw + 8e2f3e: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:57 + 8e2f40: d10f retw.n + +008e2f42 <__pci_reg_write+0xa>: + ... + +008e2f44 <__pci_get_pipe>: +__pci_get_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:61 + 8e2f44: 6c1004 entry a1, 32 + 8e2f47: c920 beqz.n a2, 8e2f5b <__pci_get_pipe+0x17> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:77 + 8e2f49: 682116 beqi a2, 1, 8e2f63 <__pci_get_pipe+0x1f> + 8e2f4c: 68220f beqi a2, 2, 8e2f5f <__pci_get_pipe+0x1b> + 8e2f4f: 682314 beqi a2, 3, 8e2f67 <__pci_get_pipe+0x23> + 8e2f52: 682405 beqi a2, 4, 8e2f5b <__pci_get_pipe+0x17> + 8e2f55: 68250a beqi a2, 5, 8e2f63 <__pci_get_pipe+0x1f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:76 + 8e2f58: 63fffc j 8e2f58 <__pci_get_pipe+0x14> + +008e2f5b <__pci_get_pipe+0x17>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:72 + 8e2f5b: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 + 8e2f5d: d10f retw.n + +008e2f5f <__pci_get_pipe+0x1b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:68 + 8e2f5f: c022 movi.n a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 + 8e2f61: d10f retw.n + +008e2f63 <__pci_get_pipe+0x1f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:74 + 8e2f63: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 + 8e2f65: d10f retw.n + +008e2f67 <__pci_get_pipe+0x23>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:70 + 8e2f67: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 + 8e2f69: d10f retw.n + +008e2f6b <__pci_get_pipe+0x27>: + ... + +008e2f6c <__pci_get_tx_eng>: +__pci_get_tx_eng(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:82 + 8e2f6c: 6c1004 entry a1, 32 + 8e2f6f: c829 beqz.n a2, 8e2f7c <__pci_get_tx_eng+0x10> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:92 + 8e2f71: b024 addi.n a4, a2, -1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:88 + 8e2f73: c035 movi.n a3, 5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:91 + 8e2f75: c026 movi.n a2, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:92 + 8e2f77: 043238 moveqz a2, a3, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:85 + 8e2f7a: d10f retw.n + +008e2f7c <__pci_get_tx_eng+0x10>: + 8e2f7c: c024 movi.n a2, 4 + 8e2f7e: d10f retw.n + +008e2f80 <__pci_get_rx_eng>: +__pci_get_rx_eng(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:96 + 8e2f80: 6c1004 entry a1, 32 + 8e2f83: c920 beqz.n a2, 8e2f97 <__pci_get_rx_eng+0x17> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:112 + 8e2f85: 682112 beqi a2, 1, 8e2f9b <__pci_get_rx_eng+0x1b> + 8e2f88: 682213 beqi a2, 2, 8e2f9f <__pci_get_rx_eng+0x1f> + 8e2f8b: 242cfd addi a4, a2, -3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:108 + 8e2f8e: c033 movi.n a3, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:111 + 8e2f90: c026 movi.n a2, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:112 + 8e2f92: 043238 moveqz a2, a3, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:99 + 8e2f95: d10f retw.n + +008e2f97 <__pci_get_rx_eng+0x17>: + 8e2f97: c020 movi.n a2, 0 + 8e2f99: d10f retw.n + +008e2f9b <__pci_get_rx_eng+0x1b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:102 + 8e2f9b: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:99 + 8e2f9d: d10f retw.n + +008e2f9f <__pci_get_rx_eng+0x1f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:105 + 8e2f9f: c022 movi.n a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:99 + 8e2fa1: d10f retw.n + +008e2fa3 <__pci_get_rx_eng+0x23>: + ... + +008e2fa4 <__pci_enable>: +__pci_enable(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:118 + 8e2fa4: 6c1004 entry a1, 32 + 8e2fa7: 1a00e2 l32r a10, 4e8388 <_lit4_start+0x388> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:124 + 8e2faa: 5bffe0 call8 8e2f2c <__pci_reg_read> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:126 + 8e2fad: c0b8 movi.n a11, 8 + 8e2faf: 0bab02 or a11, a10, a11 + 8e2fb2: 1a00e2 l32r a10, 4e8388 <_lit4_start+0x388> + 8e2fb5: 5bffe0 call8 8e2f38 <__pci_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:127 + 8e2fb8: d10f retw.n + +008e2fba <__pci_enable+0x16>: + ... + +008e2fbc <__pci_reset>: +__pci_reset(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:135 + 8e2fbc: 6c1006 entry a1, 48 + 8e2fbf: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:141 + 8e2fc2: 282212 l32i a8, a2, 72 + 8e2fc5: 1a00e3 l32r a10, 4e838c <_lit4_start+0x38c> + +008e2fc8 <__pci_reset+0xc>: + 8e2fc8: 0b8000 callx8 a8 + 8e2fcb: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:143 + 8e2fce: 5bffd7 call8 8e2f2c <__pci_reg_read> + 8e2fd1: 0c0200 memw + 8e2fd4: 9a10 s32i.n a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:145 + 8e2fd6: 0c0200 memw + 8e2fd9: 8910 l32i.n a9, a1, 0 + 8e2fdb: 7197ec bbci a9, 17, 8e2fcb <__pci_reset+0xf> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:148 + 8e2fde: 282212 l32i a8, a2, 72 + 8e2fe1: 1a00e5 l32r a10, 4e8394 <_lit4_start+0x394> + 8e2fe4: 0b8000 callx8 a8 + 8e2fe7: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:154 + 8e2fea: 5bffd0 call8 8e2f2c <__pci_reg_read> + 8e2fed: 1300e6 l32r a3, 4e8398 <_lit4_start+0x398> + 8e2ff0: 0c0200 memw + 8e2ff3: 9a10 s32i.n a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:155 + 8e2ff5: 0c0200 memw + 8e2ff8: 8910 l32i.n a9, a1, 0 + 8e2ffa: 039901 and a9, a9, a3 + 8e2ffd: 0c0200 memw + 8e3000: 9910 s32i.n a9, a1, 0 + 8e3002: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:156 + 8e3005: 0c0200 memw + 8e3008: 8b10 l32i.n a11, a1, 0 + 8e300a: 5bffcb call8 8e2f38 <__pci_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:158 + 8e300d: 28223b l32i a8, a2, 236 + 8e3010: c0aa movi.n a10, 10 + 8e3012: 0b8000 callx8 a8 + 8e3015: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:164 + 8e3018: 5bffc4 call8 8e2f2c <__pci_reg_read> + 8e301b: 0c0200 memw + 8e301e: 9a10 s32i.n a10, a1, 0 + 8e3020: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:165 + 8e3023: 0c0200 memw + 8e3026: 8910 l32i.n a9, a1, 0 + 8e3028: 0a9902 or a9, a9, a10 + 8e302b: 0c0200 memw + 8e302e: 9910 s32i.n a9, a1, 0 + 8e3030: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:166 + 8e3033: 0c0200 memw + 8e3036: 8b10 l32i.n a11, a1, 0 + 8e3038: 5bffbf call8 8e2f38 <__pci_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:168 + 8e303b: 28223b l32i a8, a2, 236 + 8e303e: c0aa movi.n a10, 10 + 8e3040: 0b8000 callx8 a8 + 8e3043: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:174 + 8e3046: 5bffb9 call8 8e2f2c <__pci_reg_read> + 8e3049: 0c0200 memw + 8e304c: 9a10 s32i.n a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:175 + 8e304e: 0c0200 memw + 8e3051: 8910 l32i.n a9, a1, 0 + 8e3053: 039901 and a9, a9, a3 + 8e3056: 0c0200 memw + 8e3059: 9910 s32i.n a9, a1, 0 + 8e305b: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:176 + 8e305e: 0c0200 memw + 8e3061: 8b10 l32i.n a11, a1, 0 + 8e3063: 5bffb5 call8 8e2f38 <__pci_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:178 + 8e3066: 28223b l32i a8, a2, 236 + 8e3069: c0aa movi.n a10, 10 + 8e306b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:179 + 8e306e: d10f retw.n + +008e3070 <__pci_boot_init>: +__pci_boot_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:185 + 8e3070: 6c1004 entry a1, 32 + 8e3073: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:186 + 8e3076: 2822cc l32i a8, a2, 0x330 + 8e3079: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:187 + 8e307c: 2822cd l32i a8, a2, 0x334 + 8e307f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:189 + 8e3082: 2822bd l32i a8, a2, 0x2f4 + 8e3085: c0a4 movi.n a10, 4 + 8e3087: c0b1 movi.n a11, 1 + 8e3089: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:190 + 8e308c: 2822bf l32i a8, a2, 0x2fc + 8e308f: c0a0 movi.n a10, 0 + 8e3091: c0b1 movi.n a11, 1 + 8e3093: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:192 + 8e3096: c0a0 movi.n a10, 0 + 8e3098: 2822c0 l32i a8, a2, 0x300 + 8e309b: c0b2 movi.n a11, 2 + 8e309d: 2c6a80 movi a12, 0x680 + 8e30a0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:195 + 8e30a3: d10f retw.n + +008e30a5 <__pci_boot_init+0x35>: + 8e30a5: 000000 ... + +008e30a8 <__pci_init>: +__pci_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:205 + 8e30a8: 6c1004 entry a1, 32 + 8e30ab: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:206 + 8e30ae: 2822cc l32i a8, a2, 0x330 + 8e30b1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:207 + 8e30b4: 2822cd l32i a8, a2, 0x334 + 8e30b7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:212 + 8e30ba: 2822bd l32i a8, a2, 0x2f4 + 8e30bd: c0a4 movi.n a10, 4 + 8e30bf: c0b1 movi.n a11, 1 + 8e30c1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:213 + 8e30c4: 2822bd l32i a8, a2, 0x2f4 + 8e30c7: c0a5 movi.n a10, 5 + 8e30c9: c0b1 movi.n a11, 1 + 8e30cb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:218 + 8e30ce: 2822bf l32i a8, a2, 0x2fc + 8e30d1: c0a0 movi.n a10, 0 + 8e30d3: c0b1 movi.n a11, 1 + 8e30d5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:219 + 8e30d8: 2822bf l32i a8, a2, 0x2fc + 8e30db: c0a1 movi.n a10, 1 + 8e30dd: c0b1 movi.n a11, 1 + 8e30df: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:220 + 8e30e2: 2822bf l32i a8, a2, 0x2fc + 8e30e5: c0a2 movi.n a10, 2 + 8e30e7: c0b1 movi.n a11, 1 + 8e30e9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:221 + 8e30ec: 2822bf l32i a8, a2, 0x2fc + 8e30ef: c0a3 movi.n a10, 3 + 8e30f1: c0b1 movi.n a11, 1 + 8e30f3: 0b8000 callx8 a8 + 8e30f6: 1200e8 l32r a2, 4e83a0 <_lit4_start+0x3a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:223 + 8e30f9: d10f retw.n + +008e30fb <__pci_init+0x53>: + ... + +008e30fc <__pci_cfg_pipe>: +__pci_cfg_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:234 + 8e30fc: 6c1004 entry a1, 32 + 8e30ff: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:238 + 8e3102: 2862d2 l32i a8, a6, 0x348 + 8e3105: da30 mov.n a10, a3 + 8e3107: 0b8000 callx8 a8 + 8e310a: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:240 + 8e310c: 69a60a bnei a10, 6, 8e311a <__pci_cfg_pipe+0x1e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:241 + 8e310f: 286212 l32i a8, a6, 72 + 8e3112: 1a00e9 l32r a10, 4e83a4 <_lit4_start+0x3a4> + 8e3115: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:248 + 8e3118: d10f retw.n + +008e311a <__pci_cfg_pipe+0x1e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:245 + 8e311a: 286279 l32i a8, a6, 0x1e4 + 8e311d: db30 mov.n a11, a3 + 8e311f: da20 mov.n a10, a2 + 8e3121: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:247 + 8e3124: 040b4f extui a11, a4, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:245 + 8e3127: dca0 mov.n a12, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:247 + 8e3129: 2862c0 l32i a8, a6, 0x300 + 8e312c: da50 mov.n a10, a5 + 8e312e: 0c0c4f extui a12, a12, 0, 16 + 8e3131: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:248 + 8e3134: d10f retw.n + +008e3136 <__pci_cfg_pipe+0x3a>: + ... + +008e3138 <__pci_start>: +__pci_start(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:256 + 8e3138: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:257 + 8e313b: d10f retw.n + +008e313d <__pci_start+0x5>: + 8e313d: 000000 ... + +008e3140 <__pci_reg_callback>: +__pci_reg_callback(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:267 + 8e3140: 6c1004 entry a1, 32 + 8e3143: 1400e8 l32r a4, 4e83a0 <_lit4_start+0x3a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:270 + 8e3146: 8932 l32i.n a9, a3, 8 + 8e3148: 9942 s32i.n a9, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:271 + 8e314a: 8831 l32i.n a8, a3, 4 + 8e314c: 9841 s32i.n a8, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:272 + 8e314e: 8230 l32i.n a2, a3, 0 + 8e3150: 9240 s32i.n a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:273 + 8e3152: d10f retw.n + +008e3154 <__pci_reap_xmitted>: +__pci_reap_xmitted(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:283 + 8e3154: 6c1004 entry a1, 32 + 8e3157: 140018 l32r a4, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:287 + 8e315a: 2842d0 l32i a8, a4, 0x340 + 8e315d: da30 mov.n a10, a3 + 8e315f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:289 + 8e3162: 2842c6 l32i a8, a4, 0x318 + 8e3165: da30 mov.n a10, a3 + 8e3167: 0b8000 callx8 a8 + 8e316a: c8a7 beqz.n a10, 8e3175 <__pci_reap_xmitted+0x21> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:292 + 8e316c: 8820 l32i.n a8, a2, 0 + 8e316e: 8b22 l32i.n a11, a2, 8 + 8e3170: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:297 + 8e3173: d10f retw.n + +008e3175 <__pci_reap_xmitted+0x21>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:294 + 8e3175: 284212 l32i a8, a4, 72 + 8e3178: 1a00ea l32r a10, 4e83a8 <_lit4_start+0x3a8> + 8e317b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:297 + 8e317e: d10f retw.n + +008e3180 <__pci_reap_recv>: +__pci_reap_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:308 + 8e3180: 6c1004 entry a1, 32 + 8e3183: da30 mov.n a10, a3 + 8e3185: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:311 + 8e3188: 2832c7 l32i a8, a3, 0x31c + 8e318b: 0b8000 callx8 a8 + 8e318e: dba0 mov.n a11, a10 + 8e3190: c8a9 beqz.n a10, 8e319d <__pci_reap_recv+0x1d> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:314 + 8e3192: 8821 l32i.n a8, a2, 4 + 8e3194: 8c22 l32i.n a12, a2, 8 + 8e3196: c0a0 movi.n a10, 0 + 8e3198: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:317 + 8e319b: d10f retw.n + +008e319d <__pci_reap_recv+0x1d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:316 + 8e319d: 283212 l32i a8, a3, 72 + 8e31a0: 1a00eb l32r a10, 4e83ac <_lit4_start+0x3ac> + 8e31a3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:317 + 8e31a6: d10f retw.n + +008e31a8 <__pci_isr_handler>: +__pci_isr_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:325 + 8e31a8: 6c1004 entry a1, 32 + 8e31ab: 1300e8 l32r a3, 4e83a0 <_lit4_start+0x3a0> + 8e31ae: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e31b1: 600009 j 8e31be <__pci_isr_handler+0x16> + +008e31b4 <__pci_isr_handler+0xc>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:330 + 8e31b4: 2822cf l32i a8, a2, 0x33c + 8e31b7: da30 mov.n a10, a3 + 8e31b9: c0b3 movi.n a11, 3 + 8e31bb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:329 + 8e31be: 2822c9 l32i a8, a2, 0x324 + 8e31c1: c0a3 movi.n a10, 3 + 8e31c3: 0b8000 callx8 a8 + 8e31c6: 65afea bnez a10, 8e31b4 <__pci_isr_handler+0xc> + 8e31c9: 600009 j 8e31d6 <__pci_isr_handler+0x2e> + +008e31cc <__pci_isr_handler+0x24>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:333 + 8e31cc: 2822cf l32i a8, a2, 0x33c + 8e31cf: da30 mov.n a10, a3 + 8e31d1: c0b2 movi.n a11, 2 + 8e31d3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:332 + 8e31d6: 2822c9 l32i a8, a2, 0x324 + 8e31d9: c0a2 movi.n a10, 2 + 8e31db: 0b8000 callx8 a8 + 8e31de: 65afea bnez a10, 8e31cc <__pci_isr_handler+0x24> + 8e31e1: 600009 j 8e31ee <__pci_isr_handler+0x46> + +008e31e4 <__pci_isr_handler+0x3c>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:336 + 8e31e4: 2822cf l32i a8, a2, 0x33c + 8e31e7: da30 mov.n a10, a3 + 8e31e9: c0b1 movi.n a11, 1 + 8e31eb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:335 + 8e31ee: 2822c9 l32i a8, a2, 0x324 + 8e31f1: c0a1 movi.n a10, 1 + 8e31f3: 0b8000 callx8 a8 + 8e31f6: 65afea bnez a10, 8e31e4 <__pci_isr_handler+0x3c> + 8e31f9: 600009 j 8e3206 <__pci_isr_handler+0x5e> + +008e31fc <__pci_isr_handler+0x54>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:339 + 8e31fc: 2822ce l32i a8, a2, 0x338 + 8e31ff: da30 mov.n a10, a3 + 8e3201: c0b5 movi.n a11, 5 + 8e3203: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:338 + 8e3206: 2822c5 l32i a8, a2, 0x314 + 8e3209: c0a5 movi.n a10, 5 + 8e320b: 0b8000 callx8 a8 + 8e320e: 65afea bnez a10, 8e31fc <__pci_isr_handler+0x54> + +008e3211 <__pci_isr_handler+0x69>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:345 + 8e3211: 2822c5 l32i a8, a2, 0x314 + 8e3214: c0a4 movi.n a10, 4 + 8e3216: 0b8000 callx8 a8 + 8e3219: c8ad beqz.n a10, 8e322a <__pci_isr_handler+0x82> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:346 + 8e321b: 2822ce l32i a8, a2, 0x338 + 8e321e: da30 mov.n a10, a3 + 8e3220: c0b4 movi.n a11, 4 + 8e3222: 0b8000 callx8 a8 + 8e3225: c041 movi.n a4, 1 + 8e3227: 600001 j 8e322c <__pci_isr_handler+0x84> + +008e322a <__pci_isr_handler+0x82>: + 8e322a: c040 movi.n a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:350 + 8e322c: 2822c9 l32i a8, a2, 0x324 + 8e322f: c0a0 movi.n a10, 0 + 8e3231: 0b8000 callx8 a8 + 8e3234: c8aa beqz.n a10, 8e3242 <__pci_isr_handler+0x9a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:351 + 8e3236: 2822cf l32i a8, a2, 0x33c + 8e3239: da30 mov.n a10, a3 + 8e323b: c0b0 movi.n a11, 0 + 8e323d: 0b8000 callx8 a8 + 8e3240: c041 movi.n a4, 1 + +008e3242 <__pci_isr_handler+0x9a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:355 + 8e3242: 654fcb bnez a4, 8e3211 <__pci_isr_handler+0x69> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:357 + 8e3245: d10f retw.n + +008e3247 <__pci_isr_handler+0x9f>: + ... + +008e3248 <__pci_xmit_buf>: +__pci_xmit_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:369 + 8e3248: 6c1004 entry a1, 32 + 8e324b: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:372 + 8e324e: 2822d1 l32i a8, a2, 0x344 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:369 + 8e3251: da30 mov.n a10, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:372 + 8e3253: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:374 + 8e3256: 69a60c bnei a10, 6, 8e3266 <__pci_xmit_buf+0x1e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:375 + 8e3259: 282212 l32i a8, a2, 72 + 8e325c: 1a00ec l32r a10, 4e83b0 <_lit4_start+0x3b0> + 8e325f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:376 + 8e3262: c72f movi.n a2, -1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:379 + 8e3264: d10f retw.n + +008e3266 <__pci_xmit_buf+0x1e>: + 8e3266: 2822c3 l32i a8, a2, 0x30c + 8e3269: db40 mov.n a11, a4 + 8e326b: 0b8000 callx8 a8 + 8e326e: d2a0 mov.n a2, a10 + 8e3270: d10f retw.n + +008e3272 <__pci_xmit_buf+0x2a>: + ... + +008e3274 <__pci_return_recv>: +__pci_return_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:390 + 8e3274: 6c1004 entry a1, 32 + 8e3277: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:393 + 8e327a: 2822d2 l32i a8, a2, 0x348 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:390 + 8e327d: da30 mov.n a10, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:393 + 8e327f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:395 + 8e3282: 68a607 beqi a10, 6, 8e328d <__pci_return_recv+0x19> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:398 + 8e3285: 2822c8 l32i a8, a2, 0x320 + 8e3288: db40 mov.n a11, a4 + +008e328a <__pci_return_recv+0x16>: + 8e328a: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:399 + 8e328d: d10f retw.n + +008e328f <__pci_return_recv+0x1b>: + ... + +008e3290 <__pci_is_pipe_supported>: +__pci_is_pipe_supported(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:410 + 8e3290: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:411 + 8e3293: 6f3503 bgeui a3, 5, 8e329a <__pci_is_pipe_supported+0xa> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:412 + 8e3296: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:414 + 8e3298: d10f retw.n + +008e329a <__pci_is_pipe_supported+0xa>: + 8e329a: c020 movi.n a2, 0 + 8e329c: d10f retw.n + +008e329e <__pci_is_pipe_supported+0xe>: + ... + +008e32a0 <__pci_get_max_msg_len>: +__pci_get_max_msg_len(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:426 + 8e32a0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:430 + 8e32a3: 246a80 movi a4, 0x680 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:428 + 8e32a6: 222a00 movi a2, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:427 + 8e32a9: 034239 movnez a2, a4, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:430 + 8e32ac: d10f retw.n + +008e32ae <__pci_get_max_msg_len+0xe>: + ... + +008e32b0 <__pci_get_reserved_headroom>: +__pci_get_reserved_headroom(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:441 + 8e32b0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:442 + 8e32b3: c020 movi.n a2, 0 + 8e32b5: d10f retw.n + +008e32b7 <__pci_get_reserved_headroom+0x7>: + ... + +008e32b8 <__pci_shutdown>: +__pci_shutdown(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:451 + 8e32b8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:452 + 8e32bb: d10f retw.n + +008e32bd <__pci_shutdown+0x5>: + 8e32bd: 000000 ... + +008e32c0 <__pci_get_def_pipe>: +__pci_get_def_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:457 + 8e32c0: 6c1004 entry a1, 32 + 8e32c3: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:458 + 8e32c5: 223400 s8i a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:459 + 8e32c8: 224400 s8i a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:460 + 8e32cb: d10f retw.n + +008e32cd <__pci_get_def_pipe+0xd>: + 8e32cd: 000000 ... + +008e32d0 : +hif_pci_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:468 + 8e32d0: 6c1004 entry a1, 32 + 8e32d3: 1900ed l32r a9, 4e83b4 <_lit4_start+0x3b4> + 8e32d6: 1300ef l32r a3, 4e83bc <_lit4_start+0x3bc> + 8e32d9: 1a00f5 l32r a10, 4e83d4 <_lit4_start+0x3d4> + 8e32dc: 1b00f4 l32r a11, 4e83d0 <_lit4_start+0x3d0> + 8e32df: 1c00f3 l32r a12, 4e83cc <_lit4_start+0x3cc> + 8e32e2: 1d00f2 l32r a13, 4e83c8 <_lit4_start+0x3c8> + 8e32e5: 1e00f1 l32r a14, 4e83c4 <_lit4_start+0x3c4> + 8e32e8: 1f00f0 l32r a15, 4e83c0 <_lit4_start+0x3c0> + 8e32eb: 1800ee l32r a8, 4e83b8 <_lit4_start+0x3b8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:471 + 8e32ee: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:478 + 8e32f0: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:477 + 8e32f2: 9b29 s32i.n a11, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:476 + 8e32f4: 9c28 s32i.n a12, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:475 + 8e32f6: 9d27 s32i.n a13, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:474 + 8e32f8: 9e26 s32i.n a14, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:473 + 8e32fa: 9f2b s32i.n a15, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:470 + 8e32fc: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:472 + 8e32fe: 9325 s32i.n a3, a2, 20 + 8e3300: 1800f7 l32r a8, 4e83dc <_lit4_start+0x3dc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:480 + 8e3303: 982a s32i.n a8, a2, 40 + 8e3305: 1300f8 l32r a3, 4e83e0 <_lit4_start+0x3e0> + 8e3308: 1900f6 l32r a9, 4e83d8 <_lit4_start+0x3d8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:479 + 8e330b: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:481 + 8e330d: 932c s32i.n a3, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:482 + 8e330f: d10f retw.n + +008e3311 : + 8e3311: 000000 ... + +008e3314 : +hif_pci_api_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:486 + 8e3314: 6c1004 entry a1, 32 + 8e3317: 1800ff l32r a8, 4e83fc <_lit4_start+0x3fc> + 8e331a: 1900fe l32r a9, 4e83f8 <_lit4_start+0x3f8> + 8e331d: 1a00fd l32r a10, 4e83f4 <_lit4_start+0x3f4> + 8e3320: 1b00fc l32r a11, 4e83f0 <_lit4_start+0x3f0> + 8e3323: 1c00fb l32r a12, 4e83ec <_lit4_start+0x3ec> + 8e3326: 1d00ed l32r a13, 4e83b4 <_lit4_start+0x3b4> + 8e3329: 1e00fa l32r a14, 4e83e8 <_lit4_start+0x3e8> + 8e332c: 1f00f9 l32r a15, 4e83e4 <_lit4_start+0x3e4> + 8e332f: 130100 l32r a3, 4e8400 <_lit4_start+0x400> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:495 + 8e3332: 9327 s32i.n a3, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:494 + 8e3334: 9828 s32i.n a8, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:493 + 8e3336: 9926 s32i.n a9, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:492 + 8e3338: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:491 + 8e333a: 9b24 s32i.n a11, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:490 + 8e333c: 9c25 s32i.n a12, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:489 + 8e333e: 9d21 s32i.n a13, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:488 + 8e3340: 9e23 s32i.n a14, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:487 + 8e3342: 9f20 s32i.n a15, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:496 + 8e3344: d10f retw.n + +008e3346 : + ... + +008e3348 : +usbfifo_get_command_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:39 + 8e3348: 6c1004 entry a1, 32 + 8e334b: 180101 l32r a8, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:42 + 8e334e: 828d l32i.n a2, a8, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:44 + 8e3350: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:43 + 8e3352: 8421 l32i.n a4, a2, 4 + 8e3354: 948d s32i.n a4, a8, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:44 + 8e3356: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:45 + 8e3358: d10f retw.n + +008e335a : + ... + +008e335c : +usbfifo_recv_command(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:49 + 8e335c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:60 + 8e335f: 8a20 l32i.n a10, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:62 + 8e3361: 2da106 l16ui a13, a10, 12 + 8e3364: 0c0200 memw + 8e3367: 2da50b s16i a13, a10, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:63 + 8e336a: 8ca1 l32i.n a12, a10, 4 + 8e336c: 2ba105 l16ui a11, a10, 10 + 8e336f: acbb add.n a11, a11, a12 + 8e3371: 0c0200 memw + 8e3374: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:65 + 8e3377: 2882b6 l32i a8, a8, 0x2d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:63 + 8e337a: 9ba7 s32i.n a11, a10, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:65 + 8e337c: 2aac10 addi a10, a10, 16 + 8e337f: 0b8000 callx8 a8 + 8e3382: 1c0101 l32r a12, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:67 + 8e3385: db20 mov.n a11, a2 + 8e3387: 88c1 l32i.n a8, a12, 4 + 8e3389: c0a0 movi.n a10, 0 + 8e338b: 8cc2 l32i.n a12, a12, 8 + 8e338d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:69 + 8e3390: d10f retw.n + +008e3392 : + ... + +008e3394 : +usbfifo_get_event_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:72 + 8e3394: 6c1004 entry a1, 32 + 8e3397: 160101 l32r a6, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:75 + 8e339a: 826e l32i.n a2, a6, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:76 + 8e339c: 836f l32i.n a3, a6, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:72 + 8e339e: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:76 + 8e33a0: 723906 bne a3, a2, 8e33aa +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:77 + 8e33a3: 956e s32i.n a5, a6, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:78 + 8e33a5: 956f s32i.n a5, a6, 60 + 8e33a7: 600003 j 8e33ae + +008e33aa : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:80 + 8e33aa: 8821 l32i.n a8, a2, 4 + 8e33ac: 986e s32i.n a8, a6, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:83 + 8e33ae: 9521 s32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:84 + 8e33b0: d10f retw.n + +008e33b2 : + ... + +008e33b4 : +usbfifo_send_event_done(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:88 + 8e33b4: 6c1004 entry a1, 32 + 8e33b7: 1b0101 l32r a11, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:93 + 8e33ba: 88b0 l32i.n a8, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:88 + 8e33bc: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:93 + 8e33be: 8bb2 l32i.n a11, a11, 8 + 8e33c0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:95 + 8e33c3: d10f retw.n + +008e33c5 : + 8e33c5: 000000 ... + +008e33c8 <_HIFusb_init>: +_HIFusb_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:100 + 8e33c8: 6c1006 entry a1, 48 + 8e33cb: 190105 l32r a9, 4e8414 <_lit4_start+0x414> + 8e33ce: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e33d1: 1a0104 l32r a10, 4e8410 <_lit4_start+0x410> + 8e33d4: 1b0103 l32r a11, 4e840c <_lit4_start+0x40c> + 8e33d7: 1c0102 l32r a12, 4e8408 <_lit4_start+0x408> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:104 + 8e33da: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:105 + 8e33dc: 9b11 s32i.n a11, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:106 + 8e33de: 9a12 s32i.n a10, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:108 + 8e33e0: 282296 l32i a8, a2, 0x258 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:107 + 8e33e3: 9913 s32i.n a9, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:108 + 8e33e5: da10 mov.n a10, a1 + 8e33e7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:112 + 8e33ea: 2822ae l32i a8, a2, 0x2b8 + 8e33ed: 1a0106 l32r a10, 4e8418 <_lit4_start+0x418> + 8e33f0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:113 + 8e33f3: 2822af l32i a8, a2, 0x2bc + 8e33f6: 1a0107 l32r a10, 4e841c <_lit4_start+0x41c> + 8e33f9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:116 + 8e33fc: 2822ae l32i a8, a2, 0x2b8 + 8e33ff: 1a0108 l32r a10, 4e8420 <_lit4_start+0x420> + 8e3402: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:120 + 8e3405: 2822ae l32i a8, a2, 0x2b8 + 8e3408: 1a0109 l32r a10, 4e8424 <_lit4_start+0x424> + 8e340b: 0b8000 callx8 a8 + 8e340e: 190101 l32r a9, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:123 + 8e3411: c020 movi.n a2, 0 + 8e3413: 929e s32i.n a2, a9, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:124 + 8e3415: 929f s32i.n a2, a9, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:125 + 8e3417: 929d s32i.n a2, a9, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:127 + 8e3419: d10f retw.n + +008e341b <_HIFusb_init+0x53>: + ... + +008e341c <_HIFusb_shutdown>: +_HIFusb_shutdown(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:131 + 8e341c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:133 + 8e341f: d10f retw.n + +008e3421 <_HIFusb_shutdown+0x5>: + 8e3421: 000000 ... + +008e3424 <_HIFusb_register_callback>: +_HIFusb_register_callback(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:136 + 8e3424: 6c1004 entry a1, 32 + 8e3427: 140101 l32r a4, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:139 + 8e342a: 8930 l32i.n a9, a3, 0 + 8e342c: 9940 s32i.n a9, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:140 + 8e342e: 8831 l32i.n a8, a3, 4 + 8e3430: 9841 s32i.n a8, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:141 + 8e3432: 8232 l32i.n a2, a3, 8 + 8e3434: 9242 s32i.n a2, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:143 + 8e3436: d10f retw.n + +008e3438 <_HIFusb_start>: +_HIFusb_start(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:148 + 8e3438: 6c1004 entry a1, 32 + 8e343b: 140018 l32r a4, 4e8060 <_lit4_start+0x60> + 8e343e: 130101 l32r a3, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:156 + 8e3441: 284212 l32i a8, a4, 72 + 8e3444: 1a010a l32r a10, 4e8428 <_lit4_start+0x428> + 8e3447: 8b33 l32i.n a11, a3, 12 + 8e3449: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:157 + 8e344c: 284212 l32i a8, a4, 72 + 8e344f: 1a010b l32r a10, 4e842c <_lit4_start+0x42c> + 8e3452: 8b35 l32i.n a11, a3, 20 + 8e3454: 0b8000 callx8 a8 + 8e3457: 15010c l32r a5, 4e8430 <_lit4_start+0x430> + 8e345a: 12010d l32r a2, 4e8434 <_lit4_start+0x434> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:159 + 8e345d: 8a33 l32i.n a10, a3, 12 + 8e345f: 0c0200 memw + 8e3462: 2a2680 s32i a10, a2, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:160 + 8e3465: 8935 l32i.n a9, a3, 20 + 8e3467: 0c0200 memw + 8e346a: 295680 s32i a9, a5, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:162 + 8e346d: 284212 l32i a8, a4, 72 + 8e3470: 1a010e l32r a10, 4e8438 <_lit4_start+0x438> + 8e3473: 8b39 l32i.n a11, a3, 36 + 8e3475: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:163 + 8e3478: 8939 l32i.n a9, a3, 36 + 8e347a: 0c0200 memw + 8e347d: 2926c0 s32i a9, a2, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:167 + 8e3480: 284212 l32i a8, a4, 72 + 8e3483: 1a010f l32r a10, 4e843c <_lit4_start+0x43c> + 8e3486: 8b3b l32i.n a11, a3, 44 + 8e3488: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:168 + 8e348b: c0a1 movi.n a10, 1 + 8e348d: 8d3b l32i.n a13, a3, 44 + 8e348f: 0c0200 memw + 8e3492: 9d50 s32i.n a13, a5, 0 + 8e3494: 1c0110 l32r a12, 4e8440 <_lit4_start+0x440> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:171 + 8e3497: c7bf movi.n a11, -1 + 8e3499: 0c0200 memw + 8e349c: 2bc681 s32i a11, a12, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:172 + 8e349f: 0c0200 memw + 8e34a2: 2a2681 s32i a10, a2, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:175 + 8e34a5: 0c0200 memw + 8e34a8: 2a26c1 s32i a10, a2, 0x304 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:179 + 8e34ab: 0c0200 memw + 8e34ae: 9a51 s32i.n a10, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:182 + 8e34b0: d10f retw.n + +008e34b2 <_HIFusb_start+0x7a>: + ... + +008e34b4 <_HIFusb_get_reserved_headroom>: +_HIFusb_get_reserved_headroom(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:185 + 8e34b4: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:186 + 8e34b7: c020 movi.n a2, 0 + 8e34b9: d10f retw.n + +008e34bb <_HIFusb_get_reserved_headroom+0x7>: + ... + +008e34bc : +enable_rx(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:212 + 8e34bc: 6c1004 entry a1, 32 + 8e34bf: 14010d l32r a4, 4e8434 <_lit4_start+0x434> + 8e34c2: c051 movi.n a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:213 + 8e34c4: 692107 bnei a2, 1, 8e34cf +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:217 + 8e34c7: 0c0200 memw + 8e34ca: 254681 s32i a5, a4, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:230 + 8e34cd: d10f retw.n + +008e34cf : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:221 + 8e34cf: 692507 bnei a2, 5, 8e34da +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:222 + 8e34d2: 0c0200 memw + 8e34d5: 2546c1 s32i a5, a4, 0x304 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:230 + 8e34d8: d10f retw.n + +008e34da : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:226 + 8e34da: 692607 bnei a2, 6, 8e34e5 + 8e34dd: 13010c l32r a3, 4e8430 <_lit4_start+0x430> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:227 + 8e34e0: 0c0200 memw + 8e34e3: 9531 s32i.n a5, a3, 4 + +008e34e5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:230 + 8e34e5: d10f retw.n + +008e34e7 : + ... + +008e34e8 : +get_queue_from_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:233 + 8e34e8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:236 + 8e34eb: 692104 bnei a2, 1, 8e34f3 + 8e34ee: 120106 l32r a2, 4e8418 <_lit4_start+0x418> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:255 + 8e34f1: d10f retw.n + +008e34f3 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:240 + 8e34f3: 692504 bnei a2, 5, 8e34fb + 8e34f6: 120108 l32r a2, 4e8420 <_lit4_start+0x420> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:255 + 8e34f9: d10f retw.n + +008e34fb : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:246 + 8e34fb: 682602 beqi a2, 6, 8e3501 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:252 + 8e34fe: 63fffc j 8e34fe + +008e3501 : + 8e3501: 120109 l32r a2, 4e8424 <_lit4_start+0x424> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:255 + 8e3504: d10f retw.n + +008e3506 : + ... + +008e3508 <_HIFusb_config_pipe>: +_HIFusb_config_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:263 + 8e3508: 6c1006 entry a1, 48 + 8e350b: 9410 s32i.n a4, a1, 0 + 8e350d: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:269 + 8e3510: 683423 beqi a3, 4, 8e3537 <_HIFusb_config_pipe+0x2f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:302 + 8e3513: da30 mov.n a10, a3 + 8e3515: 5bfff4 call8 8e34e8 + 8e3518: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:303 + 8e351a: 286279 l32i a8, a6, 0x1e4 + 8e351d: db30 mov.n a11, a3 + 8e351f: da20 mov.n a10, a2 + 8e3521: 0b8000 callx8 a8 + 8e3524: dca0 mov.n a12, a10 + 8e3526: 2862b0 l32i a8, a6, 0x2c0 + 8e3529: 8b10 l32i.n a11, a1, 0 + 8e352b: da50 mov.n a10, a5 + 8e352d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:304 + 8e3530: da30 mov.n a10, a3 + 8e3532: 5bffe2 call8 8e34bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:299 + 8e3535: d10f retw.n + +008e3537 <_HIFusb_config_pipe+0x2f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:274 + 8e3537: c0c0 movi.n a12, 0 + 8e3539: 6a4150 blti a4, 1, 8e358d <_HIFusb_config_pipe+0x85> + 8e353c: c070 movi.n a7, 0 + 8e353e: d4c0 mov.n a4, a12 + +008e3540 <_HIFusb_config_pipe+0x38>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:276 + 8e3540: 2862a6 l32i a8, a6, 0x298 + 8e3543: 0b8000 callx8 a8 + 8e3546: d5a0 mov.n a5, a10 + 8e3548: cca1 bnez.n a10, 8e354d <_HIFusb_config_pipe+0x45> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:278 + 8e354a: 63fffc j 8e354a <_HIFusb_config_pipe+0x42> + +008e354d <_HIFusb_config_pipe+0x45>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:280 + 8e354d: 286279 l32i a8, a6, 0x1e4 + 8e3550: da20 mov.n a10, a2 + 8e3552: db30 mov.n a11, a3 + 8e3554: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e3557: 286252 l32i a8, a6, 0x148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:280 + 8e355a: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e355c: c0a0 movi.n a10, 0 + 8e355e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:280 + 8e3561: 9a51 s32i.n a10, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:281 + 8e3563: 286279 l32i a8, a6, 0x1e4 + 8e3566: db30 mov.n a11, a3 + 8e3568: da20 mov.n a10, a2 + 8e356a: 0b8000 callx8 a8 + 8e356d: 2a5504 s16i a10, a5, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:274 + 8e3570: 8b10 l32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:296 + 8e3572: b144 addi.n a4, a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:282 + 8e3574: c0c0 movi.n a12, 0 + 8e3576: 9c50 s32i.n a12, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:283 + 8e3578: 2c5505 s16i a12, a5, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:284 + 8e357b: 2c5506 s16i a12, a5, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:285 + 8e357e: 2c5507 s16i a12, a5, 14 + 8e3581: c870 beqz.n a7, 8e3585 <_HIFusb_config_pipe+0x7d> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:293 + 8e3583: 9750 s32i.n a7, a5, 0 + +008e3585 <_HIFusb_config_pipe+0x7d>: + 8e3585: d750 mov.n a7, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:274 + 8e3587: 74b9b5 bne a11, a4, 8e3540 <_HIFusb_config_pipe+0x38> + 8e358a: 600001 j 8e358f <_HIFusb_config_pipe+0x87> + +008e358d <_HIFusb_config_pipe+0x85>: + 8e358d: d7c0 mov.n a7, a12 + 8e358f: c97e beqz.n a7, 8e35b1 <_HIFusb_config_pipe+0xa9> + 8e3591: 120101 l32r a2, 4e8404 <_lit4_start+0x404> + +008e3594 <_HIFusb_config_pipe+0x8c>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:196 + 8e3594: 2862a1 l32i a8, a6, 0x284 + 8e3597: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:200 + 8e359a: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:198 + 8e359c: 97a0 s32i.n a7, a10, 0 + 8e359e: dc70 mov.n a12, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:202 + 8e35a0: 892d l32i.n a9, a2, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:199 + 8e35a2: 8770 l32i.n a7, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:200 + 8e35a4: 9bc0 s32i.n a11, a12, 0 + 8e35a6: c890 beqz.n a9, 8e35aa <_HIFusb_config_pipe+0xa2> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:205 + 8e35a8: 99a1 s32i.n a9, a10, 4 + +008e35aa <_HIFusb_config_pipe+0xa2>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:206 + 8e35aa: 9a2d s32i.n a10, a2, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:195 + 8e35ac: 657fe4 bnez a7, 8e3594 <_HIFusb_config_pipe+0x8c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:299 + 8e35af: d10f retw.n + +008e35b1 <_HIFusb_config_pipe+0xa9>: + 8e35b1: d10f retw.n + +008e35b3 <_HIFusb_config_pipe+0xab>: + ... + +008e35b4 <_HIFusb_send_buffer>: +_HIFusb_send_buffer(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:335 + 8e35b4: 6c1004 entry a1, 32 + 8e35b7: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:336 + 8e35ba: 693335 bnei a3, 3, 8e35f3 <_HIFusb_send_buffer+0x3f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:315 + 8e35bd: 8a40 l32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:317 + 8e35bf: 2da106 l16ui a13, a10, 12 + 8e35c2: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:318 + 8e35c5: 8ca1 l32i.n a12, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:317 + 8e35c7: 2da50b s16i a13, a10, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:318 + 8e35ca: 2ba105 l16ui a11, a10, 10 + 8e35cd: acbb add.n a11, a11, a12 + 8e35cf: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:320 + 8e35d2: 2822b6 l32i a8, a2, 0x2d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:318 + 8e35d5: 9ba7 s32i.n a11, a10, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:320 + 8e35d7: 2aac10 addi a10, a10, 16 + 8e35da: 0b8000 callx8 a8 + 8e35dd: 1a0101 l32r a10, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:323 + 8e35e0: 8eae l32i.n a14, a10, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:327 + 8e35e2: 8baf l32i.n a11, a10, 60 + 8e35e4: cee5 bnez.n a14, 8e360d <_HIFusb_send_buffer+0x59> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:324 + 8e35e6: 94ae s32i.n a4, a10, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:331 + 8e35e8: 282297 l32i a8, a2, 0x25c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:328 + 8e35eb: 94af s32i.n a4, a10, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:331 + 8e35ed: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:337 + 8e35f0: 600015 j 8e3609 <_HIFusb_send_buffer+0x55> + +008e35f3 <_HIFusb_send_buffer+0x3f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:339 + 8e35f3: 2822b1 l32i a8, a2, 0x2c4 + 8e35f6: db40 mov.n a11, a4 + 8e35f8: 1a0107 l32r a10, 4e841c <_lit4_start+0x41c> + 8e35fb: 0b8000 callx8 a8 + 8e35fe: 1a010c l32r a10, 4e8430 <_lit4_start+0x430> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:343 + 8e3601: c091 movi.n a9, 1 + 8e3603: 0c0200 memw + 8e3606: 29a681 s32i a9, a10, 0x204 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:347 + 8e3609: c020 movi.n a2, 0 + 8e360b: d10f retw.n + +008e360d <_HIFusb_send_buffer+0x59>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:327 + 8e360d: 94b1 s32i.n a4, a11, 4 + 8e360f: 63ffd5 j 8e35e8 <_HIFusb_send_buffer+0x34> + +008e3612 <_HIFusb_send_buffer+0x5e>: + ... + +008e3614 <_HIFusb_return_recv_buf>: +_HIFusb_return_recv_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:352 + 8e3614: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:355 + 8e3617: 69340c bnei a3, 4, 8e3627 <_HIFusb_return_recv_buf+0x13> + 8e361a: 1a0101 l32r a10, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:356 + 8e361d: 89ad l32i.n a9, a10, 52 + 8e361f: c890 beqz.n a9, 8e3623 <_HIFusb_return_recv_buf+0xf> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:359 + 8e3621: 9941 s32i.n a9, a4, 4 + +008e3623 <_HIFusb_return_recv_buf+0xf>: + 8e3623: 94ad s32i.n a4, a10, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:367 + 8e3625: d10f retw.n + +008e3627 <_HIFusb_return_recv_buf+0x13>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:363 + 8e3627: da30 mov.n a10, a3 + 8e3629: 5bffaf call8 8e34e8 + 8e362c: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:364 + 8e362f: 2882b4 l32i a8, a8, 0x2d0 + 8e3632: db40 mov.n a11, a4 + 8e3634: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:365 + 8e3637: da30 mov.n a10, a3 + 8e3639: 5bffa0 call8 8e34bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:367 + 8e363c: d10f retw.n + +008e363e <_HIFusb_return_recv_buf+0x2a>: + ... + +008e3640 <_HIFusb_set_recv_bufsz>: +_HIFusb_set_recv_bufsz(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:370 + 8e3640: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:373 + 8e3643: d10f retw.n + +008e3645 <_HIFusb_set_recv_bufsz+0x5>: + 8e3645: 000000 ... + +008e3648 <_HIFusb_pause_recv>: +_HIFusb_pause_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:376 + 8e3648: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:378 + 8e364b: d10f retw.n + +008e364d <_HIFusb_pause_recv+0x5>: + 8e364d: 000000 ... + +008e3650 <_HIFusb_resume_recv>: +_HIFusb_resume_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:381 + 8e3650: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:383 + 8e3653: d10f retw.n + +008e3655 <_HIFusb_resume_recv+0x5>: + 8e3655: 000000 ... + +008e3658 <_HIFusb_is_pipe_supported>: +_HIFusb_is_pipe_supported(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:386 + 8e3658: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:387 + 8e365b: b034 addi.n a4, a3, -1 + 8e365d: 6e4603 bltui a4, 6, 8e3664 <_HIFusb_is_pipe_supported+0xc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:388 + 8e3660: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:390 + 8e3662: d10f retw.n + +008e3664 <_HIFusb_is_pipe_supported+0xc>: + 8e3664: c021 movi.n a2, 1 + 8e3666: d10f retw.n + +008e3668 <_HIFusb_get_max_msg_len>: +_HIFusb_get_max_msg_len(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:395 + 8e3668: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:403 + 8e366b: 6b3506 bgei a3, 5, 8e3675 <_HIFusb_get_max_msg_len+0xd> + 8e366e: 6a3303 blti a3, 3, 8e3675 <_HIFusb_get_max_msg_len+0xd> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:399 + 8e3671: c420 movi.n a2, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:402 + 8e3673: d10f retw.n + +008e3675 <_HIFusb_get_max_msg_len+0xd>: + 8e3675: 226a40 movi a2, 0x640 + 8e3678: d10f retw.n + +008e367a <_HIFusb_get_max_msg_len+0x12>: + ... + +008e367c <_HIFusb_isr_handler>: +_HIFusb_isr_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:518 + 8e367c: 6c1004 entry a1, 32 + 8e367f: 190110 l32r a9, 4e8440 <_lit4_start+0x440> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:525 + 8e3682: 0c0200 memw + 8e3685: 180111 l32r a8, 4e8444 <_lit4_start+0x444> + 8e3688: 299280 l32i a9, a9, 0x200 + 8e368b: 140108 l32r a4, 4e8420 <_lit4_start+0x420> + 8e368e: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:532 + 8e3691: 798802 bany a8, a9, 8e3697 <_HIFusb_isr_handler+0x1b> + 8e3694: 60008f j 8e3727 <_HIFusb_isr_handler+0xab> + 8e3697: 234cdc addi a3, a4, -36 + 8e369a: 600012 j 8e36b0 <_HIFusb_isr_handler+0x34> + +008e369d <_HIFusb_isr_handler+0x21>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:488 + 8e369d: 2822b3 l32i a8, a2, 0x2cc + 8e36a0: da40 mov.n a10, a4 + 8e36a2: 0b8000 callx8 a8 + 8e36a5: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:489 + 8e36a7: 8831 l32i.n a8, a3, 4 + 8e36a9: 8c32 l32i.n a12, a3, 8 + 8e36ab: c0a0 movi.n a10, 0 + 8e36ad: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:538 + 8e36b0: 2822b7 l32i a8, a2, 0x2dc + 8e36b3: da40 mov.n a10, a4 + 8e36b5: 0b8000 callx8 a8 + 8e36b8: 65afe1 bnez a10, 8e369d <_HIFusb_isr_handler+0x21> + 8e36bb: 140109 l32r a4, 4e8424 <_lit4_start+0x424> + 8e36be: 600012 j 8e36d4 <_HIFusb_isr_handler+0x58> + +008e36c1 <_HIFusb_isr_handler+0x45>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:511 + 8e36c1: 2822b3 l32i a8, a2, 0x2cc + 8e36c4: da40 mov.n a10, a4 + 8e36c6: 0b8000 callx8 a8 + 8e36c9: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:512 + 8e36cb: 8831 l32i.n a8, a3, 4 + 8e36cd: 8c32 l32i.n a12, a3, 8 + 8e36cf: c0a0 movi.n a10, 0 + 8e36d1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:553 + 8e36d4: 2822b7 l32i a8, a2, 0x2dc + 8e36d7: da40 mov.n a10, a4 + 8e36d9: 0b8000 callx8 a8 + 8e36dc: 65afe1 bnez a10, 8e36c1 <_HIFusb_isr_handler+0x45> + 8e36df: 160107 l32r a6, 4e841c <_lit4_start+0x41c> + +008e36e2 <_HIFusb_isr_handler+0x66>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:555 + 8e36e2: 246cf8 addi a4, a6, -8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:570 + 8e36e5: 2822b7 l32i a8, a2, 0x2dc + 8e36e8: da60 mov.n a10, a6 + 8e36ea: 0b8000 callx8 a8 + 8e36ed: c9a2 beqz.n a10, 8e3703 <_HIFusb_isr_handler+0x87> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:439 + 8e36ef: 2822b5 l32i a8, a2, 0x2d4 + 8e36f2: da60 mov.n a10, a6 + 8e36f4: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:440 + 8e36f7: 8830 l32i.n a8, a3, 0 + 8e36f9: 8b32 l32i.n a11, a3, 8 + 8e36fb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:572 + 8e36fe: c051 movi.n a5, 1 + 8e3700: 600001 j 8e3705 <_HIFusb_isr_handler+0x89> + +008e3703 <_HIFusb_isr_handler+0x87>: + 8e3703: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:577 + 8e3705: 2822b7 l32i a8, a2, 0x2dc + 8e3708: da40 mov.n a10, a4 + 8e370a: 0b8000 callx8 a8 + 8e370d: c9a3 beqz.n a10, 8e3724 <_HIFusb_isr_handler+0xa8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:466 + 8e370f: 2822b3 l32i a8, a2, 0x2cc + 8e3712: da40 mov.n a10, a4 + 8e3714: 0b8000 callx8 a8 + 8e3717: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:467 + 8e3719: 8831 l32i.n a8, a3, 4 + 8e371b: 8c32 l32i.n a12, a3, 8 + 8e371d: c0a0 movi.n a10, 0 + 8e371f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:579 + 8e3722: c051 movi.n a5, 1 + +008e3724 <_HIFusb_isr_handler+0xa8>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:583 + 8e3724: 655fbd bnez a5, 8e36e5 <_HIFusb_isr_handler+0x69> + +008e3727 <_HIFusb_isr_handler+0xab>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:590 + 8e3727: d10f retw.n + +008e3729 <_HIFusb_isr_handler+0xad>: + 8e3729: 000000 ... + +008e372c <_HIFusb_get_default_pipe>: +_HIFusb_get_default_pipe(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:593 + 8e372c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:595 + 8e372f: c023 movi.n a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:594 + 8e3731: c084 movi.n a8, 4 + 8e3733: 283400 s8i a8, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:595 + 8e3736: 224400 s8i a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:596 + 8e3739: d10f retw.n + +008e373b <_HIFusb_get_default_pipe+0xf>: + ... + +008e373c : +hif_usb_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:601 + 8e373c: 6c1004 entry a1, 32 + 8e373f: 190112 l32r a9, 4e8448 <_lit4_start+0x448> + 8e3742: 130114 l32r a3, 4e8450 <_lit4_start+0x450> + 8e3745: 1a011a l32r a10, 4e8468 <_lit4_start+0x468> + 8e3748: 1b0119 l32r a11, 4e8464 <_lit4_start+0x464> + 8e374b: 1c0118 l32r a12, 4e8460 <_lit4_start+0x460> + 8e374e: 1d0117 l32r a13, 4e845c <_lit4_start+0x45c> + 8e3751: 1e0116 l32r a14, 4e8458 <_lit4_start+0x458> + 8e3754: 1f0115 l32r a15, 4e8454 <_lit4_start+0x454> + 8e3757: 180113 l32r a8, 4e844c <_lit4_start+0x44c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:604 + 8e375a: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:611 + 8e375c: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:610 + 8e375e: 9b29 s32i.n a11, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:609 + 8e3760: 9c28 s32i.n a12, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:608 + 8e3762: 9d27 s32i.n a13, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:607 + 8e3764: 9e26 s32i.n a14, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:606 + 8e3766: 9f2b s32i.n a15, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:603 + 8e3768: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:605 + 8e376a: 9325 s32i.n a3, a2, 20 + 8e376c: 18011c l32r a8, 4e8470 <_lit4_start+0x470> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:613 + 8e376f: 982a s32i.n a8, a2, 40 + 8e3771: 13011d l32r a3, 4e8474 <_lit4_start+0x474> + 8e3774: 19011b l32r a9, 4e846c <_lit4_start+0x46c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:612 + 8e3777: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:614 + 8e3779: 932c s32i.n a3, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:618 + 8e377b: d10f retw.n + +008e377d : + 8e377d: 000000 ... + +008e3780 : +HIFusb_DescTraceDump(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:621 + 8e3780: 6c1004 entry a1, 32 + 8e3783: 120018 l32r a2, 4e8060 <_lit4_start+0x60> + 8e3786: 130101 l32r a3, 4e8404 <_lit4_start+0x404> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:623 + 8e3789: 282212 l32i a8, a2, 72 + 8e378c: 1a011e l32r a10, 4e8478 <_lit4_start+0x478> + 8e378f: 8b39 l32i.n a11, a3, 36 + 8e3791: 0b8000 callx8 a8 + 8e3794: 1a011f l32r a10, 4e847c <_lit4_start+0x47c> + 8e3797: 15010d l32r a5, 4e8434 <_lit4_start+0x434> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:624 + 8e379a: 0c0200 memw + 8e379d: 282212 l32i a8, a2, 72 + 8e37a0: 2b52c6 l32i a11, a5, 0x318 + 8e37a3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:626 + 8e37a6: 2822b8 l32i a8, a2, 0x2e0 + 8e37a9: 1a0108 l32r a10, 4e8420 <_lit4_start+0x420> + 8e37ac: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:630 + 8e37af: 282212 l32i a8, a2, 72 + 8e37b2: 1a0120 l32r a10, 4e8480 <_lit4_start+0x480> + 8e37b5: 8b3b l32i.n a11, a3, 44 + 8e37b7: 0b8000 callx8 a8 + 8e37ba: 1a011f l32r a10, 4e847c <_lit4_start+0x47c> + 8e37bd: 14010c l32r a4, 4e8430 <_lit4_start+0x430> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:631 + 8e37c0: 0c0200 memw + 8e37c3: 282212 l32i a8, a2, 72 + 8e37c6: 8b46 l32i.n a11, a4, 24 + 8e37c8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:633 + 8e37cb: 2822b8 l32i a8, a2, 0x2e0 + 8e37ce: 1a0109 l32r a10, 4e8424 <_lit4_start+0x424> + 8e37d1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:636 + 8e37d4: 282212 l32i a8, a2, 72 + 8e37d7: 1a0121 l32r a10, 4e8484 <_lit4_start+0x484> + 8e37da: 8b33 l32i.n a11, a3, 12 + 8e37dc: 0b8000 callx8 a8 + 8e37df: 1a011f l32r a10, 4e847c <_lit4_start+0x47c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:637 + 8e37e2: 0c0200 memw + 8e37e5: 282212 l32i a8, a2, 72 + 8e37e8: 2b5286 l32i a11, a5, 0x218 + 8e37eb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:638 + 8e37ee: 2822b8 l32i a8, a2, 0x2e0 + 8e37f1: 1a0106 l32r a10, 4e8418 <_lit4_start+0x418> + 8e37f4: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:640 + 8e37f7: 282212 l32i a8, a2, 72 + 8e37fa: 8b35 l32i.n a11, a3, 20 + 8e37fc: 1a0122 l32r a10, 4e8488 <_lit4_start+0x488> + 8e37ff: 0b8000 callx8 a8 + 8e3802: 1a011f l32r a10, 4e847c <_lit4_start+0x47c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:641 + 8e3805: 0c0200 memw + 8e3808: 282212 l32i a8, a2, 72 + 8e380b: 2b4285 l32i a11, a4, 0x214 + 8e380e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:642 + 8e3811: 2822b8 l32i a8, a2, 0x2e0 + 8e3814: 1a0107 l32r a10, 4e841c <_lit4_start+0x41c> + 8e3817: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:643 + 8e381a: d10f retw.n + +008e381c : +vUsb_ep0tx(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:319 + 8e381c: 6c1004 entry a1, 32 + 8e381f: 120123 l32r a2, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:331 + 8e3822: 882c l32i.n a8, a2, 48 + 8e3824: 1a0124 l32r a10, 4e8490 <_lit4_start+0x490> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:328 + 8e3827: c490 movi.n a9, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:331 + 8e3829: 688108 beqi a8, 1, 8e3835 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:328 + 8e382c: 0c0200 memw + 8e382f: 29a488 s8i a9, a10, 136 + 8e3832: 600008 j 8e383e + +008e3835 : + 8e3835: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:323 + 8e3838: 288235 l32i a8, a8, 212 + 8e383b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:333 + 8e383e: c090 movi.n a9, 0 + 8e3840: 992b s32i.n a9, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:334 + 8e3842: d10f retw.n + +008e3844 : +vUsb_ep0rx(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:345 + 8e3844: 6c1004 entry a1, 32 + 8e3847: 120123 l32r a2, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:355 + 8e384a: 882c l32i.n a8, a2, 48 + 8e384c: 698208 bnei a8, 2, 8e3858 + 8e384f: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + +008e3852 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:350 + 8e3852: 288236 l32i a8, a8, 216 + 8e3855: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:357 + 8e3858: 2b2112 l16ui a11, a2, 36 + 8e385b: 892b l32i.n a9, a2, 44 + 8e385d: c0a0 movi.n a10, 0 + 8e385f: 0ba939 movnez a9, a10, a11 + 8e3862: 992b s32i.n a9, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:361 + 8e3864: d10f retw.n + +008e3866 : + ... + +008e3868 : +vUsbClrEPx(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:365 + 8e3868: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:369 + 8e386b: c031 movi.n a3, 1 + 8e386d: 280aef movi a8, 239 + 8e3870: 140050 l32r a4, 4e8140 <_lit4_start+0x140> + 8e3873: c073 movi.n a7, 3 + 8e3875: c160 movi.n a6, 16 + 8e3877: c02a movi.n a2, 10 + 8e3879: 6d2a31 loopgtz a2, 8e38ae +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:371 + 8e387c: 0f3911 slli a9, a3, 1 + 8e387f: 299c3f addi a9, a9, 63 + 8e3882: 079903 xor a9, a9, a7 + 8e3885: 090947 extui a9, a9, 0, 8 + 8e3888: 049902 or a9, a9, a4 + 8e388b: 0c0200 memw + 8e388e: 2a9000 l8ui a10, a9, 0 + 8e3891: 06aa02 or a10, a10, a6 + 8e3894: 0c0200 memw + 8e3897: 2a9400 s8i a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:372 + 8e389a: 0c0200 memw + 8e389d: 259000 l8ui a5, a9, 0 + 8e38a0: 085501 and a5, a5, a8 + 8e38a3: 0c0200 memw + 8e38a6: 259400 s8i a5, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:373 + 8e38a9: b133 addi.n a3, a3, 1 + 8e38ab: 030347 extui a3, a3, 0, 8 + +008e38ae : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:374 + 8e38ae: c031 movi.n a3, 1 + 8e38b0: c0aa movi.n a10, 10 + 8e38b2: 6daa31 loopgtz a10, 8e38e7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:376 + 8e38b5: 0f3911 slli a9, a3, 1 + 8e38b8: 299c5f addi a9, a9, 95 + 8e38bb: 079903 xor a9, a9, a7 + 8e38be: 090947 extui a9, a9, 0, 8 + 8e38c1: 049902 or a9, a9, a4 + 8e38c4: 0c0200 memw + 8e38c7: 2a9000 l8ui a10, a9, 0 + 8e38ca: 06aa02 or a10, a10, a6 + 8e38cd: 0c0200 memw + 8e38d0: 2a9400 s8i a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:377 + 8e38d3: 0c0200 memw + 8e38d6: 259000 l8ui a5, a9, 0 + 8e38d9: 085501 and a5, a5, a8 + 8e38dc: 0c0200 memw + 8e38df: 259400 s8i a5, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:378 + 8e38e2: b133 addi.n a3, a3, 1 + 8e38e4: 030347 extui a3, a3, 0, 8 + +008e38e7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:379 + 8e38e7: d10f retw.n + +008e38e9 : + 8e38e9: 000000 ... + +008e38ec : +bGet_status(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:390 + 8e38ec: 6c1004 entry a1, 32 + 8e38ef: 130123 l32r a3, 4e848c <_lit4_start+0x48c> + 8e38f2: 150125 l32r a5, 4e8494 <_lit4_start+0x494> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:393 + 8e38f5: 263002 l8ui a6, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:390 + 8e38f8: c04f movi.n a4, 15 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:393 + 8e38fa: 283c58 addi a8, a3, 88 + 8e38fd: 060643 extui a6, a6, 0, 4 + 8e3900: 086609 addx2 a6, a6, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:398 + 8e3903: c083 movi.n a8, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:393 + 8e3905: 266001 l8ui a6, a6, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:398 + 8e3908: 0c0200 memw + 8e390b: 2856c0 s32i a8, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:399 + 8e390e: 0c0200 memw + 8e3911: 265683 s32i a6, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:403 + 8e3914: 0c0200 memw + 8e3917: 2456c0 s32i a4, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:405 + 8e391a: c021 movi.n a2, 1 + 8e391c: 923b s32i.n a2, a3, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:406 + 8e391e: d10f retw.n + +008e3920 : +bClear_feature(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:417 + 8e3920: 6c1004 entry a1, 32 + 8e3923: 140123 l32r a4, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:418 + 8e3926: 224103 l16ui a2, a4, 6 + 8e3929: 6f2207 bgeui a2, 2, 8e3934 + 8e392c: cc28 bnez.n a2, 8e3938 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:421 + 8e392e: 234002 l8ui a3, a4, 2 + 8e3931: 683203 beqi a3, 2, 8e3938 + +008e3934 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:419 + 8e3934: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:427 + 8e3936: d10f retw.n + +008e3938 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:425 + 8e3938: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:424 + 8e393a: c080 movi.n a8, 0 + 8e393c: 28452e s16i a8, a4, 92 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:425 + 8e393f: 924b s32i.n a2, a4, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:427 + 8e3941: d10f retw.n + +008e3943 : + ... + +008e3944 : +bSet_feature(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:454 + 8e3944: 6c1004 entry a1, 32 + 8e3947: 150123 l32r a5, 4e848c <_lit4_start+0x48c> + 8e394a: c071 movi.n a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:567 + 8e394c: 245103 l16ui a4, a5, 6 + 8e394f: 120124 l32r a2, 4e8490 <_lit4_start+0x490> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:463 + 8e3952: 285002 l8ui a8, a5, 2 + 8e3955: c949 beqz.n a4, 8e3972 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:567 + 8e3957: 68411e beqi a4, 1, 8e3979 + 8e395a: 694217 bnei a4, 2, 8e3975 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:562 + 8e395d: 245104 l16ui a4, a5, 8 + 8e3960: 04844f extui a4, a4, 8, 16 + 8e3963: 6b4402 bgei a4, 4, 8e3969 + 8e3966: 6b412c bgei a4, 1, 8e3996 + +008e3969 : + 8e3969: 684441 beqi a4, 4, 8e39ae + 8e396c: 694505 bnei a4, 5, 8e3975 + 8e396f: 60009c j 8e3a0f + +008e3972 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:463 + 8e3972: 688212 beqi a8, 2, 8e3988 + +008e3975 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:566 + 8e3975: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:469 + 8e3977: d10f retw.n + +008e3979 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:476 + 8e3979: 0c0200 memw + 8e397c: 292083 l8ui a9, a2, 131 + 8e397f: 079902 or a9, a9, a7 + 8e3982: 0c0200 memw + 8e3985: 292483 s8i a9, a2, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:478 + 8e3988: 975b s32i.n a7, a5, 44 + 8e398a: d670 mov.n a6, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:572 + 8e398c: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:569 + 8e398e: 696102 bnei a6, 1, 8e3994 + +008e3991 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:570 + 8e3991: 27552e s16i a7, a5, 92 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:469 + 8e3994: d10f retw.n + +008e3996 : + 8e3996: 1a0126 l32r a10, 4e8498 <_lit4_start+0x498> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:493 + 8e3999: d670 mov.n a6, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:492 + 8e399b: 0a4a09 addx2 a10, a4, a10 + 8e399e: 2aa17f l16ui a10, a10, 254 + 8e39a1: 0c0200 memw + 8e39a4: 2a248b s8i a10, a2, 139 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:493 + 8e39a7: 975b s32i.n a7, a5, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:494 + 8e39a9: 63ffdf j 8e398c + +008e39ac : + ... + +008e39ae : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:501 + 8e39ae: c1b0 movi.n a11, 16 + +008e39b0 : + 8e39b0: 0c0200 memw + 8e39b3: 2b248b s8i a11, a2, 139 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:502 + 8e39b6: 0c0200 memw + 8e39b9: 272488 s8i a7, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:509 + 8e39bc: 0c0200 memw + 8e39bf: 2b248b s8i a11, a2, 139 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:520 + 8e39c2: 0c0200 memw + 8e39c5: 2c2088 l8ui a12, a2, 136 + 8e39c8: 7fcff6 bbsi a12, 31, 8e39c2 + 8e39cb: 160125 l32r a6, 4e8494 <_lit4_start+0x494> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:528 + 8e39ce: c09f movi.n a9, 15 + 8e39d0: 0c0200 memw + 8e39d3: 2966c0 s32i a9, a6, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:530 + 8e39d6: c040 movi.n a4, 0 + 8e39d8: 180127 l32r a8, 4e849c <_lit4_start+0x49c> + 8e39db: c0dd movi.n a13, 13 + 8e39dd: 6dda0f loopgtz a13, 8e39f0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:533 + 8e39e0: 084a0a addx4 a10, a4, a8 + 8e39e3: 8aa0 l32i.n a10, a10, 0 + 8e39e5: 0c0200 memw + 8e39e8: 2a6683 s32i a10, a6, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:534 + 8e39eb: b144 addi.n a4, a4, 1 + 8e39ed: 04044f extui a4, a4, 0, 16 + +008e39f0 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:539 + 8e39f0: c0d7 movi.n a13, 7 + 8e39f2: 0c0200 memw + 8e39f5: 2d66c0 s32i a13, a6, 0x300 + 8e39f8: 1c0128 l32r a12, 4e84a0 <_lit4_start+0x4a0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:540 + 8e39fb: 0c0200 memw + 8e39fe: 2c6683 s32i a12, a6, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:544 + 8e3a01: 0c0200 memw + 8e3a04: 2966c0 s32i a9, a6, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:553 + 8e3a07: c0b2 movi.n a11, 2 + 8e3a09: 0c0200 memw + 8e3a0c: 2b2488 s8i a11, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:558 + 8e3a0f: 865b l32i.n a6, a5, 44 + 8e3a11: 63ff77 j 8e398c + +008e3a14 : +bSet_address(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:584 + 8e3a14: 6c1004 entry a1, 32 + 8e3a17: 140123 l32r a4, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:588 + 8e3a1a: 224103 l16ui a2, a4, 6 + 8e3a1d: 130124 l32r a3, 4e8490 <_lit4_start+0x490> + 8e3a20: 6e2f03 bltui a2, 0x100, 8e3a27 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:589 + 8e3a23: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:597 + 8e3a25: d10f retw.n + +008e3a27 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:594 + 8e3a27: 0c0200 memw + 8e3a2a: 223482 s8i a2, a3, 130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:596 + 8e3a2d: c021 movi.n a2, 1 + 8e3a2f: 924b s32i.n a2, a4, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:597 + 8e3a31: d10f retw.n + +008e3a33 : + ... + +008e3a34 : +bGet_descriptor(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:610 + 8e3a34: 6c1004 entry a1, 32 + 8e3a37: 1a0123 l32r a10, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:694 + 8e3a3a: 2ba103 l16ui a11, a10, 6 + 8e3a3d: 0b8947 extui a9, a11, 8, 8 + 8e3a40: 689132 beqi a9, 1, 8e3a76 + 8e3a43: 689226 beqi a9, 2, 8e3a6d + 8e3a46: 689337 beqi a9, 3, 8e3a81 + 8e3a49: 68964f beqi a9, 6, 8e3a9c + 8e3a4c: 699722 bnei a9, 7, 8e3a72 + 8e3a4f: 1b0129 l32r a11, 4e84a4 <_lit4_start+0x4a4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:686 + 8e3a52: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:687 + 8e3a54: 2bb101 l16ui a11, a11, 2 + 8e3a57: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:696 + 8e3a5a: 29a105 l16ui a9, a10, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:700 + 8e3a5d: 288235 l32i a8, a8, 212 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:696 + 8e3a60: 0b9936 minu a9, a9, a11 + 8e3a63: 29a512 s16i a9, a10, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:700 + 8e3a66: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:701 + 8e3a69: c021 movi.n a2, 1 + 8e3a6b: d10f retw.n + +008e3a6d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:643 + 8e3a6d: 0b0947 extui a9, a11, 0, 8 + 8e3a70: cb93 beqz.n a9, 8e3aa7 + +008e3a72 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:693 + 8e3a72: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:701 + 8e3a74: d10f retw.n + +008e3a76 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:626 + 8e3a76: 2ba210 l32i a11, a10, 64 + 8e3a79: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:627 + 8e3a7b: 2bb001 l8ui a11, a11, 1 + 8e3a7e: 63ffd5 j 8e3a57 + +008e3a81 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:672 + 8e3a81: 0b0b47 extui a11, a11, 0, 8 + 8e3a84: cab9 beqz.n a11, 8e3ab1 + 8e3a86: 68bb2d beqi a11, 16, 8e3ab7 + 8e3a89: 68bc30 beqi a11, 32, 8e3abd + 8e3a8c: c3c0 movi.n a12, 48 + 8e3a8e: 7cb9e0 bne a11, a12, 8e3a72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:667 + 8e3a91: 29a214 l32i a9, a10, 80 + 8e3a94: 99a8 s32i.n a9, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:673 + 8e3a96: 2b9001 l8ui a11, a9, 1 + 8e3a99: 63ffba j 8e3a57 + +008e3a9c : + 8e3a9c: 1b012a l32r a11, 4e84a8 <_lit4_start+0x4a8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:678 + 8e3a9f: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:679 + 8e3aa1: 2bb001 l8ui a11, a11, 1 + 8e3aa4: 63ffaf j 8e3a57 + +008e3aa7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:637 + 8e3aa7: 8baa l32i.n a11, a10, 40 + 8e3aa9: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:638 + 8e3aab: 2bb101 l16ui a11, a11, 2 + 8e3aae: 63ffa5 j 8e3a57 + +008e3ab1 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:652 + 8e3ab1: 29a211 l32i a9, a10, 68 + 8e3ab4: 63ffdc j 8e3a94 + +008e3ab7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:657 + 8e3ab7: 29a212 l32i a9, a10, 72 + 8e3aba: 63ffd6 j 8e3a94 + +008e3abd : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:662 + 8e3abd: 29a213 l32i a9, a10, 76 + 8e3ac0: 63ffd0 j 8e3a94 + +008e3ac3 : + ... + +008e3ac4 : +bGet_configuration(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:713 + 8e3ac4: 6c1004 entry a1, 32 + 8e3ac7: c021 movi.n a2, 1 + 8e3ac9: 150125 l32r a5, 4e8494 <_lit4_start+0x494> + 8e3acc: 130123 l32r a3, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:719 + 8e3acf: 0c0200 memw + 8e3ad2: 2256c0 s32i a2, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:720 + 8e3ad5: 28311b l16ui a8, a3, 54 + 8e3ad8: 0c0200 memw + 8e3adb: 285683 s32i a8, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:724 + 8e3ade: c04f movi.n a4, 15 + 8e3ae0: 0c0200 memw + 8e3ae3: 2456c0 s32i a4, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:726 + 8e3ae6: 923b s32i.n a2, a3, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:728 + 8e3ae8: d10f retw.n + +008e3aea : + ... + +008e3aec : +bSet_configuration(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:743 + 8e3aec: 6c1004 entry a1, 32 + 8e3aef: 130123 l32r a3, 4e848c <_lit4_start+0x48c> + 8e3af2: 120124 l32r a2, 4e8490 <_lit4_start+0x490> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:748 + 8e3af5: 2a3007 l8ui a10, a3, 7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:753 + 8e3af8: c054 movi.n a5, 4 + 8e3afa: 140125 l32r a4, 4e8494 <_lit4_start+0x494> + 8e3afd: cda5 bnez.n a10, 8e3b16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:750 + 8e3aff: c090 movi.n a9, 0 + 8e3b01: 29351b s16i a9, a3, 54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:753 + 8e3b04: 0c0200 memw + 8e3b07: 282082 l8ui a8, a2, 130 + 8e3b0a: 080846 extui a8, a8, 0, 7 + 8e3b0d: 0c0200 memw + 8e3b10: 282482 s8i a8, a2, 130 + 8e3b13: 60005c j 8e3b73 + +008e3b16 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:757 + 8e3b16: 0c0200 memw + 8e3b19: 2b2083 l8ui a11, a2, 131 + 8e3b1c: 79b706 bbci a11, 25, 8e3b26 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:759 + 8e3b1f: 6ea256 bltui a10, 2, 8e3b79 + +008e3b22 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:760 + 8e3b22: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:798 + 8e3b24: d10f retw.n + +008e3b26 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:773 + 8e3b26: 6fa2f8 bgeui a10, 2, 8e3b22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:776 + 8e3b29: 2a351b s16i a10, a3, 54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:777 + 8e3b2c: 5803c4 call8 8e4a40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:781 + 8e3b2f: c7db movi.n a13, -5 + 8e3b31: 0c0200 memw + 8e3b34: 2c42c2 l32i a12, a4, 0x308 + 8e3b37: 0dcc01 and a12, a12, a13 + 8e3b3a: 0c0200 memw + 8e3b3d: 2c46c2 s32i a12, a4, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:787 + 8e3b40: 2f0a80 movi a15, 128 + 8e3b43: 0c0200 memw + 8e3b46: 2e2082 l8ui a14, a2, 130 + 8e3b49: 0fee02 or a14, a14, a15 + 8e3b4c: 0c0200 memw + 8e3b4f: 2e2482 s8i a14, a2, 130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:790 + 8e3b52: 5bff45 call8 8e3868 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:792 + 8e3b55: 0c0200 memw + 8e3b58: 292083 l8ui a9, a2, 131 + 8e3b5b: 059902 or a9, a9, a5 + 8e3b5e: 0c0200 memw + 8e3b61: 292483 s8i a9, a2, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:793 + 8e3b64: 0c0200 memw + 8e3b67: 282096 l8ui a8, a2, 150 + 8e3b6a: 080845 extui a8, a8, 0, 6 + 8e3b6d: 0c0200 memw + 8e3b70: 282496 s8i a8, a2, 150 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:797 + 8e3b73: c021 movi.n a2, 1 + 8e3b75: 923b s32i.n a2, a3, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:798 + 8e3b77: d10f retw.n + +008e3b79 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:762 + 8e3b79: 2a351b s16i a10, a3, 54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:763 + 8e3b7c: 58034c call8 8e48b0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:767 + 8e3b7f: 0c0200 memw + 8e3b82: 2a42c2 l32i a10, a4, 0x308 + 8e3b85: 05aa02 or a10, a10, a5 + 8e3b88: 0c0200 memw + 8e3b8b: 2a46c2 s32i a10, a4, 0x308 + 8e3b8e: 63ffae j 8e3b40 + +008e3b91 : + 8e3b91: 000000 ... + +008e3b94 : +bGet_interface(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:810 + 8e3b94: 6c1004 entry a1, 32 + 8e3b97: 130124 l32r a3, 4e8490 <_lit4_start+0x490> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:813 + 8e3b9a: 0c0200 memw + 8e3b9d: 140123 l32r a4, 4e848c <_lit4_start+0x48c> + 8e3ba0: 233082 l8ui a3, a3, 130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:836 + 8e3ba3: 28411b l16ui a8, a4, 54 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:814 + 8e3ba6: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:813 + 8e3ba8: 78370b bbci a3, 24, 8e3bb7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:836 + 8e3bab: 698108 bnei a8, 1, 8e3bb7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:823 + 8e3bae: 294104 l16ui a9, a4, 8 + 8e3bb1: 1b0125 l32r a11, 4e8494 <_lit4_start+0x494> + 8e3bb4: 6e9201 bltui a9, 2, 8e3bb9 + +008e3bb7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:849 + 8e3bb7: d10f retw.n + +008e3bb9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:840 + 8e3bb9: c021 movi.n a2, 1 + 8e3bbb: 0c0200 memw + 8e3bbe: 22b6c0 s32i a2, a11, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:841 + 8e3bc1: 2c411d l16ui a12, a4, 58 + 8e3bc4: 0c0200 memw + 8e3bc7: 2cb683 s32i a12, a11, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:845 + 8e3bca: c0af movi.n a10, 15 + 8e3bcc: 0c0200 memw + 8e3bcf: 2ab6c0 s32i a10, a11, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:847 + 8e3bd2: 224512 s16i a2, a4, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:848 + 8e3bd5: 924b s32i.n a2, a4, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:849 + 8e3bd7: d10f retw.n + +008e3bd9 : + 8e3bd9: 000000 ... + +008e3bdc : +bSet_interface(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:863 + 8e3bdc: 6c1004 entry a1, 32 + 8e3bdf: 130124 l32r a3, 4e8490 <_lit4_start+0x490> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:868 + 8e3be2: 0c0200 memw + 8e3be5: 140123 l32r a4, 4e848c <_lit4_start+0x48c> + 8e3be8: 283082 l8ui a8, a3, 130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:910 + 8e3beb: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:908 + 8e3bed: 2a4104 l16ui a10, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:868 + 8e3bf0: 788738 bbci a8, 24, 8e3c2c + 8e3bf3: cfa5 bnez.n a10, 8e3c2c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:877 + 8e3bf5: 894a l32i.n a9, a4, 40 + 8e3bf7: 2b4007 l8ui a11, a4, 7 + 8e3bfa: 29900d l8ui a9, a9, 13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:879 + 8e3bfd: 0a0d47 extui a13, a10, 0, 8 + 8e3c00: c054 movi.n a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:877 + 8e3c02: 7b9926 bne a9, a11, 8e3c2c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:879 + 8e3c05: 2d451c s16i a13, a4, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:880 + 8e3c08: 2b451d s16i a11, a4, 58 + 8e3c0b: 120125 l32r a2, 4e8494 <_lit4_start+0x494> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:881 + 8e3c0e: 0c0200 memw + 8e3c11: 2c3083 l8ui a12, a3, 131 + 8e3c14: 79c716 bbci a12, 25, 8e3c2e +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:883 + 8e3c17: 580326 call8 8e48b0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:886 + 8e3c1a: 0c0200 memw + 8e3c1d: 2e22c2 l32i a14, a2, 0x308 + 8e3c20: 05ee02 or a14, a14, a5 + 8e3c23: 0c0200 memw + 8e3c26: 2e26c2 s32i a14, a2, 0x308 + 8e3c29: 600015 j 8e3c42 + +008e3c2c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:910 + 8e3c2c: d10f retw.n + +008e3c2e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:891 + 8e3c2e: 580384 call8 8e4a40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:894 + 8e3c31: c78b movi.n a8, -5 + 8e3c33: 0c0200 memw + 8e3c36: 2f22c2 l32i a15, a2, 0x308 + 8e3c39: 08ff01 and a15, a15, a8 + 8e3c3c: 0c0200 memw + 8e3c3f: 2f26c2 s32i a15, a2, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:897 + 8e3c42: 5bff09 call8 8e3868 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:898 + 8e3c45: c021 movi.n a2, 1 + 8e3c47: 924b s32i.n a2, a4, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:900 + 8e3c49: 0c0200 memw + 8e3c4c: 2a3083 l8ui a10, a3, 131 + 8e3c4f: 05aa02 or a10, a10, a5 + 8e3c52: 0c0200 memw + 8e3c55: 2a3483 s8i a10, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:901 + 8e3c58: 0c0200 memw + 8e3c5b: 293096 l8ui a9, a3, 150 + 8e3c5e: 090945 extui a9, a9, 0, 6 + 8e3c61: 0c0200 memw + 8e3c64: 293496 s8i a9, a3, 150 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:910 + 8e3c67: d10f retw.n + +008e3c69 : + 8e3c69: 000000 ... + +008e3c6c : +vUsbEP0TxData(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:921 + 8e3c6c: 6c1004 entry a1, 32 + 8e3c6f: 160123 l32r a6, 4e848c <_lit4_start+0x48c> + 8e3c72: 140125 l32r a4, 4e8494 <_lit4_start+0x494> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 + 8e3c75: c031 movi.n a3, 1 + 8e3c77: 276112 l16ui a7, a6, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:921 + 8e3c7a: c450 movi.n a5, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 + 8e3c7c: d850 mov.n a8, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 + 8e3c7e: 070947 extui a9, a7, 0, 8 + 8e3c81: 6e7d01 bltui a7, 64, 8e3c86 + 8e3c84: c030 movi.n a3, 0 + +008e3c86 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 + 8e3c86: 039839 movnez a8, a9, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 + 8e3c89: 039539 movnez a5, a9, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 + 8e3c8c: 052214 srli a2, a5, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 + 8e3c8f: 08770c sub a7, a7, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 + 8e3c92: 8368 l32i.n a3, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 + 8e3c94: 07074f extui a7, a7, 0, 16 + 8e3c97: 276512 s16i a7, a6, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 + 8e3c9a: 055802 or a8, a5, a5 + 8e3c9d: 6d2918 loopnez a2, 8e3cb9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:945 + 8e3ca0: 2b3101 l16ui a11, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:944 + 8e3ca3: 2a3100 l16ui a10, a3, 0 + 8e3ca6: b23c addi.n a12, a3, 2 + 8e3ca8: 9c68 s32i.n a12, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:945 + 8e3caa: b433 addi.n a3, a3, 4 + 8e3cac: 9368 s32i.n a3, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:950 + 8e3cae: 00bb11 slli a11, a11, 16 + 8e3cb1: abaa add.n a10, a10, a11 + 8e3cb3: 0c0200 memw + 8e3cb6: 2a4683 s32i a10, a4, 0x20c + +008e3cb9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 + 8e3cb9: c053 movi.n a5, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:956 + 8e3cbb: 080341 extui a3, a8, 0, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 + 8e3cbe: c081 movi.n a8, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:956 + 8e3cc0: 693321 bnei a3, 3, 8e3ce5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:963 + 8e3cc3: 8268 l32i.n a2, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:964 + 8e3cc5: 2e2101 l16ui a14, a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:963 + 8e3cc8: 2d2100 l16ui a13, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:964 + 8e3ccb: b422 addi.n a2, a2, 4 + 8e3ccd: 9268 s32i.n a2, a6, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:968 + 8e3ccf: c0f7 movi.n a15, 7 + 8e3cd1: 0c0200 memw + 8e3cd4: 2f46c0 s32i a15, a4, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:969 + 8e3cd7: 00ee11 slli a14, a14, 16 + 8e3cda: aedd add.n a13, a13, a14 + 8e3cdc: 0c0200 memw + 8e3cdf: 2d4683 s32i a13, a4, 0x20c + 8e3ce2: 60002a j 8e3d10 + +008e3ce5 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:971 + 8e3ce5: 693213 bnei a3, 2, 8e3cfc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:973 + 8e3ce8: 0c0200 memw + 8e3ceb: 2546c0 s32i a5, a4, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:974 + 8e3cee: 8968 l32i.n a9, a6, 32 + 8e3cf0: 299100 l16ui a9, a9, 0 + 8e3cf3: 0c0200 memw + 8e3cf6: 294683 s32i a9, a4, 0x20c + 8e3cf9: 600013 j 8e3d10 + +008e3cfc : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:976 + 8e3cfc: 693110 bnei a3, 1, 8e3d10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:978 + 8e3cff: 0c0200 memw + 8e3d02: 2846c0 s32i a8, a4, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:979 + 8e3d05: 8a68 l32i.n a10, a6, 32 + +008e3d07 : + 8e3d07: 2aa100 l16ui a10, a10, 0 + 8e3d0a: 0c0200 memw + 8e3d0d: 2a4683 s32i a10, a4, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:983 + 8e3d10: c0bf movi.n a11, 15 + 8e3d12: 0c0200 memw + 8e3d15: 2b46c0 s32i a11, a4, 0x300 + 8e3d18: cc74 bnez.n a7, 8e3d20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:990 + 8e3d1a: 986b s32i.n a8, a6, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:989 + 8e3d1c: c0c0 movi.n a12, 0 + 8e3d1e: 9c6c s32i.n a12, a6, 48 + +008e3d20 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:992 + 8e3d20: d10f retw.n + +008e3d22 : + ... + +008e3d24 : +vUsbEP0RxData(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1002 + 8e3d24: 6c1004 entry a1, 32 + 8e3d27: 190123 l32r a9, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1005 + 8e3d2a: c031 movi.n a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1002 + 8e3d2c: c460 movi.n a6, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1005 + 8e3d2e: 259112 l16ui a5, a9, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1010 + 8e3d31: d760 mov.n a7, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1015 + 8e3d33: 8498 l32i.n a4, a9, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1005 + 8e3d35: 050847 extui a8, a5, 0, 8 + 8e3d38: 6e5d01 bltui a5, 64, 8e3d3d + 8e3d3b: c030 movi.n a3, 0 + +008e3d3d : + 8e3d3d: 038639 movnez a6, a8, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1010 + 8e3d40: 038739 movnez a7, a8, a3 + 8e3d43: 07550c sub a5, a5, a7 + 8e3d46: 05054f extui a5, a5, 0, 16 + 8e3d49: 259512 s16i a5, a9, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1017 + 8e3d4c: b367 addi.n a7, a6, 3 + 8e3d4e: 072712 srai a7, a7, 2 + 8e3d51: 6a7138 blti a7, 1, 8e3d8d + 8e3d54: 160125 l32r a6, 4e8494 <_lit4_start+0x494> + 8e3d57: c030 movi.n a3, 0 + 8e3d59: 6d7a28 loopgtz a7, 8e3d85 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1021 + 8e3d5c: 0c0200 memw + 8e3d5f: 2b6283 l32i a11, a6, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1033 + 8e3d62: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1026 + 8e3d64: 2b4400 s8i a11, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1027 + 8e3d67: 0b8814 srli a8, a11, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1028 + 8e3d6a: 0b075f extui a7, a11, 16, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1029 + 8e3d6d: 0b8557 extui a5, a11, 24, 8 + 8e3d70: 254403 s8i a5, a4, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1028 + 8e3d73: 274402 s8i a7, a4, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1027 + 8e3d76: 284401 s8i a8, a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1031 + 8e3d79: 8a97 l32i.n a10, a9, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1033 + 8e3d7b: 030347 extui a3, a3, 0, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1029 + 8e3d7e: b444 addi.n a4, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1031 + 8e3d80: 0baa03 xor a10, a10, a11 + 8e3d83: 9a97 s32i.n a10, a9, 28 + +008e3d85 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1017 + 8e3d85: 259112 l16ui a5, a9, 36 + 8e3d88: 8498 l32i.n a4, a9, 32 + 8e3d8a: 600001 j 8e3d8f + +008e3d8d : + 8e3d8d: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1035 + 8e3d8f: 043a0a addx4 a10, a3, a4 + 8e3d92: 9a98 s32i.n a10, a9, 32 + 8e3d94: cc56 bnez.n a5, 8e3d9e +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1042 + 8e3d96: c0b1 movi.n a11, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1041 + 8e3d98: c0c0 movi.n a12, 0 + 8e3d9a: 9c9c s32i.n a12, a9, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1042 + 8e3d9c: 9b9b s32i.n a11, a9, 44 + +008e3d9e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1044 + 8e3d9e: d10f retw.n + +008e3da0 : +vUsb_SetupDescriptor(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1054 + 8e3da0: 6c1004 entry a1, 32 + 8e3da3: 180003 l32r a8, 4e800c <_lit4_start+0xc> + 8e3da6: 120123 l32r a2, 4e848c <_lit4_start+0x48c> + 8e3da9: 190030 l32r a9, 4e80c0 <_lit4_start+0xc0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1061 + 8e3dac: 8880 l32i.n a8, a8, 0 + 8e3dae: 1d012e l32r a13, 4e84b8 <_lit4_start+0x4b8> + 8e3db1: 1e012d l32r a14, 4e84b4 <_lit4_start+0x4b4> + 8e3db4: 79892b bne a8, a9, 8e3de3 + 8e3db7: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1063 + 8e3dba: 288212 l32i a8, a8, 72 + 8e3dbd: 1a012b l32r a10, 4e84ac <_lit4_start+0x4ac> + 8e3dc0: 0b8000 callx8 a8 + 8e3dc3: 1c0032 l32r a12, 4e80c8 <_lit4_start+0xc8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1065 + 8e3dc6: 2c2610 s32i a12, a2, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1069 + 8e3dc9: 29cc64 addi a9, a12, 100 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1068 + 8e3dcc: 2acc44 addi a10, a12, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1067 + 8e3dcf: 2bcc2c addi a11, a12, 44 + 8e3dd2: 2b2612 s32i a11, a2, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1068 + 8e3dd5: 2a2613 s32i a10, a2, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1066 + 8e3dd8: 2ccc20 addi a12, a12, 32 + 8e3ddb: 2c2611 s32i a12, a2, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1069 + 8e3dde: 292614 s32i a9, a2, 80 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1085 + 8e3de1: d10f retw.n + +008e3de3 : + 8e3de3: 19012f l32r a9, 4e84bc <_lit4_start+0x4bc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1077 + 8e3de6: 2d2613 s32i a13, a2, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1076 + 8e3de9: 2e2612 s32i a14, a2, 72 + 8e3dec: 18009b l32r a8, 4e826c <_lit4_start+0x26c> + 8e3def: 1f012c l32r a15, 4e84b0 <_lit4_start+0x4b0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1075 + 8e3df2: 2f2611 s32i a15, a2, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1074 + 8e3df5: 282610 s32i a8, a2, 64 + 8e3df8: 63ffe2 j 8e3dde + +008e3dfb : + ... + +008e3dfc : +bStandardCommand(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1096 + 8e3dfc: 6c1004 entry a1, 32 + 8e3dff: 1a0123 l32r a10, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1233 + 8e3e02: 2aa102 l16ui a10, a10, 4 + 8e3e05: 1b0018 l32r a11, 4e8060 <_lit4_start+0x60> + 8e3e08: c9ae beqz.n a10, 8e3e2a + 8e3e0a: 68a130 beqi a10, 1, 8e3e3e + 8e3e0d: 68a337 beqi a10, 3, 8e3e48 + 8e3e10: 68a53e beqi a10, 5, 8e3e52 + 8e3e13: 68a645 beqi a10, 6, 8e3e5c + 8e3e16: 68a84c beqi a10, 8, 8e3e66 + 8e3e19: c0c9 movi.n a12, 9 + 8e3e1b: 7ca15b beq a10, a12, 8e3e7a + 8e3e1e: 68a94e beqi a10, 10, 8e3e70 + 8e3e21: c0db movi.n a13, 11 + 8e3e23: 7da10d beq a10, a13, 8e3e34 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1234 + 8e3e26: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3e28: d10f retw.n + +008e3e2a : + 8e3e2a: 28b231 l32i a8, a11, 196 + 8e3e2d: 0b8000 callx8 a8 + 8e3e30: d2a0 mov.n a2, a10 + 8e3e32: d10f retw.n + +008e3e34 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1231 + 8e3e34: 28b224 l32i a8, a11, 144 + 8e3e37: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1232 + 8e3e3a: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3e3c: d10f retw.n + +008e3e3e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1103 + 8e3e3e: 28b22d l32i a8, a11, 180 + 8e3e41: 0b8000 callx8 a8 + 8e3e44: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3e46: d10f retw.n + +008e3e48 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1106 + 8e3e48: 28b22e l32i a8, a11, 184 + 8e3e4b: 0b8000 callx8 a8 + 8e3e4e: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3e50: d10f retw.n + +008e3e52 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1109 + 8e3e52: 28b22f l32i a8, a11, 188 + 8e3e55: 0b8000 callx8 a8 + 8e3e58: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3e5a: d10f retw.n + +008e3e5c : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1112 + 8e3e5c: 28b230 l32i a8, a11, 192 + 8e3e5f: 0b8000 callx8 a8 + 8e3e62: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3e64: d10f retw.n + +008e3e66 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1121 + 8e3e66: 28b225 l32i a8, a11, 148 + 8e3e69: 0b8000 callx8 a8 + 8e3e6c: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3e6e: d10f retw.n + +008e3e70 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1224 + 8e3e70: 28b223 l32i a8, a11, 140 + 8e3e73: 0b8000 callx8 a8 + 8e3e76: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3e78: d10f retw.n + +008e3e7a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1129 + 8e3e7a: 28b226 l32i a8, a11, 152 + 8e3e7d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1134 + 8e3e80: c021 movi.n a2, 1 + 8e3e82: 1a0125 l32r a10, 4e8494 <_lit4_start+0x494> + 8e3e85: 1d010d l32r a13, 4e8434 <_lit4_start+0x434> + 8e3e88: 1c010c l32r a12, 4e8430 <_lit4_start+0x430> + 8e3e8b: 0c0200 memw + 8e3e8e: 22d687 s32i a2, a13, 0x21c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1135 + 8e3e91: 0c0200 memw + 8e3e94: 22c686 s32i a2, a12, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1138 + 8e3e97: 0c0200 memw + 8e3e9a: 22d6c7 s32i a2, a13, 0x31c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1142 + 8e3e9d: 0c0200 memw + 8e3ea0: 92c7 s32i.n a2, a12, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1149 + 8e3ea2: c0b8 movi.n a11, 8 + 8e3ea4: 0c0200 memw + 8e3ea7: 29a2c2 l32i a9, a10, 0x308 + 8e3eaa: 0b9902 or a9, a9, a11 + 8e3ead: 0c0200 memw + 8e3eb0: 29a6c2 s32i a9, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1156 + 8e3eb3: c082 movi.n a8, 2 + 8e3eb5: 0c0200 memw + 8e3eb8: 2fa2c2 l32i a15, a10, 0x308 + 8e3ebb: 08ff02 or a15, a15, a8 + 8e3ebe: 0c0200 memw + 8e3ec1: 2fa6c2 s32i a15, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1157 + 8e3ec4: 0c0200 memw + 8e3ec7: 2ea2c2 l32i a14, a10, 0x308 + 8e3eca: 02ee02 or a14, a14, a2 + 8e3ecd: 0c0200 memw + 8e3ed0: 2ea6c2 s32i a14, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1160 + 8e3ed3: 2d1a00 movi a13, 0x100 + 8e3ed6: 0c0200 memw + 8e3ed9: 2ca2c2 l32i a12, a10, 0x308 + 8e3edc: 0dcc02 or a12, a12, a13 + 8e3edf: 0c0200 memw + 8e3ee2: 2ca6c2 s32i a12, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1164 + 8e3ee5: 2b2a00 movi a11, 0x200 + 8e3ee8: 0c0200 memw + 8e3eeb: 29a2c2 l32i a9, a10, 0x308 + 8e3eee: 0b9902 or a9, a9, a11 + 8e3ef1: 0c0200 memw + 8e3ef4: 29a6c2 s32i a9, a10, 0x308 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 + 8e3ef7: d10f retw.n + +008e3ef9 : + 8e3ef9: 000000 ... + +008e3efc : +VendorCommand(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1239 + 8e3efc: 6c1004 entry a1, 32 + 8e3eff: 190130 l32r a9, 4e84c0 <_lit4_start+0x4c0> + 8e3f02: 160015 l32r a6, 4e8054 <_lit4_start+0x54> + 8e3f05: 120123 l32r a2, 4e848c <_lit4_start+0x48c> + 8e3f08: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1248 + 8e3f0b: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1306 + 8e3f0e: 2a2102 l16ui a10, a2, 4 + 8e3f11: c380 movi.n a8, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1248 + 8e3f13: 29667c s32i a9, a6, 0x1f0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1306 + 8e3f16: 78a163 beq a10, a8, 8e3f7d + 8e3f19: c3b1 movi.n a11, 49 + 8e3f1b: 7ba979 bne a10, a11, 8e3f98 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1262 + 8e3f1e: 272103 l16ui a7, a2, 6 + 8e3f21: 1a0131 l32r a10, 4e84c4 <_lit4_start+0x4c4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1263 + 8e3f24: 283212 l32i a8, a3, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1262 + 8e3f27: 087711 slli a7, a7, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1263 + 8e3f2a: db70 mov.n a11, a7 + 8e3f2c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1265 + 8e3f2f: c0ef movi.n a14, 15 + 8e3f31: c0d1 movi.n a13, 1 + 8e3f33: c0c0 movi.n a12, 0 + 8e3f35: 8b27 l32i.n a11, a2, 28 + 8e3f37: 150125 l32r a5, 4e8494 <_lit4_start+0x494> + 8e3f3a: 140124 l32r a4, 4e8490 <_lit4_start+0x490> + 8e3f3d: 64b059 beqz a11, 8e3f9a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1267 + 8e3f40: 283212 l32i a8, a3, 72 + 8e3f43: c07f movi.n a7, 15 + 8e3f45: 1a0132 l32r a10, 4e84c8 <_lit4_start+0x4c8> + 8e3f48: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1268 + 8e3f4b: c0c0 movi.n a12, 0 + 8e3f4d: 9c27 s32i.n a12, a2, 28 + 8e3f4f: 1b0133 l32r a11, 4e84cc <_lit4_start+0x4cc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1270 + 8e3f52: 0c0200 memw + 8e3f55: 2a627d l32i a10, a6, 0x1f4 + 8e3f58: 0baa02 or a10, a10, a11 + 8e3f5b: 0c0200 memw + 8e3f5e: 2a667d s32i a10, a6, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1272 + 8e3f61: 0c0200 memw + 8e3f64: c091 movi.n a9, 1 + 8e3f66: 2956c0 s32i a9, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1273 + 8e3f69: 0c0200 memw + 8e3f6c: 295683 s32i a9, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1274 + 8e3f6f: 0c0200 memw + 8e3f72: 2756c0 s32i a7, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1275 + 8e3f75: 0c0200 memw + 8e3f78: 294488 s8i a9, a4, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1307 + 8e3f7b: d10f retw.n + +008e3f7d : + 8e3f7d: 1a0134 l32r a10, 4e84d0 <_lit4_start+0x4d0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1255 + 8e3f80: 283212 l32i a8, a3, 72 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1254 + 8e3f83: 2b2103 l16ui a11, a2, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1253 + 8e3f86: 2c2105 l16ui a12, a2, 10 + 8e3f89: 2c2512 s16i a12, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1254 + 8e3f8c: 08bb11 slli a11, a11, 8 + 8e3f8f: 9b28 s32i.n a11, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1255 + 8e3f91: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1257 + 8e3f94: c0c2 movi.n a12, 2 + 8e3f96: 9c2c s32i.n a12, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1307 + 8e3f98: d10f retw.n + +008e3f9a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1281 + 8e3f9a: 9c27 s32i.n a12, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1282 + 8e3f9c: 0c0200 memw + 8e3f9f: 2d56c0 s32i a13, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1283 + 8e3fa2: 0c0200 memw + 8e3fa5: 2c5683 s32i a12, a5, 0x20c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1284 + 8e3fa8: 0c0200 memw + 8e3fab: 2e56c0 s32i a14, a5, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1285 + 8e3fae: 0c0200 memw + 8e3fb1: 2d4488 s8i a13, a4, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1287 + 8e3fb4: 283212 l32i a8, a3, 72 + 8e3fb7: 1a0135 l32r a10, 4e84d4 <_lit4_start+0x4d4> + 8e3fba: 0b8000 callx8 a8 + 8e3fbd: 1d0136 l32r a13, 4e84d8 <_lit4_start+0x4d8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1289 + 8e3fc0: 0c0200 memw + 8e3fc3: 2c627d l32i a12, a6, 0x1f4 + 8e3fc6: 0dcc01 and a12, a12, a13 + 8e3fc9: 0c0200 memw + 8e3fcc: 2c667d s32i a12, a6, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1290 + 8e3fcf: c3af movi.n a10, 63 + 8e3fd1: 2bfa00 movi a11, 0xffffff00 + 8e3fd4: 0c0200 memw + 8e3fd7: 29627d l32i a9, a6, 0x1f4 + 8e3fda: 0b9901 and a9, a9, a11 + 8e3fdd: 0a9902 or a9, a9, a10 + 8e3fe0: 0c0200 memw + 8e3fe3: 29667d s32i a9, a6, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1298 + 8e3fe6: 077839 movnez a8, a7, a7 + 8e3fe9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1307 + 8e3fec: d10f retw.n + +008e3fee : + ... + +008e3ff0 : +vUsb_ep0setup(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1322 + 8e3ff0: 6c1006 entry a1, 48 + 8e3ff3: 120123 l32r a2, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1330 + 8e3ff6: 28211a l16ui a8, a2, 52 + 8e3ff9: 130124 l32r a3, 4e8490 <_lit4_start+0x490> + 8e3ffc: 140018 l32r a4, 4e8060 <_lit4_start+0x60> + 8e3fff: b088 addi.n a8, a8, -1 + 8e4001: 6480ae beqz a8, 8e40b3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1336 + 8e4004: 284232 l32i a8, a4, 200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1332 + 8e4007: c091 movi.n a9, 1 + 8e4009: 29251a s16i a9, a2, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1336 + 8e400c: 0b8000 callx8 a8 + 8e400f: 1a0129 l32r a10, 4e84a4 <_lit4_start+0x4a4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1338 + 8e4012: 2e7a09 movi a14, 0x709 + 8e4015: 2ea500 s16i a14, a10, 0 + 8e4018: 1b0137 l32r a11, 4e84dc <_lit4_start+0x4dc> + 8e401b: 1c0138 l32r a12, 4e84e0 <_lit4_start+0x4e0> + 8e401e: 1e012a l32r a14, 4e84a8 <_lit4_start+0x4a8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1340 + 8e4021: 0c0200 memw + 8e4024: 2d3083 l8ui a13, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1345 + 8e4027: c091 movi.n a9, 1 + 8e4029: 2f0a03 movi a15, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1340 + 8e402c: 79d73d bbci a13, 25, 8e406d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1342 + 8e402f: 2c260a s32i a12, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1345 + 8e4032: 2d2210 l32i a13, a2, 64 + 8e4035: 6dfa10 loopgtz a15, 8e4049 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1347 + 8e4038: 0e9f09 addx2 a15, a9, a14 + 8e403b: 0d9c09 addx2 a12, a9, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1348 + 8e403e: b199 addi.n a9, a9, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1347 + 8e4040: 2cc100 l16ui a12, a12, 0 + 8e4043: 2cf500 s16i a12, a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1348 + 8e4046: 090947 extui a9, a9, 0, 8 + +008e4049 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1352 + 8e4049: 28d108 l16ui a8, a13, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1355 + 8e404c: c091 movi.n a9, 1 + 8e404e: c1fd movi.n a15, 29 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1352 + 8e4050: 088847 extui a8, a8, 8, 8 + 8e4053: 28e504 s16i a8, a14, 8 + 8e4056: 6dfa10 loopgtz a15, 8e406a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1357 + 8e4059: 0a9d09 addx2 a13, a9, a10 + 8e405c: 0b9c09 addx2 a12, a9, a11 + 8e405f: 2cc100 l16ui a12, a12, 0 + 8e4062: 2cd500 s16i a12, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1358 + 8e4065: b199 addi.n a9, a9, 1 + 8e4067: 090947 extui a9, a9, 0, 8 + +008e406a : + 8e406a: 60003f j 8e40ad + +008e406d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1379 + 8e406d: 9b2a s32i.n a11, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1382 + 8e406f: 2d2210 l32i a13, a2, 64 + 8e4072: c091 movi.n a9, 1 + 8e4074: c0f3 movi.n a15, 3 + 8e4076: 6dfa10 loopgtz a15, 8e408a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1384 + 8e4079: 0e9f09 addx2 a15, a9, a14 + 8e407c: 0d9b09 addx2 a11, a9, a13 + 8e407f: 2bb100 l16ui a11, a11, 0 + 8e4082: 2bf500 s16i a11, a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1385 + 8e4085: b199 addi.n a9, a9, 1 + 8e4087: 090947 extui a9, a9, 0, 8 + +008e408a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1389 + 8e408a: 28d108 l16ui a8, a13, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1392 + 8e408d: 290a01 movi a9, 1 + 8e4090: 2f0a1d movi a15, 29 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1389 + 8e4093: 088847 extui a8, a8, 8, 8 + 8e4096: 28e504 s16i a8, a14, 8 + 8e4099: 6dfa10 loopgtz a15, 8e40ad +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1394 + 8e409c: 0a9d09 addx2 a13, a9, a10 + 8e409f: 0c9b09 addx2 a11, a9, a12 + 8e40a2: 2bb100 l16ui a11, a11, 0 + 8e40a5: 2bd500 s16i a11, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1395 + 8e40a8: b199 addi.n a9, a9, 1 + 8e40aa: 090947 extui a9, a9, 0, 8 + +008e40ad : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1415 + 8e40ad: 2c6a0a movi a12, 0x60a + 8e40b0: 2ce500 s16i a12, a14, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1418 + 8e40b3: 0c0200 memw + 8e40b6: c052 movi.n a5, 2 + 8e40b8: 1c0125 l32r a12, 4e8494 <_lit4_start+0x494> + 8e40bb: 293088 l8ui a9, a3, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1424 + 8e40be: 0c0200 memw + 8e40c1: 28c283 l32i a8, a12, 0x20c + 8e40c4: 0c0200 memw + 8e40c7: 9810 s32i.n a8, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1426 + 8e40c9: 0c0200 memw + 8e40cc: 8d10 l32i.n a13, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1429 + 8e40ce: 2e0a60 movi a14, 96 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1428 + 8e40d1: 2b0a80 movi a11, 128 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1430 + 8e40d4: 0d0f41 extui a15, a13, 0, 2 + 8e40d7: 2f2402 s8i a15, a2, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1428 + 8e40da: 0bdb01 and a11, a13, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1429 + 8e40dd: 0eda01 and a10, a13, a14 + 8e40e0: 2a2401 s8i a10, a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1428 + 8e40e3: 2b2400 s8i a11, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1432 + 8e40e6: 0c0200 memw + 8e40e9: 8910 l32i.n a9, a1, 0 + 8e40eb: 098947 extui a9, a9, 8, 8 + 8e40ee: 292502 s16i a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1433 + 8e40f1: 0c0200 memw + 8e40f4: 8810 l32i.n a8, a1, 0 + 8e40f6: 088857 extui a8, a8, 24, 8 + 8e40f9: 088811 slli a8, a8, 8 + 8e40fc: 0c0200 memw + 8e40ff: 8f10 l32i.n a15, a1, 0 + 8e4101: 0f0f57 extui a15, a15, 16, 8 + 8e4104: a8ff add.n a15, a15, a8 + 8e4106: 2f2503 s16i a15, a2, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1436 + 8e4109: 0c0200 memw + 8e410c: 2cc283 l32i a12, a12, 0x20c + 8e410f: 0c0200 memw + 8e4112: 9c10 s32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1438 + 8e4114: 0c0200 memw + 8e4117: 8b10 l32i.n a11, a1, 0 + 8e4119: 0b8b47 extui a11, a11, 8, 8 + 8e411c: 08bb11 slli a11, a11, 8 + 8e411f: 0c0200 memw + 8e4122: 8910 l32i.n a9, a1, 0 + 8e4124: 090947 extui a9, a9, 0, 8 + 8e4127: ab99 add.n a9, a9, a11 + 8e4129: 292504 s16i a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1439 + 8e412c: 0c0200 memw + 8e412f: 8810 l32i.n a8, a1, 0 + 8e4131: 088857 extui a8, a8, 24, 8 + 8e4134: 088811 slli a8, a8, 8 + 8e4137: 0c0200 memw + 8e413a: 8f10 l32i.n a15, a1, 0 + 8e413c: 0f0f57 extui a15, a15, 16, 8 + 8e413f: a8ff add.n a15, a15, a8 + 8e4141: 2f2505 s16i a15, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1442 + 8e4144: 7ed80e bany a13, a14, 8e4156 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1445 + 8e4147: 284227 l32i a8, a4, 156 + 8e414a: 0b8000 callx8 a8 + 8e414d: 892b l32i.n a9, a2, 44 + 8e414f: 0a5938 moveqz a9, a5, a10 + 8e4152: 992b s32i.n a9, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1462 + 8e4154: d10f retw.n + +008e4156 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1450 + 8e4156: 69ad07 bnei a10, 64, 8e4161 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1453 + 8e4159: 284228 l32i a8, a4, 160 + 8e415c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1462 + 8e415f: d10f retw.n + +008e4161 : + 8e4161: d950 mov.n a9, a5 + 8e4163: 63ffeb j 8e4152 + +008e4166 : + ... + +008e4168 : +cFUSB200Init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1469 + 8e4168: 6c1004 entry a1, 32 + 8e416b: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1471 + 8e416d: 2b0afd movi a11, 253 + 8e4170: 130124 l32r a3, 4e8490 <_lit4_start+0x490> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1469 + 8e4173: 290aff movi a9, 255 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1471 + 8e4176: 0c0200 memw + 8e4179: 2a30ab l8ui a10, a3, 171 + 8e417c: 0baa01 and a10, a10, a11 + 8e417f: 0c0200 memw + 8e4182: 2a34ab s8i a10, a3, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1475 + 8e4185: 0c0200 memw + 8e4188: 293491 s8i a9, a3, 145 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1476 + 8e418b: 0c0200 memw + 8e418e: 293490 s8i a9, a3, 144 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1477 + 8e4191: 0c0200 memw + 8e4194: 293497 s8i a9, a3, 151 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1480 + 8e4197: 0c0200 memw + 8e419a: 293495 s8i a9, a3, 149 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1481 + 8e419d: 0c0200 memw + 8e41a0: 293494 s8i a9, a3, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1488 + 8e41a3: c180 movi.n a8, 16 + 8e41a5: 0c0200 memw + 8e41a8: 283483 s8i a8, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1489 + 8e41ab: 0c0200 memw + 8e41ae: 223483 s8i a2, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1492 + 8e41b1: c061 movi.n a6, 1 + 8e41b3: 0c0200 memw + 8e41b6: 263481 s8i a6, a3, 129 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1493 + 8e41b9: c450 movi.n a5, 64 + 8e41bb: 0c0200 memw + 8e41be: 253492 s8i a5, a3, 146 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1496 + 8e41c1: 0c0200 memw + 8e41c4: 223489 s8i a2, a3, 137 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1499 + 8e41c7: 0c0200 memw + 8e41ca: 2234ab s8i a2, a3, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1502 + 8e41cd: c244 movi.n a4, 36 + 8e41cf: 0c0200 memw + 8e41d2: 243483 s8i a4, a3, 131 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1504 + 8e41d5: 0c0200 memw + 8e41d8: 223491 s8i a2, a3, 145 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1505 + 8e41db: 0c0200 memw + 8e41de: 223490 s8i a2, a3, 144 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1506 + 8e41e1: 0c0200 memw + 8e41e4: 223497 s8i a2, a3, 151 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1510 + 8e41e7: d10f retw.n + +008e41e9 : + 8e41e9: 000000 ... + +008e41ec <_usbfifo_enable_event_isr>: +_usbfifo_enable_event_isr(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1514 + 8e41ec: 6c1004 entry a1, 32 + 8e41ef: 130124 l32r a3, 4e8490 <_lit4_start+0x490> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1515 + 8e41f2: 240abf movi a4, 191 + 8e41f5: 0c0200 memw + 8e41f8: 223094 l8ui a2, a3, 148 + 8e41fb: 042201 and a2, a2, a4 + 8e41fe: 0c0200 memw + 8e4201: 223494 s8i a2, a3, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1516 + 8e4204: d10f retw.n + +008e4206 <_usbfifo_enable_event_isr+0x1a>: + ... + +008e4208 <_usbfifo_init>: +_usbfifo_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1519 + 8e4208: 6c1004 entry a1, 32 + 8e420b: 140123 l32r a4, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1520 + 8e420e: 8a20 l32i.n a10, a2, 0 + 8e4210: 9a43 s32i.n a10, a4, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1521 + 8e4212: 8921 l32i.n a9, a2, 4 + 8e4214: 9944 s32i.n a9, a4, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1522 + 8e4216: 8822 l32i.n a8, a2, 8 + 8e4218: 9845 s32i.n a8, a4, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1523 + 8e421a: 8323 l32i.n a3, a2, 12 + 8e421c: 9346 s32i.n a3, a4, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1524 + 8e421e: d10f retw.n + +008e4220 : +vUsb_Reg_Out(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1527 + 8e4220: 6c1004 entry a1, 32 + 8e4223: 120123 l32r a2, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1537 + 8e4226: 8823 l32i.n a8, a2, 12 + 8e4228: 0b8000 callx8 a8 + 8e422b: 1b0125 l32r a11, 4e8494 <_lit4_start+0x494> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1539 + 8e422e: 64a04b beqz a10, 8e427d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1540 + 8e4231: 8da0 l32i.n a13, a10, 0 + 8e4233: 1f0139 l32r a15, 4e84e4 <_lit4_start+0x4e4> + 8e4236: 8cd1 l32i.n a12, a13, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1545 + 8e4238: 0c0200 memw + 8e423b: 2ff03c l8ui a15, a15, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1549 + 8e423e: c093 movi.n a9, 3 + 8e4240: 0f2e14 srli a14, a15, 2 + 8e4243: 7f9007 bnone a9, a15, 8e424e +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1550 + 8e4246: b1e9 addi.n a9, a14, 1 + 8e4248: 09094f extui a9, a9, 0, 16 + 8e424b: 600001 j 8e4250 + +008e424e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1552 + 8e424e: d9e0 mov.n a9, a14 + 8e4250: c990 beqz.n a9, 8e4264 + 8e4252: 6d990c loopnez a9, 8e4262 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1556 + 8e4255: 0c0200 memw + 8e4258: 2db2bf l32i a13, a11, 0x2fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1557 + 8e425b: 0c0200 memw + 8e425e: 9dc0 s32i.n a13, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1558 + 8e4260: b4cc addi.n a12, a12, 4 + +008e4262 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1554 + 8e4262: 8da0 l32i.n a13, a10, 0 + +008e4264 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1572 + 8e4264: 8824 l32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1554 + 8e4266: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1568 + 8e4268: 29d507 s16i a9, a13, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1566 + 8e426b: 29d505 s16i a9, a13, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1565 + 8e426e: 99d0 s32i.n a9, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1567 + 8e4270: 2fd506 s16i a15, a13, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1569 + 8e4273: 99a1 s32i.n a9, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1570 + 8e4275: 2fa504 s16i a15, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1572 + 8e4278: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1589 + 8e427b: d10f retw.n + +008e427d : + 8e427d: 6da905 loopnez a10, 8e4286 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1581 + 8e4280: 0c0200 memw + 8e4283: 2cb2bf l32i a12, a11, 0x2fc + +008e4286 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1589 + 8e4286: d10f retw.n + +008e4288 : +vUsb_Status_In(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1590 + 8e4288: 6c1004 entry a1, 32 + 8e428b: 120123 l32r a2, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1601 + 8e428e: 8825 l32i.n a8, a2, 20 + 8e4290: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1602 + 8e4293: 64a073 beqz a10, 8e430a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1606 + 8e4296: 89a0 l32i.n a9, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1607 + 8e4298: 2ca104 l16ui a12, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1606 + 8e429b: 8e91 l32i.n a14, a9, 4 + 8e429d: 299105 l16ui a9, a9, 10 + 8e42a0: 1b0125 l32r a11, 4e8494 <_lit4_start+0x494> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1619 + 8e42a3: 0c2d4f extui a13, a12, 2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1606 + 8e42a6: 0e9908 add a9, a9, a14 + 8e42a9: 6dd90c loopnez a13, 8e42b9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1622 + 8e42ac: 0c0200 memw + 8e42af: 8d90 l32i.n a13, a9, 0 + 8e42b1: 0c0200 memw + 8e42b4: 2db6be s32i a13, a11, 0x2f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1623 + 8e42b7: b499 addi.n a9, a9, 4 + +008e42b9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1619 + 8e42b9: c0d3 movi.n a13, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1628 + 8e42bb: 0c0c41 extui a12, a12, 0, 2 + 8e42be: cac5 beqz.n a12, 8e42e7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1641 + 8e42c0: 68c110 beqi a12, 1, 8e42d4 + 8e42c3: 68c25a beqi a12, 2, 8e4321 + 8e42c6: 69c312 bnei a12, 3, 8e42dc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1633 + 8e42c9: c0e7 movi.n a14, 7 + 8e42cb: 0c0200 memw + 8e42ce: 2eb6c0 s32i a14, a11, 0x300 + 8e42d1: 600007 j 8e42dc + +008e42d4 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1639 + 8e42d4: c0f1 movi.n a15, 1 + +008e42d6 : + 8e42d6: 0c0200 memw + 8e42d9: 2fb6c0 s32i a15, a11, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1643 + 8e42dc: 0c0200 memw + 8e42df: 8890 l32i.n a8, a9, 0 + +008e42e1 : + 8e42e1: 0c0200 memw + 8e42e4: 28b6be s32i a8, a11, 0x2f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1647 + 8e42e7: c0df movi.n a13, 15 + 8e42e9: 1c0139 l32r a12, 4e84e4 <_lit4_start+0x4e4> + 8e42ec: 0c0200 memw + 8e42ef: 2db6c0 s32i a13, a11, 0x300 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1649 + 8e42f2: c0b8 movi.n a11, 8 + 8e42f4: 0c0200 memw + 8e42f7: 29c02d l8ui a9, a12, 45 + 8e42fa: 0b9902 or a9, a9, a11 + 8e42fd: 0c0200 memw + 8e4300: 29c42d s8i a9, a12, 45 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1653 + 8e4303: 8826 l32i.n a8, a2, 24 + 8e4305: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1668 + 8e4308: d10f retw.n + +008e430a : + 8e430a: 1f0124 l32r a15, 4e8490 <_lit4_start+0x490> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1613 + 8e430d: 280ac0 movi a8, 192 + 8e4310: 0c0200 memw + 8e4313: 2ef094 l8ui a14, a15, 148 + 8e4316: 08ee02 or a14, a14, a8 + 8e4319: 0c0200 memw + 8e431c: 2ef494 s8i a14, a15, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1668 + 8e431f: d10f retw.n + +008e4321 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1636 + 8e4321: 0c0200 memw + 8e4324: 2db6c0 s32i a13, a11, 0x300 + 8e4327: 63ffb1 j 8e42dc + +008e432a : + ... + +008e432c : +zfResetUSBFIFO(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1669 + 8e432c: 6c1004 entry a1, 32 + 8e432f: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1670 + 8e4332: 288216 l32i a8, a8, 88 + 8e4335: 1a013a l32r a10, 4e84e8 <_lit4_start+0x4e8> + 8e4338: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1672 + 8e433b: d10f retw.n + +008e433d : + 8e433d: 000000 ... + +008e4340 : +zfTurnOffPower(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1682 + 8e4340: 6c1004 entry a1, 32 + 8e4343: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1683 + 8e4346: 288216 l32i a8, a8, 88 + 8e4349: 1a013b l32r a10, 4e84ec <_lit4_start+0x4ec> + 8e434c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1711 + 8e434f: d10f retw.n + +008e4351 : + 8e4351: 000000 ... + +008e4354 : +zfGenWatchDogEvent(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1715 + 8e4354: 6c1004 entry a1, 32 + 8e4357: 130139 l32r a3, 4e84e4 <_lit4_start+0x4e4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1718 + 8e435a: 2b0ac0 movi a11, 192 + 8e435d: 1a0124 l32r a10, 4e8490 <_lit4_start+0x490> + 8e4360: 160125 l32r a6, 4e8494 <_lit4_start+0x494> + 8e4363: 0c0200 memw + 8e4366: 29a094 l8ui a9, a10, 148 + 8e4369: 0b9902 or a9, a9, a11 + 8e436c: 0c0200 memw + 8e436f: 29a494 s8i a9, a10, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1721 + 8e4372: c08f movi.n a8, 15 + 8e4374: 0c0200 memw + 8e4377: 2866c0 s32i a8, a6, 0x300 + 8e437a: 15013c l32r a5, 4e84f0 <_lit4_start+0x4f0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1724 + 8e437d: 0c0200 memw + 8e4380: 2566be s32i a5, a6, 0x2f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1726 + 8e4383: c048 movi.n a4, 8 + 8e4385: 0c0200 memw + 8e4388: 22302d l8ui a2, a3, 45 + 8e438b: 042202 or a2, a2, a4 + 8e438e: 0c0200 memw + 8e4391: 22342d s8i a2, a3, 45 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1727 + 8e4394: d10f retw.n + +008e4396 : + ... + +008e4398 : +zfJumpToBootCode(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1730 + 8e4398: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1734 + 8e439b: 5bf319 call8 8e1000 <_iram0_text_end> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1735 + 8e439e: d10f retw.n + +008e43a0 <_usb_rom_task>: +_usb_rom_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1738 + 8e43a0: 6c1004 entry a1, 32 + 8e43a3: 120124 l32r a2, 4e8490 <_lit4_start+0x490> + 8e43a6: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1743 + 8e43a9: 0c0200 memw + 8e43ac: 2420a3 l8ui a4, a2, 163 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1745 + 8e43af: 79470e bbci a4, 25, 8e43c1 <_usb_rom_task+0x21> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1747 + 8e43b2: 28522b l32i a8, a5, 172 + 8e43b5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1748 + 8e43b8: 285212 l32i a8, a5, 72 + 8e43bb: 1a013d l32r a10, 4e84f4 <_lit4_start+0x4f4> + +008e43be <_usb_rom_task+0x1e>: + 8e43be: 0b8000 callx8 a8 + 8e43c1: c070 movi.n a7, 0 + 8e43c3: 160123 l32r a6, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1751 + 8e43c6: 7f474f bbci a4, 31, 8e4419 <_usb_rom_task+0x79> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1753 + 8e43c9: 0c0200 memw + 8e43cc: 2320a2 l8ui a3, a2, 162 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1756 + 8e43cf: 78370e bbci a3, 24, 8e43e1 <_usb_rom_task+0x41> + +008e43d2 <_usb_rom_task+0x32>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1758 + 8e43d2: 0c0200 memw + 8e43d5: 2920a2 l8ui a9, a2, 162 + 8e43d8: 090946 extui a9, a9, 0, 7 + 8e43db: 0c0200 memw + 8e43de: 2924a2 s8i a9, a2, 162 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1761 + 8e43e1: 7e3705 bbci a3, 30, 8e43ea <_usb_rom_task+0x4a> + +008e43e4 <_usb_rom_task+0x44>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1764 + 8e43e4: 285221 l32i a8, a5, 132 + 8e43e7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1766 + 8e43ea: 7d3705 bbci a3, 29, 8e43f3 <_usb_rom_task+0x53> + +008e43ed <_usb_rom_task+0x4d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1769 + 8e43ed: 285222 l32i a8, a5, 136 + 8e43f0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1771 + 8e43f3: 7f3705 bbci a3, 31, 8e43fc <_usb_rom_task+0x5c> + +008e43f6 <_usb_rom_task+0x56>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1774 + 8e43f6: 285220 l32i a8, a5, 128 + 8e43f9: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1777 + 8e43fc: 896b l32i.n a9, a6, 44 + 8e43fe: 69920a bnei a9, 2, 8e440c <_usb_rom_task+0x6c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1779 + 8e4401: 0c0200 memw + 8e4404: c094 movi.n a9, 4 + 8e4406: 292488 s8i a9, a2, 136 + 8e4409: 60000a j 8e4417 <_usb_rom_task+0x77> + +008e440c <_usb_rom_task+0x6c>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1782 + 8e440c: 699107 bnei a9, 1, 8e4417 <_usb_rom_task+0x77> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1785 + 8e440f: 0c0200 memw + 8e4412: c0a1 movi.n a10, 1 + +008e4414 <_usb_rom_task+0x74>: + 8e4414: 2a2488 s8i a10, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1787 + 8e4417: 976b s32i.n a7, a6, 44 + +008e4419 <_usb_rom_task+0x79>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1790 + 8e4419: 784747 bbci a4, 24, 8e4464 <_usb_rom_task+0xc4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1793 + 8e441c: 0c0200 memw + 8e441f: 2320ab l8ui a3, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1797 + 8e4422: 2c0afd movi a12, 253 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1795 + 8e4425: 7e3711 bbci a3, 30, 8e443a <_usb_rom_task+0x9a> + +008e4428 <_usb_rom_task+0x88>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1797 + 8e4428: 0c0200 memw + 8e442b: 2b20ab l8ui a11, a2, 171 + 8e442e: 0cbb01 and a11, a11, a12 + 8e4431: 0c0200 memw + 8e4434: 2b24ab s8i a11, a2, 171 + 8e4437: 27651a s16i a7, a6, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1799 + 8e443a: 7d3711 bbci a3, 29, 8e444f <_usb_rom_task+0xaf> + +008e443d <_usb_rom_task+0x9d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1801 + 8e443d: 2e0afb movi a14, 251 + 8e4440: 0c0200 memw + 8e4443: 2d20ab l8ui a13, a2, 171 + 8e4446: 0edd01 and a13, a13, a14 + 8e4449: 0c0200 memw + 8e444c: 2d24ab s8i a13, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1803 + 8e444f: 7c3711 bbci a3, 28, 8e4464 <_usb_rom_task+0xc4> + +008e4452 <_usb_rom_task+0xb2>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1805 + 8e4452: 280af7 movi a8, 247 + 8e4455: 0c0200 memw + 8e4458: 2f20ab l8ui a15, a2, 171 + 8e445b: 08ff01 and a15, a15, a8 + 8e445e: 0c0200 memw + 8e4461: 2f24ab s8i a15, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1809 + 8e4464: d10f retw.n + +008e4466 <_usb_rom_task+0xc6>: + ... + +008e4468 <_usb_fw_task>: +_usb_fw_task(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1813 + 8e4468: 6c1004 entry a1, 32 + 8e446b: 120124 l32r a2, 4e8490 <_lit4_start+0x490> + 8e446e: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1818 + 8e4471: 0c0200 memw + 8e4474: 2420a3 l8ui a4, a2, 163 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1826 + 8e4477: 7b470e bbci a4, 27, 8e4489 <_usb_fw_task+0x21> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1828 + 8e447a: 0c0200 memw + 8e447d: 2820a6 l8ui a8, a2, 166 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1829 + 8e4480: 798705 bbci a8, 25, 8e4489 <_usb_fw_task+0x21> + +008e4483 <_usb_fw_task+0x1b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1830 + 8e4483: 285233 l32i a8, a5, 204 + 8e4486: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1833 + 8e4489: 79470e bbci a4, 25, 8e449b <_usb_fw_task+0x33> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1836 + 8e448c: 0c0200 memw + 8e448f: 2920a4 l8ui a9, a2, 164 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1837 + 8e4492: 799705 bbci a9, 25, 8e449b <_usb_fw_task+0x33> + +008e4495 <_usb_fw_task+0x2d>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1838 + 8e4495: 285234 l32i a8, a5, 208 + 8e4498: 0b8000 callx8 a8 + 8e449b: c070 movi.n a7, 0 + 8e449d: 160123 l32r a6, 4e848c <_lit4_start+0x48c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1841 + 8e44a0: 7f4770 bbci a4, 31, 8e4514 <_usb_fw_task+0xac> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1844 + 8e44a3: 0c0200 memw + 8e44a6: 2320a2 l8ui a3, a2, 162 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1847 + 8e44a9: 783717 bbci a3, 24, 8e44c4 <_usb_fw_task+0x5c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1850 + 8e44ac: 0c0200 memw + 8e44af: 2920a2 l8ui a9, a2, 162 + 8e44b2: 090946 extui a9, a9, 0, 7 + 8e44b5: 0c0200 memw + 8e44b8: 2924a2 s8i a9, a2, 162 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1851 + 8e44bb: 285212 l32i a8, a5, 72 + 8e44be: 1a013e l32r a10, 4e84f8 <_lit4_start+0x4f8> + +008e44c1 <_usb_fw_task+0x59>: + 8e44c1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1854 + 8e44c4: 7e3705 bbci a3, 30, 8e44cd <_usb_fw_task+0x65> + +008e44c7 <_usb_fw_task+0x5f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1857 + 8e44c7: 285221 l32i a8, a5, 132 + 8e44ca: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1859 + 8e44cd: 7d3705 bbci a3, 29, 8e44d6 <_usb_fw_task+0x6e> + +008e44d0 <_usb_fw_task+0x68>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1862 + 8e44d0: 285222 l32i a8, a5, 136 + 8e44d3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1864 + 8e44d6: 7f3705 bbci a3, 31, 8e44df <_usb_fw_task+0x77> + +008e44d9 <_usb_fw_task+0x71>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1867 + 8e44d9: 285220 l32i a8, a5, 128 + 8e44dc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1871 + 8e44df: 7c3709 bbci a3, 28, 8e44ec <_usb_fw_task+0x84> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1873 + 8e44e2: 976c s32i.n a7, a6, 48 + 8e44e4: 0c0200 memw + 8e44e7: c091 movi.n a9, 1 + +008e44e9 <_usb_fw_task+0x81>: + 8e44e9: 292488 s8i a9, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1876 + 8e44ec: 7b3707 bbci a3, 27, 8e44f7 <_usb_fw_task+0x8f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1878 + 8e44ef: 0c0200 memw + 8e44f2: c0a4 movi.n a10, 4 + +008e44f4 <_usb_fw_task+0x8c>: + 8e44f4: 2a2488 s8i a10, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1881 + 8e44f7: 896b l32i.n a9, a6, 44 + 8e44f9: 69920a bnei a9, 2, 8e4507 <_usb_fw_task+0x9f> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1884 + 8e44fc: 0c0200 memw + 8e44ff: c0b4 movi.n a11, 4 + 8e4501: 2b2488 s8i a11, a2, 136 + 8e4504: 60000a j 8e4512 <_usb_fw_task+0xaa> + +008e4507 <_usb_fw_task+0x9f>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1887 + 8e4507: 699107 bnei a9, 1, 8e4512 <_usb_fw_task+0xaa> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1890 + 8e450a: 0c0200 memw + 8e450d: c0c1 movi.n a12, 1 + +008e450f <_usb_fw_task+0xa7>: + 8e450f: 2c2488 s8i a12, a2, 136 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1892 + 8e4512: 976b s32i.n a7, a6, 44 + +008e4514 <_usb_fw_task+0xac>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1895 + 8e4514: 78477a bbci a4, 24, 8e4592 <_usb_fw_task+0x12a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1898 + 8e4517: 0c0200 memw + 8e451a: 2320ab l8ui a3, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1913 + 8e451d: 7e371d bbci a3, 30, 8e453e <_usb_fw_task+0xd6> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1915 + 8e4520: 2a0afd movi a10, 253 + 8e4523: 0c0200 memw + 8e4526: 2920ab l8ui a9, a2, 171 + 8e4529: 0a9901 and a9, a9, a10 + 8e452c: 0c0200 memw + 8e452f: 2924ab s8i a9, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1917 + 8e4532: 285212 l32i a8, a5, 72 + 8e4535: 1a013f l32r a10, 4e84fc <_lit4_start+0x4fc> + +008e4538 <_usb_fw_task+0xd0>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1915 + 8e4538: 27651a s16i a7, a6, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1917 + 8e453b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1920 + 8e453e: 7d3732 bbci a3, 29, 8e4574 <_usb_fw_task+0x10c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1928 + 8e4541: 2a0afb movi a10, 251 + 8e4544: 0c0200 memw + 8e4547: 2920ab l8ui a9, a2, 171 + 8e454a: 0a9901 and a9, a9, a10 + 8e454d: 0c0200 memw + 8e4550: 2924ab s8i a9, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1929 + 8e4553: 285212 l32i a8, a5, 72 + 8e4556: 1a0140 l32r a10, 4e8500 <_lit4_start+0x500> + 8e4559: 0b8000 callx8 a8 + 8e455c: 1900cb l32r a9, 4e832c <_lit4_start+0x32c> + 8e455f: 1a0015 l32r a10, 4e8054 <_lit4_start+0x54> + +008e4562 <_usb_fw_task+0xfa>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1933 + 8e4562: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1939 + 8e4565: 28522a l32i a8, a5, 168 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1933 + 8e4568: 29a67f s32i a9, a10, 0x1fc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1939 + 8e456b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1942 + 8e456e: 285229 l32i a8, a5, 164 + 8e4571: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1951 + 8e4574: 7c371a bbci a3, 28, 8e4592 <_usb_fw_task+0x12a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1953 + 8e4577: 2a0af7 movi a10, 247 + 8e457a: 0c0200 memw + 8e457d: 2920ab l8ui a9, a2, 171 + 8e4580: 0a9901 and a9, a9, a10 + 8e4583: 0c0200 memw + 8e4586: 2924ab s8i a9, a2, 171 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1954 + 8e4589: 285212 l32i a8, a5, 72 + 8e458c: 1a0141 l32r a10, 4e8504 <_lit4_start+0x504> + +008e458f <_usb_fw_task+0x127>: + 8e458f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1958 + 8e4592: d10f retw.n + +008e4594 <_usb_clk_init>: +_usb_clk_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2097 + 8e4594: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2100 + 8e4597: c3a1 movi.n a10, 49 + 8e4599: 120015 l32r a2, 4e8054 <_lit4_start+0x54> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2097 + 8e459c: 23fa00 movi a3, 0xffffff00 + 8e459f: 140018 l32r a4, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2100 + 8e45a2: 0c0200 memw + 8e45a5: 29227d l32i a9, a2, 0x1f4 + 8e45a8: 039901 and a9, a9, a3 + 8e45ab: 0a9902 or a9, a9, a10 + 8e45ae: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2102 + 8e45b1: 28425e l32i a8, a4, 0x178 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2100 + 8e45b4: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2102 + 8e45b7: 0b8000 callx8 a8 + 8e45ba: 1b0037 l32r a11, 4e80dc <_lit4_start+0xdc> + 8e45bd: c0c4 movi.n a12, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2106 + 8e45bf: 69a122 bnei a10, 1, 8e45e5 <_usb_clk_init+0x51> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2115 + 8e45c2: 0c0200 memw + 8e45c5: 2eb286 l32i a14, a11, 0x218 + 8e45c8: 0cee02 or a14, a14, a12 + 8e45cb: 0c0200 memw + 8e45ce: 2eb686 s32i a14, a11, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2116 + 8e45d1: c6df movi.n a13, -17 + 8e45d3: 0c0200 memw + 8e45d6: 2ab284 l32i a10, a11, 0x210 + 8e45d9: 0daa01 and a10, a10, a13 + 8e45dc: 0c0200 memw + 8e45df: 2ab684 s32i a10, a11, 0x210 + 8e45e2: 60003c j 8e4622 <_usb_clk_init+0x8e> + +008e45e5 <_usb_clk_init+0x51>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2118 + 8e45e5: 68a339 beqi a10, 3, 8e4622 <_usb_clk_init+0x8e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2124 + 8e45e8: c7fe movi.n a15, -2 + 8e45ea: 0c0200 memw + 8e45ed: 2eb284 l32i a14, a11, 0x210 + 8e45f0: 0fee01 and a14, a14, a15 + 8e45f3: 0c0200 memw + 8e45f6: 2eb684 s32i a14, a11, 0x210 + 8e45f9: c6d7 movi.n a13, -25 + +008e45fb <_usb_clk_init+0x67>: + 8e45fb: 0c0200 memw + 8e45fe: 2ab284 l32i a10, a11, 0x210 + 8e4601: 0daa01 and a10, a10, a13 + 8e4604: 0c0200 memw + 8e4607: 2ab684 s32i a10, a11, 0x210 + 8e460a: 0c0200 memw + 8e460d: 29b284 l32i a9, a11, 0x210 + 8e4610: 0c9902 or a9, a9, a12 + 8e4613: 0c0200 memw + 8e4616: 28423b l32i a8, a4, 236 + 8e4619: 2a0a64 movi a10, 100 + 8e461c: 29b686 s32i a9, a11, 0x218 + 8e461f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2134 + 8e4622: c392 movi.n a9, 50 + 8e4624: 0c0200 memw + 8e4627: 28227d l32i a8, a2, 0x1f4 + 8e462a: 038801 and a8, a8, a3 + 8e462d: 098802 or a8, a8, a9 + 8e4630: 0c0200 memw + 8e4633: 28267d s32i a8, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2137 + 8e4636: d10f retw.n + +008e4638 <_usb_init>: +_usb_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2141 + 8e4638: 6c1004 entry a1, 32 + 8e463b: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2146 + 8e463e: 28325e l32i a8, a3, 0x178 + 8e4641: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2154 + 8e4644: c3b0 movi.n a11, 48 + 8e4646: 120015 l32r a2, 4e8054 <_lit4_start+0x54> + 8e4649: 24fa00 movi a4, 0xffffff00 + 8e464c: 190123 l32r a9, 4e848c <_lit4_start+0x48c> + 8e464f: c050 movi.n a5, 0 + 8e4651: 0c0200 memw + 8e4654: 2a227d l32i a10, a2, 0x1f4 + 8e4657: 04aa01 and a10, a10, a4 + 8e465a: 0baa02 or a10, a10, a11 + 8e465d: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2171 + 8e4660: 283237 l32i a8, a3, 220 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2154 + 8e4663: 2a267d s32i a10, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2159 + 8e4666: 25951c s16i a5, a9, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2160 + 8e4669: 25951d s16i a5, a9, 58 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2164 + 8e466c: 25952c s16i a5, a9, 88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2171 + 8e466f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2198 + 8e4672: 28323b l32i a8, a3, 236 + 8e4675: 2a1a2c movi a10, 0x12c + 8e4678: 0b8000 callx8 a8 + 8e467b: 190125 l32r a9, 4e8494 <_lit4_start+0x494> + +008e467e <_usb_init+0x46>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2205 + 8e467e: 0c0200 memw + 8e4681: 2a92ca l32i a10, a9, 0x328 + 8e4684: 77a7f6 bbci a10, 23, 8e467e <_usb_init+0x46> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2211 + 8e4687: c3a3 movi.n a10, 51 + 8e4689: 0c0200 memw + 8e468c: 29227d l32i a9, a2, 0x1f4 + 8e468f: 049901 and a9, a9, a4 + 8e4692: 0a9902 or a9, a9, a10 + 8e4695: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2212 + 8e4698: 283216 l32i a8, a3, 88 + 8e469b: 1a0142 l32r a10, 4e8508 <_lit4_start+0x508> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2211 + 8e469e: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2212 + 8e46a1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2230 + 8e46a4: c3a4 movi.n a10, 52 + 8e46a6: 0c0200 memw + 8e46a9: 29227d l32i a9, a2, 0x1f4 + 8e46ac: 049901 and a9, a9, a4 + 8e46af: 0a9902 or a9, a9, a10 + 8e46b2: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2231 + 8e46b5: 28321f l32i a8, a3, 124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2230 + 8e46b8: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2231 + 8e46bb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2233 + 8e46be: c3a5 movi.n a10, 53 + 8e46c0: 160124 l32r a6, 4e8490 <_lit4_start+0x490> + 8e46c3: 0c0200 memw + 8e46c6: 29227d l32i a9, a2, 0x1f4 + 8e46c9: 049901 and a9, a9, a4 + 8e46cc: 0a9902 or a9, a9, a10 + 8e46cf: 0c0200 memw + 8e46d2: 29267d s32i a9, a2, 0x1f4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2235 + 8e46d5: 0c0200 memw + 8e46d8: 25648b s8i a5, a6, 139 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2242 + 8e46db: 28325e l32i a8, a3, 0x178 + 8e46de: 0b8000 callx8 a8 + 8e46e1: 68a113 beqi a10, 1, 8e46f8 <_usb_init+0xc0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2245 + 8e46e4: 2b0ac0 movi a11, 192 + 8e46e7: 0c0200 memw + 8e46ea: 2a6094 l8ui a10, a6, 148 + 8e46ed: 0baa02 or a10, a10, a11 + 8e46f0: 0c0200 memw + 8e46f3: 2a6494 s8i a10, a6, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2247 + 8e46f6: d10f retw.n + +008e46f8 <_usb_init+0xc0>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2243 + 8e46f8: 2d0abf movi a13, 191 + 8e46fb: 0c0200 memw + 8e46fe: 2c6094 l8ui a12, a6, 148 + 8e4701: 0dcc01 and a12, a12, a13 + 8e4704: 0c0200 memw + 8e4707: 2c6494 s8i a12, a6, 148 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2247 + 8e470a: d10f retw.n + +008e470c : +usbfifo_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2253 + 8e470c: 6c1004 entry a1, 32 + 8e470f: 180143 l32r a8, 4e850c <_lit4_start+0x50c> + 8e4712: 130144 l32r a3, 4e8510 <_lit4_start+0x510> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2256 + 8e4715: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2255 + 8e4717: 9820 s32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2257 + 8e4719: d10f retw.n + +008e471b : + ... + +008e471c : +cmnos_usb_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2261 + 8e471c: 6c1004 entry a1, 32 + 8e471f: 19014c l32r a9, 4e8530 <_lit4_start+0x530> + 8e4722: 1a014b l32r a10, 4e852c <_lit4_start+0x52c> + 8e4725: 1b014a l32r a11, 4e8528 <_lit4_start+0x528> + 8e4728: 1c0149 l32r a12, 4e8524 <_lit4_start+0x524> + 8e472b: 1d0148 l32r a13, 4e8520 <_lit4_start+0x520> + 8e472e: 1e0147 l32r a14, 4e851c <_lit4_start+0x51c> + 8e4731: 1f0146 l32r a15, 4e8518 <_lit4_start+0x518> + 8e4734: 130145 l32r a3, 4e8514 <_lit4_start+0x514> + 8e4737: 18014d l32r a8, 4e8534 <_lit4_start+0x534> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2272 + 8e473a: 982a s32i.n a8, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2271 + 8e473c: 9929 s32i.n a9, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2269 + 8e473e: 2a261a s32i a10, a2, 104 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2268 + 8e4741: 2b2619 s32i a11, a2, 100 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2267 + 8e4744: 9c24 s32i.n a12, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2265 + 8e4746: 9d23 s32i.n a13, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2264 + 8e4748: 9e22 s32i.n a14, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2262 + 8e474a: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2263 + 8e474c: 9f21 s32i.n a15, a2, 4 + 8e474e: 180155 l32r a8, 4e8554 <_lit4_start+0x554> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2285 + 8e4751: 282614 s32i a8, a2, 80 + 8e4754: 1f014f l32r a15, 4e853c <_lit4_start+0x53c> + 8e4757: 13014e l32r a3, 4e8538 <_lit4_start+0x538> + 8e475a: 1e0150 l32r a14, 4e8540 <_lit4_start+0x540> + 8e475d: 1d0130 l32r a13, 4e84c0 <_lit4_start+0x4c0> + 8e4760: 1c0151 l32r a12, 4e8544 <_lit4_start+0x544> + 8e4763: 1b0152 l32r a11, 4e8548 <_lit4_start+0x548> + 8e4766: 1a0153 l32r a10, 4e854c <_lit4_start+0x54c> + 8e4769: 190154 l32r a9, 4e8550 <_lit4_start+0x550> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2283 + 8e476c: 292610 s32i a9, a2, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2282 + 8e476f: 9a2f s32i.n a10, a2, 60 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2281 + 8e4771: 9b2d s32i.n a11, a2, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2280 + 8e4773: 9c2e s32i.n a12, a2, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2278 + 8e4775: 9d2c s32i.n a13, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2277 + 8e4777: 9e2b s32i.n a14, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2274 + 8e4779: 9327 s32i.n a3, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2275 + 8e477b: 9f28 s32i.n a15, a2, 32 + 8e477d: 18015e l32r a8, 4e8578 <_lit4_start+0x578> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2297 + 8e4780: 9826 s32i.n a8, a2, 24 + 8e4782: 1f0157 l32r a15, 4e855c <_lit4_start+0x55c> + 8e4785: 130156 l32r a3, 4e8558 <_lit4_start+0x558> + 8e4788: 1e0158 l32r a14, 4e8560 <_lit4_start+0x560> + 8e478b: 1d0159 l32r a13, 4e8564 <_lit4_start+0x564> + 8e478e: 1c015a l32r a12, 4e8568 <_lit4_start+0x568> + 8e4791: 1b015b l32r a11, 4e856c <_lit4_start+0x56c> + 8e4794: 1a015c l32r a10, 4e8570 <_lit4_start+0x570> + 8e4797: 19015d l32r a9, 4e8574 <_lit4_start+0x574> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2296 + 8e479a: 9925 s32i.n a9, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2294 + 8e479c: 2a2618 s32i a10, a2, 96 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2293 + 8e479f: 2b2617 s32i a11, a2, 92 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2291 + 8e47a2: 2c2616 s32i a12, a2, 88 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2290 + 8e47a5: 2d2615 s32i a13, a2, 84 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2288 + 8e47a8: 2e2611 s32i a14, a2, 68 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2286 + 8e47ab: 232613 s32i a3, a2, 76 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2287 + 8e47ae: 2f2612 s32i a15, a2, 72 + 8e47b1: 13015f l32r a3, 4e857c <_lit4_start+0x57c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2299 + 8e47b4: 23261b s32i a3, a2, 108 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2300 + 8e47b7: d10f retw.n + +008e47b9 : + 8e47b9: 000000 ... + +008e47bc : +mUsbEPMap(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:20 + 8e47bc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:26 + 8e47bf: c053 movi.n a5, 3 + 8e47c1: 242c2f addi a4, a2, 47 + 8e47c4: 054403 xor a4, a4, a5 + 8e47c7: 150050 l32r a5, 4e8140 <_lit4_start+0x140> + 8e47ca: 040447 extui a4, a4, 0, 8 + 8e47cd: 054402 or a4, a4, a5 + 8e47d0: 0c0200 memw + 8e47d3: 234400 s8i a3, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:33 + 8e47d6: d10f retw.n + +008e47d8 : +mUsbFIFOMap(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:36 + 8e47d8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:45 + 8e47db: c053 movi.n a5, 3 + 8e47dd: 240a80 movi a4, 128 + 8e47e0: a424 add.n a4, a2, a4 + 8e47e2: 054403 xor a4, a4, a5 + 8e47e5: 150050 l32r a5, 4e8140 <_lit4_start+0x140> + 8e47e8: 040447 extui a4, a4, 0, 8 + 8e47eb: 054402 or a4, a4, a5 + 8e47ee: 0c0200 memw + 8e47f1: 234400 s8i a3, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:51 + 8e47f4: d10f retw.n + +008e47f6 : + ... + +008e47f8 : +mUsbFIFOConfig(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:54 + 8e47f8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:60 + 8e47fb: c053 movi.n a5, 3 + 8e47fd: 240a90 movi a4, 144 + 8e4800: a424 add.n a4, a2, a4 + 8e4802: 054403 xor a4, a4, a5 + 8e4805: 150050 l32r a5, 4e8140 <_lit4_start+0x140> + 8e4808: 040447 extui a4, a4, 0, 8 + 8e480b: 054402 or a4, a4, a5 + 8e480e: 0c0200 memw + 8e4811: 234400 s8i a3, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:66 + 8e4814: d10f retw.n + +008e4816 : + ... + +008e4818 : +mUsbEPMxPtSzHigh(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:69 + 8e4818: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:75 + 8e481b: 0f2711 slli a7, a2, 1 + 8e481e: 0b3611 slli a6, a3, 5 + 8e4821: 048514 srli a5, a4, 8 + 8e4824: a766 add.n a6, a6, a7 + 8e4826: c073 movi.n a7, 3 + 8e4828: 266c3f addi a6, a6, 63 + 8e482b: 076603 xor a6, a6, a7 + 8e482e: 170050 l32r a7, 4e8140 <_lit4_start+0x140> + 8e4831: 060647 extui a6, a6, 0, 8 + 8e4834: 076602 or a6, a6, a7 + 8e4837: 0c0200 memw + 8e483a: 256400 s8i a5, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:79 + 8e483d: d10f retw.n + +008e483f : + ... + +008e4840 : +mUsbEPMxPtSzLow(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:82 + 8e4840: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:88 + 8e4843: 0f2611 slli a6, a2, 1 + 8e4846: 0b3511 slli a5, a3, 5 + 8e4849: a655 add.n a5, a5, a6 + 8e484b: c063 movi.n a6, 3 + 8e484d: 255c3e addi a5, a5, 62 + 8e4850: 065503 xor a5, a5, a6 + 8e4853: 160050 l32r a6, 4e8140 <_lit4_start+0x140> + 8e4856: 050547 extui a5, a5, 0, 8 + 8e4859: 065502 or a5, a5, a6 + 8e485c: 0c0200 memw + 8e485f: 245400 s8i a4, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:91 + 8e4862: d10f retw.n + +008e4864 : +mUsbEPinHighBandSet(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:94 + 8e4864: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:106 + 8e4867: 2a0a9f movi a10, 159 + 8e486a: c073 movi.n a7, 3 + 8e486c: 0f2611 slli a6, a2, 1 + 8e486f: 266c3f addi a6, a6, 63 + 8e4872: 076603 xor a6, a6, a7 + 8e4875: 170050 l32r a7, 4e8140 <_lit4_start+0x140> + 8e4878: 060647 extui a6, a6, 0, 8 + 8e487b: 076602 or a6, a6, a7 + 8e487e: 0c0200 memw + 8e4881: 296000 l8ui a9, a6, 0 + 8e4884: 0a9901 and a9, a9, a10 + 8e4887: 0c0200 memw + 8e488a: 296400 s8i a9, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:107 + 8e488d: c081 movi.n a8, 1 + 8e488f: 04b714 srli a7, a4, 11 + 8e4892: b177 addi.n a7, a7, 1 + 8e4894: 03880c sub a8, a8, a3 + 8e4897: 0b7711 slli a7, a7, 5 + 8e489a: 08771d mul16s a7, a7, a8 + 8e489d: 0c0200 memw + 8e48a0: 256000 l8ui a5, a6, 0 + 8e48a3: 075502 or a5, a5, a7 + 8e48a6: 0c0200 memw + 8e48a9: 256400 s8i a5, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:111 + 8e48ac: d10f retw.n + +008e48ae : + ... + +008e48b0 : +vUsbFIFO_EPxCfg_HS(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:143 + 8e48b0: 6c1004 entry a1, 32 + 8e48b3: 180160 l32r a8, 4e8580 <_lit4_start+0x580> + 8e48b6: 190161 l32r a9, 4e8584 <_lit4_start+0x584> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:302 + 8e48b9: 288100 l16ui a8, a8, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:297 + 8e48bc: 299100 l16ui a9, a9, 0 + 8e48bf: b088 addi.n a8, a8, -1 + 8e48c1: 658179 bnez a8, 8e4a3e + 8e48c4: 659176 bnez a9, 8e4a3e + 8e48c7: 1a0162 l32r a10, 4e8588 <_lit4_start+0x588> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:292 + 8e48ca: 2aa100 l16ui a10, a10, 0 + 8e48cd: 65a16d bnez a10, 8e4a3e +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:164 + 8e48d0: c0a1 movi.n a10, 1 + 8e48d2: c0bf movi.n a11, 15 + 8e48d4: 5bffb9 call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:165 + 8e48d7: c0a0 movi.n a10, 0 + 8e48d9: c0b1 movi.n a11, 1 + 8e48db: 5bffbf call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:167 + 8e48de: c0a1 movi.n a10, 1 + 8e48e0: c0b1 movi.n a11, 1 + 8e48e2: 5bffbd call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:169 + 8e48e5: c0a0 movi.n a10, 0 + 8e48e7: 2b0a86 movi a11, 134 + 8e48ea: 5bffc3 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:175 + 8e48ed: c0a1 movi.n a10, 1 + 8e48ef: c0b6 movi.n a11, 6 + 8e48f1: 5bffc1 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:178 + 8e48f4: c0a1 movi.n a10, 1 + 8e48f6: c0b1 movi.n a11, 1 + 8e48f8: 2c2a00 movi a12, 0x200 + 8e48fb: 5bffc7 call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:179 + 8e48fe: c0a1 movi.n a10, 1 + 8e4900: c0b1 movi.n a11, 1 + 8e4902: 2c2a00 movi a12, 0x200 + 8e4905: 5bffce call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:180 + 8e4908: c0a1 movi.n a10, 1 + 8e490a: c0b1 movi.n a11, 1 + 8e490c: 2c2a00 movi a12, 0x200 + 8e490f: 5bffd5 call8 8e4864 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:185 + 8e4912: c0a2 movi.n a10, 2 + 8e4914: 2b0af2 movi a11, 242 + 8e4917: 5bffa9 call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:186 + 8e491a: c0a2 movi.n a10, 2 + 8e491c: c1b2 movi.n a11, 18 + 8e491e: 5bffae call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:188 + 8e4921: c0a3 movi.n a10, 3 + 8e4923: c1b2 movi.n a11, 18 + 8e4925: 5bffac call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:190 + 8e4928: c0a2 movi.n a10, 2 + 8e492a: 2b0a86 movi a11, 134 + 8e492d: 5bffb2 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:196 + 8e4930: c0a3 movi.n a10, 3 + 8e4932: c0b6 movi.n a11, 6 + 8e4934: 5bffb0 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:199 + 8e4937: c0a2 movi.n a10, 2 + 8e4939: c0b0 movi.n a11, 0 + 8e493b: 2c2a00 movi a12, 0x200 + 8e493e: 5bffb6 call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:200 + 8e4941: c0a2 movi.n a10, 2 + 8e4943: c0b0 movi.n a11, 0 + 8e4945: 2c2a00 movi a12, 0x200 + 8e4948: 5bffbd call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:201 + 8e494b: c0a2 movi.n a10, 2 + 8e494d: c0b0 movi.n a11, 0 + 8e494f: 2c2a00 movi a12, 0x200 + 8e4952: 5bffc4 call8 8e4864 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:206 + 8e4955: c0a3 movi.n a10, 3 + 8e4957: 2b0afe movi a11, 254 + 8e495a: 5bff98 call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:207 + 8e495d: c0ae movi.n a10, 14 + 8e495f: c1b3 movi.n a11, 19 + 8e4961: 5bff9d call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:208 + 8e4964: c0ae movi.n a10, 14 + 8e4966: 2b0a83 movi a11, 131 + 8e4969: 5bffa3 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:217 + 8e496c: c0a3 movi.n a10, 3 + 8e496e: c0b0 movi.n a11, 0 + 8e4970: c4c0 movi.n a12, 64 + 8e4972: 5bffa9 call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:218 + 8e4975: c0a3 movi.n a10, 3 + 8e4977: c0b0 movi.n a11, 0 + 8e4979: c4c0 movi.n a12, 64 + 8e497b: 5bffb1 call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:219 + 8e497e: c0a3 movi.n a10, 3 + 8e4980: c0b0 movi.n a11, 0 + 8e4982: c4c0 movi.n a12, 64 + 8e4984: 5bffb7 call8 8e4864 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:224 + 8e4987: c0a4 movi.n a10, 4 + 8e4989: 2b0aff movi a11, 255 + 8e498c: 5bff8b call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:225 + 8e498f: c0af movi.n a10, 15 + 8e4991: c0b4 movi.n a11, 4 + 8e4993: 5bff91 call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:226 + 8e4996: c0af movi.n a10, 15 + 8e4998: 2b0a83 movi a11, 131 + 8e499b: 5bff97 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:235 + 8e499e: c0a4 movi.n a10, 4 + 8e49a0: c0b1 movi.n a11, 1 + 8e49a2: c4c0 movi.n a12, 64 + 8e49a4: 5bff9c call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:236 + 8e49a7: c0a4 movi.n a10, 4 + 8e49a9: c0b1 movi.n a11, 1 + 8e49ab: c4c0 movi.n a12, 64 + 8e49ad: 5bffa4 call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:237 + 8e49b0: c0a4 movi.n a10, 4 + 8e49b2: c0b1 movi.n a11, 1 + 8e49b4: c4c0 movi.n a12, 64 + 8e49b6: 5bffab call8 8e4864 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:244 + 8e49b9: c0a5 movi.n a10, 5 + 8e49bb: c4bf movi.n a11, 79 + 8e49bd: 5bff7f call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:245 + 8e49c0: c0a4 movi.n a10, 4 + 8e49c2: c0b5 movi.n a11, 5 + 8e49c4: 5bff84 call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:247 + 8e49c7: c0a5 movi.n a10, 5 + 8e49c9: c0b5 movi.n a11, 5 + 8e49cb: 5bff83 call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:249 + 8e49ce: c0a4 movi.n a10, 4 + 8e49d0: 2b0a86 movi a11, 134 + 8e49d3: 5bff89 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:255 + 8e49d6: c0a5 movi.n a10, 5 + 8e49d8: c0b6 movi.n a11, 6 + 8e49da: 5bff87 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:258 + 8e49dd: c0a5 movi.n a10, 5 + 8e49df: c0b1 movi.n a11, 1 + 8e49e1: 2c2a00 movi a12, 0x200 + 8e49e4: 5bff8c call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:259 + 8e49e7: c0a5 movi.n a10, 5 + 8e49e9: c0b1 movi.n a11, 1 + 8e49eb: 2c2a00 movi a12, 0x200 + 8e49ee: 5bff94 call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:260 + 8e49f1: c0a5 movi.n a10, 5 + 8e49f3: c0b1 movi.n a11, 1 + 8e49f5: 2c2a00 movi a12, 0x200 + 8e49f8: 5bff9a call8 8e4864 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:268 + 8e49fb: c0a6 movi.n a10, 6 + 8e49fd: 2b0a6f movi a11, 111 + 8e4a00: 5bff6e call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:269 + 8e4a03: c0a6 movi.n a10, 6 + 8e4a05: c0b6 movi.n a11, 6 + 8e4a07: 5bff74 call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:271 + 8e4a0a: c0a7 movi.n a10, 7 + 8e4a0c: c0b6 movi.n a11, 6 + 8e4a0e: 5bff72 call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:273 + 8e4a11: c0a6 movi.n a10, 6 + 8e4a13: 2b0a86 movi a11, 134 + 8e4a16: 5bff78 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:279 + 8e4a19: c0a7 movi.n a10, 7 + 8e4a1b: c0b6 movi.n a11, 6 + 8e4a1d: 5bff76 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:282 + 8e4a20: c0a6 movi.n a10, 6 + 8e4a22: c0b1 movi.n a11, 1 + 8e4a24: 2c2a00 movi a12, 0x200 + 8e4a27: 5bff7c call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:283 + 8e4a2a: c0a6 movi.n a10, 6 + 8e4a2c: c0b1 movi.n a11, 1 + 8e4a2e: 2c2a00 movi a12, 0x200 + 8e4a31: 5bff83 call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:284 + 8e4a34: c0a6 movi.n a10, 6 + 8e4a36: c0b1 movi.n a11, 1 + 8e4a38: 2c2a00 movi a12, 0x200 + 8e4a3b: 5bff8a call8 8e4864 + +008e4a3e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:305 + 8e4a3e: d10f retw.n + +008e4a40 : +vUsbFIFO_EPxCfg_FS(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:308 + 8e4a40: 6c1004 entry a1, 32 + 8e4a43: 180160 l32r a8, 4e8580 <_lit4_start+0x580> + 8e4a46: 190161 l32r a9, 4e8584 <_lit4_start+0x584> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:431 + 8e4a49: 288100 l16ui a8, a8, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:426 + 8e4a4c: 299100 l16ui a9, a9, 0 + 8e4a4f: b088 addi.n a8, a8, -1 + 8e4a51: 658118 bnez a8, 8e4b6d + 8e4a54: 659115 bnez a9, 8e4b6d + 8e4a57: 1a0162 l32r a10, 4e8588 <_lit4_start+0x588> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:421 + 8e4a5a: 2aa100 l16ui a10, a10, 0 + 8e4a5d: 65a10c bnez a10, 8e4b6d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:329 + 8e4a60: c0a1 movi.n a10, 1 + 8e4a62: c0bf movi.n a11, 15 + 8e4a64: 5bff55 call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:330 + 8e4a67: c0a0 movi.n a10, 0 + 8e4a69: c0b1 movi.n a11, 1 + 8e4a6b: 5bff5b call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:331 + 8e4a6e: c0a0 movi.n a10, 0 + 8e4a70: 2b0a86 movi a11, 134 + 8e4a73: 5bff61 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:337 + 8e4a76: c0a1 movi.n a10, 1 + 8e4a78: c0b6 movi.n a11, 6 + 8e4a7a: 5bff5f call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:340 + 8e4a7d: c0a1 movi.n a10, 1 + 8e4a7f: c0b1 movi.n a11, 1 + 8e4a81: c4c0 movi.n a12, 64 + 8e4a83: 5bff65 call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:341 + 8e4a86: c0a1 movi.n a10, 1 + 8e4a88: c0b1 movi.n a11, 1 + 8e4a8a: c4c0 movi.n a12, 64 + 8e4a8c: 5bff6c call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:343 + 8e4a8f: c0a1 movi.n a10, 1 + 8e4a91: c0b1 movi.n a11, 1 + 8e4a93: c4c0 movi.n a12, 64 + 8e4a95: 5bff73 call8 8e4864 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:348 + 8e4a98: c0a2 movi.n a10, 2 + 8e4a9a: 2b0af2 movi a11, 242 + 8e4a9d: 5bff47 call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:349 + 8e4aa0: c0a2 movi.n a10, 2 + 8e4aa2: c1b2 movi.n a11, 18 + 8e4aa4: 5bff4c call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:350 + 8e4aa7: c0a2 movi.n a10, 2 + 8e4aa9: 2b0a86 movi a11, 134 + 8e4aac: 5bff52 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:356 + 8e4aaf: c0a3 movi.n a10, 3 + 8e4ab1: c0b6 movi.n a11, 6 + 8e4ab3: 5bff51 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:359 + 8e4ab6: c0a2 movi.n a10, 2 + 8e4ab8: c0b0 movi.n a11, 0 + 8e4aba: c4c0 movi.n a12, 64 + 8e4abc: 5bff56 call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:360 + 8e4abf: c0a2 movi.n a10, 2 + 8e4ac1: c0b0 movi.n a11, 0 + 8e4ac3: c4c0 movi.n a12, 64 + 8e4ac5: 5bff5e call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:361 + 8e4ac8: c0a2 movi.n a10, 2 + 8e4aca: c0b0 movi.n a11, 0 + 8e4acc: c4c0 movi.n a12, 64 + 8e4ace: 5bff65 call8 8e4864 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:366 + 8e4ad1: c0a3 movi.n a10, 3 + 8e4ad3: 2b0afe movi a11, 254 + 8e4ad6: 5bff39 call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:367 + 8e4ad9: c0ae movi.n a10, 14 + 8e4adb: c1b3 movi.n a11, 19 + 8e4add: 5bff3e call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:368 + 8e4ae0: c0ae movi.n a10, 14 + 8e4ae2: 2b0a83 movi a11, 131 + 8e4ae5: 5bff44 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:377 + 8e4ae8: c0a3 movi.n a10, 3 + 8e4aea: c0b0 movi.n a11, 0 + 8e4aec: c4c0 movi.n a12, 64 + 8e4aee: 5bff4a call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:378 + 8e4af1: c0a3 movi.n a10, 3 + 8e4af3: c0b0 movi.n a11, 0 + 8e4af5: c4c0 movi.n a12, 64 + 8e4af7: 5bff52 call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:379 + 8e4afa: c0a3 movi.n a10, 3 + 8e4afc: c0b0 movi.n a11, 0 + 8e4afe: c4c0 movi.n a12, 64 + 8e4b00: 5bff58 call8 8e4864 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:384 + 8e4b03: c0a4 movi.n a10, 4 + 8e4b05: 2b0aff movi a11, 255 + 8e4b08: 5bff2c call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:385 + 8e4b0b: c0af movi.n a10, 15 + 8e4b0d: c0b4 movi.n a11, 4 + 8e4b0f: 5bff32 call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:386 + 8e4b12: c0af movi.n a10, 15 + 8e4b14: 2b0a82 movi a11, 130 + 8e4b17: 5bff38 call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:395 + 8e4b1a: c0a4 movi.n a10, 4 + 8e4b1c: c0b1 movi.n a11, 1 + 8e4b1e: c4c0 movi.n a12, 64 + 8e4b20: 5bff3d call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:396 + 8e4b23: c0a4 movi.n a10, 4 + 8e4b25: c0b1 movi.n a11, 1 + 8e4b27: c4c0 movi.n a12, 64 + 8e4b29: 5bff45 call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:397 + 8e4b2c: c0a4 movi.n a10, 4 + 8e4b2e: c0b1 movi.n a11, 1 + 8e4b30: c4c0 movi.n a12, 64 + 8e4b32: 5bff4c call8 8e4864 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:402 + 8e4b35: c0a5 movi.n a10, 5 + 8e4b37: c4bf movi.n a11, 79 + 8e4b39: 5bff20 call8 8e47bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:403 + 8e4b3c: c0a4 movi.n a10, 4 + 8e4b3e: c0b5 movi.n a11, 5 + 8e4b40: 5bff25 call8 8e47d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:404 + 8e4b43: c0a4 movi.n a10, 4 + 8e4b45: 2b0a86 movi a11, 134 + 8e4b48: 5bff2b call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:410 + 8e4b4b: c0a5 movi.n a10, 5 + 8e4b4d: c0b6 movi.n a11, 6 + 8e4b4f: 5bff2a call8 8e47f8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:413 + 8e4b52: c0a5 movi.n a10, 5 + 8e4b54: c0b1 movi.n a11, 1 + 8e4b56: c4c0 movi.n a12, 64 + 8e4b58: 5bff2f call8 8e4818 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:414 + 8e4b5b: c0a5 movi.n a10, 5 + 8e4b5d: c0b1 movi.n a11, 1 + 8e4b5f: c4c0 movi.n a12, 64 + 8e4b61: 5bff37 call8 8e4840 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:415 + 8e4b64: c0a5 movi.n a10, 5 + 8e4b66: c0b1 movi.n a11, 1 + 8e4b68: c4c0 movi.n a12, 64 + 8e4b6a: 5bff3e call8 8e4864 + +008e4b6d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:433 + 8e4b6d: d10f retw.n + +008e4b6f : + ... + +008e4b70 <__dma_reg_read>: +__dma_reg_read(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:166 + 8e4b70: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:167 + 8e4b73: 0c0200 memw + 8e4b76: 8220 l32i.n a2, a2, 0 + 8e4b78: d10f retw.n + +008e4b7a <__dma_reg_read+0xa>: + ... + +008e4b7c <__dma_reg_write>: +__dma_reg_write(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:177 + 8e4b7c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:178 + 8e4b7f: 0c0200 memw + 8e4b82: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:179 + 8e4b84: d10f retw.n + +008e4b86 <__dma_reg_write+0xa>: + ... + +008e4b88 <__dma_set_base>: +__dma_set_base(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:188 + 8e4b88: 6c1004 entry a1, 32 + 8e4b8b: 160163 l32r a6, 4e858c <_lit4_start+0x58c> + 8e4b8e: c839 beqz.n a3, 8e4b9b <__dma_set_base+0x13> + 8e4b90: 150164 l32r a5, 4e8590 <_lit4_start+0x590> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:201 + 8e4b93: 683107 beqi a3, 1, 8e4b9e <__dma_set_base+0x16> + 8e4b96: 683204 beqi a3, 2, 8e4b9e <__dma_set_base+0x16> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:202 + 8e4b99: d10f retw.n + +008e4b9b <__dma_set_base+0x13>: + 8e4b9b: 150165 l32r a5, 4e8594 <_lit4_start+0x594> + +008e4b9e <__dma_set_base+0x16>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:197 + 8e4b9e: 022409 addx2 a4, a2, a2 + 8e4ba1: 06440b addx8 a4, a4, a6 + 8e4ba4: 9540 s32i.n a5, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:202 + 8e4ba6: d10f retw.n + +008e4ba8 <__dma_lib_tx_init>: +__dma_lib_tx_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:213 + 8e4ba8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:217 + 8e4bab: 282cfc addi a8, a2, -4 + 8e4bae: 6e8203 bltui a8, 2, 8e4bb5 <__dma_lib_tx_init+0xd> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:218 + 8e4bb1: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:232 + 8e4bb3: d10f retw.n + +008e4bb5 <__dma_lib_tx_init+0xd>: + 8e4bb5: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4bb8: 190163 l32r a9, 4e858c <_lit4_start+0x58c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:220 + 8e4bbb: 022409 addx2 a4, a2, a2 + 8e4bbe: 2882af l32i a8, a8, 0x2bc + 8e4bc1: 09440b addx8 a4, a4, a9 + 8e4bc4: b84a addi.n a10, a4, 8 + 8e4bc6: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:222 + 8e4bc9: db30 mov.n a11, a3 + 8e4bcb: da20 mov.n a10, a2 + 8e4bcd: 5bffee call8 8e4b88 <__dma_set_base> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:224 + 8e4bd0: 8841 l32i.n a8, a4, 4 + 8e4bd2: 8240 l32i.n a2, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:228 + 8e4bd4: 8b42 l32i.n a11, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:224 + 8e4bd6: a822 add.n a2, a2, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:228 + 8e4bd8: da20 mov.n a10, a2 + 8e4bda: 5bffe8 call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:229 + 8e4bdd: bc2a addi.n a10, a2, 12 + 8e4bdf: c0b2 movi.n a11, 2 + 8e4be1: 5bffe6 call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:230 + 8e4be4: 2a2c18 addi a10, a2, 24 + 8e4be7: c0b1 movi.n a11, 1 + 8e4be9: 5bffe4 call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:232 + 8e4bec: c020 movi.n a2, 0 + 8e4bee: d10f retw.n + +008e4bf0 <__dma_lib_rx_config>: +__dma_lib_rx_config(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:238 + 8e4bf0: 6c1004 entry a1, 32 + 8e4bf3: dc40 mov.n a12, a4 + 8e4bf5: db30 mov.n a11, a3 + 8e4bf7: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4bfa: 1a0163 l32r a10, 4e858c <_lit4_start+0x58c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:246 + 8e4bfd: 022909 addx2 a9, a2, a2 + 8e4c00: 2882b0 l32i a8, a8, 0x2c0 + 8e4c03: 0a920b addx8 a2, a9, a10 + 8e4c06: b82a addi.n a10, a2, 8 + 8e4c08: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:251 + 8e4c0b: c0c2 movi.n a12, 2 + 8e4c0d: c031 movi.n a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:249 + 8e4c0f: 8f21 l32i.n a15, a2, 4 + 8e4c11: 8d20 l32i.n a13, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:257 + 8e4c13: 8b22 l32i.n a11, a2, 8 + 8e4c15: 1e0165 l32r a14, 4e8594 <_lit4_start+0x594> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:249 + 8e4c18: afd2 add.n a2, a13, a15 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:257 + 8e4c1a: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:251 + 8e4c1c: 0edd0c sub a13, a13, a14 + 8e4c1f: 0dc338 moveqz a3, a12, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:257 + 8e4c22: 5bffd6 call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:258 + 8e4c25: db30 mov.n a11, a3 + 8e4c27: b82a addi.n a10, a2, 8 + 8e4c29: 5bffd4 call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:259 + 8e4c2c: 2a2c1c addi a10, a2, 28 + 8e4c2f: c0b1 movi.n a11, 1 + 8e4c31: 5bffd2 call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:260 + 8e4c34: b42a addi.n a10, a2, 4 + 8e4c36: c0b1 movi.n a11, 1 + 8e4c38: 5bffd0 call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:261 + 8e4c3b: d10f retw.n + +008e4c3d <__dma_lib_rx_config+0x4d>: + 8e4c3d: 000000 ... + +008e4c40 <__dma_lib_rx_init>: +__dma_lib_rx_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:272 + 8e4c40: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:273 + 8e4c43: 6e2403 bltui a2, 4, 8e4c4a <__dma_lib_rx_init+0xa> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:274 + 8e4c46: c021 movi.n a2, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:284 + 8e4c48: d10f retw.n + +008e4c4a <__dma_lib_rx_init+0xa>: + 8e4c4a: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4c4d: 1b0163 l32r a11, 4e858c <_lit4_start+0x58c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:280 + 8e4c50: 022a09 addx2 a10, a2, a2 + 8e4c53: 2882ae l32i a8, a8, 0x2b8 + 8e4c56: 0baa0b addx8 a10, a10, a11 + 8e4c59: b8aa addi.n a10, a10, 8 + 8e4c5b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:282 + 8e4c5e: db30 mov.n a11, a3 + 8e4c60: da20 mov.n a10, a2 + 8e4c62: 5bffc9 call8 8e4b88 <__dma_set_base> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:284 + 8e4c65: c020 movi.n a2, 0 + 8e4c67: d10f retw.n + +008e4c69 <__dma_lib_rx_init+0x29>: + 8e4c69: 000000 ... + +008e4c6c <__dma_hard_xmit>: +__dma_hard_xmit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:295 + 8e4c6c: 6c1004 entry a1, 32 + 8e4c6f: db30 mov.n a11, a3 + 8e4c71: 1c0163 l32r a12, 4e858c <_lit4_start+0x58c> + 8e4c74: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:298 + 8e4c77: 022a09 addx2 a10, a2, a2 + 8e4c7a: 0caa0b addx8 a10, a10, a12 + 8e4c7d: 8ca1 l32i.n a12, a10, 4 + 8e4c7f: 89a0 l32i.n a9, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:300 + 8e4c81: 2882b1 l32i a8, a8, 0x2c4 + 8e4c84: b8aa addi.n a10, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:298 + 8e4c86: ac92 add.n a2, a9, a12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:300 + 8e4c88: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:304 + 8e4c8b: b42a addi.n a10, a2, 4 + 8e4c8d: c0b1 movi.n a11, 1 + 8e4c8f: 5bffbb call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:305 + 8e4c92: d10f retw.n + +008e4c94 <__dma_reap_xmitted>: +__dma_reap_xmitted(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:315 + 8e4c94: 6c1004 entry a1, 32 + 8e4c97: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4c9a: 1b0163 l32r a11, 4e858c <_lit4_start+0x58c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:316 + 8e4c9d: 022a09 addx2 a10, a2, a2 + 8e4ca0: 2882b5 l32i a8, a8, 0x2d4 + 8e4ca3: 0baa0b addx8 a10, a10, a11 + 8e4ca6: b8aa addi.n a10, a10, 8 + 8e4ca8: 0b8000 callx8 a8 + 8e4cab: d2a0 mov.n a2, a10 + 8e4cad: d10f retw.n + +008e4caf <__dma_reap_xmitted+0x1b>: + ... + +008e4cb0 <__dma_flush_xmit>: +__dma_flush_xmit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:326 + 8e4cb0: 6c1004 entry a1, 32 + 8e4cb3: 140163 l32r a4, 4e858c <_lit4_start+0x58c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:339 + 8e4cb6: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:330 + 8e4cb8: 022309 addx2 a3, a2, a2 + 8e4cbb: 04330b addx8 a3, a3, a4 + 8e4cbe: 8831 l32i.n a8, a3, 4 + 8e4cc0: 8430 l32i.n a4, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:332 + 8e4cc2: 8232 l32i.n a2, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:333 + 8e4cc4: 8333 l32i.n a3, a3, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:330 + 8e4cc6: a844 add.n a4, a4, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:339 + 8e4cc8: b44a addi.n a10, a4, 4 + 8e4cca: 5bffac call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:340 + 8e4ccd: da40 mov.n a10, a4 + 8e4ccf: db30 mov.n a11, a3 + 8e4cd1: 5bffaa call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:345 + 8e4cd4: 73210f beq a2, a3, 8e4ce7 <__dma_flush_xmit+0x37> + 8e4cd7: c0a0 movi.n a10, 0 + +008e4cd9 <__dma_flush_xmit+0x29>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:346 + 8e4cd9: 0c0200 memw + 8e4cdc: 2a2501 s16i a10, a2, 2 + 8e4cdf: 0c0200 memw + 8e4ce2: 8224 l32i.n a2, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:345 + 8e4ce4: 7329f1 bne a2, a3, 8e4cd9 <__dma_flush_xmit+0x29> + +008e4ce7 <__dma_flush_xmit+0x37>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:349 + 8e4ce7: d10f retw.n + +008e4ce9 <__dma_flush_xmit+0x39>: + 8e4ce9: 000000 ... + +008e4cec <__dma_xmit_done>: +__dma_xmit_done(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:360 + 8e4cec: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:361 + 8e4cef: 282cfc addi a8, a2, -4 + 8e4cf2: 6e8203 bltui a8, 2, 8e4cf9 <__dma_xmit_done+0xd> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:362 + 8e4cf5: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:364 + 8e4cf7: d10f retw.n + +008e4cf9 <__dma_xmit_done+0xd>: + 8e4cf9: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4cfc: 1b0163 l32r a11, 4e858c <_lit4_start+0x58c> + 8e4cff: 022a09 addx2 a10, a2, a2 + 8e4d02: 2882b7 l32i a8, a8, 0x2dc + 8e4d05: 0baa0b addx8 a10, a10, a11 + 8e4d08: b8aa addi.n a10, a10, 8 + 8e4d0a: 0b8000 callx8 a8 + 8e4d0d: 0a024f extui a2, a10, 0, 16 + 8e4d10: d10f retw.n + +008e4d12 <__dma_xmit_done+0x26>: + ... + +008e4d14 <__dma_reap_recv>: +__dma_reap_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:375 + 8e4d14: 6c1004 entry a1, 32 + 8e4d17: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4d1a: 1b0163 l32r a11, 4e858c <_lit4_start+0x58c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:376 + 8e4d1d: 022a09 addx2 a10, a2, a2 + 8e4d20: 2882b3 l32i a8, a8, 0x2cc + 8e4d23: 0baa0b addx8 a10, a10, a11 + 8e4d26: b8aa addi.n a10, a10, 8 + 8e4d28: 0b8000 callx8 a8 + 8e4d2b: d2a0 mov.n a2, a10 + 8e4d2d: d10f retw.n + +008e4d2f <__dma_reap_recv+0x1b>: + ... + +008e4d30 <__dma_return_recv>: +__dma_return_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:387 + 8e4d30: 6c1004 entry a1, 32 + 8e4d33: db30 mov.n a11, a3 + 8e4d35: 1c0163 l32r a12, 4e858c <_lit4_start+0x58c> + 8e4d38: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:390 + 8e4d3b: 022a09 addx2 a10, a2, a2 + 8e4d3e: 0caa0b addx8 a10, a10, a12 + 8e4d41: 8ca1 l32i.n a12, a10, 4 + 8e4d43: 89a0 l32i.n a9, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:392 + 8e4d45: 2882b4 l32i a8, a8, 0x2d0 + 8e4d48: b8aa addi.n a10, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:390 + 8e4d4a: ac92 add.n a2, a9, a12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:392 + 8e4d4c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:394 + 8e4d4f: b42a addi.n a10, a2, 4 + 8e4d51: c0b1 movi.n a11, 1 + 8e4d53: 5bff8a call8 8e4b7c <__dma_reg_write> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:395 + 8e4d56: d10f retw.n + +008e4d58 <__dma_recv_pkt>: +__dma_recv_pkt(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:405 + 8e4d58: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:406 + 8e4d5b: 6e2403 bltui a2, 4, 8e4d62 <__dma_recv_pkt+0xa> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:407 + 8e4d5e: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:409 + 8e4d60: d10f retw.n + +008e4d62 <__dma_recv_pkt+0xa>: + 8e4d62: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4d65: 1b0163 l32r a11, 4e858c <_lit4_start+0x58c> + 8e4d68: 022a09 addx2 a10, a2, a2 + 8e4d6b: 2882b7 l32i a8, a8, 0x2dc + 8e4d6e: 0baa0b addx8 a10, a10, a11 + 8e4d71: b8aa addi.n a10, a10, 8 + 8e4d73: 0b8000 callx8 a8 + 8e4d76: 0a024f extui a2, a10, 0, 16 + 8e4d79: d10f retw.n + +008e4d7b <__dma_recv_pkt+0x23>: + ... + +008e4d7c : +dma_lib_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:414 + 8e4d7c: 6c1004 entry a1, 32 + 8e4d7f: 19016d l32r a9, 4e85b4 <_lit4_start+0x5b4> + 8e4d82: 1a016c l32r a10, 4e85b0 <_lit4_start+0x5b0> + 8e4d85: 1b016b l32r a11, 4e85ac <_lit4_start+0x5ac> + 8e4d88: 1c016a l32r a12, 4e85a8 <_lit4_start+0x5a8> + 8e4d8b: 1d0169 l32r a13, 4e85a4 <_lit4_start+0x5a4> + 8e4d8e: 1e0168 l32r a14, 4e85a0 <_lit4_start+0x5a0> + 8e4d91: 1f0167 l32r a15, 4e859c <_lit4_start+0x59c> + 8e4d94: 130166 l32r a3, 4e8598 <_lit4_start+0x598> + 8e4d97: 18016e l32r a8, 4e85b8 <_lit4_start+0x5b8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:423 + 8e4d9a: 982b s32i.n a8, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:422 + 8e4d9c: 9929 s32i.n a9, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:421 + 8e4d9e: 9a2a s32i.n a10, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:420 + 8e4da0: 9b28 s32i.n a11, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:419 + 8e4da2: 9c27 s32i.n a12, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:418 + 8e4da4: 9d26 s32i.n a13, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:417 + 8e4da6: 9e23 s32i.n a14, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:415 + 8e4da8: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:416 + 8e4daa: 9f22 s32i.n a15, a2, 8 + 8e4dac: 13016f l32r a3, 4e85bc <_lit4_start+0x5bc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:424 + 8e4daf: 932c s32i.n a3, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:425 + 8e4db1: d10f retw.n + +008e4db3 : + ... + +008e4db4 <_vbuf_init>: +_vbuf_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:29 + 8e4db4: 6c1004 entry a1, 32 + 8e4db7: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:34 + 8e4dba: 286252 l32i a8, a6, 0x148 + 8e4dbd: c0a0 movi.n a10, 0 + 8e4dbf: c2b0 movi.n a11, 32 + 8e4dc1: 0b8000 callx8 a8 + 8e4dc4: 140170 l32r a4, 4e85c0 <_lit4_start+0x5c0> + 8e4dc7: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:36 + 8e4dc9: 95a0 s32i.n a5, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:35 + 8e4dcb: 95a1 s32i.n a5, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:38 + 8e4dcd: 9a40 s32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:40 + 8e4dcf: 6a2218 blti a2, 2, 8e4deb <_vbuf_init+0x37> + 8e4dd2: c031 movi.n a3, 1 + +008e4dd4 <_vbuf_init+0x20>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:43 + 8e4dd4: 286252 l32i a8, a6, 0x148 + 8e4dd7: c0a0 movi.n a10, 0 + 8e4dd9: c2b0 movi.n a11, 32 + 8e4ddb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:48 + 8e4dde: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:46 + 8e4de0: 8940 l32i.n a9, a4, 0 + 8e4de2: 99a1 s32i.n a9, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:45 + 8e4de4: 95a0 s32i.n a5, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:47 + 8e4de6: 9a40 s32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:40 + 8e4de8: 7329e8 bne a2, a3, 8e4dd4 <_vbuf_init+0x20> + +008e4deb <_vbuf_init+0x37>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:50 + 8e4deb: 9241 s32i.n a2, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:52 + 8e4ded: d10f retw.n + +008e4def <_vbuf_init+0x3b>: + ... + +008e4df0 <_vbuf_alloc_vbuf>: +_vbuf_alloc_vbuf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:56 + 8e4df0: 6c1004 entry a1, 32 + 8e4df3: 160170 l32r a6, 4e85c0 <_lit4_start+0x5c0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:59 + 8e4df6: 8260 l32i.n a2, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:65 + 8e4df8: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:62 + 8e4dfa: 8461 l32i.n a4, a6, 4 + 8e4dfc: c82a beqz.n a2, 8e4e0a <_vbuf_alloc_vbuf+0x1a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:64 + 8e4dfe: 8521 l32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:62 + 8e4e00: b044 addi.n a4, a4, -1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:64 + 8e4e02: 9560 s32i.n a5, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:62 + 8e4e04: 9461 s32i.n a4, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:65 + 8e4e06: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:68 + 8e4e08: d10f retw.n + +008e4e0a <_vbuf_alloc_vbuf+0x1a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:65 + 8e4e0a: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:68 + 8e4e0c: d10f retw.n + +008e4e0e <_vbuf_alloc_vbuf+0x1e>: + ... + +008e4e10 <_vbuf_free_vbuf>: +_vbuf_free_vbuf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:72 + 8e4e10: 6c1004 entry a1, 32 + 8e4e13: 130170 l32r a3, 4e85c0 <_lit4_start+0x5c0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:78 + 8e4e16: 8431 l32i.n a4, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:75 + 8e4e18: 8530 l32i.n a5, a3, 0 + 8e4e1a: 9521 s32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:76 + 8e4e1c: 9230 s32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:78 + 8e4e1e: b144 addi.n a4, a4, 1 + 8e4e20: 9431 s32i.n a4, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:79 + 8e4e22: d10f retw.n + +008e4e24 : +vbuf_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:84 + 8e4e24: 6c1004 entry a1, 32 + 8e4e27: 180172 l32r a8, 4e85c8 <_lit4_start+0x5c8> + 8e4e2a: 190171 l32r a9, 4e85c4 <_lit4_start+0x5c4> + 8e4e2d: 130173 l32r a3, 4e85cc <_lit4_start+0x5cc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:88 + 8e4e30: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:87 + 8e4e32: 9821 s32i.n a8, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:86 + 8e4e34: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:92 + 8e4e36: d10f retw.n + +008e4e38 <_vdesc_init>: +_vdesc_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:34 + 8e4e38: 6c1004 entry a1, 32 + 8e4e3b: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:42 + 8e4e3e: 286252 l32i a8, a6, 0x148 + 8e4e41: c0a0 movi.n a10, 0 + 8e4e43: c2b4 movi.n a11, 36 + 8e4e45: 0b8000 callx8 a8 + 8e4e48: 140174 l32r a4, 4e85d0 <_lit4_start+0x5d0> + 8e4e4b: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:44 + 8e4e4d: 25a507 s16i a5, a10, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:43 + 8e4e50: 95a0 s32i.n a5, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:47 + 8e4e52: 9a40 s32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:49 + 8e4e54: 6a2219 blti a2, 2, 8e4e71 <_vdesc_init+0x39> + 8e4e57: c031 movi.n a3, 1 + +008e4e59 <_vdesc_init+0x21>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:53 + 8e4e59: 286252 l32i a8, a6, 0x148 + 8e4e5c: c0a0 movi.n a10, 0 + 8e4e5e: c2b4 movi.n a11, 36 + 8e4e60: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:59 + 8e4e63: b133 addi.n a3, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:57 + 8e4e65: 8940 l32i.n a9, a4, 0 + 8e4e67: 99a0 s32i.n a9, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:55 + 8e4e69: 25a507 s16i a5, a10, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:58 + 8e4e6c: 9a40 s32i.n a10, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:49 + 8e4e6e: 7329e7 bne a2, a3, 8e4e59 <_vdesc_init+0x21> + +008e4e71 <_vdesc_init+0x39>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:63 + 8e4e71: d10f retw.n + +008e4e73 <_vdesc_init+0x3b>: + ... + +008e4e74 <_vdesc_alloc_desc>: +_vdesc_alloc_desc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:134 + 8e4e74: 6c1004 entry a1, 32 + 8e4e77: 150174 l32r a5, 4e85d0 <_lit4_start+0x5d0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:138 + 8e4e7a: 8250 l32i.n a2, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:144 + 8e4e7c: c030 movi.n a3, 0 + 8e4e7e: c826 beqz.n a2, 8e4e88 <_vdesc_alloc_desc+0x14> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:143 + 8e4e80: 8420 l32i.n a4, a2, 0 + 8e4e82: 9450 s32i.n a4, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:144 + 8e4e84: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:147 + 8e4e86: d10f retw.n + +008e4e88 <_vdesc_alloc_desc+0x14>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:144 + 8e4e88: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:147 + 8e4e8a: d10f retw.n + +008e4e8c <_vdesc_get_hw_desc>: +_vdesc_get_hw_desc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:161 + 8e4e8c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:163 + 8e4e8f: 222c10 addi a2, a2, 16 + 8e4e92: d10f retw.n + +008e4e94 <_vdesc_swap_vdesc>: +_vdesc_swap_vdesc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:177 + 8e4e94: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:197 + 8e4e97: 8421 l32i.n a4, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:185 + 8e4e99: 2c2105 l16ui a12, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:193 + 8e4e9c: 282107 l16ui a8, a2, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:189 + 8e4e9f: 2a2106 l16ui a10, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:198 + 8e4ea2: 8531 l32i.n a5, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:181 + 8e4ea4: 2e2104 l16ui a14, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:194 + 8e4ea7: 293107 l16ui a9, a3, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:186 + 8e4eaa: 2d3105 l16ui a13, a3, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:190 + 8e4ead: 2b3106 l16ui a11, a3, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:182 + 8e4eb0: 2f3104 l16ui a15, a3, 8 + 8e4eb3: 2f2504 s16i a15, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:183 + 8e4eb6: 2e3504 s16i a14, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:186 + 8e4eb9: 2d2505 s16i a13, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:187 + 8e4ebc: 2c3505 s16i a12, a3, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:190 + 8e4ebf: 2b2506 s16i a11, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:191 + 8e4ec2: 2a3506 s16i a10, a3, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:194 + 8e4ec5: 292507 s16i a9, a2, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:195 + 8e4ec8: 283507 s16i a8, a3, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:198 + 8e4ecb: 9521 s32i.n a5, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:199 + 8e4ecd: 9431 s32i.n a4, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:200 + 8e4ecf: d10f retw.n + +008e4ed1 <_vdesc_swap_vdesc+0x3d>: + 8e4ed1: 000000 ... + +008e4ed4 : +vdesc_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:205 + 8e4ed4: 6c1004 entry a1, 32 + 8e4ed7: 180177 l32r a8, 4e85dc <_lit4_start+0x5dc> + 8e4eda: 190176 l32r a9, 4e85d8 <_lit4_start+0x5d8> + 8e4edd: 1a0175 l32r a10, 4e85d4 <_lit4_start+0x5d4> + 8e4ee0: 130178 l32r a3, 4e85e0 <_lit4_start+0x5e0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:210 + 8e4ee3: 9323 s32i.n a3, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:209 + 8e4ee5: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:208 + 8e4ee7: 9921 s32i.n a9, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:207 + 8e4ee9: 9a20 s32i.n a10, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:216 + 8e4eeb: d10f retw.n + +008e4eed : + 8e4eed: 000000 ... + +008e4ef0 : +adf_nbuf_tailroom(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:314 + 8e4ef0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:261 + 8e4ef3: 8420 l32i.n a4, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 + 8e4ef5: 8240 l32i.n a2, a4, 0 + 8e4ef7: c825 beqz.n a2, 8e4f00 + +008e4ef9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:267 + 8e4ef9: d420 mov.n a4, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 + 8e4efb: 8220 l32i.n a2, a2, 0 + 8e4efd: 652ff8 bnez a2, 8e4ef9 + +008e4f00 : +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:315 + 8e4f00: 254105 l16ui a5, a4, 10 + 8e4f03: 224104 l16ui a2, a4, 8 + 8e4f06: 234106 l16ui a3, a4, 12 + 8e4f09: 05220c sub a2, a2, a5 + 8e4f0c: 03220c sub a2, a2, a3 + 8e4f0f: d10f retw.n + +008e4f11 : + 8e4f11: 000000 ... + +008e4f14 : +adf_nbuf_push_head(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:330 + 8e4f14: 6c1004 entry a1, 32 + 8e4f17: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:329 + 8e4f19: 8220 l32i.n a2, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:332 + 8e4f1b: 282106 l16ui a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:331 + 8e4f1e: 262105 l16ui a6, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:332 + 8e4f21: a388 add.n a8, a8, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:331 + 8e4f23: 03660c sub a6, a6, a3 + 8e4f26: 262505 s16i a6, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:332 + 8e4f29: 282506 s16i a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:333 + 8e4f2c: 245104 l16ui a4, a5, 8 + 8e4f2f: a344 add.n a4, a4, a3 + 8e4f31: 245504 s16i a4, a5, 8 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:331 + 8e4f34: 8421 l32i.n a4, a2, 4 + 8e4f36: 222105 l16ui a2, a2, 10 + 8e4f39: a422 add.n a2, a2, a4 + 8e4f3b: d10f retw.n + +008e4f3d : + 8e4f3d: 000000 ... + +008e4f40 : +adf_nbuf_put_tail(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:346 + 8e4f40: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:261 + 8e4f43: 8620 l32i.n a6, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 + 8e4f45: 8560 l32i.n a5, a6, 0 + 8e4f47: c855 beqz.n a5, 8e4f50 + +008e4f49 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:267 + 8e4f49: d650 mov.n a6, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 + 8e4f4b: 8550 l32i.n a5, a5, 0 + 8e4f4d: 655ff8 bnez a5, 8e4f49 + +008e4f50 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:354 + 8e4f50: 246106 l16ui a4, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:355 + 8e4f53: a349 add.n a9, a4, a3 + 8e4f55: 296506 s16i a9, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:356 + 8e4f58: 282104 l16ui a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:354 + 8e4f5b: 256105 l16ui a5, a6, 10 + 8e4f5e: 8761 l32i.n a7, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:356 + 8e4f60: a388 add.n a8, a8, a3 + 8e4f62: 282504 s16i a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:354 + 8e4f65: a752 add.n a2, a5, a7 + 8e4f67: a242 add.n a2, a4, a2 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:347 + 8e4f69: d10f retw.n + +008e4f6b : + ... + +008e4f6c : +adf_nbuf_pull_head(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:362 + 8e4f6c: 6c1004 entry a1, 32 + 8e4f6f: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:373 + 8e4f71: 8220 l32i.n a2, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:376 + 8e4f73: 282106 l16ui a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:375 + 8e4f76: 262105 l16ui a6, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:376 + 8e4f79: 03880c sub a8, a8, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:375 + 8e4f7c: a366 add.n a6, a6, a3 + 8e4f7e: 262505 s16i a6, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:376 + 8e4f81: 282506 s16i a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:377 + 8e4f84: 245104 l16ui a4, a5, 8 + 8e4f87: 03440c sub a4, a4, a3 + 8e4f8a: 245504 s16i a4, a5, 8 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:363 + 8e4f8d: 8421 l32i.n a4, a2, 4 + 8e4f8f: 222105 l16ui a2, a2, 10 + 8e4f92: a422 add.n a2, a2, a4 + 8e4f94: d10f retw.n + +008e4f96 : + ... + +008e4f98 : +adf_nbuf_len(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:392 + 8e4f98: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:393 + 8e4f9b: 222104 l16ui a2, a2, 8 + 8e4f9e: d10f retw.n + +008e4fa0 : +adf_nbuf_peek_header(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:437 + 8e4fa0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:245 + 8e4fa3: 8520 l32i.n a5, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:247 + 8e4fa5: 265105 l16ui a6, a5, 10 + 8e4fa8: 8751 l32i.n a7, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:248 + 8e4faa: 255106 l16ui a5, a5, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:247 + 8e4fad: a766 add.n a6, a6, a7 + 8e4faf: 9630 s32i.n a6, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:248 + 8e4fb1: 9540 s32i.n a5, a4, 0 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:439 + 8e4fb3: d10f retw.n + +008e4fb5 : + 8e4fb5: 000000 ... + +008e4fb8 : +adf_nbuf_get_priv(): +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:453 + 8e4fb8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:454 + 8e4fbb: bc22 addi.n a2, a2, 12 + 8e4fbd: d10f retw.n + +008e4fbf : + ... + +008e4fc0 : +HTC_AssembleBuffers(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:80 + 8e4fc0: 6c1004 entry a1, 32 + 8e4fc3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e4fc6: dd40 mov.n a13, a4 + 8e4fc8: dc30 mov.n a12, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:81 + 8e4fca: 28829b l32i a8, a8, 0x26c + 8e4fcd: 2a22b1 l32i a10, a2, 0x2c4 + 8e4fd0: c0b0 movi.n a11, 0 + 8e4fd2: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:82 + 8e4fd5: d10f retw.n + +008e4fd7 : + ... + +008e4fd8 <_HTC_Init>: +_HTC_Init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:87 + 8e4fd8: 6c1006 entry a1, 48 + 8e4fdb: 140018 l32r a4, 4e8060 <_lit4_start+0x60> + 8e4fde: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e4fe0: 284252 l32i a8, a4, 0x148 + 8e4fe3: c0a0 movi.n a10, 0 + 8e4fe5: 2b2acc movi a11, 0x2cc + 8e4fe8: 0b8000 callx8 a8 + 8e4feb: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:40 + 8e4fed: 8844 l32i.n a8, a4, 16 + 8e4fef: c0b0 movi.n a11, 0 + 8e4ff1: 2c2acc movi a12, 0x2cc + 8e4ff4: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:100 + 8e4ff7: 8832 l32i.n a8, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:101 + 8e4ff9: 261a8c movi a6, 0x18c + 8e4ffc: a626 add.n a6, a2, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:100 + 8e4ffe: 9820 s32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:101 + 8e5000: 8c34 l32i.n a12, a3, 16 + 8e5002: 2c26b1 s32i a12, a2, 0x2c4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:102 + 8e5005: 8a33 l32i.n a10, a3, 12 + 8e5007: 2a26b0 s32i a10, a2, 0x2c0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:107 + 8e500a: 9212 s32i.n a2, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:110 + 8e500c: 284272 l32i a8, a4, 0x1c8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:106 + 8e500f: 294286 l32i a9, a4, 0x218 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:105 + 8e5012: 2b4287 l32i a11, a4, 0x21c + 8e5015: 9b10 s32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:106 + 8e5017: 9911 s32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:110 + 8e5019: db10 mov.n a11, a1 + 8e501b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:114 + 8e501e: c4e0 movi.n a14, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:128 + 8e5020: c3a4 movi.n a10, 52 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:125 + 8e5022: c0b3 movi.n a11, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:118 + 8e5024: c0d6 movi.n a13, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:142 + 8e5026: 2f4288 l32i a15, a4, 0x220 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:114 + 8e5029: c0c0 movi.n a12, 0 + 8e502b: 2c6649 s32i a12, a6, 0x124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:118 + 8e502e: 2d26ac s32i a13, a2, 0x2b0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:128 + 8e5031: 296249 l32i a9, a6, 0x124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:122 + 8e5034: 2c664c s32i a12, a6, 0x130 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:125 + 8e5037: 2b26af s32i a11, a2, 0x2bc +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:128 + 8e503a: 0a9928 mull a9, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:144 + 8e503d: c2ac movi.n a10, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:130 + 8e503f: 0e9935 max a9, a9, a14 + 8e5042: 29664a s32i a9, a6, 0x128 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:136 + 8e5045: 8830 l32i.n a8, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:149 + 8e5047: 292a7c movi a9, 0x27c + 8e504a: a929 add.n a9, a2, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:136 + 8e504c: 286644 s32i a8, a6, 0x110 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:137 + 8e504f: 8b31 l32i.n a11, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:142 + 8e5051: 2f663d s32i a15, a6, 244 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:143 + 8e5054: 2d4289 l32i a13, a4, 0x224 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:145 + 8e5057: 2e6582 s16i a14, a6, 0x104 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:143 + 8e505a: 2d663e s32i a13, a6, 248 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:139 + 8e505d: 2c6648 s32i a12, a6, 0x120 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:144 + 8e5060: 2a6583 s16i a10, a6, 0x106 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:137 + 8e5063: 2b6647 s32i a11, a6, 0x11c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:150 + 8e5066: 2a22b0 l32i a10, a2, 0x2c0 + 8e5069: 2b2c19 addi a11, a2, 25 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:146 + 8e506c: 2226a5 s32i a2, a2, 0x294 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:150 + 8e506f: 28427c l32i a8, a4, 0x1f0 + 8e5072: 2c2c18 addi a12, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:149 + 8e5075: 9922 s32i.n a9, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:150 + 8e5077: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:155 + 8e507a: 884e l32i.n a8, a4, 56 + 8e507c: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:156 + 8e507f: 2b2019 l8ui a11, a2, 25 + 8e5082: 2e22b0 l32i a14, a2, 0x2c0 + 8e5085: 2d4275 l32i a13, a4, 0x1d4 + 8e5088: 69a209 bnei a10, 2, 8e5095 <_HTC_Init+0xbd> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:157 + 8e508b: dae0 mov.n a10, a14 + 8e508d: 8c31 l32i.n a12, a3, 4 + 8e508f: 0bd000 callx8 a13 + 8e5092: 600006 j 8e509c <_HTC_Init+0xc4> + +008e5095 <_HTC_Init+0xbd>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:159 + 8e5095: dae0 mov.n a10, a14 + 8e5097: c0c1 movi.n a12, 1 + 8e5099: 0bd000 callx8 a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:165 + 8e509c: 2526a9 s32i a5, a2, 0x2a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:169 + 8e509f: da20 mov.n a10, a2 + 8e50a1: c0b4 movi.n a11, 4 + 8e50a3: c4c0 movi.n a12, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:164 + 8e50a5: c091 movi.n a9, 1 + 8e50a7: 29269e s32i a9, a2, 0x278 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:169 + 8e50aa: 5bffc5 call8 8e4fc0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:172 + 8e50ad: 284274 l32i a8, a4, 0x1d0 + 8e50b0: 2a22b0 l32i a10, a2, 0x2c0 + 8e50b3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:174 + 8e50b6: d10f retw.n + +008e50b8 <_HTC_Shutdown>: +_HTC_Shutdown(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:178 + 8e50b8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:182 + 8e50bb: d10f retw.n + +008e50bd <_HTC_Shutdown+0x5>: + 8e50bd: 000000 ... + +008e50c0 <_HTC_RegisterService>: +_HTC_RegisterService(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:185 + 8e50c0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:189 + 8e50c3: 2422a6 l32i a4, a2, 0x298 + 8e50c6: 9430 s32i.n a4, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:190 + 8e50c8: 2326a6 s32i a3, a2, 0x298 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:191 + 8e50cb: d10f retw.n + +008e50cd <_HTC_RegisterService+0xd>: + 8e50cd: 000000 ... + +008e50d0 <_HTC_Ready>: +_HTC_Ready(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:194 + 8e50d0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:200 + 8e50d3: da20 mov.n a10, a2 + 8e50d5: 5800bc call8 8e53c8 + 8e50d8: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:206 + 8e50da: c0b8 movi.n a11, 8 + 8e50dc: 5bff98 call8 8e4f40 + 8e50df: 150018 l32r a5, 4e8060 <_lit4_start+0x60> + 8e50e2: d3a0 mov.n a3, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:208 + 8e50e4: 8854 l32i.n a8, a5, 16 + 8e50e6: c0b0 movi.n a11, 0 + 8e50e8: c0c8 movi.n a12, 8 + 8e50ea: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:217 + 8e50ed: dc40 mov.n a12, a4 + 8e50ef: da20 mov.n a10, a2 + 8e50f1: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:213 + 8e50f3: c1e6 movi.n a14, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:209 + 8e50f5: c081 movi.n a8, 1 + 8e50f7: c0f0 movi.n a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 + 8e50f9: 2922a7 l32i a9, a2, 0x29c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:212 + 8e50fc: 2d22aa l32i a13, a2, 0x2a8 + 8e50ff: 2d3403 s8i a13, a3, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 + 8e5102: 293405 s8i a9, a3, 5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:209 + 8e5105: 2f3400 s8i a15, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 + 8e5108: 098914 srli a9, a9, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:212 + 8e510b: 0d8d14 srli a13, a13, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:209 + 8e510e: 283401 s8i a8, a3, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:213 + 8e5111: 2e3406 s8i a14, a3, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:217 + 8e5114: 285284 l32i a8, a5, 0x210 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:212 + 8e5117: 2d3402 s8i a13, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 + 8e511a: 293404 s8i a9, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:217 + 8e511d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:219 + 8e5120: d10f retw.n + +008e5122 <_HTC_Ready+0x52>: + ... + +008e5124 : +ReturnBuffers(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:222 + 8e5124: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:229 + 8e5127: da40 mov.n a10, a4 + 8e5129: c0b8 movi.n a11, 8 + 8e512b: 5bff7a call8 8e4f14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:233 + 8e512e: 2a22b0 l32i a10, a2, 0x2c0 + 8e5131: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e5134: dc40 mov.n a12, a4 + 8e5136: 03360f subx8 a6, a3, a3 + 8e5139: 288277 l32i a8, a8, 0x1dc + 8e513c: 02660a addx4 a6, a6, a2 + 8e513f: 2b6019 l8ui a11, a6, 25 + 8e5142: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:236 + 8e5145: 2922a8 l32i a9, a2, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:259 + 8e5148: 2822aa l32i a8, a2, 0x2a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:236 + 8e514b: 7f974b bbci a9, 31, 8e519a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:238 + 8e514e: da40 mov.n a10, a4 + 8e5150: 5bff91 call8 8e4f98 + 8e5153: 2b22a7 l32i a11, a2, 0x29c + 8e5156: 5805ee call8 8e6910 <__udivsi3> + 8e5159: d7a0 mov.n a7, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:239 + 8e515b: da40 mov.n a10, a4 + 8e515d: 5bff8e call8 8e4f98 + 8e5160: c041 movi.n a4, 1 + 8e5162: 2b22a7 l32i a11, a2, 0x29c + 8e5165: 5805fe call8 8e6960 <__umodsi3> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:245 + 8e5168: 2c6907 l16si a12, a6, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:244 + 8e516b: 2b6902 l16si a11, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:240 + 8e516e: b17d addi.n a13, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:239 + 8e5170: 0ad739 movnez a7, a13, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:244 + 8e5173: a7bb add.n a11, a11, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:245 + 8e5175: 07cc0c sub a12, a12, a7 + 8e5178: 2c6507 s16i a12, a6, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:244 + 8e517b: 2b6502 s16i a11, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:248 + 8e517e: 2a229c l32i a10, a2, 0x270 + 8e5181: 003104 ssl a3 + 8e5184: 004b1a sll a11, a4 + 8e5187: 0aba02 or a10, a11, a10 + 8e518a: 2a269c s32i a10, a2, 0x270 + 8e518d: c85e beqz.n a5, 8e519f +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:251 + 8e518f: da20 mov.n a10, a2 + 8e5191: b46c addi.n a12, a6, 4 + 8e5193: dd30 mov.n a13, a3 + 8e5195: 580094 call8 8e53e8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:261 + 8e5198: d10f retw.n + +008e519a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:259 + 8e519a: a8ff add.n a15, a15, a8 + +008e519c : + 8e519c: 2f26aa s32i a15, a2, 0x2a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:261 + 8e519f: d10f retw.n + +008e51a1 : + 8e51a1: 000000 ... + +008e51a4 <_HTC_ReturnBuffersList>: +_HTC_ReturnBuffersList(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:264 + 8e51a4: 6c1008 entry a1, 64 + 8e51a7: 251603 s32i a5, a1, 12 + 8e51aa: 261604 s32i a6, a1, 16 + 8e51ad: 241602 s32i a4, a1, 8 + 8e51b0: c84e beqz.n a4, 8e51c2 <_HTC_ReturnBuffersList+0x1e> + +008e51b2 <_HTC_ReturnBuffersList+0xe>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:276 + 8e51b2: da20 mov.n a10, a2 + 8e51b4: db30 mov.n a11, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:273 + 8e51b6: dc40 mov.n a12, a4 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:541 + 8e51b8: 8441 l32i.n a4, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:276 + 8e51ba: c0d0 movi.n a13, 0 + 8e51bc: 5bffd9 call8 8e5124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:271 + 8e51bf: 654fef bnez a4, 8e51b2 <_HTC_ReturnBuffersList+0xe> + +008e51c2 <_HTC_ReturnBuffersList+0x1e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:279 + 8e51c2: dd30 mov.n a13, a3 + 8e51c4: da20 mov.n a10, a2 + 8e51c6: 003104 ssl a3 + 8e51c9: c0b1 movi.n a11, 1 + 8e51cb: 033c0f subx8 a12, a3, a3 + 8e51ce: 02cc0a addx4 a12, a12, a2 + 8e51d1: 00bb1a sll a11, a11 + 8e51d4: b4cc addi.n a12, a12, 4 + 8e51d6: 580084 call8 8e53e8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:280 + 8e51d9: d10f retw.n + +008e51db <_HTC_ReturnBuffersList+0x37>: + ... + +008e51dc <_HTC_ReturnBuffers>: +_HTC_ReturnBuffers(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:283 + 8e51dc: 6c1004 entry a1, 32 + 8e51df: dc40 mov.n a12, a4 + 8e51e1: db30 mov.n a11, a3 + 8e51e3: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:284 + 8e51e5: c0d1 movi.n a13, 1 + 8e51e7: 5bffcf call8 8e5124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:285 + 8e51ea: d10f retw.n + +008e51ec <_HTC_SendMsg>: +_HTC_SendMsg(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:288 + 8e51ec: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:294 + 8e51ef: da40 mov.n a10, a4 + 8e51f1: 5bff71 call8 8e4fb8 + 8e51f4: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:297 + 8e51f6: da40 mov.n a10, a4 + 8e51f8: 5bff67 call8 8e4f98 + 8e51fb: d6a0 mov.n a6, a10 + 8e51fd: 9a15 s32i.n a10, a1, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:304 + 8e51ff: c0b8 movi.n a11, 8 + 8e5201: da40 mov.n a10, a4 + 8e5203: 5bff44 call8 8e4f14 + 8e5206: 9611 s32i.n a6, a1, 4 + 8e5208: d7a0 mov.n a7, a10 + 8e520a: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e520d: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:309 + 8e520f: 235400 s8i a3, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:307 + 8e5212: c4d0 movi.n a13, 64 + 8e5214: 2c5001 l8ui a12, a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:328 + 8e5217: 033b0f subx8 a11, a3, a3 + 8e521a: 02bb0a addx4 a11, a11, a2 + 8e521d: 9b14 s32i.n a11, a1, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:307 + 8e521f: 0dcc02 or a12, a12, a13 + 8e5222: 2c5401 s8i a12, a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:315 + 8e5225: 23a400 s8i a3, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:314 + 8e5228: 29a401 s8i a9, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:328 + 8e522b: 288279 l32i a8, a8, 0x1e4 + 8e522e: 2bb018 l8ui a11, a11, 24 + 8e5231: 2a22b0 l32i a10, a2, 0x2c0 + 8e5234: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:301 + 8e5237: 8540 l32i.n a5, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:328 + 8e5239: d6a0 mov.n a6, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:329 + 8e523b: da40 mov.n a10, a4 +/root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:301 + 8e523d: 255105 l16ui a5, a5, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:329 + 8e5240: 5bff55 call8 8e4f98 + 8e5243: d3a0 mov.n a3, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:334 + 8e5245: da40 mov.n a10, a4 + 8e5247: 5bff2a call8 8e4ef0 + 8e524a: 05650c sub a5, a6, a5 + 8e524d: 03550c sub a5, a5, a3 + 8e5250: 75ab06 bgeu a10, a5, 8e525a <_HTC_SendMsg+0x6e> + 8e5253: da40 mov.n a10, a4 + 8e5255: 5bff26 call8 8e4ef0 + 8e5258: d5a0 mov.n a5, a10 + +008e525a <_HTC_SendMsg+0x6e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:335 + 8e525a: 6b5602 bgei a5, 6, 8e5260 <_HTC_SendMsg+0x74> + 8e525d: 6000bf j 8e5320 <_HTC_SendMsg+0x134> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:344 + 8e5260: 23229c l32i a3, a2, 0x270 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:393 + 8e5263: c060 movi.n a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:349 + 8e5265: 64309c beqz a3, 8e5305 <_HTC_SendMsg+0x119> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:351 + 8e5268: da40 mov.n a10, a4 + 8e526a: c0b2 movi.n a11, 2 + 8e526c: 9716 s32i.n a7, a1, 24 + 8e526e: 5bff34 call8 8e4f40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:362 + 8e5271: d720 mov.n a7, a2 + 8e5273: b42b addi.n a11, a2, 4 + 8e5275: c062 movi.n a6, 2 + 8e5277: c0d1 movi.n a13, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:351 + 8e5279: 9a12 s32i.n a10, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:355 + 8e527b: c083 movi.n a8, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:359 + 8e527d: 2c5cfe addi a12, a5, -2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:356 + 8e5280: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:362 + 8e5282: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:356 + 8e5284: 29a401 s8i a9, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:355 + 8e5287: 28a400 s8i a8, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:390 + 8e528a: 6430b2 beqz a3, 8e5340 <_HTC_SendMsg+0x154> + 8e528d: 6bc402 bgei a12, 4, 8e5293 <_HTC_SendMsg+0xa7> + 8e5290: 6000ac j 8e5340 <_HTC_SendMsg+0x154> + 8e5293: 9c17 s32i.n a12, a1, 28 + 8e5295: 9b10 s32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:366 + 8e5297: 005104 ssl a5 + 8e529a: 00de1a sll a14, a13 + 8e529d: 9e13 s32i.n a14, a1, 12 + 8e529f: 7e3057 bnone a3, a14, 8e52fa <_HTC_SendMsg+0x10e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:368 + 8e52a2: da40 mov.n a10, a4 + 8e52a4: c0b4 movi.n a11, 4 + 8e52a6: 5bff26 call8 8e4f40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:378 + 8e52a9: c080 movi.n a8, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:371 + 8e52ab: 8913 l32i.n a9, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:368 + 8e52ad: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:373 + 8e52af: 25a400 s8i a5, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:374 + 8e52b2: 2c7902 l16si a12, a7, 4 + 8e52b5: 2ca401 s8i a12, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:375 + 8e52b8: 2d710e l16ui a13, a7, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:371 + 8e52bb: c7af movi.n a10, -1 + 8e52bd: 0a9903 xor a9, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:375 + 8e52c0: adcc add.n a12, a12, a13 + 8e52c2: 0c0c4b extui a12, a12, 0, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:376 + 8e52c5: 0c8a14 srli a10, a12, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:375 + 8e52c8: 2c750e s16i a12, a7, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:376 + 8e52cb: 2cb403 s8i a12, a11, 3 + 8e52ce: 2ab402 s8i a10, a11, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:380 + 8e52d1: 2f7108 l16ui a15, a7, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:371 + 8e52d4: 093301 and a3, a3, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:378 + 8e52d7: 287502 s16i a8, a7, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:380 + 8e52da: 7df704 bbci a15, 29, 8e52e2 <_HTC_SendMsg+0xf6> + 8e52dd: 8a10 l32i.n a10, a1, 0 + 8e52df: 5800f2 call8 8e56a8 + +008e52e2 <_HTC_SendMsg+0xf6>: + 8e52e2: 8b10 l32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:382 + 8e52e4: 8f12 l32i.n a15, a1, 8 + 8e52e6: c0d1 movi.n a13, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:383 + 8e52e8: 8c17 l32i.n a12, a1, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:382 + 8e52ea: 2ef001 l8ui a14, a15, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:384 + 8e52ed: b466 addi.n a6, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:383 + 8e52ef: 2cccfc addi a12, a12, -4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:382 + 8e52f2: b4ee addi.n a14, a14, 4 + 8e52f4: 2ef401 s8i a14, a15, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:386 + 8e52f7: 6ec445 bltui a12, 4, 8e5340 <_HTC_SendMsg+0x154> + +008e52fa <_HTC_SendMsg+0x10e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:390 + 8e52fa: b155 addi.n a5, a5, 1 + 8e52fc: 277c1c addi a7, a7, 28 + 8e52ff: 2bbc1c addi a11, a11, 28 + 8e5302: 63ff84 j 8e528a <_HTC_SendMsg+0x9e> + +008e5305 <_HTC_SendMsg+0x119>: + 8e5305: 9716 s32i.n a7, a1, 24 + 8e5307: 8716 l32i.n a7, a1, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:396 + 8e5309: 6a6113 blti a6, 1, 8e5320 <_HTC_SendMsg+0x134> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:411 + 8e530c: c082 movi.n a8, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:413 + 8e530e: 8911 l32i.n a9, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:409 + 8e5310: 267404 s8i a6, a7, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:411 + 8e5313: 2f7001 l8ui a15, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:413 + 8e5316: a969 add.n a9, a6, a9 + 8e5318: 9915 s32i.n a9, a1, 20 + +008e531a <_HTC_SendMsg+0x12e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:411 + 8e531a: 08ff02 or a15, a15, a8 + 8e531d: 2f7401 s8i a15, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 + 8e5320: 8b14 l32i.n a11, a1, 16 + 8e5322: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:422 + 8e5325: 8c15 l32i.n a12, a1, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 + 8e5327: 2a22b0 l32i a10, a2, 0x2c0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:422 + 8e532a: 2c7403 s8i a12, a7, 3 + 8e532d: 0c8d14 srli a13, a12, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 + 8e5330: 288276 l32i a8, a8, 0x1d8 + 8e5333: dc40 mov.n a12, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:422 + 8e5335: 2d7402 s8i a13, a7, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 + 8e5338: 2bb018 l8ui a11, a11, 24 + 8e533b: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:424 + 8e533e: d10f retw.n + +008e5340 <_HTC_SendMsg+0x154>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:393 + 8e5340: 23269c s32i a3, a2, 0x270 + 8e5343: 63ffc0 j 8e5307 <_HTC_SendMsg+0x11b> + +008e5346 <_HTC_SendMsg+0x15a>: + ... + +008e5348 <_HTC_PauseRecv>: +_HTC_PauseRecv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:427 + 8e5348: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:435 + 8e534b: d10f retw.n + +008e534d <_HTC_PauseRecv+0x5>: + 8e534d: 000000 ... + +008e5350 <_HTC_ResumeRecv>: +_HTC_ResumeRecv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:438 + 8e5350: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:465 + 8e5353: d10f retw.n + +008e5355 <_HTC_ResumeRecv+0x5>: + 8e5355: 000000 ... + +008e5358 <_HTC_GetReservedHeadroom>: +_HTC_GetReservedHeadroom(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:468 + 8e5358: 6c1004 entry a1, 32 + 8e535b: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:471 + 8e535e: 28827a l32i a8, a8, 0x1e8 + 8e5361: 2a22b0 l32i a10, a2, 0x2c0 + 8e5364: 0b8000 callx8 a8 + 8e5367: b8a2 addi.n a2, a10, 8 + 8e5369: d10f retw.n + +008e536b <_HTC_GetReservedHeadroom+0x13>: + ... + +008e536c : +htc_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:475 + 8e536c: 6c1004 entry a1, 32 + 8e536f: 190179 l32r a9, 4e85e4 <_lit4_start+0x5e4> + 8e5372: 13017b l32r a3, 4e85ec <_lit4_start+0x5ec> + 8e5375: 1a0181 l32r a10, 4e8604 <_lit4_start+0x604> + 8e5378: 1b0180 l32r a11, 4e8600 <_lit4_start+0x600> + 8e537b: 1c017f l32r a12, 4e85fc <_lit4_start+0x5fc> + 8e537e: 1d017e l32r a13, 4e85f8 <_lit4_start+0x5f8> + 8e5381: 1e017d l32r a14, 4e85f4 <_lit4_start+0x5f4> + 8e5384: 1f017c l32r a15, 4e85f0 <_lit4_start+0x5f0> + 8e5387: 18017a l32r a8, 4e85e8 <_lit4_start+0x5e8> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:477 + 8e538a: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:484 + 8e538c: 9a28 s32i.n a10, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:483 + 8e538e: 9b27 s32i.n a11, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:482 + 8e5390: 9c21 s32i.n a12, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:481 + 8e5392: 9d26 s32i.n a13, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:480 + 8e5394: 9e22 s32i.n a14, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:479 + 8e5396: 9f23 s32i.n a15, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:476 + 8e5398: 9920 s32i.n a9, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:478 + 8e539a: 9325 s32i.n a3, a2, 20 + 8e539c: 180183 l32r a8, 4e860c <_lit4_start+0x60c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:486 + 8e539f: 982a s32i.n a8, a2, 40 + 8e53a1: 130184 l32r a3, 4e8610 <_lit4_start+0x610> + 8e53a4: 190182 l32r a9, 4e8608 <_lit4_start+0x608> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:485 + 8e53a7: 9929 s32i.n a9, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:487 + 8e53a9: 932b s32i.n a3, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:494 + 8e53ab: d10f retw.n + +008e53ad : + 8e53ad: 000000 ... + +008e53b0 : +HTCFreeMsgBuffer(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:502 + 8e53b0: 6c1004 entry a1, 32 + 8e53b3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e53b6: dc30 mov.n a12, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:503 + 8e53b8: 28829e l32i a8, a8, 0x278 + 8e53bb: 2a22b1 l32i a10, a2, 0x2c4 + 8e53be: c0b0 movi.n a11, 0 + 8e53c0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:504 + 8e53c3: d10f retw.n + +008e53c5 : + 8e53c5: 000000 ... + +008e53c8 : +HTCAllocMsgBuffer(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:509 + 8e53c8: 6c1004 entry a1, 32 + 8e53cb: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:510 + 8e53ce: 283285 l32i a8, a3, 0x214 + 8e53d1: da20 mov.n a10, a2 + 8e53d3: 0b8000 callx8 a8 + 8e53d6: dca0 mov.n a12, a10 + 8e53d8: 28329c l32i a8, a3, 0x270 + 8e53db: 2a22b1 l32i a10, a2, 0x2c4 + 8e53de: c0b0 movi.n a11, 0 + 8e53e0: 0b8000 callx8 a8 + 8e53e3: d2a0 mov.n a2, a10 + 8e53e5: d10f retw.n + +008e53e7 : + ... + +008e53e8 : +HTCCheckAndSendCreditReport(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:514 + 8e53e8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:520 + 8e53eb: 28229b l32i a8, a2, 0x26c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:525 + 8e53ee: 29229c l32i a9, a2, 0x270 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:520 + 8e53f1: 73804f bnone a8, a3, 8e5444 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:525 + 8e53f4: 73904c bnone a9, a3, 8e5444 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:530 + 8e53f7: c062 movi.n a6, 2 + 8e53f9: c941 beqz.n a4, 8e540e +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:532 + 8e53fb: 2a4904 l16si a10, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:533 + 8e53fe: 2b4900 l16si a11, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:540 + 8e5401: 8c44 l32i.n a12, a4, 16 + 8e5403: c8a1 beqz.n a10, 8e5408 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:533 + 8e5405: 7ab23b blt a11, a10, 8e5444 + +008e5408 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:540 + 8e5408: 2d22af l32i a13, a2, 0x2bc + 8e540b: 7dca08 bge a12, a13, 8e5417 + +008e540e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:553 + 8e540e: da20 mov.n a10, a2 + 8e5410: 5bffed call8 8e53c8 + 8e5413: d3a0 mov.n a3, a10 + 8e5415: cca9 bnez.n a10, 8e5422 + +008e5417 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:545 + 8e5417: 2e22a8 l32i a14, a2, 0x2a0 + 8e541a: 06ee02 or a14, a14, a6 + 8e541d: 2e26a8 s32i a14, a2, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:576 + 8e5420: d10f retw.n + +008e5422 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:562 + 8e5422: 5bfee5 call8 8e4fb8 + 8e5425: c84c beqz.n a4, 8e5435 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:565 + 8e5427: 8844 l32i.n a8, a4, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:567 + 8e5429: c2f0 movi.n a15, 32 + 8e542b: 0f5f02 or a15, a5, a15 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:565 + 8e542e: b188 addi.n a8, a8, 1 + 8e5430: 9844 s32i.n a8, a4, 16 + +008e5432 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:567 + 8e5432: 2fa401 s8i a15, a10, 1 + 8e5435: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:573 + 8e5438: dc30 mov.n a12, a3 + 8e543a: 288284 l32i a8, a8, 0x210 + 8e543d: da20 mov.n a10, a2 + 8e543f: c0b0 movi.n a11, 0 + +008e5441 : + 8e5441: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:576 + 8e5444: d10f retw.n + +008e5446 : + ... + +008e5448 : +HTCProcessConnectMsg(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:580 + 8e5448: 6c1006 entry a1, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:589 + 8e544b: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:587 + 8e544d: 263002 l8ui a6, a3, 2 + 8e5450: 283003 l8ui a8, a3, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:581 + 8e5453: 2422a6 l32i a4, a2, 0x298 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:587 + 8e5456: 086611 slli a6, a6, 8 + 8e5459: 086602 or a6, a6, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:586 + 8e545c: c080 movi.n a8, 0 + 8e545e: 9810 s32i.n a8, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:589 + 8e5460: 5bffd9 call8 8e53c8 + 8e5463: d7a0 mov.n a7, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:593 + 8e5465: c0ba movi.n a11, 10 + 8e5467: 5bfeb6 call8 8e4f40 + 8e546a: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e546d: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:595 + 8e546f: 8884 l32i.n a8, a8, 16 + 8e5471: c0b0 movi.n a11, 0 + 8e5473: c0ca movi.n a12, 10 + 8e5475: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:598 + 8e5478: 265403 s8i a6, a5, 3 + 8e547b: 068914 srli a9, a6, 8 + 8e547e: c0a0 movi.n a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:596 + 8e5480: c0b3 movi.n a11, 3 + 8e5482: 2b5401 s8i a11, a5, 1 + 8e5485: 2a5400 s8i a10, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:598 + 8e5488: 295402 s8i a9, a5, 2 + 8e548b: c946 beqz.n a4, 8e54a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:600 + 8e548d: 2b229e l32i a11, a2, 0x278 + 8e5490: c1a5 movi.n a10, 21 + +008e5492 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:602 + 8e5492: 7baa04 bge a10, a11, 8e549a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:605 + 8e5495: c0d3 movi.n a13, 3 + 8e5497: 60000c j 8e54a7 + +008e549a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:608 + 8e549a: 2c4108 l16ui a12, a4, 16 + 8e549d: 76c11a beq a12, a6, 8e54bb +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:657 + 8e54a0: 8440 l32i.n a4, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:600 + 8e54a2: 654fec bnez a4, 8e5492 + +008e54a5 : + 8e54a5: c0d1 movi.n a13, 1 + +008e54a7 : + 8e54a7: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:664 + 8e54aa: dc70 mov.n a12, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:660 + 8e54ac: 2d5404 s8i a13, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:664 + 8e54af: 288284 l32i a8, a8, 0x210 + 8e54b2: da20 mov.n a10, a2 + 8e54b4: c0b0 movi.n a11, 0 + 8e54b6: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:665 + 8e54b9: d10f retw.n + +008e54bb : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:629 + 8e54bb: da40 mov.n a10, a4 + 8e54bd: ba5e addi.n a14, a5, 10 + 8e54bf: df10 mov.n a15, a1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:616 + 8e54c1: 2d3008 l8ui a13, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:619 + 8e54c4: c090 movi.n a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:629 + 8e54c6: 8843 l32i.n a8, a4, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:619 + 8e54c8: ba3c addi.n a12, a3, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:616 + 8e54ca: 0d9c38 moveqz a12, a9, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:629 + 8e54cd: 0b8000 callx8 a8 + 8e54d0: dda0 mov.n a13, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:632 + 8e54d2: 65afd1 bnez a10, 8e54a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:635 + 8e54d5: 8a10 l32i.n a10, a1, 0 + 8e54d7: 2a5408 s8i a10, a5, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:637 + 8e54da: 29229e l32i a9, a2, 0x278 + 8e54dd: 295405 s8i a9, a5, 5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:639 + 8e54e0: 2a410a l16ui a10, a4, 20 + 8e54e3: 2a5407 s8i a10, a5, 7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:641 + 8e54e6: 09990f subx8 a9, a9, a9 + 8e54e9: 02990a addx4 a9, a9, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:639 + 8e54ec: 0a8a14 srli a10, a10, 8 + 8e54ef: 2a5406 s8i a10, a5, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:643 + 8e54f2: 2a3005 l8ui a10, a3, 5 + 8e54f5: 283004 l8ui a8, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:641 + 8e54f8: 9492 s32i.n a4, a9, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:643 + 8e54fa: 29229e l32i a9, a2, 0x278 + 8e54fd: 088811 slli a8, a8, 8 + 8e5500: 0a8802 or a8, a8, a10 + 8e5503: 09990f subx8 a9, a9, a9 + 8e5506: 02990a addx4 a9, a9, a2 + 8e5509: 289508 s16i a8, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:645 + 8e550c: 2f229e l32i a15, a2, 0x278 + 8e550f: 2e3006 l8ui a14, a3, 6 + 8e5512: 0fff0f subx8 a15, a15, a15 + 8e5515: 02ff0a addx4 a15, a15, a2 + 8e5518: 2ef418 s8i a14, a15, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:646 + 8e551b: 2c229e l32i a12, a2, 0x278 + 8e551e: 2b3007 l8ui a11, a3, 7 + 8e5521: 0ccc0f subx8 a12, a12, a12 + 8e5524: 02cc0a addx4 a12, a12, a2 + 8e5527: 2bc419 s8i a11, a12, 25 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:651 + 8e552a: 2a229e l32i a10, a2, 0x278 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:649 + 8e552d: 2b4109 l16ui a11, a4, 18 + 8e5530: c0c1 movi.n a12, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:651 + 8e5532: b1aa addi.n a10, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:649 + 8e5534: 0cbb02 or a11, a11, a12 + 8e5537: 2b4509 s16i a11, a4, 18 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:651 + 8e553a: 2a269e s32i a10, a2, 0x278 + 8e553d: 63ff66 j 8e54a7 + +008e5540 : +HTCProcessConfigPipeMsg(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:668 + 8e5540: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:674 + 8e5543: da20 mov.n a10, a2 + 8e5545: 5bffa0 call8 8e53c8 + 8e5548: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:679 + 8e554a: c0b4 movi.n a11, 4 + 8e554c: 5bfe7c call8 8e4f40 + 8e554f: 160018 l32r a6, 4e8060 <_lit4_start+0x60> + 8e5552: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:681 + 8e5554: 8864 l32i.n a8, a6, 16 + 8e5556: c0b0 movi.n a11, 0 + 8e5558: c0c4 movi.n a12, 4 + 8e555a: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:683 + 8e555d: c096 movi.n a9, 6 + 8e555f: c0a0 movi.n a10, 0 + 8e5561: 2a5400 s8i a10, a5, 0 + 8e5564: 295401 s8i a9, a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:685 + 8e5567: 2b3002 l8ui a11, a3, 2 + 8e556a: 2b5402 s8i a11, a5, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:687 + 8e556d: 286278 l32i a8, a6, 0x1e0 + 8e5570: 2a22b0 l32i a10, a2, 0x2c0 + 8e5573: 0b8000 callx8 a8 + 8e5576: cba6 beqz.n a10, 8e55b0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:688 + 8e5578: c0e0 movi.n a14, 0 + 8e557a: 2e5403 s8i a14, a5, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:694 + 8e557d: 2c3003 l8ui a12, a3, 3 + 8e5580: 2d22ab l32i a13, a2, 0x2ac + 8e5583: 2b22aa l32i a11, a2, 0x2a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:697 + 8e5586: c092 movi.n a9, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:694 + 8e5588: acdd add.n a13, a13, a12 + 8e558a: 7db21c blt a11, a13, 8e55aa +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:701 + 8e558d: 2a22b0 l32i a10, a2, 0x2c0 + 8e5590: 286275 l32i a8, a6, 0x1d4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:695 + 8e5593: 2d26ab s32i a13, a2, 0x2ac +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:701 + 8e5596: 2b3002 l8ui a11, a3, 2 + 8e5599: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:706 + 8e559c: dc40 mov.n a12, a4 + 8e559e: 286284 l32i a8, a6, 0x210 + 8e55a1: da20 mov.n a10, a2 + 8e55a3: c0b0 movi.n a11, 0 + 8e55a5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:707 + 8e55a8: d10f retw.n + +008e55aa : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:697 + 8e55aa: 295403 s8i a9, a5, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:698 + 8e55ad: 63ffeb j 8e559c + +008e55b0 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:690 + 8e55b0: c091 movi.n a9, 1 + 8e55b2: 63fff4 j 8e55aa + +008e55b5 : + 8e55b5: 000000 ... + +008e55b8 : +HTCControlSvcProcessMsg(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:711 + 8e55b8: 6c1006 entry a1, 48 + 8e55bb: c831 beqz.n a3, 8e55c0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:718 + 8e55bd: 63fffc j 8e55bd + +008e55c0 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:722 + 8e55c0: da40 mov.n a10, a4 + 8e55c2: db10 mov.n a11, a1 + 8e55c4: b41c addi.n a12, a1, 4 + 8e55c6: 5bfe76 call8 8e4fa0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:747 + 8e55c9: 8b10 l32i.n a11, a1, 0 + 8e55cb: 29b000 l8ui a9, a11, 0 + 8e55ce: 2ab001 l8ui a10, a11, 1 + 8e55d1: 089911 slli a9, a9, 8 + 8e55d4: 0a9902 or a9, a9, a10 + 8e55d7: 68920d beqi a9, 2, 8e55e8 + 8e55da: 68945b beqi a9, 4, 8e5639 + 8e55dd: 69950c bnei a9, 5, 8e55ed +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:734 + 8e55e0: da50 mov.n a10, a5 + 8e55e2: 5bffd7 call8 8e5540 + 8e55e5: 600004 j 8e55ed + +008e55e8 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:731 + 8e55e8: da50 mov.n a10, a5 + 8e55ea: 5bff97 call8 8e5448 + +008e55ed : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:747 + 8e55ed: c030 movi.n a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:749 + 8e55ef: 2a52a8 l32i a10, a5, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:747 + 8e55f2: c071 movi.n a7, 1 + 8e55f4: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:749 + 8e55f7: 7fa70e bbci a10, 31, 8e5609 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:751 + 8e55fa: dc40 mov.n a12, a4 + 8e55fc: 286282 l32i a8, a6, 0x208 + 8e55ff: da50 mov.n a10, a5 + 8e5601: c0b0 movi.n a11, 0 + 8e5603: 0b8000 callx8 a8 + 8e5606: 60001a j 8e5624 + +008e5609 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:755 + 8e5609: da40 mov.n a10, a4 + 8e560b: c0b8 movi.n a11, 8 + 8e560d: 5bfe41 call8 8e4f14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:758 + 8e5610: dc40 mov.n a12, a4 + 8e5612: 2a52b0 l32i a10, a5, 0x2c0 + 8e5615: 022b0f subx8 a11, a2, a2 + 8e5618: 286277 l32i a8, a6, 0x1dc + 8e561b: 05bb0a addx4 a11, a11, a5 + 8e561e: 2bb019 l8ui a11, a11, 25 + 8e5621: 0b8000 callx8 a8 + 8e5624: c83f beqz.n a3, 8e5637 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:763 + 8e5626: 2c52a8 l32i a12, a5, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:764 + 8e5629: 2a52a9 l32i a10, a5, 0x2a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:763 + 8e562c: 07cc02 or a12, a12, a7 + 8e562f: 2c56a8 s32i a12, a5, 0x2a0 + 8e5632: c8a1 beqz.n a10, 8e5637 + +008e5634 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:765 + 8e5634: 0ba000 callx8 a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:768 + 8e5637: d10f retw.n + +008e5639 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:743 + 8e5639: c031 movi.n a3, 1 + 8e563b: 63ffb0 j 8e55ef + +008e563e : + ... + +008e5640 : +HTCControlSvcProcessSendComplete(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:772 + 8e5640: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:777 + 8e5643: da30 mov.n a10, a3 + 8e5645: 5bfe5c call8 8e4fb8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:800 + 8e5648: 29a001 l8ui a9, a10, 1 + 8e564b: 7a970e bbci a9, 26, 8e565d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:803 + 8e564e: 090a44 extui a10, a9, 0, 5 + 8e5651: 0aaa0f subx8 a10, a10, a10 + 8e5654: 04aa0a addx4 a10, a10, a4 + 8e5657: 88a5 l32i.n a8, a10, 20 + 8e5659: b088 addi.n a8, a8, -1 + 8e565b: 98a5 s32i.n a8, a10, 20 + +008e565d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:807 + 8e565d: db30 mov.n a11, a3 + 8e565f: da40 mov.n a10, a4 + 8e5661: 5bff53 call8 8e53b0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:810 + 8e5664: 2e42a8 l32i a14, a4, 0x2a0 + 8e5667: 7ee712 bbci a14, 30, 8e567d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:815 + 8e566a: da40 mov.n a10, a4 + 8e566c: c0c0 movi.n a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:813 + 8e566e: c7bd movi.n a11, -3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:815 + 8e5670: c1d6 movi.n a13, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:813 + 8e5672: 0beb01 and a11, a14, a11 + 8e5675: 2b46a8 s32i a11, a4, 0x2a0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:815 + 8e5678: c7bf movi.n a11, -1 + 8e567a: 5bff5b call8 8e53e8 + +008e567d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:817 + 8e567d: d10f retw.n + +008e567f : + ... + +008e5680 : +HTCSendDoneHandler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:820 + 8e5680: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:825 + 8e5683: da20 mov.n a10, a2 + 8e5685: 5bfe4c call8 8e4fb8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:826 + 8e5688: 24a000 l8ui a4, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:831 + 8e568b: c0b8 movi.n a11, 8 + 8e568d: da20 mov.n a10, a2 + 8e568f: 5bfe37 call8 8e4f6c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:836 + 8e5692: 044c0f subx8 a12, a4, a4 + 8e5695: 03cc0a addx4 a12, a12, a3 + 8e5698: 8cc2 l32i.n a12, a12, 8 + 8e569a: db20 mov.n a11, a2 + 8e569c: 88c2 l32i.n a8, a12, 8 + 8e569e: da40 mov.n a10, a4 + 8e56a0: 8cc6 l32i.n a12, a12, 24 + 8e56a2: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:837 + 8e56a5: d10f retw.n + +008e56a7 : + ... + +008e56a8 : +AdjustCreditThreshold(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:897 + 8e56a8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:899 + 8e56ab: 282905 l16si a8, a2, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:915 + 8e56ae: 252106 l16ui a5, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:899 + 8e56b1: 242900 l16si a4, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:915 + 8e56b4: 050541 extui a5, a5, 0, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:899 + 8e56b7: a844 add.n a4, a4, a8 + 8e56b9: 084432 sext a4, a4, 15 + 8e56bc: c857 beqz.n a5, 8e56c7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:915 + 8e56be: 68510d beqi a5, 1, 8e56cf + 8e56c1: 695205 bnei a5, 2, 8e56ca + +008e56c4 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:912 + 8e56c4: 044409 addx2 a4, a4, a4 + 8e56c7: 042412 srai a4, a4, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:917 + 8e56ca: 242504 s16i a4, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:919 + 8e56cd: d10f retw.n + +008e56cf : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:909 + 8e56cf: 041412 srai a4, a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:910 + 8e56d2: 63fff4 j 8e56ca + +008e56d5 : + 8e56d5: 000000 ... + +008e56d8 : +RedistributeCredit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:922 + 8e56d8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:924 + 8e56db: d10f retw.n + +008e56dd : + 8e56dd: 000000 ... + +008e56e0 : +HTCMsgRecvHandler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:928 + 8e56e0: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:949 + 8e56e3: db10 mov.n a11, a1 + 8e56e5: b41c addi.n a12, a1, 4 + 8e56e7: d720 mov.n a7, a2 + 8e56e9: 023738 moveqz a7, a3, a2 + 8e56ec: da70 mov.n a10, a7 + 8e56ee: 5bfe2c call8 8e4fa0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 + 8e56f1: 8b10 l32i.n a11, a1, 0 + 8e56f3: 9714 s32i.n a7, a1, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 + 8e56f5: 8a11 l32i.n a10, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 + 8e56f7: 28b006 l8ui a8, a11, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:955 + 8e56fa: 26b000 l8ui a6, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 + 8e56fd: 2aacf8 addi a10, a10, -8 + 8e5700: 9a16 s32i.n a10, a1, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 + 8e5702: 2bb007 l8ui a11, a11, 7 + 8e5705: 088811 slli a8, a8, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:961 + 8e5708: 06650f subx8 a5, a6, a6 + 8e570b: 04550a addx4 a5, a5, a4 + 8e570e: 29510d l16ui a9, a5, 26 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 + 8e5711: 0b8802 or a8, a8, a11 + 8e5714: 9815 s32i.n a8, a1, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 + 8e5716: 2b42a7 l32i a11, a4, 0x29c + 8e5719: 9b17 s32i.n a11, a1, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:961 + 8e571b: 09880c sub a8, a8, a9 + 8e571e: 08084b extui a8, a8, 0, 12 + 8e5721: 9813 s32i.n a8, a1, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 + 8e5723: 58047b call8 8e6910 <__udivsi3> + 8e5726: d7a0 mov.n a7, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:967 + 8e5728: 8b17 l32i.n a11, a1, 28 + 8e572a: 8a16 l32i.n a10, a1, 24 + 8e572c: 58048c call8 8e6960 <__umodsi3> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:972 + 8e572f: 8b15 l32i.n a11, a1, 20 + 8e5731: 2b550d s16i a11, a5, 26 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:974 + 8e5734: 8d10 l32i.n a13, a1, 0 + 8e5736: 8c13 l32i.n a12, a1, 12 + 8e5738: 2ed001 l8ui a14, a13, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:968 + 8e573b: b179 addi.n a9, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:967 + 8e573d: 0a9739 movnez a7, a9, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:974 + 8e5740: 7de709 bbci a14, 29, 8e574d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:977 + 8e5743: 8a14 l32i.n a10, a1, 16 + 8e5745: 2bd004 l8ui a11, a13, 4 + 8e5748: 5bffe3 call8 8e56d8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1045 + 8e574b: d10f retw.n + +008e574d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:981 + 8e574d: 2f42a8 l32i a15, a4, 0x2a0 + 8e5750: c0d1 movi.n a13, 1 + 8e5752: 9212 s32i.n a2, a1, 8 + 8e5754: 7ff730 bbci a15, 31, 8e5788 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:984 + 8e5757: 006104 ssl a6 + 8e575a: 00d21a sll a2, a13 + 8e575d: 7c7b1c bgeu a7, a12, 8e577d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:987 + 8e5760: 2b5907 l16si a11, a5, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:986 + 8e5763: 295902 l16si a9, a5, 4 + 8e5766: 07ca0c sub a10, a12, a7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:987 + 8e5769: 0abb0c sub a11, a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:986 + 8e576c: aa99 add.n a9, a9, a10 + +008e576e : + 8e576e: 295502 s16i a9, a5, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:987 + 8e5771: 2b5507 s16i a11, a5, 14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:988 + 8e5774: 28429c l32i a8, a4, 0x270 + 8e5777: 082802 or a8, a2, a8 + 8e577a: 28469c s32i a8, a4, 0x270 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:991 + 8e577d: 2c5907 l16si a12, a5, 14 + 8e5780: b1cc addi.n a12, a12, 1 + 8e5782: 2c5507 s16i a12, a5, 14 + 8e5785: 600005 j 8e578e + +008e5788 : + 8e5788: 006104 ssl a6 + 8e578b: 00d21a sll a2, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:999 + 8e578e: 29429b l32i a9, a4, 0x26c + 8e5791: 7fe715 bbci a14, 31, 8e57aa +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1002 + 8e5794: 092e02 or a14, a2, a9 + 8e5797: 2e469b s32i a14, a4, 0x26c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1004 + 8e579a: 2d5108 l16ui a13, a5, 16 + 8e579d: b457 addi.n a7, a5, 4 + 8e579f: 7dd719 bbci a13, 29, 8e57bc + 8e57a2: da70 mov.n a10, a7 + 8e57a4: 5bffc0 call8 8e56a8 + 8e57a7: 600011 j 8e57bc + +008e57aa : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1008 + 8e57aa: b457 addi.n a7, a5, 4 + 8e57ac: c0f0 movi.n a15, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1007 + 8e57ae: c78f movi.n a8, -1 + +008e57b0 : + 8e57b0: 082803 xor a8, a2, a8 + 8e57b3: 089801 and a8, a9, a8 + 8e57b6: 28469b s32i a8, a4, 0x26c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1008 + 8e57b9: 2f5506 s16i a15, a5, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1013 + 8e57bc: 8a14 l32i.n a10, a1, 16 + 8e57be: c0b8 movi.n a11, 8 + 8e57c0: 5bfdea call8 8e4f6c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1037 + 8e57c3: 8d52 l32i.n a13, a5, 8 + 8e57c5: dc30 mov.n a12, a3 + 8e57c7: 8b12 l32i.n a11, a1, 8 + 8e57c9: 88d1 l32i.n a8, a13, 4 + 8e57cb: da60 mov.n a10, a6 + 8e57cd: 8dd6 l32i.n a13, a13, 24 + 8e57cf: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1044 + 8e57d2: dd60 mov.n a13, a6 + 8e57d4: dc70 mov.n a12, a7 + 8e57d6: db20 mov.n a11, a2 + 8e57d8: da40 mov.n a10, a4 + 8e57da: 5bff03 call8 8e53e8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1045 + 8e57dd: d10f retw.n + +008e57df : + ... + +008e57e0 <_DMAengine_init>: +_DMAengine_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:50 + 8e57e0: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:52 + 8e57e3: d10f retw.n + +008e57e5 <_DMAengine_init+0x5>: + 8e57e5: 000000 ... + +008e57e8 <_DMAengine_init_rx_queue>: +_DMAengine_init_rx_queue(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:55 + 8e57e8: 6c1004 entry a1, 32 + 8e57eb: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:59 + 8e57ee: 2882a6 l32i a8, a8, 0x298 + 8e57f1: 0b8000 callx8 a8 + 8e57f4: caae beqz.n a10, 8e5826 <_DMAengine_init_rx_queue+0x3e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:62 + 8e57f6: c0b0 movi.n a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:40 + 8e57f8: 0c0200 memw + 8e57fb: 2ba509 s16i a11, a10, 18 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:41 + 8e57fe: 0c0200 memw + 8e5801: 2ba508 s16i a11, a10, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:42 + 8e5804: 0c0200 memw + 8e5807: 2ba50b s16i a11, a10, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:43 + 8e580a: 0c0200 memw + 8e580d: 2ba50a s16i a11, a10, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:44 + 8e5810: 0c0200 memw + 8e5813: 9ba6 s32i.n a11, a10, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:45 + 8e5815: 0c0200 memw + 8e5818: 9ba7 s32i.n a11, a10, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:46 + 8e581a: 0c0200 memw + 8e581d: 9ba8 s32i.n a11, a10, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:64 + 8e581f: 29ac10 addi a9, a10, 16 + 8e5822: 9921 s32i.n a9, a2, 4 + 8e5824: 9920 s32i.n a9, a2, 0 + +008e5826 <_DMAengine_init_rx_queue+0x3e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:66 + 8e5826: d10f retw.n + +008e5828 <_DMAengine_init_tx_queue>: +_DMAengine_init_tx_queue(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:69 + 8e5828: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:70 + 8e582b: da20 mov.n a10, a2 + 8e582d: 5bffee call8 8e57e8 <_DMAengine_init_rx_queue> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:71 + 8e5830: c080 movi.n a8, 0 + 8e5832: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:72 + 8e5834: 9823 s32i.n a8, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:73 + 8e5836: d10f retw.n + +008e5838 : +swapData(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:78 + 8e5838: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:79 + 8e583b: 0c0200 memw + 8e583e: 262103 l16ui a6, a2, 6 + 8e5841: 062614 srli a6, a6, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:81 + 8e5844: 0c0200 memw + 8e5847: 8523 l32i.n a5, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:84 + 8e5849: 0c0200 memw + 8e584c: 242103 l16ui a4, a2, 6 + 8e584f: c033 movi.n a3, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:88 + 8e5851: 055202 or a2, a5, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:84 + 8e5854: 743002 bnone a3, a4, 8e585a +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:85 + 8e5857: 266c01 addi a6, a6, 1 + +008e585a : + 8e585a: 150185 l32r a5, 4e8614 <_lit4_start+0x614> + 8e585d: 6d6a20 loopgtz a6, 8e5881 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:89 + 8e5860: 8820 l32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:91 + 8e5862: 058a01 and a10, a8, a5 + 8e5865: 088910 slli a9, a8, 24 + 8e5868: 08aa11 slli a10, a10, 8 + 8e586b: 0a9902 or a9, a9, a10 + 8e586e: 088a57 extui a10, a8, 24, 8 + 8e5871: 088814 srli a8, a8, 8 + 8e5874: 058801 and a8, a8, a5 + 8e5877: 0a8802 or a8, a8, a10 + 8e587a: 098802 or a8, a8, a9 + 8e587d: 9820 s32i.n a8, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:92 + 8e587f: b422 addi.n a2, a2, 4 + +008e5881 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:93 + 8e5881: d10f retw.n + +008e5883 : + ... + +008e5884 <_DMAengine_return_recv_buf>: +_DMAengine_return_recv_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:98 + 8e5884: 6c1004 entry a1, 32 + 8e5887: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:102 + 8e5889: 8b30 l32i.n a11, a3, 0 + 8e588b: 580004 call8 8e589c + 8e588e: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:103 + 8e5891: 2882a3 l32i a8, a8, 0x28c + 8e5894: da30 mov.n a10, a3 + 8e5896: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:104 + 8e5899: d10f retw.n + +008e589b <_DMAengine_return_recv_buf+0x17>: + ... + +008e589c : +config_queue(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:107 + 8e589c: 6c1004 entry a1, 32 + 8e589f: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:114 + 8e58a2: 64305b beqz a3, 8e5901 + 8e58a5: c060 movi.n a6, 0 + 8e58a7: c040 movi.n a4, 0 + +008e58a9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:115 + 8e58a9: 2852a7 l32i a8, a5, 0x29c + 8e58ac: da30 mov.n a10, a3 + 8e58ae: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:40 + 8e58b1: 0c0200 memw + 8e58b4: 26a501 s16i a6, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:41 + 8e58b7: 0c0200 memw + 8e58ba: 26a500 s16i a6, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:42 + 8e58bd: 0c0200 memw + 8e58c0: 26a503 s16i a6, a10, 6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:43 + 8e58c3: 0c0200 memw + 8e58c6: 26a502 s16i a6, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:44 + 8e58c9: 0c0200 memw + 8e58cc: 96a2 s32i.n a6, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:45 + 8e58ce: 0c0200 memw + 8e58d1: 96a3 s32i.n a6, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:46 + 8e58d3: 0c0200 memw + 8e58d6: 96a4 s32i.n a6, a10, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:118 + 8e58d8: 263505 s16i a6, a3, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:119 + 8e58db: 8b31 l32i.n a11, a3, 4 + 8e58dd: 0c0200 memw + 8e58e0: 9ba3 s32i.n a11, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:120 + 8e58e2: 293104 l16ui a9, a3, 8 + 8e58e5: 0c0200 memw + 8e58e8: 29a503 s16i a9, a10, 6 + 8e58eb: cc43 bnez.n a4, 8e58f2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:123 + 8e58ed: d7a0 mov.n a7, a10 + 8e58ef: 600004 j 8e58f7 + +008e58f2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:126 + 8e58f2: 0c0200 memw + 8e58f5: 9a44 s32i.n a10, a4, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:130 + 8e58f7: 8330 l32i.n a3, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:126 + 8e58f9: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:114 + 8e58fb: 653faa bnez a3, 8e58a9 + 8e58fe: 600001 j 8e5903 + +008e5901 : + 8e5901: c040 movi.n a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:134 + 8e5903: db70 mov.n a11, a7 + 8e5905: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:133 + 8e5907: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:134 + 8e590a: 2852ba l32i a8, a5, 0x2e8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:133 + 8e590d: 9472 s32i.n a4, a7, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:134 + 8e590f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:136 + 8e5912: d10f retw.n + +008e5914 <_DMAengine_config_rx_queue>: +_DMAengine_config_rx_queue(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:143 + 8e5914: 6c1006 entry a1, 48 + 8e5917: da20 mov.n a10, a2 + 8e5919: 9311 s32i.n a3, a1, 4 + 8e591b: d230 mov.n a2, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:148 + 8e591d: 6a3141 blti a3, 1, 8e5962 <_DMAengine_config_rx_queue+0x4e> + 8e5920: c050 movi.n a5, 0 + 8e5922: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e5925: c070 movi.n a7, 0 + 8e5927: 9a10 s32i.n a10, a1, 0 + +008e5929 <_DMAengine_config_rx_queue+0x15>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:150 + 8e5929: 2832a6 l32i a8, a3, 0x298 + 8e592c: 0b8000 callx8 a8 + 8e592f: d6a0 mov.n a6, a10 + 8e5931: cca1 bnez.n a10, 8e5936 <_DMAengine_config_rx_queue+0x22> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:152 + 8e5933: 63fffc j 8e5933 <_DMAengine_config_rx_queue+0x1f> + +008e5936 <_DMAengine_config_rx_queue+0x22>: +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e5936: 283252 l32i a8, a3, 0x148 + 8e5939: c0a0 movi.n a10, 0 + 8e593b: db40 mov.n a11, a4 + 8e593d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:154 + 8e5940: 9a61 s32i.n a10, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:155 + 8e5942: 246504 s16i a4, a6, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:170 + 8e5945: b177 addi.n a7, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:156 + 8e5947: c0b0 movi.n a11, 0 + 8e5949: 9b60 s32i.n a11, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:157 + 8e594b: 2b6505 s16i a11, a6, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:158 + 8e594e: 2b6506 s16i a11, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:159 + 8e5951: 2b6507 s16i a11, a6, 14 + 8e5954: c850 beqz.n a5, 8e5958 <_DMAengine_config_rx_queue+0x44> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:167 + 8e5956: 9560 s32i.n a5, a6, 0 + +008e5958 <_DMAengine_config_rx_queue+0x44>: + 8e5958: d560 mov.n a5, a6 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:148 + 8e595a: 7729cb bne a2, a7, 8e5929 <_DMAengine_config_rx_queue+0x15> + 8e595d: 8a10 l32i.n a10, a1, 0 + 8e595f: 600001 j 8e5964 <_DMAengine_config_rx_queue+0x50> + +008e5962 <_DMAengine_config_rx_queue+0x4e>: + 8e5962: c050 movi.n a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:172 + 8e5964: db50 mov.n a11, a5 + 8e5966: 5bffcd call8 8e589c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:173 + 8e5969: d10f retw.n + +008e596b <_DMAengine_config_rx_queue+0x57>: + ... + +008e596c <_DMAengine_xmit_buf>: +_DMAengine_xmit_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:176 + 8e596c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:185 + 8e596f: 8930 l32i.n a9, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:176 + 8e5971: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:186 + 8e5973: c0c0 movi.n a12, 0 + 8e5975: 64907a beqz a9, 8e59f3 <_DMAengine_xmit_buf+0x87> + 8e5978: c0f0 movi.n a15, 0 + +008e597a <_DMAengine_xmit_buf+0xe>: + 8e597a: 222a00 movi a2, 0x200 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:188 + 8e597d: 2d9c10 addi a13, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:40 + 8e5980: 0c0200 memw + 8e5983: 2f9509 s16i a15, a9, 18 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:41 + 8e5986: 0c0200 memw + 8e5989: 2f9508 s16i a15, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:42 + 8e598c: 0c0200 memw + 8e598f: 2f950b s16i a15, a9, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:43 + 8e5992: 0c0200 memw + 8e5995: 2f950a s16i a15, a9, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:44 + 8e5998: 0c0200 memw + 8e599b: 9f96 s32i.n a15, a9, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:45 + 8e599d: 0c0200 memw + 8e59a0: 9f97 s32i.n a15, a9, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:46 + 8e59a2: 0c0200 memw + 8e59a5: 9f98 s32i.n a15, a9, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:191 + 8e59a7: 289106 l16ui a8, a9, 12 + 8e59aa: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:192 + 8e59ad: 8e91 l32i.n a14, a9, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:191 + 8e59af: 28950b s16i a8, a9, 22 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:192 + 8e59b2: 289105 l16ui a8, a9, 10 + 8e59b5: ae88 add.n a8, a8, a14 + 8e59b7: 0c0200 memw + 8e59ba: 9897 s32i.n a8, a9, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:193 + 8e59bc: 0c0200 memw + 8e59bf: 2f9508 s16i a15, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:194 + 8e59c2: 0c0200 memw + 8e59c5: 2f9509 s16i a15, a9, 18 + 8e59c8: cdcb bnez.n a12, 8e59e7 <_DMAengine_xmit_buf+0x7b> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:201 + 8e59ca: dbd0 mov.n a11, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:203 + 8e59cc: 0c0200 memw + 8e59cf: 2e9108 l16ui a14, a9, 16 + 8e59d2: 02ee02 or a14, a14, a2 + 8e59d5: 0c0200 memw + 8e59d8: 2e9508 s16i a14, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:206 + 8e59db: 2c3104 l16ui a12, a3, 8 + 8e59de: 0c0200 memw + 8e59e1: 2c950a s16i a12, a9, 20 + 8e59e4: 600004 j 8e59ec <_DMAengine_xmit_buf+0x80> + +008e59e7 <_DMAengine_xmit_buf+0x7b>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:210 + 8e59e7: 0c0200 memw + 8e59ea: 9dc4 s32i.n a13, a12, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:214 + 8e59ec: 8990 l32i.n a9, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:210 + 8e59ee: dcd0 mov.n a12, a13 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:186 + 8e59f0: 659f89 bnez a9, 8e597d <_DMAengine_xmit_buf+0x11> + +008e59f3 <_DMAengine_xmit_buf+0x87>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:217 + 8e59f3: 291a00 movi a9, 0x100 + 8e59f6: 0c0200 memw + 8e59f9: 28d100 l16ui a8, a13, 0 + 8e59fc: 098802 or a8, a8, a9 + 8e59ff: 0c0200 memw + 8e5a02: 28d500 s16i a8, a13, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:218 + 8e5a05: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:220 + 8e5a08: 8fa2 l32i.n a15, a10, 8 + 8e5a0a: 89a3 l32i.n a9, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:218 + 8e5a0c: 9db2 s32i.n a13, a11, 8 + 8e5a0e: ccf9 bnez.n a15, 8e5a1b <_DMAengine_xmit_buf+0xaf> + 8e5a10: cc97 bnez.n a9, 8e5a1b <_DMAengine_xmit_buf+0xaf> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:222 + 8e5a12: 93a3 s32i.n a3, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:221 + 8e5a14: 93a2 s32i.n a3, a10, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:223 + 8e5a16: 9331 s32i.n a3, a3, 4 + 8e5a18: 600003 j 8e5a1f <_DMAengine_xmit_buf+0xb3> + +008e5a1b <_DMAengine_xmit_buf+0xaf>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:226 + 8e5a1b: 9391 s32i.n a3, a9, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:227 + 8e5a1d: 93a3 s32i.n a3, a10, 12 + 8e5a1f: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:230 + 8e5a22: 2882bb l32i a8, a8, 0x2ec + 8e5a25: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:231 + 8e5a28: d10f retw.n + +008e5a2a <_DMAengine_xmit_buf+0xbe>: + ... + +008e5a2c <_DMAengine_flush_xmit>: +_DMAengine_flush_xmit(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:234 + 8e5a2c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:235 + 8e5a2f: d10f retw.n + +008e5a31 <_DMAengine_flush_xmit+0x5>: + 8e5a31: 000000 ... + +008e5a34 <_DMAengine_has_compl_packets>: +_DMAengine_has_compl_packets(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:238 + 8e5a34: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:242 + 8e5a37: 8520 l32i.n a5, a2, 0 + 8e5a39: 8321 l32i.n a3, a2, 4 + 8e5a3b: 75310f beq a3, a5, 8e5a4e <_DMAengine_has_compl_packets+0x1a> + 8e5a3e: 0c0200 memw + 8e5a41: 285101 l16ui a8, a5, 2 + 8e5a44: c021 movi.n a2, 1 + 8e5a46: 080841 extui a8, a8, 0, 2 + 8e5a49: 688101 beqi a8, 1, 8e5a4e <_DMAengine_has_compl_packets+0x1a> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:246 + 8e5a4c: d10f retw.n + +008e5a4e <_DMAengine_has_compl_packets+0x1a>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:242 + 8e5a4e: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:246 + 8e5a50: d10f retw.n + +008e5a52 <_DMAengine_has_compl_packets+0x1e>: + ... + +008e5a54 <_DMAengine_reap_recv_buf>: +_DMAengine_reap_recv_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:250 + 8e5a54: 6c1004 entry a1, 32 + 8e5a57: da20 mov.n a10, a2 + 8e5a59: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:256 + 8e5a5c: 2822b9 l32i a8, a2, 0x2e4 + 8e5a5f: 0b8000 callx8 a8 + 8e5a62: d3a0 mov.n a3, a10 + 8e5a64: cca3 bnez.n a10, 8e5a6b <_DMAengine_reap_recv_buf+0x17> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:259 + 8e5a66: c0a0 movi.n a10, 0 + 8e5a68: 600013 j 8e5a7f <_DMAengine_reap_recv_buf+0x2b> + +008e5a6b <_DMAengine_reap_recv_buf+0x17>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:265 + 8e5a6b: 2822a1 l32i a8, a2, 0x284 + 8e5a6e: 0b8000 callx8 a8 + 8e5a71: d2a0 mov.n a2, a10 + 8e5a73: cca1 bnez.n a10, 8e5a78 <_DMAengine_reap_recv_buf+0x24> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:266 + 8e5a75: 63fffc j 8e5a75 <_DMAengine_reap_recv_buf+0x21> + +008e5a78 <_DMAengine_reap_recv_buf+0x24>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:268 + 8e5a78: db30 mov.n a11, a3 + 8e5a7a: 58003c call8 8e5b6c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:269 + 8e5a7d: da20 mov.n a10, a2 + 8e5a7f: d2a0 mov.n a2, a10 + 8e5a81: d10f retw.n + +008e5a83 <_DMAengine_reap_recv_buf+0x2f>: + ... + +008e5a84 <_DMAengine_reap_xmited_buf>: +_DMAengine_reap_xmited_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:273 + 8e5a84: 6c1004 entry a1, 32 + 8e5a87: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:277 + 8e5a8a: 2882b9 l32i a8, a8, 0x2e4 + 8e5a8d: da20 mov.n a10, a2 + 8e5a8f: 0b8000 callx8 a8 + 8e5a92: dba0 mov.n a11, a10 + 8e5a94: cca3 bnez.n a10, 8e5a9b <_DMAengine_reap_xmited_buf+0x17> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:280 + 8e5a96: c030 movi.n a3, 0 + 8e5a98: 60001a j 8e5ab6 <_DMAengine_reap_xmited_buf+0x32> + +008e5a9b <_DMAengine_reap_xmited_buf+0x17>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:284 + 8e5a9b: 8322 l32i.n a3, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:285 + 8e5a9d: 8923 l32i.n a9, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:284 + 8e5a9f: c0c0 movi.n a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:285 + 8e5aa1: 739906 bne a9, a3, 8e5aab <_DMAengine_reap_xmited_buf+0x27> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:286 + 8e5aa4: 9c22 s32i.n a12, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:287 + 8e5aa6: 9c23 s32i.n a12, a2, 12 + 8e5aa8: 600003 j 8e5aaf <_DMAengine_reap_xmited_buf+0x2b> + +008e5aab <_DMAengine_reap_xmited_buf+0x27>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:289 + 8e5aab: 8a31 l32i.n a10, a3, 4 + 8e5aad: 9a22 s32i.n a10, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:292 + 8e5aaf: 9c31 s32i.n a12, a3, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:293 + 8e5ab1: da30 mov.n a10, a3 + 8e5ab3: 58002e call8 8e5b6c +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:294 + 8e5ab6: d230 mov.n a2, a3 + 8e5ab8: d10f retw.n + +008e5aba <_DMAengine_reap_xmited_buf+0x36>: + ... + +008e5abc <_DMAengine_desc_dump>: +_DMAengine_desc_dump(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:298 + 8e5abc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:302 + 8e5abf: 8320 l32i.n a3, a2, 0 + 8e5ac1: c040 movi.n a4, 0 + 8e5ac3: c478 movi.n a7, 72 + 8e5ac5: c563 movi.n a6, 83 + 8e5ac7: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:305 + 8e5aca: 8821 l32i.n a8, a2, 4 + 8e5acc: 738915 bne a8, a3, 8e5ae5 <_DMAengine_desc_dump+0x29> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:310 + 8e5acf: 285212 l32i a8, a5, 72 + 8e5ad2: db30 mov.n a11, a3 + 8e5ad4: 1a0186 l32r a10, 4e8618 <_lit4_start+0x618> + 8e5ad7: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:328 + 8e5ada: 285212 l32i a8, a5, 72 + 8e5add: 1a0187 l32r a10, 4e861c <_lit4_start+0x61c> + 8e5ae0: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:329 + 8e5ae3: d10f retw.n + +008e5ae5 <_DMAengine_desc_dump+0x29>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:318 + 8e5ae5: dc60 mov.n a12, a6 + 8e5ae7: 0c0200 memw + 8e5aea: 1a0188 l32r a10, 4e8620 <_lit4_start+0x620> + 8e5aed: 293101 l16ui a9, a3, 2 + 8e5af0: db30 mov.n a11, a3 + 8e5af2: 285212 l32i a8, a5, 72 + 8e5af5: 090940 extui a9, a9, 0, 1 + 8e5af8: 097c39 movnez a12, a7, a9 + 8e5afb: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:321 + 8e5afe: c0b5 movi.n a11, 5 + 8e5b00: b144 addi.n a4, a4, 1 + 8e5b02: da40 mov.n a10, a4 + 8e5b04: 580396 call8 8e6960 <__umodsi3> + 8e5b07: cca7 bnez.n a10, 8e5b12 <_DMAengine_desc_dump+0x56> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:323 + 8e5b09: 285212 l32i a8, a5, 72 + 8e5b0c: 1a0189 l32r a10, 4e8624 <_lit4_start+0x624> + +008e5b0f <_DMAengine_desc_dump+0x53>: + 8e5b0f: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:326 + 8e5b12: 0c0200 memw + 8e5b15: 8334 l32i.n a3, a3, 16 + 8e5b17: 63ffaf j 8e5aca <_DMAengine_desc_dump+0xe> + +008e5b1a <_DMAengine_desc_dump+0x5e>: + ... + +008e5b1c : +dma_engine_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:334 + 8e5b1c: 6c1004 entry a1, 32 + 8e5b1f: 19018d l32r a9, 4e8634 <_lit4_start+0x634> + 8e5b22: 13018f l32r a3, 4e863c <_lit4_start+0x63c> + 8e5b25: 1a018c l32r a10, 4e8630 <_lit4_start+0x630> + 8e5b28: 1b018b l32r a11, 4e862c <_lit4_start+0x62c> + 8e5b2b: 1c018a l32r a12, 4e8628 <_lit4_start+0x628> + 8e5b2e: 1d0192 l32r a13, 4e8648 <_lit4_start+0x648> + 8e5b31: 1e0191 l32r a14, 4e8644 <_lit4_start+0x644> + 8e5b34: 1f0190 l32r a15, 4e8640 <_lit4_start+0x640> + 8e5b37: 18018e l32r a8, 4e8638 <_lit4_start+0x638> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:340 + 8e5b3a: 9826 s32i.n a8, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:344 + 8e5b3c: 9d2a s32i.n a13, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:343 + 8e5b3e: 9e29 s32i.n a14, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:342 + 8e5b40: 9f28 s32i.n a15, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:339 + 8e5b42: 9925 s32i.n a9, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:341 + 8e5b44: 9327 s32i.n a3, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:338 + 8e5b46: 9a24 s32i.n a10, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:337 + 8e5b48: 9b23 s32i.n a11, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:336 + 8e5b4a: 9c20 s32i.n a12, a2, 0 + 8e5b4c: 180197 l32r a8, 4e865c <_lit4_start+0x65c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:349 + 8e5b4f: 982d s32i.n a8, a2, 52 + 8e5b51: 1c0193 l32r a12, 4e864c <_lit4_start+0x64c> + 8e5b54: 1b0194 l32r a11, 4e8650 <_lit4_start+0x650> + 8e5b57: 1a0195 l32r a10, 4e8654 <_lit4_start+0x654> + 8e5b5a: 130198 l32r a3, 4e8660 <_lit4_start+0x660> + 8e5b5d: 190196 l32r a9, 4e8658 <_lit4_start+0x658> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:348 + 8e5b60: 992c s32i.n a9, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:350 + 8e5b62: 932e s32i.n a3, a2, 56 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:347 + 8e5b64: 9a2b s32i.n a10, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:346 + 8e5b66: 9b22 s32i.n a11, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:345 + 8e5b68: 9c21 s32i.n a12, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:354 + 8e5b6a: d10f retw.n + +008e5b6c : +relinkUSBDescToVdesc(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:357 + 8e5b6c: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:363 + 8e5b6f: 253cf0 addi a5, a3, -16 + 8e5b72: 9520 s32i.n a5, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:364 + 8e5b74: 0c0200 memw + 8e5b77: 243102 l16ui a4, a3, 4 + 8e5b7a: 242504 s16i a4, a2, 8 + 8e5b7d: ca36 beqz.n a3, 8e5ba7 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:366 + 8e5b7f: c020 movi.n a2, 0 + +008e5b81 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:367 + 8e5b81: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:370 + 8e5b84: 253cf0 addi a5, a3, -16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:367 + 8e5b87: 293dff addmi a9, a3, 0xffffff00 + 8e5b8a: 283103 l16ui a8, a3, 6 + 8e5b8d: 28957e s16i a8, a9, 252 + 8e5b90: c820 beqz.n a2, 8e5b94 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:373 + 8e5b92: 9520 s32i.n a5, a2, 0 + +008e5b94 : + 8e5b94: d250 mov.n a2, a5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:377 + 8e5b96: 0c0200 memw + 8e5b99: 2a3100 l16ui a10, a3, 0 + 8e5b9c: 77af09 bbsi a10, 23, 8e5ba9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:382 + 8e5b9f: 0c0200 memw + 8e5ba2: 8334 l32i.n a3, a3, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:366 + 8e5ba4: 653fd9 bnez a3, 8e5b81 + +008e5ba7 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:386 + 8e5ba7: d10f retw.n + +008e5ba9 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:378 + 8e5ba9: 2c3dff addmi a12, a3, 0xffffff00 + 8e5bac: c0b0 movi.n a11, 0 + 8e5bae: 2bc63c s32i a11, a12, 240 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:386 + 8e5bb1: d10f retw.n + +008e5bb3 : + ... + +008e5bb4 : +zfDmaGetPacket(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:59 + 8e5bb4: 6c1004 entry a1, 32 + 8e5bb7: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:62 + 8e5bb9: 8220 l32i.n a2, a2, 0 + 8e5bbb: 8351 l32i.n a3, a5, 4 + 8e5bbd: 723903 bne a3, a2, 8e5bc4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:63 + 8e5bc0: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:76 + 8e5bc2: d10f retw.n + +008e5bc4 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:66 + 8e5bc4: 0c0200 memw + 8e5bc7: 282101 l16ui a8, a2, 2 + 8e5bca: 080841 extui a8, a8, 0, 2 + 8e5bcd: c88e beqz.n a8, 8e5bdf + 8e5bcf: 0c0200 memw + 8e5bd2: 292101 l16ui a9, a2, 2 + 8e5bd5: 090941 extui a9, a9, 0, 2 + 8e5bd8: 689203 beqi a9, 2, 8e5bdf +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:74 + 8e5bdb: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:76 + 8e5bdd: d10f retw.n + +008e5bdf : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:74 + 8e5bdf: 0c0200 memw + 8e5be2: 8a22 l32i.n a10, a2, 8 + 8e5be4: 0c0200 memw + 8e5be7: 8aa4 l32i.n a10, a10, 16 + 8e5be9: 9a50 s32i.n a10, a5, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:76 + 8e5beb: d10f retw.n + +008e5bed : + 8e5bed: 000000 ... + +008e5bf0 : +zfDmaReclaimPacket(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:101 + 8e5bf0: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:110 + 8e5bf3: da30 mov.n a10, a3 + 8e5bf5: c0b0 movi.n a11, 0 + 8e5bf7: c041 movi.n a4, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:113 + 8e5bf9: 0c0200 memw + 8e5bfc: 24a501 s16i a4, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:114 + 8e5bff: 0c0200 memw + 8e5c02: 2ba500 s16i a11, a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:115 + 8e5c05: 0c0200 memw + 8e5c08: 2ba502 s16i a11, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:125 + 8e5c0b: 0c0200 memw + 8e5c0e: 8832 l32i.n a8, a3, 8 + 8e5c10: 7a8966 bne a8, a10, 8e5c7a + 8e5c13: 150018 l32r a5, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:133 + 8e5c16: 0c0200 memw + 8e5c19: 8932 l32i.n a9, a3, 8 + 8e5c1b: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:137 + 8e5c1e: da10 mov.n a10, a1 + 8e5c20: db30 mov.n a11, a3 + 8e5c22: 8855 l32i.n a8, a5, 20 + 8e5c24: c1c4 movi.n a12, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:133 + 8e5c26: 9394 s32i.n a3, a9, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:137 + 8e5c28: 0b8000 callx8 a8 + 8e5c2b: 1c0199 l32r a12, 4e8664 <_lit4_start+0x664> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:140 + 8e5c2e: 0c0200 memw + 8e5c31: 2d3101 l16ui a13, a3, 2 + 8e5c34: 0cdd01 and a13, a13, a12 + 8e5c37: 0c0200 memw + 8e5c3a: 2d3501 s16i a13, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:143 + 8e5c3d: 0c0200 memw + 8e5c40: 2b1101 l16ui a11, a1, 2 + 8e5c43: 0cbb01 and a11, a11, a12 + 8e5c46: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:148 + 8e5c49: 8a21 l32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:143 + 8e5c4b: 2b1501 s16i a11, a1, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:148 + 8e5c4e: 2852a8 l32i a8, a5, 0x2a0 + 8e5c51: 2b3cf0 addi a11, a3, -16 + 8e5c54: 2aacf0 addi a10, a10, -16 + 8e5c57: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:151 + 8e5c5a: 8a21 l32i.n a10, a2, 4 + 8e5c5c: 8855 l32i.n a8, a5, 20 + 8e5c5e: db10 mov.n a11, a1 + 8e5c60: c1c4 movi.n a12, 20 + 8e5c62: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:155 + 8e5c65: 8a21 l32i.n a10, a2, 4 + 8e5c67: 0c0200 memw + 8e5c6a: 29a101 l16ui a9, a10, 2 + 8e5c6d: 049902 or a9, a9, a4 + 8e5c70: 0c0200 memw + 8e5c73: 29a501 s16i a9, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:158 + 8e5c76: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:159 + 8e5c78: d10f retw.n + +008e5c7a : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:129 + 8e5c7a: 0c0200 memw + 8e5c7d: 8aa4 l32i.n a10, a10, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:111 + 8e5c7f: 63ff76 j 8e5bf9 + +008e5c82 : + ... + +008e5c84 : +zfDmaPutPacket(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:183 + 8e5c84: 6c1008 entry a1, 64 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:192 + 8e5c87: da30 mov.n a10, a3 + 8e5c89: 140199 l32r a4, 4e8664 <_lit4_start+0x664> + 8e5c8c: c051 movi.n a5, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:196 + 8e5c8e: 0c0200 memw + 8e5c91: 29a101 l16ui a9, a10, 2 + 8e5c94: 049901 and a9, a9, a4 + 8e5c97: 059902 or a9, a9, a5 + 8e5c9a: 0c0200 memw + 8e5c9d: 29a501 s16i a9, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:199 + 8e5ca0: 0c0200 memw + 8e5ca3: 8832 l32i.n a8, a3, 8 + 8e5ca5: 7a8972 bne a8, a10, 8e5d1b +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:207 + 8e5ca8: 0c0200 memw + 8e5cab: 8b32 l32i.n a11, a3, 8 + 8e5cad: 0c0200 memw + 8e5cb0: 93b4 s32i.n a3, a11, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:210 + 8e5cb2: 0c0200 memw + 8e5cb5: 8a32 l32i.n a10, a3, 8 + 8e5cb7: 73a906 bne a10, a3, 8e5cc1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:212 + 8e5cba: 8c21 l32i.n a12, a2, 4 + 8e5cbc: 0c0200 memw + 8e5cbf: 9c32 s32i.n a12, a3, 8 + +008e5cc1 : + 8e5cc1: 160018 l32r a6, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:216 + 8e5cc4: da10 mov.n a10, a1 + 8e5cc6: 8865 l32i.n a8, a6, 20 + 8e5cc8: db30 mov.n a11, a3 + 8e5cca: c1c4 movi.n a12, 20 + 8e5ccc: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:221 + 8e5ccf: 0c0200 memw + 8e5cd2: 2c3101 l16ui a12, a3, 2 + 8e5cd5: 04cc01 and a12, a12, a4 + 8e5cd8: 0c0200 memw + 8e5cdb: 2c3501 s16i a12, a3, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:224 + 8e5cde: 0c0200 memw + 8e5ce1: 2b1101 l16ui a11, a1, 2 + 8e5ce4: 04bb01 and a11, a11, a4 + 8e5ce7: 0c0200 memw +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:229 + 8e5cea: 8a21 l32i.n a10, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:224 + 8e5cec: 2b1501 s16i a11, a1, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:229 + 8e5cef: 2862a8 l32i a8, a6, 0x2a0 + 8e5cf2: 2b3cf0 addi a11, a3, -16 + 8e5cf5: 2aacf0 addi a10, a10, -16 + 8e5cf8: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:231 + 8e5cfb: 8a21 l32i.n a10, a2, 4 + 8e5cfd: 8865 l32i.n a8, a6, 20 + 8e5cff: db10 mov.n a11, a1 + 8e5d01: c1c4 movi.n a12, 20 + 8e5d03: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:232 + 8e5d06: 8a21 l32i.n a10, a2, 4 + 8e5d08: 0c0200 memw + 8e5d0b: 29a101 l16ui a9, a10, 2 + 8e5d0e: 059902 or a9, a9, a5 + 8e5d11: 0c0200 memw + 8e5d14: 29a501 s16i a9, a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:234 + 8e5d17: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:235 + 8e5d19: d10f retw.n + +008e5d1b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:203 + 8e5d1b: 0c0200 memw + 8e5d1e: 8aa4 l32i.n a10, a10, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:193 + 8e5d20: 63ff6a j 8e5c8e + +008e5d23 : + ... + +008e5d24 : +mii_reg_write_32(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:54 + 8e5d24: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:55 + 8e5d27: 0c0200 memw + 8e5d2a: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:56 + 8e5d2d: 28823b l32i a8, a8, 236 + 8e5d30: c0a5 movi.n a10, 5 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:55 + 8e5d32: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:56 + 8e5d34: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:57 + 8e5d37: d10f retw.n + +008e5d39 : + 8e5d39: 000000 ... + +008e5d3c : +fwd_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:26 + 8e5d3c: 6c1006 entry a1, 48 + 8e5d3f: 19019c l32r a9, 4e8670 <_lit4_start+0x670> + 8e5d42: 1a019b l32r a10, 4e866c <_lit4_start+0x66c> + 8e5d45: 1b019a l32r a11, 4e8668 <_lit4_start+0x668> + 8e5d48: 120018 l32r a2, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:30 + 8e5d4b: 9b10 s32i.n a11, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:31 + 8e5d4d: 9a11 s32i.n a10, a1, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:34 + 8e5d4f: 28227a l32i a8, a2, 0x1e8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:32 + 8e5d52: 9912 s32i.n a9, a1, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:34 + 8e5d54: c0a0 movi.n a10, 0 + 8e5d56: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:38 + 8e5d59: 282272 l32i a8, a2, 0x1c8 + 8e5d5c: c0a0 movi.n a10, 0 + 8e5d5e: db10 mov.n a11, a1 + 8e5d60: 0b8000 callx8 a8 + 8e5d63: 1b019d l32r a11, 4e8674 <_lit4_start+0x674> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:40 + 8e5d66: 28227c l32i a8, a2, 0x1f0 + 8e5d69: c0a0 movi.n a10, 0 + 8e5d6b: b1bc addi.n a12, a11, 1 + 8e5d6d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:42 + 8e5d70: c020 movi.n a2, 0 + 8e5d72: d10f retw.n + +008e5d74 : +fwd_retbuf_handler(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:47 + 8e5d74: 6c1004 entry a1, 32 + 8e5d77: 180018 l32r a8, 4e8060 <_lit4_start+0x60> + 8e5d7a: 1b019c l32r a11, 4e8670 <_lit4_start+0x670> + 8e5d7d: dc20 mov.n a12, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:48 + 8e5d7f: 288277 l32i a8, a8, 0x1dc + 8e5d82: 8ab1 l32i.n a10, a11, 4 + 8e5d84: 2bb008 l8ui a11, a11, 8 + 8e5d87: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:49 + 8e5d8a: d10f retw.n + +008e5d8c : +fwd_tgt_process_last(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:53 + 8e5d8c: 6c1004 entry a1, 32 + 8e5d8f: 15019c l32r a5, 4e8670 <_lit4_start+0x670> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:63 + 8e5d92: c0a1 movi.n a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:57 + 8e5d94: b328 addi.n a8, a2, 3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:55 + 8e5d96: 255200 l32i a5, a5, 0 + 8e5d99: c921 beqz.n a2, 8e5dae +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:57 + 8e5d9b: 220a00 movi a2, 0 + 8e5d9e: 082814 srli a8, a8, 2 + 8e5da1: 6d8906 loopnez a8, 8e5dab +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:58 + 8e5da4: 8950 l32i.n a9, a5, 0 + 8e5da6: b455 addi.n a5, a5, 4 + 8e5da8: 092203 xor a2, a2, a9 + +008e5dab : + 8e5dab: 600001 j 8e5db0 + +008e5dae : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:57 + 8e5dae: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:60 + 8e5db0: 032b0c sub a11, a2, a3 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:61 + 8e5db3: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:60 + 8e5db5: 0ba239 movnez a2, a10, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:63 + 8e5db8: d10f retw.n + +008e5dba : + ... + +008e5dbc : +fwd_tgt_recv(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:70 + 8e5dbc: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:80 + 8e5dbf: 8a30 l32i.n a10, a3, 0 + 8e5dc1: 8ca1 l32i.n a12, a10, 4 + 8e5dc3: 2ba105 l16ui a11, a10, 10 + 8e5dc6: 16019c l32r a6, 4e8670 <_lit4_start+0x670> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:81 + 8e5dc9: 2ea106 l16ui a14, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:80 + 8e5dcc: acbb add.n a11, a11, a12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:84 + 8e5dce: 0c0200 memw + 8e5dd1: 2cb101 l16ui a12, a11, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:85 + 8e5dd4: 0c0200 memw + 8e5dd7: 8db1 l32i.n a13, a11, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:86 + 8e5dd9: 0c0200 memw + 8e5ddc: 24b100 l16ui a4, a11, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:87 + 8e5ddf: b8b2 addi.n a2, a11, 8 + 8e5de1: ccdb bnez.n a13, 8e5df0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:90 + 8e5de3: 0c0200 memw + 8e5de6: 8920 l32i.n a9, a2, 0 + 8e5de8: 9960 s32i.n a9, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:91 + 8e5dea: b422 addi.n a2, a2, 4 + 8e5dec: 600002 j 8e5df2 + +008e5def : + ... + +008e5df0 : + 8e5df0: 8960 l32i.n a9, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:97 + 8e5df2: 2fccfc addi a15, a12, -4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:94 + 8e5df5: a9d9 add.n a9, a13, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:96 + 8e5df7: 04fc38 moveqz a12, a15, a4 + 8e5dfa: c9c6 beqz.n a12, 8e5e14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:100 + 8e5dfc: b3c8 addi.n a8, a12, 3 + 8e5dfe: 082814 srli a8, a8, 2 + 8e5e01: 6d890d loopnez a8, 8e5e12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:101 + 8e5e04: 0c0200 memw + 8e5e07: 8a20 l32i.n a10, a2, 0 + 8e5e09: 0c0200 memw + 8e5e0c: 9a90 s32i.n a10, a9, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:102 + 8e5e0e: b422 addi.n a2, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:103 + 8e5e10: b499 addi.n a9, a9, 4 + +008e5e12 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:100 + 8e5e12: 8a30 l32i.n a10, a3, 0 + +008e5e14 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:107 + 8e5e14: 89a0 l32i.n a9, a10, 0 + 8e5e16: c895 beqz.n a9, 8e5e1f + +008e5e18 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:108 + 8e5e18: da90 mov.n a10, a9 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:107 + 8e5e1a: 8990 l32i.n a9, a9, 0 + 8e5e1c: 659ff8 bnez a9, 8e5e18 + +008e5e1f : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:109 + 8e5e1f: 27a106 l16ui a7, a10, 12 + 8e5e22: 0e770c sub a7, a7, a14 + 8e5e25: 07074f extui a7, a7, 0, 16 + 8e5e28: 27a506 s16i a7, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:110 + 8e5e2b: 283104 l16ui a8, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:112 + 8e5e2e: 89a1 l32i.n a9, a10, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:113 + 8e5e30: b875 addi.n a5, a7, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:110 + 8e5e32: 0e880c sub a8, a8, a14 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:114 + 8e5e35: b88f addi.n a15, a8, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:110 + 8e5e37: 283504 s16i a8, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:113 + 8e5e3a: 25a506 s16i a5, a10, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:112 + 8e5e3d: 28a105 l16ui a8, a10, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:114 + 8e5e40: 2f3504 s16i a15, a3, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:112 + 8e5e43: a988 add.n a8, a8, a9 + 8e5e45: a877 add.n a7, a7, a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:116 + 8e5e47: 0c0200 memw + 8e5e4a: 8fb1 l32i.n a15, a11, 4 + 8e5e4c: 0c0200 memw + 8e5e4f: 9f71 s32i.n a15, a7, 4 + 8e5e51: ca48 beqz.n a4, 8e5e7d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:119 + 8e5e53: c081 movi.n a8, 1 + 8e5e55: 0c0200 memw + 8e5e58: 9870 s32i.n a8, a7, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:120 + 8e5e5a: 600006 j 8e5e64 + +008e5e5d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:132 + 8e5e5d: c093 movi.n a9, 3 + 8e5e5f: 0c0200 memw + 8e5e62: 9970 s32i.n a9, a7, 0 + 8e5e64: 180018 l32r a8, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:136 + 8e5e67: dc30 mov.n a12, a3 + 8e5e69: 288276 l32i a8, a8, 0x1d8 + 8e5e6c: 8a61 l32i.n a10, a6, 4 + 8e5e6e: 2b6009 l8ui a11, a6, 9 + 8e5e71: 0b8000 callx8 a8 + 8e5e74: cc43 bnez.n a4, 8e5e7b + 8e5e76: cc51 bnez.n a5, 8e5e7b + +008e5e78 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:140 + 8e5e78: 0b2000 callx8 a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:142 + 8e5e7b: d10f retw.n + +008e5e7d : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:123 + 8e5e7d: adca add.n a10, a12, a13 + 8e5e7f: 0c0200 memw + 8e5e82: 8b20 l32i.n a11, a2, 0 + 8e5e84: 5bffc1 call8 8e5d8c + 8e5e87: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:127 + 8e5e89: 0c0200 memw + 8e5e8c: 8221 l32i.n a2, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:129 + 8e5e8e: 65afcb bnez a10, 8e5e5d +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:130 + 8e5e91: c092 movi.n a9, 2 + 8e5e93: 0c0200 memw + 8e5e96: 9970 s32i.n a9, a7, 0 + 8e5e98: 63ffc8 j 8e5e64 + +008e5e9b : + ... + +008e5e9c : +magpie_mdio_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:146 + 8e5e9c: 6c1004 entry a1, 32 + 8e5e9f: c080 movi.n a8, 0 + 8e5ea1: 13019e l32r a3, 4e8678 <_lit4_start+0x678> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:148 + 8e5ea4: 9320 s32i.n a3, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:149 + 8e5ea6: 9824 s32i.n a8, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:150 + 8e5ea8: 9825 s32i.n a8, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:151 + 8e5eaa: 982b s32i.n a8, a2, 44 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:152 + 8e5eac: 9826 s32i.n a8, a2, 24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:153 + 8e5eae: 9827 s32i.n a8, a2, 28 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:154 + 8e5eb0: 9828 s32i.n a8, a2, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:155 + 8e5eb2: 9829 s32i.n a8, a2, 36 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:156 + 8e5eb4: 9822 s32i.n a8, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:157 + 8e5eb6: 9821 s32i.n a8, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:158 + 8e5eb8: 982a s32i.n a8, a2, 40 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:159 + 8e5eba: 982c s32i.n a8, a2, 48 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:160 + 8e5ebc: d10f retw.n + +008e5ebe : + ... + +008e5ec0 : +magpie_mdio_boot_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:164 + 8e5ec0: 6c1004 entry a1, 32 + 8e5ec3: 130018 l32r a3, 4e8060 <_lit4_start+0x60> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:167 + 8e5ec6: 283212 l32i a8, a3, 72 + 8e5ec9: 1a019f l32r a10, 4e867c <_lit4_start+0x67c> + 8e5ecc: 0b8000 callx8 a8 + 8e5ecf: 120037 l32r a2, 4e80dc <_lit4_start+0xdc> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:169 + 8e5ed2: 0c0200 memw + 8e5ed5: 2b2284 l32i a11, a2, 0x210 + 8e5ed8: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:171 + 8e5edb: 2c2a00 movi a12, 0x200 + 8e5ede: 0cbb02 or a11, a11, a12 + 8e5ee1: 0b0b4f extui a11, a11, 0, 16 + 8e5ee4: 5bff8f call8 8e5d24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:173 + 8e5ee7: 28323b l32i a8, a3, 236 + 8e5eea: 2a3ae8 movi a10, 0x3e8 + 8e5eed: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:176 + 8e5ef0: 0c0200 memw + 8e5ef3: 2b2284 l32i a11, a2, 0x210 + 8e5ef6: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:178 + 8e5ef9: 2cdaff movi a12, 0xfffffdff + 8e5efc: 0cbb01 and a11, a11, a12 + 8e5eff: 0b0b4f extui a11, a11, 0, 16 + 8e5f02: 5bff88 call8 8e5d24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:181 + 8e5f05: 0c0200 memw + 8e5f08: 1c01a0 l32r a12, 4e8680 <_lit4_start+0x680> + 8e5f0b: 2b2284 l32i a11, a2, 0x210 + 8e5f0e: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:183 + 8e5f11: 0cbb02 or a11, a11, a12 + 8e5f14: 0b0b4f extui a11, a11, 0, 16 + 8e5f17: 5bff83 call8 8e5d24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:184 + 8e5f1a: 28323b l32i a8, a3, 236 + 8e5f1d: 2a3ae8 movi a10, 0x3e8 + 8e5f20: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:187 + 8e5f23: 0c0200 memw + 8e5f26: 1c01a1 l32r a12, 4e8684 <_lit4_start+0x684> + 8e5f29: 2b2284 l32i a11, a2, 0x210 + 8e5f2c: 1a00e4 l32r a10, 4e8390 <_lit4_start+0x390> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:189 + 8e5f2f: 0cbb01 and a11, a11, a12 + 8e5f32: 0b0b4f extui a11, a11, 0, 16 + 8e5f35: 5bff7b call8 8e5d24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:190 + 8e5f38: 28323b l32i a8, a3, 236 + 8e5f3b: 2a3ae8 movi a10, 0x3e8 + 8e5f3e: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:193 + 8e5f41: 0c0200 memw + 8e5f44: 2b2286 l32i a11, a2, 0x218 + 8e5f47: 1a00e2 l32r a10, 4e8388 <_lit4_start+0x388> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:195 + 8e5f4a: c0c1 movi.n a12, 1 + 8e5f4c: 0cbb02 or a11, a11, a12 + 8e5f4f: 0b0b4f extui a11, a11, 0, 16 + 8e5f52: 5bff74 call8 8e5d24 + 8e5f55: 1a01a2 l32r a10, 4e8688 <_lit4_start+0x688> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:197 + 8e5f58: c1b5 movi.n a11, 21 + 8e5f5a: 5bff72 call8 8e5d24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:198 + 8e5f5d: 28323b l32i a8, a3, 236 + 8e5f60: 2a3ae8 movi a10, 0x3e8 + 8e5f63: 0b8000 callx8 a8 + 8e5f66: 1a01a3 l32r a10, 4e868c <_lit4_start+0x68c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:200 + 8e5f69: c0b5 movi.n a11, 5 + 8e5f6b: 5bff6e call8 8e5d24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:201 + 8e5f6e: 28323b l32i a8, a3, 236 + 8e5f71: 2a3ae8 movi a10, 0x3e8 + 8e5f74: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:202 + 8e5f77: 283212 l32i a8, a3, 72 + 8e5f7a: 1a01a4 l32r a10, 4e8690 <_lit4_start+0x690> + 8e5f7d: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:203 + 8e5f80: d10f retw.n + +008e5f82 : + ... + +008e5f84 : +magpie_mdio_wait_for_lock(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:207 + 8e5f84: 6c1006 entry a1, 48 + 8e5f87: 1301a5 l32r a3, 4e8694 <_lit4_start+0x694> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:210 + 8e5f8a: 0c0200 memw + 8e5f8d: 8830 l32i.n a8, a3, 0 + 8e5f8f: 0c0200 memw + 8e5f92: 281500 s16i a8, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:211 + 8e5f95: 0c0200 memw + 8e5f98: 221100 l16ui a2, a1, 0 + 8e5f9b: 7f2f13 bbsi a2, 31, 8e5fb2 + +008e5f9e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:212 + 8e5f9e: 0c0200 memw + 8e5fa1: 8a30 l32i.n a10, a3, 0 + 8e5fa3: 0c0200 memw + 8e5fa6: 2a1500 s16i a10, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:211 + 8e5fa9: 0c0200 memw + 8e5fac: 291100 l16ui a9, a1, 0 + 8e5faf: 7f97eb bbci a9, 31, 8e5f9e + +008e5fb2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:215 + 8e5fb2: 0c0200 memw + 8e5fb5: 221100 l16ui a2, a1, 0 + 8e5fb8: 028247 extui a2, a2, 8, 8 + 8e5fbb: d10f retw.n + +008e5fbd : + 8e5fbd: 000000 ... + +008e5fc0 : +magpie_mdio_release_lock(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:221 + 8e5fc0: 6c1004 entry a1, 32 + 8e5fc3: 1a01a5 l32r a10, 4e8694 <_lit4_start+0x694> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:222 + 8e5fc6: c0c2 movi.n a12, 2 + 8e5fc8: 082b11 slli a11, a2, 8 + 8e5fcb: 0cbb02 or a11, a11, a12 + 8e5fce: 0b0b4f extui a11, a11, 0, 16 + 8e5fd1: 5bff54 call8 8e5d24 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:223 + 8e5fd4: d10f retw.n + +008e5fd6 : + ... + +008e5fd8 : +mdio_read_block(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:227 + 8e5fd8: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:231 + 8e5fdb: 6a313a blti a3, 1, 8e6019 + 8e5fde: 030940 extui a9, a3, 0, 1 + 8e5fe1: b136 addi.n a6, a3, 1 + 8e5fe3: b234 addi.n a4, a3, 2 + 8e5fe5: b038 addi.n a8, a3, -1 + 8e5fe7: 06643b movgez a4, a6, a6 + 8e5fea: 1601a6 l32r a6, 4e8698 <_lit4_start+0x698> + 8e5fed: 041412 srai a4, a4, 1 + 8e5ff0: c030 movi.n a3, 0 + 8e5ff2: 6d4a23 loopgtz a4, 8e6019 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:232 + 8e5ff5: 0c0200 memw + 8e5ff8: 8760 l32i.n a7, a6, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:238 + 8e5ffa: a23a add.n a10, a3, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:242 + 8e5ffc: b466 addi.n a6, a6, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:232 + 8e5ffe: 07074f extui a7, a7, 0, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:234 + 8e6001: 738901 bne a8, a3, 8e6006 + 8e6004: cc9a bnez.n a9, 8e6012 + +008e6006 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:239 + 8e6006: 27a401 s8i a7, a10, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:238 + 8e6009: 078b14 srli a11, a7, 8 + 8e600c: 2ba400 s8i a11, a10, 0 + 8e600f: 600004 j 8e6017 + +008e6012 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:236 + 8e6012: a23c add.n a12, a3, a2 + 8e6014: 27c400 s8i a7, a12, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:241 + 8e6017: b233 addi.n a3, a3, 2 + +008e6019 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:244 + 8e6019: c020 movi.n a2, 0 + 8e601b: d10f retw.n + +008e601d : + 8e601d: 000000 ... + +008e6020 : +magpie_mdio_copy_bytes(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:249 + 8e6020: 6c1004 entry a1, 32 + 8e6023: 160018 l32r a6, 4e8060 <_lit4_start+0x60> + 8e6026: 1a01a7 l32r a10, 4e869c <_lit4_start+0x69c> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:253 + 8e6029: 286212 l32i a8, a6, 72 + 8e602c: db20 mov.n a11, a2 + 8e602e: dc30 mov.n a12, a3 + 8e6030: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:254 + 8e6033: 6a3117 blti a3, 1, 8e604e + 8e6036: c040 movi.n a4, 0 + +008e6038 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:255 + 8e6038: 5bffd2 call8 8e5f84 + 8e603b: d5a0 mov.n a5, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:257 + 8e603d: a24a add.n a10, a4, a2 + 8e603f: db50 mov.n a11, a5 + 8e6041: 5bffe5 call8 8e5fd8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:258 + 8e6044: a454 add.n a4, a5, a4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:259 + 8e6046: c0a0 movi.n a10, 0 + 8e6048: 5bffdd call8 8e5fc0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:254 + 8e604b: 7342e9 blt a4, a3, 8e6038 + +008e604e : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:261 + 8e604e: dc30 mov.n a12, a3 + 8e6050: 286212 l32i a8, a6, 72 + 8e6053: db20 mov.n a11, a2 + 8e6055: 1a01a8 l32r a10, 4e86a0 <_lit4_start+0x6a0> + 8e6058: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:262 + 8e605b: d10f retw.n + +008e605d : + 8e605d: 000000 ... + +008e6060 : +fw_compute_cksum(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:266 + 8e6060: 6c1004 entry a1, 32 + 8e6063: d520 mov.n a5, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:270 + 8e6065: 6a3112 blti a3, 1, 8e607b + 8e6068: c76f movi.n a6, -1 + 8e606a: 220a00 movi a2, 0 + 8e606d: 6d3a08 loopgtz a3, 8e6079 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:271 + 8e6070: 8450 l32i.n a4, a5, 0 + 8e6072: b455 addi.n a5, a5, 4 + 8e6074: 064403 xor a4, a4, a6 + 8e6077: a422 add.n a2, a2, a4 + +008e6079 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:273 + 8e6079: d10f retw.n + +008e607b : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:270 + 8e607b: c020 movi.n a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:273 + 8e607d: d10f retw.n + +008e607f : + ... + +008e6080 : +mdio_get_fw_image(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:282 + 8e6080: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:285 + 8e6083: 5bffc0 call8 8e5f84 + 8e6086: 130018 l32r a3, 4e8060 <_lit4_start+0x60> + 8e6089: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:286 + 8e608b: 283212 l32i a8, a3, 72 + 8e608e: 1a01a9 l32r a10, 4e86a4 <_lit4_start+0x6a4> + 8e6091: db40 mov.n a11, a4 + 8e6093: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:288 + 8e6096: db40 mov.n a11, a4 + 8e6098: da20 mov.n a10, a2 + 8e609a: 5bffcf call8 8e5fd8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:289 + 8e609d: 283212 l32i a8, a3, 72 + 8e60a0: 1a01aa l32r a10, 4e86a8 <_lit4_start+0x6a8> + 8e60a3: 8b22 l32i.n a11, a2, 8 + 8e60a5: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:291 + 8e60a8: c0a0 movi.n a10, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:290 + 8e60aa: 8920 l32i.n a9, a2, 0 + 8e60ac: 9925 s32i.n a9, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:291 + 8e60ae: 5bffc4 call8 8e5fc0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:294 + 8e60b1: 5bffb4 call8 8e5f84 + 8e60b4: dba0 mov.n a11, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:295 + 8e60b6: bc2a addi.n a10, a2, 12 + 8e60b8: 5bffc7 call8 8e5fd8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:296 + 8e60bb: 283212 l32i a8, a3, 72 + 8e60be: 1a01ab l32r a10, 4e86ac <_lit4_start+0x6ac> + 8e60c1: 8b23 l32i.n a11, a2, 12 + 8e60c3: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:297 + 8e60c6: c0a0 movi.n a10, 0 + 8e60c8: 5bffbd call8 8e5fc0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:299 + 8e60cb: 8924 l32i.n a9, a2, 16 + 8e60cd: 659053 bnez a9, 8e6124 + 8e60d0: c040 movi.n a4, 0 + +008e60d2 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:301 + 8e60d2: 8a20 l32i.n a10, a2, 0 + 8e60d4: 8b21 l32i.n a11, a2, 4 + 8e60d6: 5bffd2 call8 8e6020 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:303 + 8e60d9: 5bffaa call8 8e5f84 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:309 + 8e60dc: 8b21 l32i.n a11, a2, 4 + 8e60de: 8a20 l32i.n a10, a2, 0 + 8e60e0: 0b2b14 srli a11, a11, 2 + 8e60e3: 5bffdf call8 8e6060 + 8e60e6: 8c23 l32i.n a12, a2, 12 + 8e60e8: 7ac117 beq a12, a10, 8e6103 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:310 + 8e60eb: 283212 l32i a8, a3, 72 + 8e60ee: 1a01ac l32r a10, 4e86b0 <_lit4_start+0x6b0> + 8e60f1: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:311 + 8e60f4: 9424 s32i.n a4, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:312 + 8e60f6: c0a1 movi.n a10, 1 + 8e60f8: 5bffb1 call8 8e5fc0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:299 + 8e60fb: 8924 l32i.n a9, a2, 16 + 8e60fd: 649fd1 beqz a9, 8e60d2 + 8e6100: 600020 j 8e6124 + +008e6103 : +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:315 + 8e6103: 283212 l32i a8, a3, 72 + 8e6106: 1a01ad l32r a10, 4e86b4 <_lit4_start+0x6b4> + 8e6109: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:317 + 8e610c: c0a2 movi.n a10, 2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:316 + 8e610e: c091 movi.n a9, 1 + 8e6110: 9924 s32i.n a9, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:317 + 8e6112: 5bffab call8 8e5fc0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:320 + 8e6115: 5bff9b call8 8e5f84 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:321 + 8e6118: 7da708 bbci a10, 29, 8e6124 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:322 + 8e611b: 283212 l32i a8, a3, 72 + 8e611e: 1a01ae l32r a10, 4e86b8 <_lit4_start+0x6b8> + +008e6121 : + 8e6121: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:328 + 8e6124: c020 movi.n a2, 0 + 8e6126: d10f retw.n + +008e6128 : +buf_pool_module_install(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:34 + 8e6128: 6c1004 entry a1, 32 + 8e612b: 1801b3 l32r a8, 4e86cc <_lit4_start+0x6cc> + 8e612e: 1901b2 l32r a9, 4e86c8 <_lit4_start+0x6c8> + 8e6131: 1a01b1 l32r a10, 4e86c4 <_lit4_start+0x6c4> + 8e6134: 1b01b0 l32r a11, 4e86c0 <_lit4_start+0x6c0> + 8e6137: 1c01af l32r a12, 4e86bc <_lit4_start+0x6bc> + 8e613a: 1301b4 l32r a3, 4e86d0 <_lit4_start+0x6d0> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:40 + 8e613d: 9321 s32i.n a3, a2, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:39 + 8e613f: 9825 s32i.n a8, a2, 20 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:38 + 8e6141: 9924 s32i.n a9, a2, 16 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:37 + 8e6143: 9a23 s32i.n a10, a2, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:36 + 8e6145: 9b22 s32i.n a11, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:35 + 8e6147: 9c20 s32i.n a12, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:41 + 8e6149: d10f retw.n + +008e614b : + ... + +008e614c <_buf_pool_static_init>: +_buf_pool_static_init(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:44 + 8e614c: 6c1004 entry a1, 32 + 8e614f: 1201b5 l32r a2, 4e86d4 <_lit4_start+0x6d4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:48 + 8e6152: c050 movi.n a5, 0 + 8e6154: c03a movi.n a3, 10 + 8e6156: 022402 or a4, a2, a2 + 8e6159: 6d3a03 loopgtz a3, 8e6160 <_buf_pool_static_init+0x14> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:49 + 8e615c: 9540 s32i.n a5, a4, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:50 + 8e615e: b444 addi.n a4, a4, 4 + +008e6160 <_buf_pool_static_init+0x14>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:52 + 8e6160: d10f retw.n + +008e6162 <_buf_pool_static_init+0x16>: + ... + +008e6164 <_buf_pool_static_shutdown>: +_buf_pool_static_shutdown(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:65 + 8e6164: 6c1004 entry a1, 32 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:67 + 8e6167: d10f retw.n + +008e6169 <_buf_pool_static_shutdown+0x5>: + 8e6169: 000000 ... + +008e616c <_buf_pool_static_create_pool>: +_buf_pool_static_create_pool(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:70 + 8e616c: 6c1006 entry a1, 48 + 8e616f: 9410 s32i.n a4, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:77 + 8e6171: 6a414a blti a4, 1, 8e61bf <_buf_pool_static_create_pool+0x53> + 8e6174: 1b01b5 l32r a11, 4e86d4 <_lit4_start+0x6d4> + 8e6177: 160018 l32r a6, 4e8060 <_lit4_start+0x60> + 8e617a: c070 movi.n a7, 0 + +008e617c <_buf_pool_static_create_pool+0x10>: + 8e617c: 0b330a addx4 a3, a3, a11 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:78 + 8e617f: 2862a1 l32i a8, a6, 0x284 + 8e6182: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:79 + 8e6185: 2862a6 l32i a8, a6, 0x298 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:78 + 8e6188: d2a0 mov.n a2, a10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:79 + 8e618a: 0b8000 callx8 a8 + 8e618d: d4a0 mov.n a4, a10 +/root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 + 8e618f: 286252 l32i a8, a6, 0x148 + 8e6192: db50 mov.n a11, a5 + 8e6194: c0a0 movi.n a10, 0 + 8e6196: 0b8000 callx8 a8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:77 + 8e6199: 8c10 l32i.n a12, a1, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:95 + 8e619b: b177 addi.n a7, a7, 1 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:82 + 8e619d: 254504 s16i a5, a4, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:81 + 8e61a0: 9a41 s32i.n a10, a4, 4 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:84 + 8e61a2: c0b0 movi.n a11, 0 + 8e61a4: 2b4506 s16i a11, a4, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:83 + 8e61a7: 2b4505 s16i a11, a4, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:89 + 8e61aa: 8930 l32i.n a9, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:87 + 8e61ac: 9420 s32i.n a4, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:86 + 8e61ae: 2b2504 s16i a11, a2, 8 + 8e61b1: cc95 bnez.n a9, 8e61ba <_buf_pool_static_create_pool+0x4e> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:90 + 8e61b3: 9230 s32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:77 + 8e61b5: 77c9c6 bne a12, a7, 8e617f <_buf_pool_static_create_pool+0x13> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:96 + 8e61b8: d10f retw.n + +008e61ba <_buf_pool_static_create_pool+0x4e>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:92 + 8e61ba: 9921 s32i.n a9, a2, 4 + 8e61bc: 63fff3 j 8e61b3 <_buf_pool_static_create_pool+0x47> + +008e61bf <_buf_pool_static_create_pool+0x53>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:96 + 8e61bf: d10f retw.n + +008e61c1 <_buf_pool_static_create_pool+0x55>: + 8e61c1: 000000 ... + +008e61c4 <_buf_pool_static_alloc_buf>: +_buf_pool_static_alloc_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:99 + 8e61c4: 6c1004 entry a1, 32 + 8e61c7: 1201b5 l32r a2, 4e86d4 <_lit4_start+0x6d4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:102 + 8e61ca: 02330a addx4 a3, a3, a2 + 8e61cd: 8230 l32i.n a2, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:104 + 8e61cf: c050 movi.n a5, 0 + 8e61d1: c82f beqz.n a2, 8e61e4 <_buf_pool_static_alloc_buf+0x20> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:107 + 8e61d3: 8620 l32i.n a6, a2, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:104 + 8e61d5: 8821 l32i.n a8, a2, 4 + 8e61d7: 9830 s32i.n a8, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:106 + 8e61d9: 9521 s32i.n a5, a2, 4 + +008e61db <_buf_pool_static_alloc_buf+0x17>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:107 + 8e61db: 246505 s16i a4, a6, 10 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:108 + 8e61de: 256506 s16i a5, a6, 12 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:109 + 8e61e1: 252504 s16i a5, a2, 8 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:112 + 8e61e4: d10f retw.n + +008e61e6 <_buf_pool_static_alloc_buf+0x22>: + ... + +008e61e8 <_buf_pool_static_alloc_buf_align>: +_buf_pool_static_alloc_buf_align(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:116 + 8e61e8: 6c1004 entry a1, 32 + 8e61eb: dc40 mov.n a12, a4 + 8e61ed: db30 mov.n a11, a3 + 8e61ef: da20 mov.n a10, a2 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:117 + 8e61f1: 5bfff4 call8 8e61c4 <_buf_pool_static_alloc_buf> + 8e61f4: d2a0 mov.n a2, a10 + 8e61f6: d10f retw.n + +008e61f8 <_buf_pool_static_free_buf>: +_buf_pool_static_free_buf(): +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:121 + 8e61f8: 6c1004 entry a1, 32 + 8e61fb: 1201b5 l32r a2, 4e86d4 <_lit4_start+0x6d4> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:122 + 8e61fe: 02330a addx4 a3, a3, a2 + 8e6201: 8530 l32i.n a5, a3, 0 + 8e6203: c850 beqz.n a5, 8e6207 <_buf_pool_static_free_buf+0xf> +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:125 + 8e6205: 9541 s32i.n a5, a4, 4 + +008e6207 <_buf_pool_static_free_buf+0xf>: +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:123 + 8e6207: 9430 s32i.n a4, a3, 0 +/root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:128 + 8e6209: d10f retw.n + +008e620b <_buf_pool_static_free_buf+0x13>: + ... + +008e620c <_xtos_set_exception_handler>: +_xtos_set_exception_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-sethandler.c:33 + 8e620c: 6c1004 entry a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-sethandler.c:36 + 8e620f: 6f2d2d bgeui a2, 64, 8e6240 <_xtos_set_exception_handler+0x34> + 8e6212: 1901b6 l32r a9, 4e86d8 <_lit4_start+0x6d8> + 8e6215: ca3b beqz.n a3, 8e6244 <_xtos_set_exception_handler+0x38> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-sethandler.c:48 + 8e6217: c0a0 movi.n a10, 0 + 8e6219: 1601b8 l32r a6, 4e86e0 <_lit4_start+0x6e0> + 8e621c: 1401b9 l32r a4, 4e86e4 <_lit4_start+0x6e4> + 8e621f: 180006 l32r a8, 4e8018 <_lit4_start+0x18> + 8e6222: 1c01b7 l32r a12, 4e86dc <_lit4_start+0x6dc> + 8e6225: 0e2511 slli a5, a2, 2 + 8e6228: 09370c sub a7, a3, a9 + 8e622b: ac5c add.n a12, a5, a12 + 8e622d: a855 add.n a5, a5, a8 + 8e622f: 82c0 l32i.n a2, a12, 0 + 8e6231: 93c0 s32i.n a3, a12, 0 + 8e6233: 076439 movnez a4, a6, a7 + 8e6236: 9450 s32i.n a4, a5, 0 + 8e6238: 092b0c sub a11, a2, a9 + 8e623b: 0ba238 moveqz a2, a10, a11 + 8e623e: d10f retw.n + +008e6240 <_xtos_set_exception_handler+0x34>: + 8e6240: c020 movi.n a2, 0 + 8e6242: d10f retw.n + +008e6244 <_xtos_set_exception_handler+0x38>: + 8e6244: c0a0 movi.n a10, 0 + 8e6246: 09970c sub a7, a9, a9 + 8e6249: 1601b8 l32r a6, 4e86e0 <_lit4_start+0x6e0> + 8e624c: 180006 l32r a8, 4e8018 <_lit4_start+0x18> + 8e624f: 1c01b7 l32r a12, 4e86dc <_lit4_start+0x6dc> + 8e6252: 0e2511 slli a5, a2, 2 + 8e6255: 1401b9 l32r a4, 4e86e4 <_lit4_start+0x6e4> + 8e6258: ac5c add.n a12, a5, a12 + 8e625a: a855 add.n a5, a5, a8 + 8e625c: 82c0 l32i.n a2, a12, 0 + 8e625e: 99c0 s32i.n a9, a12, 0 + 8e6260: 076439 movnez a4, a6, a7 + 8e6263: 9450 s32i.n a4, a5, 0 + 8e6265: 092b0c sub a11, a2, a9 + 8e6268: 0ba238 moveqz a2, a10, a11 + 8e626b: d10f retw.n + +008e626d <_xtos_set_exception_handler+0x61>: + 8e626d: 000000 ... + +008e6270 <_xtos_cause3_handler>: +_xtos_cause3_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:30 + 8e6270: c023 movi.n a2, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:31 + 8e6272: 600002 j 8e6278 <_xtos_c_wrapper_handler> + +008e6275 <_xtos_cause3_handler+0x5>: + 8e6275: 000000 ... + +008e6278 <_xtos_c_wrapper_handler>: +_xtos_c_wrapper_handler(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:44 + 8e6278: 9517 s32i.n a5, a1, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:51 + 8e627a: 9218 s32i.n a2, a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:94 + 8e627c: 120008 l32r a2, 4e8020 <_lit4_start+0x20> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:96 + 8e627f: 03b130 rsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:97 + 8e6282: 02e616 xsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:104 + 8e6285: 9310 s32i.n a3, a1, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:105 + 8e6287: 9211 s32i.n a2, a1, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:126 + 8e6289: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:128 + 8e628c: 12000a l32r a2, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:130 + 8e628f: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:213 + 8e6292: 032402 or a4, a2, a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:214 + 8e6295: 042409 addx2 a4, a2, a4 + +008e6298 <_GeneralException>: +_GeneralException(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:222 + 8e6298: 6c100e entry a1, 112 + +008e629b <_GeneralException+0x3>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:287 + 8e629b: 0c0330 rsr.sar a12 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:288 + 8e629e: 0d0600 rsil a13, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:294 + 8e62a1: 1d01b7 l32r a13, 4e86dc <_lit4_start+0x6dc> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:295 + 8e62a4: 8f18 l32i.n a15, a1, 32 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:297 + 8e62a6: 9c12 s32i.n a12, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:298 + 8e62a8: 0c0230 rsr.lcount a12 + 8e62ab: 0e0030 rsr.lbeg a14 + 8e62ae: 9c19 s32i.n a12, a1, 36 + 8e62b0: 0c0130 rsr.lend a12 + 8e62b3: 9e1a s32i.n a14, a1, 40 + 8e62b5: 9c1b s32i.n a12, a1, 44 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:300 + 8e62b7: 0dfc0a addx4 a12, a15, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:301 + 8e62ba: 8cc0 l32i.n a12, a12, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:308 + 8e62bc: de10 mov.n a14, a1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:310 + 8e62be: 64c002 beqz a12, 8e62c4 <_GeneralException+0x2c> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:311 + 8e62c1: 0fc000 callx12 a12 + +008e62c4 <_GeneralException+0x2c>: +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:319 + 8e62c4: 8d19 l32i.n a13, a1, 36 + 8e62c6: 8e1a l32i.n a14, a1, 40 + 8e62c8: 8f1b l32i.n a15, a1, 44 + 8e62ca: 0d0231 wsr.lcount a13 + 8e62cd: 0e0031 wsr.lbeg a14 + 8e62d0: 0f0131 wsr.lend a15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:320 + 8e62d3: 8e12 l32i.n a14, a1, 8 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:348 + 8e62d5: 0c3600 rsil a12, 3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:351 + 8e62d8: 0e0331 wsr.sar a14 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:353 + 8e62db: 10000c l32r a0, 4e8030 <_lit4_start+0x30> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:360 + 8e62de: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:371 + 8e62e1: 0d0002 or a0, a0, a13 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:372 + 8e62e4: 00d009 addx2 a0, a13, a0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:373 + 8e62e7: d10f retw.n + +008e62e9 <_GeneralException+0x51>: + 8e62e9: 000000 ... + +008e62ec : +xthal_spill_registers_into_stack_nw(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:114 + 8e62ec: 024830 rsr.windowbase a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:115 + 8e62ef: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:116 + 8e62f1: 002004 ssr a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:117 + 8e62f4: 034930 rsr.windowstart a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:118 + 8e62f7: 030219 srl a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:119 + 8e62fa: 00331a sll a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:120 + 8e62fd: 6730bf bgez a3, 8e63c0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:122 + 8e6300: 038357 extui a3, a3, 24, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:123 + 8e6303: 032202 or a2, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:138 + 8e6306: 020306 neg a3, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:139 + 8e6309: 023301 and a3, a3, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:140 + 8e630c: 033f04 nsau a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:141 + 8e630f: 003104 ssl a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:153 + 8e6312: 020219 srl a2, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:154 + 8e6315: 024931 wsr.windowstart a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:163 + 8e6318: 024830 rsr.windowbase a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:165 + 8e631b: 222c1f addi a2, a2, 31 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:166 + 8e631e: 03230c sub a3, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:170 + 8e6321: 034831 wsr.windowbase a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:171 + 8e6324: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:174 + 8e6327: 024930 rsr.windowstart a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:198 + 8e632a: 64207a beqz a2, 8e63a8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:199 + 8e632d: 7f2f08 bbsi a2, 31, 8e6339 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:200 + 8e6330: 7e2f19 bbsi a2, 30, 8e634d +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:201 + 8e6333: 7d2f3a bbsi a2, 29, 8e6371 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:202 + 8e6336: 60008c j 8e63c6 + +008e6339 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:208 + 8e6339: 239cf0 addi a3, a9, -16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:209 + 8e633c: 9430 s32i.n a4, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:210 + 8e633e: 9531 s32i.n a5, a3, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:211 + 8e6340: 9632 s32i.n a6, a3, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:212 + 8e6342: 9733 s32i.n a7, a3, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:214 + 8e6344: 021614 srli a6, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:215 + 8e6347: 010804 rotw 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:217 + 8e634a: 63ffdc j 8e632a + +008e634d : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:221 + 8e634d: 23dcf0 addi a3, a13, -16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:222 + 8e6350: 9430 s32i.n a4, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:223 + 8e6352: 9531 s32i.n a5, a3, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:224 + 8e6354: 9632 s32i.n a6, a3, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:225 + 8e6356: 9733 s32i.n a7, a3, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:227 + 8e6358: 235cf4 addi a3, a5, -12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:228 + 8e635b: 8330 l32i.n a3, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:230 + 8e635d: 233ce0 addi a3, a3, -32 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:232 + 8e6360: 9830 s32i.n a8, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:233 + 8e6362: 9931 s32i.n a9, a3, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:234 + 8e6364: 9a32 s32i.n a10, a3, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:235 + 8e6366: 9b33 s32i.n a11, a3, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:237 + 8e6368: 022a14 srli a10, a2, 2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:238 + 8e636b: 020804 rotw 2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:240 + 8e636e: 63ffb8 j 8e632a + +008e6371 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:244 + 8e6371: 010804 rotw 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:246 + 8e6374: 2ddcf0 addi a13, a13, -16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:247 + 8e6377: 90d0 s32i.n a0, a13, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:248 + 8e6379: 91d1 s32i.n a1, a13, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:249 + 8e637b: 92d2 s32i.n a2, a13, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:250 + 8e637d: 93d3 s32i.n a3, a13, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:252 + 8e637f: 231cf4 addi a3, a1, -12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:253 + 8e6382: 8330 l32i.n a3, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:254 + 8e6384: 2ddc10 addi a13, a13, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:255 + 8e6387: 233cd0 addi a3, a3, -48 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:257 + 8e638a: 9430 s32i.n a4, a3, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:258 + 8e638c: 9531 s32i.n a5, a3, 4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:259 + 8e638e: 9632 s32i.n a6, a3, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:260 + 8e6390: 9733 s32i.n a7, a3, 12 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:261 + 8e6392: 9834 s32i.n a8, a3, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:262 + 8e6394: 9935 s32i.n a9, a3, 20 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:263 + 8e6396: 9a36 s32i.n a10, a3, 24 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:264 + 8e6398: 9b37 s32i.n a11, a3, 28 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:266 + 8e639a: 0f0804 rotw -1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:267 + 8e639d: 023e14 srli a14, a2, 3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:268 + 8e63a0: 030804 rotw 3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:270 + 8e63a3: 63ff83 j 8e632a + +008e63a6 : + ... + +008e63a8 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:275 + 8e63a8: 010804 rotw 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:276 + 8e63ab: 024830 rsr.windowbase a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:277 + 8e63ae: 002104 ssl a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:278 + 8e63b1: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:279 + 8e63b3: 00221a sll a2, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:280 + 8e63b6: 024931 wsr.windowstart a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:281 + 8e63b9: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:282 + 8e63bc: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:283 + 8e63be: d00f ret.n + +008e63c0 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:290 + 8e63c0: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:291 + 8e63c2: d00f ret.n + +008e63c4 : + ... + +008e63c6 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:306 + 8e63c6: 0f2211 slli a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:307 + 8e63c9: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:308 + 8e63cb: 034830 rsr.windowbase a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:309 + 8e63ce: 782f0a bbsi a2, 24, 8e63dc + +008e63d1 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:310 + 8e63d1: b033 addi.n a3, a3, -1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:311 + 8e63d3: 0f2211 slli a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:312 + 8e63d6: 7827f7 bbci a2, 24, 8e63d1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:313 + 8e63d9: 030342 extui a3, a3, 0, 3 + +008e63dc : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:317 + 8e63dc: 003104 ssl a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:318 + 8e63df: 082310 slli a3, a2, 24 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:319 + 8e63e2: 032218 src a2, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:320 + 8e63e5: 020247 extui a2, a2, 0, 8 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:321 + 8e63e8: 024931 wsr.windowstart a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:322 + 8e63eb: 030330 rsr.sar a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:323 + 8e63ee: c220 movi.n a2, 32 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:324 + 8e63f0: 03230c sub a3, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:325 + 8e63f3: 034831 wsr.windowbase a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:326 + 8e63f6: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:328 + 8e63f9: c022 movi.n a2, 2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:329 + 8e63fb: d00f ret.n + +008e63fd : + 8e63fd: 000000 ... + +008e6400 : +xthal_window_spill(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:355 + 8e6400: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:357 + 8e6403: 1601ba l32r a6, 4e86e8 <_lit4_start+0x6e8> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:358 + 8e6406: 05e630 rsr.ps a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:359 + 8e6409: d400 mov.n a4, a0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:360 + 8e640b: 065201 and a2, a5, a6 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:361 + 8e640e: b322 addi.n a2, a2, 3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:362 + 8e6410: 02e631 wsr.ps a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:363 + 8e6413: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:364 + 8e6416: 53ffb5 call0 8e62ec +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:365 + 8e6419: d040 mov.n a0, a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:366 + 8e641b: 05e631 wsr.ps a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:367 + 8e641e: 010200 rsync +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:369 + 8e6421: d10f retw.n + +008e6423 : + ... + +008e6424 : +strcmp(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:45 + 8e6424: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:48 + 8e6427: 282000 l8ui a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:49 + 8e642a: 293000 l8ui a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:50 + 8e642d: c0a3 movi.n a10, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:51 + 8e642f: 798952 bne a8, a9, 8e6485 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:53 + 8e6432: 032b02 or a11, a2, a3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:54 + 8e6435: 7ab053 bnone a11, a10, 8e648c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:56 + 8e6438: 032b03 xor a11, a2, a3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:57 + 8e643b: 7ab831 bany a11, a10, 8e6470 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:60 + 8e643e: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:61 + 8e6440: 6480b7 beqz a8, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:62 + 8e6443: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:63 + 8e6445: 7a2043 bnone a2, a10, 8e648c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:64 + 8e6448: 282000 l8ui a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:65 + 8e644b: 293000 l8ui a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:66 + 8e644e: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:67 + 8e6450: 798931 bne a8, a9, 8e6485 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:68 + 8e6453: 6480a4 beqz a8, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:69 + 8e6456: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:70 + 8e6458: 7a2030 bnone a2, a10, 8e648c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:71 + 8e645b: 282000 l8ui a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:72 + 8e645e: 293000 l8ui a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:73 + 8e6461: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:74 + 8e6463: 79891e bne a8, a9, 8e6485 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:75 + 8e6466: 648091 beqz a8, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:76 + 8e6469: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:77 + 8e646b: 60001d j 8e648c + +008e646e : + ... + +008e6470 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:104 + 8e6470: c080 movi.n a8, 0 + +008e6472 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:108 + 8e6472: 6d880f loop a8, 8e6485 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:111 + 8e6475: 282000 l8ui a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:112 + 8e6478: 293000 l8ui a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:113 + 8e647b: b122 addi.n a2, a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:114 + 8e647d: 798904 bne a8, a9, 8e6485 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:115 + 8e6480: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:117 + 8e6482: 648fff beqz a8, 8e6485 + +008e6485 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:122 + 8e6485: 09820c sub a2, a8, a9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:123 + 8e6488: d10f retw.n + +008e648a : + ... + +008e648c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:152 + 8e648c: 1401bb l32r a4, 4e86ec <_lit4_start+0x6ec> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:153 + 8e648f: 1701be l32r a7, 4e86f8 <_lit4_start+0x6f8> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:162 + 8e6492: 6d4829 loop a4, 8e64bf +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:165 + 8e6495: 282200 l32i a8, a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:166 + 8e6498: 293200 l32i a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:167 + 8e649b: 0f8511 slli a5, a8, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:168 + 8e649e: 79895e bne a8, a9, 8e6500 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:169 + 8e64a1: 058902 or a9, a8, a5 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:170 + 8e64a4: 779c20 bnall a9, a7, 8e64c8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:173 + 8e64a7: 282201 l32i a8, a2, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:174 + 8e64aa: 293201 l32i a9, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:175 + 8e64ad: 0f8511 slli a5, a8, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:176 + 8e64b0: 79894c bne a8, a9, 8e6500 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:177 + 8e64b3: 058902 or a9, a8, a5 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:178 + 8e64b6: 779c08 bnall a9, a7, 8e64c2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:180 + 8e64b9: 222c08 addi a2, a2, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:181 + 8e64bc: 233c08 addi a3, a3, 8 + +008e64bf : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:183 + 8e64bf: 011102 or a1, a1, a1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:187 + 8e64c2: 222c04 addi a2, a2, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:188 + 8e64c5: 233c04 addi a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:213 + 8e64c8: 74802f bnone a8, a4, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:215 + 8e64cb: 1501bc l32r a5, 4e86f0 <_lit4_start+0x6f0> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:216 + 8e64ce: 160185 l32r a6, 4e8614 <_lit4_start+0x614> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:217 + 8e64d1: 758026 bnone a8, a5, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:218 + 8e64d4: 1701bd l32r a7, 4e86f4 <_lit4_start+0x6f4> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:219 + 8e64d7: 768020 bnone a8, a6, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:220 + 8e64da: 77801d bnone a8, a7, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:235 + 8e64dd: b422 addi.n a2, a2, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:236 + 8e64df: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:249 + 8e64e1: 6d4816 loop a4, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:252 + 8e64e4: 8820 l32i.n a8, a2, 0 + +008e64e6 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:253 + 8e64e6: 8930 l32i.n a9, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:254 + 8e64e8: b422 addi.n a2, a2, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:255 + 8e64ea: 798923 bne a8, a9, 8e6511 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:256 + 8e64ed: 74800a bnone a8, a4, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:257 + 8e64f0: 758007 bnone a8, a5, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:258 + 8e64f3: 768004 bnone a8, a6, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:259 + 8e64f6: 778001 bnone a8, a7, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:260 + 8e64f9: b433 addi.n a3, a3, 4 + +008e64fb : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:279 + 8e64fb: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:280 + 8e64fd: d10f retw.n + +008e64ff : + ... + +008e6500 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:286 + 8e6500: 058a02 or a10, a8, a5 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:287 + 8e6503: 77ac0a bnall a10, a7, 8e6511 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:288 + 8e6506: 798b03 bgeu a8, a9, 8e650d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:289 + 8e6509: c72f movi.n a2, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:290 + 8e650b: d10f retw.n + +008e650d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:292 + 8e650d: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:293 + 8e650f: d10f retw.n + +008e6511 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:297 + 8e6511: 098203 xor a2, a8, a9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:298 + 8e6514: 742819 bany a2, a4, 8e6531 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:299 + 8e6517: 1501bc l32r a5, 4e86f0 <_lit4_start+0x6f0> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:300 + 8e651a: 7480dd bnone a8, a4, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:301 + 8e651d: 75280b bany a2, a5, 8e652c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:302 + 8e6520: 160185 l32r a6, 4e8614 <_lit4_start+0x614> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:303 + 8e6523: 7580d4 bnone a8, a5, 8e64fb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:304 + 8e6526: 762802 bany a2, a6, 8e652c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:305 + 8e6529: 7680ce bnone a8, a6, 8e64fb + +008e652c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:313 + 8e652c: 09820c sub a2, a8, a9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:314 + 8e652f: d10f retw.n + +008e6531 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:318 + 8e6531: 088a57 extui a10, a8, 24, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:319 + 8e6534: 098b57 extui a11, a9, 24, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:320 + 8e6537: 0ba20c sub a2, a10, a11 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:321 + 8e653a: d10f retw.n + +008e653c : +strcpy(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:35 + 8e653c: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:38 + 8e653f: 022a02 or a10, a2, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:39 + 8e6542: 1401bb l32r a4, 4e86ec <_lit4_start+0x6ec> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:40 + 8e6545: 1501bc l32r a5, 4e86f0 <_lit4_start+0x6f0> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:41 + 8e6548: 160185 l32r a6, 4e8614 <_lit4_start+0x614> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:42 + 8e654b: 270aff movi a7, 255 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:43 + 8e654e: 7f3f0b bbsi a3, 31, 8e655d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:44 + 8e6551: 7e3f17 bbsi a3, 30, 8e656c + +008e6554 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:48 + 8e6554: c083 movi.n a8, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:49 + 8e6556: 78a02a bnone a10, a8, 8e6584 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:51 + 8e6559: 600063 j 8e65c0 + +008e655c : + ... + +008e655d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:54 + 8e655d: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:55 + 8e6560: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:56 + 8e6562: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:57 + 8e6565: c988 beqz.n a8, 8e6581 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:58 + 8e6567: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:59 + 8e6569: 7e37e7 bbci a3, 30, 8e6554 + +008e656c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:62 + 8e656c: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:64 + 8e656f: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:65 + 8e6572: c88b beqz.n a8, 8e6581 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:66 + 8e6574: 283001 l8ui a8, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:67 + 8e6577: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:68 + 8e6579: 28a401 s8i a8, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:69 + 8e657c: b2aa addi.n a10, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:70 + 8e657e: 658fd2 bnez a8, 8e6554 + +008e6581 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:71 + 8e6581: d10f retw.n + +008e6583 : + ... + +008e6584 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:87 + 8e6584: c080 movi.n a8, 0 + +008e6586 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:91 + 8e6586: 6d8813 loop a8, 8e659d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:92 + 8e6589: 8830 l32i.n a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:93 + 8e658b: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:94 + 8e658d: 74800f bnone a8, a4, 8e65a0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:95 + 8e6590: 758014 bnone a8, a5, 8e65a8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:96 + 8e6593: 768019 bnone a8, a6, 8e65b0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:97 + 8e6596: 98a0 s32i.n a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:98 + 8e6598: 778001 bnone a8, a7, 8e659d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:99 + 8e659b: b4aa addi.n a10, a10, 4 + +008e659d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:115 + 8e659d: d10f retw.n + +008e659f : + ... + +008e65a0 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:119 + 8e65a0: c080 movi.n a8, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:121 + 8e65a2: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:122 + 8e65a5: d10f retw.n + +008e65a7 : + ... + +008e65a8 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:126 + 8e65a8: 08085f extui a8, a8, 16, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:128 + 8e65ab: 28a500 s16i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:129 + 8e65ae: d10f retw.n + +008e65b0 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:133 + 8e65b0: 08085f extui a8, a8, 16, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:135 + 8e65b3: 28a500 s16i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:136 + 8e65b6: c080 movi.n a8, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:137 + 8e65b8: 28a402 s8i a8, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:138 + 8e65bb: d10f retw.n + +008e65bd : + 8e65bd: 000000 ... + +008e65c0 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:157 + 8e65c0: c080 movi.n a8, 0 + +008e65c2 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:161 + 8e65c2: 6d880c loop a8, 8e65d2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:163 + 8e65c5: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:164 + 8e65c8: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:165 + 8e65ca: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:166 + 8e65cd: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:168 + 8e65cf: 648fff beqz a8, 8e65d2 + +008e65d2 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:172 + 8e65d2: d10f retw.n + +008e65d4 : +strlen(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:35 + 8e65d4: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:38 + 8e65d7: 232cfc addi a3, a2, -4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:39 + 8e65da: 1401bb l32r a4, 4e86ec <_lit4_start+0x6ec> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:40 + 8e65dd: 1501bc l32r a5, 4e86f0 <_lit4_start+0x6f0> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:41 + 8e65e0: 160185 l32r a6, 4e8614 <_lit4_start+0x614> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:42 + 8e65e3: 270aff movi a7, 255 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:43 + 8e65e6: 7f2f06 bbsi a2, 31, 8e65f0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:44 + 8e65e9: 7e2f0d bbsi a2, 30, 8e65fa +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:45 + 8e65ec: 60001c j 8e660c + +008e65ef : + ... + +008e65f0 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:48 + 8e65f0: 283004 l8ui a8, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:49 + 8e65f3: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:50 + 8e65f5: ca88 beqz.n a8, 8e6621 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:51 + 8e65f7: 7e3711 bbci a3, 30, 8e660c + +008e65fa : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:54 + 8e65fa: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:55 + 8e65fc: 8830 l32i.n a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:56 + 8e65fe: 76802e bnone a8, a6, 8e6630 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:57 + 8e6601: 778807 bany a8, a7, 8e660c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:60 + 8e6604: b333 addi.n a3, a3, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:61 + 8e6606: 02320c sub a2, a3, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:62 + 8e6609: d10f retw.n + +008e660b : + ... + +008e660c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:80 + 8e660c: c080 movi.n a8, 0 + +008e660e : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:84 + 8e660e: 6d880f loop a8, 8e6621 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:86 + 8e6611: 8831 l32i.n a8, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:87 + 8e6613: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:88 + 8e6615: 74800a bnone a8, a4, 8e6623 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:89 + 8e6618: 75800c bnone a8, a5, 8e6628 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:90 + 8e661b: 768011 bnone a8, a6, 8e6630 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:92 + 8e661e: 7780ff bnone a8, a7, 8e6621 + +008e6621 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:98 + 8e6621: b333 addi.n a3, a3, 3 + +008e6623 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:102 + 8e6623: 02320c sub a2, a3, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:103 + 8e6626: d10f retw.n + +008e6628 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:106 + 8e6628: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:107 + 8e662a: 02320c sub a2, a3, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:108 + 8e662d: d10f retw.n + +008e662f : + ... + +008e6630 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:111 + 8e6630: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:112 + 8e6632: 02320c sub a2, a3, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:113 + 8e6635: d10f retw.n + +008e6637 : + ... + +008e6638 : +strncmp(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:66 + 8e6638: 6c1004 entry a1, 32 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:84 + 8e663b: 64407f beqz a4, 8e66be +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:88 + 8e663e: 023502 or a5, a3, a2 + 8e6641: 050541 extui a5, a5, 0, 2 + 8e6644: 645040 beqz a5, 8e6688 + +008e6647 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:111 + 8e6647: 272000 l8ui a7, a2, 0 + 8e664a: 283000 l8ui a8, a3, 0 + 8e664d: b044 addi.n a4, a4, -1 + 8e664f: 684025 beqi a4, -1, 8e6678 + 8e6652: 787922 bne a7, a8, 8e6678 + 8e6655: 6d0816 loop a0, 8e666f +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:115 + 8e6658: d640 mov.n a6, a4 + 8e665a: c944 beqz.n a4, 8e6672 + 8e665c: c972 beqz.n a7, 8e6672 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:117 + 8e665e: b044 addi.n a4, a4, -1 + 8e6660: b133 addi.n a3, a3, 1 + 8e6662: b122 addi.n a2, a2, 1 + 8e6664: c965 beqz.n a6, 8e667d +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:111 + 8e6666: 272000 l8ui a7, a2, 0 + 8e6669: 283000 l8ui a8, a3, 0 + 8e666c: 778908 bne a8, a7, 8e6678 + +008e666f : + 8e666f: 63ffe2 j 8e6655 + +008e6672 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:120 + 8e6672: c020 movi.n a2, 0 + 8e6674: d10f retw.n + +008e6676 : + ... + +008e6678 : + 8e6678: 08720c sub a2, a7, a8 + 8e667b: d10f retw.n + +008e667d : + 8e667d: 253000 l8ui a5, a3, 0 + 8e6680: 222000 l8ui a2, a2, 0 + 8e6683: 05220c sub a2, a2, a5 + 8e6686: d10f retw.n + +008e6688 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:93 + 8e6688: 6e44bb bltui a4, 4, 8e6647 + 8e668b: 8720 l32i.n a7, a2, 0 + 8e668d: 8630 l32i.n a6, a3, 0 + 8e668f: 7769b4 bne a6, a7, 8e6647 + 8e6692: 1901bf l32r a9, 4e86fc <_lit4_start+0x6fc> + 8e6695: 1801c0 l32r a8, 4e8700 <_lit4_start+0x700> + 8e6698: c7af movi.n a10, -1 + 8e669a: 600006 j 8e66a4 + +008e669d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:103 + 8e669d: 8720 l32i.n a7, a2, 0 + 8e669f: 8b30 l32i.n a11, a3, 0 + 8e66a1: 77b9a2 bne a11, a7, 8e6647 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:95 + 8e66a4: 244cfc addi a4, a4, -4 + 8e66a7: c947 beqz.n a4, 8e66c2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:99 + 8e66a9: 0a7d03 xor a13, a7, a10 + 8e66ac: a97c add.n a12, a7, a9 + 8e66ae: 0dcc01 and a12, a12, a13 + 8e66b1: 7c880d bany a8, a12, 8e66c2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:103 + 8e66b4: b433 addi.n a3, a3, 4 + 8e66b6: b422 addi.n a2, a2, 4 + 8e66b8: 6f44e1 bgeui a4, 4, 8e669d + 8e66bb: 63ff88 j 8e6647 + +008e66be : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:120 + 8e66be: c020 movi.n a2, 0 + 8e66c0: d10f retw.n + +008e66c2 : + 8e66c2: c020 movi.n a2, 0 + 8e66c4: d10f retw.n + +008e66c6 : + ... + +008e66c8 <__strncpy_aux>: +__strncpy_aux(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:35 + 8e66c8: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:36 + 8e66cb: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:37 + 8e66cd: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:38 + 8e66d0: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:39 + 8e66d2: ca47 beqz.n a4, 8e66fd <__strncpy_aux+0x35> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:40 + 8e66d4: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:41 + 8e66d6: 648048 beqz a8, 8e6722 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:42 + 8e66d9: 7e373d bbci a3, 30, 8e671a + +008e66dc <__strncpy_aux+0x14>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:45 + 8e66dc: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:46 + 8e66df: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:47 + 8e66e1: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:48 + 8e66e4: c945 beqz.n a4, 8e66fd <__strncpy_aux+0x35> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:49 + 8e66e6: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:50 + 8e66e8: cb86 beqz.n a8, 8e6722 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:51 + 8e66ea: 283001 l8ui a8, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:52 + 8e66ed: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:53 + 8e66ef: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:54 + 8e66f2: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:55 + 8e66f4: c845 beqz.n a4, 8e66fd <__strncpy_aux+0x35> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:56 + 8e66f6: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:57 + 8e66f8: cd8e bnez.n a8, 8e671a +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:58 + 8e66fa: 600024 j 8e6722 + +008e66fd <__strncpy_aux+0x35>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:61 + 8e66fd: d10f retw.n + +008e66ff <__strncpy_aux+0x37>: + ... + +008e6700 : +strncpy(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:68 + 8e6700: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:71 + 8e6703: da20 mov.n a10, a2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:72 + 8e6705: 644ff4 beqz a4, 8e66fd <__strncpy_aux+0x35> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:74 + 8e6708: 1b01bb l32r a11, 4e86ec <_lit4_start+0x6ec> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:75 + 8e670b: 1501bc l32r a5, 4e86f0 <_lit4_start+0x6f0> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:76 + 8e670e: 160185 l32r a6, 4e8614 <_lit4_start+0x614> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:77 + 8e6711: 270aff movi a7, 255 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:78 + 8e6714: 7f3fb0 bbsi a3, 31, 8e66c8 <__strncpy_aux> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:79 + 8e6717: 7e3fc1 bbsi a3, 30, 8e66dc <__strncpy_aux+0x14> + +008e671a : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:83 + 8e671a: c083 movi.n a8, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:84 + 8e671c: 78a054 bnone a10, a8, 8e6774 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:86 + 8e671f: 6000a5 j 8e67c8 + +008e6722 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:92 + 8e6722: c090 movi.n a9, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:93 + 8e6724: 7faf28 bbsi a10, 31, 8e6750 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:94 + 8e6727: 7eaf32 bbsi a10, 30, 8e675d + +008e672a : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:96 + 8e672a: 6a4413 blti a4, 4, 8e6741 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:101 + 8e672d: 042812 srai a8, a4, 2 + 8e6730: d30f nop.n +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:102 + 8e6732: 6d8803 loop a8, 8e6739 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:103 + 8e6735: 99a0 s32i.n a9, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:104 + 8e6737: b4aa addi.n a10, a10, 4 + +008e6739 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:106 + 8e6739: 0e8811 slli a8, a8, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:107 + 8e673c: 08440c sub a4, a4, a8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:118 + 8e673f: c849 beqz.n a4, 8e674c + +008e6741 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:122 + 8e6741: 29a400 s8i a9, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:123 + 8e6744: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:124 + 8e6746: 2aac01 addi a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:125 + 8e6749: 654ff4 bnez a4, 8e6741 + +008e674c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:127 + 8e674c: d10f retw.n + +008e674e : + ... + +008e6750 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:130 + 8e6750: 29a400 s8i a9, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:131 + 8e6753: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:132 + 8e6755: 644ff3 beqz a4, 8e674c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:133 + 8e6758: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:134 + 8e675a: 7ea7cc bbci a10, 30, 8e672a + +008e675d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:137 + 8e675d: 29a400 s8i a9, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:138 + 8e6760: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:139 + 8e6762: 644fe6 beqz a4, 8e674c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:140 + 8e6765: 29a401 s8i a9, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:141 + 8e6768: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:142 + 8e676a: 644fde beqz a4, 8e674c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:143 + 8e676d: b2aa addi.n a10, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:144 + 8e676f: 63ffb7 j 8e672a + +008e6772 : + ... + +008e6774 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:162 + 8e6774: c080 movi.n a8, 0 + +008e6776 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:166 + 8e6776: 6d8819 loop a8, 8e6793 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:167 + 8e6779: 6a454b blti a4, 5, 8e67c8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:168 + 8e677c: 8830 l32i.n a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:169 + 8e677e: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:170 + 8e6780: 7b8012 bnone a8, a11, 8e6796 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:171 + 8e6783: 75801d bnone a8, a5, 8e67a4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:172 + 8e6786: 76802a bnone a8, a6, 8e67b4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:173 + 8e6789: 98a0 s32i.n a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:174 + 8e678b: 244cfc addi a4, a4, -4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:175 + 8e678e: b4aa addi.n a10, a10, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:176 + 8e6790: 77808e bnone a8, a7, 8e6722 + +008e6793 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:193 + 8e6793: 63ff8b j 8e6722 + +008e6796 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:197 + 8e6796: c080 movi.n a8, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:199 + 8e6798: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:200 + 8e679b: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:201 + 8e679d: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:202 + 8e679f: 63ff7f j 8e6722 + +008e67a2 : + ... + +008e67a4 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:206 + 8e67a4: 08085f extui a8, a8, 16, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:208 + 8e67a7: 28a500 s16i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:209 + 8e67aa: 244cfe addi a4, a4, -2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:210 + 8e67ad: b2aa addi.n a10, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:211 + 8e67af: 63ff6f j 8e6722 + +008e67b2 : + ... + +008e67b4 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:215 + 8e67b4: 08085f extui a8, a8, 16, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:217 + 8e67b7: 28a500 s16i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:218 + 8e67ba: c080 movi.n a8, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:219 + 8e67bc: 28a402 s8i a8, a10, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:220 + 8e67bf: 244cfd addi a4, a4, -3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:221 + 8e67c2: b3aa addi.n a10, a10, 3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:222 + 8e67c4: 63ff5a j 8e6722 + +008e67c7 : + ... + +008e67c8 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:238 + 8e67c8: c080 movi.n a8, 0 + +008e67ca : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:242 + 8e67ca: 6d8810 loop a8, 8e67de +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:244 + 8e67cd: 283000 l8ui a8, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:245 + 8e67d0: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:246 + 8e67d2: 28a400 s8i a8, a10, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:247 + 8e67d5: b044 addi.n a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:248 + 8e67d7: c846 beqz.n a4, 8e67e1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:249 + 8e67d9: b1aa addi.n a10, a10, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:251 + 8e67db: 648fff beqz a8, 8e67de + +008e67de : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:255 + 8e67de: 63ff40 j 8e6722 + +008e67e1 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:257 + 8e67e1: d10f retw.n + +008e67e3 : + ... + +008e67e4 <_xtos_unhandled_interrupt>: +_xtos_unhandled_interrupt(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/interrupt-table.S:102 + 8e67e4: 6c1002 entry a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/interrupt-table.S:108 + 8e67e7: 0f1400 break 1, 15 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/interrupt-table.S:115 + 8e67ea: d10f retw.n + +008e67ec <_xtos_unhandled_exception>: +_xtos_unhandled_exception(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:49 + 8e67ec: 8214 l32i.n a2, a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:50 + 8e67ee: 8315 l32i.n a3, a1, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:52 + 8e67f0: 211c70 addi a1, a1, 112 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:54 + 8e67f3: 011400 break 1, 1 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:61 + 8e67f6: 000300 rfe + +008e67f9 <_xtos_unhandled_exception+0xd>: + 8e67f9: 000000 ... + +008e67fc <_xtos_return_from_exc>: +_xtos_return_from_exc(): + 8e67fc: 8251 l32i.n a2, a5, 4 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:62 + 8e67fe: 8350 l32i.n a3, a5, 0 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:63 + 8e6800: 02e631 wsr.ps a2 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:72 + 8e6803: 8254 l32i.n a2, a5, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:73 + 8e6805: 8456 l32i.n a4, a5, 24 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:74 + 8e6807: 010200 rsync +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:88 + 8e680a: 03b131 wsr.epc1 a3 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:96 + 8e680d: 8355 l32i.n a3, a5, 20 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:97 + 8e680f: 8557 l32i.n a5, a5, 28 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:99 + 8e6811: 000300 rfe + +008e6814 <_xtos_p_none>: +_xtos_p_none(): +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-table.S:42 + 8e6814: 6c1002 entry a1, 16 +/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-table.S:44 + 8e6817: d10f retw.n + +008e6819 <_xtos_p_none+0x5>: + 8e6819: 000000 ... + +008e681c : +xthal_get_ccount(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:43 + 8e681c: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:45 + 8e681f: 02ea30 rsr.ccount a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:59 + 8e6822: d10f retw.n + +008e6824 : +xthal_set_ccompare(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:72 + 8e6824: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:74 + 8e6827: 652005 bnez a2, 8e6830 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:75 + 8e682a: 03f031 wsr.ccompare0 a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:85 + 8e682d: 000200 isync + +008e6830 : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:89 + 8e6830: d10f retw.n + +008e6832 : + ... + +008e6834 : +xthal_get_ccompare(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:98 + 8e6834: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:100 + 8e6837: cc23 bnez.n a2, 8e683e +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:101 + 8e6839: 02f030 rsr.ccompare0 a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:102 + 8e683c: d10f retw.n + +008e683e : +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:114 + 8e683e: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:116 + 8e6840: d10f retw.n + +008e6842 : + ... + +008e6844 : +xthal_get_intenable(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:63 + 8e6844: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:65 + 8e6847: 02e430 rsr.intenable a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:69 + 8e684a: d10f retw.n + +008e684c : +xthal_get_intread(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:102 + 8e684c: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:104 + 8e684f: 02e230 rsr.interrupt a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:108 + 8e6852: d10f retw.n + +008e6854 : +xthal_set_intenable(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:80 + 8e6854: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:82 + 8e6857: 02e431 wsr.intenable a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:84 + 8e685a: d10f retw.n + +008e685c <__divsi3>: +__divsi3(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:587 + 8e685c: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:591 + 8e685f: 032703 xor a7, a2, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:592 + 8e6862: 021606 abs a6, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:593 + 8e6865: 031306 abs a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:594 + 8e6868: 6e3234 bltui a3, 2, 8e68a0 <__divsi3+0x44> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:604 + 8e686b: 061514 srli a5, a6, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:605 + 8e686e: 73533a bltu a5, a3, 8e68ac <__divsi3+0x50> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:606 + 8e6871: 056f04 nsau a5, a6 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:607 + 8e6874: 043f04 nsau a4, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:610 + 8e6877: 05440c sub a4, a4, a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:611 + 8e687a: 004104 ssl a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:612 + 8e687d: 00331a sll a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:613 + 8e6880: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:617 + 8e6882: 6d490d loopnez a4, 8e6893 <__divsi3+0x37> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:620 + 8e6885: 736304 bltu a6, a3, 8e688d <__divsi3+0x31> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:621 + 8e6888: 03660c sub a6, a6, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:622 + 8e688b: b122 addi.n a2, a2, 1 + +008e688d <__divsi3+0x31>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:624 + 8e688d: 0f2211 slli a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:625 + 8e6890: 031314 srli a3, a3, 1 + +008e6893 <__divsi3+0x37>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:632 + 8e6893: 736301 bltu a6, a3, 8e6898 <__divsi3+0x3c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:633 + 8e6896: b122 addi.n a2, a2, 1 + +008e6898 <__divsi3+0x3c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:635 + 8e6898: 020506 neg a5, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:636 + 8e689b: 07523a movltz a2, a5, a7 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:637 + 8e689e: d10f retw.n + +008e68a0 <__divsi3+0x44>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:640 + 8e68a0: c935 beqz.n a3, 8e68b9 <__divsi3+0x5d> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:641 + 8e68a2: 060206 neg a2, a6 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:642 + 8e68a5: 07623b movgez a2, a6, a7 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:643 + 8e68a8: d10f retw.n + +008e68aa <__divsi3+0x4e>: + ... + +008e68ac <__divsi3+0x50>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:646 + 8e68ac: 736310 bltu a6, a3, 8e68c0 <__divsi3+0x64> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:647 + 8e68af: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:648 + 8e68b1: c74f movi.n a4, -1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:649 + 8e68b3: 07423a movltz a2, a4, a7 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:650 + 8e68b6: d10f retw.n + +008e68b8 <__divsi3+0x5c>: + ... + +008e68b9 <__divsi3+0x5d>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:656 + 8e68b9: 000000 ill + +008e68bc <__divsi3+0x60>: + 8e68bc: 44495630 DIV0 + +008e68c0 <__divsi3+0x64>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:660 + 8e68c0: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:662 + 8e68c2: d10f retw.n + +008e68c4 <__modsi3>: +__modsi3(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:731 + 8e68c4: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:735 + 8e68c7: 022702 or a7, a2, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:736 + 8e68ca: 021206 abs a2, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:737 + 8e68cd: 031306 abs a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:738 + 8e68d0: 6e322c bltui a3, 2, 8e6900 <__modsi3+0x3c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:739 + 8e68d3: 052f04 nsau a5, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:740 + 8e68d6: 043f04 nsau a4, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:741 + 8e68d9: 745b14 bgeu a5, a4, 8e68f1 <__modsi3+0x2d> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:743 + 8e68dc: 05440c sub a4, a4, a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:744 + 8e68df: 004104 ssl a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:745 + 8e68e2: 00331a sll a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:749 + 8e68e5: 6d4908 loopnez a4, 8e68f1 <__modsi3+0x2d> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:752 + 8e68e8: 732302 bltu a2, a3, 8e68ee <__modsi3+0x2a> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:753 + 8e68eb: 03220c sub a2, a2, a3 + +008e68ee <__modsi3+0x2a>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:755 + 8e68ee: 031314 srli a3, a3, 1 + +008e68f1 <__modsi3+0x2d>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:763 + 8e68f1: 732302 bltu a2, a3, 8e68f7 <__modsi3+0x33> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:764 + 8e68f4: 03220c sub a2, a2, a3 + +008e68f7 <__modsi3+0x33>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:766 + 8e68f7: 677002 bgez a7, 8e68fd <__modsi3+0x39> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:767 + 8e68fa: 020206 neg a2, a2 + +008e68fd <__modsi3+0x39>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:769 + 8e68fd: d10f retw.n + +008e68ff <__modsi3+0x3b>: + ... + +008e6900 <__modsi3+0x3c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:772 + 8e6900: cc35 bnez.n a3, 8e6909 <__modsi3+0x45> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:777 + 8e6902: 000000 ill + +008e6905 <__modsi3+0x41>: + 8e6905: 44495630 DIV0 + +008e6909 <__modsi3+0x45>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:781 + 8e6909: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:783 + 8e690b: d10f retw.n + +008e690d <__modsi3+0x49>: + 8e690d: 000000 ... + +008e6910 <__udivsi3>: +__udivsi3(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:518 + 8e6910: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:522 + 8e6913: 6e322f bltui a3, 2, 8e6946 <__udivsi3+0x36> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:524 + 8e6916: d620 mov.n a6, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:525 + 8e6918: 056f04 nsau a5, a6 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:526 + 8e691b: 043f04 nsau a4, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:527 + 8e691e: 745b2a bgeu a5, a4, 8e694c <__udivsi3+0x3c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:529 + 8e6921: 05440c sub a4, a4, a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:530 + 8e6924: 004104 ssl a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:531 + 8e6927: 00331a sll a3, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:532 + 8e692a: 220a00 movi a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:536 + 8e692d: 6d490d loopnez a4, 8e693e <__udivsi3+0x2e> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:539 + 8e6930: 736304 bltu a6, a3, 8e6938 <__udivsi3+0x28> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:540 + 8e6933: 03660c sub a6, a6, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:541 + 8e6936: b122 addi.n a2, a2, 1 + +008e6938 <__udivsi3+0x28>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:543 + 8e6938: 0f2211 slli a2, a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:544 + 8e693b: 031314 srli a3, a3, 1 + +008e693e <__udivsi3+0x2e>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:551 + 8e693e: 736302 bltu a6, a3, 8e6944 <__udivsi3+0x34> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:552 + 8e6941: 222c01 addi a2, a2, 1 + +008e6944 <__udivsi3+0x34>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:554 + 8e6944: d10f retw.n + +008e6946 <__udivsi3+0x36>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:557 + 8e6946: c83b beqz.n a3, 8e6955 <__udivsi3+0x45> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:558 + 8e6948: d10f retw.n + +008e694a <__udivsi3+0x3a>: + ... + +008e694c <__udivsi3+0x3c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:562 + 8e694c: 73630c bltu a6, a3, 8e695c <__udivsi3+0x4c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:563 + 8e694f: c021 movi.n a2, 1 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:564 + 8e6951: d10f retw.n + +008e6953 <__udivsi3+0x43>: + ... + +008e6955 <__udivsi3+0x45>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:570 + 8e6955: 000000 ill + +008e6958 <__udivsi3+0x48>: + 8e6958: 44495630 DIV0 + +008e695c <__udivsi3+0x4c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:574 + 8e695c: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:576 + 8e695e: d10f retw.n + +008e6960 <__umodsi3>: +__umodsi3(): +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:673 + 8e6960: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:677 + 8e6963: 6e3229 bltui a3, 2, 8e6990 <__umodsi3+0x30> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:679 + 8e6966: 052f04 nsau a5, a2 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:680 + 8e6969: 043f04 nsau a4, a3 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:681 + 8e696c: 745b16 bgeu a5, a4, 8e6986 <__umodsi3+0x26> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:683 + 8e696f: 05440c sub a4, a4, a5 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:684 + 8e6972: 004104 ssl a4 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:685 + 8e6975: 00331a sll a3, a3 + 8e6978: d30f nop.n +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:689 + 8e697a: 6d4908 loopnez a4, 8e6986 <__umodsi3+0x26> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:692 + 8e697d: 732302 bltu a2, a3, 8e6983 <__umodsi3+0x23> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:693 + 8e6980: 03220c sub a2, a2, a3 + +008e6983 <__umodsi3+0x23>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:695 + 8e6983: 031314 srli a3, a3, 1 + +008e6986 <__umodsi3+0x26>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:703 + 8e6986: 732302 bltu a2, a3, 8e698c <__umodsi3+0x2c> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:704 + 8e6989: 03220c sub a2, a2, a3 + +008e698c <__umodsi3+0x2c>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:706 + 8e698c: d10f retw.n + +008e698e <__umodsi3+0x2e>: + ... + +008e6990 <__umodsi3+0x30>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:709 + 8e6990: cc35 bnez.n a3, 8e6999 <__umodsi3+0x39> +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:714 + 8e6992: 000000 ill + +008e6995 <__umodsi3+0x35>: + 8e6995: 44495630 DIV0 + +008e6999 <__umodsi3+0x39>: +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:718 + 8e6999: c020 movi.n a2, 0 +/home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:720 + 8e699b: d10f retw.n + +008e699d <__umodsi3+0x3d>: + 8e699d: 000000 ... + +008e69a0 : +memcmp(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:57 + 8e69a0: 6c1004 entry a1, 32 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:81 + 8e69a3: 6e441b bltui a4, 4, 8e69c2 + 8e69a6: 023502 or a5, a3, a2 + 8e69a9: 050541 extui a5, a5, 0, 2 + 8e69ac: cd52 bnez.n a5, 8e69c2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:86 + 8e69ae: 042814 srli a8, a4, 2 + 8e69b1: 6d890d loopnez a8, 8e69c2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:89 + 8e69b4: 8a30 l32i.n a10, a3, 0 + 8e69b6: 8920 l32i.n a9, a2, 0 + 8e69b8: 7a9906 bne a9, a10, 8e69c2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:93 + 8e69bb: b422 addi.n a2, a2, 4 + 8e69bd: b433 addi.n a3, a3, 4 + 8e69bf: 244cfc addi a4, a4, -4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:102 + 8e69c2: d640 mov.n a6, a4 + 8e69c4: b044 addi.n a4, a4, -1 + 8e69c6: 684011 beqi a4, -1, 8e69db + 8e69c9: 6d690e loopnez a6, 8e69db +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:104 + 8e69cc: 273000 l8ui a7, a3, 0 + 8e69cf: 282000 l8ui a8, a2, 0 + 8e69d2: b122 addi.n a2, a2, 1 + 8e69d4: b133 addi.n a3, a3, 1 + 8e69d6: 778906 bne a8, a7, 8e69e0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:102 + 8e69d9: b044 addi.n a4, a4, -1 + +008e69db : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:110 + 8e69db: c020 movi.n a2, 0 + 8e69dd: d10f retw.n + +008e69df : + ... + +008e69e0 : + 8e69e0: 07820c sub a2, a8, a7 + 8e69e3: d10f retw.n + +008e69e5 : + 8e69e5: 000000 ... + +008e69e8 <__memcpy_aux>: + ... + +008e69e9 <__memcpy_aux+0x1>: +__memcpy_aux(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:71 + 8e69e9: 6d4909 loopnez a4, 8e69f6 <__memcpy_aux+0xe> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:76 + 8e69ec: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:77 + 8e69ef: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:78 + 8e69f1: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:79 + 8e69f4: b155 addi.n a5, a5, 1 + +008e69f6 <__memcpy_aux+0xe>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:83 + 8e69f6: d10f retw.n + +008e69f8 <__memcpy_aux+0x10>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:92 + 8e69f8: 6e47ed bltui a4, 7, 8e69e9 <__memcpy_aux+0x1> + +008e69fb <__memcpy_aux+0x13>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:95 + 8e69fb: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:96 + 8e69fe: b133 addi.n a3, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:97 + 8e6a00: 244cff addi a4, a4, -1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:98 + 8e6a03: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:99 + 8e6a06: 255c01 addi a5, a5, 1 + +008e6a09 <__memcpy_aux+0x21>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:102 + 8e6a09: 7e5727 bbci a5, 30, 8e6a34 + +008e6a0c <__memcpy_aux+0x24>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:107 + 8e6a0c: 6e46d9 bltui a4, 6, 8e69e9 <__memcpy_aux+0x1> + +008e6a0f <__memcpy_aux+0x27>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:110 + 8e6a0f: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:111 + 8e6a12: 273001 l8ui a7, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:112 + 8e6a15: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:113 + 8e6a17: 244cfe addi a4, a4, -2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:114 + 8e6a1a: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:115 + 8e6a1d: 275401 s8i a7, a5, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:116 + 8e6a20: b255 addi.n a5, a5, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:119 + 8e6a22: 60000e j 8e6a34 + +008e6a25 <__memcpy_aux+0x3d>: + 8e6a25: 000000 ... + +008e6a28 : +memcpy(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:126 + 8e6a28: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:129 + 8e6a2b: 022502 or a5, a2, a2 + +008e6a2e : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:130 + 8e6a2e: 7f2fc6 bbsi a2, 31, 8e69f8 <__memcpy_aux+0x10> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:131 + 8e6a31: 7e2fd7 bbsi a2, 30, 8e6a0c <__memcpy_aux+0x24> + +008e6a34 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:135 + 8e6a34: 044714 srli a7, a4, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:138 + 8e6a37: 280a03 movi a8, 3 + +008e6a3a : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:145 + 8e6a3a: 78385a bany a3, a8, 8e6a98 + +008e6a3d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:150 + 8e6a3d: 6d7915 loopnez a7, 8e6a56 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:156 + 8e6a40: 8630 l32i.n a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:157 + 8e6a42: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:158 + 8e6a44: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:159 + 8e6a46: 8632 l32i.n a6, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:160 + 8e6a48: 9751 s32i.n a7, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:161 + 8e6a4a: 8733 l32i.n a7, a3, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:162 + 8e6a4c: 9652 s32i.n a6, a5, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:163 + 8e6a4e: 233c10 addi a3, a3, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:164 + 8e6a51: 9753 s32i.n a7, a5, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:165 + 8e6a53: 255c10 addi a5, a5, 16 + +008e6a56 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:171 + 8e6a56: 7c470b bbci a4, 28, 8e6a65 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:174 + 8e6a59: 8630 l32i.n a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:175 + 8e6a5b: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:176 + 8e6a5d: b833 addi.n a3, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:177 + 8e6a5f: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:178 + 8e6a61: 9751 s32i.n a7, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:179 + 8e6a63: b855 addi.n a5, a5, 8 + +008e6a65 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:181 + 8e6a65: 7d4f07 bbsi a4, 29, 8e6a70 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:182 + 8e6a68: 7e4f14 bbsi a4, 30, 8e6a80 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:183 + 8e6a6b: 7f4f21 bbsi a4, 31, 8e6a90 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:184 + 8e6a6e: d10f retw.n + +008e6a70 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:195 + 8e6a70: 8630 l32i.n a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:196 + 8e6a72: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:197 + 8e6a74: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:198 + 8e6a76: b455 addi.n a5, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:199 + 8e6a78: 7e4f04 bbsi a4, 30, 8e6a80 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:200 + 8e6a7b: 7f4f11 bbsi a4, 31, 8e6a90 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:201 + 8e6a7e: d10f retw.n + +008e6a80 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:204 + 8e6a80: 263100 l16ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:205 + 8e6a83: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:206 + 8e6a85: 265500 s16i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:207 + 8e6a88: b255 addi.n a5, a5, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:208 + 8e6a8a: 7f4f02 bbsi a4, 31, 8e6a90 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:209 + 8e6a8d: d10f retw.n + +008e6a8f : + ... + +008e6a90 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:212 + 8e6a90: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:213 + 8e6a93: 265400 s8i a6, a5, 0 + +008e6a96 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:216 + 8e6a96: d10f retw.n + +008e6a98 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:224 + 8e6a98: 644ffa beqz a4, 8e6a96 + +008e6a9b : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:228 + 8e6a9b: 003304 ssa8b a3 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:230 + 8e6a9e: 083b01 and a11, a3, a8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:231 + 8e6aa1: 0b330c sub a3, a3, a11 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:233 + 8e6aa4: 8630 l32i.n a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:235 + 8e6aa6: 6d7921 loopnez a7, 8e6acb +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:241 + 8e6aa9: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:242 + 8e6aab: 8832 l32i.n a8, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:243 + 8e6aad: 076618 src a6, a6, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:244 + 8e6ab0: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:245 + 8e6ab2: 8933 l32i.n a9, a3, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:246 + 8e6ab4: 087718 src a7, a7, a8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:247 + 8e6ab7: 9751 s32i.n a7, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:248 + 8e6ab9: 8634 l32i.n a6, a3, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:249 + 8e6abb: 098818 src a8, a8, a9 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:250 + 8e6abe: 9852 s32i.n a8, a5, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:251 + 8e6ac0: 233c10 addi a3, a3, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:252 + 8e6ac3: 069918 src a9, a9, a6 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:253 + 8e6ac6: 9953 s32i.n a9, a5, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:254 + 8e6ac8: 255c10 addi a5, a5, 16 + +008e6acb : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:259 + 8e6acb: 7c4715 bbci a4, 28, 8e6ae4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:262 + 8e6ace: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:263 + 8e6ad0: 8832 l32i.n a8, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:264 + 8e6ad2: 076618 src a6, a6, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:265 + 8e6ad5: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:266 + 8e6ad7: b833 addi.n a3, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:267 + 8e6ad9: 087718 src a7, a7, a8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:268 + 8e6adc: 9751 s32i.n a7, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:269 + 8e6ade: 255c08 addi a5, a5, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:270 + 8e6ae1: 088602 or a6, a8, a8 + +008e6ae4 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:272 + 8e6ae4: 7d470c bbci a4, 29, 8e6af4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:275 + 8e6ae7: 8731 l32i.n a7, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:276 + 8e6ae9: b433 addi.n a3, a3, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:277 + 8e6aeb: 076618 src a6, a6, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:278 + 8e6aee: 9650 s32i.n a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:279 + 8e6af0: b455 addi.n a5, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:280 + 8e6af2: d670 mov.n a6, a7 + +008e6af4 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:283 + 8e6af4: ab33 add.n a3, a3, a11 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:285 + 8e6af6: 7e4f06 bbsi a4, 30, 8e6b00 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:286 + 8e6af9: 7f4f18 bbsi a4, 31, 8e6b15 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:287 + 8e6afc: d10f retw.n + +008e6afe : + ... + +008e6b00 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:290 + 8e6b00: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:291 + 8e6b03: 273001 l8ui a7, a3, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:292 + 8e6b06: b233 addi.n a3, a3, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:293 + 8e6b08: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:294 + 8e6b0b: 275401 s8i a7, a5, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:295 + 8e6b0e: b255 addi.n a5, a5, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:296 + 8e6b10: 7f4f01 bbsi a4, 31, 8e6b15 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:297 + 8e6b13: d10f retw.n + +008e6b15 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:300 + 8e6b15: 263000 l8ui a6, a3, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:301 + 8e6b18: 265400 s8i a6, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:302 + 8e6b1b: d10f retw.n + +008e6b1d : + 8e6b1d: 000000 ... + +008e6b20 : +memmove(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:62 + 8e6b20: 6c1004 entry a1, 32 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:93 + 8e6b23: d820 mov.n a8, a2 + 8e6b25: d940 mov.n a9, a4 + 8e6b27: da40 mov.n a10, a4 + 8e6b29: d730 mov.n a7, a3 + 8e6b2b: d320 mov.n a3, a2 + 8e6b2d: 727b1b bgeu a7, a2, 8e6b4c + 8e6b30: a742 add.n a2, a4, a7 + 8e6b32: 723b16 bgeu a3, a2, 8e6b4c +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:97 + 8e6b35: a843 add.n a3, a4, a8 + 8e6b37: 6440a3 beqz a4, 8e6bde + 8e6b3a: 6d4909 loopnez a4, 8e6b47 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:100 + 8e6b3d: b022 addi.n a2, a2, -1 + 8e6b3f: b033 addi.n a3, a3, -1 + 8e6b41: 242000 l8ui a4, a2, 0 + 8e6b44: 243400 s8i a4, a3, 0 + +008e6b47 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:141 + 8e6b47: d280 mov.n a2, a8 + 8e6b49: d10f retw.n + +008e6b4b : + ... + +008e6b4c : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:108 + 8e6b4c: 6e9b08 bltui a9, 16, 8e6b58 + 8e6b4f: 087b02 or a11, a7, a8 + 8e6b52: 0b0b41 extui a11, a11, 0, 2 + 8e6b55: 64b089 beqz a11, 8e6be2 + +008e6b58 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:135 + 8e6b58: b0ac addi.n a12, a10, -1 + 8e6b5a: 69c002 bnei a12, -1, 8e6b60 + 8e6b5d: 60007d j 8e6bde + 8e6b60: 0a4212 srai a2, a10, 4 + 8e6b63: 0a0d43 extui a13, a10, 0, 4 + 8e6b66: 6dda09 loopgtz a13, 8e6b73 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:137 + 8e6b69: 2e7000 l8ui a14, a7, 0 + 8e6b6c: 2e3400 s8i a14, a3, 0 + 8e6b6f: b177 addi.n a7, a7, 1 + 8e6b71: b133 addi.n a3, a3, 1 + +008e6b73 : + 8e6b73: d430 mov.n a4, a3 + 8e6b75: 6d2a65 loopgtz a2, 8e6bde + 8e6b78: 227000 l8ui a2, a7, 0 + 8e6b7b: 224400 s8i a2, a4, 0 + 8e6b7e: 227001 l8ui a2, a7, 1 + 8e6b81: 224401 s8i a2, a4, 1 + 8e6b84: 227002 l8ui a2, a7, 2 + 8e6b87: 224402 s8i a2, a4, 2 + 8e6b8a: 227003 l8ui a2, a7, 3 + 8e6b8d: 224403 s8i a2, a4, 3 + 8e6b90: 227004 l8ui a2, a7, 4 + 8e6b93: 224404 s8i a2, a4, 4 + 8e6b96: 227005 l8ui a2, a7, 5 + 8e6b99: 224405 s8i a2, a4, 5 + 8e6b9c: 227006 l8ui a2, a7, 6 + 8e6b9f: 224406 s8i a2, a4, 6 + 8e6ba2: 227007 l8ui a2, a7, 7 + 8e6ba5: 224407 s8i a2, a4, 7 + 8e6ba8: 227008 l8ui a2, a7, 8 + 8e6bab: 224408 s8i a2, a4, 8 + 8e6bae: 227009 l8ui a2, a7, 9 + 8e6bb1: 224409 s8i a2, a4, 9 + 8e6bb4: 22700a l8ui a2, a7, 10 + 8e6bb7: 22440a s8i a2, a4, 10 + 8e6bba: 22700b l8ui a2, a7, 11 + 8e6bbd: 22440b s8i a2, a4, 11 + 8e6bc0: 22700c l8ui a2, a7, 12 + 8e6bc3: 22440c s8i a2, a4, 12 + 8e6bc6: 22700d l8ui a2, a7, 13 + 8e6bc9: 22440d s8i a2, a4, 13 + 8e6bcc: 22700e l8ui a2, a7, 14 + 8e6bcf: 22440e s8i a2, a4, 14 + 8e6bd2: 22700f l8ui a2, a7, 15 + 8e6bd5: 22440f s8i a2, a4, 15 + 8e6bd8: 277c10 addi a7, a7, 16 + 8e6bdb: 244c10 addi a4, a4, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:141 + 8e6bde: d280 mov.n a2, a8 + 8e6be0: d10f retw.n + +008e6be2 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:114 + 8e6be2: d380 mov.n a3, a8 + 8e6be4: 6f9b02 bgeui a9, 16, 8e6bea + 8e6be7: 600082 j 8e6c6d + 8e6bea: c0a1 movi.n a10, 1 + 8e6bec: 269c0f addi a6, a9, 15 + 8e6bef: 09963b movgez a6, a9, a9 + 8e6bf2: 064612 srai a6, a6, 4 + 8e6bf5: 0a6635 max a6, a6, a10 + 8e6bf8: 060f41 extui a15, a6, 0, 2 + 8e6bfb: 066402 or a4, a6, a6 + 8e6bfe: 042212 srai a2, a4, 2 + 8e6c01: 6dfa15 loopgtz a15, 8e6c1a +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:119 + 8e6c04: 8d70 l32i.n a13, a7, 0 + 8e6c06: 9d30 s32i.n a13, a3, 0 + 8e6c08: 8c71 l32i.n a12, a7, 4 + 8e6c0a: 9c31 s32i.n a12, a3, 4 + 8e6c0c: 8b72 l32i.n a11, a7, 8 + 8e6c0e: 9b32 s32i.n a11, a3, 8 + 8e6c10: 8a73 l32i.n a10, a7, 12 + 8e6c12: 9a33 s32i.n a10, a3, 12 + 8e6c14: 277c10 addi a7, a7, 16 + 8e6c17: 233c10 addi a3, a3, 16 + +008e6c1a : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:114 + 8e6c1a: d430 mov.n a4, a3 + 8e6c1c: 0c6a11 slli a10, a6, 4 + 8e6c1f: 0a9a0c sub a10, a9, a10 + 8e6c22: 6d2a45 loopgtz a2, 8e6c6b +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:119 + 8e6c25: 8270 l32i.n a2, a7, 0 + 8e6c27: 9240 s32i.n a2, a4, 0 + 8e6c29: 8271 l32i.n a2, a7, 4 + 8e6c2b: 9241 s32i.n a2, a4, 4 + 8e6c2d: 8272 l32i.n a2, a7, 8 + 8e6c2f: 9242 s32i.n a2, a4, 8 + 8e6c31: 8273 l32i.n a2, a7, 12 + 8e6c33: 9243 s32i.n a2, a4, 12 + 8e6c35: 8274 l32i.n a2, a7, 16 + 8e6c37: 9244 s32i.n a2, a4, 16 + 8e6c39: 8275 l32i.n a2, a7, 20 + 8e6c3b: 9245 s32i.n a2, a4, 20 + 8e6c3d: 8276 l32i.n a2, a7, 24 + 8e6c3f: 9246 s32i.n a2, a4, 24 + 8e6c41: 8277 l32i.n a2, a7, 28 + 8e6c43: 9247 s32i.n a2, a4, 28 + 8e6c45: 8278 l32i.n a2, a7, 32 + 8e6c47: 9248 s32i.n a2, a4, 32 + 8e6c49: 8279 l32i.n a2, a7, 36 + 8e6c4b: 9249 s32i.n a2, a4, 36 + 8e6c4d: 827a l32i.n a2, a7, 40 + 8e6c4f: 924a s32i.n a2, a4, 40 + 8e6c51: 827b l32i.n a2, a7, 44 + 8e6c53: 924b s32i.n a2, a4, 44 + 8e6c55: 827c l32i.n a2, a7, 48 + 8e6c57: 924c s32i.n a2, a4, 48 + 8e6c59: 827d l32i.n a2, a7, 52 + 8e6c5b: 924d s32i.n a2, a4, 52 + 8e6c5d: 827e l32i.n a2, a7, 56 + 8e6c5f: 924e s32i.n a2, a4, 56 + 8e6c61: 827f l32i.n a2, a7, 60 + 8e6c63: 924f s32i.n a2, a4, 60 + 8e6c65: 277c40 addi a7, a7, 64 + 8e6c68: 244c40 addi a4, a4, 64 + +008e6c6b : + 8e6c6b: d340 mov.n a3, a4 + +008e6c6d : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:124 + 8e6c6d: d6a0 mov.n a6, a10 + 8e6c6f: 6fa402 bgeui a10, 4, 8e6c75 + 8e6c72: 63fee2 j 8e6b58 + 8e6c75: 0a2b43 extui a11, a10, 2, 4 + 8e6c78: 0a2914 srli a9, a10, 2 + 8e6c7b: d490 mov.n a4, a9 + 8e6c7d: 6db907 loopnez a11, 8e6c88 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:126 + 8e6c80: 8c70 l32i.n a12, a7, 0 + 8e6c82: 9c30 s32i.n a12, a3, 0 + 8e6c84: b477 addi.n a7, a7, 4 + 8e6c86: b433 addi.n a3, a3, 4 + +008e6c88 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:124 + 8e6c88: 0e9a11 slli a10, a9, 2 + 8e6c8b: 044214 srli a2, a4, 4 + 8e6c8e: 033402 or a4, a3, a3 + 8e6c91: 6d2945 loopnez a2, 8e6cda +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:126 + 8e6c94: 8270 l32i.n a2, a7, 0 + 8e6c96: 9240 s32i.n a2, a4, 0 + 8e6c98: 8271 l32i.n a2, a7, 4 + 8e6c9a: 9241 s32i.n a2, a4, 4 + 8e6c9c: 8272 l32i.n a2, a7, 8 + 8e6c9e: 9242 s32i.n a2, a4, 8 + 8e6ca0: 8273 l32i.n a2, a7, 12 + 8e6ca2: 9243 s32i.n a2, a4, 12 + 8e6ca4: 8274 l32i.n a2, a7, 16 + 8e6ca6: 9244 s32i.n a2, a4, 16 + 8e6ca8: 8275 l32i.n a2, a7, 20 + 8e6caa: 9245 s32i.n a2, a4, 20 + 8e6cac: 8276 l32i.n a2, a7, 24 + 8e6cae: 9246 s32i.n a2, a4, 24 + 8e6cb0: 8277 l32i.n a2, a7, 28 + 8e6cb2: 9247 s32i.n a2, a4, 28 + 8e6cb4: 8278 l32i.n a2, a7, 32 + 8e6cb6: 9248 s32i.n a2, a4, 32 + 8e6cb8: 8279 l32i.n a2, a7, 36 + 8e6cba: 9249 s32i.n a2, a4, 36 + 8e6cbc: 827a l32i.n a2, a7, 40 + 8e6cbe: 924a s32i.n a2, a4, 40 + 8e6cc0: 827b l32i.n a2, a7, 44 + 8e6cc2: 924b s32i.n a2, a4, 44 + 8e6cc4: 827c l32i.n a2, a7, 48 + 8e6cc6: 924c s32i.n a2, a4, 48 + 8e6cc8: 827d l32i.n a2, a7, 52 + 8e6cca: 924d s32i.n a2, a4, 52 + 8e6ccc: 827e l32i.n a2, a7, 56 + 8e6cce: 924e s32i.n a2, a4, 56 + 8e6cd0: 827f l32i.n a2, a7, 60 + 8e6cd2: 924f s32i.n a2, a4, 60 + 8e6cd4: 277c40 addi a7, a7, 64 + 8e6cd7: 244c40 addi a4, a4, 64 + +008e6cda : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:124 + 8e6cda: 0a6a0c sub a10, a6, a10 + 8e6cdd: d340 mov.n a3, a4 + 8e6cdf: 63fe75 j 8e6b58 + +008e6ce2 : + ... + +008e6ce4 <__memset_aux>: + ... + +008e6ce5 <__memset_aux+0x1>: +__memset_aux(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:59 + 8e6ce5: 6d4904 loopnez a4, 8e6ced <__memset_aux+0x9> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:64 + 8e6ce8: 235400 s8i a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:65 + 8e6ceb: b155 addi.n a5, a5, 1 + +008e6ced <__memset_aux+0x9>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:69 + 8e6ced: d10f retw.n + +008e6cef <__memset_aux+0xb>: + ... + +008e6cf0 <__memset_aux+0xc>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:79 + 8e6cf0: 6e48f1 bltui a4, 8, 8e6ce5 <__memset_aux+0x1> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:82 + 8e6cf3: 235400 s8i a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:83 + 8e6cf6: b155 addi.n a5, a5, 1 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:84 + 8e6cf8: b044 addi.n a4, a4, -1 + +008e6cfa <__memset_aux+0x16>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:87 + 8e6cfa: 7e5728 bbci a5, 30, 8e6d26 + +008e6cfd <__memset_aux+0x19>: +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:92 + 8e6cfd: 6e48e4 bltui a4, 8, 8e6ce5 <__memset_aux+0x1> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:95 + 8e6d00: 235500 s16i a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:96 + 8e6d03: b255 addi.n a5, a5, 2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:97 + 8e6d05: 244cfe addi a4, a4, -2 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:100 + 8e6d08: 60001a j 8e6d26 + +008e6d0b <__memset_aux+0x27>: + ... + +008e6d0c : +memset(): +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:107 + 8e6d0c: 6c1002 entry a1, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:111 + 8e6d0f: 030347 extui a3, a3, 0, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:112 + 8e6d12: 083711 slli a7, a3, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:113 + 8e6d15: 073302 or a3, a3, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:114 + 8e6d18: 003711 slli a7, a3, 16 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:115 + 8e6d1b: 073302 or a3, a3, a7 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:117 + 8e6d1e: d520 mov.n a5, a2 + +008e6d20 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:120 + 8e6d20: 7f2fcc bbsi a2, 31, 8e6cf0 <__memset_aux+0xc> +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:121 + 8e6d23: 7e2fd6 bbsi a2, 30, 8e6cfd <__memset_aux+0x19> + +008e6d26 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:125 + 8e6d26: 044714 srli a7, a4, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:129 + 8e6d29: 6d790a loopnez a7, 8e6d37 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:136 + 8e6d2c: 9350 s32i.n a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:137 + 8e6d2e: 9351 s32i.n a3, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:138 + 8e6d30: 9352 s32i.n a3, a5, 8 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:139 + 8e6d32: 9353 s32i.n a3, a5, 12 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:140 + 8e6d34: 255c10 addi a5, a5, 16 + +008e6d37 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:146 + 8e6d37: 7c4706 bbci a4, 28, 8e6d41 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:149 + 8e6d3a: 9350 s32i.n a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:150 + 8e6d3c: 9351 s32i.n a3, a5, 4 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:151 + 8e6d3e: 255c08 addi a5, a5, 8 + +008e6d41 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:153 + 8e6d41: 7d4703 bbci a4, 29, 8e6d48 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:156 + 8e6d44: 9350 s32i.n a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:157 + 8e6d46: b455 addi.n a5, a5, 4 + +008e6d48 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:159 + 8e6d48: 7e4704 bbci a4, 30, 8e6d50 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:162 + 8e6d4b: 235500 s16i a3, a5, 0 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:163 + 8e6d4e: b255 addi.n a5, a5, 2 + +008e6d50 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:165 + 8e6d50: 7f4702 bbci a4, 31, 8e6d56 +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:168 + 8e6d53: 235400 s8i a3, a5, 0 + +008e6d56 : +/home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:169 + 8e6d56: d10f retw.n +Disassembly of section .debug_aranges: + +00000000 <.debug_aranges>: + 0: 0000001c 00020000 00000400 00000000 ................ + 10: 008e1000 0000002a 00000000 00000000 .......*........ + 20: 0000001c 00020000 00790400 00000000 .........y...... + 30: 008e0c20 00000006 00000000 00000000 ... ............ + 40: 0000001c 00020000 00f50400 00000000 ................ + 50: 008e0f20 00000006 00000000 00000000 ... ............ + 60: 0000001c 00020000 01720400 00000000 .........r...... + 70: 008e0d40 00000006 00000000 00000000 ...@............ + 80: 0000001c 00020000 01ef0400 00000000 ................ + 90: 008e0000 000000de 00000000 00000000 ................ + a0: 0000001c 00020000 026b0400 00000000 .........k...... + b0: 008e0e20 00000017 00000000 00000000 ... ............ + c0: 0000001c 00020000 02e60400 00000000 ................ + d0: 008e0ce4 00000003 00000000 00000000 ................ + e0: 0000001c 00020000 03600400 00000000 .........`...... + f0: 008e0800 0000016a 00000000 00000000 .......j........ + 100: 0000001c 00020000 03de0400 00000000 ................ + 110: 008e0a20 00000006 00000000 00000000 ... ............ + 120: 0000001c 00020000 04580400 00000000 .........X...... + 130: 008e0b20 00000006 00000000 00000000 ... ............ + 140: 0000001c 00020000 04d20400 00000000 ................ + 150: 008e102c 000000d7 00000000 00000000 ...,............ + 160: 0000001c 00020000 05540400 00000000 .........T...... + 170: 008e1104 0000006f 00000000 00000000 .......o........ + 180: 0000001c 00020000 05d70400 00000000 ................ + 190: 008e1174 0000006e 00000000 00000000 ...t...n........ + 1a0: 0000001c 00020000 065c0400 00000000 .........\...... + 1b0: 008e11e4 0000005c 00000000 00000000 .......\........ + 1c0: 0000001c 00020000 0a0e0400 00000000 ................ + 1d0: 008e1240 000000b1 00000000 00000000 ...@............ + 1e0: 0000001c 00020000 0a930400 00000000 ................ + 1f0: 008e12f4 000000ae 00000000 00000000 ................ + 200: 0000001c 00020000 0b180400 00000000 ................ + 210: 008e67e4 00000008 00000000 00000000 ..g............. + 220: 0000001c 00020000 0b970400 00000000 ................ + 230: 008e67ec 0000000d 00000000 00000000 ..g............. + 240: 0000001c 00020000 0c140400 00000000 ................ + 250: 008e67fc 00000018 00000000 00000000 ..g............. + 260: 0000001c 00020000 0c8e0400 00000000 ................ + 270: 008e13a4 00000826 00000000 00000000 .......&........ + 280: 0000001c 00020000 600a0400 00000000 ........`....... + 290: 008e1bcc 00000078 00000000 00000000 .......x........ + 2a0: 0000001c 00020000 a8820400 00000000 ................ + 2b0: 008e1c44 000000f2 00000000 00000000 ...D............ + 2c0: 0000001c 00020000 f3df0400 00000000 ................ + 2d0: 008e1d38 000003e5 00000000 00000000 ...8............ + 2e0: 0000001c 00020001 3de70400 00000000 ........=....... + 2f0: 008e2120 0000018e 00000000 00000000 ..! ............ + 300: 0000001c 00020001 88d00400 00000000 ................ + 310: 008e22b0 00000026 00000000 00000000 .."....&........ + 320: 0000001c 00020001 d01d0400 00000000 ................ + 330: 008e22d8 00000175 00000000 00000000 .."....u........ + 340: 0000001c 00020002 1bba0400 00000000 ................ + 350: 008e2450 00000513 00000000 00000000 ..$P............ + 360: 0000001c 00020002 66210400 00000000 ........f!...... + 370: 008e2964 00000155 00000000 00000000 ..)d...U........ + 380: 0000001c 00020002 afb60400 00000000 ................ + 390: 008e2abc 0000002b 00000000 00000000 ..*....+........ + 3a0: 0000001c 00020002 f6e20400 00000000 ................ + 3b0: 008e2ae8 000000b2 00000000 00000000 ..*............. + 3c0: 0000001c 00020003 3f930400 00000000 ........?....... + 3d0: 008e2b9c 000000de 00000000 00000000 ..+............. + 3e0: 0000001c 00020003 89060400 00000000 ................ + 3f0: 008e2c7c 00000114 00000000 00000000 ..,|............ + 400: 0000001c 00020003 d2490400 00000000 .........I...... + 410: 008e2d90 0000019b 00000000 00000000 ..-............. + 420: 0000001c 00020004 1bf60400 00000000 ................ + 430: 008e2f2c 0000041a 00000000 00000000 ../,............ + 440: 0000001c 00020004 69e20400 00000000 ........i....... + 450: 008e3348 000004d4 00000000 00000000 ..3H............ + 460: 0000001c 00020004 b9550400 00000000 .........U...... + 470: 008e381c 00000f9d 00000000 00000000 ..8............. + 480: 0000001c 00020005 10430400 00000000 .........C...... + 490: 008e47bc 000003b3 00000000 00000000 ..G............. + 4a0: 0000001c 00020005 59d90400 00000000 ........Y....... + 4b0: 008e4b70 00000243 00000000 00000000 ..Kp...C........ + 4c0: 0000001c 00020005 a7030400 00000000 ................ + 4d0: 008e4db4 00000084 00000000 00000000 ..M............. + 4e0: 0000001c 00020005 eee00400 00000000 ................ + 4f0: 008e4e38 000000b5 00000000 00000000 ..N8............ + 500: 0000001c 00020006 37230400 00000000 ........7#...... + 510: 008e4ef0 000008ef 00000000 00000000 ..N............. + 520: 0000001c 00020006 8fc30400 00000000 ................ + 530: 008e57e0 000003d3 00000000 00000000 ..W............. + 540: 0000001c 00020006 dbf20400 00000000 ................ + 550: 008e5bb4 0000016f 00000000 00000000 ..[....o........ + 560: 0000001c 00020007 2c9a0400 00000000 ........,....... + 570: 008e5d24 00000404 00000000 00000000 ..]$............ + 580: 0000001c 00020007 7ac00400 00000000 ........z....... + 590: 008e6128 000000e3 00000000 00000000 ..a(............ + 5a0: 0000001c 00020007 c4af0400 00000000 ................ + 5b0: 008e620c 00000061 00000000 00000000 ..b....a........ + 5c0: 0000001c 00020007 c79e0400 00000000 ................ + 5d0: 008e6814 00000005 00000000 00000000 ..h............. + 5e0: 0000001c 00020007 c8170400 00000000 ................ + 5f0: 008e6270 00000079 00000000 00000000 ..bp...y........ + 600: 0000001c 00020007 c89c0400 00000000 ................ + 610: 008e681c 00000026 00000000 00000000 ..h....&........ + 620: 0000001c 00020007 d5850400 00000000 ................ + 630: 008e62ec 00000137 00000000 00000000 ..b....7........ + 640: 0000001c 00020007 d6580400 00000000 .........X...... + 650: 008e6844 00000008 00000000 00000000 ..hD............ + 660: 0000001c 00020007 d7230400 00000000 .........#...... + 670: 008e684c 00000008 00000000 00000000 ..hL............ + 680: 0000001c 00020007 d7ee0400 00000000 ................ + 690: 008e6854 00000008 00000000 00000000 ..hT............ + 6a0: 0000001c 00020007 dae20400 00000000 ................ + 6b0: 008e685c 00000068 00000000 00000000 ..h\...h........ + 6c0: 0000001c 00020007 dbd60400 00000000 ................ + 6d0: 008e68c4 00000049 00000000 00000000 ..h....I........ + 6e0: 0000001c 00020007 dcca0400 00000000 ................ + 6f0: 008e6910 00000050 00000000 00000000 ..i....P........ + 700: 0000001c 00020007 ddbe0400 00000000 ................ + 710: 008e6960 0000003d 00000000 00000000 ..i`...=........ + 720: 0000001c 00020007 deb20400 00000000 ................ + 730: 008e69a0 00000045 00000000 00000000 ..i....E........ + 740: 0000001c 00020007 e9f20400 00000000 ................ + 750: 008e69e8 00000135 00000000 00000000 ..i....5........ + 760: 0000001c 00020007 eaff0400 00000000 ................ + 770: 008e6b20 000001c2 00000000 00000000 ..k ............ + 780: 0000001c 00020007 f6800400 00000000 ................ + 790: 008e6ce4 00000074 00000000 00000000 ..l....t........ + 7a0: 0000001c 00020007 f78d0400 00000000 ................ + 7b0: 008e6424 00000118 00000000 00000000 ..d$............ + 7c0: 0000001c 00020007 f89a0400 00000000 ................ + 7d0: 008e653c 00000098 00000000 00000000 ..e<............ + 7e0: 0000001c 00020007 f9a70400 00000000 ................ + 7f0: 008e65d4 00000063 00000000 00000000 ..e....c........ + 800: 0000001c 00020007 fab40400 00000000 ................ + 810: 008e6638 0000008e 00000000 00000000 ..f8............ + 820: 0000001c 00020008 05dd0400 00000000 ................ + 830: 008e66c8 0000011b 00000000 00000000 ..f............. +Disassembly of section .debug_pubnames: + +00000000 <.debug_pubnames>: + 0: 00000052 00020000 065c0000 00000000 ...R.....\...... + 10: 02f15f78 746f735f 7365745f 696e7465 .._xtos_set_inte + 20: 72727570 745f6861 6e646c65 725f6172 rrupt_handler_ar + 30: 67000000 03655f78 746f735f 7365745f g....e_xtos_set_ + 40: 696e7465 72727570 745f6861 6e646c65 interrupt_handle + 50: 72000000 00000000 01c50002 00000c8e r............... + 60: 00000000 000049bc 5f726f6d 5f73746f ......I._rom_sto + 70: 72655f74 61626c65 0000004a ca626173 re_table...J.bas + 80: 69635f52 4f4d5f6d 6f64756c 655f7461 ic_ROM_module_ta + 90: 626c6500 00004d32 706f7374 0000004d ble...M2post...M + a0: 704d6167 7069655f 66617461 6c5f6578 pMagpie_fatal_ex + b0: 63657074 696f6e5f 68616e64 6c657200 ception_handler. + c0: 00004e1a 6174686f 735f626c 6f636b5f ..N.athos_block_ + d0: 616c6c5f 696e7472 6c766c00 00004e58 all_intrlvl...NX + e0: 6174686f 735f756e 626c6f63 6b5f616c athos_unblock_al + f0: 6c5f696e 74726c76 6c000000 4e946174 l_intrlvl...N.at + 100: 686f735f 72657374 6f72655f 696e7472 hos_restore_intr + 110: 6c766c00 00004fd8 67656e65 7269635f lvl...O.generic_ + 120: 6869665f 6d6f6475 6c655f69 6e737461 hif_module_insta + 130: 6c6c0000 00502861 74686f73 5f696e64 ll...P(athos_ind + 140: 69726563 74696f6e 5f746162 6c655f69 irection_table_i + 150: 6e737461 6c6c0000 00507261 74686f73 nstall...Prathos + 160: 5f696e74 65727275 70745f68 616e646c _interrupt_handl + 170: 65720000 0050cd61 74686f73 5f696e74 er...P.athos_int + 180: 65727275 70745f69 6e697400 00005103 errupt_init...Q. + 190: 6174686f 735f696e 69740000 0051365f athos_init...Q6_ + 1a0: 72656164 5f757362 5f646573 63000000 read_usb_desc... + 1b0: 51ab7265 61645f75 73625f63 6f6e6600 Q.read_usb_conf. + 1c0: 000051e5 7365745f 7063695f 636f6e66 ..Q.set_pci_conf + 1d0: 00000052 4e626f6f 746c6f61 64000000 ...RNbootload... + 1e0: 526b7063 695f676d 61635f62 6f6f746c Rkpci_gmac_bootl + 1f0: 6f616400 00005291 7475726e 5f6f6666 oad...R.turn_off + 200: 5f726300 000052b1 626f6f74 656e7472 _rc...R.bootentr + 210: 79000000 535b6d61 696e0000 00000000 y...S[main...... + 220: 0000ab00 02000060 0a000000 00000046 .......`.......F + 230: c3616c6c 6f637261 6d5f6375 7272656e .allocram_curren + 240: 745f6164 64720000 0046e561 6c6c6f63 t_addr...F.alloc + 250: 72616d5f 72656d61 696e696e 675f6279 ram_remaining_by + 260: 74657300 0000474f 636d6e6f 735f616c tes...GOcmnos_al + 270: 6c6f6372 616d5f69 6e697400 000047b3 locram_init...G. + 280: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 290: 00480d63 6d6e6f73 5f616c6c 6f637261 .H.cmnos_allocra + 2a0: 6d5f6465 62756700 00004836 636d6e6f m_debug...H6cmno + 2b0: 735f616c 6c6f6372 616d5f6d 6f64756c s_allocram_modul + 2c0: 655f696e 7374616c 6c000000 00000000 e_install....... + 2d0: 01990002 0000a882 00000000 000046ba ..............F. + 2e0: 63746963 6b730000 0046cd63 7572725f cticks...F.curr_ + 2f0: 62616e64 00000048 17636d6e 6f735f63 band...H.cmnos_c + 300: 6c6f636b 696e675f 7461626c 65000000 locking_table... + 310: 483f636c 6f636b5f 696e666f 00000048 H?clock_info...H + 320: 56636d6e 6f735f73 6b69705f 706c6c5f Vcmnos_skip_pll_ + 330: 696e6974 00000048 76706c6c 5f637472 init...Hvpll_ctr + 340: 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. + 350: 00004899 706c6c5f 6374726c 5f736574 ..H.pll_ctrl_set + 360: 74696e67 5f356768 7a000000 48d0636d ting_5ghz...H.cm + 370: 6e6f735f 64656c61 795f7573 00000049 nos_delay_us...I + 380: 30636d6e 6f735f6d 696c6c69 7365636f 0cmnos_milliseco + 390: 6e647300 0000495b 636d6e6f 735f7265 nds...I[cmnos_re + 3a0: 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 3b0: 00498a63 6d6e6f73 5f756172 745f6672 .I.cmnos_uart_fr + 3c0: 65717565 6e637900 000049b7 636d6e6f equency...I.cmno + 3d0: 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. + 3e0: 000049df 636d6e6f 735f636c 6f636b72 ..I.cmnos_clockr + 3f0: 6567735f 696e6974 0000004a 08636d6e egs_init...J.cmn + 400: 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set + 410: 0000004a 49636d6e 6f735f70 6c6c5f69 ...JIcmnos_pll_i + 420: 6e697400 00004a6c 636d6e6f 735f636c nit...Jlcmnos_cl + 430: 6f636b5f 696e6974 0000004a c1636d6e ock_init...J.cmn + 440: 6f735f74 69636b00 00004b1c 636d6e6f os_tick...K.cmno + 450: 735f636c 6f636b5f 6d6f6475 6c655f69 s_clock_module_i + 460: 6e737461 6c6c0000 00000000 0000d100 nstall.......... + 470: 020000f3 df000000 00000046 d0656570 ...........F.eep + 480: 5f737461 74650000 0046e665 65705f65 _state...F.eep_e + 490: 78697374 00000047 48636d6e 6f735f65 xist...GHcmnos_e + 4a0: 6570726f 6d5f7772 6974655f 68776f72 eprom_write_hwor + 4b0: 64000000 479c636d 6e6f735f 65657072 d...G.cmnos_eepr + 4c0: 6f6d5f72 6561645f 68776f72 64000000 om_read_hword... + 4d0: 47fe636d 6e6f735f 6565705f 69735f65 G.cmnos_eep_is_e + 4e0: 78697374 00000048 39636d6e 6f735f65 xist...H9cmnos_e + 4f0: 65705f77 72697465 00000048 dc636d6e ep_write...H.cmn + 500: 6f735f65 65705f72 65616400 00004977 os_eep_read...Iw + 510: 636d6e6f 735f6565 705f696e 69740000 cmnos_eep_init.. + 520: 0049c963 6d6e6f73 5f656570 5f6d6f64 .I.cmnos_eep_mod + 530: 756c655f 696e7374 616c6c00 00000000 ule_install..... + 540: 000000ed 00020001 3de70000 00000000 ........=....... + 550: 487a636d 6e6f735f 6973725f 696e666f Hzcmnos_isr_info + 560: 00000048 95636d6e 6f735f65 6e61626c ...H.cmnos_enabl + 570: 65645f69 6e746572 72757074 73000000 ed_interrupts... + 580: 48d4636d 6e6f735f 696e7472 5f64756d H.cmnos_intr_dum + 590: 6d790000 00491163 6d6e6f73 5f696e74 my...I.cmnos_int + 5a0: 725f696e 69740000 00494163 6d6e6f73 r_init...IAcmnos + 5b0: 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. + 5c0: 000049a1 636d6e6f 735f696e 74725f75 ..I.cmnos_intr_u + 5d0: 6e6d6173 6b5f696e 756d0000 004a0563 nmask_inum...J.c + 5e0: 6d6e6f73 5f696e74 725f6174 74616368 mnos_intr_attach + 5f0: 5f697372 0000004a 6a636d6e 6f735f69 _isr...Jjcmnos_i + 600: 6e74725f 696e766f 6b655f69 73720000 ntr_invoke_isr.. + 610: 004aab63 6d6e6f73 5f696e74 725f6d6f .J.cmnos_intr_mo + 620: 64756c65 5f696e73 74616c6c 00000000 dule_install.... + 630: 00000000 3e000200 0188d000 00000000 ....>........... + 640: 0046ed63 6d6e6f73 5f6d656d 5f696e69 .F.cmnos_mem_ini + 650: 74000000 4710636d 6e6f735f 6d656d5f t...G.cmnos_mem_ + 660: 6d6f6475 6c655f69 6e737461 6c6c0000 module_install.. + 670: 00000000 00013600 020001d0 1d000000 ......6......... + 680: 00000048 56617373 6c6f6f70 00000048 ...HVassloop...H + 690: 6a617373 7072696e 74000000 48936375 jassprint...H.cu + 6a0: 7272656e 745f6475 6d700000 00493663 rrent_dump...I6c + 6b0: 6d6e6f73 5f737973 74656d5f 72657365 mnos_system_rese + 6c0: 74000000 495d636d 6e6f735f 6d61635f t...I]cmnos_mac_ + 6d0: 72657365 74000000 4981636d 6e6f735f reset...I.cmnos_ + 6e0: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 6f0: 68616e64 6c657200 000049c6 636d6e6f handler...I.cmno + 700: 735f6173 73666169 6c000000 4a11636d s_assfail...J.cm + 710: 6e6f735f 7265706f 72745f66 61696c75 nos_report_failu + 720: 72655f74 6f5f686f 73740000 004a6263 re_to_host...Jbc + 730: 6d6e6f73 5f746172 6765745f 69645f67 mnos_target_id_g + 740: 65740000 004a8e63 6d6e6f73 5f676574 et...J.cmnos_get + 750: 5f6b6268 69740000 004ae963 6d6e6f73 _kbhit...J.cmnos + 760: 5f69735f 686f7374 5f707265 73656e74 _is_host_present + 770: 0000004b 31636d6e 6f735f72 6f6d5f76 ...K1cmnos_rom_v + 780: 65727369 6f6e5f67 65740000 004b5f63 ersion_get...K_c + 790: 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module + 7a0: 5f696e73 74616c6c 00000000 00000000 _install........ + 7b0: 74000200 021bba00 00000000 0046c65f t............F._ + 7c0: 70757463 00000047 78636d6e 6f735f77 putc...Gxcmnos_w + 7d0: 72697465 5f636861 72000000 49b3636d rite_char...I.cm + 7e0: 6e6f735f 7072696e 74660000 0049fe63 nos_printf...I.c + 7f0: 6d6e6f73 5f707269 6e74665f 696e6974 mnos_printf_init + 800: 0000004a 25636d6e 6f735f70 72696e74 ...J%cmnos_print + 810: 665f6d6f 64756c65 5f696e73 74616c6c f_module_install + ... + 828: c8000200 02662100 00000000 0046c370 .....f!......F.p + 838: 61746368 5f737461 72740000 0046db70 atch_start...F.p + 848: 61746368 5f616464 72000000 476c5f70 atch_addr...Gl_p + 858: 61746368 5f64756d 70000000 47a45f72 atch_dump...G._r + 868: 6561645f 726f6d5f 70617463 68000000 ead_rom_patch... + 878: 4806636d 6e6f735f 726f6d70 5f646563 H.cmnos_romp_dec + 888: 6f646500 00004889 636d6e6f 735f726f ode...H.cmnos_ro + 898: 6d705f69 6e737461 6c6c0000 0048b463 mp_install...H.c + 8a8: 6d6e6f73 5f726f6d 705f646f 776e6c6f mnos_romp_downlo + 8b8: 61640000 00493363 6d6e6f73 5f726f6d ad...I3cmnos_rom + 8c8: 705f696e 69740000 00495763 6d6e6f73 p_init...IWcmnos + 8d8: 5f726f6d 705f6d6f 64756c65 5f696e73 _romp_module_ins + 8e8: 74616c6c 00000000 00000000 44000200 tall........D... + 8f8: 02afb600 00000000 0046c663 6d6e6f73 .........F.cmnos + 908: 5f737472 696e675f 696e6974 00000046 _string_init...F + 918: ec636d6e 6f735f73 7472696e 675f6d6f .cmnos_string_mo + 928: 64756c65 5f696e73 74616c6c 00000000 dule_install.... + 938: 00000000 ad000200 02f6e200 00000000 ................ + 948: 00472663 6d6e6f73 5f746173 6b6c6574 .G&cmnos_tasklet + 958: 5f696e69 74000000 474d636d 6e6f735f _init...GMcmnos_ + 968: 7461736b 6c65745f 696e6974 5f746173 tasklet_init_tas + 978: 6b000000 47a8636d 6e6f735f 7461736b k...G.cmnos_task + 988: 6c65745f 73636865 64756c65 00000047 let_schedule...G + 998: e9636d6e 6f735f74 61736b6c 65745f64 .cmnos_tasklet_d + 9a8: 69736162 6c650000 00483c63 6d6e6f73 isable...H_ + cc8: 5f706369 5f676574 5f72785f 656e6700 _pci_get_rx_eng. + cd8: 0000487a 5f5f7063 695f656e 61626c65 ..Hz__pci_enable + ce8: 00000048 ac5f5f70 63695f72 65736574 ...H.__pci_reset + cf8: 00000048 e05f5f70 63695f62 6f6f745f ...H.__pci_boot_ + d08: 696e6974 00000049 045f5f70 63695f69 init...I.__pci_i + d18: 6e697400 0000493d 5f5f7063 695f6366 nit...I=__pci_cf + d28: 675f7069 70650000 0049a95f 5f706369 g_pipe...I.__pci + d38: 5f737461 72740000 0049dd5f 5f706369 _start...I.__pci + d48: 5f726567 5f63616c 6c626163 6b000000 _reg_callback... + d58: 4a2d5f5f 7063695f 72656170 5f786d69 J-__pci_reap_xmi + d68: 74746564 0000004a 8c5f5f70 63695f72 tted...J.__pci_r + d78: 6561705f 72656376 0000004a de5f5f70 eap_recv...J.__p + d88: 63695f69 73725f68 616e646c 65720000 ci_isr_handler.. + d98: 004b2a5f 5f706369 5f786d69 745f6275 .K*__pci_xmit_bu + da8: 66000000 4b8c5f5f 7063695f 72657475 f...K.__pci_retu + db8: 726e5f72 65637600 00004bec 5f5f7063 rn_recv...K.__pc + dc8: 695f6973 5f706970 655f7375 70706f72 i_is_pipe_suppor + dd8: 74656400 00004c3f 5f5f7063 695f6765 ted...L?__pci_ge + de8: 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... + df8: 4c905f5f 7063695f 6765745f 72657365 L.__pci_get_rese + e08: 72766564 5f686561 64726f6f 6d000000 rved_headroom... + e18: 4cd85f5f 7063695f 73687574 646f776e L.__pci_shutdown + e28: 0000004d 0f5f5f70 63695f67 65745f64 ...M.__pci_get_d + e38: 65665f70 69706500 00004d71 6869665f ef_pipe...Mqhif_ + e48: 7063695f 6d6f6475 6c655f69 6e737461 pci_module_insta + e58: 6c6c0000 004db168 69665f70 63695f61 ll...M.hif_pci_a + e68: 70695f69 6e737461 6c6c0000 00000000 pi_install...... + e78: 0001ad00 02000469 e2000000 00000047 .......i.......G + e88: 6e675f68 69665553 42437478 00000049 ng_hifUSBCtx...I + e98: 7d5f4849 46757362 5f696e69 74000000 }_HIFusb_init... + ea8: 49c85f48 49467573 625f7368 7574646f I._HIFusb_shutdo + eb8: 776e0000 004a025f 48494675 73625f72 wn...J._HIFusb_r + ec8: 65676973 7465725f 63616c6c 6261636b egister_callback + ed8: 0000004a 565f4849 46757362 5f737461 ...JV_HIFusb_sta + ee8: 72740000 004b4c5f 48494675 73625f63 rt...KL_HIFusb_c + ef8: 6f6e6669 675f7069 70650000 004bd35f onfig_pipe...K._ + f08: 48494675 73625f73 656e645f 62756666 HIFusb_send_buff + f18: 65720000 004c345f 48494675 73625f72 er...L4_HIFusb_r + f28: 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + f38: 004c9b5f 48494675 73625f73 65745f72 .L._HIFusb_set_r + f48: 6563765f 62756673 7a000000 4cfc5f48 ecv_bufsz...L._H + f58: 49467573 625f7061 7573655f 72656376 IFusb_pause_recv + f68: 0000004d 495f4849 46757362 5f726573 ...MI_HIFusb_res + f78: 756d655f 72656376 0000004d 975f4849 ume_recv...M._HI + f88: 46757362 5f69735f 70697065 5f737570 Fusb_is_pipe_sup + f98: 706f7274 65640000 004def5f 48494675 ported...M._HIFu + fa8: 73625f67 65745f6d 61785f6d 73675f6c sb_get_max_msg_l + fb8: 656e0000 004e455f 48494675 73625f69 en...NE_HIFusb_i + fc8: 73725f68 616e646c 65720000 004e955f sr_handler...N._ + fd8: 48494675 73625f67 65745f64 65666175 HIFusb_get_defau + fe8: 6c745f70 69706500 00004f07 6869665f lt_pipe...O.hif_ + ff8: 7573625f 6d6f6475 6c655f69 6e737461 usb_module_insta + 1008: 6c6c0000 004f4748 49467573 625f4465 ll...OGHIFusb_De + 1018: 73635472 61636544 756d7000 00000000 scTraceDump..... + 1028: 0000020e 00020004 b9550000 00000000 .........U...... + 1038: 473c436f 6e74726f 6c436d64 00000047 G: + 0: 00000075 00020000 00000401 00000000 ...u............ + 10: 008e1000 008e102a 63727431 2d74696e .......*crt1-tin + 20: 792e5300 2f666f6c 6b732f72 6873752f y.S./folks/rhsu/ + 30: 70726f6a 6563742f 7034762f 70657266 project/p4v/perf + 40: 6f726365 2f70305f 636f7265 2f70726f orce/p0_core/pro + 50: 6a656374 2f737263 2f726f6d 2f6f732f ject/src/rom/os/ + 60: 7372632f 78746f73 00474e55 20415320 src/xtos.GNU AS + 70: 322e3136 2e310080 01000000 78000200 2.16.1......x... + 80: 00001404 01000000 87008e0c 20008e0c ............ ... + 90: 26646562 75672d76 6563746f 722e5300 &debug-vector.S. + a0: 2f666f6c 6b732f72 6873752f 70726f6a /folks/rhsu/proj + b0: 6563742f 7034762f 70657266 6f726365 ect/p4v/perforce + c0: 2f70305f 636f7265 2f70726f 6a656374 /p0_core/project + d0: 2f737263 2f726f6d 2f6f732f 7372632f /src/rom/os/src/ + e0: 78746f73 00474e55 20415320 322e3136 xtos.GNU AS 2.16 + f0: 2e310080 01000000 79000200 00002804 .1......y.....(. + 100: 01000000 c9008e0f 20008e0f 26646f75 ........ ...&dou + 110: 626c652d 76656374 6f722e53 002f666f ble-vector.S./fo + 120: 6c6b732f 72687375 2f70726f 6a656374 lks/rhsu/project + 130: 2f703476 2f706572 666f7263 652f7030 /p4v/perforce/p0 + 140: 5f636f72 652f7072 6f6a6563 742f7372 _core/project/sr + 150: 632f726f 6d2f6f73 2f737263 2f78746f c/rom/os/src/xto + 160: 7300474e 55204153 20322e31 362e3100 s.GNU AS 2.16.1. + 170: 80010000 00790002 0000003c 04010000 .....y.....<.... + 180: 010c008e 0d40008e 0d466b65 726e656c .....@...Fkernel + 190: 2d766563 746f722e 53002f66 6f6c6b73 -vector.S./folks + 1a0: 2f726873 752f7072 6f6a6563 742f7034 /rhsu/project/p4 + 1b0: 762f7065 72666f72 63652f70 305f636f v/perforce/p0_co + 1c0: 72652f70 726f6a65 63742f73 72632f72 re/project/src/r + 1d0: 6f6d2f6f 732f7372 632f7874 6f730047 om/os/src/xtos.G + 1e0: 4e552041 5320322e 31362e31 00800100 NU AS 2.16.1.... + 1f0: 00007800 02000000 50040100 00014f00 ..x.....P.....O. + 200: 8e000000 8e00de72 65736574 2d766563 .......reset-vec + 210: 746f722e 53002f66 6f6c6b73 2f726873 tor.S./folks/rhs + 220: 752f7072 6f6a6563 742f7034 762f7065 u/project/p4v/pe + 230: 72666f72 63652f70 305f636f 72652f70 rforce/p0_core/p + 240: 726f6a65 63742f73 72632f72 6f6d2f6f roject/src/rom/o + 250: 732f7372 632f7874 6f730047 4e552041 s/src/xtos.GNU A + 260: 5320322e 31362e31 00800100 00007700 S 2.16.1......w. + 270: 02000000 64040100 0002f900 8e0e2000 ....d......... . + 280: 8e0e3775 7365722d 76656374 6f722e53 ..7user-vector.S + 290: 002f666f 6c6b732f 72687375 2f70726f ./folks/rhsu/pro + 2a0: 6a656374 2f703476 2f706572 666f7263 ject/p4v/perforc + 2b0: 652f7030 5f636f72 652f7072 6f6a6563 e/p0_core/projec + 2c0: 742f7372 632f726f 6d2f6f73 2f737263 t/src/rom/os/src + 2d0: 2f78746f 7300474e 55204153 20322e31 /xtos.GNU AS 2.1 + 2e0: 362e3100 80010000 00760002 00000078 6.1......v.....x + 2f0: 04010000 0364008e 0ce4008e 0ce76e6d .....d........nm + 300: 692d7665 63746f72 2e53002f 666f6c6b i-vector.S./folk + 310: 732f7268 73752f70 726f6a65 63742f70 s/rhsu/project/p + 320: 34762f70 6572666f 7263652f 70305f63 4v/perforce/p0_c + 330: 6f72652f 70726f6a 6563742f 7372632f ore/project/src/ + 340: 726f6d2f 6f732f73 72632f78 746f7300 rom/os/src/xtos. + 350: 474e5520 41532032 2e31362e 31008001 GNU AS 2.16.1... + 360: 0000007a 00020000 008c0401 0000039e ...z............ + 370: 008e0800 008e096a 77696e64 6f772d76 .......jwindow-v + 380: 6563746f 72732e53 002f666f 6c6b732f ectors.S./folks/ + 390: 72687375 2f70726f 6a656374 2f703476 rhsu/project/p4v + 3a0: 2f706572 666f7263 652f7030 5f636f72 /perforce/p0_cor + 3b0: 652f7072 6f6a6563 742f7372 632f726f e/project/src/ro + 3c0: 6d2f6f73 2f737263 2f78746f 7300474e m/os/src/xtos.GN + 3d0: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 3e0: 00760002 000000a0 04010000 0533008e .v...........3.. + 3f0: 0a20008e 0a26696e 742d7665 63746f72 . ...&int-vector + 400: 2e53002f 666f6c6b 732f7268 73752f70 .S./folks/rhsu/p + 410: 726f6a65 63742f70 34762f70 6572666f roject/p4v/perfo + 420: 7263652f 70305f63 6f72652f 70726f6a rce/p0_core/proj + 430: 6563742f 7372632f 726f6d2f 6f732f73 ect/src/rom/os/s + 440: 72632f78 746f7300 474e5520 41532032 rc/xtos.GNU AS 2 + 450: 2e31362e 31008001 00000076 00020000 .16.1......v.... + 460: 00b40401 00000573 008e0b20 008e0b26 .......s... ...& + 470: 696e742d 76656374 6f722e53 002f666f int-vector.S./fo + 480: 6c6b732f 72687375 2f70726f 6a656374 lks/rhsu/project + 490: 2f703476 2f706572 666f7263 652f7030 /p4v/perforce/p0 + 4a0: 5f636f72 652f7072 6f6a6563 742f7372 _core/project/sr + 4b0: 632f726f 6d2f6f73 2f737263 2f78746f c/rom/os/src/xto + 4c0: 7300474e 55204153 20322e31 362e3100 s.GNU AS 2.16.1. + 4d0: 80010000 007e0002 000000c8 04010000 .....~.......... + 4e0: 05b3008e 102c008e 11036578 632d616c .....,....exc-al + 4f0: 6c6f6361 2d68616e 646c6572 2e53002f loca-handler.S./ + 500: 666f6c6b 732f7268 73752f70 726f6a65 folks/rhsu/proje + 510: 63742f70 34762f70 6572666f 7263652f ct/p4v/perforce/ + 520: 70305f63 6f72652f 70726f6a 6563742f p0_core/project/ + 530: 7372632f 726f6d2f 6f732f73 72632f78 src/rom/os/src/x + 540: 746f7300 474e5520 41532032 2e31362e tos.GNU AS 2.16. + 550: 31008001 0000007f 00020000 00dc0401 1............... + 560: 0000079a 008e1104 008e1173 6578632d ...........sexc- + 570: 73797363 616c6c2d 68616e64 6c65722e syscall-handler. + 580: 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr + 590: 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor + 5a0: 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje + 5b0: 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr + 5c0: 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. + 5d0: 31362e31 00800100 00008100 02000000 16.1............ + 5e0: f0040100 0008d400 8e117400 8e11e269 ..........t....i + 5f0: 6e742d6c 6f777072 692d6469 73706174 nt-lowpri-dispat + 600: 63686572 2e53002f 666f6c6b 732f7268 cher.S./folks/rh + 610: 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p + 620: 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ + 630: 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ + 640: 6f732f73 72632f78 746f7300 474e5520 os/src/xtos.GNU + 650: 41532032 2e31362e 31008001 000003ae AS 2.16.1....... + 660: 00020000 01040401 696e742d 73657468 ........int-seth + 670: 616e646c 65722e63 002f666f 6c6b732f andler.c./folks/ + 680: 72687375 2f70726f 6a656374 2f703476 rhsu/project/p4v + 690: 2f706572 666f7263 652f7030 5f636f72 /perforce/p0_cor + 6a0: 652f7072 6f6a6563 742f7372 632f726f e/project/src/ro + 6b0: 6d2f6f73 2f737263 2f78746f 73007874 m/os/src/xtos.xt + 6c0: 2d786363 20666f72 20372e31 2e30202d -xcc for 7.1.0 - + 6d0: 4f50543a 616c6967 6e5f696e 73747275 OPT:align_instru + 6e0: 6374696f 6e733d33 32202d4f 32202d67 ctions=32 -O2 -g + 6f0: 33000100 000009d8 026c6f6e 6720696e 3........long in + 700: 74000504 03000000 9c100000 00b50403 t............... + 710: 00052800 00011406 70630000 00009c02 ..(.....pc...... + 720: 23000670 73000000 009c0223 04066172 #..ps......#..ar + 730: 65670000 0000a802 23080673 61720000 eg......#..sar.. + 740: 00009c02 2318066c 636f756e 74000000 ....#..lcount... + 750: 009c0223 1c066c62 65670000 00009c02 ...#..lbeg...... + 760: 2320066c 656e6400 0000009c 02232400 # .lend......#$. + 770: 05300000 01b00670 63000000 009c0223 .0.....pc......# + 780: 00067073 00000000 9c022304 06736172 ..ps......#..sar + 790: 00000000 9c022308 06767072 69000000 ......#..vpri... + 7a0: 009c0223 0c066132 00000000 9c022310 ...#..a2......#. + 7b0: 06613300 0000009c 02231406 61340000 .a3......#..a4.. + 7c0: 00009c02 23180661 35000000 009c0223 ....#..a5......# + 7d0: 1c066578 63636175 73650000 00009c02 ..exccause...... + 7e0: 2320066c 636f756e 74000000 009c0223 # .lcount......# + 7f0: 24066c62 65670000 00009c02 2328066c $.lbeg......#(.l + 800: 656e6400 0000009c 02232c00 0701085f end......#,...._ + 810: 78746f73 5f68616e 646c6572 5f66756e xtos_handler_fun + 820: 63000000 01b00900 0001b204 00085f78 c............._x + 830: 746f735f 68616e64 6c657200 000001ca tos_handler..... + 840: 0a04000b 58746f73 496e7448 616e646c ....XtosIntHandl + 850: 6572456e 74727900 08000002 1e066861 erEntry.......ha + 860: 6e646c65 72000000 01d10223 00066172 ndler......#..ar + 870: 67000000 01e40223 04000275 6e736967 g......#...unsig + 880: 6e656420 696e7400 07040b58 746f7349 ned int....XtosI + 890: 6e744d61 736b456e 74727900 08000002 ntMaskEntry..... + 8a0: 6b067670 72695f6d 61736b00 0000021e k.vpri_mask..... + 8b0: 02230006 6c657665 6c5f6d61 736b0000 .#..level_mask.. + 8c0: 00021e02 23040002 696e7400 05040c00 ....#...int..... + 8d0: 0001d101 02756e73 69676e65 64206368 .....unsigned ch + 8e0: 61720007 010d0000 02780d00 00027803 ar.......x....x. + 8f0: 0000028e 20000002 a0041f00 0d000002 .... ........... + 900: 930d0000 02780300 0002a520 000002b7 .....x..... .... + 910: 041f0008 58746f73 496e7448 616e646c ....XtosIntHandl + 920: 6572456e 74727900 000001e7 09000002 erEntry......... + 930: b7040003 000002b7 98000002 e4041200 ................ + 940: 09000001 b004000c 000001d1 010e011f ................ + 950: 5f78746f 735f7365 745f696e 74657272 _xtos_set_interr + 960: 7570745f 68616e64 6c65725f 61726700 upt_handler_arg. + 970: 000001d1 01010392 01200290 00008e11 ......... ...... + 980: e4008e12 30000003 650f011f 6e000000 ....0...e...n... + 990: 026b0152 0f011f66 00000001 d101530f .k.R...f......S. + 9a0: 011f6172 67000000 01e40154 10656e74 ..arg......T.ent + 9b0: 72790000 0002d010 6f6c6400 000001d1 ry......old..... + 9c0: 00110139 5f78746f 735f7365 745f696e ...9_xtos_set_in + 9d0: 74657272 7570745f 68616e64 6c657200 terrupt_handler. + 9e0: 000001d1 01010392 01200290 00008e12 ......... ...... + 9f0: 30008e12 400f0139 6e000000 026b0152 0...@..9n....k.R + a00: 0f013966 00000001 d1015300 00000000 ..9f......S..... + a10: 00810002 000001d2 04010000 0cb0008e ................ + a20: 1240008e 12f1696e 742d6d65 64707269 .@....int-medpri + a30: 2d646973 70617463 6865722e 53002f66 -dispatcher.S./f + a40: 6f6c6b73 2f726873 752f7072 6f6a6563 olks/rhsu/projec + a50: 742f7034 762f7065 72666f72 63652f70 t/p4v/perforce/p + a60: 305f636f 72652f70 726f6a65 63742f73 0_core/project/s + a70: 72632f72 6f6d2f6f 732f7372 632f7874 rc/rom/os/src/xt + a80: 6f730047 4e552041 5320322e 31362e31 os.GNU AS 2.16.1 + a90: 00800100 00008100 02000001 e6040100 ................ + aa0: 000e2100 8e12f400 8e13a269 6e742d6d ..!........int-m + ab0: 65647072 692d6469 73706174 63686572 edpri-dispatcher + ac0: 2e53002f 666f6c6b 732f7268 73752f70 .S./folks/rhsu/p + ad0: 726f6a65 63742f70 34762f70 6572666f roject/p4v/perfo + ae0: 7263652f 70305f63 6f72652f 70726f6a rce/p0_core/proj + af0: 6563742f 7372632f 726f6d2f 6f732f73 ect/src/rom/os/s + b00: 72632f78 746f7300 474e5520 41532032 rc/xtos.GNU AS 2 + b10: 2e31362e 31008001 0000007b 00020000 .16.1......{.... + b20: 01fa0401 00000f8c 008e67e4 008e67ec ..........g...g. + b30: 696e7465 72727570 742d7461 626c652e interrupt-table. + b40: 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr + b50: 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor + b60: 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje + b70: 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr + b80: 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. + b90: 31362e31 00800100 00007900 02000002 16.1......y..... + ba0: 0e040100 000fd800 8e67ec00 8e67f965 .........g...g.e + bb0: 78632d75 6e68616e 646c6564 2e53002f xc-unhandled.S./ + bc0: 666f6c6b 732f7268 73752f70 726f6a65 folks/rhsu/proje + bd0: 63742f70 34762f70 6572666f 7263652f ct/p4v/perforce/ + be0: 70305f63 6f72652f 70726f6a 6563742f p0_core/project/ + bf0: 7372632f 726f6d2f 6f732f73 72632f78 src/rom/os/src/x + c00: 746f7300 474e5520 41532032 2e31362e tos.GNU AS 2.16. + c10: 31008001 00000076 00020000 02220401 1......v.....".. + c20: 0000102d 008e67fc 008e6814 6578632d ...-..g...h.exc- + c30: 72657475 726e2e53 002f666f 6c6b732f return.S./folks/ + c40: 72687375 2f70726f 6a656374 2f703476 rhsu/project/p4v + c50: 2f706572 666f7263 652f7030 5f636f72 /perforce/p0_cor + c60: 652f7072 6f6a6563 742f7372 632f726f e/project/src/ro + c70: 6d2f6f73 2f737263 2f78746f 7300474e m/os/src/xtos.GN + c80: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + c90: 53780002 00000236 04012f72 6f6f742f Sx.....6../root/ + ca0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + cb0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + cc0: 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m + cd0: 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. + ce0: 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ + cf0: 315f312f 726f6d2f 6174686f 732f7372 1_1/rom/athos/sr + d00: 632f6174 686f735f 6d61696e 2e63002f c/athos_main.c./ + d10: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + d20: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + d30: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + d40: 6d2f6174 686f7300 78742d78 63632066 m/athos.xt-xcc f + d50: 6f722037 2e312e30 202d4f50 543a616c or 7.1.0 -OPT:al + d60: 69676e5f 696e7374 72756374 696f6e73 ign_instructions + d70: 3d333220 2d4f3220 2d673320 2d4f5054 =32 -O2 -g3 -OPT + d80: 3a737061 63650001 00000010 9d020103 :space.......... + d90: 000000ff 04000469 6e740005 04046368 .......int....ch + da0: 61720007 01050000 010f0500 00010f03 ar.............. + db0: 0000011c 04000600 00010801 03000001 ................ + dc0: 28040007 7072696e 74665f61 70690008 (...printf_api.. + dd0: 0000016c 085f7072 696e7466 5f696e69 ...l._printf_ini + de0: 74000000 01010223 00085f70 72696e74 t......#.._print + df0: 66000000 012e0223 04000473 686f7274 f......#...short + e00: 20756e73 69676e65 6420696e 74000702 unsigned int... + e10: 0975696e 7431365f 74000000 016c046c .uint16_t....l.l + e20: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + e30: 00070409 75696e74 33325f74 00000001 ....uint32_t.... + e40: 90077561 72745f66 69666f00 08000001 ..uart_fifo..... + e50: fe087374 6172745f 696e6465 78000000 ..start_index... + e60: 01820223 0008656e 645f696e 64657800 ...#..end_index. + e70: 00000182 02230208 6f766572 72756e5f .....#..overrun_ + e80: 65727200 000001a5 02230400 07756172 err......#...uar + e90: 745f6170 69002000 0002b708 5f756172 t_api. ....._uar + ea0: 745f696e 69740000 00030e02 2300085f t_init......#.._ + eb0: 75617274 5f636861 725f7075 74000000 uart_char_put... + ec0: 03350223 04085f75 6172745f 63686172 .5.#.._uart_char + ed0: 5f676574 00000003 49022308 085f7561 _get....I.#.._ua + ee0: 72745f73 74725f6f 75740000 00035202 rt_str_out....R. + ef0: 230c085f 75617274 5f746173 6b000000 #.._uart_task... + f00: 01010223 10085f75 6172745f 73746174 ...#.._uart_stat + f10: 75730000 00030e02 2314085f 75617274 us......#.._uart + f20: 5f636f6e 66696700 0000035b 02231808 _config....[.#.. + f30: 5f756172 745f6877 696e6974 00000003 _uart_hwinit.... + f40: 6402231c 00030000 01fe0400 07756172 d.#..........uar + f50: 745f626c 6b001000 00030808 64656275 t_blk.......debu + f60: 675f6d6f 64650000 00018202 23000862 g_mode......#..b + f70: 61756400 00000182 02230208 5f756172 aud......#.._uar + f80: 74000000 02b70223 04085f74 78000000 t......#.._tx... + f90: 01b30223 08000600 0001a501 03000003 ...#............ + fa0: 08040004 756e7369 676e6564 20636861 ....unsigned cha + fb0: 72000701 0975696e 74385f74 00000003 r....uint8_t.... + fc0: 15020103 00000333 04000300 00032604 .......3......&. + fd0: 00060000 01820103 00000343 04000201 ...........C.... + fe0: 03000003 50040002 01030000 03590400 ....P........Y.. + ff0: 02010300 00036204 00030000 010f0400 ......b......... + 1000: 06000001 08010300 00037204 00074442 ..........r...DB + 1010: 5f434f4d 4d414e44 5f535452 55435400 _COMMAND_STRUCT. + 1020: 0c000003 ca08636d 645f7374 72000000 ......cmd_str... + 1030: 036b0223 00086865 6c705f73 74720000 .k.#..help_str.. + 1040: 00036b02 23040863 6d645f66 756e6300 ..k.#..cmd_func. + 1050: 00000378 02230800 07646267 5f617069 ...x.#...dbg_api + 1060: 00080000 03fd085f 6462675f 696e6974 ......._dbg_init + 1070: 00000001 01022300 085f6462 675f7461 ......#.._dbg_ta + 1080: 736b0000 00010102 2304000a 04000475 sk......#......u + 1090: 6e736967 6e656420 696e7400 07040600 nsigned int..... + 10a0: 0003fd01 03000004 1004000b 0b030000 ................ + 10b0: 041e0400 06000003 fd010300 00042604 ..............&. + 10c0: 00060000 01080103 00000433 0400076d ...........3...m + 10d0: 656d5f61 70690014 000004a2 085f6d65 em_api......._me + 10e0: 6d5f696e 69740000 00010102 2300085f m_init......#.._ + 10f0: 6d656d73 65740000 00041602 2304085f memset......#.._ + 1100: 6d656d63 70790000 00042c02 2308085f memcpy....,.#.._ + 1110: 6d656d6d 6f766500 0000042c 02230c08 memmove....,.#.. + 1120: 5f6d656d 636d7000 00000439 02231000 _memcmp....9.#.. + 1130: 07726567 69737465 725f6475 6d705f73 .register_dump_s + 1140: 00900000 05090874 61726765 745f6964 .......target_id + 1150: 00000001 a5022300 08617373 6c696e65 ......#..assline + 1160: 00000001 a5022304 08706300 000001a5 ......#..pc..... + 1170: 02230808 62616476 61646472 00000001 .#..badvaddr.... + 1180: a502230c 08657863 5f667261 6d650000 ..#..exc_frame.. + 1190: 00482502 23100003 000004a2 04000201 .H%.#........... + 11a0: 03000005 10040002 01030000 05190400 ................ + 11b0: 06000001 08010300 00052204 000c686f .........."...ho + 11c0: 73746966 5f730004 0000057e 0d484946 stif_s.....~.HIF + 11d0: 5f555342 00000d48 49465f50 43494500 _USB...HIF_PCIE. + 11e0: 010d4849 465f474d 41430002 0d484946 ..HIF_GMAC...HIF + 11f0: 5f504349 00030d48 49465f4e 554d0004 _PCI...HIF_NUM.. + 1200: 0d484946 5f4e4f4e 45000500 09415f48 .HIF_NONE....A_H + 1210: 4f535449 46000000 052f0600 00057e01 OSTIF..../....~. + 1220: 03000005 8c040006 00000326 01030000 ...........&.... + 1230: 05990400 06000001 82010300 0005a604 ................ + 1240: 00076d69 73635f61 70690024 00000696 ..misc_api.$.... + 1250: 085f7379 7374656d 5f726573 65740000 ._system_reset.. + 1260: 00010102 2300085f 6d61635f 72657365 ....#.._mac_rese + 1270: 74000000 01010223 04085f61 73736661 t......#.._assfa + 1280: 696c0000 00051202 2308085f 6d697361 il......#.._misa + 1290: 6c69676e 65645f6c 6f61645f 68616e64 ligned_load_hand + 12a0: 6c657200 00000512 02230c08 5f726570 ler......#.._rep + 12b0: 6f72745f 6661696c 7572655f 746f5f68 ort_failure_to_h + 12c0: 6f737400 0000051b 02231008 5f746172 ost......#.._tar + 12d0: 6765745f 69645f67 65740000 00052802 get_id_get....(. + 12e0: 2314085f 69735f68 6f73745f 70726573 #.._is_host_pres + 12f0: 656e7400 00000592 02231808 5f6b6268 ent......#.._kbh + 1300: 69740000 00059f02 231c085f 726f6d5f it......#.._rom_ + 1310: 76657273 696f6e5f 67657400 000005ac version_get..... + 1320: 02232000 06000003 6b010300 00069604 .# .....k....... + 1330: 00060000 036b0103 000006a3 04000600 .....k.......... + 1340: 00010801 03000006 b0040006 00000108 ................ + 1350: 01030000 06bd0400 06000001 08010300 ................ + 1360: 0006ca04 00077374 72696e67 5f617069 ......string_api + 1370: 00180000 0750085f 73747269 6e675f69 .....P._string_i + 1380: 6e697400 00000101 02230008 5f737472 nit......#.._str + 1390: 63707900 0000069c 02230408 5f737472 cpy......#.._str + 13a0: 6e637079 00000006 a9022308 085f7374 ncpy......#.._st + 13b0: 726c656e 00000006 b602230c 085f7374 rlen......#.._st + 13c0: 72636d70 00000006 c3022310 085f7374 rcmp......#.._st + 13d0: 726e636d 70000000 06d00223 14000e00 rncmp......#.... + 13e0: 00040014 0000075d 0f040009 5f415f54 .......]...._A_T + 13f0: 494d4552 5f535041 43450000 00075009 IMER_SPACE....P. + 1400: 415f7469 6d65725f 74000000 075d0300 A_timer_t....].. + 1410: 00077104 00020103 00000787 04000201 ..q............. + 1420: 03000007 90040009 415f4841 4e444c45 ........A_HANDLE + 1430: 00000004 00020109 415f5449 4d45525f ........A_TIMER_ + 1440: 46554e43 00000007 a7030000 07a90400 FUNC............ + 1450: 02010300 0007c204 00077469 6d65725f ..........timer_ + 1460: 61706900 14000008 41085f74 696d6572 api.....A._timer + 1470: 5f696e69 74000000 01010223 00085f74 _init......#.._t + 1480: 696d6572 5f61726d 00000007 89022304 imer_arm......#. + 1490: 085f7469 6d65725f 64697361 726d0000 ._timer_disarm.. + 14a0: 00079202 2308085f 74696d65 725f7365 ....#.._timer_se + 14b0: 74666e00 000007c4 02230c08 5f74696d tfn......#.._tim + 14c0: 65725f72 756e0000 00010102 23100009 er_run......#... + 14d0: 424f4f4c 45414e00 00000182 06000008 BOOLEAN......... + 14e0: 41010300 00084e04 00060000 08410103 A.....N......A.. + 14f0: 0000085b 04000600 00084101 03000008 ...[......A..... + 1500: 68040007 726f6d70 5f617069 00100000 h...romp_api.... + 1510: 08da085f 726f6d70 5f696e69 74000000 ..._romp_init... + 1520: 01010223 00085f72 6f6d705f 646f776e ...#.._romp_down + 1530: 6c6f6164 00000008 54022304 085f726f load....T.#.._ro + 1540: 6d705f69 6e737461 6c6c0000 00086102 mp_install....a. + 1550: 2308085f 726f6d70 5f646563 6f646500 #.._romp_decode. + 1560: 0000086e 02230c00 07726f6d 5f706174 ...n.#...rom_pat + 1570: 63685f73 74001000 00093608 63726331 ch_st.....6.crc1 + 1580: 36000000 01820223 00086c65 6e000000 6......#..len... + 1590: 01820223 02086c64 5f616464 72000000 ...#..ld_addr... + 15a0: 01a50223 04086675 6e5f6164 64720000 ...#..fun_addr.. + 15b0: 0001a502 23080870 66756e00 0000033c ....#..pfun....< + 15c0: 02230c00 07656570 5f726564 69725f61 .#...eep_redir_a + 15d0: 64647200 04000009 68086f66 66736574 ddr.....h.offset + 15e0: 00000001 82022300 0873697a 65000000 ......#..size... + 15f0: 01820223 02000941 5f55494e 54333200 ...#...A_UINT32. + 1600: 00000400 06000003 fd010300 00097604 ..............v. + 1610: 0007616c 6c6f6372 616d5f61 7069000c ..allocram_api.. + 1620: 000009e7 08636d6e 6f735f61 6c6c6f63 .....cmnos_alloc + 1630: 72616d5f 696e6974 00000009 7c022300 ram_init....|.#. + 1640: 08636d6e 6f735f61 6c6c6f63 72616d00 .cmnos_allocram. + 1650: 0000097c 02230408 636d6e6f 735f616c ...|.#..cmnos_al + 1660: 6c6f6372 616d5f64 65627567 00000001 locram_debug.... + 1670: 01022308 00020103 000009e7 04000941 ..#............A + 1680: 5f544153 4b4c4554 5f46554e 43000000 _TASKLET_FUNC... + 1690: 09e9075f 7461736b 6c657400 1000000a ..._tasklet..... + 16a0: 48086675 6e630000 0009f002 23000861 H.func......#..a + 16b0: 72670000 0003fd02 23040873 74617465 rg......#..state + 16c0: 00000001 08022308 086e6578 74000000 ......#..next... + 16d0: 0a480223 0c000300 000a0404 00030000 .H.#............ + 16e0: 0a040400 09415f74 61736b6c 65745f74 .....A_tasklet_t + 16f0: 0000000a 04030000 0a560400 02010300 .........V...... + 1700: 000a6e04 00020103 00000a77 04000774 ..n........w...t + 1710: 61736b6c 65745f61 70690014 00000b0c asklet_api...... + 1720: 085f7461 736b6c65 745f696e 69740000 ._tasklet_init.. + 1730: 00010102 2300085f 7461736b 6c65745f ....#.._tasklet_ + 1740: 696e6974 5f746173 6b000000 0a700223 init_task....p.# + 1750: 04085f74 61736b6c 65745f64 69736162 .._tasklet_disab + 1760: 6c650000 000a7902 2308085f 7461736b le....y.#.._task + 1770: 6c65745f 73636865 64756c65 0000000a let_schedule.... + 1780: 7902230c 085f7461 736b6c65 745f7275 y.#.._tasklet_ru + 1790: 6e000000 01010223 10000201 0300000b n......#........ + 17a0: 0c040006 00000968 01030000 0b150400 .......h........ + 17b0: 02010300 000b2204 0007636c 6f636b5f ......"...clock_ + 17c0: 61706900 2400000c 04085f63 6c6f636b api.$....._clock + 17d0: 5f696e69 74000000 0b0e0223 00085f63 _init......#.._c + 17e0: 6c6f636b 72656773 5f696e69 74000000 lockregs_init... + 17f0: 01010223 04085f75 6172745f 66726571 ...#.._uart_freq + 1800: 75656e63 79000000 0b1b0223 08085f64 uency......#.._d + 1810: 656c6179 5f757300 00000b24 02230c08 elay_us....$.#.. + 1820: 5f776c61 6e5f6261 6e645f73 65740000 _wlan_band_set.. + 1830: 000b2402 2310085f 72656663 6c6b5f73 ..$.#.._refclk_s + 1840: 70656564 5f676574 0000000b 1b022314 peed_get......#. + 1850: 085f6d69 6c6c6973 65636f6e 64730000 ._milliseconds.. + 1860: 000b1b02 2318085f 73797363 6c6b5f63 ....#.._sysclk_c + 1870: 68616e67 65000000 01010223 1c085f63 hange......#.._c + 1880: 6c6f636b 5f746963 6b000000 01010223 lock_tick......# + 1890: 20000600 0001a501 0300000c 04040009 ............... + 18a0: 415f6f6c 645f696e 74725f74 00000001 A_old_intr_t.... + 18b0: a5060000 0c110103 00000c23 04000201 ...........#.... + 18c0: 0300000c 30040002 01030000 0c390400 ....0........9.. + 18d0: 06000001 a5010300 000c4204 0009415f ..........B...A_ + 18e0: 6973725f 74000000 0c480201 0300000c isr_t....H...... + 18f0: 5c040006 00000400 01030000 0c650400 \............e.. + 1900: 02010300 000c7204 0007696e 74725f61 ......r...intr_a + 1910: 7069002c 00000d94 085f696e 74725f69 pi.,....._intr_i + 1920: 6e697400 00000101 02230008 5f696e74 nit......#.._int + 1930: 725f696e 766f6b65 5f697372 0000000c r_invoke_isr.... + 1940: 0a022304 085f696e 74725f64 69736162 ..#.._intr_disab + 1950: 6c650000 000c2902 2308085f 696e7472 le....).#.._intr + 1960: 5f726573 746f7265 0000000c 3202230c _restore....2.#. + 1970: 085f696e 74725f6d 61736b5f 696e756d ._intr_mask_inum + 1980: 0000000c 3b022310 085f696e 74725f75 ....;.#.._intr_u + 1990: 6e6d6173 6b5f696e 756d0000 000c3b02 nmask_inum....;. + 19a0: 2314085f 696e7472 5f617474 6163685f #.._intr_attach_ + 19b0: 69737200 00000c5e 02231808 5f676574 isr....^.#.._get + 19c0: 5f696e74 72656e61 626c6500 00000c6b _intrenable....k + 19d0: 02231c08 5f736574 5f696e74 72656e61 .#.._set_intrena + 19e0: 626c6500 00000c74 02232008 5f676574 ble....t.# ._get + 19f0: 5f696e74 7270656e 64696e67 0000000c _intrpending.... + 1a00: 6b022324 085f756e 626c6f63 6b5f616c k.#$._unblock_al + 1a10: 6c5f696e 74726c76 6c000000 01010223 l_intrlvl......# + 1a20: 28001004 00000dba 0874696d 656f7574 (........timeout + 1a30: 00000001 a5022300 08616374 696f6e00 ......#..action. + 1a40: 000001a5 02230000 11080000 0dd50863 .....#.........c + 1a50: 6d640000 0001a502 23001200 000d9402 md......#....... + 1a60: 23040009 545f5744 545f434d 44000000 #...T_WDT_CMD... + 1a70: 0dba0201 0300000d e4040013 0400000e ................ + 1a80: 3a0d454e 554d5f57 44545f42 4f4f5400 :.ENUM_WDT_BOOT. + 1a90: 010d454e 554d5f43 4f4c445f 424f4f54 ..ENUM_COLD_BOOT + 1aa0: 00020d45 4e554d5f 53555350 5f424f4f ...ENUM_SUSP_BOO + 1ab0: 5400030d 454e554d 5f554e4b 4e4f574e T...ENUM_UNKNOWN + 1ac0: 5f424f4f 54000400 09545f42 4f4f545f _BOOT....T_BOOT_ + 1ad0: 54595045 0000000d ed060000 0e3a0103 TYPE.........:.. + 1ae0: 00000e4b 04000777 64745f61 7069001c ...K...wdt_api.. + 1af0: 00000eef 085f7764 745f696e 69740000 ....._wdt_init.. + 1b00: 00010102 2300085f 7764745f 656e6162 ....#.._wdt_enab + 1b10: 6c650000 00010102 2304085f 7764745f le......#.._wdt_ + 1b20: 64697361 626c6500 00000101 02230808 disable......#.. + 1b30: 5f776474 5f736574 0000000d e602230c _wdt_set......#. + 1b40: 085f7764 745f7461 736b0000 00010102 ._wdt_task...... + 1b50: 2310085f 7764745f 72657365 74000000 #.._wdt_reset... + 1b60: 01010223 14085f77 64745f6c 6173745f ...#.._wdt_last_ + 1b70: 626f6f74 0000000e 51022318 00130400 boot....Q.#..... + 1b80: 000f560d 5245545f 53554343 45535300 ..V.RET_SUCCESS. + 1b90: 000d5245 545f4e4f 545f494e 49540001 ..RET_NOT_INIT.. + 1ba0: 0d524554 5f4e4f54 5f455849 53540002 .RET_NOT_EXIST.. + 1bb0: 0d524554 5f454550 5f434f52 52555054 .RET_EEP_CORRUPT + 1bc0: 00030d52 45545f45 45505f4f 56455246 ...RET_EEP_OVERF + 1bd0: 4c4f5700 040d5245 545f554e 4b4e4f57 LOW...RET_UNKNOW + 1be0: 4e000500 09545f45 45505f52 45540000 N....T_EEP_RET.. + 1bf0: 000eef03 00000182 04000600 000f5601 ..............V. + 1c00: 0300000f 6c040006 00000f56 01030000 ....l......V.... + 1c10: 0f790400 07656570 5f617069 00100000 .y...eep_api.... + 1c20: 0fe2085f 6565705f 696e6974 00000001 ..._eep_init.... + 1c30: 01022300 085f6565 705f7265 61640000 ..#.._eep_read.. + 1c40: 000f7202 2304085f 6565705f 77726974 ..r.#.._eep_writ + 1c50: 65000000 0f720223 08085f65 65705f69 e....r.#.._eep_i + 1c60: 735f6578 69737400 00000f7f 02230c00 s_exist......#.. + 1c70: 07757362 5f617069 00700000 128f085f .usb_api.p....._ + 1c80: 7573625f 696e6974 00000001 01022300 usb_init......#. + 1c90: 085f7573 625f726f 6d5f7461 736b0000 ._usb_rom_task.. + 1ca0: 00010102 2304085f 7573625f 66775f74 ....#.._usb_fw_t + 1cb0: 61736b00 00000101 02230808 5f757362 ask......#.._usb + 1cc0: 5f696e69 745f7068 79000000 01010223 _init_phy......# + 1cd0: 0c085f75 73625f65 70305f73 65747570 .._usb_ep0_setup + 1ce0: 00000001 01022310 085f7573 625f6570 ......#.._usb_ep + 1cf0: 305f7478 00000001 01022314 085f7573 0_tx......#.._us + 1d00: 625f6570 305f7278 00000001 01022318 b_ep0_rx......#. + 1d10: 085f7573 625f6765 745f696e 74657266 ._usb_get_interf + 1d20: 61636500 00000861 02231c08 5f757362 ace....a.#.._usb + 1d30: 5f736574 5f696e74 65726661 63650000 _set_interface.. + 1d40: 00086102 2320085f 7573625f 6765745f ..a.# ._usb_get_ + 1d50: 636f6e66 69677572 6174696f 6e000000 configuration... + 1d60: 08610223 24085f75 73625f73 65745f63 .a.#$._usb_set_c + 1d70: 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 1d80: 61022328 085f7573 625f7374 616e6461 a.#(._usb_standa + 1d90: 72645f63 6d640000 00086102 232c085f rd_cmd....a.#,._ + 1da0: 7573625f 76656e64 6f725f63 6d640000 usb_vendor_cmd.. + 1db0: 00010102 2330085f 7573625f 706f7765 ....#0._usb_powe + 1dc0: 725f6f66 66000000 01010223 34085f75 r_off......#4._u + 1dd0: 73625f72 65736574 5f666966 6f000000 sb_reset_fifo... + 1de0: 01010223 38085f75 73625f67 656e5f77 ...#8._usb_gen_w + 1df0: 64740000 00010102 233c085f 7573625f dt......#<._usb_ + 1e00: 6a756d70 5f626f6f 74000000 01010223 jump_boot......# + 1e10: 40085f75 73625f63 6c725f66 65617475 @._usb_clr_featu + 1e20: 72650000 00086102 2344085f 7573625f re....a.#D._usb_ + 1e30: 7365745f 66656174 75726500 00000861 set_feature....a + 1e40: 02234808 5f757362 5f736574 5f616464 .#H._usb_set_add + 1e50: 72657373 00000008 6102234c 085f7573 ress....a.#L._us + 1e60: 625f6765 745f6465 73637269 70746f72 b_get_descriptor + 1e70: 00000008 61022350 085f7573 625f6765 ....a.#P._usb_ge + 1e80: 745f7374 61747573 00000008 61022354 t_status....a.#T + 1e90: 085f7573 625f7365 7475705f 64657363 ._usb_setup_desc + 1ea0: 00000001 01022358 085f7573 625f7265 ......#X._usb_re + 1eb0: 675f6f75 74000000 01010223 5c085f75 g_out......#\._u + 1ec0: 73625f73 74617475 735f696e 00000001 sb_status_in.... + 1ed0: 01022360 085f7573 625f6570 305f7478 ..#`._usb_ep0_tx + 1ee0: 5f646174 61000000 01010223 64085f75 _data......#d._u + 1ef0: 73625f65 70305f72 785f6461 74610000 sb_ep0_rx_data.. + 1f00: 00010102 2368085f 7573625f 636c6b5f ....#h._usb_clk_ + 1f10: 696e6974 00000001 0102236c 00075f56 init......#l.._V + 1f20: 44455343 00240000 131b086e 6578745f DESC.$.....next_ + 1f30: 64657363 00000013 1b022300 08627566 desc......#..buf + 1f40: 5f616464 72000000 132f0223 04086275 _addr..../.#..bu + 1f50: 665f7369 7a650000 00133602 23080864 f_size....6.#..d + 1f60: 6174615f 6f666673 65740000 00133602 ata_offset....6. + 1f70: 230a0864 6174615f 73697a65 00000013 #..data_size.... + 1f80: 3602230c 08636f6e 74726f6c 00000013 6.#..control.... + 1f90: 3602230e 0868775f 64657363 5f627566 6.#..hw_desc_buf + 1fa0: 00000013 44022310 00030000 128f0400 ....D.#......... + 1fb0: 09415f55 494e5438 00000003 15030000 .A_UINT8........ + 1fc0: 13220400 09415f55 494e5431 36000000 ."...A_UINT16... + 1fd0: 016c0e00 00132214 00001351 0f130003 .l...."....Q.... + 1fe0: 0000128f 04000956 44455343 00000012 .......VDESC.... + 1ff0: 8f030000 13580400 06000013 63010300 .....X......c... + 2000: 00136a04 00060000 132f0103 00001377 ..j....../.....w + 2010: 04000201 03000013 84040007 76646573 ............vdes + 2020: 635f6170 69001400 0013fc08 5f696e69 c_api......._ini + 2030: 74000000 0b240223 00085f61 6c6c6f63 t....$.#.._alloc + 2040: 5f766465 73630000 00137002 2304085f _vdesc....p.#.._ + 2050: 6765745f 68775f64 65736300 0000137d get_hw_desc....} + 2060: 02230808 5f737761 705f7664 65736300 .#.._swap_vdesc. + 2070: 00001386 02230c08 70526573 65727665 .....#..pReserve + 2080: 64000000 03fd0223 1000075f 56425546 d......#..._VBUF + 2090: 00200000 145c0864 6573635f 6c697374 . ...\.desc_list + 20a0: 00000013 63022300 086e6578 745f6275 ....c.#..next_bu + 20b0: 66000000 145c0223 04086275 665f6c65 f....\.#..buf_le + 20c0: 6e677468 00000013 36022308 08726573 ngth....6.#..res + 20d0: 65727665 64000000 14630223 0a086374 erved....c.#..ct + 20e0: 78000000 13440223 0c000300 0013fc04 x....D.#........ + 20f0: 000e0000 13220200 0014700f 01000300 ....."....p..... + 2100: 0013fc04 00095642 55460000 0013fc03 ......VBUF...... + 2110: 00001477 04000600 00148101 03000014 ...w............ + 2120: 88040006 00001481 01030000 14950400 ................ + 2130: 02010300 0014a204 00077662 75665f61 ..........vbuf_a + 2140: 70690014 00001520 085f696e 69740000 pi..... ._init.. + 2150: 000b2402 2300085f 616c6c6f 635f7662 ..$.#.._alloc_vb + 2160: 75660000 00148e02 2304085f 616c6c6f uf......#.._allo + 2170: 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 2180: 00000014 9b022308 085f6672 65655f76 ......#.._free_v + 2190: 62756600 000014a4 02230c08 70526573 buf......#..pRes + 21a0: 65727665 64000000 03fd0223 1000075f erved......#..._ + 21b0: 5f616466 5f646576 69636500 04000015 _adf_device..... + 21c0: 42086475 6d6d7900 00000108 02230000 B.dummy......#.. + 21d0: 03000009 68040007 5f5f6164 665f646d ....h...__adf_dm + 21e0: 615f6d61 70000c00 00158908 62756600 a_map.......buf. + 21f0: 00001481 02230008 64735f61 64647200 .....#..ds_addr. + 2200: 00001542 02230408 64735f6c 656e0000 ...B.#..ds_len.. + 2210: 00133602 23080011 0c000015 c3085f5f ..6.#.........__ + 2220: 76615f73 746b0000 00036b02 2300085f va_stk....k.#.._ + 2230: 5f76615f 72656700 0000036b 02230408 _va_reg....k.#.. + 2240: 5f5f7661 5f6e6478 00000001 08022308 __va_ndx......#. + 2250: 00095f5f 6164665f 6f735f64 6d615f61 ..__adf_os_dma_a + 2260: 6464725f 74000000 09680961 64665f6f ddr_t....h.adf_o + 2270: 735f646d 615f6164 64725f74 00000015 s_dma_addr_t.... + 2280: c3095f5f 6164665f 6f735f64 6d615f73 ..__adf_os_dma_s + 2290: 697a655f 74000000 09680961 64665f6f ize_t....h.adf_o + 22a0: 735f646d 615f7369 7a655f74 00000015 s_dma_size_t.... + 22b0: f3075f5f 646d615f 73656773 00080000 ..__dma_segs.... + 22c0: 164f0870 61646472 00000015 dc022300 .O.paddr......#. + 22d0: 086c656e 00000016 0c022304 00095f5f .len......#...__ + 22e0: 615f7569 6e743332 5f740000 00096809 a_uint32_t....h. + 22f0: 615f7569 6e743332 5f740000 00164f0e a_uint32_t....O. + 2300: 00001623 08000016 7e0f0000 07616466 ...#....~....adf + 2310: 5f6f735f 646d616d 61705f69 6e666f00 _os_dmamap_info. + 2320: 0c000016 b7086e73 65677300 00001661 ......nsegs....a + 2330: 02230008 646d615f 73656773 00000016 .#..dma_segs.... + 2340: 71022304 00095f5f 615f7569 6e74385f q.#...__a_uint8_ + 2350: 74000000 13220961 5f75696e 74385f74 t....".a_uint8_t + 2360: 00000016 b7030000 16c80400 075f5f73 .............__s + 2370: 675f7365 67730008 00001709 08766164 g_segs.......vad + 2380: 64720000 0016d702 2300086c 656e0000 dr......#..len.. + 2390: 00166102 2304000e 000016de 20000017 ..a.#....... ... + 23a0: 160f0300 07616466 5f6f735f 73676c69 .....adf_os_sgli + 23b0: 73740024 00001749 086e7365 67730000 st.$...I.nsegs.. + 23c0: 00166102 23000873 675f7365 67730000 ..a.#..sg_segs.. + 23d0: 00170902 23040011 10000017 92087665 ....#.........ve + 23e0: 6e646f72 00000016 61022300 08646576 ndor....a.#..dev + 23f0: 69636500 00001661 02230408 73756276 ice....a.#..subv + 2400: 656e646f 72000000 16610223 08087375 endor....a.#..su + 2410: 62646576 69636500 00001661 02230c00 bdevice....a.#.. + 2420: 046c6f6e 67206c6f 6e672075 6e736967 .long long unsig + 2430: 6e656420 696e7400 07080941 5f55494e ned int....A_UIN + 2440: 54363400 00001792 095f5f61 5f75696e T64......__a_uin + 2450: 7436345f 74000000 17ac0961 5f75696e t64_t......a_uin + 2460: 7436345f 74000000 17ba1304 00001818 t64_t........... + 2470: 0d414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 2480: 5f545950 455f4d45 4d00000d 4144465f _TYPE_MEM...ADF_ + 2490: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 24a0: 5f494f00 01000961 64665f6f 735f7265 _IO....adf_os_re + 24b0: 736f7572 63655f74 7970655f 74000000 source_type_t... + 24c0: 17dc1118 00001862 08737461 72740000 .......b.start.. + 24d0: 0017cc02 23000865 6e640000 0017cc02 ....#..end...... + 24e0: 23080874 79706500 00001818 02231000 #..type......#.. + 24f0: 09616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 2500: 69645f74 00000017 49030000 18620400 id_t....I....b.. + 2510: 10040000 18a10870 63690000 00187b02 .......pci....{. + 2520: 23000872 61770000 0003fd02 23000010 #..raw......#... + 2530: 10000018 c0087063 69000000 18620223 ......pci....b.# + 2540: 00087261 77000000 03fd0223 00000961 ..raw......#...a + 2550: 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 2560: 000003fd 09616466 5f6f735f 7265736f .....adf_os_reso + 2570: 75726365 5f740000 00183403 000018d6 urce_t....4..... + 2580: 04000961 64665f6f 735f6174 74616368 ...adf_os_attach + 2590: 5f646174 615f7400 000018a1 03000018 _data_t......... + 25a0: f4040003 00001520 0400095f 5f616466 ....... ...__adf + 25b0: 5f6f735f 64657669 63655f74 00000019 _os_device_t.... + 25c0: 15096164 665f6f73 5f646576 6963655f ..adf_os_device_ + 25d0: 74000000 191c0600 0018c001 03000019 t............... + 25e0: 48040002 01030000 19550400 09616466 H........U...adf + 25f0: 5f6f735f 706d5f74 00000003 fd020103 _os_pm_t........ + 2600: 0000196f 04001304 000019af 0d414446 ...o.........ADF + 2610: 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 2620: 00010d41 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 2630: 50455f47 454e4552 49430002 00096164 PE_GENERIC....ad + 2640: 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 2650: 00001978 09616466 5f6f735f 6275735f ...x.adf_os_bus_ + 2660: 7265675f 64617461 5f740000 00188203 reg_data_t...... + 2670: 00000315 0400075f 6164665f 6472765f ......._adf_drv_ + 2680: 696e666f 00200000 1a8c0864 72765f61 info. .....drv_a + 2690: 74746163 68000000 194e0223 00086472 ttach....N.#..dr + 26a0: 765f6465 74616368 00000019 57022304 v_detach....W.#. + 26b0: 08647276 5f737573 70656e64 00000019 .drv_suspend.... + 26c0: 71022308 08647276 5f726573 756d6500 q.#..drv_resume. + 26d0: 00001957 02230c08 6275735f 74797065 ...W.#..bus_type + 26e0: 00000019 af022310 08627573 5f646174 ......#..bus_dat + 26f0: 61000000 19c60223 14086d6f 645f6e61 a......#..mod_na + 2700: 6d650000 0019e102 23180869 666e616d me......#..ifnam + 2710: 65000000 19e10223 1c000961 64665f6f e......#...adf_o + 2720: 735f6861 6e646c65 5f740000 0003fd03 s_handle_t...... + 2730: 000016b7 04000201 0201095f 5f616466 ...........__adf + 2740: 5f6f735f 73697a65 5f740000 00040013 _os_size_t...... + 2750: 0400001a db0d415f 46414c53 4500000d ......A_FALSE... + 2760: 415f5452 55450001 0009615f 626f6f6c A_TRUE....a_bool + 2770: 5f740000 001ac103 00001549 0400095f _t.........I..._ + 2780: 5f616466 5f6f735f 646d615f 6d61705f _adf_os_dma_map_ + 2790: 74000000 1ae90201 0c616466 5f6f735f t........adf_os_ + 27a0: 63616368 655f7379 6e630004 00001b73 cache_sync.....s + 27b0: 0d414446 5f53594e 435f5052 45524541 .ADF_SYNC_PREREA + 27c0: 4400000d 4144465f 53594e43 5f505245 D...ADF_SYNC_PRE + 27d0: 57524954 4500020d 4144465f 53594e43 WRITE...ADF_SYNC + 27e0: 5f504f53 54524541 4400010d 4144465f _POSTREAD...ADF_ + 27f0: 53594e43 5f504f53 54575249 54450003 SYNC_POSTWRITE.. + 2800: 00096164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 2810: 796e635f 74000000 1b0a0201 09616466 ync_t........adf + 2820: 5f6f735f 73697a65 5f740000 001aac06 _os_size_t...... + 2830: 00001b8e 01096164 665f6f73 5f646d61 ......adf_os_dma + 2840: 5f6d6170 5f740000 001af003 00001ba7 _map_t.......... + 2850: 04000600 0003fd01 0300001a f0040006 ................ + 2860: 000003fd 01020106 000015dc 01020104 ................ + 2870: 73686f72 7420696e 74000502 09415f49 short int....A_I + 2880: 4e543136 0000001b e1095f5f 615f696e NT16......__a_in + 2890: 7431365f 74000000 1bee0961 5f696e74 t16_t......a_int + 28a0: 31365f74 0000001b fb047369 676e6564 16_t......signed + 28b0: 20636861 72000501 09415f49 4e543800 char....A_INT8. + 28c0: 00001c1b 095f5f61 5f696e74 385f7400 .....__a_int8_t. + 28d0: 00001c2a 09615f69 6e74385f 74000000 ...*.a_int8_t... + 28e0: 1c36110c 00001cad 08737570 706f7274 .6.......support + 28f0: 65640000 00166102 23000861 64766572 ed....a.#..adver + 2900: 74697a65 64000000 16610223 04087370 tized....a.#..sp + 2910: 65656400 00001c0c 02230808 6475706c eed......#..dupl + 2920: 65780000 001c4602 230a0861 75746f6e ex....F.#..auton + 2930: 65670000 0016c802 230b000e 000016c8 eg......#....... + 2940: 0600001c ba0f0500 07616466 5f6e6574 .........adf_net + 2950: 5f657468 61646472 00060000 1cde0861 _ethaddr.......a + 2960: 64647200 00001cad 02230000 095f5f61 ddr......#...__a + 2970: 5f75696e 7431365f 74000000 13360961 _uint16_t....6.a + 2980: 5f75696e 7431365f 74000000 1cde110e _uint16_t....... + 2990: 00001d42 08657468 65725f64 686f7374 ...B.ether_dhost + 29a0: 0000001c ad022300 08657468 65725f73 ......#..ether_s + 29b0: 686f7374 0000001c ad022306 08657468 host......#..eth + 29c0: 65725f74 79706500 00001cf0 02230c00 er_type......#.. + 29d0: 11140000 1e031469 705f7665 7273696f .......ip_versio + 29e0: 6e000000 16c80100 04022300 1469705f n.........#..ip_ + 29f0: 686c0000 0016c801 04040223 00086970 hl.........#..ip + 2a00: 5f746f73 00000016 c8022301 0869705f _tos......#..ip_ + 2a10: 6c656e00 00001cf0 02230208 69705f69 len......#..ip_i + 2a20: 64000000 1cf00223 04086970 5f667261 d......#..ip_fra + 2a30: 675f6f66 66000000 1cf00223 06086970 g_off......#..ip + 2a40: 5f74746c 00000016 c8022308 0869705f _ttl......#..ip_ + 2a50: 70726f74 6f000000 16c80223 09086970 proto......#..ip + 2a60: 5f636865 636b0000 001cf002 230a0869 _check......#..i + 2a70: 705f7361 64647200 00001661 02230c08 p_saddr....a.#.. + 2a80: 69705f64 61646472 00000016 61022310 ip_daddr....a.#. + 2a90: 00076164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 2aa0: 72000400 001e5508 74706964 0000001c r.....U.tpid.... + 2ab0: f0022300 14707269 6f000000 16c80100 ..#..prio....... + 2ac0: 03022302 14636669 00000016 c8010301 ..#..cfi........ + 2ad0: 02230214 76696400 00001cf0 02040c02 .#..vid......... + 2ae0: 23020007 6164665f 6e65745f 76696400 #...adf_net_vid. + 2af0: 0200001e 86147265 73000000 16c80100 ......res....... + 2b00: 04022300 1476616c 0000001c f002040c ..#..val........ + 2b10: 02230000 110c0000 1ec20872 785f6275 .#.........rx_bu + 2b20: 6673697a 65000000 16610223 00087278 fsize....a.#..rx + 2b30: 5f6e6465 73630000 00166102 23040874 _ndesc....a.#..t + 2b40: 785f6e64 65736300 00001661 02230800 x_ndesc....a.#.. + 2b50: 11080000 1ee80870 6f6c6c65 64000000 .......polled... + 2b60: 1adb0223 0008706f 6c6c5f77 74000000 ...#..poll_wt... + 2b70: 16610223 04000e00 0016c840 00001ef5 .a.#.......@.... + 2b80: 0f3f0011 4600001f 1d086966 5f6e616d .?..F.....if_nam + 2b90: 65000000 1ee80223 00086465 765f6164 e......#..dev_ad + 2ba0: 64720000 001cad02 23400013 0400001f dr......#@...... + 2bb0: 540d4144 465f4f53 5f444d41 5f4d4153 T.ADF_OS_DMA_MAS + 2bc0: 4b5f3332 42495400 000d4144 465f4f53 K_32BIT...ADF_OS + 2bd0: 5f444d41 5f4d4153 4b5f3634 42495400 _DMA_MASK_64BIT. + 2be0: 01000961 64665f6f 735f646d 615f6d61 ...adf_os_dma_ma + 2bf0: 736b5f74 0000001f 1d076164 665f646d sk_t......adf_dm + 2c00: 615f696e 666f0008 00001fa1 08646d61 a_info.......dma + 2c10: 5f6d6173 6b000000 1f540223 00087367 _mask....T.#..sg + 2c20: 5f6e7365 67730000 00166102 23040013 _nsegs....a.#... + 2c30: 0400001f f70d4144 465f4e45 545f434b ......ADF_NET_CK + 2c40: 53554d5f 4e4f4e45 00000d41 44465f4e SUM_NONE...ADF_N + 2c50: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 2c60: 5f495076 3400010d 4144465f 4e45545f _IPv4...ADF_NET_ + 2c70: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 2c80: 76360002 00096164 665f6e65 745f636b v6....adf_net_ck + 2c90: 73756d5f 74797065 5f740000 001fa111 sum_type_t...... + 2ca0: 08000020 3a087478 5f636b73 756d0000 ... :.tx_cksum.. + 2cb0: 001ff702 23000872 785f636b 73756d00 ....#..rx_cksum. + 2cc0: 00001ff7 02230400 09616466 5f6e6574 .....#...adf_net + 2cd0: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 2ce0: 20111304 00002093 0d414446 5f4e4554 ..... ..ADF_NET + 2cf0: 5f54534f 5f4e4f4e 4500000d 4144465f _TSO_NONE...ADF_ + 2d00: 4e45545f 54534f5f 49505634 00010d41 NET_TSO_IPV4...A + 2d10: 44465f4e 45545f54 534f5f41 4c4c0002 DF_NET_TSO_ALL.. + 2d20: 00096164 665f6e65 745f7473 6f5f7479 ..adf_net_tso_ty + 2d30: 70655f74 00000020 54111000 0020e708 pe_t... T.... .. + 2d40: 636b7375 6d5f6361 70000000 203a0223 cksum_cap... :.# + 2d50: 00087473 6f000000 20930223 0808766c ..tso... ..#..vl + 2d60: 616e5f73 7570706f 72746564 00000016 an_supported.... + 2d70: c802230c 00112000 00218008 74785f70 ..#... ..!..tx_p + 2d80: 61636b65 74730000 00166102 23000872 ackets....a.#..r + 2d90: 785f7061 636b6574 73000000 16610223 x_packets....a.# + 2da0: 04087478 5f627974 65730000 00166102 ..tx_bytes....a. + 2db0: 23080872 785f6279 74657300 00001661 #..rx_bytes....a + 2dc0: 02230c08 74785f64 726f7070 65640000 .#..tx_dropped.. + 2dd0: 00166102 23100872 785f6472 6f707065 ..a.#..rx_droppe + 2de0: 64000000 16610223 14087278 5f657272 d....a.#..rx_err + 2df0: 6f727300 00001661 02231808 74785f65 ors....a.#..tx_e + 2e00: 72726f72 73000000 16610223 1c000961 rrors....a.#...a + 2e10: 64665f6e 65745f65 74686164 64725f74 df_net_ethaddr_t + 2e20: 0000001c ba150000 21800300 000021a5 ........!.....!. + 2e30: 0f7f0016 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 2e40: 6d636164 64720003 04000021 dc086e65 mcaddr.....!..ne + 2e50: 6c656d00 00001661 02230008 6d636173 lem....a.#..mcas + 2e60: 74000000 21970223 04000961 64665f6e t...!..#...adf_n + 2e70: 65745f63 6d645f6c 696e6b5f 696e666f et_cmd_link_info + 2e80: 5f740000 001c5409 6164665f 6e65745f _t....T.adf_net_ + 2e90: 636d645f 706f6c6c 5f696e66 6f5f7400 cmd_poll_info_t. + 2ea0: 00001ec2 09616466 5f6e6574 5f636d64 .....adf_net_cmd + 2eb0: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 2ec0: 203a0961 64665f6e 65745f63 6d645f72 :.adf_net_cmd_r + 2ed0: 696e675f 696e666f 5f740000 001e8609 ing_info_t...... + 2ee0: 6164665f 6e65745f 636d645f 646d615f adf_net_cmd_dma_ + 2ef0: 696e666f 5f740000 001f6b09 6164665f info_t....k.adf_ + 2f00: 6e65745f 636d645f 7669645f 74000000 net_cmd_vid_t... + 2f10: 1cf00961 64665f6e 65745f63 6d645f6f ...adf_net_cmd_o + 2f20: 66666c6f 61645f63 61705f74 00000020 ffload_cap_t... + 2f30: ab096164 665f6e65 745f636d 645f7374 ..adf_net_cmd_st + 2f40: 6174735f 74000000 20e70961 64665f6e ats_t... ..adf_n + 2f50: 65745f63 6d645f6d 63616464 725f7400 et_cmd_mcaddr_t. + 2f60: 000021a5 0c616466 5f6e6574 5f636d64 ..!..adf_net_cmd + 2f70: 5f6d6361 73745f63 61700004 0000231e _mcast_cap....#. + 2f80: 0d414446 5f4e4554 5f4d4341 53545f53 .ADF_NET_MCAST_S + 2f90: 55500000 0d414446 5f4e4554 5f4d4341 UP...ADF_NET_MCA + 2fa0: 53545f4e 4f545355 50000100 09616466 ST_NOTSUP....adf + 2fb0: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 2fc0: 61705f74 00000022 d6170304 000023f0 ap_t..."......#. + 2fd0: 086c696e 6b5f696e 666f0000 0021dc02 .link_info...!.. + 2fe0: 23000870 6f6c6c5f 696e666f 00000021 #..poll_info...! + 2ff0: f9022300 08636b73 756d5f69 6e666f00 ..#..cksum_info. + 3000: 00002216 02230008 72696e67 5f696e66 .."..#..ring_inf + 3010: 6f000000 22340223 0008646d 615f696e o..."4.#..dma_in + 3020: 666f0000 00225102 23000876 69640000 fo..."Q.#..vid.. + 3030: 00226d02 2300086f 66666c6f 61645f63 ."m.#..offload_c + 3040: 61700000 00228402 23000873 74617473 ap..."..#..stats + 3050: 00000022 a3022300 086d6361 73745f69 ..."..#..mcast_i + 3060: 6e666f00 000022bc 02230008 6d636173 nfo..."..#..mcas + 3070: 745f6361 70000000 231e0223 00001304 t_cap...#..#.... + 3080: 00002447 0d414446 5f4e4255 465f5258 ..$G.ADF_NBUF_RX + 3090: 5f434b53 554d5f4e 4f4e4500 000d4144 _CKSUM_NONE...AD + 30a0: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 30b0: 48570001 0d414446 5f4e4255 465f5258 HW...ADF_NBUF_RX + 30c0: 5f434b53 554d5f55 4e4e4543 45535341 _CKSUM_UNNECESSA + 30d0: 52590002 00096164 665f6e62 75665f72 RY....adf_nbuf_r + 30e0: 785f636b 73756d5f 74797065 5f740000 x_cksum_type_t.. + 30f0: 0023f011 08000024 87087265 73756c74 .#.....$..result + 3100: 00000024 47022300 0876616c 00000016 ...$G.#..val.... + 3110: 61022304 00110800 0024b708 74797065 a.#......$..type + 3120: 00000020 93022300 086d7373 0000001c ... ..#..mss.... + 3130: f0022304 08686472 5f6f6666 00000016 ..#..hdr_off.... + 3140: c8022306 00075f5f 6164665f 6e627566 ..#...__adf_nbuf + 3150: 5f716865 6164000c 000024f6 08686561 _qhead....$..hea + 3160: 64000000 14810223 00087461 696c0000 d......#..tail.. + 3170: 00148102 23040871 6c656e00 00001661 ....#..qlen....a + 3180: 02230800 095f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 3190: 74000000 14810300 0016d704 00030000 t............... + 31a0: 16610400 02010600 00136301 06000016 .a........c..... + 31b0: 61010600 0016d701 06000016 d7010300 a............... + 31c0: 00134404 00095f5f 6164665f 6e627566 ..D...__adf_nbuf + 31d0: 5f716865 61645f74 00000024 b7095f5f _qhead_t...$..__ + 31e0: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 31f0: 00000025 37030000 254f0400 06000024 ...%7...%O.....$ + 3200: f6010600 0024f601 13040000 266f0d41 .....$......&o.A + 3210: 5f535441 5455535f 4f4b0000 0d415f53 _STATUS_OK...A_S + 3220: 54415455 535f4641 494c4544 00010d41 TATUS_FAILED...A + 3230: 5f535441 5455535f 454e4f45 4e540002 _STATUS_ENOENT.. + 3240: 0d415f53 54415455 535f454e 4f4d454d .A_STATUS_ENOMEM + 3250: 00030d41 5f535441 5455535f 45494e56 ...A_STATUS_EINV + 3260: 414c0004 0d415f53 54415455 535f4549 AL...A_STATUS_EI + 3270: 4e50524f 47524553 5300050d 415f5354 NPROGRESS...A_ST + 3280: 41545553 5f454e4f 54535550 5000060d ATUS_ENOTSUPP... + 3290: 415f5354 41545553 5f454255 53590007 A_STATUS_EBUSY.. + 32a0: 0d415f53 54415455 535f4532 42494700 .A_STATUS_E2BIG. + 32b0: 080d415f 53544154 55535f45 41444452 ..A_STATUS_EADDR + 32c0: 4e4f5441 5641494c 00090d41 5f535441 NOTAVAIL...A_STA + 32d0: 5455535f 454e5849 4f000a0d 415f5354 TUS_ENXIO...A_ST + 32e0: 41545553 5f454641 554c5400 0b0d415f ATUS_EFAULT...A_ + 32f0: 53544154 55535f45 494f000c 0009615f STATUS_EIO....a_ + 3300: 73746174 75735f74 00000025 7a060000 status_t...%z... + 3310: 266f0106 00000108 01020109 6164665f &o..........adf_ + 3320: 6e627566 5f740000 0024f613 04000026 nbuf_t...$.....& + 3330: d40d4144 465f4f53 5f444d41 5f544f5f ..ADF_OS_DMA_TO_ + 3340: 44455649 43450000 0d414446 5f4f535f DEVICE...ADF_OS_ + 3350: 444d415f 46524f4d 5f444556 49434500 DMA_FROM_DEVICE. + 3360: 01000961 64665f6f 735f646d 615f6469 ...adf_os_dma_di + 3370: 725f7400 0000269d 06000026 6f010201 r_t...&....&o... + 3380: 09616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 3390: 6e666f5f 74000000 167e0300 0026f204 nfo_t....~...&.. + 33a0: 00020102 01060000 268d0106 000024f6 ........&.....$. + 33b0: 01020102 01060000 268d0106 000024f6 ........&.....$. + 33c0: 01060000 268d0106 000024f6 01060000 ....&.....$..... + 33d0: 268d0102 01020106 00001661 01060000 &..........a.... + 33e0: 16d70102 01020106 00001b8e 01060000 ................ + 33f0: 1adb0106 00001adb 01096164 665f6f73 ..........adf_os + 3400: 5f73676c 6973745f 74000000 17160300 _sglist_t....... + 3410: 00276b04 00020102 01020106 000016d7 .'k............. + 3420: 01096164 665f6e62 75665f71 75657565 ..adf_nbuf_queue + 3430: 5f740000 00254f03 00002793 04000201 _t...%O...'..... + 3440: 03000025 37040002 01020102 01060000 ...%7........... + 3450: 268d0106 000024f6 01060000 16610106 &.....$......a.. + 3460: 00001661 01060000 1adb0106 00001adb ...a............ + 3470: 01060000 1ff70106 00001661 01096164 ...........a..ad + 3480: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 3490: 74000000 24650300 0027ef04 00020102 t...$e...'...... + 34a0: 01096164 665f6e62 75665f74 736f5f74 ..adf_nbuf_tso_t + 34b0: 00000024 87030000 28130400 02010201 ...$....(....... + 34c0: 09616466 5f6e6574 5f68616e 646c655f .adf_net_handle_ + 34d0: 74000000 03fd0961 64665f6e 65745f76 t......adf_net_v + 34e0: 6c616e68 64725f74 0000001e 03030000 lanhdr_t........ + 34f0: 28480400 06000026 6f010600 00266f01 (H.....&o....&o. + 3500: 02010201 075f4849 465f434f 4e464947 ....._HIF_CONFIG + 3510: 00040000 28970864 756d6d79 00000001 ....(..dummy.... + 3520: 08022300 00020103 00002897 04000201 ..#.......(..... + 3530: 03000028 a0040007 5f484946 5f43414c ...(...._HIF_CAL + 3540: 4c424143 4b000c00 0028f508 73656e64 LBACK....(..send + 3550: 5f627566 5f646f6e 65000000 28990223 _buf_done...(..# + 3560: 00087265 63765f62 75660000 0028a202 ..recv_buf...(.. + 3570: 23040863 6f6e7465 78740000 0003fd02 #..context...... + 3580: 23080009 6869665f 68616e64 6c655f74 #...hif_handle_t + 3590: 00000003 fd094849 465f434f 4e464947 ......HIF_CONFIG + 35a0: 00000028 76030000 29070400 06000028 ...(v...)......( + 35b0: f5010300 00291e04 00020103 0000292b .....)........)+ + 35c0: 04000948 49465f43 414c4c42 41434b00 ...HIF_CALLBACK. + 35d0: 000028a9 03000029 34040002 01030000 ..(....)4....... + 35e0: 294d0400 06000001 08010300 00295604 )M...........)V. + 35f0: 00020103 00002963 04000600 00010801 ......)c........ + 3600: 03000029 6c040002 01030000 29790400 ...)l.......)y.. + 3610: 06000001 08010300 00298204 00020103 .........)...... + 3620: 0000298f 04000768 69665f61 70690038 ..)....hif_api.8 + 3630: 00002ae8 085f696e 69740000 00292402 ..*.._init...)$. + 3640: 2300085f 73687574 646f776e 00000029 #.._shutdown...) + 3650: 2d022304 085f7265 67697374 65725f63 -.#.._register_c + 3660: 616c6c62 61636b00 0000294f 02230808 allback...)O.#.. + 3670: 5f676574 5f746f74 616c5f63 72656469 _get_total_credi + 3680: 745f636f 756e7400 0000295c 02230c08 t_count...)\.#.. + 3690: 5f737461 72740000 00292d02 2310085f _start...)-.#.._ + 36a0: 636f6e66 69675f70 69706500 00002965 config_pipe...)e + 36b0: 02231408 5f73656e 645f6275 66666572 .#.._send_buffer + 36c0: 00000029 72022318 085f7265 7475726e ...)r.#.._return + 36d0: 5f726563 765f6275 66000000 297b0223 _recv_buf...){.# + 36e0: 1c085f69 735f7069 70655f73 7570706f .._is_pipe_suppo + 36f0: 72746564 00000029 88022320 085f6765 rted...)..# ._ge + 3700: 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... + 3710: 29880223 24085f67 65745f72 65736572 )..#$._get_reser + 3720: 7665645f 68656164 726f6f6d 00000029 ved_headroom...) + 3730: 5c022328 085f6973 725f6861 6e646c65 \.#(._isr_handle + 3740: 72000000 292d0223 2c085f67 65745f64 r...)-.#,._get_d + 3750: 65666175 6c745f70 69706500 00002991 efault_pipe...). + 3760: 02233008 70526573 65727665 64000000 .#0.pReserved... + 3770: 03fd0223 34000c64 6d615f65 6e67696e ...#4..dma_engin + 3780: 65000400 002b710d 444d415f 454e4749 e....+q.DMA_ENGI + 3790: 4e455f52 58300000 0d444d41 5f454e47 NE_RX0...DMA_ENG + 37a0: 494e455f 52583100 010d444d 415f454e INE_RX1...DMA_EN + 37b0: 47494e45 5f525832 00020d44 4d415f45 GINE_RX2...DMA_E + 37c0: 4e47494e 455f5258 3300030d 444d415f NGINE_RX3...DMA_ + 37d0: 454e4749 4e455f54 58300004 0d444d41 ENGINE_TX0...DMA + 37e0: 5f454e47 494e455f 54583100 050d444d _ENGINE_TX1...DM + 37f0: 415f454e 47494e45 5f4d4158 00060009 A_ENGINE_MAX.... + 3800: 646d615f 656e6769 6e655f74 0000002a dma_engine_t...* + 3810: e80c646d 615f6966 74797065 00040000 ..dma_iftype.... + 3820: 2bbe0d44 4d415f49 465f474d 41430000 +..DMA_IF_GMAC.. + 3830: 0d444d41 5f49465f 50434900 010d444d .DMA_IF_PCI...DM + 3840: 415f4946 5f504349 45000200 09646d61 A_IF_PCIE....dma + 3850: 5f696674 7970655f 74000000 2b830600 _iftype_t...+... + 3860: 00133601 0300002b d0040002 01030000 ..6....+........ + 3870: 2bdd0400 02010300 002be604 00060000 +........+...... + 3880: 09680103 00002bef 04000600 00133601 .h....+.......6. + 3890: 0300002b fc040006 00001336 01030000 ...+.......6.... + 38a0: 2c090400 06000014 81010300 002c1604 ,............,.. + 38b0: 00020103 00002c23 04000764 6d615f6c ......,#...dma_l + 38c0: 69625f61 70690034 00002d2a 0874785f ib_api.4..-*.tx_ + 38d0: 696e6974 0000002b d6022300 0874785f init...+..#..tx_ + 38e0: 73746172 74000000 2bdf0223 04087278 start...+..#..rx + 38f0: 5f696e69 74000000 2bd60223 08087278 _init...+..#..rx + 3900: 5f636f6e 66696700 00002be8 02230c08 _config...+..#.. + 3910: 72785f73 74617274 0000002b df022310 rx_start...+..#. + 3920: 08696e74 725f7374 61747573 0000002b .intr_status...+ + 3930: f5022314 08686172 645f786d 69740000 ..#..hard_xmit.. + 3940: 002c0202 23180866 6c757368 5f786d69 .,..#..flush_xmi + 3950: 74000000 2bdf0223 1c08786d 69745f64 t...+..#..xmit_d + 3960: 6f6e6500 00002c0f 02232008 72656170 one...,..# .reap + 3970: 5f786d69 74746564 0000002c 1c022324 _xmitted...,..#$ + 3980: 08726561 705f7265 63760000 002c1c02 .reap_recv...,.. + 3990: 23280872 65747572 6e5f7265 63760000 #(.return_recv.. + 39a0: 002c2502 232c0872 6563765f 706b7400 .,%.#,.recv_pkt. + 39b0: 00002c0f 02233000 075f5f70 63695f73 ..,..#0..__pci_s + 39c0: 6f667463 000c0000 2d480873 77000000 oftc....-H.sw... + 39d0: 29340223 0000095f 5f706369 5f736f66 )4.#...__pci_sof + 39e0: 74635f74 0000002d 2a030000 2d480400 tc_t...-*...-H.. + 39f0: 02010300 002d6204 00060000 13220103 .....-b......".. + 3a00: 00002d6b 04000c68 69665f70 63695f70 ..-k...hif_pci_p + 3a10: 6970655f 74780004 00002dcb 0d484946 ipe_tx....-..HIF + 3a20: 5f504349 5f504950 455f5458 3000000d _PCI_PIPE_TX0... + 3a30: 4849465f 5043495f 50495045 5f545831 HIF_PCI_PIPE_TX1 + 3a40: 00010d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 3a50: 54585f4d 41580002 00096869 665f7063 TX_MAX....hif_pc + 3a60: 695f7069 70655f74 785f7400 00002d78 i_pipe_tx_t...-x + 3a70: 0600002b 71010300 002de204 000c6869 ...+q....-....hi + 3a80: 665f7063 695f7069 70655f72 78000400 f_pci_pipe_rx... + 3a90: 002e680d 4849465f 5043495f 50495045 ..h.HIF_PCI_PIPE + 3aa0: 5f525830 00000d48 49465f50 43495f50 _RX0...HIF_PCI_P + 3ab0: 4950455f 52583100 010d4849 465f5043 IPE_RX1...HIF_PC + 3ac0: 495f5049 50455f52 58320002 0d484946 I_PIPE_RX2...HIF + 3ad0: 5f504349 5f504950 455f5258 3300030d _PCI_PIPE_RX3... + 3ae0: 4849465f 5043495f 50495045 5f52585f HIF_PCI_PIPE_RX_ + 3af0: 4d415800 04000968 69665f70 63695f70 MAX....hif_pci_p + 3b00: 6970655f 72785f74 0000002d ef060000 ipe_rx_t...-.... + 3b10: 2b710103 00002e7f 04000768 69665f70 +q.........hif_p + 3b20: 63695f61 70690024 00002f5d 08706369 ci_api.$../].pci + 3b30: 5f626f6f 745f696e 69740000 00010102 _boot_init...... + 3b40: 23000870 63695f69 6e697400 00002924 #..pci_init...)$ + 3b50: 02230408 7063695f 72657365 74000000 .#..pci_reset... + 3b60: 01010223 08087063 695f656e 61626c65 ...#..pci_enable + 3b70: 00000001 0102230c 08706369 5f726561 ......#..pci_rea + 3b80: 705f786d 69747465 64000000 2d640223 p_xmitted...-d.# + 3b90: 10087063 695f7265 61705f72 65637600 ..pci_reap_recv. + 3ba0: 00002d64 02231408 7063695f 6765745f ..-d.#..pci_get_ + 3bb0: 70697065 0000002d 71022318 08706369 pipe...-q.#..pci + 3bc0: 5f676574 5f74785f 656e6700 00002de8 _get_tx_eng...-. + 3bd0: 02231c08 7063695f 6765745f 72785f65 .#..pci_get_rx_e + 3be0: 6e670000 002e8502 23200007 676d6163 ng......# ..gmac + 3bf0: 5f617069 00040000 2f840867 6d61635f _api..../..gmac_ + 3c00: 626f6f74 5f696e69 74000000 01010223 boot_init......# + 3c10: 00000e00 00031506 00002f91 0f050007 ........../..... + 3c20: 5f5f6574 68686472 000e0000 2fc70864 __ethhdr..../..d + 3c30: 73740000 002f8402 23000873 72630000 st.../..#..src.. + 3c40: 002f8402 23060865 74797065 00000013 ./..#..etype.... + 3c50: 3602230c 00075f5f 61746868 64720004 6.#...__athhdr.. + 3c60: 00003015 14726573 00000013 22010002 ..0..res...."... + 3c70: 02230014 70726f74 6f000000 13220102 .#..proto....".. + 3c80: 06022300 08726573 5f6c6f00 00001322 ..#..res_lo...." + 3c90: 02230108 7265735f 68690000 00133602 .#..res_hi....6. + 3ca0: 23020007 5f5f676d 61635f68 64720014 #...__gmac_hdr.. + 3cb0: 00003051 08657468 0000002f 91022300 ..0Q.eth.../..#. + 3cc0: 08617468 0000002f c702230e 08616c69 .ath.../..#..ali + 3cd0: 676e5f70 61640000 00133602 23120009 gn_pad....6.#... + 3ce0: 5f5f676d 61635f68 64725f74 00000030 __gmac_hdr_t...0 + 3cf0: 15075f5f 676d6163 5f736f66 74630024 ..__gmac_softc.$ + 3d00: 0000309b 08686472 00000030 51022300 ..0..hdr...0Q.#. + 3d10: 08677261 6e000000 13360223 14087377 .gran....6.#..sw + 3d20: 00000029 34022318 00075f41 5f6f735f ...)4.#..._A_os_ + 3d30: 6c696e6b 6167655f 63686563 6b000800 linkage_check... + 3d40: 0030d408 76657273 696f6e00 00000108 .0..version..... + 3d50: 02230008 7461626c 65000000 01080223 .#..table......# + 3d60: 04000300 00309b04 00060000 01080103 .....0.......... + 3d70: 000030db 04000300 00040004 00165f41 ..0..........._A + 3d80: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 3d90: 6f6e5f74 61626c65 0001b800 00322b08 on_table.....2+. + 3da0: 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 3db0: 6b000000 30e10223 00087374 6172745f k...0..#..start_ + 3dc0: 62737300 000030e8 02230408 6170705f bss...0..#..app_ + 3dd0: 73746172 74000000 01010223 08086d65 start......#..me + 3de0: 6d000000 04400223 0c086d69 73630000 m....@.#..misc.. + 3df0: 0005b302 23200870 72696e74 66000000 ....# .printf... + 3e00: 01350223 44087561 72740000 0001fe02 .5.#D.uart...... + 3e10: 234c0867 6d616300 00002f5d 02236c08 #L.gmac.../].#l. + 3e20: 75736200 00000fe2 02237008 636c6f63 usb......#p.cloc + 3e30: 6b000000 0b2b0323 e0010874 696d6572 k....+.#...timer + 3e40: 00000007 cb032384 0208696e 74720000 ......#...intr.. + 3e50: 000c7b03 23980208 616c6c6f 6372616d ..{.#...allocram + 3e60: 00000009 830323c4 0208726f 6d700000 ......#...romp.. + 3e70: 00087503 23d00208 7764745f 74696d65 ..u.#...wdt_time + 3e80: 72000000 0e580323 e0020865 65700000 r....X.#...eep.. + 3e90: 000f8603 23fc0208 73747269 6e670000 ....#...string.. + 3ea0: 0006d703 238c0308 7461736b 6c657400 ....#...tasklet. + 3eb0: 00000a80 0323a403 00075f55 53425f46 .....#...._USB_F + 3ec0: 49464f5f 434f4e46 49470010 0000329e IFO_CONFIG....2. + 3ed0: 08676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 3ee0: 00000014 8e022300 08726563 765f636f ......#..recv_co + 3ef0: 6d6d616e 64000000 14a40223 04086765 mmand......#..ge + 3f00: 745f6576 656e745f 62756600 0000148e t_event_buf..... + 3f10: 02230808 73656e64 5f657665 6e745f64 .#..send_event_d + 3f20: 6f6e6500 000014a4 02230c00 09555342 one......#...USB + 3f30: 5f464946 4f5f434f 4e464947 00000032 _FIFO_CONFIG...2 + 3f40: 2b030000 329e0400 02010300 0032ba04 +...2........2.. + 3f50: 00077573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 3f60: 00331008 5f696e69 74000000 32bc0223 .3.._init...2..# + 3f70: 00085f65 6e61626c 655f6576 656e745f .._enable_event_ + 3f80: 69737200 00000101 02230408 70526573 isr......#..pRes + 3f90: 65727665 64000000 03fd0223 08000e00 erved......#.... + 3fa0: 0016c802 0000331d 0f010007 5f485443 ......3....._HTC + 3fb0: 5f465241 4d455f48 44520008 0000338f _FRAME_HDR....3. + 3fc0: 08456e64 706f696e 74494400 000016c8 .EndpointID..... + 3fd0: 02230008 466c6167 73000000 16c80223 .#..Flags......# + 3fe0: 01085061 796c6f61 644c656e 0000001c ..PayloadLen.... + 3ff0: f0022302 08436f6e 74726f6c 42797465 ..#..ControlByte + 4000: 73000000 33100223 0408486f 73745365 s...3..#..HostSe + 4010: 714e756d 0000001c f0022306 00110200 qNum......#..... + 4020: 0033a808 4d657373 61676549 44000000 .3..MessageID... + 4030: 1cf00223 00001108 0000340b 084d6573 ...#......4..Mes + 4040: 73616765 49440000 001cf002 23000843 sageID......#..C + 4050: 72656469 74436f75 6e740000 001cf002 reditCount...... + 4060: 23020843 72656469 7453697a 65000000 #..CreditSize... + 4070: 1cf00223 04084d61 78456e64 706f696e ...#..MaxEndpoin + 4080: 74730000 0016c802 2306085f 50616431 ts......#.._Pad1 + 4090: 00000016 c8022307 00110a00 0034a208 ......#......4.. + 40a0: 4d657373 61676549 44000000 1cf00223 MessageID......# + 40b0: 00085365 72766963 65494400 00001cf0 ..ServiceID..... + 40c0: 02230208 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 40d0: 61677300 00001cf0 02230408 446f776e ags......#..Down + 40e0: 4c696e6b 50697065 49440000 0016c802 LinkPipeID...... + 40f0: 23060855 704c696e 6b506970 65494400 #..UpLinkPipeID. + 4100: 000016c8 02230708 53657276 6963654d .....#..ServiceM + 4110: 6574614c 656e6774 68000000 16c80223 etaLength......# + 4120: 08085f50 61643100 000016c8 02230900 .._Pad1......#.. + 4130: 110a0000 352a084d 65737361 67654944 ....5*.MessageID + 4140: 0000001c f0022300 08536572 76696365 ......#..Service + 4150: 49440000 001cf002 23020853 74617475 ID......#..Statu + 4160: 73000000 16c80223 0408456e 64706f69 s......#..Endpoi + 4170: 6e744944 00000016 c8022305 084d6178 ntID......#..Max + 4180: 4d736753 697a6500 00001cf0 02230608 MsgSize......#.. + 4190: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 41a0: 68000000 16c80223 08085f50 61643100 h......#.._Pad1. + 41b0: 000016c8 02230900 11020000 3543084d .....#......5C.M + 41c0: 65737361 67654944 0000001c f0022300 essageID......#. + 41d0: 00110400 00357f08 4d657373 61676549 .....5..MessageI + 41e0: 44000000 1cf00223 00085069 70654944 D......#..PipeID + 41f0: 00000016 c8022302 08437265 64697443 ......#..CreditC + 4200: 6f756e74 00000016 c8022303 00110400 ount......#..... + 4210: 0035b608 4d657373 61676549 44000000 .5..MessageID... + 4220: 1cf00223 00085069 70654944 00000016 ...#..PipeID.... + 4230: c8022302 08537461 74757300 000016c8 ..#..Status..... + 4240: 02230300 11020000 35dd0852 65636f72 .#......5..Recor + 4250: 64494400 000016c8 02230008 4c656e67 dID......#..Leng + 4260: 74680000 0016c802 23010011 02000036 th......#......6 + 4270: 0708456e 64706f69 6e744944 00000016 ..EndpointID.... + 4280: c8022300 08437265 64697473 00000016 ..#..Credits.... + 4290: c8022301 00110400 00364808 456e6470 ..#......6H.Endp + 42a0: 6f696e74 49440000 0016c802 23000843 ointID......#..C + 42b0: 72656469 74730000 0016c802 23010854 redits......#..T + 42c0: 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 42d0: 1cf00223 02000e00 0016c804 00003655 ...#..........6U + 42e0: 0f030011 06000036 91085072 6556616c .......6..PreVal + 42f0: 69640000 0016c802 2300084c 6f6f6b41 id......#..LookA + 4300: 68656164 00000036 48022301 08506f73 head...6H.#..Pos + 4310: 7456616c 69640000 0016c802 23050009 tValid......#... + 4320: 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 4330: 03fd0600 00369101 03000036 a4040002 .....6.....6.... + 4340: 01030000 36b10400 13040000 372f0d50 ....6.......7/.P + 4350: 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 4360: 4f4c0000 0d504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 4370: 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 4380: 0d504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 4390: 5f455645 4e540002 0d504f4f 4c5f4944 _EVENT...POOL_ID + 43a0: 5f574c41 4e5f5258 5f425546 00030d50 _WLAN_RX_BUF...P + 43b0: 4f4f4c5f 49445f4d 4158000a 00094255 OOL_ID_MAX....BU + 43c0: 465f504f 4f4c5f49 44000000 36ba0201 F_POOL_ID...6... + 43d0: 03000037 40040006 0000268d 01030000 ...7@.....&..... + 43e0: 37490400 06000026 8d010300 00375604 7I.....&.....7V. + 43f0: 00020103 00003763 04000762 75665f70 ......7c...buf_p + 4400: 6f6f6c5f 61706900 1c000038 05085f69 ool_api....8.._i + 4410: 6e697400 000036aa 02230008 5f736875 nit...6..#.._shu + 4420: 74646f77 6e000000 36b30223 04085f63 tdown...6..#.._c + 4430: 72656174 655f706f 6f6c0000 00374202 reate_pool...7B. + 4440: 2308085f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 4450: 374f0223 0c085f61 6c6c6f63 5f627566 7O.#.._alloc_buf + 4460: 5f616c69 676e0000 00375c02 2310085f _align...7\.#.._ + 4470: 66726565 5f627566 00000037 65022314 free_buf...7e.#. + 4480: 08705265 73657276 65640000 0003fd02 .pReserved...... + 4490: 23180007 5f485443 5f534552 56494345 #..._HTC_SERVICE + 44a0: 001c0000 38e40870 4e657874 00000038 ....8..pNext...8 + 44b0: e4022300 0850726f 63657373 52656376 ..#..ProcessRecv + 44c0: 4d736700 00003999 02230408 50726f63 Msg...9..#..Proc + 44d0: 65737353 656e6442 75666665 72436f6d essSendBufferCom + 44e0: 706c6574 65000000 39a20223 08085072 plete...9..#..Pr + 44f0: 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 4500: b602230c 08536572 76696365 49440000 ..#..ServiceID.. + 4510: 00133602 23100853 65727669 6365466c ..6.#..ServiceFl + 4520: 61677300 00001336 02231208 4d617853 ags....6.#..MaxS + 4530: 76634d73 6753697a 65000000 13360223 vcMsgSize....6.# + 4540: 14085472 61696c65 72537063 43686563 ..TrailerSpcChec + 4550: 6b4c696d 69740000 00133602 23160853 kLimit....6.#..S + 4560: 65727669 63654374 78000000 03fd0223 erviceCtx......# + 4570: 18000300 00380504 00130400 00398218 .....8.......9.. + 4580: 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 4590: ffffffff 0d454e44 504f494e 54300000 .....ENDPOINT0.. + 45a0: 0d454e44 504f494e 54310001 0d454e44 .ENDPOINT1...END + 45b0: 504f494e 54320002 0d454e44 504f494e POINT2...ENDPOIN + 45c0: 54330003 0d454e44 504f494e 54340004 T3...ENDPOINT4.. + 45d0: 0d454e44 504f494e 54350005 0d454e44 .ENDPOINT5...END + 45e0: 504f494e 54360006 0d454e44 504f494e POINT6...ENDPOIN + 45f0: 54370007 0d454e44 504f494e 54380008 T7...ENDPOINT8.. + 4600: 0d454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 4610: 09485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 4620: 00000038 eb020103 00003997 04000201 ...8......9..... + 4630: 03000039 a0040003 00000108 04000600 ...9............ + 4640: 00132201 03000039 b0040003 00003805 .."....9......8. + 4650: 0400075f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 4660: 00003a35 08437265 64697453 697a6500 ..:5.CreditSize. + 4670: 00000108 02230008 43726564 69744e75 .....#..CreditNu + 4680: 6d626572 00000001 08022304 084f5348 mber......#..OSH + 4690: 616e646c 65000000 1a8c0223 08084849 andle......#..HI + 46a0: 4648616e 646c6500 000028f5 02230c08 FHandle...(..#.. + 46b0: 506f6f6c 48616e64 6c650000 00369102 PoolHandle...6.. + 46c0: 23100007 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 46d0: 54455854 00020000 3a710865 6e645f70 TEXT....:q.end_p + 46e0: 6f696e74 00000013 22022300 08687463 oint....".#..htc + 46f0: 5f666c61 67730000 00132202 23010009 _flags....".#... + 4700: 6874635f 68616e64 6c655f74 00000003 htc_handle_t.... + 4710: fd094854 435f5345 5455505f 434f4d50 ..HTC_SETUP_COMP + 4720: 4c455445 5f434200 00000101 09485443 LETE_CB......HTC + 4730: 5f434f4e 46494700 000039c4 0300003a _CONFIG...9....: + 4740: 9e040006 00003a71 01030000 3ab50400 ......:q....:... + 4750: 02010300 003ac204 00094854 435f5345 .....:....HTC_SE + 4760: 52564943 45000000 38050300 003acb04 RVICE...8....:.. + 4770: 00020103 00003ae3 04000201 0300003a ......:........: + 4780: ec040002 01030000 3af50400 06000001 ........:....... + 4790: 08010300 003afe04 00076874 635f6170 .....:....htc_ap + 47a0: 69730034 00003c7b 085f4854 435f496e is.4..<{._HTC_In + 47b0: 69740000 003abb02 2300085f 4854435f it...:..#.._HTC_ + 47c0: 53687574 646f776e 0000003a c4022304 Shutdown...:..#. + 47d0: 085f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 47e0: 72766963 65000000 3ae50223 08085f48 rvice...:..#.._H + 47f0: 54435f52 65616479 0000003a c402230c TC_Ready...:..#. + 4800: 085f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 4810: 65727300 00003aee 02231008 5f485443 ers...:..#.._HTC + 4820: 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 4830: 73740000 003af702 2314085f 4854435f st...:..#.._HTC_ + 4840: 53656e64 4d736700 00003aee 02231808 SendMsg...:..#.. + 4850: 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 4860: 48656164 726f6f6d 0000003b 0402231c Headroom...;..#. + 4870: 085f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 4880: 646c6572 00000028 a2022320 085f4854 dler...(..# ._HT + 4890: 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 48a0: 72000000 28990223 24085f48 54435f43 r...(..#$._HTC_C + 48b0: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 48c0: 4d736700 00003999 02232808 5f485443 Msg...9..#(._HTC + 48d0: 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 48e0: 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 48f0: 0039a202 232c0870 52657365 72766564 .9..#,.pReserved + 4900: 00000003 fd022330 0007686f 73745f61 ......#0..host_a + 4910: 70705f61 7265615f 73000400 003cab08 pp_area_s....<.. + 4920: 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 4930: 00000016 61022300 00110e00 003ce208 ....a.#......<.. + 4940: 6473744d 61630000 001cad02 23000873 dstMac......#..s + 4950: 72634d61 63000000 1cad0223 06087479 rcMac......#..ty + 4960: 70654f72 4c656e00 00001cf0 02230c00 peOrLen......#.. + 4970: 0e000016 c8030000 3cef0f02 00110800 ........<....... + 4980: 003d3f08 64736170 00000016 c8022300 .=?.dsap......#. + 4990: 08737361 70000000 16c80223 0108636e .ssap......#..cn + 49a0: 746c0000 0016c802 2302086f 7267436f tl......#..orgCo + 49b0: 64650000 003ce202 23030865 74686572 de...<..#..ether + 49c0: 54797065 0000001c f0022306 00110200 Type......#..... + 49d0: 003d6008 72737369 0000001c 46022300 .=`.rssi....F.#. + 49e0: 08696e66 6f000000 16c80223 01001104 .info......#.... + 49f0: 00003d87 08636f6d 6d616e64 49640000 ..=..commandId.. + 4a00: 001cf002 23000873 65714e6f 0000001c ....#..seqNo.... + 4a10: f0022302 000e0000 16c80100 003d940f ..#..........=.. + 4a20: 00001102 00003dbb 086d7367 53697a65 ......=..msgSize + 4a30: 00000016 c8022300 086d7367 44617461 ......#..msgData + 4a40: 0000003d 87022301 00110800 003e0208 ...=..#......>.. + 4a50: 61646472 6573734c 0000001c f0022300 addressL......#. + 4a60: 08616464 72657373 48000000 1cf00223 .addressH......# + 4a70: 02087661 6c75654c 0000001c f0022304 ..valueL......#. + 4a80: 0876616c 75654800 00001cf0 02230600 .valueH......#.. + 4a90: 09574d49 5f415654 0000003d bb0e0000 .WMI_AVT...=.... + 4aa0: 3e020800 003e1c0f 0000110c 00003e53 >....>........>S + 4ab0: 08747570 6c654e75 6d4c0000 001cf002 .tupleNumL...... + 4ac0: 23000874 75706c65 4e756d48 0000001c #..tupleNumH.... + 4ad0: f0022302 08617674 0000003e 0f022304 ..#..avt...>..#. + 4ae0: 00110100 003e7508 62656163 6f6e5065 .....>u.beaconPe + 4af0: 6e64696e 67436f75 6e740000 0016c802 ndingCount...... + 4b00: 23000007 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON + 4b10: 46494700 1000003e de084874 6348616e FIG....>..HtcHan + 4b20: 646c6500 00003a71 02230008 506f6f6c dle...:q.#..Pool + 4b30: 48616e64 6c650000 00369102 2304084d Handle...6..#..M + 4b40: 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 4b50: 00010802 2308084d 61784576 656e7445 ....#..MaxEventE + 4b60: 76747300 00000108 02230c00 02010300 vts......#...... + 4b70: 003ede04 0009574d 495f434d 445f4841 .>....WMI_CMD_HA + 4b80: 4e444c45 52000000 3ee0075f 574d495f NDLER...>.._WMI_ + 4b90: 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 4ba0: 00003f47 0870436d 6448616e 646c6572 ..?G.pCmdHandler + 4bb0: 0000003e e7022300 08436d64 49440000 ...>..#..CmdID.. + 4bc0: 00133602 23040846 6c616773 00000013 ..6.#..Flags.... + 4bd0: 36022306 00075f57 4d495f44 49535041 6.#..._WMI_DISPA + 4be0: 5443485f 5441424c 45001000 003fa808 TCH_TABLE....?.. + 4bf0: 704e6578 74000000 3fa80223 00087043 pNext...?..#..pC + 4c00: 6f6e7465 78740000 0003fd02 2304084e ontext......#..N + 4c10: 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 4c20: 00010802 23080870 5461626c 65000000 ....#..pTable... + 4c30: 3fc70223 0c000300 003f4704 0009574d ?..#.....?G...WM + 4c40: 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 4c50: 0000003e fc030000 3faf0400 0300003f ...>....?......? + 4c60: 47040009 4854435f 4255465f 434f4e54 G...HTC_BUF_CONT + 4c70: 45585400 00003a35 0c574d49 5f455654 EXT...:5.WMI_EVT + 4c80: 5f434c41 53530004 0000405f 18574d49 _CLASS....@_.WMI + 4c90: 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 4ca0: ffffffff 0d574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 4cb0: 53535f43 4d445f45 56454e54 00000d57 SS_CMD_EVENT...W + 4cc0: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 4cd0: 5f524550 4c590001 0d574d49 5f455654 _REPLY...WMI_EVT + 4ce0: 5f434c41 53535f4d 41580002 0009574d _CLASS_MAX....WM + 4cf0: 495f4556 545f434c 41535300 00003fea I_EVT_CLASS...?. + 4d00: 075f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 4d10: 54000c00 0040bd08 48746342 75664374 T....@..HtcBufCt + 4d20: 78000000 3fd50223 00084576 656e7443 x...?..#..EventC + 4d30: 6c617373 00000040 5f022304 08466c61 lass...@_.#..Fla + 4d40: 67730000 00133602 23080009 776d695f gs....6.#...wmi_ + 4d50: 68616e64 6c655f74 00000003 fd09574d handle_t......WM + 4d60: 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> + 4d70: 75030000 40cf0400 06000040 bd010300 u...@......@.... + 4d80: 0040ea04 0009574d 495f4449 53504154 .@....WMI_DISPAT + 4d90: 43485f54 41424c45 0000003f 47030000 CH_TABLE...?G... + 4da0: 40f70400 02010300 00411604 00060000 @........A...... + 4db0: 268d0103 0000411f 04000201 03000041 &.....A........A + 4dc0: 2c040006 00000108 01030000 41350400 ,...........A5.. + 4dd0: 02010300 00414204 00060000 13220103 .....AB......".. + 4de0: 0000414b 0400075f 776d695f 7376635f ..AK..._wmi_svc_ + 4df0: 61706973 002c0000 4293085f 574d495f apis.,..B.._WMI_ + 4e00: 496e6974 00000040 f0022300 085f574d Init...@..#.._WM + 4e10: 495f5265 67697374 65724469 73706174 I_RegisterDispat + 4e20: 63685461 626c6500 00004118 02230408 chTable...A..#.. + 4e30: 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 4e40: 00004125 02230808 5f574d49 5f53656e ..A%.#.._WMI_Sen + 4e50: 64457665 6e740000 00412e02 230c085f dEvent...A..#.._ + 4e60: 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 4e70: 656e7473 436f756e 74000000 413b0223 entsCount...A;.# + 4e80: 10085f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 4e90: 65746548 616e646c 65720000 0039a202 eteHandler...9.. + 4ea0: 2314085f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 4eb0: 6f6c4570 00000041 3b022318 085f574d olEp...A;.#.._WM + 4ec0: 495f5368 7574646f 776e0000 00414402 I_Shutdown...AD. + 4ed0: 231c085f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 4ee0: 61676548 616e646c 65720000 00399902 ageHandler...9.. + 4ef0: 2320085f 574d495f 53657276 69636543 # ._WMI_ServiceC + 4f00: 6f6e6e65 63740000 00415102 23240870 onnect...AQ.#$.p + 4f10: 52657365 72766564 00000003 fd022328 Reserved......#( + 4f20: 00077a73 446d6144 65736300 14000043 ..zsDmaDesc....C + 4f30: 15086374 726c0000 00016c02 23000873 ..ctrl....l.#..s + 4f40: 74617475 73000000 016c0223 0208746f tatus....l.#..to + 4f50: 74616c4c 656e0000 00016c02 23040864 talLen....l.#..d + 4f60: 61746153 697a6500 0000016c 02230608 ataSize....l.#.. + 4f70: 6c617374 41646472 00000043 15022308 lastAddr...C..#. + 4f80: 08646174 61416464 72000000 01900223 .dataAddr......# + 4f90: 0c086e65 78744164 64720000 00431502 ..nextAddr...C.. + 4fa0: 23100003 00004293 04000300 00429304 #.....B......B.. + 4fb0: 00077a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 4fc0: 43550868 65616400 0000431c 02230008 CU.head...C..#.. + 4fd0: 7465726d 696e6174 6f720000 00431c02 terminator...C.. + 4fe0: 23040007 7a735478 446d6151 75657565 #...zsTxDmaQueue + 4ff0: 00100000 43b90868 65616400 0000431c ....C..head...C. + 5000: 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 5010: 00431c02 23040878 6d697465 645f6275 .C..#..xmited_bu + 5020: 665f6865 61640000 00148102 23080878 f_head......#..x + 5030: 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 5040: 00148102 230c0002 01030000 43b90400 ....#.......C... + 5050: 03000043 23040002 01030000 43c90400 ...C#.......C... + 5060: 03000043 55040002 01030000 43d90400 ...CU.......C... + 5070: 02010300 0043e204 00020103 000043eb .....C........C. + 5080: 04000600 00148101 03000043 f4040002 ...........C.... + 5090: 01030000 44010400 06000014 81010300 ....D........... + 50a0: 00440a04 00020103 00004417 04000600 .D........D..... + 50b0: 00010801 03000044 20040006 0000431c .......D .....C. + 50c0: 01030000 442d0400 02010300 00443a04 ....D-.......D:. + 50d0: 0007646d 615f656e 67696e65 5f617069 ..dma_engine_api + 50e0: 00400000 45b0085f 696e6974 00000043 .@..E.._init...C + 50f0: bb022300 085f696e 69745f72 785f7175 ..#.._init_rx_qu + 5100: 65756500 000043cb 02230408 5f696e69 eue...C..#.._ini + 5110: 745f7478 5f717565 75650000 0043db02 t_tx_queue...C.. + 5120: 2308085f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 5130: 65756500 000043e4 02230c08 5f786d69 eue...C..#.._xmi + 5140: 745f6275 66000000 43ed0223 10085f66 t_buf...C..#.._f + 5150: 6c757368 5f786d69 74000000 43cb0223 lush_xmit...C..# + 5160: 14085f72 6561705f 72656376 5f627566 .._reap_recv_buf + 5170: 00000043 fa022318 085f7265 7475726e ...C..#.._return + 5180: 5f726563 765f6275 66000000 44030223 _recv_buf...D..# + 5190: 1c085f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 51a0: 75660000 00441002 2320085f 73776170 uf...D..# ._swap + 51b0: 5f646174 61000000 44190223 24085f68 _data...D..#$._h + 51c0: 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 51d0: 00000044 26022328 085f6465 73635f64 ...D&.#(._desc_d + 51e0: 756d7000 000043cb 02232c08 5f676574 ump...C..#,._get + 51f0: 5f706163 6b657400 00004433 02233008 _packet...D3.#0. + 5200: 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 5210: 0000443c 02233408 5f707574 5f706163 ..D<.#4._put_pac + 5220: 6b657400 0000443c 02233808 70526573 ket...D<.#8.pRes + 5230: 65727665 64000000 03fd0223 3c00095f erved......#<.._ + 5240: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 5250: 696f6e5f 7461626c 655f7400 000030ef ion_table_t...0. + 5260: 09574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 5270: 4158165f 415f6d61 67706965 5f696e64 AX._A_magpie_ind + 5280: 69726563 74696f6e 5f746162 6c650003 irection_table.. + 5290: 4c000046 de08636d 6e6f7300 000045b0 L..F..cmnos...E. + 52a0: 02230008 64626700 000003ca 0323b803 .#..dbg......#.. + 52b0: 08686966 00000029 980323c0 03086874 .hif...)..#...ht + 52c0: 63000000 3b0b0323 f8030877 6d695f73 c...;..#...wmi_s + 52d0: 76635f61 70690000 0045d203 23ac0408 vc_api...E..#... + 52e0: 75736266 69666f5f 61706900 000032c3 usbfifo_api...2. + 52f0: 0323d804 08627566 5f706f6f 6c000000 .#...buf_pool... + 5300: 376c0323 e4040876 62756600 000014ab 7l.#...vbuf..... + 5310: 03238005 08766465 73630000 00138d03 .#...vdesc...... + 5320: 23940508 616c6c6f 6372616d 00000009 #...allocram.... + 5330: 830323a8 0508646d 615f656e 67696e65 ..#...dma_engine + 5340: 00000044 430323b4 0508646d 615f6c69 ...DC.#...dma_li + 5350: 62000000 2c2c0323 f4050868 69665f70 b...,,.#...hif_p + 5360: 63690000 002e8c03 23a80600 095f415f ci......#...._A_ + 5370: 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 5380: 6f6e5f74 61626c65 5f740000 0045e411 on_table_t...E.. + 5390: 10000047 34086130 00000001 a5022300 ...G4.a0......#. + 53a0: 08613100 000001a5 02230408 61320000 .a1......#..a2.. + 53b0: 0001a502 23080861 33000000 01a50223 ....#..a3......# + 53c0: 0c000e00 00470150 00004741 0f040007 .....G.P..GA.... + 53d0: 5854656e 73615f65 78636570 74696f6e XTensa_exception + 53e0: 5f667261 6d655f73 00800000 48250878 _frame_s....H%.x + 53f0: 745f7063 00000001 a5022300 0878745f t_pc......#..xt_ + 5400: 70730000 0001a502 23040878 745f7361 ps......#..xt_sa + 5410: 72000000 01a50223 08087874 5f767072 r......#..xt_vpr + 5420: 69000000 01a50223 0c087874 5f613200 i......#..xt_a2. + 5430: 000001a5 02231008 78745f61 33000000 .....#..xt_a3... + 5440: 01a50223 14087874 5f613400 000001a5 ...#..xt_a4..... + 5450: 02231808 78745f61 35000000 01a50223 .#..xt_a5......# + 5460: 1c087874 5f657863 63617573 65000000 ..xt_exccause... + 5470: 01a50223 20087874 5f6c636f 756e7400 ...# .xt_lcount. + 5480: 000001a5 02232408 78745f6c 62656700 .....#$.xt_lbeg. + 5490: 000001a5 02232808 78745f6c 656e6400 .....#(.xt_lend. + 54a0: 000001a5 02232c08 77620000 00473402 .....#,.wb...G4. + 54b0: 23300009 4350555f 65786365 7074696f #0..CPU_exceptio + 54c0: 6e5f6672 616d655f 74000000 47411108 n_frame_t...GA.. + 54d0: 00004874 086d6f72 655f6461 74610000 ..Ht.more_data.. + 54e0: 001cf002 2300086c 656e0000 001cf002 ....#..len...... + 54f0: 2302086f 66667365 74000000 16610223 #..offset....a.# + 5500: 04001108 00004896 08727370 00000016 ......H..rsp.... + 5510: 61022300 086f6666 73657400 00001661 a.#..offset....a + 5520: 02230400 110c0000 48dd0861 64647200 .#......H..addr. + 5530: 00001661 02230008 6869665f 68616e64 ...a.#..hif_hand + 5540: 6c650000 0028f502 23040872 785f7069 le...(..#..rx_pi + 5550: 70650000 0016c802 23080874 785f7069 pe......#..tx_pi + 5560: 70650000 0016c802 23090009 415f494e pe......#...A_IN + 5570: 54333200 00000108 095f5f61 5f696e74 T32......__a_int + 5580: 33325f74 00000048 dd09615f 696e7433 32_t...H..a_int3 + 5590: 325f7400 000048ea 076d6469 6f5f6277 2_t...H..mdio_bw + 55a0: 5f657865 63001800 00499208 73746172 _exec....I..star + 55b0: 745f6164 64726573 73000000 16610223 t_address....a.# + 55c0: 00086c65 6e677468 00000016 61022304 ..length....a.#. + 55d0: 08657865 635f6164 64726573 73000000 .exec_address... + 55e0: 16610223 08086368 65636b73 756d0000 .a.#..checksum.. + 55f0: 00166102 230c0866 77645f73 74617465 ..a.#..fwd_state + 5600: 00000048 fb022310 08637572 72656e74 ...H..#..current + 5610: 5f77725f 70747200 000016d7 02231400 _wr_ptr......#.. + 5620: 06000001 08010300 0001a504 00190000 ................ + 5630: 01a50100 0049ab1a 00050000 499f1900 .....I......I... + 5640: 0001a501 000049bc 1a001b5f 726f6d5f ......I...._rom_ + 5650: 73746f72 655f7461 626c6500 000049ab store_table...I. + 5660: 0503004e 8710010e 000001a5 10000049 ...N...........I + 5670: e60f0300 0e000001 0f0b0000 49f30f0a ............I... + 5680: 00030000 49e60400 1c000001 901c0000 ....I........... + 5690: 01900300 0049ff04 00030000 48250400 .....I......H%.. + 56a0: 02010e00 00010f3c 00004a21 0f3b0003 .......<..J!.;.. + 56b0: 00004a14 04000e00 00010f07 00004a35 ..J...........J5 + 56c0: 0f060003 00004a28 04000e00 00010f12 ......J(........ + 56d0: 00004a49 0f110003 00004a3c 04000300 ..JI......J<.... + 56e0: 0001a504 000e0000 010f4300 004a640f ..........C..Jd. + 56f0: 42000300 004a5704 000e0000 010f2d00 B....JW.......-. + 5700: 004a780f 2c000300 004a6b04 000e0000 .Jx.,....Jk..... + 5710: 010f1b00 004a8c0f 1a000300 004a7f04 .....J.......J.. + 5720: 00110800 004abd08 696e7374 616c6c5f .....J..install_ + 5730: 666e0000 0009e902 23000861 70695f74 fn......#..api_t + 5740: 626c0000 0003fd02 23040019 00004a93 bl......#.....J. + 5750: 0100004a ca0f1600 1b626173 69635f52 ...J.....basic_R + 5760: 4f4d5f6d 6f64756c 655f7461 626c6500 OM_module_table. + 5770: 00004abd 05030050 06400103 00000440 ..J....P.@.....@ + 5780: 04000201 03000045 b0040003 000046de .......E......F. + 5790: 04000300 0005b304 00020103 00000135 ...............5 + 57a0: 04000201 02010300 000fe204 00020103 ................ + 57b0: 00000c7b 04000201 03000007 cb040002 ...{............ + 57c0: 01030000 0b2b0400 02010300 00098304 .....+.......... + 57d0: 00020103 00000875 04000201 0300000e .......u........ + 57e0: 58040002 01030000 0f860400 02010300 X............... + 57f0: 002f5d04 00030000 06d70400 02010300 ./]............. + 5800: 000a8004 00020103 0000138d 04000201 ................ + 5810: 03000014 ab040002 01030000 29980400 ............)... + 5820: 02010300 002e8c04 00020103 00002c2c ..............,, + 5830: 04000201 03000037 6c040002 01030000 .......7l....... + 5840: 32c30400 02010300 00444304 00020109 2........DC..... + 5850: 5f78746f 735f6861 6e646c65 725f6675 _xtos_handler_fu + 5860: 6e630000 0043b903 00004bc1 0400095f nc...C....K...._ + 5870: 78746f73 5f68616e 646c6572 0000004b xtos_handler...K + 5880: d9060000 4be00103 00004a12 04000201 ....K.....J..... + 5890: 0300004c 00040002 010e0000 010f1400 ...L............ + 58a0: 004c180f 13000300 004c0b04 000e0000 .L.......L...... + 58b0: 010f0500 004c2c0f 04000300 004c1f04 .....L,......L.. + 58c0: 00060000 0841010e 0000010f 1100004c .....A.........L + 58d0: 460f1000 0300004c 39040003 000043b9 F......L9.....C. + 58e0: 04000e00 00010f1c 00004c61 0f1b0003 ..........La.... + 58f0: 00004c54 04000300 0043b904 000e0000 ..LT.....C...... + 5900: 010f0200 004c7c0f 01000300 004c6f04 .....L|......Lo. + 5910: 000e0000 010f1000 004c900f 0f000300 .........L...... + 5920: 004c8304 000e0000 010f1900 004ca40f .L...........L.. + 5930: 18000300 004c9704 000e0000 010f1e00 .....L.......... + 5940: 004cb80f 1d000300 004cab04 000e0000 .L.......L...... + 5950: 010f0f00 004ccc0f 0e000300 004cbf04 .....L.......L.. + 5960: 000e0000 010f0d00 004ce00f 0c000300 .........L...... + 5970: 004cd304 00060000 28f5010e 0000010f .L......(....... + 5980: 1a00004c fa0f1900 0300004c ed040002 ...L.......L.... + 5990: 01096d64 696f5f62 775f6578 65635f74 ..mdio_bw_exec_t + 59a0: 00000049 0a030000 4d030400 06000001 ...I....M....... + 59b0: 0801096a 6d705f66 756e6300 00000101 ...jmp_func..... + 59c0: 1d017770 6f737400 01010392 01300290 ..wpost......0.. + 59d0: 00008e13 a4008e13 f700004d 701e7061 ...........Mp.pa + 59e0: 74746572 6e000000 49d90291 501f6900 ttern...I...P.i. + 59f0: 00000182 1f746d70 00000001 a5001d01 .....tmp........ + 5a00: bc4d6167 7069655f 66617461 6c5f6578 .Magpie_fatal_ex + 5a10: 63657074 696f6e5f 68616e64 6c657200 ception_handler. + 5a20: 01010492 01b00102 9000008e 13f8008e ................ + 5a30: 14280000 4dca2001 bc657863 5f667261 .(..M. ..exc_fra + 5a40: 6d650000 004a0b01 521e6475 6d700000 me...J..R.dump.. + 5a50: 0004a203 91d07e00 2101d161 74686f73 ......~.!..athos + 5a60: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 5a70: 00010801 03920120 02900000 8e142800 ....... ......(. + 5a80: 8e145100 004e1a20 01d1737a 00000001 ..Q..N. ..sz.... + 5a90: 08015220 01d16c69 6e6b5f63 6865636b ..R ..link_check + 5aa0: 00000030 d4015300 2201ef61 74686f73 ...0..S."..athos + 5ab0: 5f626c6f 636b5f61 6c6c5f69 6e74726c _block_all_intrl + 5ac0: 766c0000 0001a501 01039201 20029000 vl.......... ... + 5ad0: 008e1454 008e145f 00004e58 1f746d70 ...T..._..NX.tmp + 5ae0: 00000001 a5001d01 fe617468 6f735f75 .........athos_u + 5af0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 5b00: 766c0001 01039201 20029000 008e1460 vl...... ......` + 5b10: 008e1468 00004e94 1f746d70 00000004 ...h..N..tmp.... + 5b20: 00002301 01066174 686f735f 72657374 ..#...athos_rest + 5b30: 6f72655f 696e7472 6c766c00 01010392 ore_intrlvl..... + 5b40: 01200290 00008e14 68008e14 7200004e . ......h...r..N + 5b50: d7240101 066f6c64 5f696e74 72000000 .$...old_intr... + 5b60: 01a50152 00250101 10415236 3030325f ...R.%...AR6002_ + 5b70: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 5b80: 68616e64 6c657200 01049201 b0010290 handler......... + 5b90: 00008e14 74008e14 d200004f 45240101 ....t......OE$.. + 5ba0: 10657863 5f667261 6d650000 004a0b01 .exc_frame...J.. + 5bb0: 521e6475 6d700000 0004a203 91d07e1f R.dump........~. + 5bc0: 73746b70 74720000 004a501f 69000000 stkptr...JP.i... + 5bd0: 01080025 01013b41 52363030 325f6661 ...%..;AR6002_fa + 5be0: 74616c5f 65786365 7074696f 6e5f6861 tal_exception_ha + 5bf0: 6e646c65 72000104 9201b001 02900000 ndler........... + 5c00: 8e14d400 8e154500 004fd824 01013b65 ......E..O.$..;e + 5c10: 78635f66 72616d65 0000004a 0b01521f xc_frame...J..R. + 5c20: 72657365 745f6675 6e630000 0001011e reset_func...... + 5c30: 64756d70 00000004 a20391d0 7e1f6570 dump........~.ep + 5c40: 63310000 0001a51f 65706332 00000001 c1......epc2.... + 5c50: a51f6570 63330000 0001a51f 65706334 ..epc3......epc4 + 5c60: 00000001 a5002301 01be6765 6e657269 ......#...generi + 5c70: 635f6869 665f6d6f 64756c65 5f696e73 c_hif_module_ins + 5c80: 74616c6c 00010103 92012002 9000008e tall...... ..... + 5c90: 1548008e 15730000 50282401 01be6170 .H...s..P($...ap + 5ca0: 69730000 004b8b01 521f686f 73746966 is...K..R.hostif + 5cb0: 00000005 7e002301 01d86174 686f735f ....~.#...athos_ + 5cc0: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 5cd0: 655f696e 7374616c 6c000101 03920120 e_install...... + 5ce0: 02900000 8e157400 8e160300 0050721f ......t......Pr. + 5cf0: 74626c00 0000036b 1f690000 00040000 tbl....k.i...... + 5d00: 2301021c 6174686f 735f696e 74657272 #...athos_interr + 5d10: 7570745f 68616e64 6c657200 01010392 upt_handler..... + 5d20: 01200290 00008e16 04008e16 14000050 . .............P + 5d30: cd240102 1c696e75 6d000000 04000152 .$...inum......R + 5d40: 2401021c 696e7465 72727570 745f6672 $...interrupt_fr + 5d50: 616d6500 000030e8 01530023 01022361 ame...0..S.#..#a + 5d60: 74686f73 5f696e74 65727275 70745f69 thos_interrupt_i + 5d70: 6e697400 01010392 01200290 00008e16 nit...... ...... + 5d80: 14008e16 7a000051 031f6900 00000108 ....z..Q..i..... + 5d90: 00230103 9f617468 6f735f69 6e697400 .#...athos_init. + 5da0: 01010392 01200290 00008e16 7c008e16 ..... ......|... + 5db0: ae000051 36240103 9f686966 00000005 ...Q6$...hif.... + 5dc0: 7e015200 260103b5 5f726561 645f7573 ~.R.&..._read_us + 5dd0: 625f6465 73630000 00084101 01039201 b_desc....A..... + 5de0: 30029000 008e16b0 008e16e6 000051ab 0.............Q. + 5df0: 240103b5 70446573 63000000 0f650152 $...pDesc....e.R + 5e00: 240103b5 4f666673 65740000 00018201 $...Offset...... + 5e10: 53240103 b553697a 65000000 01820154 S$...Size......T + 5e20: 1f704473 74000000 0f651e6d 53697a65 .pDst....e.mSize + 5e30: 00000001 82029150 00260103 c8726561 .......P.&...rea + 5e40: 645f7573 625f636f 6e660000 00084101 d_usb_conf....A. + 5e50: 01039201 30029000 008e16e8 008e178b ....0........... + 5e60: 000051e5 1e6d4461 74610000 0001a502 ..Q..mData...... + 5e70: 91500026 0103e073 65745f70 63695f63 .P.&...set_pci_c + 5e80: 6f6e6600 00000841 01010392 01200290 onf....A..... .. + 5e90: 00008e17 8c008e18 5e000052 4e1f6d44 ........^..RN.mD + 5ea0: 61746100 000001a5 1f66726f 6d6f776c ata......fromowl + 5eb0: 00000016 c81f6469 64766964 00000001 ......didvid.... + 5ec0: a51f6770 696f656e 00000001 a51f6770 ..gpioen......gp + 5ed0: 696f6675 6e630000 0001a500 27010410 iofunc......'... + 5ee0: 626f6f74 6c6f6164 00010392 01200290 bootload..... .. + 5ef0: 00008e18 60008e18 9b270104 22706369 ....`....'.."pci + 5f00: 5f676d61 635f626f 6f746c6f 61640001 _gmac_bootload.. + 5f10: 03920120 02900000 8e189c00 8e18c827 ... ...........' + 5f20: 01043474 75726e5f 6f66665f 72630001 ..4turn_off_rc.. + 5f30: 03920120 02900000 8e18c800 8e18fb23 ... ...........# + 5f40: 01044362 6f6f7465 6e747279 00010104 ..Cbootentry.... + 5f50: 9201c000 02900000 8e18fc00 8e1bab00 ................ + 5f60: 00535b1f 686f7374 69660000 00057e1f .S[.hostif....~. + 5f70: 7273745f 73746174 75730000 000e3a1f rst_status....:. + 5f80: 72657445 45500000 000f561e 69000000 retEEP....V.i... + 5f90: 01820503 00500950 1f617374 61727400 .....P.P.astart. + 5fa0: 000003fd 1f617369 7a650000 0001081f .....asize...... + 5fb0: 61737461 72740000 0003fd1f 6173697a astart......asiz + 5fc0: 65000000 01081e66 775f6277 5f737461 e......fw_bw_sta + 5fd0: 74650000 004d0302 91401f66 756e635f te...M...@.func_ + 5fe0: 70747200 00004d24 00280105 8a6d6169 ptr...M$.(...mai + 5ff0: 6e000000 01080101 03920120 02029000 n.......... .... + 6000: 008e1bac 008e1bca 00000000 48740002 ............Ht.. + 6010: 00000443 04012f72 6f6f742f 576f726b ...C../root/Work + 6020: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 6030: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 6040: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 6050: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 6060: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 6070: 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + 6080: 616d2f73 72632f63 6d6e6f73 5f616c6c am/src/cmnos_all + 6090: 6f637261 6d2e6300 2f726f6f 742f576f ocram.c./root/Wo + 60a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 60b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 60c0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 60d0: 2f616c6c 6f637261 6d007874 2d786363 /allocram.xt-xcc + 60e0: 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: + 60f0: 616c6967 6e5f696e 73747275 6374696f align_instructio + 6100: 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O + 6110: 50543a73 70616365 00010000 0025be02 PT:space.....%.. + 6120: 01030000 01150400 04696e74 00050404 .........int.... + 6130: 63686172 00070105 00000125 05000001 char.......%.... + 6140: 25030000 01320400 06000001 1e010300 %....2.......... + 6150: 00013e04 00077072 696e7466 5f617069 ..>...printf_api + 6160: 00080000 0182085f 7072696e 74665f69 ......._printf_i + 6170: 6e697400 00000117 02230008 5f707269 nit......#.._pri + 6180: 6e746600 00000144 02230400 0473686f ntf....D.#...sho + 6190: 72742075 6e736967 6e656420 696e7400 rt unsigned int. + 61a0: 07020975 696e7431 365f7400 00000182 ...uint16_t..... + 61b0: 046c6f6e 6720756e 7369676e 65642069 .long unsigned i + 61c0: 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. + 61d0: 0001a607 75617274 5f666966 6f000800 ....uart_fifo... + 61e0: 00021408 73746172 745f696e 64657800 ....start_index. + 61f0: 00000198 02230008 656e645f 696e6465 .....#..end_inde + 6200: 78000000 01980223 02086f76 65727275 x......#..overru + 6210: 6e5f6572 72000000 01bb0223 04000775 n_err......#...u + 6220: 6172745f 61706900 20000002 cd085f75 art_api. ....._u + 6230: 6172745f 696e6974 00000003 24022300 art_init....$.#. + 6240: 085f7561 72745f63 6861725f 70757400 ._uart_char_put. + 6250: 0000034b 02230408 5f756172 745f6368 ...K.#.._uart_ch + 6260: 61725f67 65740000 00035f02 2308085f ar_get...._.#.._ + 6270: 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 6280: 6802230c 085f7561 72745f74 61736b00 h.#.._uart_task. + 6290: 00000117 02231008 5f756172 745f7374 .....#.._uart_st + 62a0: 61747573 00000003 24022314 085f7561 atus....$.#.._ua + 62b0: 72745f63 6f6e6669 67000000 03710223 rt_config....q.# + 62c0: 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 62d0: 00037a02 231c0003 00000214 04000775 ..z.#..........u + 62e0: 6172745f 626c6b00 10000003 1e086465 art_blk.......de + 62f0: 6275675f 6d6f6465 00000001 98022300 bug_mode......#. + 6300: 08626175 64000000 01980223 02085f75 .baud......#.._u + 6310: 61727400 000002cd 02230408 5f747800 art......#.._tx. + 6320: 000001c9 02230800 06000001 bb010300 .....#.......... + 6330: 00031e04 0004756e 7369676e 65642063 ......unsigned c + 6340: 68617200 07010975 696e7438 5f740000 har....uint8_t.. + 6350: 00032b02 01030000 03490400 03000003 ..+......I...... + 6360: 3c040006 00000198 01030000 03590400 <............Y.. + 6370: 02010300 00036604 00020103 0000036f ......f........o + 6380: 04000201 03000003 78040003 00000125 ........x......% + 6390: 04000600 00011e01 03000003 88040007 ................ + 63a0: 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC + 63b0: 54000c00 0003e008 636d645f 73747200 T.......cmd_str. + 63c0: 00000381 02230008 68656c70 5f737472 .....#..help_str + 63d0: 00000003 81022304 08636d64 5f66756e ......#..cmd_fun + 63e0: 63000000 038e0223 08000764 62675f61 c......#...dbg_a + 63f0: 70690008 00000413 085f6462 675f696e pi......._dbg_in + 6400: 69740000 00011702 2300085f 6462675f it......#.._dbg_ + 6410: 7461736b 00000001 17022304 000a0400 task......#..... + 6420: 04756e73 69676e65 6420696e 74000704 .unsigned int... + 6430: 06000004 13010300 00042604 000b0b03 ..........&..... + 6440: 00000434 04000600 00041301 03000004 ...4............ + 6450: 3c040006 0000011e 01030000 04490400 <............I.. + 6460: 076d656d 5f617069 00140000 04b8085f .mem_api......._ + 6470: 6d656d5f 696e6974 00000001 17022300 mem_init......#. + 6480: 085f6d65 6d736574 00000004 2c022304 ._memset....,.#. + 6490: 085f6d65 6d637079 00000004 42022308 ._memcpy....B.#. + 64a0: 085f6d65 6d6d6f76 65000000 04420223 ._memmove....B.# + 64b0: 0c085f6d 656d636d 70000000 044f0223 .._memcmp....O.# + 64c0: 10000c72 65676973 7465725f 64756d70 ...register_dump + 64d0: 5f730000 01030000 04b80400 02010300 _s.............. + 64e0: 0004d204 00020103 000004db 04000600 ................ + 64f0: 00011e01 03000004 e404000d 686f7374 ............host + 6500: 69665f73 00040000 05400e48 49465f55 if_s.....@.HIF_U + 6510: 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... + 6520: 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P + 6530: 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H + 6540: 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS + 6550: 54494600 000004f1 06000005 40010300 TIF.........@... + 6560: 00054e04 00060000 033c0103 0000055b ..N......<.....[ + 6570: 04000600 00019801 03000005 68040007 ............h... + 6580: 6d697363 5f617069 00240000 0658085f misc_api.$...X._ + 6590: 73797374 656d5f72 65736574 00000001 system_reset.... + 65a0: 17022300 085f6d61 635f7265 73657400 ..#.._mac_reset. + 65b0: 00000117 02230408 5f617373 6661696c .....#.._assfail + 65c0: 00000004 d4022308 085f6d69 73616c69 ......#.._misali + 65d0: 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle + 65e0: 72000000 04d40223 0c085f72 65706f72 r......#.._repor + 65f0: 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos + 6600: 74000000 04dd0223 10085f74 61726765 t......#.._targe + 6610: 745f6964 5f676574 00000004 ea022314 t_id_get......#. + 6620: 085f6973 5f686f73 745f7072 6573656e ._is_host_presen + 6630: 74000000 05540223 18085f6b 62686974 t....T.#.._kbhit + 6640: 00000005 6102231c 085f726f 6d5f7665 ....a.#.._rom_ve + 6650: 7273696f 6e5f6765 74000000 056e0223 rsion_get....n.# + 6660: 20000600 00038101 03000006 58040006 ...........X... + 6670: 00000381 01030000 06650400 06000001 .........e...... + 6680: 1e010300 00067204 00060000 011e0103 ......r......... + 6690: 0000067f 04000600 00011e01 03000006 ................ + 66a0: 8c040007 73747269 6e675f61 70690018 ....string_api.. + 66b0: 00000712 085f7374 72696e67 5f696e69 ....._string_ini + 66c0: 74000000 01170223 00085f73 74726370 t......#.._strcp + 66d0: 79000000 065e0223 04085f73 74726e63 y....^.#.._strnc + 66e0: 70790000 00066b02 2308085f 7374726c py....k.#.._strl + 66f0: 656e0000 00067802 230c085f 73747263 en....x.#.._strc + 6700: 6d700000 00068502 2310085f 7374726e mp......#.._strn + 6710: 636d7000 00000692 02231400 0f000004 cmp......#...... + 6720: 16140000 071f1004 00095f41 5f54494d .........._A_TIM + 6730: 45525f53 50414345 00000007 1209415f ER_SPACE......A_ + 6740: 74696d65 725f7400 0000071f 03000007 timer_t......... + 6750: 33040002 01030000 07490400 02010300 3........I...... + 6760: 00075204 0009415f 48414e44 4c450000 ..R...A_HANDLE.. + 6770: 00041602 0109415f 54494d45 525f4655 ......A_TIMER_FU + 6780: 4e430000 00076903 0000076b 04000201 NC....i....k.... + 6790: 03000007 84040007 74696d65 725f6170 ........timer_ap + 67a0: 69001400 00080308 5f74696d 65725f69 i......._timer_i + 67b0: 6e697400 00000117 02230008 5f74696d nit......#.._tim + 67c0: 65725f61 726d0000 00074b02 2304085f er_arm....K.#.._ + 67d0: 74696d65 725f6469 7361726d 00000007 timer_disarm.... + 67e0: 54022308 085f7469 6d65725f 73657466 T.#.._timer_setf + 67f0: 6e000000 07860223 0c085f74 696d6572 n......#.._timer + 6800: 5f72756e 00000001 17022310 0009424f _run......#...BO + 6810: 4f4c4541 4e000000 01980600 00080301 OLEAN........... + 6820: 03000008 10040006 00000803 01030000 ................ + 6830: 081d0400 06000008 03010300 00082a04 ..............*. + 6840: 0007726f 6d705f61 70690010 0000089c ..romp_api...... + 6850: 085f726f 6d705f69 6e697400 00000117 ._romp_init..... + 6860: 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo + 6870: 61640000 00081602 2304085f 726f6d70 ad......#.._romp + 6880: 5f696e73 74616c6c 00000008 23022308 _install....#.#. + 6890: 085f726f 6d705f64 65636f64 65000000 ._romp_decode... + 68a0: 08300223 0c000772 6f6d5f70 61746368 .0.#...rom_patch + 68b0: 5f737400 10000008 f8086372 63313600 _st.......crc16. + 68c0: 00000198 02230008 6c656e00 00000198 .....#..len..... + 68d0: 02230208 6c645f61 64647200 000001bb .#..ld_addr..... + 68e0: 02230408 66756e5f 61646472 00000001 .#..fun_addr.... + 68f0: bb022308 08706675 6e000000 03520223 ..#..pfun....R.# + 6900: 0c000765 65705f72 65646972 5f616464 ...eep_redir_add + 6910: 72000400 00092a08 6f666673 65740000 r.....*.offset.. + 6920: 00019802 23000873 697a6500 00000198 ....#..size..... + 6930: 02230200 09415f55 494e5433 32000000 .#...A_UINT32... + 6940: 04160600 00041301 03000009 38040007 ............8... + 6950: 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... + 6960: 09a90863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra + 6970: 6d5f696e 69740000 00093e02 23000863 m_init....>.#..c + 6980: 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... + 6990: 093e0223 0408636d 6e6f735f 616c6c6f .>.#..cmnos_allo + 69a0: 6372616d 5f646562 75670000 00011702 cram_debug...... + 69b0: 23080002 01030000 09a90400 09415f54 #............A_T + 69c0: 41534b4c 45545f46 554e4300 000009ab ASKLET_FUNC..... + 69d0: 075f7461 736b6c65 74001000 000a0a08 ._tasklet....... + 69e0: 66756e63 00000009 b2022300 08617267 func......#..arg + 69f0: 00000004 13022304 08737461 74650000 ......#..state.. + 6a00: 00011e02 2308086e 65787400 00000a0a ....#..next..... + 6a10: 02230c00 03000009 c6040003 000009c6 .#.............. + 6a20: 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. + 6a30: 0009c603 00000a18 04000201 0300000a ................ + 6a40: 30040002 01030000 0a390400 07746173 0........9...tas + 6a50: 6b6c6574 5f617069 00140000 0ace085f klet_api......._ + 6a60: 7461736b 6c65745f 696e6974 00000001 tasklet_init.... + 6a70: 17022300 085f7461 736b6c65 745f696e ..#.._tasklet_in + 6a80: 69745f74 61736b00 00000a32 02230408 it_task....2.#.. + 6a90: 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable + 6aa0: 0000000a 3b022308 085f7461 736b6c65 ....;.#.._taskle + 6ab0: 745f7363 68656475 6c650000 000a3b02 t_schedule....;. + 6ac0: 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. + 6ad0: 00000117 02231000 02010300 000ace04 .....#.......... + 6ae0: 00060000 092a0103 00000ad7 04000201 .....*.......... + 6af0: 0300000a e4040007 636c6f63 6b5f6170 ........clock_ap + 6b00: 69002400 000bc608 5f636c6f 636b5f69 i.$....._clock_i + 6b10: 6e697400 00000ad0 02230008 5f636c6f nit......#.._clo + 6b20: 636b7265 67735f69 6e697400 00000117 ckregs_init..... + 6b30: 02230408 5f756172 745f6672 65717565 .#.._uart_freque + 6b40: 6e637900 00000add 02230808 5f64656c ncy......#.._del + 6b50: 61795f75 73000000 0ae60223 0c085f77 ay_us......#.._w + 6b60: 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... + 6b70: e6022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe + 6b80: 65645f67 65740000 000add02 2314085f ed_get......#.._ + 6b90: 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... + 6ba0: dd022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha + 6bb0: 6e676500 00000117 02231c08 5f636c6f nge......#.._clo + 6bc0: 636b5f74 69636b00 00000117 02232000 ck_tick......# . + 6bd0: 06000001 bb010300 000bc604 0009415f ..............A_ + 6be0: 6f6c645f 696e7472 5f740000 0001bb06 old_intr_t...... + 6bf0: 00000bd3 01030000 0be50400 02010300 ................ + 6c00: 000bf204 00020103 00000bfb 04000600 ................ + 6c10: 0001bb01 0300000c 04040009 415f6973 ............A_is + 6c20: 725f7400 00000c0a 02010300 000c1e04 r_t............. + 6c30: 00060000 04160103 00000c27 04000201 ...........'.... + 6c40: 0300000c 34040007 696e7472 5f617069 ....4...intr_api + 6c50: 002c0000 0d56085f 696e7472 5f696e69 .,...V._intr_ini + 6c60: 74000000 01170223 00085f69 6e74725f t......#.._intr_ + 6c70: 696e766f 6b655f69 73720000 000bcc02 invoke_isr...... + 6c80: 2304085f 696e7472 5f646973 61626c65 #.._intr_disable + 6c90: 0000000b eb022308 085f696e 74725f72 ......#.._intr_r + 6ca0: 6573746f 72650000 000bf402 230c085f estore......#.._ + 6cb0: 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. + 6cc0: 000bfd02 2310085f 696e7472 5f756e6d ....#.._intr_unm + 6cd0: 61736b5f 696e756d 0000000b fd022314 ask_inum......#. + 6ce0: 085f696e 74725f61 74746163 685f6973 ._intr_attach_is + 6cf0: 72000000 0c200223 18085f67 65745f69 r.... .#.._get_i + 6d00: 6e747265 6e61626c 65000000 0c2d0223 ntrenable....-.# + 6d10: 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl + 6d20: 65000000 0c360223 20085f67 65745f69 e....6.# ._get_i + 6d30: 6e747270 656e6469 6e670000 000c2d02 ntrpending....-. + 6d40: 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ + 6d50: 696e7472 6c766c00 00000117 02232800 intrlvl......#(. + 6d60: 11040000 0d7c0874 696d656f 75740000 .....|.timeout.. + 6d70: 0001bb02 23000861 6374696f 6e000000 ....#..action... + 6d80: 01bb0223 00001208 00000d97 08636d64 ...#.........cmd + 6d90: 00000001 bb022300 1300000d 56022304 ......#.....V.#. + 6da0: 0009545f 5744545f 434d4400 00000d7c ..T_WDT_CMD....| + 6db0: 02010300 000da604 00140400 000dfc0e ................ + 6dc0: 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... + 6dd0: 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. + 6de0: 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. + 6df0: 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B + 6e00: 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY + 6e10: 50450000 000daf06 00000dfc 01030000 PE.............. + 6e20: 0e0d0400 07776474 5f617069 001c0000 .....wdt_api.... + 6e30: 0eb1085f 7764745f 696e6974 00000001 ..._wdt_init.... + 6e40: 17022300 085f7764 745f656e 61626c65 ..#.._wdt_enable + 6e50: 00000001 17022304 085f7764 745f6469 ......#.._wdt_di + 6e60: 7361626c 65000000 01170223 08085f77 sable......#.._w + 6e70: 64745f73 65740000 000da802 230c085f dt_set......#.._ + 6e80: 7764745f 7461736b 00000001 17022310 wdt_task......#. + 6e90: 085f7764 745f7265 73657400 00000117 ._wdt_reset..... + 6ea0: 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo + 6eb0: 6f740000 000e1302 23180014 0400000f ot......#....... + 6ec0: 180e5245 545f5355 43434553 5300000e ..RET_SUCCESS... + 6ed0: 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R + 6ee0: 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R + 6ef0: 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. + 6f00: 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO + 6f10: 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. + 6f20: 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... + 6f30: b1030000 01980400 0600000f 18010300 ................ + 6f40: 000f2e04 00060000 0f180103 00000f3b ...............; + 6f50: 04000765 65705f61 70690010 00000fa4 ...eep_api...... + 6f60: 085f6565 705f696e 69740000 00011702 ._eep_init...... + 6f70: 2300085f 6565705f 72656164 0000000f #.._eep_read.... + 6f80: 34022304 085f6565 705f7772 69746500 4.#.._eep_write. + 6f90: 00000f34 02230808 5f656570 5f69735f ...4.#.._eep_is_ + 6fa0: 65786973 74000000 0f410223 0c000775 exist....A.#...u + 6fb0: 73625f61 70690070 00001251 085f7573 sb_api.p...Q._us + 6fc0: 625f696e 69740000 00011702 2300085f b_init......#.._ + 6fd0: 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... + 6fe0: 17022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas + 6ff0: 6b000000 01170223 08085f75 73625f69 k......#.._usb_i + 7000: 6e69745f 70687900 00000117 02230c08 nit_phy......#.. + 7010: 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. + 7020: 00011702 2310085f 7573625f 6570305f ....#.._usb_ep0_ + 7030: 74780000 00011702 2314085f 7573625f tx......#.._usb_ + 7040: 6570305f 72780000 00011702 2318085f ep0_rx......#.._ + 7050: 7573625f 6765745f 696e7465 72666163 usb_get_interfac + 7060: 65000000 08230223 1c085f75 73625f73 e....#.#.._usb_s + 7070: 65745f69 6e746572 66616365 00000008 et_interface.... + 7080: 23022320 085f7573 625f6765 745f636f #.# ._usb_get_co + 7090: 6e666967 75726174 696f6e00 00000823 nfiguration....# + 70a0: 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con + 70b0: 66696775 72617469 6f6e0000 00082302 figuration....#. + 70c0: 2328085f 7573625f 7374616e 64617264 #(._usb_standard + 70d0: 5f636d64 00000008 2302232c 085f7573 _cmd....#.#,._us + 70e0: 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... + 70f0: 17022330 085f7573 625f706f 7765725f ..#0._usb_power_ + 7100: 6f666600 00000117 02233408 5f757362 off......#4._usb + 7110: 5f726573 65745f66 69666f00 00000117 _reset_fifo..... + 7120: 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt + 7130: 00000001 1702233c 085f7573 625f6a75 ......#<._usb_ju + 7140: 6d705f62 6f6f7400 00000117 02234008 mp_boot......#@. + 7150: 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature + 7160: 00000008 23022344 085f7573 625f7365 ....#.#D._usb_se + 7170: 745f6665 61747572 65000000 08230223 t_feature....#.# + 7180: 48085f75 73625f73 65745f61 64647265 H._usb_set_addre + 7190: 73730000 00082302 234c085f 7573625f ss....#.#L._usb_ + 71a0: 6765745f 64657363 72697074 6f720000 get_descriptor.. + 71b0: 00082302 2350085f 7573625f 6765745f ..#.#P._usb_get_ + 71c0: 73746174 75730000 00082302 2354085f status....#.#T._ + 71d0: 7573625f 73657475 705f6465 73630000 usb_setup_desc.. + 71e0: 00011702 2358085f 7573625f 7265675f ....#X._usb_reg_ + 71f0: 6f757400 00000117 02235c08 5f757362 out......#\._usb + 7200: 5f737461 7475735f 696e0000 00011702 _status_in...... + 7210: 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d + 7220: 61746100 00000117 02236408 5f757362 ata......#d._usb + 7230: 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... + 7240: 17022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in + 7250: 69740000 00011702 236c0007 5f564445 it......#l.._VDE + 7260: 53430024 000012dd 086e6578 745f6465 SC.$.....next_de + 7270: 73630000 0012dd02 23000862 75665f61 sc......#..buf_a + 7280: 64647200 000012f1 02230408 6275665f ddr......#..buf_ + 7290: 73697a65 00000012 f8022308 08646174 size......#..dat + 72a0: 615f6f66 66736574 00000012 f802230a a_offset......#. + 72b0: 08646174 615f7369 7a650000 0012f802 .data_size...... + 72c0: 230c0863 6f6e7472 6f6c0000 0012f802 #..control...... + 72d0: 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. + 72e0: 00130602 23100003 00001251 04000941 ....#......Q...A + 72f0: 5f55494e 54380000 00032b03 000012e4 _UINT8....+..... + 7300: 04000941 5f55494e 54313600 00000182 ...A_UINT16..... + 7310: 0f000012 e4140000 13131013 00030000 ................ + 7320: 12510400 09564445 53430000 00125103 .Q...VDESC....Q. + 7330: 0000131a 04000600 00132501 03000013 ..........%..... + 7340: 2c040006 000012f1 01030000 13390400 ,............9.. + 7350: 02010300 00134604 00077664 6573635f ......F...vdesc_ + 7360: 61706900 14000013 be085f69 6e697400 api......._init. + 7370: 00000ae6 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 7380: 64657363 00000013 32022304 085f6765 desc....2.#.._ge + 7390: 745f6877 5f646573 63000000 133f0223 t_hw_desc....?.# + 73a0: 08085f73 7761705f 76646573 63000000 .._swap_vdesc... + 73b0: 13480223 0c087052 65736572 76656400 .H.#..pReserved. + 73c0: 00000413 02231000 075f5642 55460020 .....#..._VBUF. + 73d0: 0000141e 08646573 635f6c69 73740000 .....desc_list.. + 73e0: 00132502 2300086e 6578745f 62756600 ..%.#..next_buf. + 73f0: 0000141e 02230408 6275665f 6c656e67 .....#..buf_leng + 7400: 74680000 0012f802 23080872 65736572 th......#..reser + 7410: 76656400 00001425 02230a08 63747800 ved....%.#..ctx. + 7420: 00001306 02230c00 03000013 be04000f .....#.......... + 7430: 000012e4 02000014 32100100 03000013 ........2....... + 7440: be040009 56425546 00000013 be030000 ....VBUF........ + 7450: 14390400 06000014 43010300 00144a04 .9......C.....J. + 7460: 00060000 14430103 00001457 04000201 .....C.....W.... + 7470: 03000014 64040007 76627566 5f617069 ....d...vbuf_api + 7480: 00140000 14e2085f 696e6974 0000000a ......._init.... + 7490: e6022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf + 74a0: 00000014 50022304 085f616c 6c6f635f ....P.#.._alloc_ + 74b0: 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. + 74c0: 00145d02 2308085f 66726565 5f766275 ..].#.._free_vbu + 74d0: 66000000 14660223 0c087052 65736572 f....f.#..pReser + 74e0: 76656400 00000413 02231000 075f5f61 ved......#...__a + 74f0: 64665f64 65766963 65000400 00150408 df_device....... + 7500: 64756d6d 79000000 011e0223 00000300 dummy......#.... + 7510: 00092a04 00075f5f 6164665f 646d615f ..*...__adf_dma_ + 7520: 6d617000 0c000015 4b086275 66000000 map.....K.buf... + 7530: 14430223 00086473 5f616464 72000000 .C.#..ds_addr... + 7540: 15040223 04086473 5f6c656e 00000012 ...#..ds_len.... + 7550: f8022308 00120c00 00158508 5f5f7661 ..#.........__va + 7560: 5f73746b 00000003 81022300 085f5f76 _stk......#..__v + 7570: 615f7265 67000000 03810223 04085f5f a_reg......#..__ + 7580: 76615f6e 64780000 00011e02 23080009 va_ndx......#... + 7590: 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add + 75a0: 725f7400 0000092a 09616466 5f6f735f r_t....*.adf_os_ + 75b0: 646d615f 61646472 5f740000 00158509 dma_addr_t...... + 75c0: 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz + 75d0: 655f7400 0000092a 09616466 5f6f735f e_t....*.adf_os_ + 75e0: 646d615f 73697a65 5f740000 0015b507 dma_size_t...... + 75f0: 5f5f646d 615f7365 67730008 00001611 __dma_segs...... + 7600: 08706164 64720000 00159e02 2300086c .paddr......#..l + 7610: 656e0000 0015ce02 23040009 5f5f615f en......#...__a_ + 7620: 75696e74 33325f74 00000009 2a09615f uint32_t....*.a_ + 7630: 75696e74 33325f74 00000016 110f0000 uint32_t........ + 7640: 15e50800 00164010 00000761 64665f6f ......@....adf_o + 7650: 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... + 7660: 00167908 6e736567 73000000 16230223 ..y.nsegs....#.# + 7670: 0008646d 615f7365 67730000 00163302 ..dma_segs....3. + 7680: 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. + 7690: 000012e4 09615f75 696e7438 5f740000 .....a_uint8_t.. + 76a0: 00167903 0000168a 0400075f 5f73675f ..y........__sg_ + 76b0: 73656773 00080000 16cb0876 61646472 segs.......vaddr + 76c0: 00000016 99022300 086c656e 00000016 ......#..len.... + 76d0: 23022304 000f0000 16a02000 0016d810 #.#....... ..... + 76e0: 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist + 76f0: 00240000 170b086e 73656773 00000016 .$.....nsegs.... + 7700: 23022300 0873675f 73656773 00000016 #.#..sg_segs.... + 7710: cb022304 00121000 00175408 76656e64 ..#.......T.vend + 7720: 6f720000 00162302 23000864 65766963 or....#.#..devic + 7730: 65000000 16230223 04087375 6276656e e....#.#..subven + 7740: 646f7200 00001623 02230808 73756264 dor....#.#..subd + 7750: 65766963 65000000 16230223 0c00046c evice....#.#...l + 7760: 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne + 7770: 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 + 7780: 34000000 1754095f 5f615f75 696e7436 4....T.__a_uint6 + 7790: 345f7400 0000176e 09615f75 696e7436 4_t....n.a_uint6 + 77a0: 345f7400 0000177c 14040000 17da0e41 4_t....|.......A + 77b0: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 77c0: 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS + 77d0: 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I + 77e0: 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso + 77f0: 75726365 5f747970 655f7400 0000179e urce_type_t..... + 7800: 12180000 18240873 74617274 00000017 .....$.start.... + 7810: 8e022300 08656e64 00000017 8e022308 ..#..end......#. + 7820: 08747970 65000000 17da0223 10000961 .type......#...a + 7830: 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id + 7840: 5f740000 00170b03 00001824 04001104 _t.........$.... + 7850: 00001863 08706369 00000018 3d022300 ...c.pci....=.#. + 7860: 08726177 00000004 13022300 00111000 .raw......#..... + 7870: 00188208 70636900 00001824 02230008 ....pci....$.#.. + 7880: 72617700 00000413 02230000 09616466 raw......#...adf + 7890: 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... + 78a0: 04130961 64665f6f 735f7265 736f7572 ...adf_os_resour + 78b0: 63655f74 00000017 f6030000 18980400 ce_t............ + 78c0: 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d + 78d0: 6174615f 74000000 18630300 0018b604 ata_t....c...... + 78e0: 00030000 14e20400 095f5f61 64665f6f .........__adf_o + 78f0: 735f6465 76696365 5f740000 0018d709 s_device_t...... + 7900: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 7910: 000018de 06000018 82010300 00190a04 ................ + 7920: 00020103 00001917 04000961 64665f6f ...........adf_o + 7930: 735f706d 5f740000 00041302 01030000 s_pm_t.......... + 7940: 19310400 14040000 19710e41 44465f4f .1.......q.ADF_O + 7950: 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. + 7960: 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 7970: 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ + 7980: 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... + 7990: 193a0961 64665f6f 735f6275 735f7265 .:.adf_os_bus_re + 79a0: 675f6461 74615f74 00000018 44030000 g_data_t....D... + 79b0: 032b0400 075f6164 665f6472 765f696e .+..._adf_drv_in + 79c0: 666f0020 00001a4e 08647276 5f617474 fo. ...N.drv_att + 79d0: 61636800 00001910 02230008 6472765f ach......#..drv_ + 79e0: 64657461 63680000 00191902 23040864 detach......#..d + 79f0: 72765f73 75737065 6e640000 00193302 rv_suspend....3. + 7a00: 23080864 72765f72 6573756d 65000000 #..drv_resume... + 7a10: 19190223 0c086275 735f7479 70650000 ...#..bus_type.. + 7a20: 00197102 23100862 75735f64 61746100 ..q.#..bus_data. + 7a30: 00001988 02231408 6d6f645f 6e616d65 .....#..mod_name + 7a40: 00000019 a3022318 0869666e 616d6500 ......#..ifname. + 7a50: 000019a3 02231c00 09616466 5f6f735f .....#...adf_os_ + 7a60: 68616e64 6c655f74 00000004 13030000 handle_t........ + 7a70: 16790400 02010201 095f5f61 64665f6f .y.......__adf_o + 7a80: 735f7369 7a655f74 00000004 16140400 s_size_t........ + 7a90: 001a9d0e 415f4641 4c534500 000e415f ....A_FALSE...A_ + 7aa0: 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t + 7ab0: 0000001a 83030000 150b0400 095f5f61 .............__a + 7ac0: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 7ad0: 00001aab 02010d61 64665f6f 735f6361 .......adf_os_ca + 7ae0: 6368655f 73796e63 00040000 1b350e41 che_sync.....5.A + 7af0: 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. + 7b00: 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR + 7b10: 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P + 7b20: 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY + 7b30: 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... + 7b40: 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn + 7b50: 635f7400 00001acc 02010961 64665f6f c_t........adf_o + 7b60: 735f7369 7a655f74 0000001a 6e060000 s_size_t....n... + 7b70: 1b500109 6164665f 6f735f64 6d615f6d .P..adf_os_dma_m + 7b80: 61705f74 0000001a b2030000 1b690400 ap_t.........i.. + 7b90: 06000004 13010300 001ab204 00060000 ................ + 7ba0: 04130102 01060000 159e0102 01047368 ..............sh + 7bb0: 6f727420 696e7400 05020941 5f494e54 ort int....A_INT + 7bc0: 31360000 001ba309 5f5f615f 696e7431 16......__a_int1 + 7bd0: 365f7400 00001bb0 09615f69 6e743136 6_t......a_int16 + 7be0: 5f740000 001bbd04 7369676e 65642063 _t......signed c + 7bf0: 68617200 05010941 5f494e54 38000000 har....A_INT8... + 7c00: 1bdd095f 5f615f69 6e74385f 74000000 ...__a_int8_t... + 7c10: 1bec0961 5f696e74 385f7400 00001bf8 ...a_int8_t..... + 7c20: 120c0000 1c6f0873 7570706f 72746564 .....o.supported + 7c30: 00000016 23022300 08616476 65727469 ....#.#..adverti + 7c40: 7a656400 00001623 02230408 73706565 zed....#.#..spee + 7c50: 64000000 1bce0223 08086475 706c6578 d......#..duplex + 7c60: 0000001c 0802230a 08617574 6f6e6567 ......#..autoneg + 7c70: 00000016 8a02230b 000f0000 168a0600 ......#......... + 7c80: 001c7c10 05000761 64665f6e 65745f65 ..|....adf_net_e + 7c90: 74686164 64720006 00001ca0 08616464 thaddr.......add + 7ca0: 72000000 1c6f0223 0000095f 5f615f75 r....o.#...__a_u + 7cb0: 696e7431 365f7400 000012f8 09615f75 int16_t......a_u + 7cc0: 696e7431 365f7400 00001ca0 120e0000 int16_t......... + 7cd0: 1d040865 74686572 5f64686f 73740000 ...ether_dhost.. + 7ce0: 001c6f02 23000865 74686572 5f73686f ..o.#..ether_sho + 7cf0: 73740000 001c6f02 23060865 74686572 st....o.#..ether + 7d00: 5f747970 65000000 1cb20223 0c001214 _type......#.... + 7d10: 00001dc5 1569705f 76657273 696f6e00 .....ip_version. + 7d20: 0000168a 01000402 23001569 705f686c ........#..ip_hl + 7d30: 00000016 8a010404 02230008 69705f74 .........#..ip_t + 7d40: 6f730000 00168a02 23010869 705f6c65 os......#..ip_le + 7d50: 6e000000 1cb20223 02086970 5f696400 n......#..ip_id. + 7d60: 00001cb2 02230408 69705f66 7261675f .....#..ip_frag_ + 7d70: 6f666600 00001cb2 02230608 69705f74 off......#..ip_t + 7d80: 746c0000 00168a02 23080869 705f7072 tl......#..ip_pr + 7d90: 6f746f00 0000168a 02230908 69705f63 oto......#..ip_c + 7da0: 6865636b 0000001c b202230a 0869705f heck......#..ip_ + 7db0: 73616464 72000000 16230223 0c086970 saddr....#.#..ip + 7dc0: 5f646164 64720000 00162302 23100007 _daddr....#.#... + 7dd0: 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. + 7de0: 0400001e 17087470 69640000 001cb202 ......tpid...... + 7df0: 23001570 72696f00 0000168a 01000302 #..prio......... + 7e00: 23021563 66690000 00168a01 03010223 #..cfi.........# + 7e10: 02157669 64000000 1cb20204 0c022302 ..vid.........#. + 7e20: 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... + 7e30: 001e4815 72657300 0000168a 01000402 ..H.res......... + 7e40: 23001576 616c0000 001cb202 040c0223 #..val.........# + 7e50: 0000120c 00001e84 0872785f 62756673 .........rx_bufs + 7e60: 697a6500 00001623 02230008 72785f6e ize....#.#..rx_n + 7e70: 64657363 00000016 23022304 0874785f desc....#.#..tx_ + 7e80: 6e646573 63000000 16230223 08001208 ndesc....#.#.... + 7e90: 00001eaa 08706f6c 6c656400 00001a9d .....polled..... + 7ea0: 02230008 706f6c6c 5f777400 00001623 .#..poll_wt....# + 7eb0: 02230400 0f000016 8a400000 1eb7103f .#.......@.....? + 7ec0: 00124600 001edf08 69665f6e 616d6500 ..F.....if_name. + 7ed0: 00001eaa 02230008 6465765f 61646472 .....#..dev_addr + 7ee0: 0000001c 6f022340 00140400 001f160e ....o.#@........ + 7ef0: 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 7f00: 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D + 7f10: 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... + 7f20: 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask + 7f30: 5f740000 001edf07 6164665f 646d615f _t......adf_dma_ + 7f40: 696e666f 00080000 1f630864 6d615f6d info.....c.dma_m + 7f50: 61736b00 00001f16 02230008 73675f6e ask......#..sg_n + 7f60: 73656773 00000016 23022304 00140400 segs....#.#..... + 7f70: 001fb90e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU + 7f80: 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET + 7f90: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 7fa0: 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK + 7fb0: 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 + 7fc0: 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu + 7fd0: 6d5f7479 70655f74 0000001f 63120800 m_type_t....c... + 7fe0: 001ffc08 74785f63 6b73756d 0000001f ....tx_cksum.... + 7ff0: b9022300 0872785f 636b7375 6d000000 ..#..rx_cksum... + 8000: 1fb90223 04000961 64665f6e 65745f63 ...#...adf_net_c + 8010: 6b73756d 5f696e66 6f5f7400 00001fd3 ksum_info_t..... + 8020: 14040000 20550e41 44465f4e 45545f54 .... U.ADF_NET_T + 8030: 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE + 8040: 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF + 8050: 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... + 8060: 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type + 8070: 5f740000 00201612 10000020 a908636b _t... ..... ..ck + 8080: 73756d5f 63617000 00001ffc 02230008 sum_cap......#.. + 8090: 74736f00 00002055 02230808 766c616e tso... U.#..vlan + 80a0: 5f737570 706f7274 65640000 00168a02 _supported...... + 80b0: 230c0012 20000021 42087478 5f706163 #... ..!B.tx_pac + 80c0: 6b657473 00000016 23022300 0872785f kets....#.#..rx_ + 80d0: 7061636b 65747300 00001623 02230408 packets....#.#.. + 80e0: 74785f62 79746573 00000016 23022308 tx_bytes....#.#. + 80f0: 0872785f 62797465 73000000 16230223 .rx_bytes....#.# + 8100: 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... + 8110: 23022310 0872785f 64726f70 70656400 #.#..rx_dropped. + 8120: 00001623 02231408 72785f65 72726f72 ...#.#..rx_error + 8130: 73000000 16230223 18087478 5f657272 s....#.#..tx_err + 8140: 6f727300 00001623 02231c00 09616466 ors....#.#...adf + 8150: 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. + 8160: 001c7c16 00002142 03000000 2167107f ..|...!B....!g.. + 8170: 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 8180: 61646472 00030400 00219e08 6e656c65 addr.....!..nele + 8190: 6d000000 16230223 00086d63 61737400 m....#.#..mcast. + 81a0: 00002159 02230400 09616466 5f6e6574 ..!Y.#...adf_net + 81b0: 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t + 81c0: 0000001c 16096164 665f6e65 745f636d ......adf_net_cm + 81d0: 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... + 81e0: 1e840961 64665f6e 65745f63 6d645f63 ...adf_net_cmd_c + 81f0: 6b73756d 5f696e66 6f5f7400 00001ffc ksum_info_t..... + 8200: 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin + 8210: 675f696e 666f5f74 0000001e 48096164 g_info_t....H.ad + 8220: 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in + 8230: 666f5f74 0000001f 2d096164 665f6e65 fo_t....-.adf_ne + 8240: 745f636d 645f7669 645f7400 00001cb2 t_cmd_vid_t..... + 8250: 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off + 8260: 6c6f6164 5f636170 5f740000 00206d09 load_cap_t... m. + 8270: 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat + 8280: 735f7400 000020a9 09616466 5f6e6574 s_t... ..adf_net + 8290: 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... + 82a0: 21670d61 64665f6e 65745f63 6d645f6d !g.adf_net_cmd_m + 82b0: 63617374 5f636170 00040000 22e00e41 cast_cap...."..A + 82c0: 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP + 82d0: 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST + 82e0: 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n + 82f0: 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 8300: 5f740000 00229818 03040000 23b2086c _t..."......#..l + 8310: 696e6b5f 696e666f 00000021 9e022300 ink_info...!..#. + 8320: 08706f6c 6c5f696e 666f0000 0021bb02 .poll_info...!.. + 8330: 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... + 8340: 21d80223 00087269 6e675f69 6e666f00 !..#..ring_info. + 8350: 000021f6 02230008 646d615f 696e666f ..!..#..dma_info + 8360: 00000022 13022300 08766964 00000022 ..."..#..vid..." + 8370: 2f022300 086f6666 6c6f6164 5f636170 /.#..offload_cap + 8380: 00000022 46022300 08737461 74730000 ..."F.#..stats.. + 8390: 00226502 2300086d 63617374 5f696e66 ."e.#..mcast_inf + 83a0: 6f000000 227e0223 00086d63 6173745f o..."~.#..mcast_ + 83b0: 63617000 000022e0 02230000 14040000 cap..."..#...... + 83c0: 24090e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C + 83d0: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 83e0: 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW + 83f0: 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 8400: 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY + 8410: 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 8420: 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# + 8430: b2120800 00244908 72657375 6c740000 .....$I.result.. + 8440: 00240902 23000876 616c0000 00162302 .$..#..val....#. + 8450: 23040012 08000024 79087479 70650000 #......$y.type.. + 8460: 00205502 2300086d 73730000 001cb202 . U.#..mss...... + 8470: 23040868 64725f6f 66660000 00168a02 #..hdr_off...... + 8480: 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q + 8490: 68656164 000c0000 24b80868 65616400 head....$..head. + 84a0: 00001443 02230008 7461696c 00000014 ...C.#..tail.... + 84b0: 43022304 08716c65 6e000000 16230223 C.#..qlen....#.# + 84c0: 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. + 84d0: 00001443 03000016 99040003 00001623 ...C...........# + 84e0: 04000201 06000013 25010600 00162301 ........%.....#. + 84f0: 06000016 99010600 00169901 03000013 ................ + 8500: 06040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q + 8510: 68656164 5f740000 00247909 5f5f6164 head_t...$y.__ad + 8520: 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 8530: 0024f903 00002511 04000600 0024b801 .$....%......$.. + 8540: 06000024 b8011404 00002631 0e415f53 ...$......&1.A_S + 8550: 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA + 8560: 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S + 8570: 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A + 8580: 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. + 8590: 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL + 85a0: 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP + 85b0: 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT + 85c0: 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ + 85d0: 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A + 85e0: 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... + 85f0: 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO + 8600: 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU + 8610: 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT + 8620: 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST + 8630: 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st + 8640: 61747573 5f740000 00253c06 00002631 atus_t...%<...&1 + 8650: 01060000 011e0102 01096164 665f6e62 ..........adf_nb + 8660: 75665f74 00000024 b8140400 0026960e uf_t...$.....&.. + 8670: 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE + 8680: 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM + 8690: 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... + 86a0: 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ + 86b0: 74000000 265f0600 00263101 02010961 t...&_...&1....a + 86c0: 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf + 86d0: 6f5f7400 00001640 03000026 b4040002 o_t....@...&.... + 86e0: 01020106 0000264f 01060000 24b80102 ......&O....$... + 86f0: 01020106 0000264f 01060000 24b80106 ......&O....$... + 8700: 0000264f 01060000 24b80106 0000264f ..&O....$.....&O + 8710: 01020102 01060000 16230106 00001699 .........#...... + 8720: 01020102 01060000 1b500106 00001a9d .........P...... + 8730: 01060000 1a9d0109 6164665f 6f735f73 ........adf_os_s + 8740: 676c6973 745f7400 000016d8 03000027 glist_t........' + 8750: 2d040002 01020102 01060000 16990109 -............... + 8760: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 8770: 00000025 11030000 27550400 02010300 ...%....'U...... + 8780: 0024f904 00020102 01020106 0000264f .$............&O + 8790: 01060000 24b80106 00001623 01060000 ....$......#.... + 87a0: 16230106 00001a9d 01060000 1a9d0106 .#.............. + 87b0: 00001fb9 01060000 16230109 6164665f .........#..adf_ + 87c0: 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. + 87d0: 00002427 03000027 b1040002 01020109 ..$'...'........ + 87e0: 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. + 87f0: 00244903 000027d5 04000201 02010961 .$I...'........a + 8800: 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. + 8810: 00000413 09616466 5f6e6574 5f766c61 .....adf_net_vla + 8820: 6e686472 5f740000 001dc503 0000280a nhdr_t........(. + 8830: 04000600 00263101 06000026 31010201 .....&1....&1... + 8840: 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. + 8850: 00002859 0864756d 6d790000 00011e02 ..(Y.dummy...... + 8860: 23000002 01030000 28590400 02010300 #.......(Y...... + 8870: 00286204 00075f48 49465f43 414c4c42 .(b..._HIF_CALLB + 8880: 41434b00 0c000028 b7087365 6e645f62 ACK....(..send_b + 8890: 75665f64 6f6e6500 0000285b 02230008 uf_done...([.#.. + 88a0: 72656376 5f627566 00000028 64022304 recv_buf...(d.#. + 88b0: 08636f6e 74657874 00000004 13022308 .context......#. + 88c0: 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. + 88d0: 00041309 4849465f 434f4e46 49470000 ....HIF_CONFIG.. + 88e0: 00283803 000028c9 04000600 0028b701 .(8...(......(.. + 88f0: 03000028 e0040002 01030000 28ed0400 ...(........(... + 8900: 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... + 8910: 286b0300 0028f604 00020103 0000290f (k...(........). + 8920: 04000600 00011e01 03000029 18040002 ...........).... + 8930: 01030000 29250400 06000001 1e010300 ....)%.......... + 8940: 00292e04 00020103 0000293b 04000600 .)........);.... + 8950: 00011e01 03000029 44040002 01030000 .......)D....... + 8960: 29510400 07686966 5f617069 00380000 )Q...hif_api.8.. + 8970: 2aaa085f 696e6974 00000028 e6022300 *.._init...(..#. + 8980: 085f7368 7574646f 776e0000 0028ef02 ._shutdown...(.. + 8990: 2304085f 72656769 73746572 5f63616c #.._register_cal + 89a0: 6c626163 6b000000 29110223 08085f67 lback...)..#.._g + 89b0: 65745f74 6f74616c 5f637265 6469745f et_total_credit_ + 89c0: 636f756e 74000000 291e0223 0c085f73 count...)..#.._s + 89d0: 74617274 00000028 ef022310 085f636f tart...(..#.._co + 89e0: 6e666967 5f706970 65000000 29270223 nfig_pipe...)'.# + 89f0: 14085f73 656e645f 62756666 65720000 .._send_buffer.. + 8a00: 00293402 2318085f 72657475 726e5f72 .)4.#.._return_r + 8a10: 6563765f 62756600 0000293d 02231c08 ecv_buf...)=.#.. + 8a20: 5f69735f 70697065 5f737570 706f7274 _is_pipe_support + 8a30: 65640000 00294a02 2320085f 6765745f ed...)J.# ._get_ + 8a40: 6d61785f 6d73675f 6c656e00 0000294a max_msg_len...)J + 8a50: 02232408 5f676574 5f726573 65727665 .#$._get_reserve + 8a60: 645f6865 6164726f 6f6d0000 00291e02 d_headroom...).. + 8a70: 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. + 8a80: 000028ef 02232c08 5f676574 5f646566 ..(..#,._get_def + 8a90: 61756c74 5f706970 65000000 29530223 ault_pipe...)S.# + 8aa0: 30087052 65736572 76656400 00000413 0.pReserved..... + 8ab0: 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. + 8ac0: 0400002b 330e444d 415f454e 47494e45 ...+3.DMA_ENGINE + 8ad0: 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN + 8ae0: 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI + 8af0: 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG + 8b00: 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN + 8b10: 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E + 8b20: 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ + 8b30: 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm + 8b40: 615f656e 67696e65 5f740000 002aaa0d a_engine_t...*.. + 8b50: 646d615f 69667479 70650004 00002b80 dma_iftype....+. + 8b60: 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D + 8b70: 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ + 8b80: 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i + 8b90: 66747970 655f7400 00002b45 06000012 ftype_t...+E.... + 8ba0: f8010300 002b9204 00020103 00002b9f .....+........+. + 8bb0: 04000201 0300002b a8040006 0000092a .......+.......* + 8bc0: 01030000 2bb10400 06000012 f8010300 ....+........... + 8bd0: 002bbe04 00060000 12f80103 00002bcb .+............+. + 8be0: 04000600 00144301 0300002b d8040002 ......C....+.... + 8bf0: 01030000 2be50400 07646d61 5f6c6962 ....+....dma_lib + 8c00: 5f617069 00340000 2cec0874 785f696e _api.4..,..tx_in + 8c10: 69740000 002b9802 23000874 785f7374 it...+..#..tx_st + 8c20: 61727400 00002ba1 02230408 72785f69 art...+..#..rx_i + 8c30: 6e697400 00002b98 02230808 72785f63 nit...+..#..rx_c + 8c40: 6f6e6669 67000000 2baa0223 0c087278 onfig...+..#..rx + 8c50: 5f737461 72740000 002ba102 23100869 _start...+..#..i + 8c60: 6e74725f 73746174 75730000 002bb702 ntr_status...+.. + 8c70: 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ + 8c80: c4022318 08666c75 73685f78 6d697400 ..#..flush_xmit. + 8c90: 00002ba1 02231c08 786d6974 5f646f6e ..+..#..xmit_don + 8ca0: 65000000 2bd10223 20087265 61705f78 e...+..# .reap_x + 8cb0: 6d697474 65640000 002bde02 23240872 mitted...+..#$.r + 8cc0: 6561705f 72656376 0000002b de022328 eap_recv...+..#( + 8cd0: 08726574 75726e5f 72656376 0000002b .return_recv...+ + 8ce0: e702232c 08726563 765f706b 74000000 ..#,.recv_pkt... + 8cf0: 2bd10223 3000075f 5f706369 5f736f66 +..#0..__pci_sof + 8d00: 7463000c 00002d0a 08737700 000028f6 tc....-..sw...(. + 8d10: 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc + 8d20: 5f740000 002cec03 00002d0a 04000201 _t...,....-..... + 8d30: 0300002d 24040006 000012e4 01030000 ...-$........... + 8d40: 2d2d0400 0d686966 5f706369 5f706970 --...hif_pci_pip + 8d50: 655f7478 00040000 2d8d0e48 49465f50 e_tx....-..HIF_P + 8d60: 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI + 8d70: 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. + 8d80: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 8d90: 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ + 8da0: 70697065 5f74785f 74000000 2d3a0600 pipe_tx_t...-:.. + 8db0: 002b3301 0300002d a404000d 6869665f .+3....-....hif_ + 8dc0: 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... + 8dd0: 2a0e4849 465f5043 495f5049 50455f52 *.HIF_PCI_PIPE_R + 8de0: 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 8df0: 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ + 8e00: 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P + 8e10: 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI + 8e20: 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA + 8e30: 58000400 09686966 5f706369 5f706970 X....hif_pci_pip + 8e40: 655f7278 5f740000 002db106 00002b33 e_rx_t...-....+3 + 8e50: 01030000 2e410400 07686966 5f706369 .....A...hif_pci + 8e60: 5f617069 00240000 2f1f0870 63695f62 _api.$../..pci_b + 8e70: 6f6f745f 696e6974 00000001 17022300 oot_init......#. + 8e80: 08706369 5f696e69 74000000 28e60223 .pci_init...(..# + 8e90: 04087063 695f7265 73657400 00000117 ..pci_reset..... + 8ea0: 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. + 8eb0: 00011702 230c0870 63695f72 6561705f ....#..pci_reap_ + 8ec0: 786d6974 74656400 00002d26 02231008 xmitted...-&.#.. + 8ed0: 7063695f 72656170 5f726563 76000000 pci_reap_recv... + 8ee0: 2d260223 14087063 695f6765 745f7069 -&.#..pci_get_pi + 8ef0: 70650000 002d3302 23180870 63695f67 pe...-3.#..pci_g + 8f00: 65745f74 785f656e 67000000 2daa0223 et_tx_eng...-..# + 8f10: 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng + 8f20: 0000002e 47022320 0007676d 61635f61 ....G.# ..gmac_a + 8f30: 70690004 00002f46 08676d61 635f626f pi..../F.gmac_bo + 8f40: 6f745f69 6e697400 00000117 02230000 ot_init......#.. + 8f50: 0f000003 2b060000 2f531005 00075f5f ....+.../S....__ + 8f60: 65746868 6472000e 00002f89 08647374 ethhdr..../..dst + 8f70: 0000002f 46022300 08737263 0000002f .../F.#..src.../ + 8f80: 46022306 08657479 70650000 0012f802 F.#..etype...... + 8f90: 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... + 8fa0: 2fd71572 65730000 0012e401 00020223 /..res.........# + 8fb0: 00157072 6f746f00 000012e4 01020602 ..proto......... + 8fc0: 23000872 65735f6c 6f000000 12e40223 #..res_lo......# + 8fd0: 01087265 735f6869 00000012 f8022302 ..res_hi......#. + 8fe0: 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... + 8ff0: 30130865 74680000 002f5302 23000861 0..eth.../S.#..a + 9000: 74680000 002f8902 230e0861 6c69676e th.../..#..align + 9010: 5f706164 00000012 f8022312 00095f5f _pad......#...__ + 9020: 676d6163 5f686472 5f740000 002fd707 gmac_hdr_t.../.. + 9030: 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. + 9040: 305d0868 64720000 00301302 23000867 0].hdr...0..#..g + 9050: 72616e00 000012f8 02231408 73770000 ran......#..sw.. + 9060: 0028f602 23180007 5f415f6f 735f6c69 .(..#..._A_os_li + 9070: 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 + 9080: 96087665 7273696f 6e000000 011e0223 ..version......# + 9090: 00087461 626c6500 0000011e 02230400 ..table......#.. + 90a0: 03000030 5d040006 0000011e 01030000 ...0]........... + 90b0: 309d0400 03000004 16040017 5f415f63 0..........._A_c + 90c0: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 90d0: 5f746162 6c650001 b8000031 ed086861 _table.....1..ha + 90e0: 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. + 90f0: 000030a3 02230008 73746172 745f6273 ..0..#..start_bs + 9100: 73000000 30aa0223 04086170 705f7374 s...0..#..app_st + 9110: 61727400 00000117 02230808 6d656d00 art......#..mem. + 9120: 00000456 02230c08 6d697363 00000005 ...V.#..misc.... + 9130: 75022320 08707269 6e746600 0000014b u.# .printf....K + 9140: 02234408 75617274 00000002 1402234c .#D.uart......#L + 9150: 08676d61 63000000 2f1f0223 6c087573 .gmac.../..#l.us + 9160: 62000000 0fa40223 7008636c 6f636b00 b......#p.clock. + 9170: 00000aed 0323e001 0874696d 65720000 .....#...timer.. + 9180: 00078d03 23840208 696e7472 0000000c ....#...intr.... + 9190: 3d032398 0208616c 6c6f6372 616d0000 =.#...allocram.. + 91a0: 00094503 23c40208 726f6d70 00000008 ..E.#...romp.... + 91b0: 370323d0 02087764 745f7469 6d657200 7.#...wdt_timer. + 91c0: 00000e1a 0323e002 08656570 0000000f .....#...eep.... + 91d0: 480323fc 02087374 72696e67 00000006 H.#...string.... + 91e0: 9903238c 03087461 736b6c65 74000000 ..#...tasklet... + 91f0: 0a420323 a4030007 5f555342 5f464946 .B.#...._USB_FIF + 9200: 4f5f434f 4e464947 00100000 32600867 O_CONFIG....2`.g + 9210: 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. + 9220: 00145002 23000872 6563765f 636f6d6d ..P.#..recv_comm + 9230: 616e6400 00001466 02230408 6765745f and....f.#..get_ + 9240: 6576656e 745f6275 66000000 14500223 event_buf....P.# + 9250: 08087365 6e645f65 76656e74 5f646f6e ..send_event_don + 9260: 65000000 14660223 0c000955 53425f46 e....f.#...USB_F + 9270: 49464f5f 434f4e46 49470000 0031ed03 IFO_CONFIG...1.. + 9280: 00003260 04000201 03000032 7c040007 ..2`.......2|... + 9290: 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 + 92a0: d2085f69 6e697400 0000327e 02230008 .._init...2~.#.. + 92b0: 5f656e61 626c655f 6576656e 745f6973 _enable_event_is + 92c0: 72000000 01170223 04087052 65736572 r......#..pReser + 92d0: 76656400 00000413 02230800 0f000016 ved......#...... + 92e0: 8a020000 32df1001 00075f48 54435f46 ....2....._HTC_F + 92f0: 52414d45 5f484452 00080000 33510845 RAME_HDR....3Q.E + 9300: 6e64706f 696e7449 44000000 168a0223 ndpointID......# + 9310: 0008466c 61677300 0000168a 02230108 ..Flags......#.. + 9320: 5061796c 6f61644c 656e0000 001cb202 PayloadLen...... + 9330: 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. + 9340: 000032d2 02230408 486f7374 5365714e ..2..#..HostSeqN + 9350: 756d0000 001cb202 23060012 02000033 um......#......3 + 9360: 6a084d65 73736167 65494400 00001cb2 j.MessageID..... + 9370: 02230000 12080000 33cd084d 65737361 .#......3..Messa + 9380: 67654944 0000001c b2022300 08437265 geID......#..Cre + 9390: 64697443 6f756e74 0000001c b2022302 ditCount......#. + 93a0: 08437265 64697453 697a6500 00001cb2 .CreditSize..... + 93b0: 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints + 93c0: 00000016 8a022306 085f5061 64310000 ......#.._Pad1.. + 93d0: 00168a02 23070012 0a000034 64084d65 ....#......4d.Me + 93e0: 73736167 65494400 00001cb2 02230008 ssageID......#.. + 93f0: 53657276 69636549 44000000 1cb20223 ServiceID......# + 9400: 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag + 9410: 73000000 1cb20223 0408446f 776e4c69 s......#..DownLi + 9420: 6e6b5069 70654944 00000016 8a022306 nkPipeID......#. + 9430: 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... + 9440: 168a0223 07085365 72766963 654d6574 ...#..ServiceMet + 9450: 614c656e 67746800 0000168a 02230808 aLength......#.. + 9460: 5f506164 31000000 168a0223 0900120a _Pad1......#.... + 9470: 000034ec 084d6573 73616765 49440000 ..4..MessageID.. + 9480: 001cb202 23000853 65727669 63654944 ....#..ServiceID + 9490: 0000001c b2022302 08537461 74757300 ......#..Status. + 94a0: 0000168a 02230408 456e6470 6f696e74 .....#..Endpoint + 94b0: 49440000 00168a02 2305084d 61784d73 ID......#..MaxMs + 94c0: 6753697a 65000000 1cb20223 06085365 gSize......#..Se + 94d0: 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 94e0: 0000168a 02230808 5f506164 31000000 .....#.._Pad1... + 94f0: 168a0223 09001202 00003505 084d6573 ...#......5..Mes + 9500: 73616765 49440000 001cb202 23000012 sageID......#... + 9510: 04000035 41084d65 73736167 65494400 ...5A.MessageID. + 9520: 00001cb2 02230008 50697065 49440000 .....#..PipeID.. + 9530: 00168a02 23020843 72656469 74436f75 ....#..CreditCou + 9540: 6e740000 00168a02 23030012 04000035 nt......#......5 + 9550: 78084d65 73736167 65494400 00001cb2 x.MessageID..... + 9560: 02230008 50697065 49440000 00168a02 .#..PipeID...... + 9570: 23020853 74617475 73000000 168a0223 #..Status......# + 9580: 03001202 0000359f 08526563 6f726449 ......5..RecordI + 9590: 44000000 168a0223 00084c65 6e677468 D......#..Length + 95a0: 00000016 8a022301 00120200 0035c908 ......#......5.. + 95b0: 456e6470 6f696e74 49440000 00168a02 EndpointID...... + 95c0: 23000843 72656469 74730000 00168a02 #..Credits...... + 95d0: 23010012 04000036 0a08456e 64706f69 #......6..Endpoi + 95e0: 6e744944 00000016 8a022300 08437265 ntID......#..Cre + 95f0: 64697473 00000016 8a022301 08546774 dits......#..Tgt + 9600: 43726564 69745365 714e6f00 00001cb2 CreditSeqNo..... + 9610: 02230200 0f000016 8a040000 36171003 .#..........6... + 9620: 00120600 00365308 50726556 616c6964 .....6S.PreValid + 9630: 00000016 8a022300 084c6f6f 6b416865 ......#..LookAhe + 9640: 61640000 00360a02 23010850 6f737456 ad...6..#..PostV + 9650: 616c6964 00000016 8a022305 0009706f alid......#...po + 9660: 6f6c5f68 616e646c 655f7400 00000413 ol_handle_t..... + 9670: 06000036 53010300 00366604 00020103 ...6S....6f..... + 9680: 00003673 04001404 000036f1 0e504f4f ..6s......6..POO + 9690: 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL + 96a0: 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S + 96b0: 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P + 96c0: 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E + 96d0: 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W + 96e0: 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO + 96f0: 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ + 9700: 504f4f4c 5f494400 0000367c 02010300 POOL_ID...6|.... + 9710: 00370204 00060000 264f0103 0000370b .7......&O....7. + 9720: 04000600 00264f01 03000037 18040002 .....&O....7.... + 9730: 01030000 37250400 07627566 5f706f6f ....7%...buf_poo + 9740: 6c5f6170 69001c00 0037c708 5f696e69 l_api....7.._ini + 9750: 74000000 366c0223 00085f73 68757464 t...6l.#.._shutd + 9760: 6f776e00 00003675 02230408 5f637265 own...6u.#.._cre + 9770: 6174655f 706f6f6c 00000037 04022308 ate_pool...7..#. + 9780: 085f616c 6c6f635f 62756600 00003711 ._alloc_buf...7. + 9790: 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a + 97a0: 6c69676e 00000037 1e022310 085f6672 lign...7..#.._fr + 97b0: 65655f62 75660000 00372702 23140870 ee_buf...7'.#..p + 97c0: 52657365 72766564 00000004 13022318 Reserved......#. + 97d0: 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. + 97e0: 000038a6 08704e65 78740000 0038a602 ..8..pNext...8.. + 97f0: 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs + 9800: 67000000 395b0223 04085072 6f636573 g...9[.#..Proces + 9810: 7353656e 64427566 66657243 6f6d706c sSendBufferCompl + 9820: 65746500 00003964 02230808 50726f63 ete...9d.#..Proc + 9830: 65737343 6f6e6e65 63740000 00397802 essConnect...9x. + 9840: 230c0853 65727669 63654944 00000012 #..ServiceID.... + 9850: f8022310 08536572 76696365 466c6167 ..#..ServiceFlag + 9860: 73000000 12f80223 12084d61 78537663 s......#..MaxSvc + 9870: 4d736753 697a6500 000012f8 02231408 MsgSize......#.. + 9880: 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL + 9890: 696d6974 00000012 f8022316 08536572 imit......#..Ser + 98a0: 76696365 43747800 00000413 02231800 viceCtx......#.. + 98b0: 03000037 c7040014 04000039 4419454e ...7.......9D.EN + 98c0: 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... + 98d0: ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E + 98e0: 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO + 98f0: 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 + 9900: 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E + 9910: 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO + 9920: 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 + 9930: 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E + 9940: 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H + 9950: 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. + 9960: 0038ad02 01030000 39590400 02010300 .8......9Y...... + 9970: 00396204 00030000 011e0400 06000012 .9b............. + 9980: e4010300 00397204 00030000 37c70400 .....9r.....7... + 9990: 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... + 99a0: 39f70843 72656469 7453697a 65000000 9..CreditSize... + 99b0: 011e0223 00084372 65646974 4e756d62 ...#..CreditNumb + 99c0: 65720000 00011e02 2304084f 5348616e er......#..OSHan + 99d0: 646c6500 00001a4e 02230808 48494648 dle....N.#..HIFH + 99e0: 616e646c 65000000 28b70223 0c08506f andle...(..#..Po + 99f0: 6f6c4861 6e646c65 00000036 53022310 olHandle...6S.#. + 9a00: 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE + 9a10: 58540002 00003a33 08656e64 5f706f69 XT....:3.end_poi + 9a20: 6e740000 0012e402 23000868 74635f66 nt......#..htc_f + 9a30: 6c616773 00000012 e4022301 00096874 lags......#...ht + 9a40: 635f6861 6e646c65 5f740000 00041309 c_handle_t...... + 9a50: 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE + 9a60: 54455f43 42000000 01170948 54435f43 TE_CB......HTC_C + 9a70: 4f4e4649 47000000 39860300 003a6004 ONFIG...9....:`. + 9a80: 00060000 3a330103 00003a77 04000201 ....:3....:w.... + 9a90: 0300003a 84040009 4854435f 53455256 ...:....HTC_SERV + 9aa0: 49434500 000037c7 0300003a 8d040002 ICE...7....:.... + 9ab0: 01030000 3aa50400 02010300 003aae04 ....:........:.. + 9ac0: 00020103 00003ab7 04000600 00011e01 ......:......... + 9ad0: 0300003a c0040007 6874635f 61706973 ...:....htc_apis + 9ae0: 00340000 3c3d085f 4854435f 496e6974 .4..<=._HTC_Init + 9af0: 0000003a 7d022300 085f4854 435f5368 ...:}.#.._HTC_Sh + 9b00: 7574646f 776e0000 003a8602 2304085f utdown...:..#.._ + 9b10: 4854435f 52656769 73746572 53657276 HTC_RegisterServ + 9b20: 69636500 00003aa7 02230808 5f485443 ice...:..#.._HTC + 9b30: 5f526561 64790000 003a8602 230c085f _Ready...:..#.._ + 9b40: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 9b50: 73000000 3ab00223 10085f48 54435f52 s...:..#.._HTC_R + 9b60: 65747572 6e427566 66657273 4c697374 eturnBuffersList + 9b70: 0000003a b9022314 085f4854 435f5365 ...:..#.._HTC_Se + 9b80: 6e644d73 67000000 3ab00223 18085f48 ndMsg...:..#.._H + 9b90: 54435f47 65745265 73657276 65644865 TC_GetReservedHe + 9ba0: 6164726f 6f6d0000 003ac602 231c085f adroom...:..#.._ + 9bb0: 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl + 9bc0: 65720000 00286402 2320085f 4854435f er...(d.# ._HTC_ + 9bd0: 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. + 9be0: 0000285b 02232408 5f485443 5f436f6e ..([.#$._HTC_Con + 9bf0: 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs + 9c00: 67000000 395b0223 28085f48 54435f43 g...9[.#(._HTC_C + 9c10: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 9c20: 53656e64 436f6d70 6c657465 00000039 SendComplete...9 + 9c30: 6402232c 08705265 73657276 65640000 d.#,.pReserved.. + 9c40: 00041302 23300007 686f7374 5f617070 ....#0..host_app + 9c50: 5f617265 615f7300 0400003c 6d08776d _area_s......t + 9df0: 75706c65 4e756d4c 0000001c b2022300 upleNumL......#. + 9e00: 08747570 6c654e75 6d480000 001cb202 .tupleNumH...... + 9e10: 23020861 76740000 003dd102 23040012 #..avt...=..#... + 9e20: 0100003e 37086265 61636f6e 50656e64 ...>7.beaconPend + 9e30: 696e6743 6f756e74 00000016 8a022300 ingCount......#. + 9e40: 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI + 9e50: 47001000 003ea008 48746348 616e646c G....>..HtcHandl + 9e60: 65000000 3a330223 0008506f 6f6c4861 e...:3.#..PoolHa + 9e70: 6e646c65 00000036 53022304 084d6178 ndle...6S.#..Max + 9e80: 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... + 9e90: 1e022308 084d6178 4576656e 74457674 ..#..MaxEventEvt + 9ea0: 73000000 011e0223 0c000201 0300003e s......#.......> + 9eb0: a0040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND + 9ec0: 4c455200 00003ea2 075f574d 495f4449 LER...>.._WMI_DI + 9ed0: 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... + 9ee0: 3f090870 436d6448 616e646c 65720000 ?..pCmdHandler.. + 9ef0: 003ea902 23000843 6d644944 00000012 .>..#..CmdID.... + 9f00: f8022304 08466c61 67730000 0012f802 ..#..Flags...... + 9f10: 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC + 9f20: 485f5441 424c4500 1000003f 6a08704e H_TABLE....?j.pN + 9f30: 65787400 00003f6a 02230008 70436f6e ext...?j.#..pCon + 9f40: 74657874 00000004 13022304 084e756d text......#..Num + 9f50: 6265724f 66456e74 72696573 00000001 berOfEntries.... + 9f60: 1e022308 08705461 626c6500 00003f89 ..#..pTable...?. + 9f70: 02230c00 0300003f 09040009 574d495f .#.....?....WMI_ + 9f80: 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. + 9f90: 003ebe03 00003f71 04000300 003f0904 .>....?q.....?.. + 9fa0: 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX + 9fb0: 54000000 39f70d57 4d495f45 56545f43 T...9..WMI_EVT_C + 9fc0: 4c415353 00040000 40211957 4d495f45 LASS....@!.WMI_E + 9fd0: 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... + 9fe0: ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 9ff0: 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI + a000: 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R + a010: 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C + a020: 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ + a030: 4556545f 434c4153 53000000 3fac075f EVT_CLASS...?.._ + a040: 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. + a050: 0c000040 7f084874 63427566 43747800 ...@..HtcBufCtx. + a060: 00003f97 02230008 4576656e 74436c61 ..?..#..EventCla + a070: 73730000 00402102 23040846 6c616773 ss...@!.#..Flags + a080: 00000012 f8022308 0009776d 695f6861 ......#...wmi_ha + a090: 6e646c65 5f740000 00041309 574d495f ndle_t......WMI_ + a0a0: 5356435f 434f4e46 49470000 003e3703 SVC_CONFIG...>7. + a0b0: 00004091 04000600 00407f01 03000040 ..@......@.....@ + a0c0: ac040009 574d495f 44495350 41544348 ....WMI_DISPATCH + a0d0: 5f544142 4c450000 003f0903 000040b9 _TABLE...?....@. + a0e0: 04000201 03000040 d8040006 0000264f .......@......&O + a0f0: 01030000 40e10400 02010300 0040ee04 ....@........@.. + a100: 00060000 011e0103 000040f7 04000201 ..........@..... + a110: 03000041 04040006 000012e4 01030000 ...A............ + a120: 410d0400 075f776d 695f7376 635f6170 A...._wmi_svc_ap + a130: 6973002c 00004255 085f574d 495f496e is.,..BU._WMI_In + a140: 69740000 0040b202 2300085f 574d495f it...@..#.._WMI_ + a150: 52656769 73746572 44697370 61746368 RegisterDispatch + a160: 5461626c 65000000 40da0223 04085f57 Table...@..#.._W + a170: 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... + a180: 40e70223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE + a190: 76656e74 00000040 f002230c 085f574d vent...@..#.._WM + a1a0: 495f4765 7450656e 64696e67 4576656e I_GetPendingEven + a1b0: 7473436f 756e7400 000040fd 02231008 tsCount...@..#.. + a1c0: 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet + a1d0: 6548616e 646c6572 00000039 64022314 eHandler...9d.#. + a1e0: 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl + a1f0: 45700000 0040fd02 2318085f 574d495f Ep...@..#.._WMI_ + a200: 53687574 646f776e 00000041 0602231c Shutdown...A..#. + a210: 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag + a220: 6548616e 646c6572 00000039 5b022320 eHandler...9[.# + a230: 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon + a240: 6e656374 00000041 13022324 08705265 nect...A..#$.pRe + a250: 73657276 65640000 00041302 23280007 served......#(.. + a260: 7a73446d 61446573 63001400 0042d708 zsDmaDesc....B.. + a270: 6374726c 00000001 82022300 08737461 ctrl......#..sta + a280: 74757300 00000182 02230208 746f7461 tus......#..tota + a290: 6c4c656e 00000001 82022304 08646174 lLen......#..dat + a2a0: 6153697a 65000000 01820223 06086c61 aSize......#..la + a2b0: 73744164 64720000 0042d702 23080864 stAddr...B..#..d + a2c0: 61746141 64647200 000001a6 02230c08 ataAddr......#.. + a2d0: 6e657874 41646472 00000042 d7022310 nextAddr...B..#. + a2e0: 00030000 42550400 03000042 55040007 ....BU.....BU... + a2f0: 7a73446d 61517565 75650008 00004317 zsDmaQueue....C. + a300: 08686561 64000000 42de0223 00087465 .head...B..#..te + a310: 726d696e 61746f72 00000042 de022304 rminator...B..#. + a320: 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. + a330: 0000437b 08686561 64000000 42de0223 ..C{.head...B..# + a340: 00087465 726d696e 61746f72 00000042 ..terminator...B + a350: de022304 08786d69 7465645f 6275665f ..#..xmited_buf_ + a360: 68656164 00000014 43022308 08786d69 head....C.#..xmi + a370: 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... + a380: 4302230c 00020103 0000437b 04000300 C.#.......C{.... + a390: 0042e504 00020103 0000438b 04000300 .B........C..... + a3a0: 00431704 00020103 0000439b 04000201 .C........C..... + a3b0: 03000043 a4040002 01030000 43ad0400 ...C........C... + a3c0: 06000014 43010300 0043b604 00020103 ....C....C...... + a3d0: 000043c3 04000600 00144301 03000043 ..C.......C....C + a3e0: cc040002 01030000 43d90400 06000001 ........C....... + a3f0: 1e010300 0043e204 00060000 42de0103 .....C......B... + a400: 000043ef 04000201 03000043 fc040007 ..C........C.... + a410: 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ + a420: 00004572 085f696e 69740000 00437d02 ..Er._init...C}. + a430: 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu + a440: 65000000 438d0223 04085f69 6e69745f e...C..#.._init_ + a450: 74785f71 75657565 00000043 9d022308 tx_queue...C..#. + a460: 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu + a470: 65000000 43a60223 0c085f78 6d69745f e...C..#.._xmit_ + a480: 62756600 000043af 02231008 5f666c75 buf...C..#.._flu + a490: 73685f78 6d697400 0000438d 02231408 sh_xmit...C..#.. + a4a0: 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. + a4b0: 0043bc02 2318085f 72657475 726e5f72 .C..#.._return_r + a4c0: 6563765f 62756600 000043c5 02231c08 ecv_buf...C..#.. + a4d0: 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf + a4e0: 00000043 d2022320 085f7377 61705f64 ...C..# ._swap_d + a4f0: 61746100 000043db 02232408 5f686173 ata...C..#$._has + a500: 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. + a510: 0043e802 2328085f 64657363 5f64756d .C..#(._desc_dum + a520: 70000000 438d0223 2c085f67 65745f70 p...C..#,._get_p + a530: 61636b65 74000000 43f50223 30085f72 acket...C..#0._r + a540: 65636c61 696d5f70 61636b65 74000000 eclaim_packet... + a550: 43fe0223 34085f70 75745f70 61636b65 C..#4._put_packe + a560: 74000000 43fe0223 38087052 65736572 t...C..#8.pReser + a570: 76656400 00000413 02233c00 095f415f ved......#<.._A_ + a580: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + a590: 6e5f7461 626c655f 74000000 30b10957 n_table_t...0..W + a5a0: 4d495f53 56435f41 50495300 0000411a MI_SVC_APIS...A. + a5b0: 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + a5c0: 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. + a5d0: 0046a008 636d6e6f 73000000 45720223 .F..cmnos...Er.# + a5e0: 00086462 67000000 03e00323 b8030868 ..dbg......#...h + a5f0: 69660000 00295a03 23c00308 68746300 if...)Z.#...htc. + a600: 00003acd 0323f803 08776d69 5f737663 ..:..#...wmi_svc + a610: 5f617069 00000045 940323ac 04087573 _api...E..#...us + a620: 62666966 6f5f6170 69000000 32850323 bfifo_api...2..# + a630: d8040862 75665f70 6f6f6c00 0000372e ...buf_pool...7. + a640: 0323e404 08766275 66000000 146d0323 .#...vbuf....m.# + a650: 80050876 64657363 00000013 4f032394 ...vdesc....O.#. + a660: 0508616c 6c6f6372 616d0000 00094503 ..allocram....E. + a670: 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. + a680: 00440503 23b40508 646d615f 6c696200 .D..#...dma_lib. + a690: 00002bee 0323f405 08686966 5f706369 ..+..#...hif_pci + a6a0: 0000002e 4e0323a8 0600095f 415f6d61 ....N.#...._A_ma + a6b0: 67706965 5f696e64 69726563 74696f6e gpie_indirection + a6c0: 5f746162 6c655f74 00000045 a61a616c _table_t...E..al + a6d0: 6c6f6372 616d5f63 75727265 6e745f61 locram_current_a + a6e0: 64647200 0000092a 05030050 0954011a ddr....*...P.T.. + a6f0: 616c6c6f 6372616d 5f72656d 61696e69 allocram_remaini + a700: 6e675f62 79746573 00000009 2a050300 ng_bytes....*... + a710: 50095801 0f000001 25230000 47171022 P.X.....%#..G.." + a720: 00030000 470a0400 0f000001 251c0000 ....G.......%... + a730: 472b101b 00030000 471e0400 0f000001 G+......G....... + a740: 251d0000 473f101c 00030000 47320400 %...G?......G2.. + a750: 03000009 45040002 011b011b 636d6e6f ....E.......cmno + a760: 735f616c 6c6f6372 616d5f69 6e697400 s_allocram_init. + a770: 00000413 01010392 01200290 00008e1b ......... ...... + a780: cc008e1b dc000047 b31c011b 6172656e .......G....aren + a790: 615f7374 61727400 00000413 01521c01 a_start......R.. + a7a0: 1b617265 6e615f73 7a000000 092a0153 .arena_sz....*.S + a7b0: 1d617374 61727400 0000092a 001b014a .astart....*...J + a7c0: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + a7d0: 00041301 01039201 20029000 008e1bdc ........ ....... + a7e0: 008e1c0c 0000480d 1c014a77 68696368 ......H...Jwhich + a7f0: 5f617265 6e610000 00041301 521c014a _arena......R..J + a800: 6e627974 65730000 00092a01 531d7074 nbytes....*.S.pt + a810: 72000000 0413001e 015c636d 6e6f735f r........\cmnos_ + a820: 616c6c6f 6372616d 5f646562 75670001 allocram_debug.. + a830: 01039201 20029000 008e1c0c 008e1c2d .... ..........- + a840: 1f016363 6d6e6f73 5f616c6c 6f637261 ..ccmnos_allocra + a850: 6d5f6d6f 64756c65 5f696e73 74616c6c m_module_install + a860: 00010103 92012002 9000008e 1c30008e ...... ......0.. + a870: 1c441c01 6374626c 00000047 46015200 .D..ctbl...GF.R. + a880: 00000000 4b590002 000005ab 04012f72 ....KY......../r + a890: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + a8a0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + a8b0: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + a8c0: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + a8d0: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + a8e0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + a8f0: 732f636c 6f636b2f 7372632f 636d6e6f s/clock/src/cmno + a900: 735f636c 6f636b2e 63002f72 6f6f742f s_clock.c./root/ + a910: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + a920: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + a930: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + a940: 6f732f63 6c6f636b 0078742d 78636320 os/clock.xt-xcc + a950: 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + a960: 6c69676e 5f696e73 74727563 74696f6e lign_instruction + a970: 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + a980: 543a7370 61636500 01000000 33540201 T:space.....3T.. + a990: 03000001 0c040004 696e7400 05040463 ........int....c + a9a0: 68617200 07010500 00011c05 0000011c har............. + a9b0: 03000001 29040006 00000115 01030000 ....)........... + a9c0: 01350400 07707269 6e74665f 61706900 .5...printf_api. + a9d0: 08000001 79085f70 72696e74 665f696e ....y._printf_in + a9e0: 69740000 00010e02 2300085f 7072696e it......#.._prin + a9f0: 74660000 00013b02 23040004 73686f72 tf....;.#...shor + aa00: 7420756e 7369676e 65642069 6e740007 t unsigned int.. + aa10: 02097569 6e743136 5f740000 00017904 ..uint16_t....y. + aa20: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + aa30: 74000704 0975696e 7433325f 74000000 t....uint32_t... + aa40: 019d0775 6172745f 6669666f 00080000 ...uart_fifo.... + aa50: 020b0873 74617274 5f696e64 65780000 ...start_index.. + aa60: 00018f02 23000865 6e645f69 6e646578 ....#..end_index + aa70: 00000001 8f022302 086f7665 7272756e ......#..overrun + aa80: 5f657272 00000001 b2022304 00077561 _err......#...ua + aa90: 72745f61 70690020 000002c4 085f7561 rt_api. ....._ua + aaa0: 72745f69 6e697400 0000031b 02230008 rt_init......#.. + aab0: 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + aac0: 00034202 2304085f 75617274 5f636861 ..B.#.._uart_cha + aad0: 725f6765 74000000 03560223 08085f75 r_get....V.#.._u + aae0: 6172745f 7374725f 6f757400 0000035f art_str_out...._ + aaf0: 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. + ab00: 00010e02 2310085f 75617274 5f737461 ....#.._uart_sta + ab10: 74757300 0000031b 02231408 5f756172 tus......#.._uar + ab20: 745f636f 6e666967 00000003 68022318 t_config....h.#. + ab30: 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... + ab40: 03710223 1c000300 00020b04 00077561 .q.#..........ua + ab50: 72745f62 6c6b0010 00000315 08646562 rt_blk.......deb + ab60: 75675f6d 6f646500 0000018f 02230008 ug_mode......#.. + ab70: 62617564 00000001 8f022302 085f7561 baud......#.._ua + ab80: 72740000 0002c402 2304085f 74780000 rt......#.._tx.. + ab90: 0001c002 23080006 000001b2 01030000 ....#........... + aba0: 03150400 04756e73 69676e65 64206368 .....unsigned ch + abb0: 61720007 01097569 6e74385f 74000000 ar....uint8_t... + abc0: 03220201 03000003 40040003 00000333 ."......@......3 + abd0: 04000600 00018f01 03000003 50040002 ............P... + abe0: 01030000 035d0400 02010300 00036604 .....]........f. + abf0: 00020103 0000036f 04000300 00011c04 .......o........ + ac00: 00060000 01150103 0000037f 04000744 ...............D + ac10: 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT + ac20: 000c0000 03d70863 6d645f73 74720000 .......cmd_str.. + ac30: 00037802 23000868 656c705f 73747200 ..x.#..help_str. + ac40: 00000378 02230408 636d645f 66756e63 ...x.#..cmd_func + ac50: 00000003 85022308 00076462 675f6170 ......#...dbg_ap + ac60: 69000800 00040a08 5f646267 5f696e69 i......._dbg_ini + ac70: 74000000 010e0223 00085f64 62675f74 t......#.._dbg_t + ac80: 61736b00 0000010e 02230400 0a040004 ask......#...... + ac90: 756e7369 676e6564 20696e74 00070406 unsigned int.... + aca0: 0000040a 01030000 041d0400 0b0b0300 ................ + acb0: 00042b04 00060000 040a0103 00000433 ..+............3 + acc0: 04000600 00011501 03000004 40040007 ............@... + acd0: 6d656d5f 61706900 14000004 af085f6d mem_api......._m + ace0: 656d5f69 6e697400 0000010e 02230008 em_init......#.. + acf0: 5f6d656d 73657400 00000423 02230408 _memset....#.#.. + ad00: 5f6d656d 63707900 00000439 02230808 _memcpy....9.#.. + ad10: 5f6d656d 6d6f7665 00000004 3902230c _memmove....9.#. + ad20: 085f6d65 6d636d70 00000004 46022310 ._memcmp....F.#. + ad30: 000c7265 67697374 65725f64 756d705f ..register_dump_ + ad40: 73000001 03000004 af040002 01030000 s............... + ad50: 04c90400 02010300 0004d204 00060000 ................ + ad60: 01150103 000004db 04000d68 6f737469 ...........hosti + ad70: 665f7300 04000005 370e4849 465f5553 f_s.....7.HIF_US + ad80: 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H + ad90: 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC + ada0: 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI + adb0: 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST + adc0: 49460000 0004e806 00000537 01030000 IF.........7.... + add0: 05450400 06000003 33010300 00055204 .E......3.....R. + ade0: 00060000 018f0103 0000055f 0400076d ..........._...m + adf0: 6973635f 61706900 24000006 4f085f73 isc_api.$...O._s + ae00: 79737465 6d5f7265 73657400 0000010e ystem_reset..... + ae10: 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. + ae20: 00010e02 2304085f 61737366 61696c00 ....#.._assfail. + ae30: 000004cb 02230808 5f6d6973 616c6967 .....#.._misalig + ae40: 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler + ae50: 00000004 cb02230c 085f7265 706f7274 ......#.._report + ae60: 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host + ae70: 00000004 d4022310 085f7461 72676574 ......#.._target + ae80: 5f69645f 67657400 000004e1 02231408 _id_get......#.. + ae90: 5f69735f 686f7374 5f707265 73656e74 _is_host_present + aea0: 00000005 4b022318 085f6b62 68697400 ....K.#.._kbhit. + aeb0: 00000558 02231c08 5f726f6d 5f766572 ...X.#.._rom_ver + aec0: 73696f6e 5f676574 00000005 65022320 sion_get....e.# + aed0: 00060000 03780103 0000064f 04000600 .....x.....O.... + aee0: 00037801 03000006 5c040006 00000115 ..x.....\....... + aef0: 01030000 06690400 06000001 15010300 .....i.......... + af00: 00067604 00060000 01150103 00000683 ..v............. + af10: 04000773 7472696e 675f6170 69001800 ...string_api... + af20: 00070908 5f737472 696e675f 696e6974 ...._string_init + af30: 00000001 0e022300 085f7374 72637079 ......#.._strcpy + af40: 00000006 55022304 085f7374 726e6370 ....U.#.._strncp + af50: 79000000 06620223 08085f73 74726c65 y....b.#.._strle + af60: 6e000000 066f0223 0c085f73 7472636d n....o.#.._strcm + af70: 70000000 067c0223 10085f73 74726e63 p....|.#.._strnc + af80: 6d700000 00068902 2314000f 0000040d mp......#....... + af90: 14000007 16100400 095f415f 54494d45 ........._A_TIME + afa0: 525f5350 41434500 00000709 09415f74 R_SPACE......A_t + afb0: 696d6572 5f740000 00071603 0000072a imer_t.........* + afc0: 04000201 03000007 40040002 01030000 ........@....... + afd0: 07490400 09415f48 414e444c 45000000 .I...A_HANDLE... + afe0: 040d0201 09415f54 494d4552 5f46554e .....A_TIMER_FUN + aff0: 43000000 07600300 00076204 00020103 C....`....b..... + b000: 0000077b 04000774 696d6572 5f617069 ...{...timer_api + b010: 00140000 07fa085f 74696d65 725f696e ......._timer_in + b020: 69740000 00010e02 2300085f 74696d65 it......#.._time + b030: 725f6172 6d000000 07420223 04085f74 r_arm....B.#.._t + b040: 696d6572 5f646973 61726d00 0000074b imer_disarm....K + b050: 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn + b060: 00000007 7d02230c 085f7469 6d65725f ....}.#.._timer_ + b070: 72756e00 0000010e 02231000 09424f4f run......#...BOO + b080: 4c45414e 00000001 8f060000 07fa0103 LEAN............ + b090: 00000807 04000600 0007fa01 03000008 ................ + b0a0: 14040006 000007fa 01030000 08210400 .............!.. + b0b0: 07726f6d 705f6170 69001000 00089308 .romp_api....... + b0c0: 5f726f6d 705f696e 69740000 00010e02 _romp_init...... + b0d0: 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa + b0e0: 64000000 080d0223 04085f72 6f6d705f d......#.._romp_ + b0f0: 696e7374 616c6c00 0000081a 02230808 install......#.. + b100: 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... + b110: 2702230c 0007726f 6d5f7061 7463685f '.#...rom_patch_ + b120: 73740010 000008ef 08637263 31360000 st.......crc16.. + b130: 00018f02 2300086c 656e0000 00018f02 ....#..len...... + b140: 2302086c 645f6164 64720000 0001b202 #..ld_addr...... + b150: 23040866 756e5f61 64647200 000001b2 #..fun_addr..... + b160: 02230808 7066756e 00000003 4902230c .#..pfun....I.#. + b170: 00076565 705f7265 6469725f 61646472 ..eep_redir_addr + b180: 00040000 0921086f 66667365 74000000 .....!.offset... + b190: 018f0223 00087369 7a650000 00018f02 ...#..size...... + b1a0: 23020009 415f5549 4e543332 00000004 #...A_UINT32.... + b1b0: 0d060000 040a0103 0000092f 04000761 .........../...a + b1c0: 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... + b1d0: a008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + b1e0: 5f696e69 74000000 09350223 0008636d _init....5.#..cm + b1f0: 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... + b200: 35022304 08636d6e 6f735f61 6c6c6f63 5.#..cmnos_alloc + b210: 72616d5f 64656275 67000000 010e0223 ram_debug......# + b220: 08000201 03000009 a0040009 415f5441 ............A_TA + b230: 534b4c45 545f4655 4e430000 0009a207 SKLET_FUNC...... + b240: 5f746173 6b6c6574 00100000 0a010866 _tasklet.......f + b250: 756e6300 000009a9 02230008 61726700 unc......#..arg. + b260: 0000040a 02230408 73746174 65000000 .....#..state... + b270: 01150223 08086e65 78740000 000a0102 ...#..next...... + b280: 230c0003 000009bd 04000300 0009bd04 #............... + b290: 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... + b2a0: 09bd0300 000a0f04 00020103 00000a27 ...............' + b2b0: 04000201 0300000a 30040007 7461736b ........0...task + b2c0: 6c65745f 61706900 1400000a c5085f74 let_api......._t + b2d0: 61736b6c 65745f69 6e697400 0000010e asklet_init..... + b2e0: 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini + b2f0: 745f7461 736b0000 000a2902 2304085f t_task....).#.._ + b300: 7461736b 6c65745f 64697361 626c6500 tasklet_disable. + b310: 00000a32 02230808 5f746173 6b6c6574 ...2.#.._tasklet + b320: 5f736368 6564756c 65000000 0a320223 _schedule....2.# + b330: 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. + b340: 00010e02 23100002 01030000 0ac50400 ....#........... + b350: 06000009 21010300 000ace04 00020103 ....!........... + b360: 00000adb 04000763 6c6f636b 5f617069 .......clock_api + b370: 00240000 0bbd085f 636c6f63 6b5f696e .$....._clock_in + b380: 69740000 000ac702 2300085f 636c6f63 it......#.._cloc + b390: 6b726567 735f696e 69740000 00010e02 kregs_init...... + b3a0: 2304085f 75617274 5f667265 7175656e #.._uart_frequen + b3b0: 63790000 000ad402 2308085f 64656c61 cy......#.._dela + b3c0: 795f7573 0000000a dd02230c 085f776c y_us......#.._wl + b3d0: 616e5f62 616e645f 73657400 00000add an_band_set..... + b3e0: 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee + b3f0: 645f6765 74000000 0ad40223 14085f6d d_get......#.._m + b400: 696c6c69 7365636f 6e647300 00000ad4 illiseconds..... + b410: 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan + b420: 67650000 00010e02 231c085f 636c6f63 ge......#.._cloc + b430: 6b5f7469 636b0000 00010e02 23200006 k_tick......# .. + b440: 000001b2 01030000 0bbd0400 09415f6f .............A_o + b450: 6c645f69 6e74725f 74000000 01b20600 ld_intr_t....... + b460: 000bca01 0300000b dc040002 01030000 ................ + b470: 0be90400 02010300 000bf204 00060000 ................ + b480: 01b20103 00000bfb 04000941 5f697372 ...........A_isr + b490: 5f740000 000c0102 01030000 0c150400 _t.............. + b4a0: 06000004 0d010300 000c1e04 00020103 ................ + b4b0: 00000c2b 04000769 6e74725f 61706900 ...+...intr_api. + b4c0: 2c00000d 4d085f69 6e74725f 696e6974 ,...M._intr_init + b4d0: 00000001 0e022300 085f696e 74725f69 ......#.._intr_i + b4e0: 6e766f6b 655f6973 72000000 0bc30223 nvoke_isr......# + b4f0: 04085f69 6e74725f 64697361 626c6500 .._intr_disable. + b500: 00000be2 02230808 5f696e74 725f7265 .....#.._intr_re + b510: 73746f72 65000000 0beb0223 0c085f69 store......#.._i + b520: 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... + b530: 0bf40223 10085f69 6e74725f 756e6d61 ...#.._intr_unma + b540: 736b5f69 6e756d00 00000bf4 02231408 sk_inum......#.. + b550: 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr + b560: 0000000c 17022318 085f6765 745f696e ......#.._get_in + b570: 7472656e 61626c65 0000000c 2402231c trenable....$.#. + b580: 085f7365 745f696e 7472656e 61626c65 ._set_intrenable + b590: 0000000c 2d022320 085f6765 745f696e ....-.# ._get_in + b5a0: 74727065 6e64696e 67000000 0c240223 trpending....$.# + b5b0: 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i + b5c0: 6e74726c 766c0000 00010e02 23280011 ntrlvl......#(.. + b5d0: 0400000d 73087469 6d656f75 74000000 ....s.timeout... + b5e0: 01b20223 00086163 74696f6e 00000001 ...#..action.... + b5f0: b2022300 00120800 000d8e08 636d6400 ..#.........cmd. + b600: 000001b2 02230013 00000d4d 02230400 .....#.....M.#.. + b610: 09545f57 44545f43 4d440000 000d7302 .T_WDT_CMD....s. + b620: 01030000 0d9d0400 14040000 0df30e45 ...............E + b630: 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E + b640: 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... + b650: 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. + b660: 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO + b670: 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP + b680: 45000000 0da60600 000df301 0300000e E............... + b690: 04040007 7764745f 61706900 1c00000e ....wdt_api..... + b6a0: a8085f77 64745f69 6e697400 0000010e .._wdt_init..... + b6b0: 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. + b6c0: 0000010e 02230408 5f776474 5f646973 .....#.._wdt_dis + b6d0: 61626c65 00000001 0e022308 085f7764 able......#.._wd + b6e0: 745f7365 74000000 0d9f0223 0c085f77 t_set......#.._w + b6f0: 64745f74 61736b00 0000010e 02231008 dt_task......#.. + b700: 5f776474 5f726573 65740000 00010e02 _wdt_reset...... + b710: 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo + b720: 74000000 0e0a0223 18001404 00000f0f t......#........ + b730: 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R + b740: 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE + b750: 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE + b760: 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... + b770: 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW + b780: 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. + b790: 0009545f 4545505f 52455400 00000ea8 ..T_EEP_RET..... + b7a0: 03000001 8f040006 00000f0f 01030000 ................ + b7b0: 0f250400 0600000f 0f010300 000f3204 .%............2. + b7c0: 00076565 705f6170 69001000 000f9b08 ..eep_api....... + b7d0: 5f656570 5f696e69 74000000 010e0223 _eep_init......# + b7e0: 00085f65 65705f72 65616400 00000f2b .._eep_read....+ + b7f0: 02230408 5f656570 5f777269 74650000 .#.._eep_write.. + b800: 000f2b02 2308085f 6565705f 69735f65 ..+.#.._eep_is_e + b810: 78697374 0000000f 3802230c 00077573 xist....8.#...us + b820: 625f6170 69007000 00124808 5f757362 b_api.p...H._usb + b830: 5f696e69 74000000 010e0223 00085f75 _init......#.._u + b840: 73625f72 6f6d5f74 61736b00 0000010e sb_rom_task..... + b850: 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task + b860: 00000001 0e022308 085f7573 625f696e ......#.._usb_in + b870: 69745f70 68790000 00010e02 230c085f it_phy......#.._ + b880: 7573625f 6570305f 73657475 70000000 usb_ep0_setup... + b890: 010e0223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t + b8a0: 78000000 010e0223 14085f75 73625f65 x......#.._usb_e + b8b0: 70305f72 78000000 010e0223 18085f75 p0_rx......#.._u + b8c0: 73625f67 65745f69 6e746572 66616365 sb_get_interface + b8d0: 00000008 1a02231c 085f7573 625f7365 ......#.._usb_se + b8e0: 745f696e 74657266 61636500 0000081a t_interface..... + b8f0: 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con + b900: 66696775 72617469 6f6e0000 00081a02 figuration...... + b910: 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf + b920: 69677572 6174696f 6e000000 081a0223 iguration......# + b930: 28085f75 73625f73 74616e64 6172645f (._usb_standard_ + b940: 636d6400 0000081a 02232c08 5f757362 cmd......#,._usb + b950: 5f76656e 646f725f 636d6400 0000010e _vendor_cmd..... + b960: 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o + b970: 66660000 00010e02 2334085f 7573625f ff......#4._usb_ + b980: 72657365 745f6669 666f0000 00010e02 reset_fifo...... + b990: 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. + b9a0: 0000010e 02233c08 5f757362 5f6a756d .....#<._usb_jum + b9b0: 705f626f 6f740000 00010e02 2340085f p_boot......#@._ + b9c0: 7573625f 636c725f 66656174 75726500 usb_clr_feature. + b9d0: 0000081a 02234408 5f757362 5f736574 .....#D._usb_set + b9e0: 5f666561 74757265 00000008 1a022348 _feature......#H + b9f0: 085f7573 625f7365 745f6164 64726573 ._usb_set_addres + ba00: 73000000 081a0223 4c085f75 73625f67 s......#L._usb_g + ba10: 65745f64 65736372 6970746f 72000000 et_descriptor... + ba20: 081a0223 50085f75 73625f67 65745f73 ...#P._usb_get_s + ba30: 74617475 73000000 081a0223 54085f75 tatus......#T._u + ba40: 73625f73 65747570 5f646573 63000000 sb_setup_desc... + ba50: 010e0223 58085f75 73625f72 65675f6f ...#X._usb_reg_o + ba60: 75740000 00010e02 235c085f 7573625f ut......#\._usb_ + ba70: 73746174 75735f69 6e000000 010e0223 status_in......# + ba80: 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da + ba90: 74610000 00010e02 2364085f 7573625f ta......#d._usb_ + baa0: 6570305f 72785f64 61746100 0000010e ep0_rx_data..... + bab0: 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini + bac0: 74000000 010e0223 6c00075f 56444553 t......#l.._VDES + bad0: 43002400 0012d408 6e657874 5f646573 C.$.....next_des + bae0: 63000000 12d40223 00086275 665f6164 c......#..buf_ad + baf0: 64720000 0012e802 23040862 75665f73 dr......#..buf_s + bb00: 697a6500 000012ef 02230808 64617461 ize......#..data + bb10: 5f6f6666 73657400 000012ef 02230a08 _offset......#.. + bb20: 64617461 5f73697a 65000000 12ef0223 data_size......# + bb30: 0c08636f 6e74726f 6c000000 12ef0223 ..control......# + bb40: 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... + bb50: 12fd0223 10000300 00124804 0009415f ...#......H...A_ + bb60: 55494e54 38000000 03220300 0012db04 UINT8...."...... + bb70: 0009415f 55494e54 31360000 0001790f ..A_UINT16....y. + bb80: 000012db 14000013 0a101300 03000012 ................ + bb90: 48040009 56444553 43000000 12480300 H...VDESC....H.. + bba0: 00131104 00060000 131c0103 00001323 ...............# + bbb0: 04000600 0012e801 03000013 30040002 ............0... + bbc0: 01030000 133d0400 07766465 73635f61 .....=...vdesc_a + bbd0: 70690014 000013b5 085f696e 69740000 pi......._init.. + bbe0: 000add02 2300085f 616c6c6f 635f7664 ....#.._alloc_vd + bbf0: 65736300 00001329 02230408 5f676574 esc....).#.._get + bc00: 5f68775f 64657363 00000013 36022308 _hw_desc....6.#. + bc10: 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... + bc20: 3f02230c 08705265 73657276 65640000 ?.#..pReserved.. + bc30: 00040a02 23100007 5f564255 46002000 ....#..._VBUF. . + bc40: 00141508 64657363 5f6c6973 74000000 ....desc_list... + bc50: 131c0223 00086e65 78745f62 75660000 ...#..next_buf.. + bc60: 00141502 23040862 75665f6c 656e6774 ....#..buf_lengt + bc70: 68000000 12ef0223 08087265 73657276 h......#..reserv + bc80: 65640000 00141c02 230a0863 74780000 ed......#..ctx.. + bc90: 0012fd02 230c0003 000013b5 04000f00 ....#........... + bca0: 0012db02 00001429 10010003 000013b5 .......)........ + bcb0: 04000956 42554600 000013b5 03000014 ...VBUF......... + bcc0: 30040006 0000143a 01030000 14410400 0......:.....A.. + bcd0: 06000014 3a010300 00144e04 00020103 ....:.....N..... + bce0: 0000145b 04000776 6275665f 61706900 ...[...vbuf_api. + bcf0: 14000014 d9085f69 6e697400 00000add ......_init..... + bd00: 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. + bd10: 00001447 02230408 5f616c6c 6f635f76 ...G.#.._alloc_v + bd20: 6275665f 77697468 5f73697a 65000000 buf_with_size... + bd30: 14540223 08085f66 7265655f 76627566 .T.#.._free_vbuf + bd40: 00000014 5d02230c 08705265 73657276 ....].#..pReserv + bd50: 65640000 00040a02 23100007 5f5f6164 ed......#...__ad + bd60: 665f6465 76696365 00040000 14fb0864 f_device.......d + bd70: 756d6d79 00000001 15022300 00030000 ummy......#..... + bd80: 09210400 075f5f61 64665f64 6d615f6d .!...__adf_dma_m + bd90: 6170000c 00001542 08627566 00000014 ap.....B.buf.... + bda0: 3a022300 0864735f 61646472 00000014 :.#..ds_addr.... + bdb0: fb022304 0864735f 6c656e00 000012ef ..#..ds_len..... + bdc0: 02230800 120c0000 157c085f 5f76615f .#.......|.__va_ + bdd0: 73746b00 00000378 02230008 5f5f7661 stk....x.#..__va + bde0: 5f726567 00000003 78022304 085f5f76 _reg....x.#..__v + bdf0: 615f6e64 78000000 01150223 0800095f a_ndx......#..._ + be00: 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + be10: 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d + be20: 6d615f61 6464725f 74000000 157c095f ma_addr_t....|._ + be30: 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + be40: 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d + be50: 6d615f73 697a655f 74000000 15ac075f ma_size_t......_ + be60: 5f646d61 5f736567 73000800 00160808 _dma_segs....... + be70: 70616464 72000000 15950223 00086c65 paddr......#..le + be80: 6e000000 15c50223 0400095f 5f615f75 n......#...__a_u + be90: 696e7433 325f7400 00000921 09615f75 int32_t....!.a_u + bea0: 696e7433 325f7400 00001608 0f000015 int32_t......... + beb0: dc080000 16371000 00076164 665f6f73 .....7....adf_os + bec0: 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + bed0: 1670086e 73656773 00000016 1a022300 .p.nsegs......#. + bee0: 08646d61 5f736567 73000000 162a0223 .dma_segs....*.# + bef0: 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + bf00: 0012db09 615f7569 6e74385f 74000000 ....a_uint8_t... + bf10: 16700300 00168104 00075f5f 73675f73 .p........__sg_s + bf20: 65677300 08000016 c2087661 64647200 egs.......vaddr. + bf30: 00001690 02230008 6c656e00 0000161a .....#..len..... + bf40: 02230400 0f000016 97200000 16cf1003 .#....... ...... + bf50: 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + bf60: 24000017 02086e73 65677300 0000161a $.....nsegs..... + bf70: 02230008 73675f73 65677300 000016c2 .#..sg_segs..... + bf80: 02230400 12100000 174b0876 656e646f .#.......K.vendo + bf90: 72000000 161a0223 00086465 76696365 r......#..device + bfa0: 00000016 1a022304 08737562 76656e64 ......#..subvend + bfb0: 6f720000 00161a02 23080873 75626465 or......#..subde + bfc0: 76696365 00000016 1a02230c 00046c6f vice......#...lo + bfd0: 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + bfe0: 20696e74 00070809 415f5549 4e543634 int....A_UINT64 + bff0: 00000017 4b095f5f 615f7569 6e743634 ....K.__a_uint64 + c000: 5f740000 00176509 615f7569 6e743634 _t....e.a_uint64 + c010: 5f740000 00177314 04000017 d10e4144 _t....s.......AD + c020: 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + c030: 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + c040: 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + c050: 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou + c060: 7263655f 74797065 5f740000 00179512 rce_type_t...... + c070: 18000018 1b087374 61727400 00001785 ......start..... + c080: 02230008 656e6400 00001785 02230808 .#..end......#.. + c090: 74797065 00000017 d1022310 00096164 type......#...ad + c0a0: 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + c0b0: 74000000 17020300 00181b04 00110400 t............... + c0c0: 00185a08 70636900 00001834 02230008 ..Z.pci....4.#.. + c0d0: 72617700 0000040a 02230000 11100000 raw......#...... + c0e0: 18790870 63690000 00181b02 23000872 .y.pci......#..r + c0f0: 61770000 00040a02 23000009 6164665f aw......#...adf_ + c100: 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... + c110: 0a096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + c120: 655f7400 000017ed 03000018 8f040009 e_t............. + c130: 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + c140: 74615f74 00000018 5a030000 18ad0400 ta_t....Z....... + c150: 03000014 d9040009 5f5f6164 665f6f73 ........__adf_os + c160: 5f646576 6963655f 74000000 18ce0961 _device_t......a + c170: 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + c180: 0018d506 00001879 01030000 19010400 .......y........ + c190: 02010300 00190e04 00096164 665f6f73 ..........adf_os + c1a0: 5f706d5f 74000000 040a0201 03000019 _pm_t........... + c1b0: 28040014 04000019 680e4144 465f4f53 (.......h.ADF_OS + c1c0: 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + c1d0: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + c1e0: 47454e45 52494300 02000961 64665f6f GENERIC....adf_o + c1f0: 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... + c200: 31096164 665f6f73 5f627573 5f726567 1.adf_os_bus_reg + c210: 5f646174 615f7400 0000183b 03000003 _data_t....;.... + c220: 22040007 5f616466 5f647276 5f696e66 "..._adf_drv_inf + c230: 6f002000 001a4508 6472765f 61747461 o. ...E.drv_atta + c240: 63680000 00190702 23000864 72765f64 ch......#..drv_d + c250: 65746163 68000000 19100223 04086472 etach......#..dr + c260: 765f7375 7370656e 64000000 192a0223 v_suspend....*.# + c270: 08086472 765f7265 73756d65 00000019 ..drv_resume.... + c280: 1002230c 08627573 5f747970 65000000 ..#..bus_type... + c290: 19680223 10086275 735f6461 74610000 .h.#..bus_data.. + c2a0: 00197f02 2314086d 6f645f6e 616d6500 ....#..mod_name. + c2b0: 0000199a 02231808 69666e61 6d650000 .....#..ifname.. + c2c0: 00199a02 231c0009 6164665f 6f735f68 ....#...adf_os_h + c2d0: 616e646c 655f7400 0000040a 03000016 andle_t......... + c2e0: 70040002 01020109 5f5f6164 665f6f73 p.......__adf_os + c2f0: 5f73697a 655f7400 0000040d 14040000 _size_t......... + c300: 1a940e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + c310: 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. + c320: 00001a7a 03000015 02040009 5f5f6164 ...z........__ad + c330: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + c340: 001aa202 010d6164 665f6f73 5f636163 ......adf_os_cac + c350: 68655f73 796e6300 0400001b 2c0e4144 he_sync.....,.AD + c360: 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + c370: 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + c380: 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + c390: 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + c3a0: 435f504f 53545752 49544500 03000961 C_POSTWRITE....a + c3b0: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + c3c0: 5f740000 001ac302 01096164 665f6f73 _t........adf_os + c3d0: 5f73697a 655f7400 00001a65 0600001b _size_t....e.... + c3e0: 47010961 64665f6f 735f646d 615f6d61 G..adf_os_dma_ma + c3f0: 705f7400 00001aa9 0300001b 60040006 p_t.........`... + c400: 0000040a 01030000 1aa90400 06000004 ................ + c410: 0a010201 06000015 95010201 0473686f .............sho + c420: 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 + c430: 36000000 1b9a095f 5f615f69 6e743136 6......__a_int16 + c440: 5f740000 001ba709 615f696e 7431365f _t......a_int16_ + c450: 74000000 1bb40473 69676e65 64206368 t......signed ch + c460: 61720005 0109415f 494e5438 0000001b ar....A_INT8.... + c470: d4095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... + c480: e309615f 696e7438 5f740000 001bef12 ..a_int8_t...... + c490: 0c00001c 66087375 70706f72 74656400 ....f.supported. + c4a0: 0000161a 02230008 61647665 7274697a .....#..advertiz + c4b0: 65640000 00161a02 23040873 70656564 ed......#..speed + c4c0: 0000001b c5022308 08647570 6c657800 ......#..duplex. + c4d0: 00001bff 02230a08 6175746f 6e656700 .....#..autoneg. + c4e0: 00001681 02230b00 0f000016 81060000 .....#.......... + c4f0: 1c731005 00076164 665f6e65 745f6574 .s....adf_net_et + c500: 68616464 72000600 001c9708 61646472 haddr.......addr + c510: 0000001c 66022300 00095f5f 615f7569 ....f.#...__a_ui + c520: 6e743136 5f740000 0012ef09 615f7569 nt16_t......a_ui + c530: 6e743136 5f740000 001c9712 0e00001c nt16_t.......... + c540: fb086574 6865725f 64686f73 74000000 ..ether_dhost... + c550: 1c660223 00086574 6865725f 73686f73 .f.#..ether_shos + c560: 74000000 1c660223 06086574 6865725f t....f.#..ether_ + c570: 74797065 0000001c a902230c 00121400 type......#..... + c580: 001dbc15 69705f76 65727369 6f6e0000 ....ip_version.. + c590: 00168101 00040223 00156970 5f686c00 .......#..ip_hl. + c5a0: 00001681 01040402 23000869 705f746f ........#..ip_to + c5b0: 73000000 16810223 01086970 5f6c656e s......#..ip_len + c5c0: 0000001c a9022302 0869705f 69640000 ......#..ip_id.. + c5d0: 001ca902 23040869 705f6672 61675f6f ....#..ip_frag_o + c5e0: 66660000 001ca902 23060869 705f7474 ff......#..ip_tt + c5f0: 6c000000 16810223 08086970 5f70726f l......#..ip_pro + c600: 746f0000 00168102 23090869 705f6368 to......#..ip_ch + c610: 65636b00 00001ca9 02230a08 69705f73 eck......#..ip_s + c620: 61646472 00000016 1a02230c 0869705f addr......#..ip_ + c630: 64616464 72000000 161a0223 10000761 daddr......#...a + c640: 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + c650: 00001e0e 08747069 64000000 1ca90223 .....tpid......# + c660: 00157072 696f0000 00168101 00030223 ..prio.........# + c670: 02156366 69000000 16810103 01022302 ..cfi.........#. + c680: 15766964 0000001c a902040c 02230200 .vid.........#.. + c690: 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + c6a0: 1e3f1572 65730000 00168101 00040223 .?.res.........# + c6b0: 00157661 6c000000 1ca90204 0c022300 ..val.........#. + c6c0: 00120c00 001e7b08 72785f62 75667369 ......{.rx_bufsi + c6d0: 7a650000 00161a02 23000872 785f6e64 ze......#..rx_nd + c6e0: 65736300 0000161a 02230408 74785f6e esc......#..tx_n + c6f0: 64657363 00000016 1a022308 00120800 desc......#..... + c700: 001ea108 706f6c6c 65640000 001a9402 ....polled...... + c710: 23000870 6f6c6c5f 77740000 00161a02 #..poll_wt...... + c720: 2304000f 00001681 4000001e ae103f00 #.......@.....?. + c730: 12460000 1ed60869 665f6e61 6d650000 .F.....if_name.. + c740: 001ea102 23000864 65765f61 64647200 ....#..dev_addr. + c750: 00001c66 02234000 14040000 1f0d0e41 ...f.#@........A + c760: 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + c770: 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + c780: 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... + c790: 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + c7a0: 74000000 1ed60761 64665f64 6d615f69 t......adf_dma_i + c7b0: 6e666f00 0800001f 5a08646d 615f6d61 nfo.....Z.dma_ma + c7c0: 736b0000 001f0d02 23000873 675f6e73 sk......#..sg_ns + c7d0: 65677300 0000161a 02230400 14040000 egs......#...... + c7e0: 1fb00e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + c7f0: 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + c800: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + c810: 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + c820: 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + c830: 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + c840: 5f747970 655f7400 00001f5a 12080000 _type_t....Z.... + c850: 1ff30874 785f636b 73756d00 00001fb0 ...tx_cksum..... + c860: 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... + c870: b0022304 00096164 665f6e65 745f636b ..#...adf_net_ck + c880: 73756d5f 696e666f 5f740000 001fca14 sum_info_t...... + c890: 04000020 4c0e4144 465f4e45 545f5453 ... L.ADF_NET_TS + c8a0: 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + c8b0: 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + c8c0: 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a + c8d0: 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + c8e0: 74000000 200d1210 000020a0 08636b73 t... ..... ..cks + c8f0: 756d5f63 61700000 001ff302 23000874 um_cap......#..t + c900: 736f0000 00204c02 23080876 6c616e5f so... L.#..vlan_ + c910: 73757070 6f727465 64000000 16810223 supported......# + c920: 0c001220 00002139 0874785f 7061636b ... ..!9.tx_pack + c930: 65747300 0000161a 02230008 72785f70 ets......#..rx_p + c940: 61636b65 74730000 00161a02 23040874 ackets......#..t + c950: 785f6279 74657300 0000161a 02230808 x_bytes......#.. + c960: 72785f62 79746573 00000016 1a02230c rx_bytes......#. + c970: 0874785f 64726f70 70656400 0000161a .tx_dropped..... + c980: 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. + c990: 00161a02 23140872 785f6572 726f7273 ....#..rx_errors + c9a0: 00000016 1a022318 0874785f 6572726f ......#..tx_erro + c9b0: 72730000 00161a02 231c0009 6164665f rs......#...adf_ + c9c0: 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + c9d0: 1c731600 00213903 00000021 5e107f00 .s...!9....!^... + c9e0: 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + c9f0: 64647200 03040000 2195086e 656c656d ddr.....!..nelem + ca00: 00000016 1a022300 086d6361 73740000 ......#..mcast.. + ca10: 00215002 23040009 6164665f 6e65745f .!P.#...adf_net_ + ca20: 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + ca30: 00001c0d 09616466 5f6e6574 5f636d64 .....adf_net_cmd + ca40: 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... + ca50: 7b096164 665f6e65 745f636d 645f636b {.adf_net_cmd_ck + ca60: 73756d5f 696e666f 5f740000 001ff309 sum_info_t...... + ca70: 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + ca80: 5f696e66 6f5f7400 00001e3f 09616466 _info_t....?.adf + ca90: 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + caa0: 6f5f7400 00001f24 09616466 5f6e6574 o_t....$.adf_net + cab0: 5f636d64 5f766964 5f740000 001ca909 _cmd_vid_t...... + cac0: 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + cad0: 6f61645f 6361705f 74000000 20640961 oad_cap_t... d.a + cae0: 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + caf0: 5f740000 0020a009 6164665f 6e65745f _t... ..adf_net_ + cb00: 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! + cb10: 5e0d6164 665f6e65 745f636d 645f6d63 ^.adf_net_cmd_mc + cb20: 6173745f 63617000 04000022 d70e4144 ast_cap...."..AD + cb30: 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + cb40: 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + cb50: 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne + cb60: 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + cb70: 74000000 228f1803 04000023 a9086c69 t..."......#..li + cb80: 6e6b5f69 6e666f00 00002195 02230008 nk_info...!..#.. + cb90: 706f6c6c 5f696e66 6f000000 21b20223 poll_info...!..# + cba0: 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! + cbb0: cf022300 0872696e 675f696e 666f0000 ..#..ring_info.. + cbc0: 0021ed02 23000864 6d615f69 6e666f00 .!..#..dma_info. + cbd0: 0000220a 02230008 76696400 00002226 .."..#..vid..."& + cbe0: 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. + cbf0: 0000223d 02230008 73746174 73000000 .."=.#..stats... + cc00: 225c0223 00086d63 6173745f 696e666f "\.#..mcast_info + cc10: 00000022 75022300 086d6361 73745f63 ..."u.#..mcast_c + cc20: 61700000 0022d702 23000014 04000024 ap..."..#......$ + cc30: 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + cc40: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + cc50: 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + cc60: 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + cc70: 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + cc80: 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + cc90: 6b73756d 5f747970 655f7400 000023a9 ksum_type_t...#. + cca0: 12080000 24400872 6573756c 74000000 ....$@.result... + ccb0: 24000223 00087661 6c000000 161a0223 $..#..val......# + ccc0: 04001208 00002470 08747970 65000000 ......$p.type... + ccd0: 204c0223 00086d73 73000000 1ca90223 L.#..mss......# + cce0: 04086864 725f6f66 66000000 16810223 ..hdr_off......# + ccf0: 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + cd00: 65616400 0c000024 af086865 61640000 ead....$..head.. + cd10: 00143a02 23000874 61696c00 0000143a ..:.#..tail....: + cd20: 02230408 716c656e 00000016 1a022308 .#..qlen......#. + cd30: 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + cd40: 00143a03 00001690 04000300 00161a04 ..:............. + cd50: 00020106 0000131c 01060000 161a0106 ................ + cd60: 00001690 01060000 16900103 000012fd ................ + cd70: 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + cd80: 6561645f 74000000 2470095f 5f616466 ead_t...$p.__adf + cd90: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + cda0: 24f00300 00250804 00060000 24af0106 $....%......$... + cdb0: 000024af 01140400 0026280e 415f5354 ..$......&(.A_ST + cdc0: 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + cdd0: 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + cde0: 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + cdf0: 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + ce00: 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + ce10: 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + ce20: 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + ce30: 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + ce40: 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + ce50: 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + ce60: 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + ce70: 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + ce80: 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + ce90: 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + cea0: 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta + ceb0: 7475735f 74000000 25330600 00262801 tus_t...%3...&(. + cec0: 06000001 15010201 09616466 5f6e6275 .........adf_nbu + ced0: 665f7400 000024af 14040000 268d0e41 f_t...$.....&..A + cee0: 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + cef0: 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + cf00: 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... + cf10: 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + cf20: 00000026 56060000 26280102 01096164 ...&V...&(....ad + cf30: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + cf40: 5f740000 00163703 000026ab 04000201 _t....7...&..... + cf50: 02010600 00264601 06000024 af010201 .....&F....$.... + cf60: 02010600 00264601 06000024 af010600 .....&F....$.... + cf70: 00264601 06000024 af010600 00264601 .&F....$.....&F. + cf80: 02010201 06000016 1a010600 00169001 ................ + cf90: 02010201 0600001b 47010600 001a9401 ........G....... + cfa0: 0600001a 94010961 64665f6f 735f7367 .......adf_os_sg + cfb0: 6c697374 5f740000 0016cf03 00002724 list_t........'$ + cfc0: 04000201 02010201 06000016 90010961 ...............a + cfd0: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + cfe0: 00002508 03000027 4c040002 01030000 ..%....'L....... + cff0: 24f00400 02010201 02010600 00264601 $............&F. + d000: 06000024 af010600 00161a01 06000016 ...$............ + d010: 1a010600 001a9401 0600001a 94010600 ................ + d020: 001fb001 06000016 1a010961 64665f6e ...........adf_n + d030: 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + d040: 00241e03 000027a8 04000201 02010961 .$....'........a + d050: 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + d060: 24400300 0027cc04 00020102 01096164 $@...'........ad + d070: 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + d080: 00040a09 6164665f 6e65745f 766c616e ....adf_net_vlan + d090: 6864725f 74000000 1dbc0300 00280104 hdr_t........(.. + d0a0: 00060000 26280106 00002628 01020102 ....&(....&(.... + d0b0: 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + d0c0: 00285008 64756d6d 79000000 01150223 .(P.dummy......# + d0d0: 00000201 03000028 50040002 01030000 .......(P....... + d0e0: 28590400 075f4849 465f4341 4c4c4241 (Y..._HIF_CALLBA + d0f0: 434b000c 000028ae 0873656e 645f6275 CK....(..send_bu + d100: 665f646f 6e650000 00285202 23000872 f_done...(R.#..r + d110: 6563765f 62756600 0000285b 02230408 ecv_buf...([.#.. + d120: 636f6e74 65787400 0000040a 02230800 context......#.. + d130: 09686966 5f68616e 646c655f 74000000 .hif_handle_t... + d140: 040a0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... + d150: 282f0300 0028c004 00060000 28ae0103 (/...(......(... + d160: 000028d7 04000201 03000028 e4040009 ..(........(.... + d170: 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( + d180: 62030000 28ed0400 02010300 00290604 b...(........).. + d190: 00060000 01150103 0000290f 04000201 ..........)..... + d1a0: 03000029 1c040006 00000115 01030000 ...)............ + d1b0: 29250400 02010300 00293204 00060000 )%.......)2..... + d1c0: 01150103 0000293b 04000201 03000029 ......);.......) + d1d0: 48040007 6869665f 61706900 3800002a H...hif_api.8..* + d1e0: a1085f69 6e697400 000028dd 02230008 .._init...(..#.. + d1f0: 5f736875 74646f77 6e000000 28e60223 _shutdown...(..# + d200: 04085f72 65676973 7465725f 63616c6c .._register_call + d210: 6261636b 00000029 08022308 085f6765 back...)..#.._ge + d220: 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + d230: 6f756e74 00000029 1502230c 085f7374 ount...)..#.._st + d240: 61727400 000028e6 02231008 5f636f6e art...(..#.._con + d250: 6669675f 70697065 00000029 1e022314 fig_pipe...)..#. + d260: 085f7365 6e645f62 75666665 72000000 ._send_buffer... + d270: 292b0223 18085f72 65747572 6e5f7265 )+.#.._return_re + d280: 63765f62 75660000 00293402 231c085f cv_buf...)4.#.._ + d290: 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + d2a0: 64000000 29410223 20085f67 65745f6d d...)A.# ._get_m + d2b0: 61785f6d 73675f6c 656e0000 00294102 ax_msg_len...)A. + d2c0: 2324085f 6765745f 72657365 72766564 #$._get_reserved + d2d0: 5f686561 64726f6f 6d000000 29150223 _headroom...)..# + d2e0: 28085f69 73725f68 616e646c 65720000 (._isr_handler.. + d2f0: 0028e602 232c085f 6765745f 64656661 .(..#,._get_defa + d300: 756c745f 70697065 00000029 4a022330 ult_pipe...)J.#0 + d310: 08705265 73657276 65640000 00040a02 .pReserved...... + d320: 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. + d330: 00002b2a 0e444d41 5f454e47 494e455f ..+*.DMA_ENGINE_ + d340: 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE + d350: 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN + d360: 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI + d370: 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG + d380: 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN + d390: 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E + d3a0: 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma + d3b0: 5f656e67 696e655f 74000000 2aa10d64 _engine_t...*..d + d3c0: 6d615f69 66747970 65000400 002b770e ma_iftype....+w. + d3d0: 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM + d3e0: 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I + d3f0: 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if + d400: 74797065 5f740000 002b3c06 000012ef type_t...+<..... + d410: 01030000 2b890400 02010300 002b9604 ....+........+.. + d420: 00020103 00002b9f 04000600 00092101 ......+.......!. + d430: 0300002b a8040006 000012ef 01030000 ...+............ + d440: 2bb50400 06000012 ef010300 002bc204 +............+.. + d450: 00060000 143a0103 00002bcf 04000201 .....:....+..... + d460: 0300002b dc040007 646d615f 6c69625f ...+....dma_lib_ + d470: 61706900 3400002c e3087478 5f696e69 api.4..,..tx_ini + d480: 74000000 2b8f0223 00087478 5f737461 t...+..#..tx_sta + d490: 72740000 002b9802 23040872 785f696e rt...+..#..rx_in + d4a0: 69740000 002b8f02 23080872 785f636f it...+..#..rx_co + d4b0: 6e666967 0000002b a102230c 0872785f nfig...+..#..rx_ + d4c0: 73746172 74000000 2b980223 1008696e start...+..#..in + d4d0: 74725f73 74617475 73000000 2bae0223 tr_status...+..# + d4e0: 14086861 72645f78 6d697400 00002bbb ..hard_xmit...+. + d4f0: 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. + d500: 002b9802 231c0878 6d69745f 646f6e65 .+..#..xmit_done + d510: 0000002b c8022320 08726561 705f786d ...+..# .reap_xm + d520: 69747465 64000000 2bd50223 24087265 itted...+..#$.re + d530: 61705f72 65637600 00002bd5 02232808 ap_recv...+..#(. + d540: 72657475 726e5f72 65637600 00002bde return_recv...+. + d550: 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ + d560: c8022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft + d570: 63000c00 002d0108 73770000 0028ed02 c....-..sw...(.. + d580: 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ + d590: 74000000 2ce30300 002d0104 00020103 t...,....-...... + d5a0: 00002d1b 04000600 0012db01 0300002d ..-............- + d5b0: 2404000d 6869665f 7063695f 70697065 $...hif_pci_pipe + d5c0: 5f747800 0400002d 840e4849 465f5043 _tx....-..HIF_PC + d5d0: 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF + d5e0: 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... + d5f0: 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ + d600: 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p + d610: 6970655f 74785f74 0000002d 31060000 ipe_tx_t...-1... + d620: 2b2a0103 00002d9b 04000d68 69665f70 +*....-....hif_p + d630: 63695f70 6970655f 72780004 00002e21 ci_pipe_rx.....! + d640: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + d650: 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + d660: 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P + d670: 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC + d680: 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF + d690: 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX + d6a0: 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe + d6b0: 5f72785f 74000000 2da80600 002b2a01 _rx_t...-....+*. + d6c0: 0300002e 38040007 6869665f 7063695f ....8...hif_pci_ + d6d0: 61706900 2400002f 16087063 695f626f api.$../..pci_bo + d6e0: 6f745f69 6e697400 0000010e 02230008 ot_init......#.. + d6f0: 7063695f 696e6974 00000028 dd022304 pci_init...(..#. + d700: 08706369 5f726573 65740000 00010e02 .pci_reset...... + d710: 23080870 63695f65 6e61626c 65000000 #..pci_enable... + d720: 010e0223 0c087063 695f7265 61705f78 ...#..pci_reap_x + d730: 6d697474 65640000 002d1d02 23100870 mitted...-..#..p + d740: 63695f72 6561705f 72656376 0000002d ci_reap_recv...- + d750: 1d022314 08706369 5f676574 5f706970 ..#..pci_get_pip + d760: 65000000 2d2a0223 18087063 695f6765 e...-*.#..pci_ge + d770: 745f7478 5f656e67 0000002d a102231c t_tx_eng...-..#. + d780: 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. + d790: 00002e3e 02232000 07676d61 635f6170 ...>.# ..gmac_ap + d7a0: 69000400 002f3d08 676d6163 5f626f6f i..../=.gmac_boo + d7b0: 745f696e 69740000 00010e02 2300000f t_init......#... + d7c0: 00000322 0600002f 4a100500 075f5f65 ...".../J....__e + d7d0: 74686864 72000e00 002f8008 64737400 thhdr..../..dst. + d7e0: 00002f3d 02230008 73726300 00002f3d ../=.#..src.../= + d7f0: 02230608 65747970 65000000 12ef0223 .#..etype......# + d800: 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ + d810: ce157265 73000000 12db0100 02022300 ..res.........#. + d820: 1570726f 746f0000 0012db01 02060223 .proto.........# + d830: 00087265 735f6c6f 00000012 db022301 ..res_lo......#. + d840: 08726573 5f686900 000012ef 02230200 .res_hi......#.. + d850: 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 + d860: 0a086574 68000000 2f4a0223 00086174 ..eth.../J.#..at + d870: 68000000 2f800223 0e08616c 69676e5f h.../..#..align_ + d880: 70616400 000012ef 02231200 095f5f67 pad......#...__g + d890: 6d61635f 6864725f 74000000 2fce075f mac_hdr_t.../.._ + d8a0: 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 + d8b0: 54086864 72000000 300a0223 00086772 T.hdr...0..#..gr + d8c0: 616e0000 0012ef02 23140873 77000000 an......#..sw... + d8d0: 28ed0223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin + d8e0: 6b616765 5f636865 636b0008 0000308d kage_check....0. + d8f0: 08766572 73696f6e 00000001 15022300 .version......#. + d900: 08746162 6c650000 00011502 23040003 .table......#... + d910: 00003054 04000600 00011501 03000030 ..0T...........0 + d920: 94040003 0000040d 0400175f 415f636d ..........._A_cm + d930: 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ + d940: 7461626c 650001b8 000031e4 0868616c table.....1..hal + d950: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + d960: 00309a02 23000873 74617274 5f627373 .0..#..start_bss + d970: 00000030 a1022304 08617070 5f737461 ...0..#..app_sta + d980: 72740000 00010e02 2308086d 656d0000 rt......#..mem.. + d990: 00044d02 230c086d 69736300 0000056c ..M.#..misc....l + d9a0: 02232008 7072696e 74660000 00014202 .# .printf....B. + d9b0: 23440875 61727400 0000020b 02234c08 #D.uart......#L. + d9c0: 676d6163 0000002f 1602236c 08757362 gmac.../..#l.usb + d9d0: 0000000f 9b022370 08636c6f 636b0000 ......#p.clock.. + d9e0: 000ae403 23e00108 74696d65 72000000 ....#...timer... + d9f0: 07840323 84020869 6e747200 00000c34 ...#...intr....4 + da00: 03239802 08616c6c 6f637261 6d000000 .#...allocram... + da10: 093c0323 c4020872 6f6d7000 0000082e .<.#...romp..... + da20: 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. + da30: 000e1103 23e00208 65657000 00000f3f ....#...eep....? + da40: 0323fc02 08737472 696e6700 00000690 .#...string..... + da50: 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... + da60: 390323a4 0300075f 5553425f 4649464f 9.#...._USB_FIFO + da70: 5f434f4e 46494700 10000032 57086765 _CONFIG....2W.ge + da80: 745f636f 6d6d616e 645f6275 66000000 t_command_buf... + da90: 14470223 00087265 63765f63 6f6d6d61 .G.#..recv_comma + daa0: 6e640000 00145d02 23040867 65745f65 nd....].#..get_e + dab0: 76656e74 5f627566 00000014 47022308 vent_buf....G.#. + dac0: 0873656e 645f6576 656e745f 646f6e65 .send_event_done + dad0: 00000014 5d02230c 00095553 425f4649 ....].#...USB_FI + dae0: 464f5f43 4f4e4649 47000000 31e40300 FO_CONFIG...1... + daf0: 00325704 00020103 00003273 04000775 .2W.......2s...u + db00: 73626669 666f5f61 7069000c 000032c9 sbfifo_api....2. + db10: 085f696e 69740000 00327502 2300085f ._init...2u.#.._ + db20: 656e6162 6c655f65 76656e74 5f697372 enable_event_isr + db30: 00000001 0e022304 08705265 73657276 ......#..pReserv + db40: 65640000 00040a02 2308000f 00001681 ed......#....... + db50: 02000032 d6100100 075f4854 435f4652 ...2....._HTC_FR + db60: 414d455f 48445200 08000033 4808456e AME_HDR....3H.En + db70: 64706f69 6e744944 00000016 81022300 dpointID......#. + db80: 08466c61 67730000 00168102 23010850 .Flags......#..P + db90: 61796c6f 61644c65 6e000000 1ca90223 ayloadLen......# + dba0: 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. + dbb0: 0032c902 23040848 6f737453 65714e75 .2..#..HostSeqNu + dbc0: 6d000000 1ca90223 06001202 00003361 m......#......3a + dbd0: 084d6573 73616765 49440000 001ca902 .MessageID...... + dbe0: 23000012 08000033 c4084d65 73736167 #......3..Messag + dbf0: 65494400 00001ca9 02230008 43726564 eID......#..Cred + dc00: 6974436f 756e7400 00001ca9 02230208 itCount......#.. + dc10: 43726564 69745369 7a650000 001ca902 CreditSize...... + dc20: 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. + dc30: 00001681 02230608 5f506164 31000000 .....#.._Pad1... + dc40: 16810223 0700120a 0000345b 084d6573 ...#......4[.Mes + dc50: 73616765 49440000 001ca902 23000853 sageID......#..S + dc60: 65727669 63654944 0000001c a9022302 erviceID......#. + dc70: 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags + dc80: 0000001c a9022304 08446f77 6e4c696e ......#..DownLin + dc90: 6b506970 65494400 00001681 02230608 kPipeID......#.. + dca0: 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... + dcb0: 81022307 08536572 76696365 4d657461 ..#..ServiceMeta + dcc0: 4c656e67 74680000 00168102 2308085f Length......#.._ + dcd0: 50616431 00000016 81022309 00120a00 Pad1......#..... + dce0: 0034e308 4d657373 61676549 44000000 .4..MessageID... + dcf0: 1ca90223 00085365 72766963 65494400 ...#..ServiceID. + dd00: 00001ca9 02230208 53746174 75730000 .....#..Status.. + dd10: 00168102 23040845 6e64706f 696e7449 ....#..EndpointI + dd20: 44000000 16810223 05084d61 784d7367 D......#..MaxMsg + dd30: 53697a65 0000001c a9022306 08536572 Size......#..Ser + dd40: 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + dd50: 00168102 2308085f 50616431 00000016 ....#.._Pad1.... + dd60: 81022309 00120200 0034fc08 4d657373 ..#......4..Mess + dd70: 61676549 44000000 1ca90223 00001204 ageID......#.... + dd80: 00003538 084d6573 73616765 49440000 ..58.MessageID.. + dd90: 001ca902 23000850 69706549 44000000 ....#..PipeID... + dda0: 16810223 02084372 65646974 436f756e ...#..CreditCoun + ddb0: 74000000 16810223 03001204 0000356f t......#......5o + ddc0: 084d6573 73616765 49440000 001ca902 .MessageID...... + ddd0: 23000850 69706549 44000000 16810223 #..PipeID......# + dde0: 02085374 61747573 00000016 81022303 ..Status......#. + ddf0: 00120200 00359608 5265636f 72644944 .....5..RecordID + de00: 00000016 81022300 084c656e 67746800 ......#..Length. + de10: 00001681 02230100 12020000 35c00845 .....#......5..E + de20: 6e64706f 696e7449 44000000 16810223 ndpointID......# + de30: 00084372 65646974 73000000 16810223 ..Credits......# + de40: 01001204 00003601 08456e64 706f696e ......6..Endpoin + de50: 74494400 00001681 02230008 43726564 tID......#..Cred + de60: 69747300 00001681 02230108 54677443 its......#..TgtC + de70: 72656469 74536571 4e6f0000 001ca902 reditSeqNo...... + de80: 2302000f 00001681 04000036 0e100300 #..........6.... + de90: 12060000 364a0850 72655661 6c696400 ....6J.PreValid. + dea0: 00001681 02230008 4c6f6f6b 41686561 .....#..LookAhea + deb0: 64000000 36010223 0108506f 73745661 d...6..#..PostVa + dec0: 6c696400 00001681 02230500 09706f6f lid......#...poo + ded0: 6c5f6861 6e646c65 5f740000 00040a06 l_handle_t...... + dee0: 0000364a 01030000 365d0400 02010300 ..6J....6]...... + def0: 00366a04 00140400 0036e80e 504f4f4c .6j......6..POOL + df00: 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. + df10: 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + df20: 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO + df30: 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV + df40: 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL + df50: 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL + df60: 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P + df70: 4f4f4c5f 49440000 00367302 01030000 OOL_ID...6s..... + df80: 36f90400 06000026 46010300 00370204 6......&F....7.. + df90: 00060000 26460103 0000370f 04000201 ....&F....7..... + dfa0: 03000037 1c040007 6275665f 706f6f6c ...7....buf_pool + dfb0: 5f617069 001c0000 37be085f 696e6974 _api....7.._init + dfc0: 00000036 63022300 085f7368 7574646f ...6c.#.._shutdo + dfd0: 776e0000 00366c02 2304085f 63726561 wn...6l.#.._crea + dfe0: 74655f70 6f6f6c00 000036fb 02230808 te_pool...6..#.. + dff0: 5f616c6c 6f635f62 75660000 00370802 _alloc_buf...7.. + e000: 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al + e010: 69676e00 00003715 02231008 5f667265 ign...7..#.._fre + e020: 655f6275 66000000 371e0223 14087052 e_buf...7..#..pR + e030: 65736572 76656400 0000040a 02231800 eserved......#.. + e040: 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... + e050: 00389d08 704e6578 74000000 389d0223 .8..pNext...8..# + e060: 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg + e070: 00000039 52022304 0850726f 63657373 ...9R.#..Process + e080: 53656e64 42756666 6572436f 6d706c65 SendBufferComple + e090: 74650000 00395b02 23080850 726f6365 te...9[.#..Proce + e0a0: 7373436f 6e6e6563 74000000 396f0223 ssConnect...9o.# + e0b0: 0c085365 72766963 65494400 000012ef ..ServiceID..... + e0c0: 02231008 53657276 69636546 6c616773 .#..ServiceFlags + e0d0: 00000012 ef022312 084d6178 5376634d ......#..MaxSvcM + e0e0: 73675369 7a650000 0012ef02 23140854 sgSize......#..T + e0f0: 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi + e100: 6d697400 000012ef 02231608 53657276 mit......#..Serv + e110: 69636543 74780000 00040a02 23180003 iceCtx......#... + e120: 000037be 04001404 0000393b 19454e44 ..7.......9;.END + e130: 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... + e140: ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN + e150: 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI + e160: 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. + e170: 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN + e180: 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI + e190: 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. + e1a0: 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN + e1b0: 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT + e1c0: 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... + e1d0: 38a40201 03000039 50040002 01030000 8......9P....... + e1e0: 39590400 03000001 15040006 000012db 9Y.............. + e1f0: 01030000 39690400 03000037 be040007 ....9i.....7.... + e200: 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 + e210: ee084372 65646974 53697a65 00000001 ..CreditSize.... + e220: 15022300 08437265 6469744e 756d6265 ..#..CreditNumbe + e230: 72000000 01150223 04084f53 48616e64 r......#..OSHand + e240: 6c650000 001a4502 23080848 49464861 le....E.#..HIFHa + e250: 6e646c65 00000028 ae02230c 08506f6f ndle...(..#..Poo + e260: 6c48616e 646c6500 0000364a 02231000 lHandle...6J.#.. + e270: 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX + e280: 54000200 003a2a08 656e645f 706f696e T....:*.end_poin + e290: 74000000 12db0223 00086874 635f666c t......#..htc_fl + e2a0: 61677300 000012db 02230100 09687463 ags......#...htc + e2b0: 5f68616e 646c655f 74000000 040a0948 _handle_t......H + e2c0: 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET + e2d0: 455f4342 00000001 0e094854 435f434f E_CB......HTC_CO + e2e0: 4e464947 00000039 7d030000 3a570400 NFIG...9}...:W.. + e2f0: 0600003a 2a010300 003a6e04 00020103 ...:*....:n..... + e300: 00003a7b 04000948 54435f53 45525649 ..:{...HTC_SERVI + e310: 43450000 0037be03 00003a84 04000201 CE...7....:..... + e320: 0300003a 9c040002 01030000 3aa50400 ...:........:... + e330: 02010300 003aae04 00060000 01150103 .....:.......... + e340: 00003ab7 04000768 74635f61 70697300 ..:....htc_apis. + e350: 3400003c 34085f48 54435f49 6e697400 4..<4._HTC_Init. + e360: 00003a74 02230008 5f485443 5f536875 ..:t.#.._HTC_Shu + e370: 74646f77 6e000000 3a7d0223 04085f48 tdown...:}.#.._H + e380: 54435f52 65676973 74657253 65727669 TC_RegisterServi + e390: 63650000 003a9e02 2308085f 4854435f ce...:..#.._HTC_ + e3a0: 52656164 79000000 3a7d0223 0c085f48 Ready...:}.#.._H + e3b0: 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers + e3c0: 0000003a a7022310 085f4854 435f5265 ...:..#.._HTC_Re + e3d0: 7475726e 42756666 6572734c 69737400 turnBuffersList. + e3e0: 00003ab0 02231408 5f485443 5f53656e ..:..#.._HTC_Sen + e3f0: 644d7367 0000003a a7022318 085f4854 dMsg...:..#.._HT + e400: 435f4765 74526573 65727665 64486561 C_GetReservedHea + e410: 64726f6f 6d000000 3abd0223 1c085f48 droom...:..#.._H + e420: 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle + e430: 72000000 285b0223 20085f48 54435f53 r...([.# ._HTC_S + e440: 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. + e450: 00285202 2324085f 4854435f 436f6e74 .(R.#$._HTC_Cont + e460: 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg + e470: 00000039 52022328 085f4854 435f436f ...9R.#(._HTC_Co + e480: 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS + e490: 656e6443 6f6d706c 65746500 0000395b endComplete...9[ + e4a0: 02232c08 70526573 65727665 64000000 .#,.pReserved... + e4b0: 040a0223 30000768 6f73745f 6170705f ...#0..host_app_ + e4c0: 61726561 5f730004 00003c64 08776d69 area_s......tu + e660: 706c654e 756d4c00 00001ca9 02230008 pleNumL......#.. + e670: 7475706c 654e756d 48000000 1ca90223 tupleNumH......# + e680: 02086176 74000000 3dc80223 04001201 ..avt...=..#.... + e690: 00003e2e 08626561 636f6e50 656e6469 ..>..beaconPendi + e6a0: 6e67436f 756e7400 00001681 02230000 ngCount......#.. + e6b0: 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG + e6c0: 00100000 3e970848 74634861 6e646c65 ....>..HtcHandle + e6d0: 0000003a 2a022300 08506f6f 6c48616e ...:*.#..PoolHan + e6e0: 646c6500 0000364a 02230408 4d617843 dle...6J.#..MaxC + e6f0: 6d645265 706c7945 76747300 00000115 mdReplyEvts..... + e700: 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts + e710: 00000001 1502230c 00020103 00003e97 ......#.......>. + e720: 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL + e730: 45520000 003e9907 5f574d49 5f444953 ER...>.._WMI_DIS + e740: 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? + e750: 00087043 6d644861 6e646c65 72000000 ..pCmdHandler... + e760: 3ea00223 0008436d 64494400 000012ef >..#..CmdID..... + e770: 02230408 466c6167 73000000 12ef0223 .#..Flags......# + e780: 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH + e790: 5f544142 4c450010 00003f61 08704e65 _TABLE....?a.pNe + e7a0: 78740000 003f6102 23000870 436f6e74 xt...?a.#..pCont + e7b0: 65787400 0000040a 02230408 4e756d62 ext......#..Numb + e7c0: 65724f66 456e7472 69657300 00000115 erOfEntries..... + e7d0: 02230808 70546162 6c650000 003f8002 .#..pTable...?.. + e7e0: 230c0003 00003f00 04000957 4d495f44 #.....?....WMI_D + e7f0: 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... + e800: 3eb50300 003f6804 00030000 3f000400 >....?h.....?... + e810: 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT + e820: 00000039 ee0d574d 495f4556 545f434c ...9..WMI_EVT_CL + e830: 41535300 04000040 1819574d 495f4556 ASS....@..WMI_EV + e840: 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... + e850: ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + e860: 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ + e870: 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE + e880: 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL + e890: 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E + e8a0: 56545f43 4c415353 0000003f a3075f57 VT_CLASS...?.._W + e8b0: 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. + e8c0: 00004076 08487463 42756643 74780000 ..@v.HtcBufCtx.. + e8d0: 003f8e02 23000845 76656e74 436c6173 .?..#..EventClas + e8e0: 73000000 40180223 0408466c 61677300 s...@..#..Flags. + e8f0: 000012ef 02230800 09776d69 5f68616e .....#...wmi_han + e900: 646c655f 74000000 040a0957 4d495f53 dle_t......WMI_S + e910: 56435f43 4f4e4649 47000000 3e2e0300 VC_CONFIG...>... + e920: 00408804 00060000 40760103 000040a3 .@......@v....@. + e930: 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + e940: 5441424c 45000000 3f000300 0040b004 TABLE...?....@.. + e950: 00020103 000040cf 04000600 00264601 ......@......&F. + e960: 03000040 d8040002 01030000 40e50400 ...@........@... + e970: 06000001 15010300 0040ee04 00020103 .........@...... + e980: 000040fb 04000600 0012db01 03000041 ..@............A + e990: 04040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api + e9a0: 73002c00 00424c08 5f574d49 5f496e69 s.,..BL._WMI_Ini + e9b0: 74000000 40a90223 00085f57 4d495f52 t...@..#.._WMI_R + e9c0: 65676973 74657244 69737061 74636854 egisterDispatchT + e9d0: 61626c65 00000040 d1022304 085f574d able...@..#.._WM + e9e0: 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ + e9f0: de022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv + ea00: 656e7400 000040e7 02230c08 5f574d49 ent...@..#.._WMI + ea10: 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent + ea20: 73436f75 6e740000 0040f402 2310085f sCount...@..#.._ + ea30: 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete + ea40: 48616e64 6c657200 0000395b 02231408 Handler...9[.#.. + ea50: 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE + ea60: 70000000 40f40223 18085f57 4d495f53 p...@..#.._WMI_S + ea70: 68757464 6f776e00 000040fd 02231c08 hutdown...@..#.. + ea80: 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage + ea90: 48616e64 6c657200 00003952 02232008 Handler...9R.# . + eaa0: 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn + eab0: 65637400 0000410a 02232408 70526573 ect...A..#$.pRes + eac0: 65727665 64000000 040a0223 2800077a erved......#(..z + ead0: 73446d61 44657363 00140000 42ce0863 sDmaDesc....B..c + eae0: 74726c00 00000179 02230008 73746174 trl....y.#..stat + eaf0: 75730000 00017902 23020874 6f74616c us....y.#..total + eb00: 4c656e00 00000179 02230408 64617461 Len....y.#..data + eb10: 53697a65 00000001 79022306 086c6173 Size....y.#..las + eb20: 74416464 72000000 42ce0223 08086461 tAddr...B..#..da + eb30: 74614164 64720000 00019d02 230c086e taAddr......#..n + eb40: 65787441 64647200 000042ce 02231000 extAddr...B..#.. + eb50: 03000042 4c040003 0000424c 0400077a ...BL.....BL...z + eb60: 73446d61 51756575 65000800 00430e08 sDmaQueue....C.. + eb70: 68656164 00000042 d5022300 08746572 head...B..#..ter + eb80: 6d696e61 746f7200 000042d5 02230400 minator...B..#.. + eb90: 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + eba0: 00437208 68656164 00000042 d5022300 .Cr.head...B..#. + ebb0: 08746572 6d696e61 746f7200 000042d5 .terminator...B. + ebc0: 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h + ebd0: 65616400 0000143a 02230808 786d6974 ead....:.#..xmit + ebe0: 65645f62 75665f74 61696c00 0000143a ed_buf_tail....: + ebf0: 02230c00 02010300 00437204 00030000 .#.......Cr..... + ec00: 42dc0400 02010300 00438204 00030000 B........C...... + ec10: 430e0400 02010300 00439204 00020103 C........C...... + ec20: 0000439b 04000201 03000043 a4040006 ..C........C.... + ec30: 0000143a 01030000 43ad0400 02010300 ...:....C....... + ec40: 0043ba04 00060000 143a0103 000043c3 .C.......:....C. + ec50: 04000201 03000043 d0040006 00000115 .......C........ + ec60: 01030000 43d90400 06000042 d5010300 ....C......B.... + ec70: 0043e604 00020103 000043f3 04000764 .C........C....d + ec80: 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + ec90: 00456908 5f696e69 74000000 43740223 .Ei._init...Ct.# + eca0: 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue + ecb0: 00000043 84022304 085f696e 69745f74 ...C..#.._init_t + ecc0: 785f7175 65756500 00004394 02230808 x_queue...C..#.. + ecd0: 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + ece0: 00000043 9d02230c 085f786d 69745f62 ...C..#.._xmit_b + ecf0: 75660000 0043a602 2310085f 666c7573 uf...C..#.._flus + ed00: 685f786d 69740000 00438402 2314085f h_xmit...C..#.._ + ed10: 72656170 5f726563 765f6275 66000000 reap_recv_buf... + ed20: 43b30223 18085f72 65747572 6e5f7265 C..#.._return_re + ed30: 63765f62 75660000 0043bc02 231c085f cv_buf...C..#.._ + ed40: 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + ed50: 000043c9 02232008 5f737761 705f6461 ..C..# ._swap_da + ed60: 74610000 0043d202 2324085f 6861735f ta...C..#$._has_ + ed70: 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + ed80: 43df0223 28085f64 6573635f 64756d70 C..#(._desc_dump + ed90: 00000043 8402232c 085f6765 745f7061 ...C..#,._get_pa + eda0: 636b6574 00000043 ec022330 085f7265 cket...C..#0._re + edb0: 636c6169 6d5f7061 636b6574 00000043 claim_packet...C + edc0: f5022334 085f7075 745f7061 636b6574 ..#4._put_packet + edd0: 00000043 f5022338 08705265 73657276 ...C..#8.pReserv + ede0: 65640000 00040a02 233c0009 5f415f63 ed......#<.._A_c + edf0: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + ee00: 5f746162 6c655f74 00000030 a809574d _table_t...0..WM + ee10: 495f5356 435f4150 49530000 00411117 I_SVC_APIS...A.. + ee20: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + ee30: 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. + ee40: 46970863 6d6e6f73 00000045 69022300 F..cmnos...Ei.#. + ee50: 08646267 00000003 d70323b8 03086869 .dbg......#...hi + ee60: 66000000 29510323 c0030868 74630000 f...)Q.#...htc.. + ee70: 003ac403 23f80308 776d695f 7376635f .:..#...wmi_svc_ + ee80: 61706900 0000458b 0323ac04 08757362 api...E..#...usb + ee90: 6669666f 5f617069 00000032 7c0323d8 fifo_api...2|.#. + eea0: 04086275 665f706f 6f6c0000 00372503 ..buf_pool...7%. + eeb0: 23e40408 76627566 00000014 64032380 #...vbuf....d.#. + eec0: 05087664 65736300 00001346 03239405 ..vdesc....F.#.. + eed0: 08616c6c 6f637261 6d000000 093c0323 .allocram....<.# + eee0: a8050864 6d615f65 6e67696e 65000000 ...dma_engine... + eef0: 43fc0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. + ef00: 002be503 23f40508 6869665f 70636900 .+..#...hif_pci. + ef10: 00002e45 0323a806 00095f41 5f6d6167 ...E.#...._A_mag + ef20: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + ef30: 7461626c 655f7400 0000459d 1a637469 table_t...E..cti + ef40: 636b7300 00000921 05030050 095c011a cks....!...P.\.. + ef50: 63757272 5f62616e 64000000 01150503 curr_band....... + ef60: 00500960 01140400 00474e19 5359535f .P.`.....GN.SYS_ + ef70: 4346475f 52454643 4c4b5f55 4e4b4e4f CFG_REFCLK_UNKNO + ef80: 574e00ff ffffff0e 5359535f 4346475f WN......SYS_CFG_ + ef90: 52454643 4c4b5f31 305f4d48 5a00000e REFCLK_10_MHZ... + efa0: 5359535f 4346475f 52454643 4c4b5f32 SYS_CFG_REFCLK_2 + efb0: 305f4d48 5a00010e 5359535f 4346475f 0_MHZ...SYS_CFG_ + efc0: 52454643 4c4b5f34 305f4d48 5a000200 REFCLK_40_MHZ... + efd0: 09415f72 6566636c 6b5f7370 6565645f .A_refclk_speed_ + efe0: 74000000 46e30763 6d6e6f73 5f636c6f t...F..cmnos_clo + eff0: 636b5f73 00140000 47eb0872 6566636c ck_s....G..refcl + f000: 6b5f7370 65656400 0000474e 02230008 k_speed...GN.#.. + f010: 7469636b 735f7065 725f7365 63000000 ticks_per_sec... + f020: 09210223 0408706c 6c5f6374 726c5f35 .!.#..pll_ctrl_5 + f030: 67687a00 00000921 02230808 706c6c5f ghz....!.#..pll_ + f040: 6374726c 5f323467 687a0000 00092102 ctrl_24ghz....!. + f050: 230c0870 6c6c5f73 6574746c 696e675f #..pll_settling_ + f060: 74696d65 00000009 21022310 00050000 time....!.#..... + f070: 47640500 0047eb1b 000047f0 01000048 Gd...G....G....H + f080: 011c0005 000047f5 05000047 eb1b0000 ......G....G.... + f090: 48060100 0048171c 001a636d 6e6f735f H....H....cmnos_ + f0a0: 636c6f63 6b696e67 5f746162 6c650000 clocking_table.. + f0b0: 00480105 03004e87 40010300 00476404 .H....N.@....Gd. + f0c0: 001a636c 6f636b5f 696e666f 00000048 ..clock_info...H + f0d0: 38050300 50096401 1a636d6e 6f735f73 8...P.d..cmnos_s + f0e0: 6b69705f 706c6c5f 696e6974 00000001 kip_pll_init.... + f0f0: 15050300 50096801 1a706c6c 5f637472 ....P.h..pll_ctr + f100: 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. + f110: 00000921 05030050 096c011a 706c6c5f ...!...P.l..pll_ + f120: 6374726c 5f736574 74696e67 5f356768 ctrl_setting_5gh + f130: 7a000000 09210503 00500970 01050000 z....!...P.p.... + f140: 47eb0300 0048bb04 00030000 0ae40400 G....H.......... + f150: 02011d01 6d636d6e 6f735f64 656c6179 ....mcmnos_delay + f160: 5f757300 01010392 01200290 00008e1c _us...... ...... + f170: 44008e1c 64000049 301e016d 75730000 D...d..I0..mus.. + f180: 00011501 521f7265 665f636c 6b000000 ....R.ref_clk... + f190: 09211f73 74617274 5f74696d 65000000 .!.start_time... + f1a0: 09211f6e 756d5f74 69636b73 00000004 .!.num_ticks.... + f1b0: 0d002001 81636d6e 6f735f6d 696c6c69 .. ..cmnos_milli + f1c0: 7365636f 6e647300 00000921 01010392 seconds....!.... + f1d0: 01200290 00008e1c 64008e1c 7120019a . ......d...q .. + f1e0: 636d6e6f 735f7265 66636c6b 5f737065 cmnos_refclk_spe + f1f0: 65645f67 65740000 00092101 01039201 ed_get....!..... + f200: 20029000 008e1c74 008e1c80 2001a163 ......t.... ..c + f210: 6d6e6f73 5f756172 745f6672 65717565 mnos_uart_freque + f220: 6e637900 00000921 01010392 01200290 ncy....!..... .. + f230: 00008e1c 80008e1c 852101b5 636d6e6f .........!..cmno + f240: 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. + f250: 01010392 01200290 00008e1c 88008e1c ..... .......... + f260: 8d2101bc 636d6e6f 735f636c 6f636b72 .!..cmnos_clockr + f270: 6567735f 696e6974 00010103 92012002 egs_init...... . + f280: 9000008e 1c90008e 1c951d01 c9636d6e .............cmn + f290: 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set + f2a0: 00010103 92012002 9000008e 1c98008e ...... ......... + f2b0: 1c9d0000 4a491e01 c9776869 63685f62 ....JI...which_b + f2c0: 616e6400 00000115 01520021 01d0636d and......R.!..cm + f2d0: 6e6f735f 706c6c5f 696e6974 00010103 nos_pll_init.... + f2e0: 92012002 9000008e 1ca0008e 1ca51d01 .. ............. + f2f0: d7636d6e 6f735f63 6c6f636b 5f696e69 .cmnos_clock_ini + f300: 74000101 03920120 02900000 8e1ca800 t...... ........ + f310: 8e1cdb00 004ac11e 01d77265 665f636c .....J....ref_cl + f320: 6b000000 09210152 1f690000 00040d1f k....!.R.i...... + f330: 7469636b 735f7065 725f7365 63000000 ticks_per_sec... + f340: 0921001d 01f4636d 6e6f735f 7469636b .!....cmnos_tick + f350: 00010103 92012002 9000008e 1cdc008e ...... ......... + f360: 1d020000 4b1c226c 6173745f 7469636b ....K."last_tick + f370: 00000009 21050300 5009741f 63757272 ....!...P.t.curr + f380: 656e745f 7469636b 00000009 211f6465 ent_tick....!.de + f390: 6c74615f 7469636b 00000009 21002301 lta_tick....!.#. + f3a0: 0115636d 6e6f735f 636c6f63 6b5f6d6f ..cmnos_clock_mo + f3b0: 64756c65 5f696e73 74616c6c 00010103 dule_install.... + f3c0: 92012002 9000008e 1d04008e 1d362401 .. ..........6$. + f3d0: 01157462 6c000000 48c70152 00000000 ..tbl...H..R.... + f3e0: 004a0400 02000007 5404012f 726f6f74 .J......T../root + f3f0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + f400: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + f410: 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + f420: 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + f430: 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + f440: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + f450: 6570726f 6d2f7372 632f636d 6e6f735f eprom/src/cmnos_ + f460: 65657072 6f6d2e63 002f726f 6f742f57 eeprom.c./root/W + f470: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + f480: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + f490: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + f4a0: 732f6565 70726f6d 0078742d 78636320 s/eeprom.xt-xcc + f4b0: 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + f4c0: 6c69676e 5f696e73 74727563 74696f6e lign_instruction + f4d0: 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + f4e0: 543a7370 61636500 01000000 416f0201 T:space.....Ao.. + f4f0: 03000001 0f040004 696e7400 05040463 ........int....c + f500: 68617200 07010500 00011f05 0000011f har............. + f510: 03000001 2c040006 00000118 01030000 ....,........... + f520: 01380400 07707269 6e74665f 61706900 .8...printf_api. + f530: 08000001 7c085f70 72696e74 665f696e ....|._printf_in + f540: 69740000 00011102 2300085f 7072696e it......#.._prin + f550: 74660000 00013e02 23040004 73686f72 tf....>.#...shor + f560: 7420756e 7369676e 65642069 6e740007 t unsigned int.. + f570: 02097569 6e743136 5f740000 00017c04 ..uint16_t....|. + f580: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + f590: 74000704 0975696e 7433325f 74000000 t....uint32_t... + f5a0: 01a00775 6172745f 6669666f 00080000 ...uart_fifo.... + f5b0: 020e0873 74617274 5f696e64 65780000 ...start_index.. + f5c0: 00019202 23000865 6e645f69 6e646578 ....#..end_index + f5d0: 00000001 92022302 086f7665 7272756e ......#..overrun + f5e0: 5f657272 00000001 b5022304 00077561 _err......#...ua + f5f0: 72745f61 70690020 000002c7 085f7561 rt_api. ....._ua + f600: 72745f69 6e697400 0000031e 02230008 rt_init......#.. + f610: 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + f620: 00034502 2304085f 75617274 5f636861 ..E.#.._uart_cha + f630: 725f6765 74000000 03590223 08085f75 r_get....Y.#.._u + f640: 6172745f 7374725f 6f757400 00000362 art_str_out....b + f650: 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. + f660: 00011102 2310085f 75617274 5f737461 ....#.._uart_sta + f670: 74757300 0000031e 02231408 5f756172 tus......#.._uar + f680: 745f636f 6e666967 00000003 6b022318 t_config....k.#. + f690: 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... + f6a0: 03740223 1c000300 00020e04 00077561 .t.#..........ua + f6b0: 72745f62 6c6b0010 00000318 08646562 rt_blk.......deb + f6c0: 75675f6d 6f646500 00000192 02230008 ug_mode......#.. + f6d0: 62617564 00000001 92022302 085f7561 baud......#.._ua + f6e0: 72740000 0002c702 2304085f 74780000 rt......#.._tx.. + f6f0: 0001c302 23080006 000001b5 01030000 ....#........... + f700: 03180400 04756e73 69676e65 64206368 .....unsigned ch + f710: 61720007 01097569 6e74385f 74000000 ar....uint8_t... + f720: 03250201 03000003 43040003 00000336 .%......C......6 + f730: 04000600 00019201 03000003 53040002 ............S... + f740: 01030000 03600400 02010300 00036904 .....`........i. + f750: 00020103 00000372 04000300 00011f04 .......r........ + f760: 00060000 01180103 00000382 04000744 ...............D + f770: 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT + f780: 000c0000 03da0863 6d645f73 74720000 .......cmd_str.. + f790: 00037b02 23000868 656c705f 73747200 ..{.#..help_str. + f7a0: 0000037b 02230408 636d645f 66756e63 ...{.#..cmd_func + f7b0: 00000003 88022308 00076462 675f6170 ......#...dbg_ap + f7c0: 69000800 00040d08 5f646267 5f696e69 i......._dbg_ini + f7d0: 74000000 01110223 00085f64 62675f74 t......#.._dbg_t + f7e0: 61736b00 00000111 02230400 0a040004 ask......#...... + f7f0: 756e7369 676e6564 20696e74 00070406 unsigned int.... + f800: 0000040d 01030000 04200400 0b0b0300 ......... ...... + f810: 00042e04 00060000 040d0103 00000436 ...............6 + f820: 04000600 00011801 03000004 43040007 ............C... + f830: 6d656d5f 61706900 14000004 b2085f6d mem_api......._m + f840: 656d5f69 6e697400 00000111 02230008 em_init......#.. + f850: 5f6d656d 73657400 00000426 02230408 _memset....&.#.. + f860: 5f6d656d 63707900 0000043c 02230808 _memcpy....<.#.. + f870: 5f6d656d 6d6f7665 00000004 3c02230c _memmove....<.#. + f880: 085f6d65 6d636d70 00000004 49022310 ._memcmp....I.#. + f890: 000c7265 67697374 65725f64 756d705f ..register_dump_ + f8a0: 73000001 03000004 b2040002 01030000 s............... + f8b0: 04cc0400 02010300 0004d504 00060000 ................ + f8c0: 01180103 000004de 04000d68 6f737469 ...........hosti + f8d0: 665f7300 04000005 3a0e4849 465f5553 f_s.....:.HIF_US + f8e0: 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H + f8f0: 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC + f900: 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI + f910: 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST + f920: 49460000 0004eb06 0000053a 01030000 IF.........:.... + f930: 05480400 06000003 36010300 00055504 .H......6.....U. + f940: 00060000 01920103 00000562 0400076d ...........b...m + f950: 6973635f 61706900 24000006 52085f73 isc_api.$...R._s + f960: 79737465 6d5f7265 73657400 00000111 ystem_reset..... + f970: 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. + f980: 00011102 2304085f 61737366 61696c00 ....#.._assfail. + f990: 000004ce 02230808 5f6d6973 616c6967 .....#.._misalig + f9a0: 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler + f9b0: 00000004 ce02230c 085f7265 706f7274 ......#.._report + f9c0: 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host + f9d0: 00000004 d7022310 085f7461 72676574 ......#.._target + f9e0: 5f69645f 67657400 000004e4 02231408 _id_get......#.. + f9f0: 5f69735f 686f7374 5f707265 73656e74 _is_host_present + fa00: 00000005 4e022318 085f6b62 68697400 ....N.#.._kbhit. + fa10: 0000055b 02231c08 5f726f6d 5f766572 ...[.#.._rom_ver + fa20: 73696f6e 5f676574 00000005 68022320 sion_get....h.# + fa30: 00060000 037b0103 00000652 04000600 .....{.....R.... + fa40: 00037b01 03000006 5f040006 00000118 ..{....._....... + fa50: 01030000 066c0400 06000001 18010300 .....l.......... + fa60: 00067904 00060000 01180103 00000686 ..y............. + fa70: 04000773 7472696e 675f6170 69001800 ...string_api... + fa80: 00070c08 5f737472 696e675f 696e6974 ...._string_init + fa90: 00000001 11022300 085f7374 72637079 ......#.._strcpy + faa0: 00000006 58022304 085f7374 726e6370 ....X.#.._strncp + fab0: 79000000 06650223 08085f73 74726c65 y....e.#.._strle + fac0: 6e000000 06720223 0c085f73 7472636d n....r.#.._strcm + fad0: 70000000 067f0223 10085f73 74726e63 p......#.._strnc + fae0: 6d700000 00068c02 2314000f 00000410 mp......#....... + faf0: 14000007 19100400 095f415f 54494d45 ........._A_TIME + fb00: 525f5350 41434500 0000070c 09415f74 R_SPACE......A_t + fb10: 696d6572 5f740000 00071903 0000072d imer_t.........- + fb20: 04000201 03000007 43040002 01030000 ........C....... + fb30: 074c0400 09415f48 414e444c 45000000 .L...A_HANDLE... + fb40: 04100201 09415f54 494d4552 5f46554e .....A_TIMER_FUN + fb50: 43000000 07630300 00076504 00020103 C....c....e..... + fb60: 0000077e 04000774 696d6572 5f617069 ...~...timer_api + fb70: 00140000 07fd085f 74696d65 725f696e ......._timer_in + fb80: 69740000 00011102 2300085f 74696d65 it......#.._time + fb90: 725f6172 6d000000 07450223 04085f74 r_arm....E.#.._t + fba0: 696d6572 5f646973 61726d00 0000074e imer_disarm....N + fbb0: 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn + fbc0: 00000007 8002230c 085f7469 6d65725f ......#.._timer_ + fbd0: 72756e00 00000111 02231000 09424f4f run......#...BOO + fbe0: 4c45414e 00000001 92060000 07fd0103 LEAN............ + fbf0: 0000080a 04000600 0007fd01 03000008 ................ + fc00: 17040006 000007fd 01030000 08240400 .............$.. + fc10: 07726f6d 705f6170 69001000 00089608 .romp_api....... + fc20: 5f726f6d 705f696e 69740000 00011102 _romp_init...... + fc30: 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa + fc40: 64000000 08100223 04085f72 6f6d705f d......#.._romp_ + fc50: 696e7374 616c6c00 0000081d 02230808 install......#.. + fc60: 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... + fc70: 2a02230c 0007726f 6d5f7061 7463685f *.#...rom_patch_ + fc80: 73740010 000008f2 08637263 31360000 st.......crc16.. + fc90: 00019202 2300086c 656e0000 00019202 ....#..len...... + fca0: 2302086c 645f6164 64720000 0001b502 #..ld_addr...... + fcb0: 23040866 756e5f61 64647200 000001b5 #..fun_addr..... + fcc0: 02230808 7066756e 00000003 4c02230c .#..pfun....L.#. + fcd0: 00076565 705f7265 6469725f 61646472 ..eep_redir_addr + fce0: 00040000 0924086f 66667365 74000000 .....$.offset... + fcf0: 01920223 00087369 7a650000 00019202 ...#..size...... + fd00: 23020009 415f5549 4e543332 00000004 #...A_UINT32.... + fd10: 10060000 040d0103 00000932 04000761 ...........2...a + fd20: 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... + fd30: a308636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + fd40: 5f696e69 74000000 09380223 0008636d _init....8.#..cm + fd50: 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... + fd60: 38022304 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc + fd70: 72616d5f 64656275 67000000 01110223 ram_debug......# + fd80: 08000201 03000009 a3040009 415f5441 ............A_TA + fd90: 534b4c45 545f4655 4e430000 0009a507 SKLET_FUNC...... + fda0: 5f746173 6b6c6574 00100000 0a040866 _tasklet.......f + fdb0: 756e6300 000009ac 02230008 61726700 unc......#..arg. + fdc0: 0000040d 02230408 73746174 65000000 .....#..state... + fdd0: 01180223 08086e65 78740000 000a0402 ...#..next...... + fde0: 230c0003 000009c0 04000300 0009c004 #............... + fdf0: 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... + fe00: 09c00300 000a1204 00020103 00000a2a ...............* + fe10: 04000201 0300000a 33040007 7461736b ........3...task + fe20: 6c65745f 61706900 1400000a c8085f74 let_api......._t + fe30: 61736b6c 65745f69 6e697400 00000111 asklet_init..... + fe40: 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini + fe50: 745f7461 736b0000 000a2c02 2304085f t_task....,.#.._ + fe60: 7461736b 6c65745f 64697361 626c6500 tasklet_disable. + fe70: 00000a35 02230808 5f746173 6b6c6574 ...5.#.._tasklet + fe80: 5f736368 6564756c 65000000 0a350223 _schedule....5.# + fe90: 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. + fea0: 00011102 23100002 01030000 0ac80400 ....#........... + feb0: 06000009 24010300 000ad104 00020103 ....$........... + fec0: 00000ade 04000763 6c6f636b 5f617069 .......clock_api + fed0: 00240000 0bc0085f 636c6f63 6b5f696e .$....._clock_in + fee0: 69740000 000aca02 2300085f 636c6f63 it......#.._cloc + fef0: 6b726567 735f696e 69740000 00011102 kregs_init...... + ff00: 2304085f 75617274 5f667265 7175656e #.._uart_frequen + ff10: 63790000 000ad702 2308085f 64656c61 cy......#.._dela + ff20: 795f7573 0000000a e002230c 085f776c y_us......#.._wl + ff30: 616e5f62 616e645f 73657400 00000ae0 an_band_set..... + ff40: 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee + ff50: 645f6765 74000000 0ad70223 14085f6d d_get......#.._m + ff60: 696c6c69 7365636f 6e647300 00000ad7 illiseconds..... + ff70: 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan + ff80: 67650000 00011102 231c085f 636c6f63 ge......#.._cloc + ff90: 6b5f7469 636b0000 00011102 23200006 k_tick......# .. + ffa0: 000001b5 01030000 0bc00400 09415f6f .............A_o + ffb0: 6c645f69 6e74725f 74000000 01b50600 ld_intr_t....... + ffc0: 000bcd01 0300000b df040002 01030000 ................ + ffd0: 0bec0400 02010300 000bf504 00060000 ................ + ffe0: 01b50103 00000bfe 04000941 5f697372 ...........A_isr + fff0: 5f740000 000c0402 01030000 0c180400 _t.............. + 10000: 06000004 10010300 000c2104 00020103 ..........!..... + 10010: 00000c2e 04000769 6e74725f 61706900 .......intr_api. + 10020: 2c00000d 50085f69 6e74725f 696e6974 ,...P._intr_init + 10030: 00000001 11022300 085f696e 74725f69 ......#.._intr_i + 10040: 6e766f6b 655f6973 72000000 0bc60223 nvoke_isr......# + 10050: 04085f69 6e74725f 64697361 626c6500 .._intr_disable. + 10060: 00000be5 02230808 5f696e74 725f7265 .....#.._intr_re + 10070: 73746f72 65000000 0bee0223 0c085f69 store......#.._i + 10080: 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... + 10090: 0bf70223 10085f69 6e74725f 756e6d61 ...#.._intr_unma + 100a0: 736b5f69 6e756d00 00000bf7 02231408 sk_inum......#.. + 100b0: 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr + 100c0: 0000000c 1a022318 085f6765 745f696e ......#.._get_in + 100d0: 7472656e 61626c65 0000000c 2702231c trenable....'.#. + 100e0: 085f7365 745f696e 7472656e 61626c65 ._set_intrenable + 100f0: 0000000c 30022320 085f6765 745f696e ....0.# ._get_in + 10100: 74727065 6e64696e 67000000 0c270223 trpending....'.# + 10110: 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i + 10120: 6e74726c 766c0000 00011102 23280011 ntrlvl......#(.. + 10130: 0400000d 76087469 6d656f75 74000000 ....v.timeout... + 10140: 01b50223 00086163 74696f6e 00000001 ...#..action.... + 10150: b5022300 00120800 000d9108 636d6400 ..#.........cmd. + 10160: 000001b5 02230013 00000d50 02230400 .....#.....P.#.. + 10170: 09545f57 44545f43 4d440000 000d7602 .T_WDT_CMD....v. + 10180: 01030000 0da00400 14040000 0df60e45 ...............E + 10190: 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E + 101a0: 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... + 101b0: 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. + 101c0: 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO + 101d0: 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP + 101e0: 45000000 0da90600 000df601 0300000e E............... + 101f0: 07040007 7764745f 61706900 1c00000e ....wdt_api..... + 10200: ab085f77 64745f69 6e697400 00000111 .._wdt_init..... + 10210: 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. + 10220: 00000111 02230408 5f776474 5f646973 .....#.._wdt_dis + 10230: 61626c65 00000001 11022308 085f7764 able......#.._wd + 10240: 745f7365 74000000 0da20223 0c085f77 t_set......#.._w + 10250: 64745f74 61736b00 00000111 02231008 dt_task......#.. + 10260: 5f776474 5f726573 65740000 00011102 _wdt_reset...... + 10270: 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo + 10280: 74000000 0e0d0223 18001404 00000f12 t......#........ + 10290: 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R + 102a0: 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE + 102b0: 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE + 102c0: 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... + 102d0: 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW + 102e0: 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. + 102f0: 0009545f 4545505f 52455400 00000eab ..T_EEP_RET..... + 10300: 03000001 92040006 00000f12 01030000 ................ + 10310: 0f280400 0600000f 12010300 000f3504 .(............5. + 10320: 00076565 705f6170 69001000 000f9e08 ..eep_api....... + 10330: 5f656570 5f696e69 74000000 01110223 _eep_init......# + 10340: 00085f65 65705f72 65616400 00000f2e .._eep_read..... + 10350: 02230408 5f656570 5f777269 74650000 .#.._eep_write.. + 10360: 000f2e02 2308085f 6565705f 69735f65 ....#.._eep_is_e + 10370: 78697374 0000000f 3b02230c 00077573 xist....;.#...us + 10380: 625f6170 69007000 00124b08 5f757362 b_api.p...K._usb + 10390: 5f696e69 74000000 01110223 00085f75 _init......#.._u + 103a0: 73625f72 6f6d5f74 61736b00 00000111 sb_rom_task..... + 103b0: 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task + 103c0: 00000001 11022308 085f7573 625f696e ......#.._usb_in + 103d0: 69745f70 68790000 00011102 230c085f it_phy......#.._ + 103e0: 7573625f 6570305f 73657475 70000000 usb_ep0_setup... + 103f0: 01110223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t + 10400: 78000000 01110223 14085f75 73625f65 x......#.._usb_e + 10410: 70305f72 78000000 01110223 18085f75 p0_rx......#.._u + 10420: 73625f67 65745f69 6e746572 66616365 sb_get_interface + 10430: 00000008 1d02231c 085f7573 625f7365 ......#.._usb_se + 10440: 745f696e 74657266 61636500 0000081d t_interface..... + 10450: 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con + 10460: 66696775 72617469 6f6e0000 00081d02 figuration...... + 10470: 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf + 10480: 69677572 6174696f 6e000000 081d0223 iguration......# + 10490: 28085f75 73625f73 74616e64 6172645f (._usb_standard_ + 104a0: 636d6400 0000081d 02232c08 5f757362 cmd......#,._usb + 104b0: 5f76656e 646f725f 636d6400 00000111 _vendor_cmd..... + 104c0: 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o + 104d0: 66660000 00011102 2334085f 7573625f ff......#4._usb_ + 104e0: 72657365 745f6669 666f0000 00011102 reset_fifo...... + 104f0: 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. + 10500: 00000111 02233c08 5f757362 5f6a756d .....#<._usb_jum + 10510: 705f626f 6f740000 00011102 2340085f p_boot......#@._ + 10520: 7573625f 636c725f 66656174 75726500 usb_clr_feature. + 10530: 0000081d 02234408 5f757362 5f736574 .....#D._usb_set + 10540: 5f666561 74757265 00000008 1d022348 _feature......#H + 10550: 085f7573 625f7365 745f6164 64726573 ._usb_set_addres + 10560: 73000000 081d0223 4c085f75 73625f67 s......#L._usb_g + 10570: 65745f64 65736372 6970746f 72000000 et_descriptor... + 10580: 081d0223 50085f75 73625f67 65745f73 ...#P._usb_get_s + 10590: 74617475 73000000 081d0223 54085f75 tatus......#T._u + 105a0: 73625f73 65747570 5f646573 63000000 sb_setup_desc... + 105b0: 01110223 58085f75 73625f72 65675f6f ...#X._usb_reg_o + 105c0: 75740000 00011102 235c085f 7573625f ut......#\._usb_ + 105d0: 73746174 75735f69 6e000000 01110223 status_in......# + 105e0: 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da + 105f0: 74610000 00011102 2364085f 7573625f ta......#d._usb_ + 10600: 6570305f 72785f64 61746100 00000111 ep0_rx_data..... + 10610: 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini + 10620: 74000000 01110223 6c00075f 56444553 t......#l.._VDES + 10630: 43002400 0012d708 6e657874 5f646573 C.$.....next_des + 10640: 63000000 12d70223 00086275 665f6164 c......#..buf_ad + 10650: 64720000 0012eb02 23040862 75665f73 dr......#..buf_s + 10660: 697a6500 000012f2 02230808 64617461 ize......#..data + 10670: 5f6f6666 73657400 000012f2 02230a08 _offset......#.. + 10680: 64617461 5f73697a 65000000 12f20223 data_size......# + 10690: 0c08636f 6e74726f 6c000000 12f20223 ..control......# + 106a0: 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... + 106b0: 13000223 10000300 00124b04 0009415f ...#......K...A_ + 106c0: 55494e54 38000000 03250300 0012de04 UINT8....%...... + 106d0: 0009415f 55494e54 31360000 00017c0f ..A_UINT16....|. + 106e0: 000012de 14000013 0d101300 03000012 ................ + 106f0: 4b040009 56444553 43000000 124b0300 K...VDESC....K.. + 10700: 00131404 00060000 131f0103 00001326 ...............& + 10710: 04000600 0012eb01 03000013 33040002 ............3... + 10720: 01030000 13400400 07766465 73635f61 .....@...vdesc_a + 10730: 70690014 000013b8 085f696e 69740000 pi......._init.. + 10740: 000ae002 2300085f 616c6c6f 635f7664 ....#.._alloc_vd + 10750: 65736300 0000132c 02230408 5f676574 esc....,.#.._get + 10760: 5f68775f 64657363 00000013 39022308 _hw_desc....9.#. + 10770: 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... + 10780: 4202230c 08705265 73657276 65640000 B.#..pReserved.. + 10790: 00040d02 23100007 5f564255 46002000 ....#..._VBUF. . + 107a0: 00141808 64657363 5f6c6973 74000000 ....desc_list... + 107b0: 131f0223 00086e65 78745f62 75660000 ...#..next_buf.. + 107c0: 00141802 23040862 75665f6c 656e6774 ....#..buf_lengt + 107d0: 68000000 12f20223 08087265 73657276 h......#..reserv + 107e0: 65640000 00141f02 230a0863 74780000 ed......#..ctx.. + 107f0: 00130002 230c0003 000013b8 04000f00 ....#........... + 10800: 0012de02 0000142c 10010003 000013b8 .......,........ + 10810: 04000956 42554600 000013b8 03000014 ...VBUF......... + 10820: 33040006 0000143d 01030000 14440400 3......=.....D.. + 10830: 06000014 3d010300 00145104 00020103 ....=.....Q..... + 10840: 0000145e 04000776 6275665f 61706900 ...^...vbuf_api. + 10850: 14000014 dc085f69 6e697400 00000ae0 ......_init..... + 10860: 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. + 10870: 0000144a 02230408 5f616c6c 6f635f76 ...J.#.._alloc_v + 10880: 6275665f 77697468 5f73697a 65000000 buf_with_size... + 10890: 14570223 08085f66 7265655f 76627566 .W.#.._free_vbuf + 108a0: 00000014 6002230c 08705265 73657276 ....`.#..pReserv + 108b0: 65640000 00040d02 23100007 5f5f6164 ed......#...__ad + 108c0: 665f6465 76696365 00040000 14fe0864 f_device.......d + 108d0: 756d6d79 00000001 18022300 00030000 ummy......#..... + 108e0: 09240400 075f5f61 64665f64 6d615f6d .$...__adf_dma_m + 108f0: 6170000c 00001545 08627566 00000014 ap.....E.buf.... + 10900: 3d022300 0864735f 61646472 00000014 =.#..ds_addr.... + 10910: fe022304 0864735f 6c656e00 000012f2 ..#..ds_len..... + 10920: 02230800 120c0000 157f085f 5f76615f .#.........__va_ + 10930: 73746b00 0000037b 02230008 5f5f7661 stk....{.#..__va + 10940: 5f726567 00000003 7b022304 085f5f76 _reg....{.#..__v + 10950: 615f6e64 78000000 01180223 0800095f a_ndx......#..._ + 10960: 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 10970: 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d + 10980: 6d615f61 6464725f 74000000 157f095f ma_addr_t......_ + 10990: 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 109a0: 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d + 109b0: 6d615f73 697a655f 74000000 15af075f ma_size_t......_ + 109c0: 5f646d61 5f736567 73000800 00160b08 _dma_segs....... + 109d0: 70616464 72000000 15980223 00086c65 paddr......#..le + 109e0: 6e000000 15c80223 0400095f 5f615f75 n......#...__a_u + 109f0: 696e7433 325f7400 00000924 09615f75 int32_t....$.a_u + 10a00: 696e7433 325f7400 0000160b 0f000015 int32_t......... + 10a10: df080000 163a1000 00076164 665f6f73 .....:....adf_os + 10a20: 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 10a30: 1673086e 73656773 00000016 1d022300 .s.nsegs......#. + 10a40: 08646d61 5f736567 73000000 162d0223 .dma_segs....-.# + 10a50: 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 10a60: 0012de09 615f7569 6e74385f 74000000 ....a_uint8_t... + 10a70: 16730300 00168404 00075f5f 73675f73 .s........__sg_s + 10a80: 65677300 08000016 c5087661 64647200 egs.......vaddr. + 10a90: 00001693 02230008 6c656e00 0000161d .....#..len..... + 10aa0: 02230400 0f000016 9a200000 16d21003 .#....... ...... + 10ab0: 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 10ac0: 24000017 05086e73 65677300 0000161d $.....nsegs..... + 10ad0: 02230008 73675f73 65677300 000016c5 .#..sg_segs..... + 10ae0: 02230400 12100000 174e0876 656e646f .#.......N.vendo + 10af0: 72000000 161d0223 00086465 76696365 r......#..device + 10b00: 00000016 1d022304 08737562 76656e64 ......#..subvend + 10b10: 6f720000 00161d02 23080873 75626465 or......#..subde + 10b20: 76696365 00000016 1d02230c 00046c6f vice......#...lo + 10b30: 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 10b40: 20696e74 00070809 415f5549 4e543634 int....A_UINT64 + 10b50: 00000017 4e095f5f 615f7569 6e743634 ....N.__a_uint64 + 10b60: 5f740000 00176809 615f7569 6e743634 _t....h.a_uint64 + 10b70: 5f740000 00177614 04000017 d40e4144 _t....v.......AD + 10b80: 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 10b90: 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 10ba0: 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 10bb0: 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou + 10bc0: 7263655f 74797065 5f740000 00179812 rce_type_t...... + 10bd0: 18000018 1e087374 61727400 00001788 ......start..... + 10be0: 02230008 656e6400 00001788 02230808 .#..end......#.. + 10bf0: 74797065 00000017 d4022310 00096164 type......#...ad + 10c00: 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 10c10: 74000000 17050300 00181e04 00110400 t............... + 10c20: 00185d08 70636900 00001837 02230008 ..].pci....7.#.. + 10c30: 72617700 0000040d 02230000 11100000 raw......#...... + 10c40: 187c0870 63690000 00181e02 23000872 .|.pci......#..r + 10c50: 61770000 00040d02 23000009 6164665f aw......#...adf_ + 10c60: 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... + 10c70: 0d096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 10c80: 655f7400 000017f0 03000018 92040009 e_t............. + 10c90: 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 10ca0: 74615f74 00000018 5d030000 18b00400 ta_t....]....... + 10cb0: 03000014 dc040009 5f5f6164 665f6f73 ........__adf_os + 10cc0: 5f646576 6963655f 74000000 18d10961 _device_t......a + 10cd0: 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 10ce0: 0018d806 0000187c 01030000 19040400 .......|........ + 10cf0: 02010300 00191104 00096164 665f6f73 ..........adf_os + 10d00: 5f706d5f 74000000 040d0201 03000019 _pm_t........... + 10d10: 2b040014 04000019 6b0e4144 465f4f53 +.......k.ADF_OS + 10d20: 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 10d30: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 10d40: 47454e45 52494300 02000961 64665f6f GENERIC....adf_o + 10d50: 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... + 10d60: 34096164 665f6f73 5f627573 5f726567 4.adf_os_bus_reg + 10d70: 5f646174 615f7400 0000183e 03000003 _data_t....>.... + 10d80: 25040007 5f616466 5f647276 5f696e66 %..._adf_drv_inf + 10d90: 6f002000 001a4808 6472765f 61747461 o. ...H.drv_atta + 10da0: 63680000 00190a02 23000864 72765f64 ch......#..drv_d + 10db0: 65746163 68000000 19130223 04086472 etach......#..dr + 10dc0: 765f7375 7370656e 64000000 192d0223 v_suspend....-.# + 10dd0: 08086472 765f7265 73756d65 00000019 ..drv_resume.... + 10de0: 1302230c 08627573 5f747970 65000000 ..#..bus_type... + 10df0: 196b0223 10086275 735f6461 74610000 .k.#..bus_data.. + 10e00: 00198202 2314086d 6f645f6e 616d6500 ....#..mod_name. + 10e10: 0000199d 02231808 69666e61 6d650000 .....#..ifname.. + 10e20: 00199d02 231c0009 6164665f 6f735f68 ....#...adf_os_h + 10e30: 616e646c 655f7400 0000040d 03000016 andle_t......... + 10e40: 73040002 01020109 5f5f6164 665f6f73 s.......__adf_os + 10e50: 5f73697a 655f7400 00000410 14040000 _size_t......... + 10e60: 1a970e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 10e70: 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. + 10e80: 00001a7d 03000015 05040009 5f5f6164 ...}........__ad + 10e90: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 10ea0: 001aa502 010d6164 665f6f73 5f636163 ......adf_os_cac + 10eb0: 68655f73 796e6300 0400001b 2f0e4144 he_sync...../.AD + 10ec0: 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 10ed0: 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 10ee0: 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 10ef0: 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 10f00: 435f504f 53545752 49544500 03000961 C_POSTWRITE....a + 10f10: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 10f20: 5f740000 001ac602 01096164 665f6f73 _t........adf_os + 10f30: 5f73697a 655f7400 00001a68 0600001b _size_t....h.... + 10f40: 4a010961 64665f6f 735f646d 615f6d61 J..adf_os_dma_ma + 10f50: 705f7400 00001aac 0300001b 63040006 p_t.........c... + 10f60: 0000040d 01030000 1aac0400 06000004 ................ + 10f70: 0d010201 06000015 98010201 0473686f .............sho + 10f80: 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 + 10f90: 36000000 1b9d095f 5f615f69 6e743136 6......__a_int16 + 10fa0: 5f740000 001baa09 615f696e 7431365f _t......a_int16_ + 10fb0: 74000000 1bb70473 69676e65 64206368 t......signed ch + 10fc0: 61720005 0109415f 494e5438 0000001b ar....A_INT8.... + 10fd0: d7095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... + 10fe0: e609615f 696e7438 5f740000 001bf212 ..a_int8_t...... + 10ff0: 0c00001c 69087375 70706f72 74656400 ....i.supported. + 11000: 0000161d 02230008 61647665 7274697a .....#..advertiz + 11010: 65640000 00161d02 23040873 70656564 ed......#..speed + 11020: 0000001b c8022308 08647570 6c657800 ......#..duplex. + 11030: 00001c02 02230a08 6175746f 6e656700 .....#..autoneg. + 11040: 00001684 02230b00 0f000016 84060000 .....#.......... + 11050: 1c761005 00076164 665f6e65 745f6574 .v....adf_net_et + 11060: 68616464 72000600 001c9a08 61646472 haddr.......addr + 11070: 0000001c 69022300 00095f5f 615f7569 ....i.#...__a_ui + 11080: 6e743136 5f740000 0012f209 615f7569 nt16_t......a_ui + 11090: 6e743136 5f740000 001c9a12 0e00001c nt16_t.......... + 110a0: fe086574 6865725f 64686f73 74000000 ..ether_dhost... + 110b0: 1c690223 00086574 6865725f 73686f73 .i.#..ether_shos + 110c0: 74000000 1c690223 06086574 6865725f t....i.#..ether_ + 110d0: 74797065 0000001c ac02230c 00121400 type......#..... + 110e0: 001dbf15 69705f76 65727369 6f6e0000 ....ip_version.. + 110f0: 00168401 00040223 00156970 5f686c00 .......#..ip_hl. + 11100: 00001684 01040402 23000869 705f746f ........#..ip_to + 11110: 73000000 16840223 01086970 5f6c656e s......#..ip_len + 11120: 0000001c ac022302 0869705f 69640000 ......#..ip_id.. + 11130: 001cac02 23040869 705f6672 61675f6f ....#..ip_frag_o + 11140: 66660000 001cac02 23060869 705f7474 ff......#..ip_tt + 11150: 6c000000 16840223 08086970 5f70726f l......#..ip_pro + 11160: 746f0000 00168402 23090869 705f6368 to......#..ip_ch + 11170: 65636b00 00001cac 02230a08 69705f73 eck......#..ip_s + 11180: 61646472 00000016 1d02230c 0869705f addr......#..ip_ + 11190: 64616464 72000000 161d0223 10000761 daddr......#...a + 111a0: 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 111b0: 00001e11 08747069 64000000 1cac0223 .....tpid......# + 111c0: 00157072 696f0000 00168401 00030223 ..prio.........# + 111d0: 02156366 69000000 16840103 01022302 ..cfi.........#. + 111e0: 15766964 0000001c ac02040c 02230200 .vid.........#.. + 111f0: 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 11200: 1e421572 65730000 00168401 00040223 .B.res.........# + 11210: 00157661 6c000000 1cac0204 0c022300 ..val.........#. + 11220: 00120c00 001e7e08 72785f62 75667369 ......~.rx_bufsi + 11230: 7a650000 00161d02 23000872 785f6e64 ze......#..rx_nd + 11240: 65736300 0000161d 02230408 74785f6e esc......#..tx_n + 11250: 64657363 00000016 1d022308 00120800 desc......#..... + 11260: 001ea408 706f6c6c 65640000 001a9702 ....polled...... + 11270: 23000870 6f6c6c5f 77740000 00161d02 #..poll_wt...... + 11280: 2304000f 00001684 4000001e b1103f00 #.......@.....?. + 11290: 12460000 1ed90869 665f6e61 6d650000 .F.....if_name.. + 112a0: 001ea402 23000864 65765f61 64647200 ....#..dev_addr. + 112b0: 00001c69 02234000 14040000 1f100e41 ...i.#@........A + 112c0: 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 112d0: 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 112e0: 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... + 112f0: 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 11300: 74000000 1ed90761 64665f64 6d615f69 t......adf_dma_i + 11310: 6e666f00 0800001f 5d08646d 615f6d61 nfo.....].dma_ma + 11320: 736b0000 001f1002 23000873 675f6e73 sk......#..sg_ns + 11330: 65677300 0000161d 02230400 14040000 egs......#...... + 11340: 1fb30e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 11350: 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 11360: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 11370: 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 11380: 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 11390: 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 113a0: 5f747970 655f7400 00001f5d 12080000 _type_t....].... + 113b0: 1ff60874 785f636b 73756d00 00001fb3 ...tx_cksum..... + 113c0: 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... + 113d0: b3022304 00096164 665f6e65 745f636b ..#...adf_net_ck + 113e0: 73756d5f 696e666f 5f740000 001fcd14 sum_info_t...... + 113f0: 04000020 4f0e4144 465f4e45 545f5453 ... O.ADF_NET_TS + 11400: 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 11410: 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 11420: 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a + 11430: 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 11440: 74000000 20101210 000020a3 08636b73 t... ..... ..cks + 11450: 756d5f63 61700000 001ff602 23000874 um_cap......#..t + 11460: 736f0000 00204f02 23080876 6c616e5f so... O.#..vlan_ + 11470: 73757070 6f727465 64000000 16840223 supported......# + 11480: 0c001220 0000213c 0874785f 7061636b ... ..!<.tx_pack + 11490: 65747300 0000161d 02230008 72785f70 ets......#..rx_p + 114a0: 61636b65 74730000 00161d02 23040874 ackets......#..t + 114b0: 785f6279 74657300 0000161d 02230808 x_bytes......#.. + 114c0: 72785f62 79746573 00000016 1d02230c rx_bytes......#. + 114d0: 0874785f 64726f70 70656400 0000161d .tx_dropped..... + 114e0: 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. + 114f0: 00161d02 23140872 785f6572 726f7273 ....#..rx_errors + 11500: 00000016 1d022318 0874785f 6572726f ......#..tx_erro + 11510: 72730000 00161d02 231c0009 6164665f rs......#...adf_ + 11520: 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 11530: 1c761600 00213c03 00000021 61107f00 .v...!<....!a... + 11540: 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 11550: 64647200 03040000 2198086e 656c656d ddr.....!..nelem + 11560: 00000016 1d022300 086d6361 73740000 ......#..mcast.. + 11570: 00215302 23040009 6164665f 6e65745f .!S.#...adf_net_ + 11580: 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 11590: 00001c10 09616466 5f6e6574 5f636d64 .....adf_net_cmd + 115a0: 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... + 115b0: 7e096164 665f6e65 745f636d 645f636b ~.adf_net_cmd_ck + 115c0: 73756d5f 696e666f 5f740000 001ff609 sum_info_t...... + 115d0: 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 115e0: 5f696e66 6f5f7400 00001e42 09616466 _info_t....B.adf + 115f0: 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 11600: 6f5f7400 00001f27 09616466 5f6e6574 o_t....'.adf_net + 11610: 5f636d64 5f766964 5f740000 001cac09 _cmd_vid_t...... + 11620: 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 11630: 6f61645f 6361705f 74000000 20670961 oad_cap_t... g.a + 11640: 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 11650: 5f740000 0020a309 6164665f 6e65745f _t... ..adf_net_ + 11660: 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! + 11670: 610d6164 665f6e65 745f636d 645f6d63 a.adf_net_cmd_mc + 11680: 6173745f 63617000 04000022 da0e4144 ast_cap...."..AD + 11690: 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 116a0: 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 116b0: 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne + 116c0: 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 116d0: 74000000 22921803 04000023 ac086c69 t..."......#..li + 116e0: 6e6b5f69 6e666f00 00002198 02230008 nk_info...!..#.. + 116f0: 706f6c6c 5f696e66 6f000000 21b50223 poll_info...!..# + 11700: 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! + 11710: d2022300 0872696e 675f696e 666f0000 ..#..ring_info.. + 11720: 0021f002 23000864 6d615f69 6e666f00 .!..#..dma_info. + 11730: 0000220d 02230008 76696400 00002229 .."..#..vid...") + 11740: 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. + 11750: 00002240 02230008 73746174 73000000 .."@.#..stats... + 11760: 225f0223 00086d63 6173745f 696e666f "_.#..mcast_info + 11770: 00000022 78022300 086d6361 73745f63 ..."x.#..mcast_c + 11780: 61700000 0022da02 23000014 04000024 ap..."..#......$ + 11790: 030e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 117a0: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 117b0: 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 117c0: 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 117d0: 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 117e0: 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 117f0: 6b73756d 5f747970 655f7400 000023ac ksum_type_t...#. + 11800: 12080000 24430872 6573756c 74000000 ....$C.result... + 11810: 24030223 00087661 6c000000 161d0223 $..#..val......# + 11820: 04001208 00002473 08747970 65000000 ......$s.type... + 11830: 204f0223 00086d73 73000000 1cac0223 O.#..mss......# + 11840: 04086864 725f6f66 66000000 16840223 ..hdr_off......# + 11850: 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 11860: 65616400 0c000024 b2086865 61640000 ead....$..head.. + 11870: 00143d02 23000874 61696c00 0000143d ..=.#..tail....= + 11880: 02230408 716c656e 00000016 1d022308 .#..qlen......#. + 11890: 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 118a0: 00143d03 00001693 04000300 00161d04 ..=............. + 118b0: 00020106 0000131f 01060000 161d0106 ................ + 118c0: 00001693 01060000 16930103 00001300 ................ + 118d0: 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 118e0: 6561645f 74000000 2473095f 5f616466 ead_t...$s.__adf + 118f0: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 11900: 24f30300 00250b04 00060000 24b20106 $....%......$... + 11910: 000024b2 01140400 00262b0e 415f5354 ..$......&+.A_ST + 11920: 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 11930: 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 11940: 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 11950: 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 11960: 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 11970: 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 11980: 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 11990: 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 119a0: 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 119b0: 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 119c0: 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 119d0: 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 119e0: 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 119f0: 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 11a00: 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta + 11a10: 7475735f 74000000 25360600 00262b01 tus_t...%6...&+. + 11a20: 06000001 18010201 09616466 5f6e6275 .........adf_nbu + 11a30: 665f7400 000024b2 14040000 26900e41 f_t...$.....&..A + 11a40: 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 11a50: 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 11a60: 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... + 11a70: 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 11a80: 00000026 59060000 262b0102 01096164 ...&Y...&+....ad + 11a90: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 11aa0: 5f740000 00163a03 000026ae 04000201 _t....:...&..... + 11ab0: 02010600 00264901 06000024 b2010201 .....&I....$.... + 11ac0: 02010600 00264901 06000024 b2010600 .....&I....$.... + 11ad0: 00264901 06000024 b2010600 00264901 .&I....$.....&I. + 11ae0: 02010201 06000016 1d010600 00169301 ................ + 11af0: 02010201 0600001b 4a010600 001a9701 ........J....... + 11b00: 0600001a 97010961 64665f6f 735f7367 .......adf_os_sg + 11b10: 6c697374 5f740000 0016d203 00002727 list_t........'' + 11b20: 04000201 02010201 06000016 93010961 ...............a + 11b30: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 11b40: 0000250b 03000027 4f040002 01030000 ..%....'O....... + 11b50: 24f30400 02010201 02010600 00264901 $............&I. + 11b60: 06000024 b2010600 00161d01 06000016 ...$............ + 11b70: 1d010600 001a9701 0600001a 97010600 ................ + 11b80: 001fb301 06000016 1d010961 64665f6e ...........adf_n + 11b90: 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 11ba0: 00242103 000027ab 04000201 02010961 .$!...'........a + 11bb0: 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 11bc0: 24430300 0027cf04 00020102 01096164 $C...'........ad + 11bd0: 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 11be0: 00040d09 6164665f 6e65745f 766c616e ....adf_net_vlan + 11bf0: 6864725f 74000000 1dbf0300 00280404 hdr_t........(.. + 11c00: 00060000 262b0106 0000262b 01020102 ....&+....&+.... + 11c10: 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 11c20: 00285308 64756d6d 79000000 01180223 .(S.dummy......# + 11c30: 00000201 03000028 53040002 01030000 .......(S....... + 11c40: 285c0400 075f4849 465f4341 4c4c4241 (\..._HIF_CALLBA + 11c50: 434b000c 000028b1 0873656e 645f6275 CK....(..send_bu + 11c60: 665f646f 6e650000 00285502 23000872 f_done...(U.#..r + 11c70: 6563765f 62756600 0000285e 02230408 ecv_buf...(^.#.. + 11c80: 636f6e74 65787400 0000040d 02230800 context......#.. + 11c90: 09686966 5f68616e 646c655f 74000000 .hif_handle_t... + 11ca0: 040d0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... + 11cb0: 28320300 0028c304 00060000 28b10103 (2...(......(... + 11cc0: 000028da 04000201 03000028 e7040009 ..(........(.... + 11cd0: 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( + 11ce0: 65030000 28f00400 02010300 00290904 e...(........).. + 11cf0: 00060000 01180103 00002912 04000201 ..........)..... + 11d00: 03000029 1f040006 00000118 01030000 ...)............ + 11d10: 29280400 02010300 00293504 00060000 )(.......)5..... + 11d20: 01180103 0000293e 04000201 03000029 ......)>.......) + 11d30: 4b040007 6869665f 61706900 3800002a K...hif_api.8..* + 11d40: a4085f69 6e697400 000028e0 02230008 .._init...(..#.. + 11d50: 5f736875 74646f77 6e000000 28e90223 _shutdown...(..# + 11d60: 04085f72 65676973 7465725f 63616c6c .._register_call + 11d70: 6261636b 00000029 0b022308 085f6765 back...)..#.._ge + 11d80: 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 11d90: 6f756e74 00000029 1802230c 085f7374 ount...)..#.._st + 11da0: 61727400 000028e9 02231008 5f636f6e art...(..#.._con + 11db0: 6669675f 70697065 00000029 21022314 fig_pipe...)!.#. + 11dc0: 085f7365 6e645f62 75666665 72000000 ._send_buffer... + 11dd0: 292e0223 18085f72 65747572 6e5f7265 )..#.._return_re + 11de0: 63765f62 75660000 00293702 231c085f cv_buf...)7.#.._ + 11df0: 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 11e00: 64000000 29440223 20085f67 65745f6d d...)D.# ._get_m + 11e10: 61785f6d 73675f6c 656e0000 00294402 ax_msg_len...)D. + 11e20: 2324085f 6765745f 72657365 72766564 #$._get_reserved + 11e30: 5f686561 64726f6f 6d000000 29180223 _headroom...)..# + 11e40: 28085f69 73725f68 616e646c 65720000 (._isr_handler.. + 11e50: 0028e902 232c085f 6765745f 64656661 .(..#,._get_defa + 11e60: 756c745f 70697065 00000029 4d022330 ult_pipe...)M.#0 + 11e70: 08705265 73657276 65640000 00040d02 .pReserved...... + 11e80: 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. + 11e90: 00002b2d 0e444d41 5f454e47 494e455f ..+-.DMA_ENGINE_ + 11ea0: 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE + 11eb0: 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN + 11ec0: 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI + 11ed0: 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG + 11ee0: 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN + 11ef0: 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E + 11f00: 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma + 11f10: 5f656e67 696e655f 74000000 2aa40d64 _engine_t...*..d + 11f20: 6d615f69 66747970 65000400 002b7a0e ma_iftype....+z. + 11f30: 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM + 11f40: 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I + 11f50: 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if + 11f60: 74797065 5f740000 002b3f06 000012f2 type_t...+?..... + 11f70: 01030000 2b8c0400 02010300 002b9904 ....+........+.. + 11f80: 00020103 00002ba2 04000600 00092401 ......+.......$. + 11f90: 0300002b ab040006 000012f2 01030000 ...+............ + 11fa0: 2bb80400 06000012 f2010300 002bc504 +............+.. + 11fb0: 00060000 143d0103 00002bd2 04000201 .....=....+..... + 11fc0: 0300002b df040007 646d615f 6c69625f ...+....dma_lib_ + 11fd0: 61706900 3400002c e6087478 5f696e69 api.4..,..tx_ini + 11fe0: 74000000 2b920223 00087478 5f737461 t...+..#..tx_sta + 11ff0: 72740000 002b9b02 23040872 785f696e rt...+..#..rx_in + 12000: 69740000 002b9202 23080872 785f636f it...+..#..rx_co + 12010: 6e666967 0000002b a402230c 0872785f nfig...+..#..rx_ + 12020: 73746172 74000000 2b9b0223 1008696e start...+..#..in + 12030: 74725f73 74617475 73000000 2bb10223 tr_status...+..# + 12040: 14086861 72645f78 6d697400 00002bbe ..hard_xmit...+. + 12050: 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. + 12060: 002b9b02 231c0878 6d69745f 646f6e65 .+..#..xmit_done + 12070: 0000002b cb022320 08726561 705f786d ...+..# .reap_xm + 12080: 69747465 64000000 2bd80223 24087265 itted...+..#$.re + 12090: 61705f72 65637600 00002bd8 02232808 ap_recv...+..#(. + 120a0: 72657475 726e5f72 65637600 00002be1 return_recv...+. + 120b0: 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ + 120c0: cb022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft + 120d0: 63000c00 002d0408 73770000 0028f002 c....-..sw...(.. + 120e0: 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ + 120f0: 74000000 2ce60300 002d0404 00020103 t...,....-...... + 12100: 00002d1e 04000600 0012de01 0300002d ..-............- + 12110: 2704000d 6869665f 7063695f 70697065 '...hif_pci_pipe + 12120: 5f747800 0400002d 870e4849 465f5043 _tx....-..HIF_PC + 12130: 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF + 12140: 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... + 12150: 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ + 12160: 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p + 12170: 6970655f 74785f74 0000002d 34060000 ipe_tx_t...-4... + 12180: 2b2d0103 00002d9e 04000d68 69665f70 +-....-....hif_p + 12190: 63695f70 6970655f 72780004 00002e24 ci_pipe_rx.....$ + 121a0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 121b0: 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 121c0: 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P + 121d0: 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC + 121e0: 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF + 121f0: 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX + 12200: 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe + 12210: 5f72785f 74000000 2dab0600 002b2d01 _rx_t...-....+-. + 12220: 0300002e 3b040007 6869665f 7063695f ....;...hif_pci_ + 12230: 61706900 2400002f 19087063 695f626f api.$../..pci_bo + 12240: 6f745f69 6e697400 00000111 02230008 ot_init......#.. + 12250: 7063695f 696e6974 00000028 e0022304 pci_init...(..#. + 12260: 08706369 5f726573 65740000 00011102 .pci_reset...... + 12270: 23080870 63695f65 6e61626c 65000000 #..pci_enable... + 12280: 01110223 0c087063 695f7265 61705f78 ...#..pci_reap_x + 12290: 6d697474 65640000 002d2002 23100870 mitted...- .#..p + 122a0: 63695f72 6561705f 72656376 0000002d ci_reap_recv...- + 122b0: 20022314 08706369 5f676574 5f706970 .#..pci_get_pip + 122c0: 65000000 2d2d0223 18087063 695f6765 e...--.#..pci_ge + 122d0: 745f7478 5f656e67 0000002d a402231c t_tx_eng...-..#. + 122e0: 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. + 122f0: 00002e41 02232000 07676d61 635f6170 ...A.# ..gmac_ap + 12300: 69000400 002f4008 676d6163 5f626f6f i..../@.gmac_boo + 12310: 745f696e 69740000 00011102 2300000f t_init......#... + 12320: 00000325 0600002f 4d100500 075f5f65 ...%.../M....__e + 12330: 74686864 72000e00 002f8308 64737400 thhdr..../..dst. + 12340: 00002f40 02230008 73726300 00002f40 ../@.#..src.../@ + 12350: 02230608 65747970 65000000 12f20223 .#..etype......# + 12360: 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ + 12370: d1157265 73000000 12de0100 02022300 ..res.........#. + 12380: 1570726f 746f0000 0012de01 02060223 .proto.........# + 12390: 00087265 735f6c6f 00000012 de022301 ..res_lo......#. + 123a0: 08726573 5f686900 000012f2 02230200 .res_hi......#.. + 123b0: 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 + 123c0: 0d086574 68000000 2f4d0223 00086174 ..eth.../M.#..at + 123d0: 68000000 2f830223 0e08616c 69676e5f h.../..#..align_ + 123e0: 70616400 000012f2 02231200 095f5f67 pad......#...__g + 123f0: 6d61635f 6864725f 74000000 2fd1075f mac_hdr_t.../.._ + 12400: 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 + 12410: 57086864 72000000 300d0223 00086772 W.hdr...0..#..gr + 12420: 616e0000 0012f202 23140873 77000000 an......#..sw... + 12430: 28f00223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin + 12440: 6b616765 5f636865 636b0008 00003090 kage_check....0. + 12450: 08766572 73696f6e 00000001 18022300 .version......#. + 12460: 08746162 6c650000 00011802 23040003 .table......#... + 12470: 00003057 04000600 00011801 03000030 ..0W...........0 + 12480: 97040003 00000410 0400175f 415f636d ..........._A_cm + 12490: 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ + 124a0: 7461626c 650001b8 000031e7 0868616c table.....1..hal + 124b0: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 124c0: 00309d02 23000873 74617274 5f627373 .0..#..start_bss + 124d0: 00000030 a4022304 08617070 5f737461 ...0..#..app_sta + 124e0: 72740000 00011102 2308086d 656d0000 rt......#..mem.. + 124f0: 00045002 230c086d 69736300 0000056f ..P.#..misc....o + 12500: 02232008 7072696e 74660000 00014502 .# .printf....E. + 12510: 23440875 61727400 0000020e 02234c08 #D.uart......#L. + 12520: 676d6163 0000002f 1902236c 08757362 gmac.../..#l.usb + 12530: 0000000f 9e022370 08636c6f 636b0000 ......#p.clock.. + 12540: 000ae703 23e00108 74696d65 72000000 ....#...timer... + 12550: 07870323 84020869 6e747200 00000c37 ...#...intr....7 + 12560: 03239802 08616c6c 6f637261 6d000000 .#...allocram... + 12570: 093f0323 c4020872 6f6d7000 00000831 .?.#...romp....1 + 12580: 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. + 12590: 000e1403 23e00208 65657000 00000f42 ....#...eep....B + 125a0: 0323fc02 08737472 696e6700 00000693 .#...string..... + 125b0: 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... + 125c0: 3c0323a4 0300075f 5553425f 4649464f <.#...._USB_FIFO + 125d0: 5f434f4e 46494700 10000032 5a086765 _CONFIG....2Z.ge + 125e0: 745f636f 6d6d616e 645f6275 66000000 t_command_buf... + 125f0: 144a0223 00087265 63765f63 6f6d6d61 .J.#..recv_comma + 12600: 6e640000 00146002 23040867 65745f65 nd....`.#..get_e + 12610: 76656e74 5f627566 00000014 4a022308 vent_buf....J.#. + 12620: 0873656e 645f6576 656e745f 646f6e65 .send_event_done + 12630: 00000014 6002230c 00095553 425f4649 ....`.#...USB_FI + 12640: 464f5f43 4f4e4649 47000000 31e70300 FO_CONFIG...1... + 12650: 00325a04 00020103 00003276 04000775 .2Z.......2v...u + 12660: 73626669 666f5f61 7069000c 000032cc sbfifo_api....2. + 12670: 085f696e 69740000 00327802 2300085f ._init...2x.#.._ + 12680: 656e6162 6c655f65 76656e74 5f697372 enable_event_isr + 12690: 00000001 11022304 08705265 73657276 ......#..pReserv + 126a0: 65640000 00040d02 2308000f 00001684 ed......#....... + 126b0: 02000032 d9100100 075f4854 435f4652 ...2....._HTC_FR + 126c0: 414d455f 48445200 08000033 4b08456e AME_HDR....3K.En + 126d0: 64706f69 6e744944 00000016 84022300 dpointID......#. + 126e0: 08466c61 67730000 00168402 23010850 .Flags......#..P + 126f0: 61796c6f 61644c65 6e000000 1cac0223 ayloadLen......# + 12700: 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. + 12710: 0032cc02 23040848 6f737453 65714e75 .2..#..HostSeqNu + 12720: 6d000000 1cac0223 06001202 00003364 m......#......3d + 12730: 084d6573 73616765 49440000 001cac02 .MessageID...... + 12740: 23000012 08000033 c7084d65 73736167 #......3..Messag + 12750: 65494400 00001cac 02230008 43726564 eID......#..Cred + 12760: 6974436f 756e7400 00001cac 02230208 itCount......#.. + 12770: 43726564 69745369 7a650000 001cac02 CreditSize...... + 12780: 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. + 12790: 00001684 02230608 5f506164 31000000 .....#.._Pad1... + 127a0: 16840223 0700120a 0000345e 084d6573 ...#......4^.Mes + 127b0: 73616765 49440000 001cac02 23000853 sageID......#..S + 127c0: 65727669 63654944 0000001c ac022302 erviceID......#. + 127d0: 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags + 127e0: 0000001c ac022304 08446f77 6e4c696e ......#..DownLin + 127f0: 6b506970 65494400 00001684 02230608 kPipeID......#.. + 12800: 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... + 12810: 84022307 08536572 76696365 4d657461 ..#..ServiceMeta + 12820: 4c656e67 74680000 00168402 2308085f Length......#.._ + 12830: 50616431 00000016 84022309 00120a00 Pad1......#..... + 12840: 0034e608 4d657373 61676549 44000000 .4..MessageID... + 12850: 1cac0223 00085365 72766963 65494400 ...#..ServiceID. + 12860: 00001cac 02230208 53746174 75730000 .....#..Status.. + 12870: 00168402 23040845 6e64706f 696e7449 ....#..EndpointI + 12880: 44000000 16840223 05084d61 784d7367 D......#..MaxMsg + 12890: 53697a65 0000001c ac022306 08536572 Size......#..Ser + 128a0: 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 128b0: 00168402 2308085f 50616431 00000016 ....#.._Pad1.... + 128c0: 84022309 00120200 0034ff08 4d657373 ..#......4..Mess + 128d0: 61676549 44000000 1cac0223 00001204 ageID......#.... + 128e0: 0000353b 084d6573 73616765 49440000 ..5;.MessageID.. + 128f0: 001cac02 23000850 69706549 44000000 ....#..PipeID... + 12900: 16840223 02084372 65646974 436f756e ...#..CreditCoun + 12910: 74000000 16840223 03001204 00003572 t......#......5r + 12920: 084d6573 73616765 49440000 001cac02 .MessageID...... + 12930: 23000850 69706549 44000000 16840223 #..PipeID......# + 12940: 02085374 61747573 00000016 84022303 ..Status......#. + 12950: 00120200 00359908 5265636f 72644944 .....5..RecordID + 12960: 00000016 84022300 084c656e 67746800 ......#..Length. + 12970: 00001684 02230100 12020000 35c30845 .....#......5..E + 12980: 6e64706f 696e7449 44000000 16840223 ndpointID......# + 12990: 00084372 65646974 73000000 16840223 ..Credits......# + 129a0: 01001204 00003604 08456e64 706f696e ......6..Endpoin + 129b0: 74494400 00001684 02230008 43726564 tID......#..Cred + 129c0: 69747300 00001684 02230108 54677443 its......#..TgtC + 129d0: 72656469 74536571 4e6f0000 001cac02 reditSeqNo...... + 129e0: 2302000f 00001684 04000036 11100300 #..........6.... + 129f0: 12060000 364d0850 72655661 6c696400 ....6M.PreValid. + 12a00: 00001684 02230008 4c6f6f6b 41686561 .....#..LookAhea + 12a10: 64000000 36040223 0108506f 73745661 d...6..#..PostVa + 12a20: 6c696400 00001684 02230500 09706f6f lid......#...poo + 12a30: 6c5f6861 6e646c65 5f740000 00040d06 l_handle_t...... + 12a40: 0000364d 01030000 36600400 02010300 ..6M....6`...... + 12a50: 00366d04 00140400 0036eb0e 504f4f4c .6m......6..POOL + 12a60: 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. + 12a70: 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 12a80: 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO + 12a90: 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV + 12aa0: 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL + 12ab0: 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL + 12ac0: 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P + 12ad0: 4f4f4c5f 49440000 00367602 01030000 OOL_ID...6v..... + 12ae0: 36fc0400 06000026 49010300 00370504 6......&I....7.. + 12af0: 00060000 26490103 00003712 04000201 ....&I....7..... + 12b00: 03000037 1f040007 6275665f 706f6f6c ...7....buf_pool + 12b10: 5f617069 001c0000 37c1085f 696e6974 _api....7.._init + 12b20: 00000036 66022300 085f7368 7574646f ...6f.#.._shutdo + 12b30: 776e0000 00366f02 2304085f 63726561 wn...6o.#.._crea + 12b40: 74655f70 6f6f6c00 000036fe 02230808 te_pool...6..#.. + 12b50: 5f616c6c 6f635f62 75660000 00370b02 _alloc_buf...7.. + 12b60: 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al + 12b70: 69676e00 00003718 02231008 5f667265 ign...7..#.._fre + 12b80: 655f6275 66000000 37210223 14087052 e_buf...7!.#..pR + 12b90: 65736572 76656400 0000040d 02231800 eserved......#.. + 12ba0: 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... + 12bb0: 0038a008 704e6578 74000000 38a00223 .8..pNext...8..# + 12bc0: 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg + 12bd0: 00000039 55022304 0850726f 63657373 ...9U.#..Process + 12be0: 53656e64 42756666 6572436f 6d706c65 SendBufferComple + 12bf0: 74650000 00395e02 23080850 726f6365 te...9^.#..Proce + 12c00: 7373436f 6e6e6563 74000000 39720223 ssConnect...9r.# + 12c10: 0c085365 72766963 65494400 000012f2 ..ServiceID..... + 12c20: 02231008 53657276 69636546 6c616773 .#..ServiceFlags + 12c30: 00000012 f2022312 084d6178 5376634d ......#..MaxSvcM + 12c40: 73675369 7a650000 0012f202 23140854 sgSize......#..T + 12c50: 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi + 12c60: 6d697400 000012f2 02231608 53657276 mit......#..Serv + 12c70: 69636543 74780000 00040d02 23180003 iceCtx......#... + 12c80: 000037c1 04001404 0000393e 19454e44 ..7.......9>.END + 12c90: 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... + 12ca0: ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN + 12cb0: 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI + 12cc0: 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. + 12cd0: 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN + 12ce0: 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI + 12cf0: 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. + 12d00: 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN + 12d10: 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT + 12d20: 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... + 12d30: 38a70201 03000039 53040002 01030000 8......9S....... + 12d40: 395c0400 03000001 18040006 000012de 9\.............. + 12d50: 01030000 396c0400 03000037 c1040007 ....9l.....7.... + 12d60: 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 + 12d70: f1084372 65646974 53697a65 00000001 ..CreditSize.... + 12d80: 18022300 08437265 6469744e 756d6265 ..#..CreditNumbe + 12d90: 72000000 01180223 04084f53 48616e64 r......#..OSHand + 12da0: 6c650000 001a4802 23080848 49464861 le....H.#..HIFHa + 12db0: 6e646c65 00000028 b102230c 08506f6f ndle...(..#..Poo + 12dc0: 6c48616e 646c6500 0000364d 02231000 lHandle...6M.#.. + 12dd0: 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX + 12de0: 54000200 003a2d08 656e645f 706f696e T....:-.end_poin + 12df0: 74000000 12de0223 00086874 635f666c t......#..htc_fl + 12e00: 61677300 000012de 02230100 09687463 ags......#...htc + 12e10: 5f68616e 646c655f 74000000 040d0948 _handle_t......H + 12e20: 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET + 12e30: 455f4342 00000001 11094854 435f434f E_CB......HTC_CO + 12e40: 4e464947 00000039 80030000 3a5a0400 NFIG...9....:Z.. + 12e50: 0600003a 2d010300 003a7104 00020103 ...:-....:q..... + 12e60: 00003a7e 04000948 54435f53 45525649 ..:~...HTC_SERVI + 12e70: 43450000 0037c103 00003a87 04000201 CE...7....:..... + 12e80: 0300003a 9f040002 01030000 3aa80400 ...:........:... + 12e90: 02010300 003ab104 00060000 01180103 .....:.......... + 12ea0: 00003aba 04000768 74635f61 70697300 ..:....htc_apis. + 12eb0: 3400003c 37085f48 54435f49 6e697400 4..<7._HTC_Init. + 12ec0: 00003a77 02230008 5f485443 5f536875 ..:w.#.._HTC_Shu + 12ed0: 74646f77 6e000000 3a800223 04085f48 tdown...:..#.._H + 12ee0: 54435f52 65676973 74657253 65727669 TC_RegisterServi + 12ef0: 63650000 003aa102 2308085f 4854435f ce...:..#.._HTC_ + 12f00: 52656164 79000000 3a800223 0c085f48 Ready...:..#.._H + 12f10: 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers + 12f20: 0000003a aa022310 085f4854 435f5265 ...:..#.._HTC_Re + 12f30: 7475726e 42756666 6572734c 69737400 turnBuffersList. + 12f40: 00003ab3 02231408 5f485443 5f53656e ..:..#.._HTC_Sen + 12f50: 644d7367 0000003a aa022318 085f4854 dMsg...:..#.._HT + 12f60: 435f4765 74526573 65727665 64486561 C_GetReservedHea + 12f70: 64726f6f 6d000000 3ac00223 1c085f48 droom...:..#.._H + 12f80: 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle + 12f90: 72000000 285e0223 20085f48 54435f53 r...(^.# ._HTC_S + 12fa0: 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. + 12fb0: 00285502 2324085f 4854435f 436f6e74 .(U.#$._HTC_Cont + 12fc0: 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg + 12fd0: 00000039 55022328 085f4854 435f436f ...9U.#(._HTC_Co + 12fe0: 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS + 12ff0: 656e6443 6f6d706c 65746500 0000395e endComplete...9^ + 13000: 02232c08 70526573 65727665 64000000 .#,.pReserved... + 13010: 040d0223 30000768 6f73745f 6170705f ...#0..host_app_ + 13020: 61726561 5f730004 00003c67 08776d69 area_s......tu + 131c0: 706c654e 756d4c00 00001cac 02230008 pleNumL......#.. + 131d0: 7475706c 654e756d 48000000 1cac0223 tupleNumH......# + 131e0: 02086176 74000000 3dcb0223 04001201 ..avt...=..#.... + 131f0: 00003e31 08626561 636f6e50 656e6469 ..>1.beaconPendi + 13200: 6e67436f 756e7400 00001684 02230000 ngCount......#.. + 13210: 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG + 13220: 00100000 3e9a0848 74634861 6e646c65 ....>..HtcHandle + 13230: 0000003a 2d022300 08506f6f 6c48616e ...:-.#..PoolHan + 13240: 646c6500 0000364d 02230408 4d617843 dle...6M.#..MaxC + 13250: 6d645265 706c7945 76747300 00000118 mdReplyEvts..... + 13260: 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts + 13270: 00000001 1802230c 00020103 00003e9a ......#.......>. + 13280: 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL + 13290: 45520000 003e9c07 5f574d49 5f444953 ER...>.._WMI_DIS + 132a0: 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? + 132b0: 03087043 6d644861 6e646c65 72000000 ..pCmdHandler... + 132c0: 3ea30223 0008436d 64494400 000012f2 >..#..CmdID..... + 132d0: 02230408 466c6167 73000000 12f20223 .#..Flags......# + 132e0: 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH + 132f0: 5f544142 4c450010 00003f64 08704e65 _TABLE....?d.pNe + 13300: 78740000 003f6402 23000870 436f6e74 xt...?d.#..pCont + 13310: 65787400 0000040d 02230408 4e756d62 ext......#..Numb + 13320: 65724f66 456e7472 69657300 00000118 erOfEntries..... + 13330: 02230808 70546162 6c650000 003f8302 .#..pTable...?.. + 13340: 230c0003 00003f03 04000957 4d495f44 #.....?....WMI_D + 13350: 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... + 13360: 3eb80300 003f6b04 00030000 3f030400 >....?k.....?... + 13370: 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT + 13380: 00000039 f10d574d 495f4556 545f434c ...9..WMI_EVT_CL + 13390: 41535300 04000040 1b19574d 495f4556 ASS....@..WMI_EV + 133a0: 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... + 133b0: ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 133c0: 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ + 133d0: 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE + 133e0: 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL + 133f0: 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E + 13400: 56545f43 4c415353 0000003f a6075f57 VT_CLASS...?.._W + 13410: 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. + 13420: 00004079 08487463 42756643 74780000 ..@y.HtcBufCtx.. + 13430: 003f9102 23000845 76656e74 436c6173 .?..#..EventClas + 13440: 73000000 401b0223 0408466c 61677300 s...@..#..Flags. + 13450: 000012f2 02230800 09776d69 5f68616e .....#...wmi_han + 13460: 646c655f 74000000 040d0957 4d495f53 dle_t......WMI_S + 13470: 56435f43 4f4e4649 47000000 3e310300 VC_CONFIG...>1.. + 13480: 00408b04 00060000 40790103 000040a6 .@......@y....@. + 13490: 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 134a0: 5441424c 45000000 3f030300 0040b304 TABLE...?....@.. + 134b0: 00020103 000040d2 04000600 00264901 ......@......&I. + 134c0: 03000040 db040002 01030000 40e80400 ...@........@... + 134d0: 06000001 18010300 0040f104 00020103 .........@...... + 134e0: 000040fe 04000600 0012de01 03000041 ..@............A + 134f0: 07040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api + 13500: 73002c00 00424f08 5f574d49 5f496e69 s.,..BO._WMI_Ini + 13510: 74000000 40ac0223 00085f57 4d495f52 t...@..#.._WMI_R + 13520: 65676973 74657244 69737061 74636854 egisterDispatchT + 13530: 61626c65 00000040 d4022304 085f574d able...@..#.._WM + 13540: 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ + 13550: e1022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv + 13560: 656e7400 000040ea 02230c08 5f574d49 ent...@..#.._WMI + 13570: 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent + 13580: 73436f75 6e740000 0040f702 2310085f sCount...@..#.._ + 13590: 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete + 135a0: 48616e64 6c657200 0000395e 02231408 Handler...9^.#.. + 135b0: 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE + 135c0: 70000000 40f70223 18085f57 4d495f53 p...@..#.._WMI_S + 135d0: 68757464 6f776e00 00004100 02231c08 hutdown...A..#.. + 135e0: 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage + 135f0: 48616e64 6c657200 00003955 02232008 Handler...9U.# . + 13600: 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn + 13610: 65637400 0000410d 02232408 70526573 ect...A..#$.pRes + 13620: 65727665 64000000 040d0223 2800077a erved......#(..z + 13630: 73446d61 44657363 00140000 42d10863 sDmaDesc....B..c + 13640: 74726c00 0000017c 02230008 73746174 trl....|.#..stat + 13650: 75730000 00017c02 23020874 6f74616c us....|.#..total + 13660: 4c656e00 0000017c 02230408 64617461 Len....|.#..data + 13670: 53697a65 00000001 7c022306 086c6173 Size....|.#..las + 13680: 74416464 72000000 42d10223 08086461 tAddr...B..#..da + 13690: 74614164 64720000 0001a002 230c086e taAddr......#..n + 136a0: 65787441 64647200 000042d1 02231000 extAddr...B..#.. + 136b0: 03000042 4f040003 0000424f 0400077a ...BO.....BO...z + 136c0: 73446d61 51756575 65000800 00431108 sDmaQueue....C.. + 136d0: 68656164 00000042 d8022300 08746572 head...B..#..ter + 136e0: 6d696e61 746f7200 000042d8 02230400 minator...B..#.. + 136f0: 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 13700: 00437508 68656164 00000042 d8022300 .Cu.head...B..#. + 13710: 08746572 6d696e61 746f7200 000042d8 .terminator...B. + 13720: 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h + 13730: 65616400 0000143d 02230808 786d6974 ead....=.#..xmit + 13740: 65645f62 75665f74 61696c00 0000143d ed_buf_tail....= + 13750: 02230c00 02010300 00437504 00030000 .#.......Cu..... + 13760: 42df0400 02010300 00438504 00030000 B........C...... + 13770: 43110400 02010300 00439504 00020103 C........C...... + 13780: 0000439e 04000201 03000043 a7040006 ..C........C.... + 13790: 0000143d 01030000 43b00400 02010300 ...=....C....... + 137a0: 0043bd04 00060000 143d0103 000043c6 .C.......=....C. + 137b0: 04000201 03000043 d3040006 00000118 .......C........ + 137c0: 01030000 43dc0400 06000042 d8010300 ....C......B.... + 137d0: 0043e904 00020103 000043f6 04000764 .C........C....d + 137e0: 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 137f0: 00456c08 5f696e69 74000000 43770223 .El._init...Cw.# + 13800: 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue + 13810: 00000043 87022304 085f696e 69745f74 ...C..#.._init_t + 13820: 785f7175 65756500 00004397 02230808 x_queue...C..#.. + 13830: 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 13840: 00000043 a002230c 085f786d 69745f62 ...C..#.._xmit_b + 13850: 75660000 0043a902 2310085f 666c7573 uf...C..#.._flus + 13860: 685f786d 69740000 00438702 2314085f h_xmit...C..#.._ + 13870: 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 13880: 43b60223 18085f72 65747572 6e5f7265 C..#.._return_re + 13890: 63765f62 75660000 0043bf02 231c085f cv_buf...C..#.._ + 138a0: 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 138b0: 000043cc 02232008 5f737761 705f6461 ..C..# ._swap_da + 138c0: 74610000 0043d502 2324085f 6861735f ta...C..#$._has_ + 138d0: 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 138e0: 43e20223 28085f64 6573635f 64756d70 C..#(._desc_dump + 138f0: 00000043 8702232c 085f6765 745f7061 ...C..#,._get_pa + 13900: 636b6574 00000043 ef022330 085f7265 cket...C..#0._re + 13910: 636c6169 6d5f7061 636b6574 00000043 claim_packet...C + 13920: f8022334 085f7075 745f7061 636b6574 ..#4._put_packet + 13930: 00000043 f8022338 08705265 73657276 ...C..#8.pReserv + 13940: 65640000 00040d02 233c0009 5f415f63 ed......#<.._A_c + 13950: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 13960: 5f746162 6c655f74 00000030 ab09574d _table_t...0..WM + 13970: 495f5356 435f4150 49530000 00411417 I_SVC_APIS...A.. + 13980: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 13990: 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. + 139a0: 469a0863 6d6e6f73 00000045 6c022300 F..cmnos...El.#. + 139b0: 08646267 00000003 da0323b8 03086869 .dbg......#...hi + 139c0: 66000000 29540323 c0030868 74630000 f...)T.#...htc.. + 139d0: 003ac703 23f80308 776d695f 7376635f .:..#...wmi_svc_ + 139e0: 61706900 0000458e 0323ac04 08757362 api...E..#...usb + 139f0: 6669666f 5f617069 00000032 7f0323d8 fifo_api...2..#. + 13a00: 04086275 665f706f 6f6c0000 00372803 ..buf_pool...7(. + 13a10: 23e40408 76627566 00000014 67032380 #...vbuf....g.#. + 13a20: 05087664 65736300 00001349 03239405 ..vdesc....I.#.. + 13a30: 08616c6c 6f637261 6d000000 093f0323 .allocram....?.# + 13a40: a8050864 6d615f65 6e67696e 65000000 ...dma_engine... + 13a50: 43ff0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. + 13a60: 002be803 23f40508 6869665f 70636900 .+..#...hif_pci. + 13a70: 00002e48 0323a806 00095f41 5f6d6167 ...H.#...._A_mag + 13a80: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 13a90: 7461626c 655f7400 000045a0 06000007 table_t...E..... + 13aa0: fd010300 0001b504 00060000 07fd011a ................ + 13ab0: 6565705f 73746174 65000000 07fd0503 eep_state....... + 13ac0: 00500978 011a6565 705f6578 69737400 .P.x..eep_exist. + 13ad0: 000007fd 05030050 097a010f 0000011f .......P.z...... + 13ae0: 06000047 09100500 03000046 fc040004 ...G.......F.... + 13af0: 6c6f6e67 20696e74 00050409 696e7433 long int....int3 + 13b00: 325f7400 00004710 1b000001 181b0000 2_t...G......... + 13b10: 01a01b00 0001a003 00004733 04000300 ..........G3.... + 13b20: 000f4204 0002011c 012d636d 6e6f735f ..B......-cmnos_ + 13b30: 65657072 6f6d5f77 72697465 5f68776f eeprom_write_hwo + 13b40: 72640000 0007fd01 01039201 20029000 rd.......... ... + 13b50: 008e1d38 008e1d8f 0000479c 1d012d6f ...8......G...-o + 13b60: 66667365 74000000 01920152 1d012d64 ffset......R..-d + 13b70: 61746100 00000192 0153001c 015a636d ata......S...Zcm + 13b80: 6e6f735f 65657072 6f6d5f72 6561645f nos_eeprom_read_ + 13b90: 68776f72 64000000 07fd0101 03920120 hword.......... + 13ba0: 02900000 8e1d9000 8e1dbb00 0047fe1d .............G.. + 13bb0: 015a6f66 66736574 00000001 9201521d .Zoffset......R. + 13bc0: 015a6d44 61746100 00000f21 01531e6d .ZmData....!.S.m + 13bd0: 53747344 61746100 000001b5 001c017a StsData........z + 13be0: 636d6e6f 735f6565 705f6973 5f657869 cmnos_eep_is_exi + 13bf0: 73740000 000f1201 01039201 20029000 st.......... ... + 13c00: 008e1dbc 008e1df3 00004839 1e6d4461 ..........H9.mDa + 13c10: 74610000 00019200 1c019963 6d6e6f73 ta.........cmnos + 13c20: 5f656570 5f777269 74650000 000f1201 _eep_write...... + 13c30: 01039201 20029000 008e1df4 008e1e54 .... ..........T + 13c40: 000048dc 1d01996f 66667365 74000000 ..H....offset... + 13c50: 01920152 1d01996c 656e0000 00019201 ...R...len...... + 13c60: 531d0199 70427566 0000000f 2101541e S...pBuf....!.T. + 13c70: 70446174 61000000 0f211e65 65705f73 pData....!.eep_s + 13c80: 74617274 5f6f6673 74000000 01921e65 tart_ofst......e + 13c90: 65705f65 6e645f6f 66737400 00000192 ep_end_ofst..... + 13ca0: 1e726574 56616c00 00000f12 1e690000 .retVal......i.. + 13cb0: 0001921e 6a000000 0192001c 01c7636d ....j.........cm + 13cc0: 6e6f735f 6565705f 72656164 0000000f nos_eep_read.... + 13cd0: 12010103 92012002 9000008e 1e54008e ...... ......T.. + 13ce0: 1e990000 49771d01 c76f6666 73657400 ....Iw...offset. + 13cf0: 00000192 01521d01 c76c656e 00000001 .....R...len.... + 13d00: 9201531d 01c77042 75660000 000f2101 ..S...pBuf....!. + 13d10: 541e6d44 61746100 00000f21 1e656570 T.mData....!.eep + 13d20: 5f737461 72745f6f 66737400 00000192 _start_ofst..... + 13d30: 1e656570 5f656e64 5f6f6673 74000000 .eep_end_ofst... + 13d40: 01921e72 65745661 6c000000 0f121e69 ...retVal......i + 13d50: 00000001 92001f01 f9636d6e 6f735f65 .........cmnos_e + 13d60: 65705f69 6e697400 01010392 01300290 ep_init......0.. + 13d70: 00008e1e 9c008e21 03000049 c9206900 .......!...I. i. + 13d80: 0000471c 02915020 7265675f 76616c75 ..G...P reg_valu + 13d90: 65000000 47290291 541e6d53 74734461 e...G)..T.mStsDa + 13da0: 74610000 0001b500 210101a0 636d6e6f ta......!...cmno + 13db0: 735f6565 705f6d6f 64756c65 5f696e73 s_eep_module_ins + 13dc0: 74616c6c 00010103 92012002 9000008e tall...... ..... + 13dd0: 2104008e 211d2201 01a07462 6c000000 !...!."...tbl... + 13de0: 473f0152 00000000 004ae500 02000008 G?.R.....J...... + 13df0: df04012f 726f6f74 2f576f72 6b737061 .../root/Workspa + 13e00: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13e10: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13e20: 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. + 13e30: 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil + 13e40: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 13e50: 2f636d6e 6f732f69 6e74722f 7372632f /cmnos/intr/src/ + 13e60: 636d6e6f 735f696e 74722e63 002f726f cmnos_intr.c./ro + 13e70: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 13e80: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 13e90: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 13ea0: 636d6e6f 732f696e 74720078 742d7863 cmnos/intr.xt-xc + 13eb0: 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 13ec0: 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 13ed0: 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - + 13ee0: 4f50543a 73706163 65000100 00005183 OPT:space.....Q. + 13ef0: 02010300 00010904 0004696e 74000504 ..........int... + 13f00: 04636861 72000701 05000001 19050000 .char........... + 13f10: 01190300 00012604 00060000 01120103 ......&......... + 13f20: 00000132 04000770 72696e74 665f6170 ...2...printf_ap + 13f30: 69000800 00017608 5f707269 6e74665f i.....v._printf_ + 13f40: 696e6974 00000001 0b022300 085f7072 init......#.._pr + 13f50: 696e7466 00000001 38022304 00047368 intf....8.#...sh + 13f60: 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 13f70: 00070209 75696e74 31365f74 00000001 ....uint16_t.... + 13f80: 76046c6f 6e672075 6e736967 6e656420 v.long unsigned + 13f90: 696e7400 07040975 696e7433 325f7400 int....uint32_t. + 13fa0: 0000019a 07756172 745f6669 666f0008 .....uart_fifo.. + 13fb0: 00000208 08737461 72745f69 6e646578 .....start_index + 13fc0: 00000001 8c022300 08656e64 5f696e64 ......#..end_ind + 13fd0: 65780000 00018c02 2302086f 76657272 ex......#..overr + 13fe0: 756e5f65 72720000 0001af02 23040007 un_err......#... + 13ff0: 75617274 5f617069 00200000 02c1085f uart_api. ....._ + 14000: 75617274 5f696e69 74000000 03180223 uart_init......# + 14010: 00085f75 6172745f 63686172 5f707574 .._uart_char_put + 14020: 00000003 3f022304 085f7561 72745f63 ....?.#.._uart_c + 14030: 6861725f 67657400 00000353 02230808 har_get....S.#.. + 14040: 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 14050: 035c0223 0c085f75 6172745f 7461736b .\.#.._uart_task + 14060: 00000001 0b022310 085f7561 72745f73 ......#.._uart_s + 14070: 74617475 73000000 03180223 14085f75 tatus......#.._u + 14080: 6172745f 636f6e66 69670000 00036502 art_config....e. + 14090: 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. + 140a0: 0000036e 02231c00 03000002 08040007 ...n.#.......... + 140b0: 75617274 5f626c6b 00100000 03120864 uart_blk.......d + 140c0: 65627567 5f6d6f64 65000000 018c0223 ebug_mode......# + 140d0: 00086261 75640000 00018c02 2302085f ..baud......#.._ + 140e0: 75617274 00000002 c1022304 085f7478 uart......#.._tx + 140f0: 00000001 bd022308 00060000 01af0103 ......#......... + 14100: 00000312 04000475 6e736967 6e656420 .......unsigned + 14110: 63686172 00070109 75696e74 385f7400 char....uint8_t. + 14120: 0000031f 02010300 00033d04 00030000 ..........=..... + 14130: 03300400 06000001 8c010300 00034d04 .0............M. + 14140: 00020103 0000035a 04000201 03000003 .......Z........ + 14150: 63040002 01030000 036c0400 03000001 c........l...... + 14160: 19040006 00000112 01030000 037c0400 .............|.. + 14170: 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU + 14180: 4354000c 000003d4 08636d64 5f737472 CT.......cmd_str + 14190: 00000003 75022300 0868656c 705f7374 ....u.#..help_st + 141a0: 72000000 03750223 0408636d 645f6675 r....u.#..cmd_fu + 141b0: 6e630000 00038202 23080007 6462675f nc......#...dbg_ + 141c0: 61706900 08000004 07085f64 62675f69 api......._dbg_i + 141d0: 6e697400 0000010b 02230008 5f646267 nit......#.._dbg + 141e0: 5f746173 6b000000 010b0223 04000a04 _task......#.... + 141f0: 0004756e 7369676e 65642069 6e740007 ..unsigned int.. + 14200: 04060000 04070103 0000041a 04000b0b ................ + 14210: 03000004 28040006 00000407 01030000 ....(........... + 14220: 04300400 06000001 12010300 00043d04 .0............=. + 14230: 00076d65 6d5f6170 69001400 0004ac08 ..mem_api....... + 14240: 5f6d656d 5f696e69 74000000 010b0223 _mem_init......# + 14250: 00085f6d 656d7365 74000000 04200223 .._memset.... .# + 14260: 04085f6d 656d6370 79000000 04360223 .._memcpy....6.# + 14270: 08085f6d 656d6d6f 76650000 00043602 .._memmove....6. + 14280: 230c085f 6d656d63 6d700000 00044302 #.._memcmp....C. + 14290: 23100007 72656769 73746572 5f64756d #...register_dum + 142a0: 705f7300 90000005 13087461 72676574 p_s.......target + 142b0: 5f696400 000001af 02230008 6173736c _id......#..assl + 142c0: 696e6500 000001af 02230408 70630000 ine......#..pc.. + 142d0: 0001af02 23080862 61647661 64647200 ....#..badvaddr. + 142e0: 000001af 02230c08 6578635f 6672616d .....#..exc_fram + 142f0: 65000000 482f0223 10000300 0004ac04 e...H/.#........ + 14300: 00020103 0000051a 04000201 03000005 ................ + 14310: 23040006 00000112 01030000 052c0400 #............,.. + 14320: 0c686f73 7469665f 73000400 0005880d .hostif_s....... + 14330: 4849465f 55534200 000d4849 465f5043 HIF_USB...HIF_PC + 14340: 49450001 0d484946 5f474d41 4300020d IE...HIF_GMAC... + 14350: 4849465f 50434900 030d4849 465f4e55 HIF_PCI...HIF_NU + 14360: 4d00040d 4849465f 4e4f4e45 00050009 M...HIF_NONE.... + 14370: 415f484f 53544946 00000005 39060000 A_HOSTIF....9... + 14380: 05880103 00000596 04000600 00033001 ..............0. + 14390: 03000005 a3040006 0000018c 01030000 ................ + 143a0: 05b00400 076d6973 635f6170 69002400 .....misc_api.$. + 143b0: 0006a008 5f737973 74656d5f 72657365 ...._system_rese + 143c0: 74000000 010b0223 00085f6d 61635f72 t......#.._mac_r + 143d0: 65736574 00000001 0b022304 085f6173 eset......#.._as + 143e0: 73666169 6c000000 051c0223 08085f6d sfail......#.._m + 143f0: 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 14400: 616e646c 65720000 00051c02 230c085f andler......#.._ + 14410: 7265706f 72745f66 61696c75 72655f74 report_failure_t + 14420: 6f5f686f 73740000 00052502 2310085f o_host....%.#.._ + 14430: 74617267 65745f69 645f6765 74000000 target_id_get... + 14440: 05320223 14085f69 735f686f 73745f70 .2.#.._is_host_p + 14450: 72657365 6e740000 00059c02 2318085f resent......#.._ + 14460: 6b626869 74000000 05a90223 1c085f72 kbhit......#.._r + 14470: 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 14480: 0005b602 23200006 00000375 01030000 ....# .....u.... + 14490: 06a00400 06000003 75010300 0006ad04 ........u....... + 144a0: 00060000 01120103 000006ba 04000600 ................ + 144b0: 00011201 03000006 c7040006 00000112 ................ + 144c0: 01030000 06d40400 07737472 696e675f .........string_ + 144d0: 61706900 18000007 5a085f73 7472696e api.....Z._strin + 144e0: 675f696e 69740000 00010b02 2300085f g_init......#.._ + 144f0: 73747263 70790000 0006a602 2304085f strcpy......#.._ + 14500: 7374726e 63707900 000006b3 02230808 strncpy......#.. + 14510: 5f737472 6c656e00 000006c0 02230c08 _strlen......#.. + 14520: 5f737472 636d7000 000006cd 02231008 _strcmp......#.. + 14530: 5f737472 6e636d70 00000006 da022314 _strncmp......#. + 14540: 000e0000 040a1400 0007670f 0400095f ..........g...._ + 14550: 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 14560: 075a0941 5f74696d 65725f74 00000007 .Z.A_timer_t.... + 14570: 67030000 077b0400 02010300 00079104 g....{.......... + 14580: 00020103 0000079a 04000941 5f48414e ...........A_HAN + 14590: 444c4500 0000040a 02010941 5f54494d DLE........A_TIM + 145a0: 45525f46 554e4300 000007b1 03000007 ER_FUNC......... + 145b0: b3040002 01030000 07cc0400 0774696d .............tim + 145c0: 65725f61 70690014 0000084b 085f7469 er_api.....K._ti + 145d0: 6d65725f 696e6974 00000001 0b022300 mer_init......#. + 145e0: 085f7469 6d65725f 61726d00 00000793 ._timer_arm..... + 145f0: 02230408 5f74696d 65725f64 69736172 .#.._timer_disar + 14600: 6d000000 079c0223 08085f74 696d6572 m......#.._timer + 14610: 5f736574 666e0000 0007ce02 230c085f _setfn......#.._ + 14620: 74696d65 725f7275 6e000000 010b0223 timer_run......# + 14630: 10000942 4f4f4c45 414e0000 00018c06 ...BOOLEAN...... + 14640: 0000084b 01030000 08580400 06000008 ...K.....X...... + 14650: 4b010300 00086504 00060000 084b0103 K.....e......K.. + 14660: 00000872 04000772 6f6d705f 61706900 ...r...romp_api. + 14670: 10000008 e4085f72 6f6d705f 696e6974 ......_romp_init + 14680: 00000001 0b022300 085f726f 6d705f64 ......#.._romp_d + 14690: 6f776e6c 6f616400 0000085e 02230408 ownload....^.#.. + 146a0: 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 146b0: 086b0223 08085f72 6f6d705f 6465636f .k.#.._romp_deco + 146c0: 64650000 00087802 230c0007 726f6d5f de....x.#...rom_ + 146d0: 70617463 685f7374 00100000 09400863 patch_st.....@.c + 146e0: 72633136 00000001 8c022300 086c656e rc16......#..len + 146f0: 00000001 8c022302 086c645f 61646472 ......#..ld_addr + 14700: 00000001 af022304 0866756e 5f616464 ......#..fun_add + 14710: 72000000 01af0223 08087066 756e0000 r......#..pfun.. + 14720: 00034602 230c0007 6565705f 72656469 ..F.#...eep_redi + 14730: 725f6164 64720004 00000972 086f6666 r_addr.....r.off + 14740: 73657400 0000018c 02230008 73697a65 set......#..size + 14750: 00000001 8c022302 0009415f 55494e54 ......#...A_UINT + 14760: 33320000 00040a06 00000407 01030000 32.............. + 14770: 09800400 07616c6c 6f637261 6d5f6170 .....allocram_ap + 14780: 69000c00 0009f108 636d6e6f 735f616c i.......cmnos_al + 14790: 6c6f6372 616d5f69 6e697400 00000986 locram_init..... + 147a0: 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 147b0: 616d0000 00098602 23040863 6d6e6f73 am......#..cmnos + 147c0: 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 147d0: 0000010b 02230800 02010300 0009f104 .....#.......... + 147e0: 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 147f0: 00000009 f3075f74 61736b6c 65740010 ......_tasklet.. + 14800: 00000a52 0866756e 63000000 09fa0223 ...R.func......# + 14810: 00086172 67000000 04070223 04087374 ..arg......#..st + 14820: 61746500 00000112 02230808 6e657874 ate......#..next + 14830: 0000000a 5202230c 00030000 0a0e0400 ....R.#......... + 14840: 0300000a 0e040009 415f7461 736b6c65 ........A_taskle + 14850: 745f7400 00000a0e 0300000a 60040002 t_t.........`... + 14860: 01030000 0a780400 02010300 000a8104 .....x.......... + 14870: 00077461 736b6c65 745f6170 69001400 ..tasklet_api... + 14880: 000b1608 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 14890: 74000000 010b0223 00085f74 61736b6c t......#.._taskl + 148a0: 65745f69 6e69745f 7461736b 0000000a et_init_task.... + 148b0: 7a022304 085f7461 736b6c65 745f6469 z.#.._tasklet_di + 148c0: 7361626c 65000000 0a830223 08085f74 sable......#.._t + 148d0: 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 148e0: 00000a83 02230c08 5f746173 6b6c6574 .....#.._tasklet + 148f0: 5f72756e 00000001 0b022310 00020103 _run......#..... + 14900: 00000b16 04000600 00097201 0300000b ..........r..... + 14910: 1f040002 01030000 0b2c0400 07636c6f .........,...clo + 14920: 636b5f61 70690024 00000c0e 085f636c ck_api.$....._cl + 14930: 6f636b5f 696e6974 0000000b 18022300 ock_init......#. + 14940: 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init + 14950: 00000001 0b022304 085f7561 72745f66 ......#.._uart_f + 14960: 72657175 656e6379 0000000b 25022308 requency....%.#. + 14970: 085f6465 6c61795f 75730000 000b2e02 ._delay_us...... + 14980: 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se + 14990: 74000000 0b2e0223 10085f72 6566636c t......#.._refcl + 149a0: 6b5f7370 6565645f 67657400 00000b25 k_speed_get....% + 149b0: 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond + 149c0: 73000000 0b250223 18085f73 7973636c s....%.#.._syscl + 149d0: 6b5f6368 616e6765 00000001 0b02231c k_change......#. + 149e0: 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... + 149f0: 0b022320 00060000 01af0103 00000c0e ..# ............ + 14a00: 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. + 14a10: 000001af 0600000c 1b010300 000c2d04 ..............-. + 14a20: 00020103 00000c3a 04000201 0300000c .......:........ + 14a30: 43040006 000001af 01030000 0c4c0400 C............L.. + 14a40: 09415f69 73725f74 0000000c 52020103 .A_isr_t....R... + 14a50: 00000c66 04000600 00040a01 0300000c ...f............ + 14a60: 6f040002 01030000 0c7c0400 07696e74 o........|...int + 14a70: 725f6170 69002c00 000d9e08 5f696e74 r_api.,....._int + 14a80: 725f696e 69740000 00010b02 2300085f r_init......#.._ + 14a90: 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. + 14aa0: 00000c14 02230408 5f696e74 725f6469 .....#.._intr_di + 14ab0: 7361626c 65000000 0c330223 08085f69 sable....3.#.._i + 14ac0: 6e74725f 72657374 6f726500 00000c3c ntr_restore....< + 14ad0: 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i + 14ae0: 6e756d00 00000c45 02231008 5f696e74 num....E.#.._int + 14af0: 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... + 14b00: 0c450223 14085f69 6e74725f 61747461 .E.#.._intr_atta + 14b10: 63685f69 73720000 000c6802 2318085f ch_isr....h.#.._ + 14b20: 6765745f 696e7472 656e6162 6c650000 get_intrenable.. + 14b30: 000c7502 231c085f 7365745f 696e7472 ..u.#.._set_intr + 14b40: 656e6162 6c650000 000c7e02 2320085f enable....~.# ._ + 14b50: 6765745f 696e7472 70656e64 696e6700 get_intrpending. + 14b60: 00000c75 02232408 5f756e62 6c6f636b ...u.#$._unblock + 14b70: 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... + 14b80: 0b022328 00100400 000dc408 74696d65 ..#(........time + 14b90: 6f757400 000001af 02230008 61637469 out......#..acti + 14ba0: 6f6e0000 0001af02 23000011 0800000d on......#....... + 14bb0: df08636d 64000000 01af0223 00120000 ..cmd......#.... + 14bc0: 0d9e0223 04000954 5f574454 5f434d44 ...#...T_WDT_CMD + 14bd0: 0000000d c4020103 00000dee 04001304 ................ + 14be0: 00000e44 0d454e55 4d5f5744 545f424f ...D.ENUM_WDT_BO + 14bf0: 4f540001 0d454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B + 14c00: 4f4f5400 020d454e 554d5f53 5553505f OOT...ENUM_SUSP_ + 14c10: 424f4f54 00030d45 4e554d5f 554e4b4e BOOT...ENUM_UNKN + 14c20: 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO + 14c30: 4f545f54 59504500 00000df7 0600000e OT_TYPE......... + 14c40: 44010300 000e5504 00077764 745f6170 D.....U...wdt_ap + 14c50: 69001c00 000ef908 5f776474 5f696e69 i......._wdt_ini + 14c60: 74000000 010b0223 00085f77 64745f65 t......#.._wdt_e + 14c70: 6e61626c 65000000 010b0223 04085f77 nable......#.._w + 14c80: 64745f64 69736162 6c650000 00010b02 dt_disable...... + 14c90: 2308085f 7764745f 73657400 00000df0 #.._wdt_set..... + 14ca0: 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... + 14cb0: 010b0223 10085f77 64745f72 65736574 ...#.._wdt_reset + 14cc0: 00000001 0b022314 085f7764 745f6c61 ......#.._wdt_la + 14cd0: 73745f62 6f6f7400 00000e5b 02231800 st_boot....[.#.. + 14ce0: 13040000 0f600d52 45545f53 55434345 .....`.RET_SUCCE + 14cf0: 53530000 0d524554 5f4e4f54 5f494e49 SS...RET_NOT_INI + 14d00: 5400010d 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS + 14d10: 5400020d 5245545f 4545505f 434f5252 T...RET_EEP_CORR + 14d20: 55505400 030d5245 545f4545 505f4f56 UPT...RET_EEP_OV + 14d30: 4552464c 4f570004 0d524554 5f554e4b ERFLOW...RET_UNK + 14d40: 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE + 14d50: 54000000 0ef90300 00018c04 00060000 T............... + 14d60: 0f600103 00000f76 04000600 000f6001 .`.....v......`. + 14d70: 0300000f 83040007 6565705f 61706900 ........eep_api. + 14d80: 1000000f ec085f65 65705f69 6e697400 ......_eep_init. + 14d90: 0000010b 02230008 5f656570 5f726561 .....#.._eep_rea + 14da0: 64000000 0f7c0223 04085f65 65705f77 d....|.#.._eep_w + 14db0: 72697465 0000000f 7c022308 085f6565 rite....|.#.._ee + 14dc0: 705f6973 5f657869 73740000 000f8902 p_is_exist...... + 14dd0: 230c0007 7573625f 61706900 70000012 #...usb_api.p... + 14de0: 99085f75 73625f69 6e697400 0000010b .._usb_init..... + 14df0: 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas + 14e00: 6b000000 010b0223 04085f75 73625f66 k......#.._usb_f + 14e10: 775f7461 736b0000 00010b02 2308085f w_task......#.._ + 14e20: 7573625f 696e6974 5f706879 00000001 usb_init_phy.... + 14e30: 0b02230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se + 14e40: 74757000 0000010b 02231008 5f757362 tup......#.._usb + 14e50: 5f657030 5f747800 0000010b 02231408 _ep0_tx......#.. + 14e60: 5f757362 5f657030 5f727800 0000010b _usb_ep0_rx..... + 14e70: 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int + 14e80: 65726661 63650000 00086b02 231c085f erface....k.#.._ + 14e90: 7573625f 7365745f 696e7465 72666163 usb_set_interfac + 14ea0: 65000000 086b0223 20085f75 73625f67 e....k.# ._usb_g + 14eb0: 65745f63 6f6e6669 67757261 74696f6e et_configuration + 14ec0: 00000008 6b022324 085f7573 625f7365 ....k.#$._usb_se + 14ed0: 745f636f 6e666967 75726174 696f6e00 t_configuration. + 14ee0: 0000086b 02232808 5f757362 5f737461 ...k.#(._usb_sta + 14ef0: 6e646172 645f636d 64000000 086b0223 ndard_cmd....k.# + 14f00: 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm + 14f10: 64000000 010b0223 30085f75 73625f70 d......#0._usb_p + 14f20: 6f776572 5f6f6666 00000001 0b022334 ower_off......#4 + 14f30: 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo + 14f40: 00000001 0b022338 085f7573 625f6765 ......#8._usb_ge + 14f50: 6e5f7764 74000000 010b0223 3c085f75 n_wdt......#<._u + 14f60: 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... + 14f70: 0b022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe + 14f80: 61747572 65000000 086b0223 44085f75 ature....k.#D._u + 14f90: 73625f73 65745f66 65617475 72650000 sb_set_feature.. + 14fa0: 00086b02 2348085f 7573625f 7365745f ..k.#H._usb_set_ + 14fb0: 61646472 65737300 0000086b 02234c08 address....k.#L. + 14fc0: 5f757362 5f676574 5f646573 63726970 _usb_get_descrip + 14fd0: 746f7200 0000086b 02235008 5f757362 tor....k.#P._usb + 14fe0: 5f676574 5f737461 74757300 0000086b _get_status....k + 14ff0: 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d + 15000: 65736300 0000010b 02235808 5f757362 esc......#X._usb + 15010: 5f726567 5f6f7574 00000001 0b02235c _reg_out......#\ + 15020: 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. + 15030: 0000010b 02236008 5f757362 5f657030 .....#`._usb_ep0 + 15040: 5f74785f 64617461 00000001 0b022364 _tx_data......#d + 15050: 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat + 15060: 61000000 010b0223 68085f75 73625f63 a......#h._usb_c + 15070: 6c6b5f69 6e697400 0000010b 02236c00 lk_init......#l. + 15080: 075f5644 45534300 24000013 25086e65 ._VDESC.$...%.ne + 15090: 78745f64 65736300 00001325 02230008 xt_desc....%.#.. + 150a0: 6275665f 61646472 00000013 39022304 buf_addr....9.#. + 150b0: 08627566 5f73697a 65000000 13400223 .buf_size....@.# + 150c0: 08086461 74615f6f 66667365 74000000 ..data_offset... + 150d0: 13400223 0a086461 74615f73 697a6500 .@.#..data_size. + 150e0: 00001340 02230c08 636f6e74 726f6c00 ...@.#..control. + 150f0: 00001340 02230e08 68775f64 6573635f ...@.#..hw_desc_ + 15100: 62756600 0000134e 02231000 03000012 buf....N.#...... + 15110: 99040009 415f5549 4e543800 0000031f ....A_UINT8..... + 15120: 03000013 2c040009 415f5549 4e543136 ....,...A_UINT16 + 15130: 00000001 760e0000 132c1400 00135b0f ....v....,....[. + 15140: 13000300 00129904 00095644 45534300 ..........VDESC. + 15150: 00001299 03000013 62040006 0000136d ........b......m + 15160: 01030000 13740400 06000013 39010300 .....t......9... + 15170: 00138104 00020103 0000138e 04000776 ...............v + 15180: 64657363 5f617069 00140000 1406085f desc_api......._ + 15190: 696e6974 0000000b 2e022300 085f616c init......#.._al + 151a0: 6c6f635f 76646573 63000000 137a0223 loc_vdesc....z.# + 151b0: 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. + 151c0: 00138702 2308085f 73776170 5f766465 ....#.._swap_vde + 151d0: 73630000 00139002 230c0870 52657365 sc......#..pRese + 151e0: 72766564 00000004 07022310 00075f56 rved......#..._V + 151f0: 42554600 20000014 66086465 73635f6c BUF. ...f.desc_l + 15200: 69737400 0000136d 02230008 6e657874 ist....m.#..next + 15210: 5f627566 00000014 66022304 08627566 _buf....f.#..buf + 15220: 5f6c656e 67746800 00001340 02230808 _length....@.#.. + 15230: 72657365 72766564 00000014 6d02230a reserved....m.#. + 15240: 08637478 00000013 4e02230c 00030000 .ctx....N.#..... + 15250: 14060400 0e000013 2c020000 147a0f01 ........,....z.. + 15260: 00030000 14060400 09564255 46000000 .........VBUF... + 15270: 14060300 00148104 00060000 148b0103 ................ + 15280: 00001492 04000600 00148b01 03000014 ................ + 15290: 9f040002 01030000 14ac0400 07766275 .............vbu + 152a0: 665f6170 69001400 00152a08 5f696e69 f_api.....*._ini + 152b0: 74000000 0b2e0223 00085f61 6c6c6f63 t......#.._alloc + 152c0: 5f766275 66000000 14980223 04085f61 _vbuf......#.._a + 152d0: 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s + 152e0: 697a6500 000014a5 02230808 5f667265 ize......#.._fre + 152f0: 655f7662 75660000 0014ae02 230c0870 e_vbuf......#..p + 15300: 52657365 72766564 00000004 07022310 Reserved......#. + 15310: 00075f5f 6164665f 64657669 63650004 ..__adf_device.. + 15320: 0000154c 0864756d 6d790000 00011202 ...L.dummy...... + 15330: 23000003 00000972 0400075f 5f616466 #......r...__adf + 15340: 5f646d61 5f6d6170 000c0000 15930862 _dma_map.......b + 15350: 75660000 00148b02 23000864 735f6164 uf......#..ds_ad + 15360: 64720000 00154c02 23040864 735f6c65 dr....L.#..ds_le + 15370: 6e000000 13400223 0800110c 000015cd n....@.#........ + 15380: 085f5f76 615f7374 6b000000 03750223 .__va_stk....u.# + 15390: 00085f5f 76615f72 65670000 00037502 ..__va_reg....u. + 153a0: 2304085f 5f76615f 6e647800 00000112 #..__va_ndx..... + 153b0: 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm + 153c0: 615f6164 64725f74 00000009 72096164 a_addr_t....r.ad + 153d0: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 153e0: 000015cd 095f5f61 64665f6f 735f646d .....__adf_os_dm + 153f0: 615f7369 7a655f74 00000009 72096164 a_size_t....r.ad + 15400: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 15410: 000015fd 075f5f64 6d615f73 65677300 .....__dma_segs. + 15420: 08000016 59087061 64647200 000015e6 ....Y.paddr..... + 15430: 02230008 6c656e00 00001616 02230400 .#..len......#.. + 15440: 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... + 15450: 09720961 5f75696e 7433325f 74000000 .r.a_uint32_t... + 15460: 16590e00 00162d08 00001688 0f000007 .Y....-......... + 15470: 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 15480: 666f000c 000016c1 086e7365 67730000 fo.......nsegs.. + 15490: 00166b02 23000864 6d615f73 65677300 ..k.#..dma_segs. + 154a0: 0000167b 02230400 095f5f61 5f75696e ...{.#...__a_uin + 154b0: 74385f74 00000013 2c09615f 75696e74 t8_t....,.a_uint + 154c0: 385f7400 000016c1 03000016 d2040007 8_t............. + 154d0: 5f5f7367 5f736567 73000800 00171308 __sg_segs....... + 154e0: 76616464 72000000 16e10223 00086c65 vaddr......#..le + 154f0: 6e000000 166b0223 04000e00 0016e820 n....k.#....... + 15500: 00001720 0f030007 6164665f 6f735f73 ... ....adf_os_s + 15510: 676c6973 74002400 00175308 6e736567 glist.$...S.nseg + 15520: 73000000 166b0223 00087367 5f736567 s....k.#..sg_seg + 15530: 73000000 17130223 04001110 0000179c s......#........ + 15540: 0876656e 646f7200 0000166b 02230008 .vendor....k.#.. + 15550: 64657669 63650000 00166b02 23040873 device....k.#..s + 15560: 75627665 6e646f72 00000016 6b022308 ubvendor....k.#. + 15570: 08737562 64657669 63650000 00166b02 .subdevice....k. + 15580: 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un + 15590: 7369676e 65642069 6e740007 0809415f signed int....A_ + 155a0: 55494e54 36340000 00179c09 5f5f615f UINT64......__a_ + 155b0: 75696e74 36345f74 00000017 b609615f uint64_t......a_ + 155c0: 75696e74 36345f74 00000017 c4130400 uint64_t........ + 155d0: 0018220d 4144465f 4f535f52 45534f55 ..".ADF_OS_RESOU + 155e0: 5243455f 54595045 5f4d454d 00000d41 RCE_TYPE_MEM...A + 155f0: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 15600: 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os + 15610: 5f726573 6f757263 655f7479 70655f74 _resource_type_t + 15620: 00000017 e6111800 00186c08 73746172 ..........l.star + 15630: 74000000 17d60223 0008656e 64000000 t......#..end... + 15640: 17d60223 08087479 70650000 00182202 ...#..type....". + 15650: 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d + 15660: 65765f69 645f7400 00001753 03000018 ev_id_t....S.... + 15670: 6c040010 04000018 ab087063 69000000 l.........pci... + 15680: 18850223 00087261 77000000 04070223 ...#..raw......# + 15690: 00001010 000018ca 08706369 00000018 .........pci.... + 156a0: 6c022300 08726177 00000004 07022300 l.#..raw......#. + 156b0: 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle + 156c0: 5f740000 00040709 6164665f 6f735f72 _t......adf_os_r + 156d0: 65736f75 7263655f 74000000 183e0300 esource_t....>.. + 156e0: 0018e004 00096164 665f6f73 5f617474 ......adf_os_att + 156f0: 6163685f 64617461 5f740000 0018ab03 ach_data_t...... + 15700: 000018fe 04000300 00152a04 00095f5f ..........*...__ + 15710: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 15720: 0000191f 09616466 5f6f735f 64657669 .....adf_os_devi + 15730: 63655f74 00000019 26060000 18ca0103 ce_t....&....... + 15740: 00001952 04000201 03000019 5f040009 ...R........_... + 15750: 6164665f 6f735f70 6d5f7400 00000407 adf_os_pm_t..... + 15760: 02010300 00197904 00130400 0019b90d ......y......... + 15770: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 15780: 50434900 010d4144 465f4f53 5f425553 PCI...ADF_OS_BUS + 15790: 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... + 157a0: 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type + 157b0: 5f740000 00198209 6164665f 6f735f62 _t......adf_os_b + 157c0: 75735f72 65675f64 6174615f 74000000 us_reg_data_t... + 157d0: 188c0300 00031f04 00075f61 64665f64 .........._adf_d + 157e0: 72765f69 6e666f00 2000001a 96086472 rv_info. .....dr + 157f0: 765f6174 74616368 00000019 58022300 v_attach....X.#. + 15800: 08647276 5f646574 61636800 00001961 .drv_detach....a + 15810: 02230408 6472765f 73757370 656e6400 .#..drv_suspend. + 15820: 0000197b 02230808 6472765f 72657375 ...{.#..drv_resu + 15830: 6d650000 00196102 230c0862 75735f74 me....a.#..bus_t + 15840: 79706500 000019b9 02231008 6275735f ype......#..bus_ + 15850: 64617461 00000019 d0022314 086d6f64 data......#..mod + 15860: 5f6e616d 65000000 19eb0223 18086966 _name......#..if + 15870: 6e616d65 00000019 eb02231c 00096164 name......#...ad + 15880: 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... + 15890: 04070300 0016c104 00020102 01095f5f ..............__ + 158a0: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 158b0: 040a1304 00001ae5 0d415f46 414c5345 .........A_FALSE + 158c0: 00000d41 5f545255 45000100 09615f62 ...A_TRUE....a_b + 158d0: 6f6f6c5f 74000000 1acb0300 00155304 ool_t.........S. + 158e0: 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m + 158f0: 61705f74 0000001a f302010c 6164665f ap_t........adf_ + 15900: 6f735f63 61636865 5f73796e 63000400 os_cache_sync... + 15910: 001b7d0d 4144465f 53594e43 5f505245 ..}.ADF_SYNC_PRE + 15920: 52454144 00000d41 44465f53 594e435f READ...ADF_SYNC_ + 15930: 50524557 52495445 00020d41 44465f53 PREWRITE...ADF_S + 15940: 594e435f 504f5354 52454144 00010d41 YNC_POSTREAD...A + 15950: 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT + 15960: 45000300 09616466 5f6f735f 63616368 E....adf_os_cach + 15970: 655f7379 6e635f74 0000001b 14020109 e_sync_t........ + 15980: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 15990: 1ab60600 001b9801 09616466 5f6f735f .........adf_os_ + 159a0: 646d615f 6d61705f 74000000 1afa0300 dma_map_t....... + 159b0: 001bb104 00060000 04070103 00001afa ................ + 159c0: 04000600 00040701 02010600 0015e601 ................ + 159d0: 02010473 686f7274 20696e74 00050209 ...short int.... + 159e0: 415f494e 54313600 00001beb 095f5f61 A_INT16......__a + 159f0: 5f696e74 31365f74 0000001b f809615f _int16_t......a_ + 15a00: 696e7431 365f7400 00001c05 04736967 int16_t......sig + 15a10: 6e656420 63686172 00050109 415f494e ned char....A_IN + 15a20: 54380000 001c2509 5f5f615f 696e7438 T8....%.__a_int8 + 15a30: 5f740000 001c3409 615f696e 74385f74 _t....4.a_int8_t + 15a40: 0000001c 40110c00 001cb708 73757070 ....@.......supp + 15a50: 6f727465 64000000 166b0223 00086164 orted....k.#..ad + 15a60: 76657274 697a6564 00000016 6b022304 vertized....k.#. + 15a70: 08737065 65640000 001c1602 23080864 .speed......#..d + 15a80: 75706c65 78000000 1c500223 0a086175 uplex....P.#..au + 15a90: 746f6e65 67000000 16d20223 0b000e00 toneg......#.... + 15aa0: 0016d206 00001cc4 0f050007 6164665f ............adf_ + 15ab0: 6e65745f 65746861 64647200 0600001c net_ethaddr..... + 15ac0: e8086164 64720000 001cb702 23000009 ..addr......#... + 15ad0: 5f5f615f 75696e74 31365f74 00000013 __a_uint16_t.... + 15ae0: 4009615f 75696e74 31365f74 0000001c @.a_uint16_t.... + 15af0: e8110e00 001d4c08 65746865 725f6468 ......L.ether_dh + 15b00: 6f737400 00001cb7 02230008 65746865 ost......#..ethe + 15b10: 725f7368 6f737400 00001cb7 02230608 r_shost......#.. + 15b20: 65746865 725f7479 70650000 001cfa02 ether_type...... + 15b30: 230c0011 1400001e 0d146970 5f766572 #.........ip_ver + 15b40: 73696f6e 00000016 d2010004 02230014 sion.........#.. + 15b50: 69705f68 6c000000 16d20104 04022300 ip_hl.........#. + 15b60: 0869705f 746f7300 000016d2 02230108 .ip_tos......#.. + 15b70: 69705f6c 656e0000 001cfa02 23020869 ip_len......#..i + 15b80: 705f6964 0000001c fa022304 0869705f p_id......#..ip_ + 15b90: 66726167 5f6f6666 0000001c fa022306 frag_off......#. + 15ba0: 0869705f 74746c00 000016d2 02230808 .ip_ttl......#.. + 15bb0: 69705f70 726f746f 00000016 d2022309 ip_proto......#. + 15bc0: 0869705f 63686563 6b000000 1cfa0223 .ip_check......# + 15bd0: 0a086970 5f736164 64720000 00166b02 ..ip_saddr....k. + 15be0: 230c0869 705f6461 64647200 0000166b #..ip_daddr....k + 15bf0: 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla + 15c00: 6e686472 00040000 1e5f0874 70696400 nhdr....._.tpid. + 15c10: 00001cfa 02230014 7072696f 00000016 .....#..prio.... + 15c20: d2010003 02230214 63666900 000016d2 .....#..cfi..... + 15c30: 01030102 23021476 69640000 001cfa02 ....#..vid...... + 15c40: 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v + 15c50: 69640002 00001e90 14726573 00000016 id.......res.... + 15c60: d2010004 02230014 76616c00 00001cfa .....#..val..... + 15c70: 02040c02 23000011 0c00001e cc087278 ....#.........rx + 15c80: 5f627566 73697a65 00000016 6b022300 _bufsize....k.#. + 15c90: 0872785f 6e646573 63000000 166b0223 .rx_ndesc....k.# + 15ca0: 04087478 5f6e6465 73630000 00166b02 ..tx_ndesc....k. + 15cb0: 23080011 0800001e f208706f 6c6c6564 #.........polled + 15cc0: 0000001a e5022300 08706f6c 6c5f7774 ......#..poll_wt + 15cd0: 00000016 6b022304 000e0000 16d24000 ....k.#.......@. + 15ce0: 001eff0f 3f001146 00001f27 0869665f ....?..F...'.if_ + 15cf0: 6e616d65 0000001e f2022300 08646576 name......#..dev + 15d00: 5f616464 72000000 1cb70223 40001304 _addr......#@... + 15d10: 00001f5e 0d414446 5f4f535f 444d415f ...^.ADF_OS_DMA_ + 15d20: 4d41534b 5f333242 49540000 0d414446 MASK_32BIT...ADF + 15d30: 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B + 15d40: 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma + 15d50: 5f6d6173 6b5f7400 00001f27 07616466 _mask_t....'.adf + 15d60: 5f646d61 5f696e66 6f000800 001fab08 _dma_info....... + 15d70: 646d615f 6d61736b 0000001f 5e022300 dma_mask....^.#. + 15d80: 0873675f 6e736567 73000000 166b0223 .sg_nsegs....k.# + 15d90: 04001304 00002001 0d414446 5f4e4554 ...... ..ADF_NET + 15da0: 5f434b53 554d5f4e 4f4e4500 000d4144 _CKSUM_NONE...AD + 15db0: 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 15dc0: 5544505f 49507634 00010d41 44465f4e UDP_IPv4...ADF_N + 15dd0: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 15de0: 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net + 15df0: 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 15e00: 1fab1108 00002044 0874785f 636b7375 ...... D.tx_cksu + 15e10: 6d000000 20010223 00087278 5f636b73 m... ..#..rx_cks + 15e20: 756d0000 00200102 23040009 6164665f um... ..#...adf_ + 15e30: 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t + 15e40: 00000020 1b130400 00209d0d 4144465f ... ..... ..ADF_ + 15e50: 4e45545f 54534f5f 4e4f4e45 00000d41 NET_TSO_NONE...A + 15e60: 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. + 15e70: 010d4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL + 15e80: 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso + 15e90: 5f747970 655f7400 0000205e 11100000 _type_t... ^.... + 15ea0: 20f10863 6b73756d 5f636170 00000020 ..cksum_cap... + 15eb0: 44022300 0874736f 00000020 9d022308 D.#..tso... ..#. + 15ec0: 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. + 15ed0: 000016d2 02230c00 11200000 218a0874 .....#... ..!..t + 15ee0: 785f7061 636b6574 73000000 166b0223 x_packets....k.# + 15ef0: 00087278 5f706163 6b657473 00000016 ..rx_packets.... + 15f00: 6b022304 0874785f 62797465 73000000 k.#..tx_bytes... + 15f10: 166b0223 08087278 5f627974 65730000 .k.#..rx_bytes.. + 15f20: 00166b02 230c0874 785f6472 6f707065 ..k.#..tx_droppe + 15f30: 64000000 166b0223 10087278 5f64726f d....k.#..rx_dro + 15f40: 70706564 00000016 6b022314 0872785f pped....k.#..rx_ + 15f50: 6572726f 72730000 00166b02 23180874 errors....k.#..t + 15f60: 785f6572 726f7273 00000016 6b02231c x_errors....k.#. + 15f70: 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 15f80: 725f7400 00001cc4 15000021 8a030000 r_t........!.... + 15f90: 0021af0f 7f001661 64665f6e 65745f63 .!.....adf_net_c + 15fa0: 6d645f6d 63616464 72000304 000021e6 md_mcaddr.....!. + 15fb0: 086e656c 656d0000 00166b02 2300086d .nelem....k.#..m + 15fc0: 63617374 00000021 a1022304 00096164 cast...!..#...ad + 15fd0: 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i + 15fe0: 6e666f5f 74000000 1c5e0961 64665f6e nfo_t....^.adf_n + 15ff0: 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info + 16000: 5f740000 001ecc09 6164665f 6e65745f _t......adf_net_ + 16010: 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t + 16020: 00000020 44096164 665f6e65 745f636d ... D.adf_net_cm + 16030: 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... + 16040: 1e900961 64665f6e 65745f63 6d645f64 ...adf_net_cmd_d + 16050: 6d615f69 6e666f5f 74000000 1f750961 ma_info_t....u.a + 16060: 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t + 16070: 0000001c fa096164 665f6e65 745f636d ......adf_net_cm + 16080: 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. + 16090: 000020b5 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd + 160a0: 5f737461 74735f74 00000020 f1096164 _stats_t... ..ad + 160b0: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 160c0: 5f740000 0021af0c 6164665f 6e65745f _t...!..adf_net_ + 160d0: 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... + 160e0: 0023280d 4144465f 4e45545f 4d434153 .#(.ADF_NET_MCAS + 160f0: 545f5355 5000000d 4144465f 4e45545f T_SUP...ADF_NET_ + 16100: 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... + 16110: 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 16120: 745f6361 705f7400 000022e0 17030400 t_cap_t..."..... + 16130: 0023fa08 6c696e6b 5f696e66 6f000000 .#..link_info... + 16140: 21e60223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. + 16150: 00002203 02230008 636b7375 6d5f696e .."..#..cksum_in + 16160: 666f0000 00222002 23000872 696e675f fo..." .#..ring_ + 16170: 696e666f 00000022 3e022300 08646d61 info...">.#..dma + 16180: 5f696e66 6f000000 225b0223 00087669 _info..."[.#..vi + 16190: 64000000 22770223 00086f66 666c6f61 d..."w.#..offloa + 161a0: 645f6361 70000000 228e0223 00087374 d_cap..."..#..st + 161b0: 61747300 000022ad 02230008 6d636173 ats..."..#..mcas + 161c0: 745f696e 666f0000 0022c602 2300086d t_info..."..#..m + 161d0: 63617374 5f636170 00000023 28022300 cast_cap...#(.#. + 161e0: 00130400 0024510d 4144465f 4e425546 .....$Q.ADF_NBUF + 161f0: 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. + 16200: 0d414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 16210: 554d5f48 5700010d 4144465f 4e425546 UM_HW...ADF_NBUF + 16220: 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE + 16230: 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu + 16240: 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ + 16250: 74000000 23fa1108 00002491 08726573 t...#.....$..res + 16260: 756c7400 00002451 02230008 76616c00 ult...$Q.#..val. + 16270: 0000166b 02230400 11080000 24c10874 ...k.#......$..t + 16280: 79706500 0000209d 02230008 6d737300 ype... ..#..mss. + 16290: 00001cfa 02230408 6864725f 6f666600 .....#..hdr_off. + 162a0: 000016d2 02230600 075f5f61 64665f6e .....#...__adf_n + 162b0: 6275665f 71686561 64000c00 00250008 buf_qhead....%.. + 162c0: 68656164 00000014 8b022300 08746169 head......#..tai + 162d0: 6c000000 148b0223 0408716c 656e0000 l......#..qlen.. + 162e0: 00166b02 23080009 5f5f6164 665f6e62 ..k.#...__adf_nb + 162f0: 75665f74 00000014 8b030000 16e10400 uf_t............ + 16300: 03000016 6b040002 01060000 136d0106 ....k........m.. + 16310: 0000166b 01060000 16e10106 000016e1 ...k............ + 16320: 01030000 134e0400 095f5f61 64665f6e .....N...__adf_n + 16330: 6275665f 71686561 645f7400 000024c1 buf_qhead_t...$. + 16340: 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu + 16350: 655f7400 00002541 03000025 59040006 e_t...%A...%Y... + 16360: 00002500 01060000 25000113 04000026 ..%.....%......& + 16370: 790d415f 53544154 55535f4f 4b00000d y.A_STATUS_OK... + 16380: 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. + 16390: 010d415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN + 163a0: 5400020d 415f5354 41545553 5f454e4f T...A_STATUS_ENO + 163b0: 4d454d00 030d415f 53544154 55535f45 MEM...A_STATUS_E + 163c0: 494e5641 4c00040d 415f5354 41545553 INVAL...A_STATUS + 163d0: 5f45494e 50524f47 52455353 00050d41 _EINPROGRESS...A + 163e0: 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP + 163f0: 00060d41 5f535441 5455535f 45425553 ...A_STATUS_EBUS + 16400: 5900070d 415f5354 41545553 5f453242 Y...A_STATUS_E2B + 16410: 49470008 0d415f53 54415455 535f4541 IG...A_STATUS_EA + 16420: 4444524e 4f544156 41494c00 090d415f DDRNOTAVAIL...A_ + 16430: 53544154 55535f45 4e58494f 000a0d41 STATUS_ENXIO...A + 16440: 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. + 16450: 0d415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... + 16460: 09615f73 74617475 735f7400 00002584 .a_status_t...%. + 16470: 06000026 79010600 00011201 02010961 ...&y..........a + 16480: 64665f6e 6275665f 74000000 25001304 df_nbuf_t...%... + 16490: 000026de 0d414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ + 164a0: 544f5f44 45564943 4500000d 4144465f TO_DEVICE...ADF_ + 164b0: 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI + 164c0: 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma + 164d0: 5f646972 5f740000 0026a706 00002679 _dir_t...&....&y + 164e0: 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama + 164f0: 705f696e 666f5f74 00000016 88030000 p_info_t........ + 16500: 26fc0400 02010201 06000026 97010600 &..........&.... + 16510: 00250001 02010201 06000026 97010600 .%.........&.... + 16520: 00250001 06000026 97010600 00250001 .%.....&.....%.. + 16530: 06000026 97010201 02010600 00166b01 ...&..........k. + 16540: 06000016 e1010201 02010600 001b9801 ................ + 16550: 0600001a e5010600 001ae501 09616466 .............adf + 16560: 5f6f735f 73676c69 73745f74 00000017 _os_sglist_t.... + 16570: 20030000 27750400 02010201 02010600 ...'u.......... + 16580: 0016e101 09616466 5f6e6275 665f7175 .....adf_nbuf_qu + 16590: 6575655f 74000000 25590300 00279d04 eue_t...%Y...'.. + 165a0: 00020103 00002541 04000201 02010201 ......%A........ + 165b0: 06000026 97010600 00250001 06000016 ...&.....%...... + 165c0: 6b010600 00166b01 0600001a e5010600 k.....k......... + 165d0: 001ae501 06000020 01010600 00166b01 ....... ......k. + 165e0: 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 165f0: 756d5f74 00000024 6f030000 27f90400 um_t...$o...'... + 16600: 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts + 16610: 6f5f7400 00002491 03000028 1d040002 o_t...$....(.... + 16620: 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand + 16630: 6c655f74 00000004 07096164 665f6e65 le_t......adf_ne + 16640: 745f766c 616e6864 725f7400 00001e0d t_vlanhdr_t..... + 16650: 03000028 52040006 00002679 01060000 ...(R.....&y.... + 16660: 26790102 01020107 5f484946 5f434f4e &y......_HIF_CON + 16670: 46494700 04000028 a1086475 6d6d7900 FIG....(..dummy. + 16680: 00000112 02230000 02010300 0028a104 .....#.......(.. + 16690: 00020103 000028aa 0400075f 4849465f ......(...._HIF_ + 166a0: 43414c4c 4241434b 000c0000 28ff0873 CALLBACK....(..s + 166b0: 656e645f 6275665f 646f6e65 00000028 end_buf_done...( + 166c0: a3022300 08726563 765f6275 66000000 ..#..recv_buf... + 166d0: 28ac0223 0408636f 6e746578 74000000 (..#..context... + 166e0: 04070223 08000968 69665f68 616e646c ...#...hif_handl + 166f0: 655f7400 00000407 09484946 5f434f4e e_t......HIF_CON + 16700: 46494700 00002880 03000029 11040006 FIG...(....).... + 16710: 000028ff 01030000 29280400 02010300 ..(.....)(...... + 16720: 00293504 00094849 465f4341 4c4c4241 .)5...HIF_CALLBA + 16730: 434b0000 0028b303 0000293e 04000201 CK...(....)>.... + 16740: 03000029 57040006 00000112 01030000 ...)W........... + 16750: 29600400 02010300 00296d04 00060000 )`.......)m..... + 16760: 01120103 00002976 04000201 03000029 ......)v.......) + 16770: 83040006 00000112 01030000 298c0400 ............)... + 16780: 02010300 00299904 00076869 665f6170 .....)....hif_ap + 16790: 69003800 002af208 5f696e69 74000000 i.8..*.._init... + 167a0: 292e0223 00085f73 68757464 6f776e00 )..#.._shutdown. + 167b0: 00002937 02230408 5f726567 69737465 ..)7.#.._registe + 167c0: 725f6361 6c6c6261 636b0000 00295902 r_callback...)Y. + 167d0: 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr + 167e0: 65646974 5f636f75 6e740000 00296602 edit_count...)f. + 167f0: 230c085f 73746172 74000000 29370223 #.._start...)7.# + 16800: 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. + 16810: 00296f02 2314085f 73656e64 5f627566 .)o.#.._send_buf + 16820: 66657200 0000297c 02231808 5f726574 fer...)|.#.._ret + 16830: 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) + 16840: 8502231c 085f6973 5f706970 655f7375 ..#.._is_pipe_su + 16850: 70706f72 74656400 00002992 02232008 pported...)..# . + 16860: 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len + 16870: 00000029 92022324 085f6765 745f7265 ...)..#$._get_re + 16880: 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 16890: 00002966 02232808 5f697372 5f68616e ..)f.#(._isr_han + 168a0: 646c6572 00000029 3702232c 085f6765 dler...)7.#,._ge + 168b0: 745f6465 6661756c 745f7069 70650000 t_default_pipe.. + 168c0: 00299b02 23300870 52657365 72766564 .)..#0.pReserved + 168d0: 00000004 07022334 000c646d 615f656e ......#4..dma_en + 168e0: 67696e65 00040000 2b7b0d44 4d415f45 gine....+{.DMA_E + 168f0: 4e47494e 455f5258 3000000d 444d415f NGINE_RX0...DMA_ + 16900: 454e4749 4e455f52 58310001 0d444d41 ENGINE_RX1...DMA + 16910: 5f454e47 494e455f 52583200 020d444d _ENGINE_RX2...DM + 16920: 415f454e 47494e45 5f525833 00030d44 A_ENGINE_RX3...D + 16930: 4d415f45 4e47494e 455f5458 3000040d MA_ENGINE_TX0... + 16940: 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. + 16950: 0d444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. + 16960: 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. + 16970: 00002af2 0c646d61 5f696674 79706500 ..*..dma_iftype. + 16980: 0400002b c80d444d 415f4946 5f474d41 ...+..DMA_IF_GMA + 16990: 4300000d 444d415f 49465f50 43490001 C...DMA_IF_PCI.. + 169a0: 0d444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... + 169b0: 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ + 169c0: 8d060000 13400103 00002bda 04000201 .....@....+..... + 169d0: 0300002b e7040002 01030000 2bf00400 ...+........+... + 169e0: 06000009 72010300 002bf904 00060000 ....r....+...... + 169f0: 13400103 00002c06 04000600 00134001 .@....,.......@. + 16a00: 0300002c 13040006 0000148b 01030000 ...,............ + 16a10: 2c200400 02010300 002c2d04 0007646d , .......,-...dm + 16a20: 615f6c69 625f6170 69003400 002d3408 a_lib_api.4..-4. + 16a30: 74785f69 6e697400 00002be0 02230008 tx_init...+..#.. + 16a40: 74785f73 74617274 0000002b e9022304 tx_start...+..#. + 16a50: 0872785f 696e6974 0000002b e0022308 .rx_init...+..#. + 16a60: 0872785f 636f6e66 69670000 002bf202 .rx_config...+.. + 16a70: 230c0872 785f7374 61727400 00002be9 #..rx_start...+. + 16a80: 02231008 696e7472 5f737461 74757300 .#..intr_status. + 16a90: 00002bff 02231408 68617264 5f786d69 ..+..#..hard_xmi + 16aa0: 74000000 2c0c0223 1808666c 7573685f t...,..#..flush_ + 16ab0: 786d6974 0000002b e902231c 08786d69 xmit...+..#..xmi + 16ac0: 745f646f 6e650000 002c1902 23200872 t_done...,..# .r + 16ad0: 6561705f 786d6974 74656400 00002c26 eap_xmitted...,& + 16ae0: 02232408 72656170 5f726563 76000000 .#$.reap_recv... + 16af0: 2c260223 28087265 7475726e 5f726563 ,&.#(.return_rec + 16b00: 76000000 2c2f0223 2c087265 63765f70 v...,/.#,.recv_p + 16b10: 6b740000 002c1902 23300007 5f5f7063 kt...,..#0..__pc + 16b20: 695f736f 66746300 0c00002d 52087377 i_softc....-R.sw + 16b30: 00000029 3e022300 00095f5f 7063695f ...)>.#...__pci_ + 16b40: 736f6674 635f7400 00002d34 0300002d softc_t...-4...- + 16b50: 52040002 01030000 2d6c0400 06000013 R.......-l...... + 16b60: 2c010300 002d7504 000c6869 665f7063 ,....-u...hif_pc + 16b70: 695f7069 70655f74 78000400 002dd50d i_pipe_tx....-.. + 16b80: 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 + 16b90: 00000d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 16ba0: 54583100 010d4849 465f5043 495f5049 TX1...HIF_PCI_PI + 16bb0: 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif + 16bc0: 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. + 16bd0: 002d8206 00002b7b 01030000 2dec0400 .-....+{....-... + 16be0: 0c686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 16bf0: 00040000 2e720d48 49465f50 43495f50 .....r.HIF_PCI_P + 16c00: 4950455f 52583000 000d4849 465f5043 IPE_RX0...HIF_PC + 16c10: 495f5049 50455f52 58310001 0d484946 I_PIPE_RX1...HIF + 16c20: 5f504349 5f504950 455f5258 3200020d _PCI_PIPE_RX2... + 16c30: 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 + 16c40: 00030d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 16c50: 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc + 16c60: 695f7069 70655f72 785f7400 00002df9 i_pipe_rx_t...-. + 16c70: 0600002b 7b010300 002e8904 00076869 ...+{.........hi + 16c80: 665f7063 695f6170 69002400 002f6708 f_pci_api.$../g. + 16c90: 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... + 16ca0: 010b0223 00087063 695f696e 69740000 ...#..pci_init.. + 16cb0: 00292e02 23040870 63695f72 65736574 .)..#..pci_reset + 16cc0: 00000001 0b022308 08706369 5f656e61 ......#..pci_ena + 16cd0: 626c6500 0000010b 02230c08 7063695f ble......#..pci_ + 16ce0: 72656170 5f786d69 74746564 0000002d reap_xmitted...- + 16cf0: 6e022310 08706369 5f726561 705f7265 n.#..pci_reap_re + 16d00: 63760000 002d6e02 23140870 63695f67 cv...-n.#..pci_g + 16d10: 65745f70 69706500 00002d7b 02231808 et_pipe...-{.#.. + 16d20: 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. + 16d30: 002df202 231c0870 63695f67 65745f72 .-..#..pci_get_r + 16d40: 785f656e 67000000 2e8f0223 20000767 x_eng......# ..g + 16d50: 6d61635f 61706900 0400002f 8e08676d mac_api..../..gm + 16d60: 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... + 16d70: 0b022300 000e0000 031f0600 002f9b0f ..#........../.. + 16d80: 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ + 16d90: d1086473 74000000 2f8e0223 00087372 ..dst.../..#..sr + 16da0: 63000000 2f8e0223 06086574 79706500 c.../..#..etype. + 16db0: 00001340 02230c00 075f5f61 74686864 ...@.#...__athhd + 16dc0: 72000400 00301f14 72657300 0000132c r....0..res...., + 16dd0: 01000202 23001470 726f746f 00000013 ....#..proto.... + 16de0: 2c010206 02230008 7265735f 6c6f0000 ,....#..res_lo.. + 16df0: 00132c02 23010872 65735f68 69000000 ..,.#..res_hi... + 16e00: 13400223 0200075f 5f676d61 635f6864 .@.#...__gmac_hd + 16e10: 72001400 00305b08 65746800 00002f9b r....0[.eth.../. + 16e20: 02230008 61746800 00002fd1 02230e08 .#..ath.../..#.. + 16e30: 616c6967 6e5f7061 64000000 13400223 align_pad....@.# + 16e40: 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. + 16e50: 0000301f 075f5f67 6d61635f 736f6674 ..0..__gmac_soft + 16e60: 63002400 0030a508 68647200 0000305b c.$..0..hdr...0[ + 16e70: 02230008 6772616e 00000013 40022314 .#..gran....@.#. + 16e80: 08737700 0000293e 02231800 075f415f .sw...)>.#..._A_ + 16e90: 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check + 16ea0: 00080000 30de0876 65727369 6f6e0000 ....0..version.. + 16eb0: 00011202 23000874 61626c65 00000001 ....#..table.... + 16ec0: 12022304 00030000 30a50400 06000001 ..#.....0....... + 16ed0: 12010300 0030e504 00030000 040a0400 .....0.......... + 16ee0: 165f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire + 16ef0: 6374696f 6e5f7461 626c6500 01b80000 ction_table..... + 16f00: 32350868 616c5f6c 696e6b61 67655f63 25.hal_linkage_c + 16f10: 6865636b 00000030 eb022300 08737461 heck...0..#..sta + 16f20: 72745f62 73730000 0030f202 23040861 rt_bss...0..#..a + 16f30: 70705f73 74617274 00000001 0b022308 pp_start......#. + 16f40: 086d656d 00000004 4a02230c 086d6973 .mem....J.#..mis + 16f50: 63000000 05bd0223 20087072 696e7466 c......# .printf + 16f60: 00000001 3f022344 08756172 74000000 ....?.#D.uart... + 16f70: 02080223 4c08676d 61630000 002f6702 ...#L.gmac.../g. + 16f80: 236c0875 73620000 000fec02 23700863 #l.usb......#p.c + 16f90: 6c6f636b 0000000b 350323e0 01087469 lock....5.#...ti + 16fa0: 6d657200 000007d5 03238402 08696e74 mer......#...int + 16fb0: 72000000 0c850323 98020861 6c6c6f63 r......#...alloc + 16fc0: 72616d00 0000098d 0323c402 08726f6d ram......#...rom + 16fd0: 70000000 087f0323 d0020877 64745f74 p......#...wdt_t + 16fe0: 696d6572 0000000e 620323e0 02086565 imer....b.#...ee + 16ff0: 70000000 0f900323 fc020873 7472696e p......#...strin + 17000: 67000000 06e10323 8c030874 61736b6c g......#...taskl + 17010: 65740000 000a8a03 23a40300 075f5553 et......#...._US + 17020: 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... + 17030: 0032a808 6765745f 636f6d6d 616e645f .2..get_command_ + 17040: 62756600 00001498 02230008 72656376 buf......#..recv + 17050: 5f636f6d 6d616e64 00000014 ae022304 _command......#. + 17060: 08676574 5f657665 6e745f62 75660000 .get_event_buf.. + 17070: 00149802 23080873 656e645f 6576656e ....#..send_even + 17080: 745f646f 6e650000 0014ae02 230c0009 t_done......#... + 17090: 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 170a0: 00003235 03000032 a8040002 01030000 ..25...2........ + 170b0: 32c40400 07757362 6669666f 5f617069 2....usbfifo_api + 170c0: 000c0000 331a085f 696e6974 00000032 ....3.._init...2 + 170d0: c6022300 085f656e 61626c65 5f657665 ..#.._enable_eve + 170e0: 6e745f69 73720000 00010b02 23040870 nt_isr......#..p + 170f0: 52657365 72766564 00000004 07022308 Reserved......#. + 17100: 000e0000 16d20200 0033270f 0100075f .........3'...._ + 17110: 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... + 17120: 00339908 456e6470 6f696e74 49440000 .3..EndpointID.. + 17130: 0016d202 23000846 6c616773 00000016 ....#..Flags.... + 17140: d2022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. + 17150: 00001cfa 02230208 436f6e74 726f6c42 .....#..ControlB + 17160: 79746573 00000033 1a022304 08486f73 ytes...3..#..Hos + 17170: 74536571 4e756d00 00001cfa 02230600 tSeqNum......#.. + 17180: 11020000 33b2084d 65737361 67654944 ....3..MessageID + 17190: 0000001c fa022300 00110800 00341508 ......#......4.. + 171a0: 4d657373 61676549 44000000 1cfa0223 MessageID......# + 171b0: 00084372 65646974 436f756e 74000000 ..CreditCount... + 171c0: 1cfa0223 02084372 65646974 53697a65 ...#..CreditSize + 171d0: 0000001c fa022304 084d6178 456e6470 ......#..MaxEndp + 171e0: 6f696e74 73000000 16d20223 06085f50 oints......#.._P + 171f0: 61643100 000016d2 02230700 110a0000 ad1......#...... + 17200: 34ac084d 65737361 67654944 0000001c 4..MessageID.... + 17210: fa022300 08536572 76696365 49440000 ..#..ServiceID.. + 17220: 001cfa02 23020843 6f6e6e65 6374696f ....#..Connectio + 17230: 6e466c61 67730000 001cfa02 23040844 nFlags......#..D + 17240: 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 17250: 16d20223 06085570 4c696e6b 50697065 ...#..UpLinkPipe + 17260: 49440000 0016d202 23070853 65727669 ID......#..Servi + 17270: 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 17280: d2022308 085f5061 64310000 0016d202 ..#.._Pad1...... + 17290: 23090011 0a000035 34084d65 73736167 #......54.Messag + 172a0: 65494400 00001cfa 02230008 53657276 eID......#..Serv + 172b0: 69636549 44000000 1cfa0223 02085374 iceID......#..St + 172c0: 61747573 00000016 d2022304 08456e64 atus......#..End + 172d0: 706f696e 74494400 000016d2 02230508 pointID......#.. + 172e0: 4d61784d 73675369 7a650000 001cfa02 MaxMsgSize...... + 172f0: 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe + 17300: 6e677468 00000016 d2022308 085f5061 ngth......#.._Pa + 17310: 64310000 0016d202 23090011 02000035 d1......#......5 + 17320: 4d084d65 73736167 65494400 00001cfa M.MessageID..... + 17330: 02230000 11040000 3589084d 65737361 .#......5..Messa + 17340: 67654944 0000001c fa022300 08506970 geID......#..Pip + 17350: 65494400 000016d2 02230208 43726564 eID......#..Cred + 17360: 6974436f 756e7400 000016d2 02230300 itCount......#.. + 17370: 11040000 35c0084d 65737361 67654944 ....5..MessageID + 17380: 0000001c fa022300 08506970 65494400 ......#..PipeID. + 17390: 000016d2 02230208 53746174 75730000 .....#..Status.. + 173a0: 0016d202 23030011 02000035 e7085265 ....#......5..Re + 173b0: 636f7264 49440000 0016d202 2300084c cordID......#..L + 173c0: 656e6774 68000000 16d20223 01001102 ength......#.... + 173d0: 00003611 08456e64 706f696e 74494400 ..6..EndpointID. + 173e0: 000016d2 02230008 43726564 69747300 .....#..Credits. + 173f0: 000016d2 02230100 11040000 36520845 .....#......6R.E + 17400: 6e64706f 696e7449 44000000 16d20223 ndpointID......# + 17410: 00084372 65646974 73000000 16d20223 ..Credits......# + 17420: 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo + 17430: 0000001c fa022302 000e0000 16d20400 ......#......... + 17440: 00365f0f 03001106 0000369b 08507265 .6_.......6..Pre + 17450: 56616c69 64000000 16d20223 00084c6f Valid......#..Lo + 17460: 6f6b4168 65616400 00003652 02230108 okAhead...6R.#.. + 17470: 506f7374 56616c69 64000000 16d20223 PostValid......# + 17480: 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t + 17490: 00000004 07060000 369b0103 000036ae ........6.....6. + 174a0: 04000201 03000036 bb040013 04000037 .......6.......7 + 174b0: 390d504f 4f4c5f49 445f4854 435f434f 9.POOL_ID_HTC_CO + 174c0: 4e54524f 4c00000d 504f4f4c 5f49445f NTROL...POOL_ID_ + 174d0: 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL + 174e0: 5900010d 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ + 174f0: 5356435f 4556454e 5400020d 504f4f4c SVC_EVENT...POOL + 17500: 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. + 17510: 030d504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... + 17520: 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 + 17530: c4020103 0000374a 04000600 00269701 ......7J.....&.. + 17540: 03000037 53040006 00002697 01030000 ...7S.....&..... + 17550: 37600400 02010300 00376d04 00076275 7`.......7m...bu + 17560: 665f706f 6f6c5f61 7069001c 0000380f f_pool_api....8. + 17570: 085f696e 69740000 0036b402 2300085f ._init...6..#.._ + 17580: 73687574 646f776e 00000036 bd022304 shutdown...6..#. + 17590: 085f6372 65617465 5f706f6f 6c000000 ._create_pool... + 175a0: 374c0223 08085f61 6c6c6f63 5f627566 7L.#.._alloc_buf + 175b0: 00000037 5902230c 085f616c 6c6f635f ...7Y.#.._alloc_ + 175c0: 6275665f 616c6967 6e000000 37660223 buf_align...7f.# + 175d0: 10085f66 7265655f 62756600 0000376f .._free_buf...7o + 175e0: 02231408 70526573 65727665 64000000 .#..pReserved... + 175f0: 04070223 1800075f 4854435f 53455256 ...#..._HTC_SERV + 17600: 49434500 1c000038 ee08704e 65787400 ICE....8..pNext. + 17610: 000038ee 02230008 50726f63 65737352 ..8..#..ProcessR + 17620: 6563764d 73670000 0039a302 23040850 ecvMsg...9..#..P + 17630: 726f6365 73735365 6e644275 66666572 rocessSendBuffer + 17640: 436f6d70 6c657465 00000039 ac022308 Complete...9..#. + 17650: 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. + 17660: 000039c0 02230c08 53657276 69636549 ..9..#..ServiceI + 17670: 44000000 13400223 10085365 72766963 D....@.#..Servic + 17680: 65466c61 67730000 00134002 2312084d eFlags....@.#..M + 17690: 61785376 634d7367 53697a65 00000013 axSvcMsgSize.... + 176a0: 40022314 08547261 696c6572 53706343 @.#..TrailerSpcC + 176b0: 6865636b 4c696d69 74000000 13400223 heckLimit....@.# + 176c0: 16085365 72766963 65437478 00000004 ..ServiceCtx.... + 176d0: 07022318 00030000 380f0400 13040000 ..#.....8....... + 176e0: 398c1845 4e44504f 494e545f 554e5553 9..ENDPOINT_UNUS + 176f0: 454400ff ffffff0d 454e4450 4f494e54 ED......ENDPOINT + 17700: 3000000d 454e4450 4f494e54 3100010d 0...ENDPOINT1... + 17710: 454e4450 4f494e54 3200020d 454e4450 ENDPOINT2...ENDP + 17720: 4f494e54 3300030d 454e4450 4f494e54 OINT3...ENDPOINT + 17730: 3400040d 454e4450 4f494e54 3500050d 4...ENDPOINT5... + 17740: 454e4450 4f494e54 3600060d 454e4450 ENDPOINT6...ENDP + 17750: 4f494e54 3700070d 454e4450 4f494e54 OINT7...ENDPOINT + 17760: 3800080d 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX + 17770: 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT + 17780: 5f494400 000038f5 02010300 0039a104 _ID...8......9.. + 17790: 00020103 000039aa 04000300 00011204 ......9......... + 177a0: 00060000 132c0103 000039ba 04000300 .....,....9..... + 177b0: 00380f04 00075f48 54435f43 4f4e4649 .8...._HTC_CONFI + 177c0: 47001400 003a3f08 43726564 69745369 G....:?.CreditSi + 177d0: 7a650000 00011202 23000843 72656469 ze......#..Credi + 177e0: 744e756d 62657200 00000112 02230408 tNumber......#.. + 177f0: 4f534861 6e646c65 0000001a 96022308 OSHandle......#. + 17800: 08484946 48616e64 6c650000 0028ff02 .HIFHandle...(.. + 17810: 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 17820: 369b0223 1000075f 4854435f 4255465f 6..#..._HTC_BUF_ + 17830: 434f4e54 45585400 0200003a 7b08656e CONTEXT....:{.en + 17840: 645f706f 696e7400 0000132c 02230008 d_point....,.#.. + 17850: 6874635f 666c6167 73000000 132c0223 htc_flags....,.# + 17860: 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. + 17870: 00000407 09485443 5f534554 55505f43 .....HTC_SETUP_C + 17880: 4f4d504c 4554455f 43420000 00010b09 OMPLETE_CB...... + 17890: 4854435f 434f4e46 49470000 0039ce03 HTC_CONFIG...9.. + 178a0: 00003aa8 04000600 003a7b01 0300003a ..:......:{....: + 178b0: bf040002 01030000 3acc0400 09485443 ........:....HTC + 178c0: 5f534552 56494345 00000038 0f030000 _SERVICE...8.... + 178d0: 3ad50400 02010300 003aed04 00020103 :........:...... + 178e0: 00003af6 04000201 0300003a ff040006 ..:........:.... + 178f0: 00000112 01030000 3b080400 07687463 ........;....htc + 17900: 5f617069 73003400 003c8508 5f485443 _apis.4..<.._HTC + 17910: 5f496e69 74000000 3ac50223 00085f48 _Init...:..#.._H + 17920: 54435f53 68757464 6f776e00 00003ace TC_Shutdown...:. + 17930: 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe + 17940: 72536572 76696365 0000003a ef022308 rService...:..#. + 17950: 085f4854 435f5265 61647900 00003ace ._HTC_Ready...:. + 17960: 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 17970: 75666665 72730000 003af802 2310085f uffers...:..#.._ + 17980: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 17990: 734c6973 74000000 3b010223 14085f48 sList...;..#.._H + 179a0: 54435f53 656e644d 73670000 003af802 TC_SendMsg...:.. + 179b0: 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser + 179c0: 76656448 65616472 6f6f6d00 00003b0e vedHeadroom...;. + 179d0: 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv + 179e0: 48616e64 6c657200 000028ac 02232008 Handler...(..# . + 179f0: 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan + 17a00: 646c6572 00000028 a3022324 085f4854 dler...(..#$._HT + 17a10: 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 17a20: 6573734d 73670000 0039a302 2328085f essMsg...9..#(._ + 17a30: 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 17a40: 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet + 17a50: 65000000 39ac0223 2c087052 65736572 e...9..#,.pReser + 17a60: 76656400 00000407 02233000 07686f73 ved......#0..hos + 17a70: 745f6170 705f6172 65615f73 00040000 t_app_area_s.... + 17a80: 3cb50877 6d695f70 726f746f 636f6c5f <..wmi_protocol_ + 17a90: 76657200 0000166b 02230000 110e0000 ver....k.#...... + 17aa0: 3cec0864 73744d61 63000000 1cb70223 <..dstMac......# + 17ab0: 00087372 634d6163 0000001c b7022306 ..srcMac......#. + 17ac0: 08747970 654f724c 656e0000 001cfa02 .typeOrLen...... + 17ad0: 230c000e 000016d2 0300003c f90f0200 #..........<.... + 17ae0: 11080000 3d490864 73617000 000016d2 ....=I.dsap..... + 17af0: 02230008 73736170 00000016 d2022301 .#..ssap......#. + 17b00: 08636e74 6c000000 16d20223 02086f72 .cntl......#..or + 17b10: 67436f64 65000000 3cec0223 03086574 gCode...<..#..et + 17b20: 68657254 79706500 00001cfa 02230600 herType......#.. + 17b30: 11020000 3d6a0872 73736900 00001c50 ....=j.rssi....P + 17b40: 02230008 696e666f 00000016 d2022301 .#..info......#. + 17b50: 00110400 003d9108 636f6d6d 616e6449 .....=..commandI + 17b60: 64000000 1cfa0223 00087365 714e6f00 d......#..seqNo. + 17b70: 00001cfa 02230200 0e000016 d2010000 .....#.......... + 17b80: 3d9e0f00 00110200 003dc508 6d736753 =........=..msgS + 17b90: 697a6500 000016d2 02230008 6d736744 ize......#..msgD + 17ba0: 61746100 00003d91 02230100 11080000 ata...=..#...... + 17bb0: 3e0c0861 64647265 73734c00 00001cfa >..addressL..... + 17bc0: 02230008 61646472 65737348 0000001c .#..addressH.... + 17bd0: fa022302 0876616c 75654c00 00001cfa ..#..valueL..... + 17be0: 02230408 76616c75 65480000 001cfa02 .#..valueH...... + 17bf0: 23060009 574d495f 41565400 00003dc5 #...WMI_AVT...=. + 17c00: 0e00003e 0c080000 3e260f00 00110c00 ...>....>&...... + 17c10: 003e5d08 7475706c 654e756d 4c000000 .>].tupleNumL... + 17c20: 1cfa0223 00087475 706c654e 756d4800 ...#..tupleNumH. + 17c30: 00001cfa 02230208 61767400 00003e19 .....#..avt...>. + 17c40: 02230400 11010000 3e7f0862 6561636f .#......>..beaco + 17c50: 6e50656e 64696e67 436f756e 74000000 nPendingCount... + 17c60: 16d20223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ + 17c70: 434f4e46 49470010 00003ee8 08487463 CONFIG....>..Htc + 17c80: 48616e64 6c650000 003a7b02 23000850 Handle...:{.#..P + 17c90: 6f6f6c48 616e646c 65000000 369b0223 oolHandle...6..# + 17ca0: 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt + 17cb0: 73000000 01120223 08084d61 78457665 s......#..MaxEve + 17cc0: 6e744576 74730000 00011202 230c0002 ntEvts......#... + 17cd0: 01030000 3ee80400 09574d49 5f434d44 ....>....WMI_CMD + 17ce0: 5f48414e 444c4552 0000003e ea075f57 _HANDLER...>.._W + 17cf0: 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 17d00: 59000800 003f5108 70436d64 48616e64 Y....?Q.pCmdHand + 17d10: 6c657200 00003ef1 02230008 436d6449 ler...>..#..CmdI + 17d20: 44000000 13400223 0408466c 61677300 D....@.#..Flags. + 17d30: 00001340 02230600 075f574d 495f4449 ...@.#..._WMI_DI + 17d40: 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... + 17d50: 3fb20870 4e657874 0000003f b2022300 ?..pNext...?..#. + 17d60: 0870436f 6e746578 74000000 04070223 .pContext......# + 17d70: 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie + 17d80: 73000000 01120223 08087054 61626c65 s......#..pTable + 17d90: 0000003f d102230c 00030000 3f510400 ...?..#.....?Q.. + 17da0: 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN + 17db0: 54525900 00003f06 0300003f b9040003 TRY...?....?.... + 17dc0: 00003f51 04000948 54435f42 55465f43 ..?Q...HTC_BUF_C + 17dd0: 4f4e5445 58540000 003a3f0c 574d495f ONTEXT...:?.WMI_ + 17de0: 4556545f 434c4153 53000400 00406918 EVT_CLASS....@i. + 17df0: 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO + 17e00: 4e4500ff ffffff0d 574d495f 4556545f NE......WMI_EVT_ + 17e10: 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. + 17e20: 000d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 17e30: 434d445f 5245504c 5900010d 574d495f CMD_REPLY...WMI_ + 17e40: 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... + 17e50: 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. + 17e60: 003ff407 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON + 17e70: 54455854 000c0000 40c70848 74634275 TEXT....@..HtcBu + 17e80: 66437478 0000003f df022300 08457665 fCtx...?..#..Eve + 17e90: 6e74436c 61737300 00004069 02230408 ntClass...@i.#.. + 17ea0: 466c6167 73000000 13400223 08000977 Flags....@.#...w + 17eb0: 6d695f68 616e646c 655f7400 00000407 mi_handle_t..... + 17ec0: 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. + 17ed0: 00003e7f 03000040 d9040006 000040c7 ..>....@......@. + 17ee0: 01030000 40f40400 09574d49 5f444953 ....@....WMI_DIS + 17ef0: 50415443 485f5441 424c4500 00003f51 PATCH_TABLE...?Q + 17f00: 03000041 01040002 01030000 41200400 ...A........A .. + 17f10: 06000026 97010300 00412904 00020103 ...&.....A)..... + 17f20: 00004136 04000600 00011201 03000041 ..A6...........A + 17f30: 3f040002 01030000 414c0400 06000013 ?.......AL...... + 17f40: 2c010300 00415504 00075f77 6d695f73 ,....AU..._wmi_s + 17f50: 76635f61 70697300 2c000042 9d085f57 vc_apis.,..B.._W + 17f60: 4d495f49 6e697400 000040fa 02230008 MI_Init...@..#.. + 17f70: 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis + 17f80: 70617463 68546162 6c650000 00412202 patchTable...A". + 17f90: 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve + 17fa0: 6e740000 00412f02 2308085f 574d495f nt...A/.#.._WMI_ + 17fb0: 53656e64 4576656e 74000000 41380223 SendEvent...A8.# + 17fc0: 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin + 17fd0: 67457665 6e747343 6f756e74 00000041 gEventsCount...A + 17fe0: 45022310 085f574d 495f5365 6e64436f E.#.._WMI_SendCo + 17ff0: 6d706c65 74654861 6e646c65 72000000 mpleteHandler... + 18000: 39ac0223 14085f57 4d495f47 6574436f 9..#.._WMI_GetCo + 18010: 6e74726f 6c457000 00004145 02231808 ntrolEp...AE.#.. + 18020: 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... + 18030: 414e0223 1c085f57 4d495f52 6563764d AN.#.._WMI_RecvM + 18040: 65737361 67654861 6e646c65 72000000 essageHandler... + 18050: 39a30223 20085f57 4d495f53 65727669 9..# ._WMI_Servi + 18060: 6365436f 6e6e6563 74000000 415b0223 ceConnect...A[.# + 18070: 24087052 65736572 76656400 00000407 $.pReserved..... + 18080: 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. + 18090: 0000431f 08637472 6c000000 01760223 ..C..ctrl....v.# + 180a0: 00087374 61747573 00000001 76022302 ..status....v.#. + 180b0: 08746f74 616c4c65 6e000000 01760223 .totalLen....v.# + 180c0: 04086461 74615369 7a650000 00017602 ..dataSize....v. + 180d0: 2306086c 61737441 64647200 0000431f #..lastAddr...C. + 180e0: 02230808 64617461 41646472 00000001 .#..dataAddr.... + 180f0: 9a02230c 086e6578 74416464 72000000 ..#..nextAddr... + 18100: 431f0223 10000300 00429d04 00030000 C..#.....B...... + 18110: 429d0400 077a7344 6d615175 65756500 B....zsDmaQueue. + 18120: 08000043 5f086865 61640000 00432602 ...C_.head...C&. + 18130: 23000874 65726d69 6e61746f 72000000 #..terminator... + 18140: 43260223 0400077a 73547844 6d615175 C&.#...zsTxDmaQu + 18150: 65756500 10000043 c3086865 61640000 eue....C..head.. + 18160: 00432602 23000874 65726d69 6e61746f .C&.#..terminato + 18170: 72000000 43260223 0408786d 69746564 r...C&.#..xmited + 18180: 5f627566 5f686561 64000000 148b0223 _buf_head......# + 18190: 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai + 181a0: 6c000000 148b0223 0c000201 03000043 l......#.......C + 181b0: c3040003 0000432d 04000201 03000043 ......C-.......C + 181c0: d3040003 0000435f 04000201 03000043 ......C_.......C + 181d0: e3040002 01030000 43ec0400 02010300 ........C....... + 181e0: 0043f504 00060000 148b0103 000043fe .C............C. + 181f0: 04000201 03000044 0b040006 0000148b .......D........ + 18200: 01030000 44140400 02010300 00442104 ....D........D!. + 18210: 00060000 01120103 0000442a 04000600 ..........D*.... + 18220: 00432601 03000044 37040002 01030000 .C&....D7....... + 18230: 44440400 07646d61 5f656e67 696e655f DD...dma_engine_ + 18240: 61706900 40000045 ba085f69 6e697400 api.@..E.._init. + 18250: 000043c5 02230008 5f696e69 745f7278 ..C..#.._init_rx + 18260: 5f717565 75650000 0043d502 2304085f _queue...C..#.._ + 18270: 696e6974 5f74785f 71756575 65000000 init_tx_queue... + 18280: 43e50223 08085f63 6f6e6669 675f7278 C..#.._config_rx + 18290: 5f717565 75650000 0043ee02 230c085f _queue...C..#.._ + 182a0: 786d6974 5f627566 00000043 f7022310 xmit_buf...C..#. + 182b0: 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C + 182c0: d5022314 085f7265 61705f72 6563765f ..#.._reap_recv_ + 182d0: 62756600 00004404 02231808 5f726574 buf...D..#.._ret + 182e0: 75726e5f 72656376 5f627566 00000044 urn_recv_buf...D + 182f0: 0d02231c 085f7265 61705f78 6d697465 ..#.._reap_xmite + 18300: 645f6275 66000000 441a0223 20085f73 d_buf...D..# ._s + 18310: 7761705f 64617461 00000044 23022324 wap_data...D#.#$ + 18320: 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack + 18330: 65747300 00004430 02232808 5f646573 ets...D0.#(._des + 18340: 635f6475 6d700000 0043d502 232c085f c_dump...C..#,._ + 18350: 6765745f 7061636b 65740000 00443d02 get_packet...D=. + 18360: 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack + 18370: 65740000 00444602 2334085f 7075745f et...DF.#4._put_ + 18380: 7061636b 65740000 00444602 23380870 packet...DF.#8.p + 18390: 52657365 72766564 00000004 0702233c Reserved......#< + 183a0: 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 183b0: 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 183c0: 0030f909 574d495f 5356435f 41504953 .0..WMI_SVC_APIS + 183d0: 00000041 62165f41 5f6d6167 7069655f ...Ab._A_magpie_ + 183e0: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 183f0: 6500034c 000046e8 08636d6e 6f730000 e..L..F..cmnos.. + 18400: 0045ba02 23000864 62670000 0003d403 .E..#..dbg...... + 18410: 23b80308 68696600 000029a2 0323c003 #...hif...)..#.. + 18420: 08687463 0000003b 150323f8 0308776d .htc...;..#...wm + 18430: 695f7376 635f6170 69000000 45dc0323 i_svc_api...E..# + 18440: ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. + 18450: 0032cd03 23d80408 6275665f 706f6f6c .2..#...buf_pool + 18460: 00000037 760323e4 04087662 75660000 ...7v.#...vbuf.. + 18470: 0014b503 23800508 76646573 63000000 ....#...vdesc... + 18480: 13970323 94050861 6c6c6f63 72616d00 ...#...allocram. + 18490: 0000098d 0323a805 08646d61 5f656e67 .....#...dma_eng + 184a0: 696e6500 0000444d 0323b405 08646d61 ine...DM.#...dma + 184b0: 5f6c6962 0000002c 360323f4 05086869 _lib...,6.#...hi + 184c0: 665f7063 69000000 2e960323 a8060009 f_pci......#.... + 184d0: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 184e0: 6374696f 6e5f7461 626c655f 74000000 ction_table_t... + 184f0: 45ee1110 0000473e 08613000 000001af E.....G>.a0..... + 18500: 02230008 61310000 0001af02 23040861 .#..a1......#..a + 18510: 32000000 01af0223 08086133 00000001 2......#..a3.... + 18520: af02230c 000e0000 470b5000 00474b0f ..#.....G.P..GK. + 18530: 04000758 54656e73 615f6578 63657074 ...XTensa_except + 18540: 696f6e5f 6672616d 655f7300 80000048 ion_frame_s....H + 18550: 2f087874 5f706300 000001af 02230008 /.xt_pc......#.. + 18560: 78745f70 73000000 01af0223 04087874 xt_ps......#..xt + 18570: 5f736172 00000001 af022308 0878745f _sar......#..xt_ + 18580: 76707269 00000001 af02230c 0878745f vpri......#..xt_ + 18590: 61320000 0001af02 23100878 745f6133 a2......#..xt_a3 + 185a0: 00000001 af022314 0878745f 61340000 ......#..xt_a4.. + 185b0: 0001af02 23180878 745f6135 00000001 ....#..xt_a5.... + 185c0: af02231c 0878745f 65786363 61757365 ..#..xt_exccause + 185d0: 00000001 af022320 0878745f 6c636f75 ......# .xt_lcou + 185e0: 6e740000 0001af02 23240878 745f6c62 nt......#$.xt_lb + 185f0: 65670000 0001af02 23280878 745f6c65 eg......#(.xt_le + 18600: 6e640000 0001af02 232c0877 62000000 nd......#,.wb... + 18610: 473e0223 30000943 50555f65 78636570 G>.#0..CPU_excep + 18620: 74696f6e 5f667261 6d655f74 00000047 tion_frame_t...G + 18630: 4b110800 00486d08 69737200 00000c59 K....Hm.isr....Y + 18640: 02230008 6973725f 61726700 00000407 .#..isr_arg..... + 18650: 02230400 0e000048 4a980000 487a0f12 .#.....HJ...Hz.. + 18660: 0019636d 6e6f735f 6973725f 696e666f ..cmnos_isr_info + 18670: 00000048 6d050300 50099001 19636d6e ...Hm...P....cmn + 18680: 6f735f65 6e61626c 65645f69 6e746572 os_enabled_inter + 18690: 72757074 73000000 01af0503 00500980 rupts........P.. + 186a0: 011a0000 01121a00 00011203 000048bf ..............H. + 186b0: 04000300 000c8504 0002011b 011e636d ..............cm + 186c0: 6e6f735f 696e7472 5f64756d 6d790000 nos_intr_dummy.. + 186d0: 0001af01 01039201 20029000 008e2120 ........ .....! + 186e0: 008e2125 00004911 1c011e70 5061726d ..!%..I....pParm + 186f0: 00000004 07015200 1d012a63 6d6e6f73 ......R...*cmnos + 18700: 5f696e74 725f696e 69740001 01039201 _intr_init...... + 18710: 20029000 008e2128 008e214e 00004941 .....!(..!N..IA + 18720: 1e690000 0001af00 1d013c63 6d6e6f73 .i..........tupleNumL. + 1c6b0: 00001ca3 02230008 7475706c 654e756d .....#..tupleNum + 1c6c0: 48000000 1ca30223 02086176 74000000 H......#..avt... + 1c6d0: 3dc20223 04001201 00003e28 08626561 =..#......>(.bea + 1c6e0: 636f6e50 656e6469 6e67436f 756e7400 conPendingCount. + 1c6f0: 0000167b 02230000 075f574d 495f5356 ...{.#..._WMI_SV + 1c700: 435f434f 4e464947 00100000 3e910848 C_CONFIG....>..H + 1c710: 74634861 6e646c65 0000003a 24022300 tcHandle...:$.#. + 1c720: 08506f6f 6c48616e 646c6500 00003644 .PoolHandle...6D + 1c730: 02230408 4d617843 6d645265 706c7945 .#..MaxCmdReplyE + 1c740: 76747300 0000010f 02230808 4d617845 vts......#..MaxE + 1c750: 76656e74 45767473 00000001 0f02230c ventEvts......#. + 1c760: 00020103 00003e91 04000957 4d495f43 ......>....WMI_C + 1c770: 4d445f48 414e444c 45520000 003e9307 MD_HANDLER...>.. + 1c780: 5f574d49 5f444953 50415443 485f454e _WMI_DISPATCH_EN + 1c790: 54525900 0800003e fa087043 6d644861 TRY....>..pCmdHa + 1c7a0: 6e646c65 72000000 3e9a0223 0008436d ndler...>..#..Cm + 1c7b0: 64494400 000012e9 02230408 466c6167 dID......#..Flag + 1c7c0: 73000000 12e90223 0600075f 574d495f s......#..._WMI_ + 1c7d0: 44495350 41544348 5f544142 4c450010 DISPATCH_TABLE.. + 1c7e0: 00003f5b 08704e65 78740000 003f5b02 ..?[.pNext...?[. + 1c7f0: 23000870 436f6e74 65787400 00000404 #..pContext..... + 1c800: 02230408 4e756d62 65724f66 456e7472 .#..NumberOfEntr + 1c810: 69657300 0000010f 02230808 70546162 ies......#..pTab + 1c820: 6c650000 003f7a02 230c0003 00003efa le...?z.#.....>. + 1c830: 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ + 1c840: 454e5452 59000000 3eaf0300 003f6204 ENTRY...>....?b. + 1c850: 00030000 3efa0400 09485443 5f425546 ....>....HTC_BUF + 1c860: 5f434f4e 54455854 00000039 e80d574d _CONTEXT...9..WM + 1c870: 495f4556 545f434c 41535300 04000040 I_EVT_CLASS....@ + 1c880: 1219574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 1c890: 4e4f4e45 00ffffff ff0e574d 495f4556 NONE......WMI_EV + 1c8a0: 545f434c 4153535f 434d445f 4556454e T_CLASS_CMD_EVEN + 1c8b0: 5400000e 574d495f 4556545f 434c4153 T...WMI_EVT_CLAS + 1c8c0: 535f434d 445f5245 504c5900 010e574d S_CMD_REPLY...WM + 1c8d0: 495f4556 545f434c 4153535f 4d415800 I_EVT_CLASS_MAX. + 1c8e0: 02000957 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 1c8f0: 0000003f 9d075f57 4d495f42 55465f43 ...?.._WMI_BUF_C + 1c900: 4f4e5445 5854000c 00004070 08487463 ONTEXT....@p.Htc + 1c910: 42756643 74780000 003f8802 23000845 BufCtx...?..#..E + 1c920: 76656e74 436c6173 73000000 40120223 ventClass...@..# + 1c930: 0408466c 61677300 000012e9 02230800 ..Flags......#.. + 1c940: 09776d69 5f68616e 646c655f 74000000 .wmi_handle_t... + 1c950: 04040957 4d495f53 56435f43 4f4e4649 ...WMI_SVC_CONFI + 1c960: 47000000 3e280300 00408204 00060000 G...>(...@...... + 1c970: 40700103 0000409d 04000957 4d495f44 @p....@....WMI_D + 1c980: 49535041 5443485f 5441424c 45000000 ISPATCH_TABLE... + 1c990: 3efa0300 0040aa04 00020103 000040c9 >....@........@. + 1c9a0: 04000600 00264001 03000040 d2040002 .....&@....@.... + 1c9b0: 01030000 40df0400 06000001 0f010300 ....@........... + 1c9c0: 0040e804 00020103 000040f5 04000600 .@........@..... + 1c9d0: 0012d501 03000040 fe040007 5f776d69 .......@...._wmi + 1c9e0: 5f737663 5f617069 73002c00 00424608 _svc_apis.,..BF. + 1c9f0: 5f574d49 5f496e69 74000000 40a30223 _WMI_Init...@..# + 1ca00: 00085f57 4d495f52 65676973 74657244 .._WMI_RegisterD + 1ca10: 69737061 74636854 61626c65 00000040 ispatchTable...@ + 1ca20: cb022304 085f574d 495f416c 6c6f6345 ..#.._WMI_AllocE + 1ca30: 76656e74 00000040 d8022308 085f574d vent...@..#.._WM + 1ca40: 495f5365 6e644576 656e7400 000040e1 I_SendEvent...@. + 1ca50: 02230c08 5f574d49 5f476574 50656e64 .#.._WMI_GetPend + 1ca60: 696e6745 76656e74 73436f75 6e740000 ingEventsCount.. + 1ca70: 0040ee02 2310085f 574d495f 53656e64 .@..#.._WMI_Send + 1ca80: 436f6d70 6c657465 48616e64 6c657200 CompleteHandler. + 1ca90: 00003955 02231408 5f574d49 5f476574 ..9U.#.._WMI_Get + 1caa0: 436f6e74 726f6c45 70000000 40ee0223 ControlEp...@..# + 1cab0: 18085f57 4d495f53 68757464 6f776e00 .._WMI_Shutdown. + 1cac0: 000040f7 02231c08 5f574d49 5f526563 ..@..#.._WMI_Rec + 1cad0: 764d6573 73616765 48616e64 6c657200 vMessageHandler. + 1cae0: 0000394c 02232008 5f574d49 5f536572 ..9L.# ._WMI_Ser + 1caf0: 76696365 436f6e6e 65637400 00004104 viceConnect...A. + 1cb00: 02232408 70526573 65727665 64000000 .#$.pReserved... + 1cb10: 04040223 2800077a 73446d61 44657363 ...#(..zsDmaDesc + 1cb20: 00140000 42c80863 74726c00 00000173 ....B..ctrl....s + 1cb30: 02230008 73746174 75730000 00017302 .#..status....s. + 1cb40: 23020874 6f74616c 4c656e00 00000173 #..totalLen....s + 1cb50: 02230408 64617461 53697a65 00000001 .#..dataSize.... + 1cb60: 73022306 086c6173 74416464 72000000 s.#..lastAddr... + 1cb70: 42c80223 08086461 74614164 64720000 B..#..dataAddr.. + 1cb80: 00019702 230c086e 65787441 64647200 ....#..nextAddr. + 1cb90: 000042c8 02231000 03000042 46040003 ..B..#.....BF... + 1cba0: 00004246 0400077a 73446d61 51756575 ..BF...zsDmaQueu + 1cbb0: 65000800 00430808 68656164 00000042 e....C..head...B + 1cbc0: cf022300 08746572 6d696e61 746f7200 ..#..terminator. + 1cbd0: 000042cf 02230400 077a7354 78446d61 ..B..#...zsTxDma + 1cbe0: 51756575 65001000 00436c08 68656164 Queue....Cl.head + 1cbf0: 00000042 cf022300 08746572 6d696e61 ...B..#..termina + 1cc00: 746f7200 000042cf 02230408 786d6974 tor...B..#..xmit + 1cc10: 65645f62 75665f68 65616400 00001434 ed_buf_head....4 + 1cc20: 02230808 786d6974 65645f62 75665f74 .#..xmited_buf_t + 1cc30: 61696c00 00001434 02230c00 02010300 ail....4.#...... + 1cc40: 00436c04 00030000 42d60400 02010300 .Cl.....B....... + 1cc50: 00437c04 00030000 43080400 02010300 .C|.....C....... + 1cc60: 00438c04 00020103 00004395 04000201 .C........C..... + 1cc70: 03000043 9e040006 00001434 01030000 ...C.......4.... + 1cc80: 43a70400 02010300 0043b404 00060000 C........C...... + 1cc90: 14340103 000043bd 04000201 03000043 .4....C........C + 1cca0: ca040006 0000010f 01030000 43d30400 ............C... + 1ccb0: 06000042 cf010300 0043e004 00020103 ...B.....C...... + 1ccc0: 000043ed 04000764 6d615f65 6e67696e ..C....dma_engin + 1ccd0: 655f6170 69004000 00456308 5f696e69 e_api.@..Ec._ini + 1cce0: 74000000 436e0223 00085f69 6e69745f t...Cn.#.._init_ + 1ccf0: 72785f71 75657565 00000043 7e022304 rx_queue...C~.#. + 1cd00: 085f696e 69745f74 785f7175 65756500 ._init_tx_queue. + 1cd10: 0000438e 02230808 5f636f6e 6669675f ..C..#.._config_ + 1cd20: 72785f71 75657565 00000043 9702230c rx_queue...C..#. + 1cd30: 085f786d 69745f62 75660000 0043a002 ._xmit_buf...C.. + 1cd40: 2310085f 666c7573 685f786d 69740000 #.._flush_xmit.. + 1cd50: 00437e02 2314085f 72656170 5f726563 .C~.#.._reap_rec + 1cd60: 765f6275 66000000 43ad0223 18085f72 v_buf...C..#.._r + 1cd70: 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + 1cd80: 0043b602 231c085f 72656170 5f786d69 .C..#.._reap_xmi + 1cd90: 7465645f 62756600 000043c3 02232008 ted_buf...C..# . + 1cda0: 5f737761 705f6461 74610000 0043cc02 _swap_data...C.. + 1cdb0: 2324085f 6861735f 636f6d70 6c5f7061 #$._has_compl_pa + 1cdc0: 636b6574 73000000 43d90223 28085f64 ckets...C..#(._d + 1cdd0: 6573635f 64756d70 00000043 7e02232c esc_dump...C~.#, + 1cde0: 085f6765 745f7061 636b6574 00000043 ._get_packet...C + 1cdf0: e6022330 085f7265 636c6169 6d5f7061 ..#0._reclaim_pa + 1ce00: 636b6574 00000043 ef022334 085f7075 cket...C..#4._pu + 1ce10: 745f7061 636b6574 00000043 ef022338 t_packet...C..#8 + 1ce20: 08705265 73657276 65640000 00040402 .pReserved...... + 1ce30: 233c0009 5f415f63 6d6e6f73 5f696e64 #<.._A_cmnos_ind + 1ce40: 69726563 74696f6e 5f746162 6c655f74 irection_table_t + 1ce50: 00000030 a209574d 495f5356 435f4150 ...0..WMI_SVC_AP + 1ce60: 49530000 00410b17 5f415f6d 61677069 IS...A.._A_magpi + 1ce70: 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta + 1ce80: 626c6500 034c0000 46910863 6d6e6f73 ble..L..F..cmnos + 1ce90: 00000045 63022300 08646267 00000003 ...Ec.#..dbg.... + 1cea0: d10323b8 03086869 66000000 294b0323 ..#...hif...)K.# + 1ceb0: c0030868 74630000 003abe03 23f80308 ...htc...:..#... + 1cec0: 776d695f 7376635f 61706900 00004585 wmi_svc_api...E. + 1ced0: 0323ac04 08757362 6669666f 5f617069 .#...usbfifo_api + 1cee0: 00000032 760323d8 04086275 665f706f ...2v.#...buf_po + 1cef0: 6f6c0000 00371f03 23e40408 76627566 ol...7..#...vbuf + 1cf00: 00000014 5e032380 05087664 65736300 ....^.#...vdesc. + 1cf10: 00001340 03239405 08616c6c 6f637261 ...@.#...allocra + 1cf20: 6d000000 09360323 a8050864 6d615f65 m....6.#...dma_e + 1cf30: 6e67696e 65000000 43f60323 b4050864 ngine...C..#...d + 1cf40: 6d615f6c 69620000 002bdf03 23f40508 ma_lib...+..#... + 1cf50: 6869665f 70636900 00002e3f 0323a806 hif_pci....?.#.. + 1cf60: 00095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 1cf70: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 1cf80: 00004597 03000004 47040002 01060000 ..E.....G....... + 1cf90: 04040103 000046bd 04000b0b 03000046 ......F........F + 1cfa0: cb040006 00000404 01030000 46d30400 ............F... + 1cfb0: 06000001 0f010300 0046e004 001a010a .........F...... + 1cfc0: 636d6e6f 735f6d65 6d5f696e 69740001 cmnos_mem_init.. + 1cfd0: 01039201 20029000 008e22b0 008e22b5 .... ....."...". + 1cfe0: 1b011663 6d6e6f73 5f6d656d 5f6d6f64 ...cmnos_mem_mod + 1cff0: 756c655f 696e7374 616c6c00 01010392 ule_install..... + 1d000: 01200290 00008e22 b8008e22 d61c0116 . ....."...".... + 1d010: 74626c00 000046b4 01520000 0000004b tbl...F..R.....K + 1d020: 99000200 000b7c04 012f726f 6f742f57 ......|../root/W + 1d030: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1d040: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1d050: 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + 1d060: 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + 1d070: 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + 1d080: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 1d090: 632f7372 632f636d 6e6f735f 6d697363 c/src/cmnos_misc + 1d0a0: 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa + 1d0b0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 1d0c0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 1d0d0: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 1d0e0: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 1d0f0: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 1d100: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 1d110: 202d6733 202d4f50 543a7370 61636500 -g3 -OPT:space. + 1d120: 01000000 6d2a0201 03000001 09040004 ....m*.......... + 1d130: 696e7400 05040463 68617200 07010500 int....char..... + 1d140: 00011905 00000119 03000001 26040006 ............&... + 1d150: 00000112 01030000 01320400 07707269 .........2...pri + 1d160: 6e74665f 61706900 08000001 76085f70 ntf_api.....v._p + 1d170: 72696e74 665f696e 69740000 00010b02 rintf_init...... + 1d180: 2300085f 7072696e 74660000 00013802 #.._printf....8. + 1d190: 23040004 73686f72 7420756e 7369676e #...short unsign + 1d1a0: 65642069 6e740007 02097569 6e743136 ed int....uint16 + 1d1b0: 5f740000 00017604 6c6f6e67 20756e73 _t....v.long uns + 1d1c0: 69676e65 6420696e 74000704 0975696e igned int....uin + 1d1d0: 7433325f 74000000 019a0775 6172745f t32_t......uart_ + 1d1e0: 6669666f 00080000 02080873 74617274 fifo.......start + 1d1f0: 5f696e64 65780000 00018c02 23000865 _index......#..e + 1d200: 6e645f69 6e646578 00000001 8c022302 nd_index......#. + 1d210: 086f7665 7272756e 5f657272 00000001 .overrun_err.... + 1d220: af022304 00077561 72745f61 70690020 ..#...uart_api. + 1d230: 000002c1 085f7561 72745f69 6e697400 ....._uart_init. + 1d240: 00000318 02230008 5f756172 745f6368 .....#.._uart_ch + 1d250: 61725f70 75740000 00033f02 2304085f ar_put....?.#.._ + 1d260: 75617274 5f636861 725f6765 74000000 uart_char_get... + 1d270: 03530223 08085f75 6172745f 7374725f .S.#.._uart_str_ + 1d280: 6f757400 0000035c 02230c08 5f756172 out....\.#.._uar + 1d290: 745f7461 736b0000 00010b02 2310085f t_task......#.._ + 1d2a0: 75617274 5f737461 74757300 00000318 uart_status..... + 1d2b0: 02231408 5f756172 745f636f 6e666967 .#.._uart_config + 1d2c0: 00000003 65022318 085f7561 72745f68 ....e.#.._uart_h + 1d2d0: 77696e69 74000000 036e0223 1c000300 winit....n.#.... + 1d2e0: 00020804 00077561 72745f62 6c6b0010 ......uart_blk.. + 1d2f0: 00000312 08646562 75675f6d 6f646500 .....debug_mode. + 1d300: 0000018c 02230008 62617564 00000001 .....#..baud.... + 1d310: 8c022302 085f7561 72740000 0002c102 ..#.._uart...... + 1d320: 2304085f 74780000 0001bd02 23080006 #.._tx......#... + 1d330: 000001af 01030000 03120400 04756e73 .............uns + 1d340: 69676e65 64206368 61720007 01097569 igned char....ui + 1d350: 6e74385f 74000000 031f0201 03000003 nt8_t........... + 1d360: 3d040003 00000330 04000600 00018c01 =......0........ + 1d370: 03000003 4d040002 01030000 035a0400 ....M........Z.. + 1d380: 02010300 00036304 00020103 0000036c ......c........l + 1d390: 04000300 00011904 00060000 01120103 ................ + 1d3a0: 0000037c 04000744 425f434f 4d4d414e ...|...DB_COMMAN + 1d3b0: 445f5354 52554354 000c0000 03d40863 D_STRUCT.......c + 1d3c0: 6d645f73 74720000 00037502 23000868 md_str....u.#..h + 1d3d0: 656c705f 73747200 00000375 02230408 elp_str....u.#.. + 1d3e0: 636d645f 66756e63 00000003 82022308 cmd_func......#. + 1d3f0: 00076462 675f6170 69000800 00040708 ..dbg_api....... + 1d400: 5f646267 5f696e69 74000000 010b0223 _dbg_init......# + 1d410: 00085f64 62675f74 61736b00 0000010b .._dbg_task..... + 1d420: 02230400 0a040004 756e7369 676e6564 .#......unsigned + 1d430: 20696e74 00070406 00000407 01030000 int............ + 1d440: 041a0400 0b0b0300 00042804 00060000 ..........(..... + 1d450: 04070103 00000430 04000600 00011201 .......0........ + 1d460: 03000004 3d040007 6d656d5f 61706900 ....=...mem_api. + 1d470: 14000004 ac085f6d 656d5f69 6e697400 ......_mem_init. + 1d480: 0000010b 02230008 5f6d656d 73657400 .....#.._memset. + 1d490: 00000420 02230408 5f6d656d 63707900 ... .#.._memcpy. + 1d4a0: 00000436 02230808 5f6d656d 6d6f7665 ...6.#.._memmove + 1d4b0: 00000004 3602230c 085f6d65 6d636d70 ....6.#.._memcmp + 1d4c0: 00000004 43022310 00077265 67697374 ....C.#...regist + 1d4d0: 65725f64 756d705f 73009000 00051308 er_dump_s....... + 1d4e0: 74617267 65745f69 64000000 01af0223 target_id......# + 1d4f0: 00086173 736c696e 65000000 01af0223 ..assline......# + 1d500: 04087063 00000001 af022308 08626164 ..pc......#..bad + 1d510: 76616464 72000000 01af0223 0c086578 vaddr......#..ex + 1d520: 635f6672 616d6500 0000482f 02231000 c_frame...H/.#.. + 1d530: 03000004 ac040002 01030000 051a0400 ................ + 1d540: 02010300 00052304 00060000 01120103 ......#......... + 1d550: 0000052c 04000c68 6f737469 665f7300 ...,...hostif_s. + 1d560: 04000005 880d4849 465f5553 4200000d ......HIF_USB... + 1d570: 4849465f 50434945 00010d48 49465f47 HIF_PCIE...HIF_G + 1d580: 4d414300 020d4849 465f5043 4900030d MAC...HIF_PCI... + 1d590: 4849465f 4e554d00 040d4849 465f4e4f HIF_NUM...HIF_NO + 1d5a0: 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. + 1d5b0: 00053906 00000588 01030000 05960400 ..9............. + 1d5c0: 06000003 30010300 0005a304 00060000 ....0........... + 1d5d0: 018c0103 000005b0 0400076d 6973635f ...........misc_ + 1d5e0: 61706900 24000006 a0085f73 79737465 api.$....._syste + 1d5f0: 6d5f7265 73657400 0000010b 02230008 m_reset......#.. + 1d600: 5f6d6163 5f726573 65740000 00010b02 _mac_reset...... + 1d610: 2304085f 61737366 61696c00 0000051c #.._assfail..... + 1d620: 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ + 1d630: 6c6f6164 5f68616e 646c6572 00000005 load_handler.... + 1d640: 1c02230c 085f7265 706f7274 5f666169 ..#.._report_fai + 1d650: 6c757265 5f746f5f 686f7374 00000005 lure_to_host.... + 1d660: 25022310 085f7461 72676574 5f69645f %.#.._target_id_ + 1d670: 67657400 00000532 02231408 5f69735f get....2.#.._is_ + 1d680: 686f7374 5f707265 73656e74 00000005 host_present.... + 1d690: 9c022318 085f6b62 68697400 000005a9 ..#.._kbhit..... + 1d6a0: 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version + 1d6b0: 5f676574 00000005 b6022320 00060000 _get......# .... + 1d6c0: 03750103 000006a0 04000600 00037501 .u............u. + 1d6d0: 03000006 ad040006 00000112 01030000 ................ + 1d6e0: 06ba0400 06000001 12010300 0006c704 ................ + 1d6f0: 00060000 01120103 000006d4 04000773 ...............s + 1d700: 7472696e 675f6170 69001800 00075a08 tring_api.....Z. + 1d710: 5f737472 696e675f 696e6974 00000001 _string_init.... + 1d720: 0b022300 085f7374 72637079 00000006 ..#.._strcpy.... + 1d730: a6022304 085f7374 726e6370 79000000 ..#.._strncpy... + 1d740: 06b30223 08085f73 74726c65 6e000000 ...#.._strlen... + 1d750: 06c00223 0c085f73 7472636d 70000000 ...#.._strcmp... + 1d760: 06cd0223 10085f73 74726e63 6d700000 ...#.._strncmp.. + 1d770: 0006da02 2314000e 0000040a 14000007 ....#........... + 1d780: 670f0400 095f415f 54494d45 525f5350 g...._A_TIMER_SP + 1d790: 41434500 0000075a 09415f74 696d6572 ACE....Z.A_timer + 1d7a0: 5f740000 00076703 0000077b 04000201 _t....g....{.... + 1d7b0: 03000007 91040002 01030000 079a0400 ................ + 1d7c0: 09415f48 414e444c 45000000 040a0201 .A_HANDLE....... + 1d7d0: 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... + 1d7e0: 07b10300 0007b304 00020103 000007cc ................ + 1d7f0: 04000774 696d6572 5f617069 00140000 ...timer_api.... + 1d800: 084b085f 74696d65 725f696e 69740000 .K._timer_init.. + 1d810: 00010b02 2300085f 74696d65 725f6172 ....#.._timer_ar + 1d820: 6d000000 07930223 04085f74 696d6572 m......#.._timer + 1d830: 5f646973 61726d00 0000079c 02230808 _disarm......#.. + 1d840: 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... + 1d850: ce02230c 085f7469 6d65725f 72756e00 ..#.._timer_run. + 1d860: 0000010b 02231000 09424f4f 4c45414e .....#...BOOLEAN + 1d870: 00000001 8c060000 084b0103 00000858 .........K.....X + 1d880: 04000600 00084b01 03000008 65040006 ......K.....e... + 1d890: 0000084b 01030000 08720400 07726f6d ...K.....r...rom + 1d8a0: 705f6170 69001000 0008e408 5f726f6d p_api......._rom + 1d8b0: 705f696e 69740000 00010b02 2300085f p_init......#.._ + 1d8c0: 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... + 1d8d0: 085e0223 04085f72 6f6d705f 696e7374 .^.#.._romp_inst + 1d8e0: 616c6c00 0000086b 02230808 5f726f6d all....k.#.._rom + 1d8f0: 705f6465 636f6465 00000008 7802230c p_decode....x.#. + 1d900: 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. + 1d910: 00000940 08637263 31360000 00018c02 ...@.crc16...... + 1d920: 2300086c 656e0000 00018c02 2302086c #..len......#..l + 1d930: 645f6164 64720000 0001af02 23040866 d_addr......#..f + 1d940: 756e5f61 64647200 000001af 02230808 un_addr......#.. + 1d950: 7066756e 00000003 4602230c 00076565 pfun....F.#...ee + 1d960: 705f7265 6469725f 61646472 00040000 p_redir_addr.... + 1d970: 0972086f 66667365 74000000 018c0223 .r.offset......# + 1d980: 00087369 7a650000 00018c02 23020009 ..size......#... + 1d990: 415f5549 4e543332 00000004 0a060000 A_UINT32........ + 1d9a0: 04070103 00000980 04000761 6c6c6f63 ...........alloc + 1d9b0: 72616d5f 61706900 0c000009 f108636d ram_api.......cm + 1d9c0: 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini + 1d9d0: 74000000 09860223 0008636d 6e6f735f t......#..cmnos_ + 1d9e0: 616c6c6f 6372616d 00000009 86022304 allocram......#. + 1d9f0: 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ + 1da00: 64656275 67000000 010b0223 08000201 debug......#.... + 1da10: 03000009 f1040009 415f5441 534b4c45 ........A_TASKLE + 1da20: 545f4655 4e430000 0009f307 5f746173 T_FUNC......_tas + 1da30: 6b6c6574 00100000 0a520866 756e6300 klet.....R.func. + 1da40: 000009fa 02230008 61726700 00000407 .....#..arg..... + 1da50: 02230408 73746174 65000000 01120223 .#..state......# + 1da60: 08086e65 78740000 000a5202 230c0003 ..next....R.#... + 1da70: 00000a0e 04000300 000a0e04 0009415f ..............A_ + 1da80: 7461736b 6c65745f 74000000 0a0e0300 tasklet_t....... + 1da90: 000a6004 00020103 00000a78 04000201 ..`........x.... + 1daa0: 0300000a 81040007 7461736b 6c65745f ........tasklet_ + 1dab0: 61706900 1400000b 16085f74 61736b6c api......._taskl + 1dac0: 65745f69 6e697400 0000010b 02230008 et_init......#.. + 1dad0: 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta + 1dae0: 736b0000 000a7a02 2304085f 7461736b sk....z.#.._task + 1daf0: 6c65745f 64697361 626c6500 00000a83 let_disable..... + 1db00: 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch + 1db10: 6564756c 65000000 0a830223 0c085f74 edule......#.._t + 1db20: 61736b6c 65745f72 756e0000 00010b02 asklet_run...... + 1db30: 23100002 01030000 0b160400 06000009 #............... + 1db40: 72010300 000b1f04 00020103 00000b2c r.............., + 1db50: 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. + 1db60: 0c0e085f 636c6f63 6b5f696e 69740000 ..._clock_init.. + 1db70: 000b1802 2300085f 636c6f63 6b726567 ....#.._clockreg + 1db80: 735f696e 69740000 00010b02 2304085f s_init......#.._ + 1db90: 75617274 5f667265 7175656e 63790000 uart_frequency.. + 1dba0: 000b2502 2308085f 64656c61 795f7573 ..%.#.._delay_us + 1dbb0: 0000000b 2e02230c 085f776c 616e5f62 ......#.._wlan_b + 1dbc0: 616e645f 73657400 00000b2e 02231008 and_set......#.. + 1dbd0: 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 1dbe0: 74000000 0b250223 14085f6d 696c6c69 t....%.#.._milli + 1dbf0: 7365636f 6e647300 00000b25 02231808 seconds....%.#.. + 1dc00: 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 1dc10: 00010b02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti + 1dc20: 636b0000 00010b02 23200006 000001af ck......# ...... + 1dc30: 01030000 0c0e0400 09415f6f 6c645f69 .........A_old_i + 1dc40: 6e74725f 74000000 01af0600 000c1b01 ntr_t........... + 1dc50: 0300000c 2d040002 01030000 0c3a0400 ....-........:.. + 1dc60: 02010300 000c4304 00060000 01af0103 ......C......... + 1dc70: 00000c4c 04000941 5f697372 5f740000 ...L...A_isr_t.. + 1dc80: 000c5202 01030000 0c660400 06000004 ..R......f...... + 1dc90: 0a010300 000c6f04 00020103 00000c7c ......o........| + 1dca0: 04000769 6e74725f 61706900 2c00000d ...intr_api.,... + 1dcb0: 9e085f69 6e74725f 696e6974 00000001 .._intr_init.... + 1dcc0: 0b022300 085f696e 74725f69 6e766f6b ..#.._intr_invok + 1dcd0: 655f6973 72000000 0c140223 04085f69 e_isr......#.._i + 1dce0: 6e74725f 64697361 626c6500 00000c33 ntr_disable....3 + 1dcf0: 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor + 1dd00: 65000000 0c3c0223 0c085f69 6e74725f e....<.#.._intr_ + 1dd10: 6d61736b 5f696e75 6d000000 0c450223 mask_inum....E.# + 1dd20: 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 1dd30: 6e756d00 00000c45 02231408 5f696e74 num....E.#.._int + 1dd40: 725f6174 74616368 5f697372 0000000c r_attach_isr.... + 1dd50: 68022318 085f6765 745f696e 7472656e h.#.._get_intren + 1dd60: 61626c65 0000000c 7502231c 085f7365 able....u.#.._se + 1dd70: 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 1dd80: 7e022320 085f6765 745f696e 74727065 ~.# ._get_intrpe + 1dd90: 6e64696e 67000000 0c750223 24085f75 nding....u.#$._u + 1dda0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 1ddb0: 766c0000 00010b02 23280010 0400000d vl......#(...... + 1ddc0: c4087469 6d656f75 74000000 01af0223 ..timeout......# + 1ddd0: 00086163 74696f6e 00000001 af022300 ..action......#. + 1dde0: 00110800 000ddf08 636d6400 000001af ........cmd..... + 1ddf0: 02230012 00000d9e 02230400 09545f57 .#.......#...T_W + 1de00: 44545f43 4d440000 000dc402 01030000 DT_CMD.......... + 1de10: 0dee0400 13040000 0e440d45 4e554d5f .........D.ENUM_ + 1de20: 5744545f 424f4f54 00010d45 4e554d5f WDT_BOOT...ENUM_ + 1de30: 434f4c44 5f424f4f 5400020d 454e554d COLD_BOOT...ENUM + 1de40: 5f535553 505f424f 4f540003 0d454e55 _SUSP_BOOT...ENU + 1de50: 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 1de60: 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 1de70: 0df70600 000e4401 0300000e 55040007 ......D.....U... + 1de80: 7764745f 61706900 1c00000e f9085f77 wdt_api......._w + 1de90: 64745f69 6e697400 0000010b 02230008 dt_init......#.. + 1dea0: 5f776474 5f656e61 626c6500 0000010b _wdt_enable..... + 1deb0: 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable + 1dec0: 00000001 0b022308 085f7764 745f7365 ......#.._wdt_se + 1ded0: 74000000 0df00223 0c085f77 64745f74 t......#.._wdt_t + 1dee0: 61736b00 0000010b 02231008 5f776474 ask......#.._wdt + 1def0: 5f726573 65740000 00010b02 2314085f _reset......#.._ + 1df00: 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 1df10: 0e5b0223 18001304 00000f60 0d524554 .[.#.......`.RET + 1df20: 5f535543 43455353 00000d52 45545f4e _SUCCESS...RET_N + 1df30: 4f545f49 4e495400 010d5245 545f4e4f OT_INIT...RET_NO + 1df40: 545f4558 49535400 020d5245 545f4545 T_EXIST...RET_EE + 1df50: 505f434f 52525550 5400030d 5245545f P_CORRUPT...RET_ + 1df60: 4545505f 4f564552 464c4f57 00040d52 EEP_OVERFLOW...R + 1df70: 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ + 1df80: 4545505f 52455400 00000ef9 03000001 EEP_RET......... + 1df90: 8c040006 00000f60 01030000 0f760400 .......`.....v.. + 1dfa0: 0600000f 60010300 000f8304 00076565 ....`.........ee + 1dfb0: 705f6170 69001000 000fec08 5f656570 p_api......._eep + 1dfc0: 5f696e69 74000000 010b0223 00085f65 _init......#.._e + 1dfd0: 65705f72 65616400 00000f7c 02230408 ep_read....|.#.. + 1dfe0: 5f656570 5f777269 74650000 000f7c02 _eep_write....|. + 1dff0: 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist + 1e000: 0000000f 8902230c 00077573 625f6170 ......#...usb_ap + 1e010: 69007000 00129908 5f757362 5f696e69 i.p....._usb_ini + 1e020: 74000000 010b0223 00085f75 73625f72 t......#.._usb_r + 1e030: 6f6d5f74 61736b00 0000010b 02230408 om_task......#.. + 1e040: 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... + 1e050: 0b022308 085f7573 625f696e 69745f70 ..#.._usb_init_p + 1e060: 68790000 00010b02 230c085f 7573625f hy......#.._usb_ + 1e070: 6570305f 73657475 70000000 010b0223 ep0_setup......# + 1e080: 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 1e090: 010b0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r + 1e0a0: 78000000 010b0223 18085f75 73625f67 x......#.._usb_g + 1e0b0: 65745f69 6e746572 66616365 00000008 et_interface.... + 1e0c0: 6b02231c 085f7573 625f7365 745f696e k.#.._usb_set_in + 1e0d0: 74657266 61636500 0000086b 02232008 terface....k.# . + 1e0e0: 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 1e0f0: 72617469 6f6e0000 00086b02 2324085f ration....k.#$._ + 1e100: 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 1e110: 6174696f 6e000000 086b0223 28085f75 ation....k.#(._u + 1e120: 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 1e130: 0000086b 02232c08 5f757362 5f76656e ...k.#,._usb_ven + 1e140: 646f725f 636d6400 0000010b 02233008 dor_cmd......#0. + 1e150: 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 1e160: 00010b02 2334085f 7573625f 72657365 ....#4._usb_rese + 1e170: 745f6669 666f0000 00010b02 2338085f t_fifo......#8._ + 1e180: 7573625f 67656e5f 77647400 0000010b usb_gen_wdt..... + 1e190: 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 1e1a0: 6f740000 00010b02 2340085f 7573625f ot......#@._usb_ + 1e1b0: 636c725f 66656174 75726500 0000086b clr_feature....k + 1e1c0: 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea + 1e1d0: 74757265 00000008 6b022348 085f7573 ture....k.#H._us + 1e1e0: 625f7365 745f6164 64726573 73000000 b_set_address... + 1e1f0: 086b0223 4c085f75 73625f67 65745f64 .k.#L._usb_get_d + 1e200: 65736372 6970746f 72000000 086b0223 escriptor....k.# + 1e210: 50085f75 73625f67 65745f73 74617475 P._usb_get_statu + 1e220: 73000000 086b0223 54085f75 73625f73 s....k.#T._usb_s + 1e230: 65747570 5f646573 63000000 010b0223 etup_desc......# + 1e240: 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 1e250: 00010b02 235c085f 7573625f 73746174 ....#\._usb_stat + 1e260: 75735f69 6e000000 010b0223 60085f75 us_in......#`._u + 1e270: 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 1e280: 00010b02 2364085f 7573625f 6570305f ....#d._usb_ep0_ + 1e290: 72785f64 61746100 0000010b 02236808 rx_data......#h. + 1e2a0: 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 1e2b0: 010b0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. + 1e2c0: 00132508 6e657874 5f646573 63000000 ..%.next_desc... + 1e2d0: 13250223 00086275 665f6164 64720000 .%.#..buf_addr.. + 1e2e0: 00133902 23040862 75665f73 697a6500 ..9.#..buf_size. + 1e2f0: 00001340 02230808 64617461 5f6f6666 ...@.#..data_off + 1e300: 73657400 00001340 02230a08 64617461 set....@.#..data + 1e310: 5f73697a 65000000 13400223 0c08636f _size....@.#..co + 1e320: 6e74726f 6c000000 13400223 0e086877 ntrol....@.#..hw + 1e330: 5f646573 635f6275 66000000 134e0223 _desc_buf....N.# + 1e340: 10000300 00129904 0009415f 55494e54 ..........A_UINT + 1e350: 38000000 031f0300 00132c04 0009415f 8.........,...A_ + 1e360: 55494e54 31360000 0001760e 0000132c UINT16....v...., + 1e370: 14000013 5b0f1300 03000012 99040009 ....[........... + 1e380: 56444553 43000000 12990300 00136204 VDESC.........b. + 1e390: 00060000 136d0103 00001374 04000600 .....m.....t.... + 1e3a0: 00133901 03000013 81040002 01030000 ..9............. + 1e3b0: 138e0400 07766465 73635f61 70690014 .....vdesc_api.. + 1e3c0: 00001406 085f696e 69740000 000b2e02 ....._init...... + 1e3d0: 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 1e3e0: 0000137a 02230408 5f676574 5f68775f ...z.#.._get_hw_ + 1e3f0: 64657363 00000013 87022308 085f7377 desc......#.._sw + 1e400: 61705f76 64657363 00000013 9002230c ap_vdesc......#. + 1e410: 08705265 73657276 65640000 00040702 .pReserved...... + 1e420: 23100007 5f564255 46002000 00146608 #..._VBUF. ...f. + 1e430: 64657363 5f6c6973 74000000 136d0223 desc_list....m.# + 1e440: 00086e65 78745f62 75660000 00146602 ..next_buf....f. + 1e450: 23040862 75665f6c 656e6774 68000000 #..buf_length... + 1e460: 13400223 08087265 73657276 65640000 .@.#..reserved.. + 1e470: 00146d02 230a0863 74780000 00134e02 ..m.#..ctx....N. + 1e480: 230c0003 00001406 04000e00 00132c02 #.............,. + 1e490: 0000147a 0f010003 00001406 04000956 ...z...........V + 1e4a0: 42554600 00001406 03000014 81040006 BUF............. + 1e4b0: 0000148b 01030000 14920400 06000014 ................ + 1e4c0: 8b010300 00149f04 00020103 000014ac ................ + 1e4d0: 04000776 6275665f 61706900 14000015 ...vbuf_api..... + 1e4e0: 2a085f69 6e697400 00000b2e 02230008 *._init......#.. + 1e4f0: 5f616c6c 6f635f76 62756600 00001498 _alloc_vbuf..... + 1e500: 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 1e510: 77697468 5f73697a 65000000 14a50223 with_size......# + 1e520: 08085f66 7265655f 76627566 00000014 .._free_vbuf.... + 1e530: ae02230c 08705265 73657276 65640000 ..#..pReserved.. + 1e540: 00040702 23100007 5f5f6164 665f6465 ....#...__adf_de + 1e550: 76696365 00040000 154c0864 756d6d79 vice.....L.dummy + 1e560: 00000001 12022300 00030000 09720400 ......#......r.. + 1e570: 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 1e580: 00001593 08627566 00000014 8b022300 .....buf......#. + 1e590: 0864735f 61646472 00000015 4c022304 .ds_addr....L.#. + 1e5a0: 0864735f 6c656e00 00001340 02230800 .ds_len....@.#.. + 1e5b0: 110c0000 15cd085f 5f76615f 73746b00 .......__va_stk. + 1e5c0: 00000375 02230008 5f5f7661 5f726567 ...u.#..__va_reg + 1e5d0: 00000003 75022304 085f5f76 615f6e64 ....u.#..__va_nd + 1e5e0: 78000000 01120223 0800095f 5f616466 x......#...__adf + 1e5f0: 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 1e600: 00097209 6164665f 6f735f64 6d615f61 ..r.adf_os_dma_a + 1e610: 6464725f 74000000 15cd095f 5f616466 ddr_t......__adf + 1e620: 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 1e630: 00097209 6164665f 6f735f64 6d615f73 ..r.adf_os_dma_s + 1e640: 697a655f 74000000 15fd075f 5f646d61 ize_t......__dma + 1e650: 5f736567 73000800 00165908 70616464 _segs.....Y.padd + 1e660: 72000000 15e60223 00086c65 6e000000 r......#..len... + 1e670: 16160223 0400095f 5f615f75 696e7433 ...#...__a_uint3 + 1e680: 325f7400 00000972 09615f75 696e7433 2_t....r.a_uint3 + 1e690: 325f7400 00001659 0e000016 2d080000 2_t....Y....-... + 1e6a0: 16880f00 00076164 665f6f73 5f646d61 ......adf_os_dma + 1e6b0: 6d61705f 696e666f 000c0000 16c1086e map_info.......n + 1e6c0: 73656773 00000016 6b022300 08646d61 segs....k.#..dma + 1e6d0: 5f736567 73000000 167b0223 0400095f _segs....{.#..._ + 1e6e0: 5f615f75 696e7438 5f740000 00132c09 _a_uint8_t....,. + 1e6f0: 615f7569 6e74385f 74000000 16c10300 a_uint8_t....... + 1e700: 0016d204 00075f5f 73675f73 65677300 ......__sg_segs. + 1e710: 08000017 13087661 64647200 000016e1 ......vaddr..... + 1e720: 02230008 6c656e00 0000166b 02230400 .#..len....k.#.. + 1e730: 0e000016 e8200000 17200f03 00076164 ..... ... ....ad + 1e740: 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... + 1e750: 53086e73 65677300 0000166b 02230008 S.nsegs....k.#.. + 1e760: 73675f73 65677300 00001713 02230400 sg_segs......#.. + 1e770: 11100000 179c0876 656e646f 72000000 .......vendor... + 1e780: 166b0223 00086465 76696365 00000016 .k.#..device.... + 1e790: 6b022304 08737562 76656e64 6f720000 k.#..subvendor.. + 1e7a0: 00166b02 23080873 75626465 76696365 ..k.#..subdevice + 1e7b0: 00000016 6b02230c 00046c6f 6e67206c ....k.#...long l + 1e7c0: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 1e7d0: 00070809 415f5549 4e543634 00000017 ....A_UINT64.... + 1e7e0: 9c095f5f 615f7569 6e743634 5f740000 ..__a_uint64_t.. + 1e7f0: 0017b609 615f7569 6e743634 5f740000 ....a_uint64_t.. + 1e800: 0017c413 04000018 220d4144 465f4f53 ........".ADF_OS + 1e810: 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 1e820: 454d0000 0d414446 5f4f535f 5245534f EM...ADF_OS_RESO + 1e830: 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... + 1e840: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 1e850: 74797065 5f740000 0017e611 18000018 type_t.......... + 1e860: 6c087374 61727400 000017d6 02230008 l.start......#.. + 1e870: 656e6400 000017d6 02230808 74797065 end......#..type + 1e880: 00000018 22022310 00096164 665f6f73 ....".#...adf_os + 1e890: 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 1e8a0: 17530300 00186c04 00100400 0018ab08 .S....l......... + 1e8b0: 70636900 00001885 02230008 72617700 pci......#..raw. + 1e8c0: 00000407 02230000 10100000 18ca0870 .....#.........p + 1e8d0: 63690000 00186c02 23000872 61770000 ci....l.#..raw.. + 1e8e0: 00040702 23000009 6164665f 6472765f ....#...adf_drv_ + 1e8f0: 68616e64 6c655f74 00000004 07096164 handle_t......ad + 1e900: 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 1e910: 0000183e 03000018 e0040009 6164665f ...>........adf_ + 1e920: 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 1e930: 00000018 ab030000 18fe0400 03000015 ................ + 1e940: 2a040009 5f5f6164 665f6f73 5f646576 *...__adf_os_dev + 1e950: 6963655f 74000000 191f0961 64665f6f ice_t......adf_o + 1e960: 735f6465 76696365 5f740000 00192606 s_device_t....&. + 1e970: 000018ca 01030000 19520400 02010300 .........R...... + 1e980: 00195f04 00096164 665f6f73 5f706d5f .._...adf_os_pm_ + 1e990: 74000000 04070201 03000019 79040013 t...........y... + 1e9a0: 04000019 b90d4144 465f4f53 5f425553 ......ADF_OS_BUS + 1e9b0: 5f545950 455f5043 4900010d 4144465f _TYPE_PCI...ADF_ + 1e9c0: 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 1e9d0: 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu + 1e9e0: 735f7479 70655f74 00000019 82096164 s_type_t......ad + 1e9f0: 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 1ea00: 615f7400 0000188c 03000003 1f040007 a_t............. + 1ea10: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 1ea20: 001a9608 6472765f 61747461 63680000 ....drv_attach.. + 1ea30: 00195802 23000864 72765f64 65746163 ..X.#..drv_detac + 1ea40: 68000000 19610223 04086472 765f7375 h....a.#..drv_su + 1ea50: 7370656e 64000000 197b0223 08086472 spend....{.#..dr + 1ea60: 765f7265 73756d65 00000019 6102230c v_resume....a.#. + 1ea70: 08627573 5f747970 65000000 19b90223 .bus_type......# + 1ea80: 10086275 735f6461 74610000 0019d002 ..bus_data...... + 1ea90: 2314086d 6f645f6e 616d6500 000019eb #..mod_name..... + 1eaa0: 02231808 69666e61 6d650000 0019eb02 .#..ifname...... + 1eab0: 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl + 1eac0: 655f7400 00000407 03000016 c1040002 e_t............. + 1ead0: 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 1eae0: 655f7400 0000040a 13040000 1ae50d41 e_t............A + 1eaf0: 5f46414c 53450000 0d415f54 52554500 _FALSE...A_TRUE. + 1eb00: 01000961 5f626f6f 6c5f7400 00001acb ...a_bool_t..... + 1eb10: 03000015 53040009 5f5f6164 665f6f73 ....S...__adf_os + 1eb20: 5f646d61 5f6d6170 5f740000 001af302 _dma_map_t...... + 1eb30: 010c6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 1eb40: 796e6300 0400001b 7d0d4144 465f5359 ync.....}.ADF_SY + 1eb50: 4e435f50 52455245 41440000 0d414446 NC_PREREAD...ADF + 1eb60: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 1eb70: 0d414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 1eb80: 41440001 0d414446 5f53594e 435f504f AD...ADF_SYNC_PO + 1eb90: 53545752 49544500 03000961 64665f6f STWRITE....adf_o + 1eba0: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 1ebb0: 001b1402 01096164 665f6f73 5f73697a ......adf_os_siz + 1ebc0: 655f7400 00001ab6 0600001b 98010961 e_t............a + 1ebd0: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 1ebe0: 00001afa 0300001b b1040006 00000407 ................ + 1ebf0: 01030000 1afa0400 06000004 07010201 ................ + 1ec00: 06000015 e6010201 0473686f 72742069 .........short i + 1ec10: 6e740005 0209415f 494e5431 36000000 nt....A_INT16... + 1ec20: 1beb095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 1ec30: 001bf809 615f696e 7431365f 74000000 ....a_int16_t... + 1ec40: 1c050473 69676e65 64206368 61720005 ...signed char.. + 1ec50: 0109415f 494e5438 0000001c 25095f5f ..A_INT8....%.__ + 1ec60: 615f696e 74385f74 0000001c 3409615f a_int8_t....4.a_ + 1ec70: 696e7438 5f740000 001c4011 0c00001c int8_t....@..... + 1ec80: b7087375 70706f72 74656400 0000166b ..supported....k + 1ec90: 02230008 61647665 7274697a 65640000 .#..advertized.. + 1eca0: 00166b02 23040873 70656564 0000001c ..k.#..speed.... + 1ecb0: 16022308 08647570 6c657800 00001c50 ..#..duplex....P + 1ecc0: 02230a08 6175746f 6e656700 000016d2 .#..autoneg..... + 1ecd0: 02230b00 0e000016 d2060000 1cc40f05 .#.............. + 1ece0: 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 1ecf0: 72000600 001ce808 61646472 0000001c r.......addr.... + 1ed00: b7022300 00095f5f 615f7569 6e743136 ..#...__a_uint16 + 1ed10: 5f740000 00134009 615f7569 6e743136 _t....@.a_uint16 + 1ed20: 5f740000 001ce811 0e00001d 4c086574 _t..........L.et + 1ed30: 6865725f 64686f73 74000000 1cb70223 her_dhost......# + 1ed40: 00086574 6865725f 73686f73 74000000 ..ether_shost... + 1ed50: 1cb70223 06086574 6865725f 74797065 ...#..ether_type + 1ed60: 0000001c fa02230c 00111400 001e0d14 ......#......... + 1ed70: 69705f76 65727369 6f6e0000 0016d201 ip_version...... + 1ed80: 00040223 00146970 5f686c00 000016d2 ...#..ip_hl..... + 1ed90: 01040402 23000869 705f746f 73000000 ....#..ip_tos... + 1eda0: 16d20223 01086970 5f6c656e 0000001c ...#..ip_len.... + 1edb0: fa022302 0869705f 69640000 001cfa02 ..#..ip_id...... + 1edc0: 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. + 1edd0: 001cfa02 23060869 705f7474 6c000000 ....#..ip_ttl... + 1ede0: 16d20223 08086970 5f70726f 746f0000 ...#..ip_proto.. + 1edf0: 0016d202 23090869 705f6368 65636b00 ....#..ip_check. + 1ee00: 00001cfa 02230a08 69705f73 61646472 .....#..ip_saddr + 1ee10: 00000016 6b02230c 0869705f 64616464 ....k.#..ip_dadd + 1ee20: 72000000 166b0223 10000761 64665f6e r....k.#...adf_n + 1ee30: 65745f76 6c616e68 64720004 00001e5f et_vlanhdr....._ + 1ee40: 08747069 64000000 1cfa0223 00147072 .tpid......#..pr + 1ee50: 696f0000 0016d201 00030223 02146366 io.........#..cf + 1ee60: 69000000 16d20103 01022302 14766964 i.........#..vid + 1ee70: 0000001c fa02040c 02230200 07616466 .........#...adf + 1ee80: 5f6e6574 5f766964 00020000 1e901472 _net_vid.......r + 1ee90: 65730000 0016d201 00040223 00147661 es.........#..va + 1eea0: 6c000000 1cfa0204 0c022300 00110c00 l.........#..... + 1eeb0: 001ecc08 72785f62 75667369 7a650000 ....rx_bufsize.. + 1eec0: 00166b02 23000872 785f6e64 65736300 ..k.#..rx_ndesc. + 1eed0: 0000166b 02230408 74785f6e 64657363 ...k.#..tx_ndesc + 1eee0: 00000016 6b022308 00110800 001ef208 ....k.#......... + 1eef0: 706f6c6c 65640000 001ae502 23000870 polled......#..p + 1ef00: 6f6c6c5f 77740000 00166b02 2304000e oll_wt....k.#... + 1ef10: 000016d2 4000001e ff0f3f00 11460000 ....@.....?..F.. + 1ef20: 1f270869 665f6e61 6d650000 001ef202 .'.if_name...... + 1ef30: 23000864 65765f61 64647200 00001cb7 #..dev_addr..... + 1ef40: 02234000 13040000 1f5e0d41 44465f4f .#@......^.ADF_O + 1ef50: 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 1ef60: 00000d41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 1ef70: 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ + 1ef80: 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 1ef90: 1f270761 64665f64 6d615f69 6e666f00 .'.adf_dma_info. + 1efa0: 0800001f ab08646d 615f6d61 736b0000 ......dma_mask.. + 1efb0: 001f5e02 23000873 675f6e73 65677300 ..^.#..sg_nsegs. + 1efc0: 0000166b 02230400 13040000 20010d41 ...k.#...... ..A + 1efd0: 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 1efe0: 4500000d 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 1eff0: 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 1f000: 0d414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 1f010: 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a + 1f020: 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 1f030: 655f7400 00001fab 11080000 20440874 e_t......... D.t + 1f040: 785f636b 73756d00 00002001 02230008 x_cksum... ..#.. + 1f050: 72785f63 6b73756d 00000020 01022304 rx_cksum... ..#. + 1f060: 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 1f070: 696e666f 5f740000 00201b13 04000020 info_t... ..... + 1f080: 9d0d4144 465f4e45 545f5453 4f5f4e4f ..ADF_NET_TSO_NO + 1f090: 4e450000 0d414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 1f0a0: 5f495056 3400010d 4144465f 4e45545f _IPV4...ADF_NET_ + 1f0b0: 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n + 1f0c0: 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 1f0d0: 205e1110 000020f1 08636b73 756d5f63 ^.... ..cksum_c + 1f0e0: 61700000 00204402 23000874 736f0000 ap... D.#..tso.. + 1f0f0: 00209d02 23080876 6c616e5f 73757070 . ..#..vlan_supp + 1f100: 6f727465 64000000 16d20223 0c001120 orted......#... + 1f110: 0000218a 0874785f 7061636b 65747300 ..!..tx_packets. + 1f120: 0000166b 02230008 72785f70 61636b65 ...k.#..rx_packe + 1f130: 74730000 00166b02 23040874 785f6279 ts....k.#..tx_by + 1f140: 74657300 0000166b 02230808 72785f62 tes....k.#..rx_b + 1f150: 79746573 00000016 6b02230c 0874785f ytes....k.#..tx_ + 1f160: 64726f70 70656400 0000166b 02231008 dropped....k.#.. + 1f170: 72785f64 726f7070 65640000 00166b02 rx_dropped....k. + 1f180: 23140872 785f6572 726f7273 00000016 #..rx_errors.... + 1f190: 6b022318 0874785f 6572726f 72730000 k.#..tx_errors.. + 1f1a0: 00166b02 231c0009 6164665f 6e65745f ..k.#...adf_net_ + 1f1b0: 65746861 6464725f 74000000 1cc41500 ethaddr_t....... + 1f1c0: 00218a03 00000021 af0f7f00 16616466 .!.....!.....adf + 1f1d0: 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 1f1e0: 03040000 21e6086e 656c656d 00000016 ....!..nelem.... + 1f1f0: 6b022300 086d6361 73740000 0021a102 k.#..mcast...!.. + 1f200: 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 1f210: 6c696e6b 5f696e66 6f5f7400 00001c5e link_info_t....^ + 1f220: 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 1f230: 6c5f696e 666f5f74 0000001e cc096164 l_info_t......ad + 1f240: 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 1f250: 696e666f 5f740000 00204409 6164665f info_t... D.adf_ + 1f260: 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 1f270: 6f5f7400 00001e90 09616466 5f6e6574 o_t......adf_net + 1f280: 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 1f290: 00001f75 09616466 5f6e6574 5f636d64 ...u.adf_net_cmd + 1f2a0: 5f766964 5f740000 001cfa09 6164665f _vid_t......adf_ + 1f2b0: 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 1f2c0: 6361705f 74000000 20b50961 64665f6e cap_t... ..adf_n + 1f2d0: 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 1f2e0: 0020f109 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 1f2f0: 6d636164 64725f74 00000021 af0c6164 mcaddr_t...!..ad + 1f300: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 1f310: 63617000 04000023 280d4144 465f4e45 cap....#(.ADF_NE + 1f320: 545f4d43 4153545f 53555000 000d4144 T_MCAST_SUP...AD + 1f330: 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 1f340: 55500001 00096164 665f6e65 745f636d UP....adf_net_cm + 1f350: 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 1f360: 22e01703 04000023 fa086c69 6e6b5f69 "......#..link_i + 1f370: 6e666f00 000021e6 02230008 706f6c6c nfo...!..#..poll + 1f380: 5f696e66 6f000000 22030223 0008636b _info..."..#..ck + 1f390: 73756d5f 696e666f 00000022 20022300 sum_info..." .#. + 1f3a0: 0872696e 675f696e 666f0000 00223e02 .ring_info...">. + 1f3b0: 23000864 6d615f69 6e666f00 0000225b #..dma_info..."[ + 1f3c0: 02230008 76696400 00002277 02230008 .#..vid..."w.#.. + 1f3d0: 6f66666c 6f61645f 63617000 0000228e offload_cap...". + 1f3e0: 02230008 73746174 73000000 22ad0223 .#..stats..."..# + 1f3f0: 00086d63 6173745f 696e666f 00000022 ..mcast_info..." + 1f400: c6022300 086d6361 73745f63 61700000 ..#..mcast_cap.. + 1f410: 00232802 23000013 04000024 510d4144 .#(.#......$Q.AD + 1f420: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 1f430: 4e4f4e45 00000d41 44465f4e 4255465f NONE...ADF_NBUF_ + 1f440: 52585f43 4b53554d 5f485700 010d4144 RX_CKSUM_HW...AD + 1f450: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 1f460: 554e4e45 43455353 41525900 02000961 UNNECESSARY....a + 1f470: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 1f480: 5f747970 655f7400 000023fa 11080000 _type_t...#..... + 1f490: 24910872 6573756c 74000000 24510223 $..result...$Q.# + 1f4a0: 00087661 6c000000 166b0223 04001108 ..val....k.#.... + 1f4b0: 000024c1 08747970 65000000 209d0223 ..$..type... ..# + 1f4c0: 00086d73 73000000 1cfa0223 04086864 ..mss......#..hd + 1f4d0: 725f6f66 66000000 16d20223 0600075f r_off......#..._ + 1f4e0: 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 1f4f0: 0c000025 00086865 61640000 00148b02 ...%..head...... + 1f500: 23000874 61696c00 0000148b 02230408 #..tail......#.. + 1f510: 716c656e 00000016 6b022308 00095f5f qlen....k.#...__ + 1f520: 6164665f 6e627566 5f740000 00148b03 adf_nbuf_t...... + 1f530: 000016e1 04000300 00166b04 00020106 ..........k..... + 1f540: 0000136d 01060000 166b0106 000016e1 ...m.....k...... + 1f550: 01060000 16e10103 0000134e 0400095f ...........N..._ + 1f560: 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 1f570: 74000000 24c1095f 5f616466 5f6e6275 t...$..__adf_nbu + 1f580: 665f7175 6575655f 74000000 25410300 f_queue_t...%A.. + 1f590: 00255904 00060000 25000106 00002500 .%Y.....%.....%. + 1f5a0: 01130400 0026790d 415f5354 41545553 .....&y.A_STATUS + 1f5b0: 5f4f4b00 000d415f 53544154 55535f46 _OK...A_STATUS_F + 1f5c0: 41494c45 4400010d 415f5354 41545553 AILED...A_STATUS + 1f5d0: 5f454e4f 454e5400 020d415f 53544154 _ENOENT...A_STAT + 1f5e0: 55535f45 4e4f4d45 4d00030d 415f5354 US_ENOMEM...A_ST + 1f5f0: 41545553 5f45494e 56414c00 040d415f ATUS_EINVAL...A_ + 1f600: 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 1f610: 53530005 0d415f53 54415455 535f454e SS...A_STATUS_EN + 1f620: 4f545355 50500006 0d415f53 54415455 OTSUPP...A_STATU + 1f630: 535f4542 55535900 070d415f 53544154 S_EBUSY...A_STAT + 1f640: 55535f45 32424947 00080d41 5f535441 US_E2BIG...A_STA + 1f650: 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 1f660: 4c00090d 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 1f670: 494f000a 0d415f53 54415455 535f4546 IO...A_STATUS_EF + 1f680: 41554c54 000b0d41 5f535441 5455535f AULT...A_STATUS_ + 1f690: 45494f00 0c000961 5f737461 7475735f EIO....a_status_ + 1f6a0: 74000000 25840600 00267901 06000001 t...%....&y..... + 1f6b0: 12010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. + 1f6c0: 00002500 13040000 26de0d41 44465f4f ..%.....&..ADF_O + 1f6d0: 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 1f6e0: 000d4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 1f6f0: 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ + 1f700: 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 1f710: a7060000 26790102 01096164 665f6f73 ....&y....adf_os + 1f720: 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 1f730: 00168803 000026fc 04000201 02010600 ......&......... + 1f740: 00269701 06000025 00010201 02010600 .&.....%........ + 1f750: 00269701 06000025 00010600 00269701 .&.....%.....&.. + 1f760: 06000025 00010600 00269701 02010201 ...%.....&...... + 1f770: 06000016 6b010600 0016e101 02010201 ....k........... + 1f780: 0600001b 98010600 001ae501 0600001a ................ + 1f790: e5010961 64665f6f 735f7367 6c697374 ...adf_os_sglist + 1f7a0: 5f740000 00172003 00002775 04000201 _t.... ...'u.... + 1f7b0: 02010201 06000016 e1010961 64665f6e ...........adf_n + 1f7c0: 6275665f 71756575 655f7400 00002559 buf_queue_t...%Y + 1f7d0: 03000027 9d040002 01030000 25410400 ...'........%A.. + 1f7e0: 02010201 02010600 00269701 06000025 .........&.....% + 1f7f0: 00010600 00166b01 06000016 6b010600 ......k.....k... + 1f800: 001ae501 0600001a e5010600 00200101 ............. .. + 1f810: 06000016 6b010961 64665f6e 6275665f ....k..adf_nbuf_ + 1f820: 72785f63 6b73756d 5f740000 00246f03 rx_cksum_t...$o. + 1f830: 000027f9 04000201 02010961 64665f6e ..'........adf_n + 1f840: 6275665f 74736f5f 74000000 24910300 buf_tso_t...$... + 1f850: 00281d04 00020102 01096164 665f6e65 .(........adf_ne + 1f860: 745f6861 6e646c65 5f740000 00040709 t_handle_t...... + 1f870: 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 1f880: 74000000 1e0d0300 00285204 00060000 t........(R..... + 1f890: 26790106 00002679 01020102 01075f48 &y....&y......_H + 1f8a0: 49465f43 4f4e4649 47000400 0028a108 IF_CONFIG....(.. + 1f8b0: 64756d6d 79000000 01120223 00000201 dummy......#.... + 1f8c0: 03000028 a1040002 01030000 28aa0400 ...(........(... + 1f8d0: 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 1f8e0: 000028ff 0873656e 645f6275 665f646f ..(..send_buf_do + 1f8f0: 6e650000 0028a302 23000872 6563765f ne...(..#..recv_ + 1f900: 62756600 000028ac 02230408 636f6e74 buf...(..#..cont + 1f910: 65787400 00000407 02230800 09686966 ext......#...hif + 1f920: 5f68616e 646c655f 74000000 04070948 _handle_t......H + 1f930: 49465f43 4f4e4649 47000000 28800300 IF_CONFIG...(... + 1f940: 00291104 00060000 28ff0103 00002928 .)......(.....)( + 1f950: 04000201 03000029 35040009 4849465f .......)5...HIF_ + 1f960: 43414c4c 4241434b 00000028 b3030000 CALLBACK...(.... + 1f970: 293e0400 02010300 00295704 00060000 )>.......)W..... + 1f980: 01120103 00002960 04000201 03000029 ......)`.......) + 1f990: 6d040006 00000112 01030000 29760400 m...........)v.. + 1f9a0: 02010300 00298304 00060000 01120103 .....).......... + 1f9b0: 0000298c 04000201 03000029 99040007 ..)........).... + 1f9c0: 6869665f 61706900 3800002a f2085f69 hif_api.8..*.._i + 1f9d0: 6e697400 0000292e 02230008 5f736875 nit...)..#.._shu + 1f9e0: 74646f77 6e000000 29370223 04085f72 tdown...)7.#.._r + 1f9f0: 65676973 7465725f 63616c6c 6261636b egister_callback + 1fa00: 00000029 59022308 085f6765 745f746f ...)Y.#.._get_to + 1fa10: 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 1fa20: 00000029 6602230c 085f7374 61727400 ...)f.#.._start. + 1fa30: 00002937 02231008 5f636f6e 6669675f ..)7.#.._config_ + 1fa40: 70697065 00000029 6f022314 085f7365 pipe...)o.#.._se + 1fa50: 6e645f62 75666665 72000000 297c0223 nd_buffer...)|.# + 1fa60: 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 1fa70: 75660000 00298502 231c085f 69735f70 uf...)..#.._is_p + 1fa80: 6970655f 73757070 6f727465 64000000 ipe_supported... + 1fa90: 29920223 20085f67 65745f6d 61785f6d )..# ._get_max_m + 1faa0: 73675f6c 656e0000 00299202 2324085f sg_len...)..#$._ + 1fab0: 6765745f 72657365 72766564 5f686561 get_reserved_hea + 1fac0: 64726f6f 6d000000 29660223 28085f69 droom...)f.#(._i + 1fad0: 73725f68 616e646c 65720000 00293702 sr_handler...)7. + 1fae0: 232c085f 6765745f 64656661 756c745f #,._get_default_ + 1faf0: 70697065 00000029 9b022330 08705265 pipe...)..#0.pRe + 1fb00: 73657276 65640000 00040702 2334000c served......#4.. + 1fb10: 646d615f 656e6769 6e650004 00002b7b dma_engine....+{ + 1fb20: 0d444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 1fb30: 000d444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 1fb40: 00010d44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 1fb50: 3200020d 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 1fb60: 58330003 0d444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 1fb70: 54583000 040d444d 415f454e 47494e45 TX0...DMA_ENGINE + 1fb80: 5f545831 00050d44 4d415f45 4e47494e _TX1...DMA_ENGIN + 1fb90: 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng + 1fba0: 696e655f 74000000 2af20c64 6d615f69 ine_t...*..dma_i + 1fbb0: 66747970 65000400 002bc80d 444d415f ftype....+..DMA_ + 1fbc0: 49465f47 4d414300 000d444d 415f4946 IF_GMAC...DMA_IF + 1fbd0: 5f504349 00010d44 4d415f49 465f5043 _PCI...DMA_IF_PC + 1fbe0: 49450002 0009646d 615f6966 74797065 IE....dma_iftype + 1fbf0: 5f740000 002b8d06 00001340 01030000 _t...+.....@.... + 1fc00: 2bda0400 02010300 002be704 00020103 +........+...... + 1fc10: 00002bf0 04000600 00097201 0300002b ..+.......r....+ + 1fc20: f9040006 00001340 01030000 2c060400 .......@....,... + 1fc30: 06000013 40010300 002c1304 00060000 ....@....,...... + 1fc40: 148b0103 00002c20 04000201 0300002c ......, ......., + 1fc50: 2d040007 646d615f 6c69625f 61706900 -...dma_lib_api. + 1fc60: 3400002d 34087478 5f696e69 74000000 4..-4.tx_init... + 1fc70: 2be00223 00087478 5f737461 72740000 +..#..tx_start.. + 1fc80: 002be902 23040872 785f696e 69740000 .+..#..rx_init.. + 1fc90: 002be002 23080872 785f636f 6e666967 .+..#..rx_config + 1fca0: 0000002b f202230c 0872785f 73746172 ...+..#..rx_star + 1fcb0: 74000000 2be90223 1008696e 74725f73 t...+..#..intr_s + 1fcc0: 74617475 73000000 2bff0223 14086861 tatus...+..#..ha + 1fcd0: 72645f78 6d697400 00002c0c 02231808 rd_xmit...,..#.. + 1fce0: 666c7573 685f786d 69740000 002be902 flush_xmit...+.. + 1fcf0: 231c0878 6d69745f 646f6e65 0000002c #..xmit_done..., + 1fd00: 19022320 08726561 705f786d 69747465 ..# .reap_xmitte + 1fd10: 64000000 2c260223 24087265 61705f72 d...,&.#$.reap_r + 1fd20: 65637600 00002c26 02232808 72657475 ecv...,&.#(.retu + 1fd30: 726e5f72 65637600 00002c2f 02232c08 rn_recv...,/.#,. + 1fd40: 72656376 5f706b74 0000002c 19022330 recv_pkt...,..#0 + 1fd50: 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 1fd60: 002d5208 73770000 00293e02 23000009 .-R.sw...)>.#... + 1fd70: 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 1fd80: 2d340300 002d5204 00020103 00002d6c -4...-R.......-l + 1fd90: 04000600 00132c01 0300002d 7504000c ......,....-u... + 1fda0: 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 1fdb0: 0400002d d50d4849 465f5043 495f5049 ...-..HIF_PCI_PI + 1fdc0: 50455f54 58300000 0d484946 5f504349 PE_TX0...HIF_PCI + 1fdd0: 5f504950 455f5458 3100010d 4849465f _PIPE_TX1...HIF_ + 1fde0: 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 1fdf0: 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 1fe00: 74785f74 0000002d 82060000 2b7b0103 tx_t...-....+{.. + 1fe10: 00002dec 04000c68 69665f70 63695f70 ..-....hif_pci_p + 1fe20: 6970655f 72780004 00002e72 0d484946 ipe_rx.....r.HIF + 1fe30: 5f504349 5f504950 455f5258 3000000d _PCI_PIPE_RX0... + 1fe40: 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 1fe50: 00010d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 1fe60: 52583200 020d4849 465f5043 495f5049 RX2...HIF_PCI_PI + 1fe70: 50455f52 58330003 0d484946 5f504349 PE_RX3...HIF_PCI + 1fe80: 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... + 1fe90: 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 1fea0: 74000000 2df90600 002b7b01 0300002e t...-....+{..... + 1feb0: 89040007 6869665f 7063695f 61706900 ....hif_pci_api. + 1fec0: 2400002f 67087063 695f626f 6f745f69 $../g.pci_boot_i + 1fed0: 6e697400 0000010b 02230008 7063695f nit......#..pci_ + 1fee0: 696e6974 00000029 2e022304 08706369 init...)..#..pci + 1fef0: 5f726573 65740000 00010b02 23080870 _reset......#..p + 1ff00: 63695f65 6e61626c 65000000 010b0223 ci_enable......# + 1ff10: 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 1ff20: 65640000 002d6e02 23100870 63695f72 ed...-n.#..pci_r + 1ff30: 6561705f 72656376 0000002d 6e022314 eap_recv...-n.#. + 1ff40: 08706369 5f676574 5f706970 65000000 .pci_get_pipe... + 1ff50: 2d7b0223 18087063 695f6765 745f7478 -{.#..pci_get_tx + 1ff60: 5f656e67 0000002d f202231c 08706369 _eng...-..#..pci + 1ff70: 5f676574 5f72785f 656e6700 00002e8f _get_rx_eng..... + 1ff80: 02232000 07676d61 635f6170 69000400 .# ..gmac_api... + 1ff90: 002f8e08 676d6163 5f626f6f 745f696e ./..gmac_boot_in + 1ffa0: 69740000 00010b02 2300000e 0000031f it......#....... + 1ffb0: 0600002f 9b0f0500 075f5f65 74686864 .../.....__ethhd + 1ffc0: 72000e00 002fd108 64737400 00002f8e r..../..dst.../. + 1ffd0: 02230008 73726300 00002f8e 02230608 .#..src.../..#.. + 1ffe0: 65747970 65000000 13400223 0c00075f etype....@.#..._ + 1fff0: 5f617468 68647200 04000030 1f147265 _athhdr....0..re + 20000: 73000000 132c0100 02022300 1470726f s....,....#..pro + 20010: 746f0000 00132c01 02060223 00087265 to....,....#..re + 20020: 735f6c6f 00000013 2c022301 08726573 s_lo....,.#..res + 20030: 5f686900 00001340 02230200 075f5f67 _hi....@.#...__g + 20040: 6d61635f 68647200 14000030 5b086574 mac_hdr....0[.et + 20050: 68000000 2f9b0223 00086174 68000000 h.../..#..ath... + 20060: 2fd10223 0e08616c 69676e5f 70616400 /..#..align_pad. + 20070: 00001340 02231200 095f5f67 6d61635f ...@.#...__gmac_ + 20080: 6864725f 74000000 301f075f 5f676d61 hdr_t...0..__gma + 20090: 635f736f 66746300 24000030 a5086864 c_softc.$..0..hd + 200a0: 72000000 305b0223 00086772 616e0000 r...0[.#..gran.. + 200b0: 00134002 23140873 77000000 293e0223 ..@.#..sw...)>.# + 200c0: 1800075f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 200d0: 5f636865 636b0008 000030de 08766572 _check....0..ver + 200e0: 73696f6e 00000001 12022300 08746162 sion......#..tab + 200f0: 6c650000 00011202 23040003 000030a5 le......#.....0. + 20100: 04000600 00011201 03000030 e5040003 ...........0.... + 20110: 0000040a 0400165f 415f636d 6e6f735f ......._A_cmnos_ + 20120: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 20130: 650001b8 00003235 0868616c 5f6c696e e.....25.hal_lin + 20140: 6b616765 5f636865 636b0000 0030eb02 kage_check...0.. + 20150: 23000873 74617274 5f627373 00000030 #..start_bss...0 + 20160: f2022304 08617070 5f737461 72740000 ..#..app_start.. + 20170: 00010b02 2308086d 656d0000 00044a02 ....#..mem....J. + 20180: 230c086d 69736300 000005bd 02232008 #..misc......# . + 20190: 7072696e 74660000 00013f02 23440875 printf....?.#D.u + 201a0: 61727400 00000208 02234c08 676d6163 art......#L.gmac + 201b0: 0000002f 6702236c 08757362 0000000f .../g.#l.usb.... + 201c0: ec022370 08636c6f 636b0000 000b3503 ..#p.clock....5. + 201d0: 23e00108 74696d65 72000000 07d50323 #...timer......# + 201e0: 84020869 6e747200 00000c85 03239802 ...intr......#.. + 201f0: 08616c6c 6f637261 6d000000 098d0323 .allocram......# + 20200: c4020872 6f6d7000 0000087f 0323d002 ...romp......#.. + 20210: 08776474 5f74696d 65720000 000e6203 .wdt_timer....b. + 20220: 23e00208 65657000 00000f90 0323fc02 #...eep......#.. + 20230: 08737472 696e6700 000006e1 03238c03 .string......#.. + 20240: 08746173 6b6c6574 0000000a 8a0323a4 .tasklet......#. + 20250: 0300075f 5553425f 4649464f 5f434f4e ..._USB_FIFO_CON + 20260: 46494700 10000032 a8086765 745f636f FIG....2..get_co + 20270: 6d6d616e 645f6275 66000000 14980223 mmand_buf......# + 20280: 00087265 63765f63 6f6d6d61 6e640000 ..recv_command.. + 20290: 0014ae02 23040867 65745f65 76656e74 ....#..get_event + 202a0: 5f627566 00000014 98022308 0873656e _buf......#..sen + 202b0: 645f6576 656e745f 646f6e65 00000014 d_event_done.... + 202c0: ae02230c 00095553 425f4649 464f5f43 ..#...USB_FIFO_C + 202d0: 4f4e4649 47000000 32350300 0032a804 ONFIG...25...2.. + 202e0: 00020103 000032c4 04000775 73626669 ......2....usbfi + 202f0: 666f5f61 7069000c 0000331a 085f696e fo_api....3.._in + 20300: 69740000 0032c602 2300085f 656e6162 it...2..#.._enab + 20310: 6c655f65 76656e74 5f697372 00000001 le_event_isr.... + 20320: 0b022304 08705265 73657276 65640000 ..#..pReserved.. + 20330: 00040702 2308000e 000016d2 02000033 ....#..........3 + 20340: 270f0100 075f4854 435f4652 414d455f '...._HTC_FRAME_ + 20350: 48445200 08000033 9908456e 64706f69 HDR....3..Endpoi + 20360: 6e744944 00000016 d2022300 08466c61 ntID......#..Fla + 20370: 67730000 0016d202 23010850 61796c6f gs......#..Paylo + 20380: 61644c65 6e000000 1cfa0223 0208436f adLen......#..Co + 20390: 6e74726f 6c427974 65730000 00331a02 ntrolBytes...3.. + 203a0: 23040848 6f737453 65714e75 6d000000 #..HostSeqNum... + 203b0: 1cfa0223 06001102 000033b2 084d6573 ...#......3..Mes + 203c0: 73616765 49440000 001cfa02 23000011 sageID......#... + 203d0: 08000034 15084d65 73736167 65494400 ...4..MessageID. + 203e0: 00001cfa 02230008 43726564 6974436f .....#..CreditCo + 203f0: 756e7400 00001cfa 02230208 43726564 unt......#..Cred + 20400: 69745369 7a650000 001cfa02 2304084d itSize......#..M + 20410: 6178456e 64706f69 6e747300 000016d2 axEndpoints..... + 20420: 02230608 5f506164 31000000 16d20223 .#.._Pad1......# + 20430: 0700110a 000034ac 084d6573 73616765 ......4..Message + 20440: 49440000 001cfa02 23000853 65727669 ID......#..Servi + 20450: 63654944 0000001c fa022302 08436f6e ceID......#..Con + 20460: 6e656374 696f6e46 6c616773 0000001c nectionFlags.... + 20470: fa022304 08446f77 6e4c696e 6b506970 ..#..DownLinkPip + 20480: 65494400 000016d2 02230608 55704c69 eID......#..UpLi + 20490: 6e6b5069 70654944 00000016 d2022307 nkPipeID......#. + 204a0: 08536572 76696365 4d657461 4c656e67 .ServiceMetaLeng + 204b0: 74680000 0016d202 2308085f 50616431 th......#.._Pad1 + 204c0: 00000016 d2022309 00110a00 00353408 ......#......54. + 204d0: 4d657373 61676549 44000000 1cfa0223 MessageID......# + 204e0: 00085365 72766963 65494400 00001cfa ..ServiceID..... + 204f0: 02230208 53746174 75730000 0016d202 .#..Status...... + 20500: 23040845 6e64706f 696e7449 44000000 #..EndpointID... + 20510: 16d20223 05084d61 784d7367 53697a65 ...#..MaxMsgSize + 20520: 0000001c fa022306 08536572 76696365 ......#..Service + 20530: 4d657461 4c656e67 74680000 0016d202 MetaLength...... + 20540: 2308085f 50616431 00000016 d2022309 #.._Pad1......#. + 20550: 00110200 00354d08 4d657373 61676549 .....5M.MessageI + 20560: 44000000 1cfa0223 00001104 00003589 D......#......5. + 20570: 084d6573 73616765 49440000 001cfa02 .MessageID...... + 20580: 23000850 69706549 44000000 16d20223 #..PipeID......# + 20590: 02084372 65646974 436f756e 74000000 ..CreditCount... + 205a0: 16d20223 03001104 000035c0 084d6573 ...#......5..Mes + 205b0: 73616765 49440000 001cfa02 23000850 sageID......#..P + 205c0: 69706549 44000000 16d20223 02085374 ipeID......#..St + 205d0: 61747573 00000016 d2022303 00110200 atus......#..... + 205e0: 0035e708 5265636f 72644944 00000016 .5..RecordID.... + 205f0: d2022300 084c656e 67746800 000016d2 ..#..Length..... + 20600: 02230100 11020000 36110845 6e64706f .#......6..Endpo + 20610: 696e7449 44000000 16d20223 00084372 intID......#..Cr + 20620: 65646974 73000000 16d20223 01001104 edits......#.... + 20630: 00003652 08456e64 706f696e 74494400 ..6R.EndpointID. + 20640: 000016d2 02230008 43726564 69747300 .....#..Credits. + 20650: 000016d2 02230108 54677443 72656469 .....#..TgtCredi + 20660: 74536571 4e6f0000 001cfa02 2302000e tSeqNo......#... + 20670: 000016d2 04000036 5f0f0300 11060000 .......6_....... + 20680: 369b0850 72655661 6c696400 000016d2 6..PreValid..... + 20690: 02230008 4c6f6f6b 41686561 64000000 .#..LookAhead... + 206a0: 36520223 0108506f 73745661 6c696400 6R.#..PostValid. + 206b0: 000016d2 02230500 09706f6f 6c5f6861 .....#...pool_ha + 206c0: 6e646c65 5f740000 00040706 0000369b ndle_t........6. + 206d0: 01030000 36ae0400 02010300 0036bb04 ....6........6.. + 206e0: 00130400 0037390d 504f4f4c 5f49445f .....79.POOL_ID_ + 206f0: 4854435f 434f4e54 524f4c00 000d504f HTC_CONTROL...PO + 20700: 4f4c5f49 445f574d 495f5356 435f434d OL_ID_WMI_SVC_CM + 20710: 445f5245 504c5900 010d504f 4f4c5f49 D_REPLY...POOL_I + 20720: 445f574d 495f5356 435f4556 454e5400 D_WMI_SVC_EVENT. + 20730: 020d504f 4f4c5f49 445f574c 414e5f52 ..POOL_ID_WLAN_R + 20740: 585f4255 4600030d 504f4f4c 5f49445f X_BUF...POOL_ID_ + 20750: 4d415800 0a000942 55465f50 4f4f4c5f MAX....BUF_POOL_ + 20760: 49440000 0036c402 01030000 374a0400 ID...6......7J.. + 20770: 06000026 97010300 00375304 00060000 ...&.....7S..... + 20780: 26970103 00003760 04000201 03000037 &.....7`.......7 + 20790: 6d040007 6275665f 706f6f6c 5f617069 m...buf_pool_api + 207a0: 001c0000 380f085f 696e6974 00000036 ....8.._init...6 + 207b0: b4022300 085f7368 7574646f 776e0000 ..#.._shutdown.. + 207c0: 0036bd02 2304085f 63726561 74655f70 .6..#.._create_p + 207d0: 6f6f6c00 0000374c 02230808 5f616c6c ool...7L.#.._all + 207e0: 6f635f62 75660000 00375902 230c085f oc_buf...7Y.#.._ + 207f0: 616c6c6f 635f6275 665f616c 69676e00 alloc_buf_align. + 20800: 00003766 02231008 5f667265 655f6275 ..7f.#.._free_bu + 20810: 66000000 376f0223 14087052 65736572 f...7o.#..pReser + 20820: 76656400 00000407 02231800 075f4854 ved......#..._HT + 20830: 435f5345 52564943 45001c00 0038ee08 C_SERVICE....8.. + 20840: 704e6578 74000000 38ee0223 00085072 pNext...8..#..Pr + 20850: 6f636573 73526563 764d7367 00000039 ocessRecvMsg...9 + 20860: a3022304 0850726f 63657373 53656e64 ..#..ProcessSend + 20870: 42756666 6572436f 6d706c65 74650000 BufferComplete.. + 20880: 0039ac02 23080850 726f6365 7373436f .9..#..ProcessCo + 20890: 6e6e6563 74000000 39c00223 0c085365 nnect...9..#..Se + 208a0: 72766963 65494400 00001340 02231008 rviceID....@.#.. + 208b0: 53657276 69636546 6c616773 00000013 ServiceFlags.... + 208c0: 40022312 084d6178 5376634d 73675369 @.#..MaxSvcMsgSi + 208d0: 7a650000 00134002 23140854 7261696c ze....@.#..Trail + 208e0: 65725370 63436865 636b4c69 6d697400 erSpcCheckLimit. + 208f0: 00001340 02231608 53657276 69636543 ...@.#..ServiceC + 20900: 74780000 00040702 23180003 0000380f tx......#.....8. + 20910: 04001304 0000398c 18454e44 504f494e ......9..ENDPOIN + 20920: 545f554e 55534544 00ffffff ff0d454e T_UNUSED......EN + 20930: 44504f49 4e543000 000d454e 44504f49 DPOINT0...ENDPOI + 20940: 4e543100 010d454e 44504f49 4e543200 NT1...ENDPOINT2. + 20950: 020d454e 44504f49 4e543300 030d454e ..ENDPOINT3...EN + 20960: 44504f49 4e543400 040d454e 44504f49 DPOINT4...ENDPOI + 20970: 4e543500 050d454e 44504f49 4e543600 NT5...ENDPOINT6. + 20980: 060d454e 44504f49 4e543700 070d454e ..ENDPOINT7...EN + 20990: 44504f49 4e543800 080d454e 44504f49 DPOINT8...ENDPOI + 209a0: 4e545f4d 41580016 00094854 435f454e NT_MAX....HTC_EN + 209b0: 44504f49 4e545f49 44000000 38f50201 DPOINT_ID...8... + 209c0: 03000039 a1040002 01030000 39aa0400 ...9........9... + 209d0: 03000001 12040006 0000132c 01030000 ...........,.... + 209e0: 39ba0400 03000038 0f040007 5f485443 9......8...._HTC + 209f0: 5f434f4e 46494700 1400003a 3f084372 _CONFIG....:?.Cr + 20a00: 65646974 53697a65 00000001 12022300 editSize......#. + 20a10: 08437265 6469744e 756d6265 72000000 .CreditNumber... + 20a20: 01120223 04084f53 48616e64 6c650000 ...#..OSHandle.. + 20a30: 001a9602 23080848 49464861 6e646c65 ....#..HIFHandle + 20a40: 00000028 ff02230c 08506f6f 6c48616e ...(..#..PoolHan + 20a50: 646c6500 0000369b 02231000 075f4854 dle...6..#..._HT + 20a60: 435f4255 465f434f 4e544558 54000200 C_BUF_CONTEXT... + 20a70: 003a7b08 656e645f 706f696e 74000000 .:{.end_point... + 20a80: 132c0223 00086874 635f666c 61677300 .,.#..htc_flags. + 20a90: 0000132c 02230100 09687463 5f68616e ...,.#...htc_han + 20aa0: 646c655f 74000000 04070948 54435f53 dle_t......HTC_S + 20ab0: 45545550 5f434f4d 504c4554 455f4342 ETUP_COMPLETE_CB + 20ac0: 00000001 0b094854 435f434f 4e464947 ......HTC_CONFIG + 20ad0: 00000039 ce030000 3aa80400 0600003a ...9....:......: + 20ae0: 7b010300 003abf04 00020103 00003acc {....:........:. + 20af0: 04000948 54435f53 45525649 43450000 ...HTC_SERVICE.. + 20b00: 00380f03 00003ad5 04000201 0300003a .8....:........: + 20b10: ed040002 01030000 3af60400 02010300 ........:....... + 20b20: 003aff04 00060000 01120103 00003b08 .:............;. + 20b30: 04000768 74635f61 70697300 3400003c ...htc_apis.4..< + 20b40: 85085f48 54435f49 6e697400 00003ac5 .._HTC_Init...:. + 20b50: 02230008 5f485443 5f536875 74646f77 .#.._HTC_Shutdow + 20b60: 6e000000 3ace0223 04085f48 54435f52 n...:..#.._HTC_R + 20b70: 65676973 74657253 65727669 63650000 egisterService.. + 20b80: 003aef02 2308085f 4854435f 52656164 .:..#.._HTC_Read + 20b90: 79000000 3ace0223 0c085f48 54435f52 y...:..#.._HTC_R + 20ba0: 65747572 6e427566 66657273 0000003a eturnBuffers...: + 20bb0: f8022310 085f4854 435f5265 7475726e ..#.._HTC_Return + 20bc0: 42756666 6572734c 69737400 00003b01 BuffersList...;. + 20bd0: 02231408 5f485443 5f53656e 644d7367 .#.._HTC_SendMsg + 20be0: 0000003a f8022318 085f4854 435f4765 ...:..#.._HTC_Ge + 20bf0: 74526573 65727665 64486561 64726f6f tReservedHeadroo + 20c00: 6d000000 3b0e0223 1c085f48 54435f4d m...;..#.._HTC_M + 20c10: 73675265 63764861 6e646c65 72000000 sgRecvHandler... + 20c20: 28ac0223 20085f48 54435f53 656e6444 (..# ._HTC_SendD + 20c30: 6f6e6548 616e646c 65720000 0028a302 oneHandler...(.. + 20c40: 2324085f 4854435f 436f6e74 726f6c53 #$._HTC_ControlS + 20c50: 76635072 6f636573 734d7367 00000039 vcProcessMsg...9 + 20c60: a3022328 085f4854 435f436f 6e74726f ..#(._HTC_Contro + 20c70: 6c537663 50726f63 65737353 656e6443 lSvcProcessSendC + 20c80: 6f6d706c 65746500 000039ac 02232c08 omplete...9..#,. + 20c90: 70526573 65727665 64000000 04070223 pReserved......# + 20ca0: 30000768 6f73745f 6170705f 61726561 0..host_app_area + 20cb0: 5f730004 00003cb5 08776d69 5f70726f _s....<..wmi_pro + 20cc0: 746f636f 6c5f7665 72000000 166b0223 tocol_ver....k.# + 20cd0: 0000110e 00003cec 08647374 4d616300 ......<..dstMac. + 20ce0: 00001cb7 02230008 7372634d 61630000 .....#..srcMac.. + 20cf0: 001cb702 23060874 7970654f 724c656e ....#..typeOrLen + 20d00: 0000001c fa02230c 000e0000 16d20300 ......#......... + 20d10: 003cf90f 02001108 00003d49 08647361 .<........=I.dsa + 20d20: 70000000 16d20223 00087373 61700000 p......#..ssap.. + 20d30: 0016d202 23010863 6e746c00 000016d2 ....#..cntl..... + 20d40: 02230208 6f726743 6f646500 00003cec .#..orgCode...<. + 20d50: 02230308 65746865 72547970 65000000 .#..etherType... + 20d60: 1cfa0223 06001102 00003d6a 08727373 ...#......=j.rss + 20d70: 69000000 1c500223 0008696e 666f0000 i....P.#..info.. + 20d80: 0016d202 23010011 0400003d 9108636f ....#......=..co + 20d90: 6d6d616e 64496400 00001cfa 02230008 mmandId......#.. + 20da0: 7365714e 6f000000 1cfa0223 02000e00 seqNo......#.... + 20db0: 0016d201 00003d9e 0f000011 0200003d ......=........= + 20dc0: c5086d73 6753697a 65000000 16d20223 ..msgSize......# + 20dd0: 00086d73 67446174 61000000 3d910223 ..msgData...=..# + 20de0: 01001108 00003e0c 08616464 72657373 ......>..address + 20df0: 4c000000 1cfa0223 00086164 64726573 L......#..addres + 20e00: 73480000 001cfa02 23020876 616c7565 sH......#..value + 20e10: 4c000000 1cfa0223 04087661 6c756548 L......#..valueH + 20e20: 0000001c fa022306 0009574d 495f4156 ......#...WMI_AV + 20e30: 54000000 3dc50e00 003e0c08 00003e26 T...=....>....>& + 20e40: 0f000011 0c00003e 5d087475 706c654e .......>].tupleN + 20e50: 756d4c00 00001cfa 02230008 7475706c umL......#..tupl + 20e60: 654e756d 48000000 1cfa0223 02086176 eNumH......#..av + 20e70: 74000000 3e190223 04001101 00003e7f t...>..#......>. + 20e80: 08626561 636f6e50 656e6469 6e67436f .beaconPendingCo + 20e90: 756e7400 000016d2 02230000 075f574d unt......#..._WM + 20ea0: 495f5356 435f434f 4e464947 00100000 I_SVC_CONFIG.... + 20eb0: 3ee80848 74634861 6e646c65 0000003a >..HtcHandle...: + 20ec0: 7b022300 08506f6f 6c48616e 646c6500 {.#..PoolHandle. + 20ed0: 0000369b 02230408 4d617843 6d645265 ..6..#..MaxCmdRe + 20ee0: 706c7945 76747300 00000112 02230808 plyEvts......#.. + 20ef0: 4d617845 76656e74 45767473 00000001 MaxEventEvts.... + 20f00: 1202230c 00020103 00003ee8 04000957 ..#.......>....W + 20f10: 4d495f43 4d445f48 414e444c 45520000 MI_CMD_HANDLER.. + 20f20: 003eea07 5f574d49 5f444953 50415443 .>.._WMI_DISPATC + 20f30: 485f454e 54525900 0800003f 51087043 H_ENTRY....?Q.pC + 20f40: 6d644861 6e646c65 72000000 3ef10223 mdHandler...>..# + 20f50: 0008436d 64494400 00001340 02230408 ..CmdID....@.#.. + 20f60: 466c6167 73000000 13400223 0600075f Flags....@.#..._ + 20f70: 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB + 20f80: 4c450010 00003fb2 08704e65 78740000 LE....?..pNext.. + 20f90: 003fb202 23000870 436f6e74 65787400 .?..#..pContext. + 20fa0: 00000407 02230408 4e756d62 65724f66 .....#..NumberOf + 20fb0: 456e7472 69657300 00000112 02230808 Entries......#.. + 20fc0: 70546162 6c650000 003fd102 230c0003 pTable...?..#... + 20fd0: 00003f51 04000957 4d495f44 49535041 ..?Q...WMI_DISPA + 20fe0: 5443485f 454e5452 59000000 3f060300 TCH_ENTRY...?... + 20ff0: 003fb904 00030000 3f510400 09485443 .?......?Q...HTC + 21000: 5f425546 5f434f4e 54455854 0000003a _BUF_CONTEXT...: + 21010: 3f0c574d 495f4556 545f434c 41535300 ?.WMI_EVT_CLASS. + 21020: 04000040 6918574d 495f4556 545f434c ...@i.WMI_EVT_CL + 21030: 4153535f 4e4f4e45 00ffffff ff0d574d ASS_NONE......WM + 21040: 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 21050: 4556454e 5400000d 574d495f 4556545f EVENT...WMI_EVT_ + 21060: 434c4153 535f434d 445f5245 504c5900 CLASS_CMD_REPLY. + 21070: 010d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 21080: 4d415800 02000957 4d495f45 56545f43 MAX....WMI_EVT_C + 21090: 4c415353 0000003f f4075f57 4d495f42 LASS...?.._WMI_B + 210a0: 55465f43 4f4e5445 5854000c 000040c7 UF_CONTEXT....@. + 210b0: 08487463 42756643 74780000 003fdf02 .HtcBufCtx...?.. + 210c0: 23000845 76656e74 436c6173 73000000 #..EventClass... + 210d0: 40690223 0408466c 61677300 00001340 @i.#..Flags....@ + 210e0: 02230800 09776d69 5f68616e 646c655f .#...wmi_handle_ + 210f0: 74000000 04070957 4d495f53 56435f43 t......WMI_SVC_C + 21100: 4f4e4649 47000000 3e7f0300 0040d904 ONFIG...>....@.. + 21110: 00060000 40c70103 000040f4 04000957 ....@.....@....W + 21120: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 21130: 45000000 3f510300 00410104 00020103 E...?Q...A...... + 21140: 00004120 04000600 00269701 03000041 ..A .....&.....A + 21150: 29040002 01030000 41360400 06000001 ).......A6...... + 21160: 12010300 00413f04 00020103 0000414c .....A?.......AL + 21170: 04000600 00132c01 03000041 55040007 ......,....AU... + 21180: 5f776d69 5f737663 5f617069 73002c00 _wmi_svc_apis.,. + 21190: 00429d08 5f574d49 5f496e69 74000000 .B.._WMI_Init... + 211a0: 40fa0223 00085f57 4d495f52 65676973 @..#.._WMI_Regis + 211b0: 74657244 69737061 74636854 61626c65 terDispatchTable + 211c0: 00000041 22022304 085f574d 495f416c ...A".#.._WMI_Al + 211d0: 6c6f6345 76656e74 00000041 2f022308 locEvent...A/.#. + 211e0: 085f574d 495f5365 6e644576 656e7400 ._WMI_SendEvent. + 211f0: 00004138 02230c08 5f574d49 5f476574 ..A8.#.._WMI_Get + 21200: 50656e64 696e6745 76656e74 73436f75 PendingEventsCou + 21210: 6e740000 00414502 2310085f 574d495f nt...AE.#.._WMI_ + 21220: 53656e64 436f6d70 6c657465 48616e64 SendCompleteHand + 21230: 6c657200 000039ac 02231408 5f574d49 ler...9..#.._WMI + 21240: 5f476574 436f6e74 726f6c45 70000000 _GetControlEp... + 21250: 41450223 18085f57 4d495f53 68757464 AE.#.._WMI_Shutd + 21260: 6f776e00 0000414e 02231c08 5f574d49 own...AN.#.._WMI + 21270: 5f526563 764d6573 73616765 48616e64 _RecvMessageHand + 21280: 6c657200 000039a3 02232008 5f574d49 ler...9..# ._WMI + 21290: 5f536572 76696365 436f6e6e 65637400 _ServiceConnect. + 212a0: 0000415b 02232408 70526573 65727665 ..A[.#$.pReserve + 212b0: 64000000 04070223 2800077a 73446d61 d......#(..zsDma + 212c0: 44657363 00140000 431f0863 74726c00 Desc....C..ctrl. + 212d0: 00000176 02230008 73746174 75730000 ...v.#..status.. + 212e0: 00017602 23020874 6f74616c 4c656e00 ..v.#..totalLen. + 212f0: 00000176 02230408 64617461 53697a65 ...v.#..dataSize + 21300: 00000001 76022306 086c6173 74416464 ....v.#..lastAdd + 21310: 72000000 431f0223 08086461 74614164 r...C..#..dataAd + 21320: 64720000 00019a02 230c086e 65787441 dr......#..nextA + 21330: 64647200 0000431f 02231000 03000042 ddr...C..#.....B + 21340: 9d040003 0000429d 0400077a 73446d61 ......B....zsDma + 21350: 51756575 65000800 00435f08 68656164 Queue....C_.head + 21360: 00000043 26022300 08746572 6d696e61 ...C&.#..termina + 21370: 746f7200 00004326 02230400 077a7354 tor...C&.#...zsT + 21380: 78446d61 51756575 65001000 0043c308 xDmaQueue....C.. + 21390: 68656164 00000043 26022300 08746572 head...C&.#..ter + 213a0: 6d696e61 746f7200 00004326 02230408 minator...C&.#.. + 213b0: 786d6974 65645f62 75665f68 65616400 xmited_buf_head. + 213c0: 0000148b 02230808 786d6974 65645f62 .....#..xmited_b + 213d0: 75665f74 61696c00 0000148b 02230c00 uf_tail......#.. + 213e0: 02010300 0043c304 00030000 432d0400 .....C......C-.. + 213f0: 02010300 0043d304 00030000 435f0400 .....C......C_.. + 21400: 02010300 0043e304 00020103 000043ec .....C........C. + 21410: 04000201 03000043 f5040006 0000148b .......C........ + 21420: 01030000 43fe0400 02010300 00440b04 ....C........D.. + 21430: 00060000 148b0103 00004414 04000201 ..........D..... + 21440: 03000044 21040006 00000112 01030000 ...D!........... + 21450: 442a0400 06000043 26010300 00443704 D*.....C&....D7. + 21460: 00020103 00004444 04000764 6d615f65 ......DD...dma_e + 21470: 6e67696e 655f6170 69004000 0045ba08 ngine_api.@..E.. + 21480: 5f696e69 74000000 43c50223 00085f69 _init...C..#.._i + 21490: 6e69745f 72785f71 75657565 00000043 nit_rx_queue...C + 214a0: d5022304 085f696e 69745f74 785f7175 ..#.._init_tx_qu + 214b0: 65756500 000043e5 02230808 5f636f6e eue...C..#.._con + 214c0: 6669675f 72785f71 75657565 00000043 fig_rx_queue...C + 214d0: ee02230c 085f786d 69745f62 75660000 ..#.._xmit_buf.. + 214e0: 0043f702 2310085f 666c7573 685f786d .C..#.._flush_xm + 214f0: 69740000 0043d502 2314085f 72656170 it...C..#.._reap + 21500: 5f726563 765f6275 66000000 44040223 _recv_buf...D..# + 21510: 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 21520: 75660000 00440d02 231c085f 72656170 uf...D..#.._reap + 21530: 5f786d69 7465645f 62756600 0000441a _xmited_buf...D. + 21540: 02232008 5f737761 705f6461 74610000 .# ._swap_data.. + 21550: 00442302 2324085f 6861735f 636f6d70 .D#.#$._has_comp + 21560: 6c5f7061 636b6574 73000000 44300223 l_packets...D0.# + 21570: 28085f64 6573635f 64756d70 00000043 (._desc_dump...C + 21580: d502232c 085f6765 745f7061 636b6574 ..#,._get_packet + 21590: 00000044 3d022330 085f7265 636c6169 ...D=.#0._reclai + 215a0: 6d5f7061 636b6574 00000044 46022334 m_packet...DF.#4 + 215b0: 085f7075 745f7061 636b6574 00000044 ._put_packet...D + 215c0: 46022338 08705265 73657276 65640000 F.#8.pReserved.. + 215d0: 00040702 233c0009 5f415f63 6d6e6f73 ....#<.._A_cmnos + 215e0: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 215f0: 6c655f74 00000030 f909574d 495f5356 le_t...0..WMI_SV + 21600: 435f4150 49530000 00416216 5f415f6d C_APIS...Ab._A_m + 21610: 61677069 655f696e 64697265 6374696f agpie_indirectio + 21620: 6e5f7461 626c6500 034c0000 46e80863 n_table..L..F..c + 21630: 6d6e6f73 00000045 ba022300 08646267 mnos...E..#..dbg + 21640: 00000003 d40323b8 03086869 66000000 ......#...hif... + 21650: 29a20323 c0030868 74630000 003b1503 )..#...htc...;.. + 21660: 23f80308 776d695f 7376635f 61706900 #...wmi_svc_api. + 21670: 000045dc 0323ac04 08757362 6669666f ..E..#...usbfifo + 21680: 5f617069 00000032 cd0323d8 04086275 _api...2..#...bu + 21690: 665f706f 6f6c0000 00377603 23e40408 f_pool...7v.#... + 216a0: 76627566 00000014 b5032380 05087664 vbuf......#...vd + 216b0: 65736300 00001397 03239405 08616c6c esc......#...all + 216c0: 6f637261 6d000000 098d0323 a8050864 ocram......#...d + 216d0: 6d615f65 6e67696e 65000000 444d0323 ma_engine...DM.# + 216e0: b4050864 6d615f6c 69620000 002c3603 ...dma_lib...,6. + 216f0: 23f40508 6869665f 70636900 00002e96 #...hif_pci..... + 21700: 0323a806 00095f41 5f6d6167 7069655f .#...._A_magpie_ + 21710: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 21720: 655f7400 000045ee 11100000 473e0861 e_t...E.....G>.a + 21730: 30000000 01af0223 00086131 00000001 0......#..a1.... + 21740: af022304 08613200 000001af 02230808 ..#..a2......#.. + 21750: 61330000 0001af02 230c000e 0000470b a3......#.....G. + 21760: 50000047 4b0f0400 07585465 6e73615f P..GK....XTensa_ + 21770: 65786365 7074696f 6e5f6672 616d655f exception_frame_ + 21780: 73008000 00482f08 78745f70 63000000 s....H/.xt_pc... + 21790: 01af0223 00087874 5f707300 000001af ...#..xt_ps..... + 217a0: 02230408 78745f73 61720000 0001af02 .#..xt_sar...... + 217b0: 23080878 745f7670 72690000 0001af02 #..xt_vpri...... + 217c0: 230c0878 745f6132 00000001 af022310 #..xt_a2......#. + 217d0: 0878745f 61330000 0001af02 23140878 .xt_a3......#..x + 217e0: 745f6134 00000001 af022318 0878745f t_a4......#..xt_ + 217f0: 61350000 0001af02 231c0878 745f6578 a5......#..xt_ex + 21800: 63636175 73650000 0001af02 23200878 ccause......# .x + 21810: 745f6c63 6f756e74 00000001 af022324 t_lcount......#$ + 21820: 0878745f 6c626567 00000001 af022328 .xt_lbeg......#( + 21830: 0878745f 6c656e64 00000001 af02232c .xt_lend......#, + 21840: 08776200 0000473e 02233000 09435055 .wb...G>.#0..CPU + 21850: 5f657863 65707469 6f6e5f66 72616d65 _exception_frame + 21860: 5f740000 00474b03 000001af 04001900 _t...GK......... + 21870: 0001121a 6173736c 6f6f7000 00004851 ....assloop...HQ + 21880: 05030050 06f8011a 61737370 72696e74 ...P....assprint + 21890: 00000001 12050300 5006fc01 0e000001 ........P....... + 218a0: 19370000 488c0f36 00030000 487f0400 .7..H..6....H... + 218b0: 1a637572 72656e74 5f64756d 70000000 .current_dump... + 218c0: 05130503 00500a28 010e0000 01193400 .....P.(......4. + 218d0: 0048b90f 33000300 0048ac04 000e0000 .H..3....H...... + 218e0: 01191600 0048cd0f 15000300 0048c004 .....H.......H.. + 218f0: 000e0000 01190c00 0048e10f 0b000300 .........H...... + 21900: 0048d404 000e0000 01190200 0048f50f .H...........H.. + 21910: 01000300 0048e804 000e0000 01190800 .....H.......... + 21920: 0049090f 07000300 0048fc04 00190000 .I.......H...... + 21930: 019a1900 00019a03 00004915 04001b00 ..........I..... + 21940: 00018c01 0000492d 1c000300 0005bd04 ......I-........ + 21950: 0002011d 0115636d 6e6f735f 73797374 ......cmnos_syst + 21960: 656d5f72 65736574 00010103 92012002 em_reset...... . + 21970: 9000008e 22d8008e 22e91d01 2c636d6e ...."..."...,cmn + 21980: 6f735f6d 61635f72 65736574 00010103 os_mac_reset.... + 21990: 92012002 9000008e 22ec008e 22f11e01 .. ....."..."... + 219a0: 3a636d6e 6f735f6d 6973616c 69676e65 :cmnos_misaligne + 219b0: 645f6c6f 61645f68 616e646c 65720001 d_load_handler.. + 219c0: 01039201 20029000 008e22f4 008e2345 .... ....."...#E + 219d0: 000049c6 1f013a64 756d7000 00000513 ..I...:dump..... + 219e0: 0152001e 015a636d 6e6f735f 61737366 .R...Zcmnos_assf + 219f0: 61696c00 01010392 01200290 00008e23 ail...... .....# + 21a00: 48008e23 b400004a 111f015a 64756d70 H..#...J...Zdump + 21a10: 00000005 13015220 74617267 65745f69 ......R target_i + 21a20: 64000000 09722069 00000004 0a001e01 d....r i........ + 21a30: 86636d6e 6f735f72 65706f72 745f6661 .cmnos_report_fa + 21a40: 696c7572 655f746f 5f686f73 74000101 ilure_to_host... + 21a50: 03920120 02900000 8e23b400 8e23b900 ... .....#...#.. + 21a60: 004a621f 01866475 6d700000 00051301 .Jb...dump...... + 21a70: 521f0186 6c656e00 00000112 01530021 R...len......S.! + 21a80: 018f636d 6e6f735f 74617267 65745f69 ..cmnos_target_i + 21a90: 645f6765 74000000 01120101 03920120 d_get.......... + 21aa0: 02900000 8e23bc00 8e23c122 0198636d .....#...#."..cm + 21ab0: 6e6f735f 6765745f 6b626869 74000000 nos_get_kbhit... + 21ac0: 03300101 03920130 02900000 8e23c400 .0.....0.....#.. + 21ad0: 8e23f100 004ae91f 01986465 6c617900 .#...J....delay. + 21ae0: 00000330 0152206c 6173745f 63636f75 ...0.R last_ccou + 21af0: 6e740000 0001af23 6b626869 74000000 nt.....#kbhit... + 21b00: 03300291 50002201 b0636d6e 6f735f69 .0..P."..cmnos_i + 21b10: 735f686f 73745f70 72657365 6e740000 s_host_present.. + 21b20: 00058801 01039201 20029000 008e23f4 ........ .....#. + 21b30: 008e2413 00004b31 206d4869 66000000 ..$...K1 mHif... + 21b40: 0588206d 44617461 00000001 af002101 .. mData......!. + 21b50: e0636d6e 6f735f72 6f6d5f76 65727369 .cmnos_rom_versi + 21b60: 6f6e5f67 65740000 00018c01 01039201 on_get.......... + 21b70: 20029000 008e2414 008e241f 2401eb63 .....$...$.$..c + 21b80: 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module + 21b90: 5f696e73 74616c6c 00010103 92012002 _install...... . + 21ba0: 9000008e 2420008e 244d1f01 eb74626c ....$ ..$M...tbl + 21bb0: 00000049 2d015200 00000000 4a630002 ...I-.R.....Jc.. + 21bc0: 00000d2d 04012f72 6f6f742f 576f726b ...-../root/Work + 21bd0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 21be0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 21bf0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 21c00: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 21c10: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 21c20: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 21c30: 2f737263 2f636d6e 6f735f70 72696e74 /src/cmnos_print + 21c40: 662e6300 2f726f6f 742f576f 726b7370 f.c./root/Worksp + 21c50: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 21c60: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 21c70: 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri + 21c80: 6e746600 78742d78 63632066 6f722037 ntf.xt-xcc for 7 + 21c90: 2e312e30 202d4f50 543a616c 69676e5f .1.0 -OPT:align_ + 21ca0: 696e7374 72756374 696f6e73 3d333220 instructions=32 + 21cb0: 2d4f3220 2d673320 2d4f5054 3a737061 -O2 -g3 -OPT:spa + 21cc0: 63650001 0000007b d5020103 0000010f ce.....{........ + 21cd0: 04000469 6e740005 04046368 61720007 ...int....char.. + 21ce0: 01050000 011f0500 00011f03 0000012c ..............., + 21cf0: 04000600 00011801 03000001 38040007 ............8... + 21d00: 7072696e 74665f61 70690008 0000017c printf_api.....| + 21d10: 085f7072 696e7466 5f696e69 74000000 ._printf_init... + 21d20: 01110223 00085f70 72696e74 66000000 ...#.._printf... + 21d30: 013e0223 04000473 686f7274 20756e73 .>.#...short uns + 21d40: 69676e65 6420696e 74000702 0975696e igned int....uin + 21d50: 7431365f 74000000 017c046c 6f6e6720 t16_t....|.long + 21d60: 756e7369 676e6564 20696e74 00070409 unsigned int.... + 21d70: 75696e74 33325f74 00000001 a0077561 uint32_t......ua + 21d80: 72745f66 69666f00 08000002 0e087374 rt_fifo.......st + 21d90: 6172745f 696e6465 78000000 01920223 art_index......# + 21da0: 0008656e 645f696e 64657800 00000192 ..end_index..... + 21db0: 02230208 6f766572 72756e5f 65727200 .#..overrun_err. + 21dc0: 000001b5 02230400 07756172 745f6170 .....#...uart_ap + 21dd0: 69002000 0002c708 5f756172 745f696e i. ....._uart_in + 21de0: 69740000 00031e02 2300085f 75617274 it......#.._uart + 21df0: 5f636861 725f7075 74000000 03450223 _char_put....E.# + 21e00: 04085f75 6172745f 63686172 5f676574 .._uart_char_get + 21e10: 00000003 59022308 085f7561 72745f73 ....Y.#.._uart_s + 21e20: 74725f6f 75740000 00036202 230c085f tr_out....b.#.._ + 21e30: 75617274 5f746173 6b000000 01110223 uart_task......# + 21e40: 10085f75 6172745f 73746174 75730000 .._uart_status.. + 21e50: 00031e02 2314085f 75617274 5f636f6e ....#.._uart_con + 21e60: 66696700 0000036b 02231808 5f756172 fig....k.#.._uar + 21e70: 745f6877 696e6974 00000003 7402231c t_hwinit....t.#. + 21e80: 00030000 020e0400 07756172 745f626c .........uart_bl + 21e90: 6b001000 00031808 64656275 675f6d6f k.......debug_mo + 21ea0: 64650000 00019202 23000862 61756400 de......#..baud. + 21eb0: 00000192 02230208 5f756172 74000000 .....#.._uart... + 21ec0: 02c70223 04085f74 78000000 01c30223 ...#.._tx......# + 21ed0: 08000600 0001b501 03000003 18040004 ................ + 21ee0: 756e7369 676e6564 20636861 72000701 unsigned char... + 21ef0: 0975696e 74385f74 00000003 25020103 .uint8_t....%... + 21f00: 00000343 04000300 00033604 00060000 ...C......6..... + 21f10: 01920103 00000353 04000201 03000003 .......S........ + 21f20: 60040002 01030000 03690400 02010300 `........i...... + 21f30: 00037204 00030000 011f0400 06000001 ..r............. + 21f40: 18010300 00038204 00074442 5f434f4d ..........DB_COM + 21f50: 4d414e44 5f535452 55435400 0c000003 MAND_STRUCT..... + 21f60: da08636d 645f7374 72000000 037b0223 ..cmd_str....{.# + 21f70: 00086865 6c705f73 74720000 00037b02 ..help_str....{. + 21f80: 23040863 6d645f66 756e6300 00000388 #..cmd_func..... + 21f90: 02230800 07646267 5f617069 00080000 .#...dbg_api.... + 21fa0: 040d085f 6462675f 696e6974 00000001 ..._dbg_init.... + 21fb0: 11022300 085f6462 675f7461 736b0000 ..#.._dbg_task.. + 21fc0: 00011102 2304000a 04000475 6e736967 ....#......unsig + 21fd0: 6e656420 696e7400 07040600 00040d01 ned int......... + 21fe0: 03000004 2004000b 0b030000 042e0400 .... ........... + 21ff0: 06000004 0d010300 00043604 00060000 ..........6..... + 22000: 01180103 00000443 0400076d 656d5f61 .......C...mem_a + 22010: 70690014 000004b2 085f6d65 6d5f696e pi......._mem_in + 22020: 69740000 00011102 2300085f 6d656d73 it......#.._mems + 22030: 65740000 00042602 2304085f 6d656d63 et....&.#.._memc + 22040: 70790000 00043c02 2308085f 6d656d6d py....<.#.._memm + 22050: 6f766500 0000043c 02230c08 5f6d656d ove....<.#.._mem + 22060: 636d7000 00000449 02231000 0c726567 cmp....I.#...reg + 22070: 69737465 725f6475 6d705f73 00000103 ister_dump_s.... + 22080: 000004b2 04000201 03000004 cc040002 ................ + 22090: 01030000 04d50400 06000001 18010300 ................ + 220a0: 0004de04 000d686f 73746966 5f730004 ......hostif_s.. + 220b0: 0000053a 0e484946 5f555342 00000e48 ...:.HIF_USB...H + 220c0: 49465f50 43494500 010e4849 465f474d IF_PCIE...HIF_GM + 220d0: 41430002 0e484946 5f504349 00030e48 AC...HIF_PCI...H + 220e0: 49465f4e 554d0004 0e484946 5f4e4f4e IF_NUM...HIF_NON + 220f0: 45000500 09415f48 4f535449 46000000 E....A_HOSTIF... + 22100: 04eb0600 00053a01 03000005 48040006 ......:.....H... + 22110: 00000336 01030000 05550400 06000001 ...6.....U...... + 22120: 92010300 00056204 00076d69 73635f61 ......b...misc_a + 22130: 70690024 00000652 085f7379 7374656d pi.$...R._system + 22140: 5f726573 65740000 00011102 2300085f _reset......#.._ + 22150: 6d61635f 72657365 74000000 01110223 mac_reset......# + 22160: 04085f61 73736661 696c0000 0004ce02 .._assfail...... + 22170: 2308085f 6d697361 6c69676e 65645f6c #.._misaligned_l + 22180: 6f61645f 68616e64 6c657200 000004ce oad_handler..... + 22190: 02230c08 5f726570 6f72745f 6661696c .#.._report_fail + 221a0: 7572655f 746f5f68 6f737400 000004d7 ure_to_host..... + 221b0: 02231008 5f746172 6765745f 69645f67 .#.._target_id_g + 221c0: 65740000 0004e402 2314085f 69735f68 et......#.._is_h + 221d0: 6f73745f 70726573 656e7400 0000054e ost_present....N + 221e0: 02231808 5f6b6268 69740000 00055b02 .#.._kbhit....[. + 221f0: 231c085f 726f6d5f 76657273 696f6e5f #.._rom_version_ + 22200: 67657400 00000568 02232000 06000003 get....h.# ..... + 22210: 7b010300 00065204 00060000 037b0103 {.....R......{.. + 22220: 0000065f 04000600 00011801 03000006 ..._............ + 22230: 6c040006 00000118 01030000 06790400 l............y.. + 22240: 06000001 18010300 00068604 00077374 ..............st + 22250: 72696e67 5f617069 00180000 070c085f ring_api......._ + 22260: 73747269 6e675f69 6e697400 00000111 string_init..... + 22270: 02230008 5f737472 63707900 00000658 .#.._strcpy....X + 22280: 02230408 5f737472 6e637079 00000006 .#.._strncpy.... + 22290: 65022308 085f7374 726c656e 00000006 e.#.._strlen.... + 222a0: 7202230c 085f7374 72636d70 00000006 r.#.._strcmp.... + 222b0: 7f022310 085f7374 726e636d 70000000 ..#.._strncmp... + 222c0: 068c0223 14000f00 00041014 00000719 ...#............ + 222d0: 10040009 5f415f54 494d4552 5f535041 ...._A_TIMER_SPA + 222e0: 43450000 00070c09 415f7469 6d65725f CE......A_timer_ + 222f0: 74000000 07190300 00072d04 00020103 t.........-..... + 22300: 00000743 04000201 03000007 4c040009 ...C........L... + 22310: 415f4841 4e444c45 00000004 10020109 A_HANDLE........ + 22320: 415f5449 4d45525f 46554e43 00000007 A_TIMER_FUNC.... + 22330: 63030000 07650400 02010300 00077e04 c....e........~. + 22340: 00077469 6d65725f 61706900 14000007 ..timer_api..... + 22350: fd085f74 696d6572 5f696e69 74000000 .._timer_init... + 22360: 01110223 00085f74 696d6572 5f61726d ...#.._timer_arm + 22370: 00000007 45022304 085f7469 6d65725f ....E.#.._timer_ + 22380: 64697361 726d0000 00074e02 2308085f disarm....N.#.._ + 22390: 74696d65 725f7365 74666e00 00000780 timer_setfn..... + 223a0: 02230c08 5f74696d 65725f72 756e0000 .#.._timer_run.. + 223b0: 00011102 23100009 424f4f4c 45414e00 ....#...BOOLEAN. + 223c0: 00000192 06000007 fd010300 00080a04 ................ + 223d0: 00060000 07fd0103 00000817 04000600 ................ + 223e0: 0007fd01 03000008 24040007 726f6d70 ........$...romp + 223f0: 5f617069 00100000 0896085f 726f6d70 _api......._romp + 22400: 5f696e69 74000000 01110223 00085f72 _init......#.._r + 22410: 6f6d705f 646f776e 6c6f6164 00000008 omp_download.... + 22420: 10022304 085f726f 6d705f69 6e737461 ..#.._romp_insta + 22430: 6c6c0000 00081d02 2308085f 726f6d70 ll......#.._romp + 22440: 5f646563 6f646500 0000082a 02230c00 _decode....*.#.. + 22450: 07726f6d 5f706174 63685f73 74001000 .rom_patch_st... + 22460: 0008f208 63726331 36000000 01920223 ....crc16......# + 22470: 00086c65 6e000000 01920223 02086c64 ..len......#..ld + 22480: 5f616464 72000000 01b50223 04086675 _addr......#..fu + 22490: 6e5f6164 64720000 0001b502 23080870 n_addr......#..p + 224a0: 66756e00 0000034c 02230c00 07656570 fun....L.#...eep + 224b0: 5f726564 69725f61 64647200 04000009 _redir_addr..... + 224c0: 24086f66 66736574 00000001 92022300 $.offset......#. + 224d0: 0873697a 65000000 01920223 02000941 .size......#...A + 224e0: 5f55494e 54333200 00000410 06000004 _UINT32......... + 224f0: 0d010300 00093204 0007616c 6c6f6372 ......2...allocr + 22500: 616d5f61 7069000c 000009a3 08636d6e am_api.......cmn + 22510: 6f735f61 6c6c6f63 72616d5f 696e6974 os_allocram_init + 22520: 00000009 38022300 08636d6e 6f735f61 ....8.#..cmnos_a + 22530: 6c6c6f63 72616d00 00000938 02230408 llocram....8.#.. + 22540: 636d6e6f 735f616c 6c6f6372 616d5f64 cmnos_allocram_d + 22550: 65627567 00000001 11022308 00020103 ebug......#..... + 22560: 000009a3 04000941 5f544153 4b4c4554 .......A_TASKLET + 22570: 5f46554e 43000000 09a5075f 7461736b _FUNC......_task + 22580: 6c657400 1000000a 04086675 6e630000 let.......func.. + 22590: 0009ac02 23000861 72670000 00040d02 ....#..arg...... + 225a0: 23040873 74617465 00000001 18022308 #..state......#. + 225b0: 086e6578 74000000 0a040223 0c000300 .next......#.... + 225c0: 0009c004 00030000 09c00400 09415f74 .............A_t + 225d0: 61736b6c 65745f74 00000009 c0030000 asklet_t........ + 225e0: 0a120400 02010300 000a2a04 00020103 ..........*..... + 225f0: 00000a33 04000774 61736b6c 65745f61 ...3...tasklet_a + 22600: 70690014 00000ac8 085f7461 736b6c65 pi......._taskle + 22610: 745f696e 69740000 00011102 2300085f t_init......#.._ + 22620: 7461736b 6c65745f 696e6974 5f746173 tasklet_init_tas + 22630: 6b000000 0a2c0223 04085f74 61736b6c k....,.#.._taskl + 22640: 65745f64 69736162 6c650000 000a3502 et_disable....5. + 22650: 2308085f 7461736b 6c65745f 73636865 #.._tasklet_sche + 22660: 64756c65 0000000a 3502230c 085f7461 dule....5.#.._ta + 22670: 736b6c65 745f7275 6e000000 01110223 sklet_run......# + 22680: 10000201 0300000a c8040006 00000924 ...............$ + 22690: 01030000 0ad10400 02010300 000ade04 ................ + 226a0: 0007636c 6f636b5f 61706900 2400000b ..clock_api.$... + 226b0: c0085f63 6c6f636b 5f696e69 74000000 .._clock_init... + 226c0: 0aca0223 00085f63 6c6f636b 72656773 ...#.._clockregs + 226d0: 5f696e69 74000000 01110223 04085f75 _init......#.._u + 226e0: 6172745f 66726571 75656e63 79000000 art_frequency... + 226f0: 0ad70223 08085f64 656c6179 5f757300 ...#.._delay_us. + 22700: 00000ae0 02230c08 5f776c61 6e5f6261 .....#.._wlan_ba + 22710: 6e645f73 65740000 000ae002 2310085f nd_set......#.._ + 22720: 72656663 6c6b5f73 70656564 5f676574 refclk_speed_get + 22730: 0000000a d7022314 085f6d69 6c6c6973 ......#.._millis + 22740: 65636f6e 64730000 000ad702 2318085f econds......#.._ + 22750: 73797363 6c6b5f63 68616e67 65000000 sysclk_change... + 22760: 01110223 1c085f63 6c6f636b 5f746963 ...#.._clock_tic + 22770: 6b000000 01110223 20000600 0001b501 k......# ....... + 22780: 0300000b c0040009 415f6f6c 645f696e ........A_old_in + 22790: 74725f74 00000001 b5060000 0bcd0103 tr_t............ + 227a0: 00000bdf 04000201 0300000b ec040002 ................ + 227b0: 01030000 0bf50400 06000001 b5010300 ................ + 227c0: 000bfe04 0009415f 6973725f 74000000 ......A_isr_t... + 227d0: 0c040201 0300000c 18040006 00000410 ................ + 227e0: 01030000 0c210400 02010300 000c2e04 .....!.......... + 227f0: 0007696e 74725f61 7069002c 00000d50 ..intr_api.,...P + 22800: 085f696e 74725f69 6e697400 00000111 ._intr_init..... + 22810: 02230008 5f696e74 725f696e 766f6b65 .#.._intr_invoke + 22820: 5f697372 0000000b c6022304 085f696e _isr......#.._in + 22830: 74725f64 69736162 6c650000 000be502 tr_disable...... + 22840: 2308085f 696e7472 5f726573 746f7265 #.._intr_restore + 22850: 0000000b ee02230c 085f696e 74725f6d ......#.._intr_m + 22860: 61736b5f 696e756d 0000000b f7022310 ask_inum......#. + 22870: 085f696e 74725f75 6e6d6173 6b5f696e ._intr_unmask_in + 22880: 756d0000 000bf702 2314085f 696e7472 um......#.._intr + 22890: 5f617474 6163685f 69737200 00000c1a _attach_isr..... + 228a0: 02231808 5f676574 5f696e74 72656e61 .#.._get_intrena + 228b0: 626c6500 00000c27 02231c08 5f736574 ble....'.#.._set + 228c0: 5f696e74 72656e61 626c6500 00000c30 _intrenable....0 + 228d0: 02232008 5f676574 5f696e74 7270656e .# ._get_intrpen + 228e0: 64696e67 0000000c 27022324 085f756e ding....'.#$._un + 228f0: 626c6f63 6b5f616c 6c5f696e 74726c76 block_all_intrlv + 22900: 6c000000 01110223 28001104 00000d76 l......#(......v + 22910: 0874696d 656f7574 00000001 b5022300 .timeout......#. + 22920: 08616374 696f6e00 000001b5 02230000 .action......#.. + 22930: 12080000 0d910863 6d640000 0001b502 .......cmd...... + 22940: 23001300 000d5002 23040009 545f5744 #.....P.#...T_WD + 22950: 545f434d 44000000 0d760201 0300000d T_CMD....v...... + 22960: a0040014 0400000d f60e454e 554d5f57 ..........ENUM_W + 22970: 44545f42 4f4f5400 010e454e 554d5f43 DT_BOOT...ENUM_C + 22980: 4f4c445f 424f4f54 00020e45 4e554d5f OLD_BOOT...ENUM_ + 22990: 53555350 5f424f4f 5400030e 454e554d SUSP_BOOT...ENUM + 229a0: 5f554e4b 4e4f574e 5f424f4f 54000400 _UNKNOWN_BOOT... + 229b0: 09545f42 4f4f545f 54595045 0000000d .T_BOOT_TYPE.... + 229c0: a9060000 0df60103 00000e07 04000777 ...............w + 229d0: 64745f61 7069001c 00000eab 085f7764 dt_api......._wd + 229e0: 745f696e 69740000 00011102 2300085f t_init......#.._ + 229f0: 7764745f 656e6162 6c650000 00011102 wdt_enable...... + 22a00: 2304085f 7764745f 64697361 626c6500 #.._wdt_disable. + 22a10: 00000111 02230808 5f776474 5f736574 .....#.._wdt_set + 22a20: 0000000d a202230c 085f7764 745f7461 ......#.._wdt_ta + 22a30: 736b0000 00011102 2310085f 7764745f sk......#.._wdt_ + 22a40: 72657365 74000000 01110223 14085f77 reset......#.._w + 22a50: 64745f6c 6173745f 626f6f74 0000000e dt_last_boot.... + 22a60: 0d022318 00140400 000f120e 5245545f ..#.........RET_ + 22a70: 53554343 45535300 000e5245 545f4e4f SUCCESS...RET_NO + 22a80: 545f494e 49540001 0e524554 5f4e4f54 T_INIT...RET_NOT + 22a90: 5f455849 53540002 0e524554 5f454550 _EXIST...RET_EEP + 22aa0: 5f434f52 52555054 00030e52 45545f45 _CORRUPT...RET_E + 22ab0: 45505f4f 56455246 4c4f5700 040e5245 EP_OVERFLOW...RE + 22ac0: 545f554e 4b4e4f57 4e000500 09545f45 T_UNKNOWN....T_E + 22ad0: 45505f52 45540000 000eab03 00000192 EP_RET.......... + 22ae0: 04000600 000f1201 0300000f 28040006 ............(... + 22af0: 00000f12 01030000 0f350400 07656570 .........5...eep + 22b00: 5f617069 00100000 0f9e085f 6565705f _api......._eep_ + 22b10: 696e6974 00000001 11022300 085f6565 init......#.._ee + 22b20: 705f7265 61640000 000f2e02 2304085f p_read......#.._ + 22b30: 6565705f 77726974 65000000 0f2e0223 eep_write......# + 22b40: 08085f65 65705f69 735f6578 69737400 .._eep_is_exist. + 22b50: 00000f3b 02230c00 07757362 5f617069 ...;.#...usb_api + 22b60: 00700000 124b085f 7573625f 696e6974 .p...K._usb_init + 22b70: 00000001 11022300 085f7573 625f726f ......#.._usb_ro + 22b80: 6d5f7461 736b0000 00011102 2304085f m_task......#.._ + 22b90: 7573625f 66775f74 61736b00 00000111 usb_fw_task..... + 22ba0: 02230808 5f757362 5f696e69 745f7068 .#.._usb_init_ph + 22bb0: 79000000 01110223 0c085f75 73625f65 y......#.._usb_e + 22bc0: 70305f73 65747570 00000001 11022310 p0_setup......#. + 22bd0: 085f7573 625f6570 305f7478 00000001 ._usb_ep0_tx.... + 22be0: 11022314 085f7573 625f6570 305f7278 ..#.._usb_ep0_rx + 22bf0: 00000001 11022318 085f7573 625f6765 ......#.._usb_ge + 22c00: 745f696e 74657266 61636500 0000081d t_interface..... + 22c10: 02231c08 5f757362 5f736574 5f696e74 .#.._usb_set_int + 22c20: 65726661 63650000 00081d02 2320085f erface......# ._ + 22c30: 7573625f 6765745f 636f6e66 69677572 usb_get_configur + 22c40: 6174696f 6e000000 081d0223 24085f75 ation......#$._u + 22c50: 73625f73 65745f63 6f6e6669 67757261 sb_set_configura + 22c60: 74696f6e 00000008 1d022328 085f7573 tion......#(._us + 22c70: 625f7374 616e6461 72645f63 6d640000 b_standard_cmd.. + 22c80: 00081d02 232c085f 7573625f 76656e64 ....#,._usb_vend + 22c90: 6f725f63 6d640000 00011102 2330085f or_cmd......#0._ + 22ca0: 7573625f 706f7765 725f6f66 66000000 usb_power_off... + 22cb0: 01110223 34085f75 73625f72 65736574 ...#4._usb_reset + 22cc0: 5f666966 6f000000 01110223 38085f75 _fifo......#8._u + 22cd0: 73625f67 656e5f77 64740000 00011102 sb_gen_wdt...... + 22ce0: 233c085f 7573625f 6a756d70 5f626f6f #<._usb_jump_boo + 22cf0: 74000000 01110223 40085f75 73625f63 t......#@._usb_c + 22d00: 6c725f66 65617475 72650000 00081d02 lr_feature...... + 22d10: 2344085f 7573625f 7365745f 66656174 #D._usb_set_feat + 22d20: 75726500 0000081d 02234808 5f757362 ure......#H._usb + 22d30: 5f736574 5f616464 72657373 00000008 _set_address.... + 22d40: 1d02234c 085f7573 625f6765 745f6465 ..#L._usb_get_de + 22d50: 73637269 70746f72 00000008 1d022350 scriptor......#P + 22d60: 085f7573 625f6765 745f7374 61747573 ._usb_get_status + 22d70: 00000008 1d022354 085f7573 625f7365 ......#T._usb_se + 22d80: 7475705f 64657363 00000001 11022358 tup_desc......#X + 22d90: 085f7573 625f7265 675f6f75 74000000 ._usb_reg_out... + 22da0: 01110223 5c085f75 73625f73 74617475 ...#\._usb_statu + 22db0: 735f696e 00000001 11022360 085f7573 s_in......#`._us + 22dc0: 625f6570 305f7478 5f646174 61000000 b_ep0_tx_data... + 22dd0: 01110223 64085f75 73625f65 70305f72 ...#d._usb_ep0_r + 22de0: 785f6461 74610000 00011102 2368085f x_data......#h._ + 22df0: 7573625f 636c6b5f 696e6974 00000001 usb_clk_init.... + 22e00: 1102236c 00075f56 44455343 00240000 ..#l.._VDESC.$.. + 22e10: 12d7086e 6578745f 64657363 00000012 ...next_desc.... + 22e20: d7022300 08627566 5f616464 72000000 ..#..buf_addr... + 22e30: 12eb0223 04086275 665f7369 7a650000 ...#..buf_size.. + 22e40: 0012f202 23080864 6174615f 6f666673 ....#..data_offs + 22e50: 65740000 0012f202 230a0864 6174615f et......#..data_ + 22e60: 73697a65 00000012 f202230c 08636f6e size......#..con + 22e70: 74726f6c 00000012 f202230e 0868775f trol......#..hw_ + 22e80: 64657363 5f627566 00000013 00022310 desc_buf......#. + 22e90: 00030000 124b0400 09415f55 494e5438 .....K...A_UINT8 + 22ea0: 00000003 25030000 12de0400 09415f55 ....%........A_U + 22eb0: 494e5431 36000000 017c0f00 0012de14 INT16....|...... + 22ec0: 0000130d 10130003 0000124b 04000956 ...........K...V + 22ed0: 44455343 00000012 4b030000 13140400 DESC....K....... + 22ee0: 06000013 1f010300 00132604 00060000 ..........&..... + 22ef0: 12eb0103 00001333 04000201 03000013 .......3........ + 22f00: 40040007 76646573 635f6170 69001400 @...vdesc_api... + 22f10: 0013b808 5f696e69 74000000 0ae00223 ...._init......# + 22f20: 00085f61 6c6c6f63 5f766465 73630000 .._alloc_vdesc.. + 22f30: 00132c02 2304085f 6765745f 68775f64 ..,.#.._get_hw_d + 22f40: 65736300 00001339 02230808 5f737761 esc....9.#.._swa + 22f50: 705f7664 65736300 00001342 02230c08 p_vdesc....B.#.. + 22f60: 70526573 65727665 64000000 040d0223 pReserved......# + 22f70: 1000075f 56425546 00200000 14180864 ..._VBUF. .....d + 22f80: 6573635f 6c697374 00000013 1f022300 esc_list......#. + 22f90: 086e6578 745f6275 66000000 14180223 .next_buf......# + 22fa0: 04086275 665f6c65 6e677468 00000012 ..buf_length.... + 22fb0: f2022308 08726573 65727665 64000000 ..#..reserved... + 22fc0: 141f0223 0a086374 78000000 13000223 ...#..ctx......# + 22fd0: 0c000300 0013b804 000f0000 12de0200 ................ + 22fe0: 00142c10 01000300 0013b804 00095642 ..,...........VB + 22ff0: 55460000 0013b803 00001433 04000600 UF.........3.... + 23000: 00143d01 03000014 44040006 0000143d ..=.....D......= + 23010: 01030000 14510400 02010300 00145e04 .....Q........^. + 23020: 00077662 75665f61 70690014 000014dc ..vbuf_api...... + 23030: 085f696e 69740000 000ae002 2300085f ._init......#.._ + 23040: 616c6c6f 635f7662 75660000 00144a02 alloc_vbuf....J. + 23050: 2304085f 616c6c6f 635f7662 75665f77 #.._alloc_vbuf_w + 23060: 6974685f 73697a65 00000014 57022308 ith_size....W.#. + 23070: 085f6672 65655f76 62756600 00001460 ._free_vbuf....` + 23080: 02230c08 70526573 65727665 64000000 .#..pReserved... + 23090: 040d0223 1000075f 5f616466 5f646576 ...#...__adf_dev + 230a0: 69636500 04000014 fe086475 6d6d7900 ice.......dummy. + 230b0: 00000118 02230000 03000009 24040007 .....#......$... + 230c0: 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... + 230d0: 00154508 62756600 0000143d 02230008 ..E.buf....=.#.. + 230e0: 64735f61 64647200 000014fe 02230408 ds_addr......#.. + 230f0: 64735f6c 656e0000 0012f202 23080012 ds_len......#... + 23100: 0c000015 7f085f5f 76615f73 746b0000 ......__va_stk.. + 23110: 00037b02 2300085f 5f76615f 72656700 ..{.#..__va_reg. + 23120: 0000037b 02230408 5f5f7661 5f6e6478 ...{.#..__va_ndx + 23130: 00000001 18022308 00095f5f 6164665f ......#...__adf_ + 23140: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 23150: 09240961 64665f6f 735f646d 615f6164 .$.adf_os_dma_ad + 23160: 64725f74 00000015 7f095f5f 6164665f dr_t......__adf_ + 23170: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 23180: 09240961 64665f6f 735f646d 615f7369 .$.adf_os_dma_si + 23190: 7a655f74 00000015 af075f5f 646d615f ze_t......__dma_ + 231a0: 73656773 00080000 160b0870 61646472 segs.......paddr + 231b0: 00000015 98022300 086c656e 00000015 ......#..len.... + 231c0: c8022304 00095f5f 615f7569 6e743332 ..#...__a_uint32 + 231d0: 5f740000 00092409 615f7569 6e743332 _t....$.a_uint32 + 231e0: 5f740000 00160b0f 000015df 08000016 _t.............. + 231f0: 3a100000 07616466 5f6f735f 646d616d :....adf_os_dmam + 23200: 61705f69 6e666f00 0c000016 73086e73 ap_info.....s.ns + 23210: 65677300 0000161d 02230008 646d615f egs......#..dma_ + 23220: 73656773 00000016 2d022304 00095f5f segs....-.#...__ + 23230: 615f7569 6e74385f 74000000 12de0961 a_uint8_t......a + 23240: 5f75696e 74385f74 00000016 73030000 _uint8_t....s... + 23250: 16840400 075f5f73 675f7365 67730008 .....__sg_segs.. + 23260: 000016c5 08766164 64720000 00169302 .....vaddr...... + 23270: 2300086c 656e0000 00161d02 2304000f #..len......#... + 23280: 0000169a 20000016 d2100300 07616466 .... ........adf + 23290: 5f6f735f 73676c69 73740024 00001705 _os_sglist.$.... + 232a0: 086e7365 67730000 00161d02 23000873 .nsegs......#..s + 232b0: 675f7365 67730000 0016c502 23040012 g_segs......#... + 232c0: 10000017 4e087665 6e646f72 00000016 ....N.vendor.... + 232d0: 1d022300 08646576 69636500 0000161d ..#..device..... + 232e0: 02230408 73756276 656e646f 72000000 .#..subvendor... + 232f0: 161d0223 08087375 62646576 69636500 ...#..subdevice. + 23300: 0000161d 02230c00 046c6f6e 67206c6f .....#...long lo + 23310: 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 23320: 07080941 5f55494e 54363400 0000174e ...A_UINT64....N + 23330: 095f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... + 23340: 17680961 5f75696e 7436345f 74000000 .h.a_uint64_t... + 23350: 17761404 000017d4 0e414446 5f4f535f .v.......ADF_OS_ + 23360: 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME + 23370: 4d00000e 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU + 23380: 5243455f 54595045 5f494f00 01000961 RCE_TYPE_IO....a + 23390: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 233a0: 7970655f 74000000 17981218 0000181e ype_t........... + 233b0: 08737461 72740000 00178802 23000865 .start......#..e + 233c0: 6e640000 00178802 23080874 79706500 nd......#..type. + 233d0: 000017d4 02231000 09616466 5f6f735f .....#...adf_os_ + 233e0: 7063695f 6465765f 69645f74 00000017 pci_dev_id_t.... + 233f0: 05030000 181e0400 11040000 185d0870 .............].p + 23400: 63690000 00183702 23000872 61770000 ci....7.#..raw.. + 23410: 00040d02 23000011 10000018 7c087063 ....#.......|.pc + 23420: 69000000 181e0223 00087261 77000000 i......#..raw... + 23430: 040d0223 00000961 64665f64 72765f68 ...#...adf_drv_h + 23440: 616e646c 655f7400 0000040d 09616466 andle_t......adf + 23450: 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. + 23460: 0017f003 00001892 04000961 64665f6f ...........adf_o + 23470: 735f6174 74616368 5f646174 615f7400 s_attach_data_t. + 23480: 0000185d 03000018 b0040003 000014dc ...]............ + 23490: 0400095f 5f616466 5f6f735f 64657669 ...__adf_os_devi + 234a0: 63655f74 00000018 d1096164 665f6f73 ce_t......adf_os + 234b0: 5f646576 6963655f 74000000 18d80600 _device_t....... + 234c0: 00187c01 03000019 04040002 01030000 ..|............. + 234d0: 19110400 09616466 5f6f735f 706d5f74 .....adf_os_pm_t + 234e0: 00000004 0d020103 0000192b 04001404 ...........+.... + 234f0: 0000196b 0e414446 5f4f535f 4255535f ...k.ADF_OS_BUS_ + 23500: 54595045 5f504349 00010e41 44465f4f TYPE_PCI...ADF_O + 23510: 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER + 23520: 49430002 00096164 665f6f73 5f627573 IC....adf_os_bus + 23530: 5f747970 655f7400 00001934 09616466 _type_t....4.adf + 23540: 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data + 23550: 5f740000 00183e03 00000325 0400075f _t....>....%..._ + 23560: 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. + 23570: 1a480864 72765f61 74746163 68000000 .H.drv_attach... + 23580: 190a0223 00086472 765f6465 74616368 ...#..drv_detach + 23590: 00000019 13022304 08647276 5f737573 ......#..drv_sus + 235a0: 70656e64 00000019 2d022308 08647276 pend....-.#..drv + 235b0: 5f726573 756d6500 00001913 02230c08 _resume......#.. + 235c0: 6275735f 74797065 00000019 6b022310 bus_type....k.#. + 235d0: 08627573 5f646174 61000000 19820223 .bus_data......# + 235e0: 14086d6f 645f6e61 6d650000 00199d02 ..mod_name...... + 235f0: 23180869 666e616d 65000000 199d0223 #..ifname......# + 23600: 1c000961 64665f6f 735f6861 6e646c65 ...adf_os_handle + 23610: 5f740000 00040d03 00001673 04000201 _t.........s.... + 23620: 0201095f 5f616466 5f6f735f 73697a65 ...__adf_os_size + 23630: 5f740000 00041014 0400001a 970e415f _t............A_ + 23640: 46414c53 4500000e 415f5452 55450001 FALSE...A_TRUE.. + 23650: 0009615f 626f6f6c 5f740000 001a7d03 ..a_bool_t....}. + 23660: 00001505 0400095f 5f616466 5f6f735f .......__adf_os_ + 23670: 646d615f 6d61705f 74000000 1aa50201 dma_map_t....... + 23680: 0d616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 23690: 6e630004 00001b2f 0e414446 5f53594e nc...../.ADF_SYN + 236a0: 435f5052 45524541 4400000e 4144465f C_PREREAD...ADF_ + 236b0: 53594e43 5f505245 57524954 4500020e SYNC_PREWRITE... + 236c0: 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA + 236d0: 4400010e 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS + 236e0: 54575249 54450003 00096164 665f6f73 TWRITE....adf_os + 236f0: 5f636163 68655f73 796e635f 74000000 _cache_sync_t... + 23700: 1ac60201 09616466 5f6f735f 73697a65 .....adf_os_size + 23710: 5f740000 001a6806 00001b4a 01096164 _t....h....J..ad + 23720: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 23730: 001aac03 00001b63 04000600 00040d01 .......c........ + 23740: 0300001a ac040006 0000040d 01020106 ................ + 23750: 00001598 01020104 73686f72 7420696e ........short in + 23760: 74000502 09415f49 4e543136 0000001b t....A_INT16.... + 23770: 9d095f5f 615f696e 7431365f 74000000 ..__a_int16_t... + 23780: 1baa0961 5f696e74 31365f74 0000001b ...a_int16_t.... + 23790: b7047369 676e6564 20636861 72000501 ..signed char... + 237a0: 09415f49 4e543800 00001bd7 095f5f61 .A_INT8......__a + 237b0: 5f696e74 385f7400 00001be6 09615f69 _int8_t......a_i + 237c0: 6e74385f 74000000 1bf2120c 00001c69 nt8_t..........i + 237d0: 08737570 706f7274 65640000 00161d02 .supported...... + 237e0: 23000861 64766572 74697a65 64000000 #..advertized... + 237f0: 161d0223 04087370 65656400 00001bc8 ...#..speed..... + 23800: 02230808 6475706c 65780000 001c0202 .#..duplex...... + 23810: 230a0861 75746f6e 65670000 00168402 #..autoneg...... + 23820: 230b000f 00001684 0600001c 76100500 #...........v... + 23830: 07616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr + 23840: 00060000 1c9a0861 64647200 00001c69 .......addr....i + 23850: 02230000 095f5f61 5f75696e 7431365f .#...__a_uint16_ + 23860: 74000000 12f20961 5f75696e 7431365f t......a_uint16_ + 23870: 74000000 1c9a120e 00001cfe 08657468 t............eth + 23880: 65725f64 686f7374 0000001c 69022300 er_dhost....i.#. + 23890: 08657468 65725f73 686f7374 0000001c .ether_shost.... + 238a0: 69022306 08657468 65725f74 79706500 i.#..ether_type. + 238b0: 00001cac 02230c00 12140000 1dbf1569 .....#.........i + 238c0: 705f7665 7273696f 6e000000 16840100 p_version....... + 238d0: 04022300 1569705f 686c0000 00168401 ..#..ip_hl...... + 238e0: 04040223 00086970 5f746f73 00000016 ...#..ip_tos.... + 238f0: 84022301 0869705f 6c656e00 00001cac ..#..ip_len..... + 23900: 02230208 69705f69 64000000 1cac0223 .#..ip_id......# + 23910: 04086970 5f667261 675f6f66 66000000 ..ip_frag_off... + 23920: 1cac0223 06086970 5f74746c 00000016 ...#..ip_ttl.... + 23930: 84022308 0869705f 70726f74 6f000000 ..#..ip_proto... + 23940: 16840223 09086970 5f636865 636b0000 ...#..ip_check.. + 23950: 001cac02 230a0869 705f7361 64647200 ....#..ip_saddr. + 23960: 0000161d 02230c08 69705f64 61646472 .....#..ip_daddr + 23970: 00000016 1d022310 00076164 665f6e65 ......#...adf_ne + 23980: 745f766c 616e6864 72000400 001e1108 t_vlanhdr....... + 23990: 74706964 0000001c ac022300 15707269 tpid......#..pri + 239a0: 6f000000 16840100 03022302 15636669 o.........#..cfi + 239b0: 00000016 84010301 02230215 76696400 .........#..vid. + 239c0: 00001cac 02040c02 23020007 6164665f ........#...adf_ + 239d0: 6e65745f 76696400 0200001e 42157265 net_vid.....B.re + 239e0: 73000000 16840100 04022300 1576616c s.........#..val + 239f0: 0000001c ac02040c 02230000 120c0000 .........#...... + 23a00: 1e7e0872 785f6275 6673697a 65000000 .~.rx_bufsize... + 23a10: 161d0223 00087278 5f6e6465 73630000 ...#..rx_ndesc.. + 23a20: 00161d02 23040874 785f6e64 65736300 ....#..tx_ndesc. + 23a30: 0000161d 02230800 12080000 1ea40870 .....#.........p + 23a40: 6f6c6c65 64000000 1a970223 0008706f olled......#..po + 23a50: 6c6c5f77 74000000 161d0223 04000f00 ll_wt......#.... + 23a60: 00168440 00001eb1 103f0012 4600001e ...@.....?..F... + 23a70: d9086966 5f6e616d 65000000 1ea40223 ..if_name......# + 23a80: 00086465 765f6164 64720000 001c6902 ..dev_addr....i. + 23a90: 23400014 0400001f 100e4144 465f4f53 #@........ADF_OS + 23aa0: 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. + 23ab0: 000e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 23ac0: 4b5f3634 42495400 01000961 64665f6f K_64BIT....adf_o + 23ad0: 735f646d 615f6d61 736b5f74 0000001e s_dma_mask_t.... + 23ae0: d9076164 665f646d 615f696e 666f0008 ..adf_dma_info.. + 23af0: 00001f5d 08646d61 5f6d6173 6b000000 ...].dma_mask... + 23b00: 1f100223 00087367 5f6e7365 67730000 ...#..sg_nsegs.. + 23b10: 00161d02 23040014 0400001f b30e4144 ....#.........AD + 23b20: 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE + 23b30: 00000e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 23b40: 5f544350 5f554450 5f495076 3400010e _TCP_UDP_IPv4... + 23b50: 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 23b60: 505f5544 505f4950 76360002 00096164 P_UDP_IPv6....ad + 23b70: 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type + 23b80: 5f740000 001f5d12 0800001f f6087478 _t....].......tx + 23b90: 5f636b73 756d0000 001fb302 23000872 _cksum......#..r + 23ba0: 785f636b 73756d00 00001fb3 02230400 x_cksum......#.. + 23bb0: 09616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i + 23bc0: 6e666f5f 74000000 1fcd1404 0000204f nfo_t......... O + 23bd0: 0e414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON + 23be0: 4500000e 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ + 23bf0: 49505634 00010e41 44465f4e 45545f54 IPV4...ADF_NET_T + 23c00: 534f5f41 4c4c0002 00096164 665f6e65 SO_ALL....adf_ne + 23c10: 745f7473 6f5f7479 70655f74 00000020 t_tso_type_t... + 23c20: 10121000 0020a308 636b7375 6d5f6361 ..... ..cksum_ca + 23c30: 70000000 1ff60223 00087473 6f000000 p......#..tso... + 23c40: 204f0223 0808766c 616e5f73 7570706f O.#..vlan_suppo + 23c50: 72746564 00000016 8402230c 00122000 rted......#... . + 23c60: 00213c08 74785f70 61636b65 74730000 .!<.tx_packets.. + 23c70: 00161d02 23000872 785f7061 636b6574 ....#..rx_packet + 23c80: 73000000 161d0223 04087478 5f627974 s......#..tx_byt + 23c90: 65730000 00161d02 23080872 785f6279 es......#..rx_by + 23ca0: 74657300 0000161d 02230c08 74785f64 tes......#..tx_d + 23cb0: 726f7070 65640000 00161d02 23100872 ropped......#..r + 23cc0: 785f6472 6f707065 64000000 161d0223 x_dropped......# + 23cd0: 14087278 5f657272 6f727300 0000161d ..rx_errors..... + 23ce0: 02231808 74785f65 72726f72 73000000 .#..tx_errors... + 23cf0: 161d0223 1c000961 64665f6e 65745f65 ...#...adf_net_e + 23d00: 74686164 64725f74 0000001c 76160000 thaddr_t....v... + 23d10: 213c0300 00002161 107f0017 6164665f !<....!a....adf_ + 23d20: 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. + 23d30: 04000021 98086e65 6c656d00 0000161d ...!..nelem..... + 23d40: 02230008 6d636173 74000000 21530223 .#..mcast...!S.# + 23d50: 04000961 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l + 23d60: 696e6b5f 696e666f 5f740000 001c1009 ink_info_t...... + 23d70: 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll + 23d80: 5f696e66 6f5f7400 00001e7e 09616466 _info_t....~.adf + 23d90: 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i + 23da0: 6e666f5f 74000000 1ff60961 64665f6e nfo_t......adf_n + 23db0: 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info + 23dc0: 5f740000 001e4209 6164665f 6e65745f _t....B.adf_net_ + 23dd0: 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. + 23de0: 001f2709 6164665f 6e65745f 636d645f ..'.adf_net_cmd_ + 23df0: 7669645f 74000000 1cac0961 64665f6e vid_t......adf_n + 23e00: 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c + 23e10: 61705f74 00000020 67096164 665f6e65 ap_t... g.adf_ne + 23e20: 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... + 23e30: 20a30961 64665f6e 65745f63 6d645f6d ..adf_net_cmd_m + 23e40: 63616464 725f7400 00002161 0d616466 caddr_t...!a.adf + 23e50: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 23e60: 61700004 000022da 0e414446 5f4e4554 ap...."..ADF_NET + 23e70: 5f4d4341 53545f53 55500000 0e414446 _MCAST_SUP...ADF + 23e80: 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU + 23e90: 50000100 09616466 5f6e6574 5f636d64 P....adf_net_cmd + 23ea0: 5f6d6361 73745f63 61705f74 00000022 _mcast_cap_t..." + 23eb0: 92180304 000023ac 086c696e 6b5f696e ......#..link_in + 23ec0: 666f0000 00219802 23000870 6f6c6c5f fo...!..#..poll_ + 23ed0: 696e666f 00000021 b5022300 08636b73 info...!..#..cks + 23ee0: 756d5f69 6e666f00 000021d2 02230008 um_info...!..#.. + 23ef0: 72696e67 5f696e66 6f000000 21f00223 ring_info...!..# + 23f00: 0008646d 615f696e 666f0000 00220d02 ..dma_info...".. + 23f10: 23000876 69640000 00222902 2300086f #..vid...").#..o + 23f20: 66666c6f 61645f63 61700000 00224002 ffload_cap..."@. + 23f30: 23000873 74617473 00000022 5f022300 #..stats..."_.#. + 23f40: 086d6361 73745f69 6e666f00 00002278 .mcast_info..."x + 23f50: 02230008 6d636173 745f6361 70000000 .#..mcast_cap... + 23f60: 22da0223 00001404 00002403 0e414446 "..#......$..ADF + 23f70: 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N + 23f80: 4f4e4500 000e4144 465f4e42 55465f52 ONE...ADF_NBUF_R + 23f90: 585f434b 53554d5f 48570001 0e414446 X_CKSUM_HW...ADF + 23fa0: 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U + 23fb0: 4e4e4543 45535341 52590002 00096164 NNECESSARY....ad + 23fc0: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 23fd0: 74797065 5f740000 0023ac12 08000024 type_t...#.....$ + 23fe0: 43087265 73756c74 00000024 03022300 C.result...$..#. + 23ff0: 0876616c 00000016 1d022304 00120800 .val......#..... + 24000: 00247308 74797065 00000020 4f022300 .$s.type... O.#. + 24010: 086d7373 0000001c ac022304 08686472 .mss......#..hdr + 24020: 5f6f6666 00000016 84022306 00075f5f _off......#...__ + 24030: 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. + 24040: 000024b2 08686561 64000000 143d0223 ..$..head....=.# + 24050: 00087461 696c0000 00143d02 23040871 ..tail....=.#..q + 24060: 6c656e00 0000161d 02230800 095f5f61 len......#...__a + 24070: 64665f6e 6275665f 74000000 143d0300 df_nbuf_t....=.. + 24080: 00169304 00030000 161d0400 02010600 ................ + 24090: 00131f01 06000016 1d010600 00169301 ................ + 240a0: 06000016 93010300 00130004 00095f5f ..............__ + 240b0: 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t + 240c0: 00000024 73095f5f 6164665f 6e627566 ...$s.__adf_nbuf + 240d0: 5f717565 75655f74 00000024 f3030000 _queue_t...$.... + 240e0: 250b0400 06000024 b2010600 0024b201 %......$.....$.. + 240f0: 14040000 262b0e41 5f535441 5455535f ....&+.A_STATUS_ + 24100: 4f4b0000 0e415f53 54415455 535f4641 OK...A_STATUS_FA + 24110: 494c4544 00010e41 5f535441 5455535f ILED...A_STATUS_ + 24120: 454e4f45 4e540002 0e415f53 54415455 ENOENT...A_STATU + 24130: 535f454e 4f4d454d 00030e41 5f535441 S_ENOMEM...A_STA + 24140: 5455535f 45494e56 414c0004 0e415f53 TUS_EINVAL...A_S + 24150: 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES + 24160: 5300050e 415f5354 41545553 5f454e4f S...A_STATUS_ENO + 24170: 54535550 5000060e 415f5354 41545553 TSUPP...A_STATUS + 24180: 5f454255 53590007 0e415f53 54415455 _EBUSY...A_STATU + 24190: 535f4532 42494700 080e415f 53544154 S_E2BIG...A_STAT + 241a0: 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL + 241b0: 00090e41 5f535441 5455535f 454e5849 ...A_STATUS_ENXI + 241c0: 4f000a0e 415f5354 41545553 5f454641 O...A_STATUS_EFA + 241d0: 554c5400 0b0e415f 53544154 55535f45 ULT...A_STATUS_E + 241e0: 494f000c 0009615f 73746174 75735f74 IO....a_status_t + 241f0: 00000025 36060000 262b0106 00000118 ...%6...&+...... + 24200: 01020109 6164665f 6e627566 5f740000 ....adf_nbuf_t.. + 24210: 0024b214 04000026 900e4144 465f4f53 .$.....&..ADF_OS + 24220: 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. + 24230: 0e414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM + 24240: 5f444556 49434500 01000961 64665f6f _DEVICE....adf_o + 24250: 735f646d 615f6469 725f7400 00002659 s_dma_dir_t...&Y + 24260: 06000026 2b010201 09616466 5f6f735f ...&+....adf_os_ + 24270: 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... + 24280: 163a0300 0026ae04 00020102 01060000 .:...&.......... + 24290: 26490106 000024b2 01020102 01060000 &I....$......... + 242a0: 26490106 000024b2 01060000 26490106 &I....$.....&I.. + 242b0: 000024b2 01060000 26490102 01020106 ..$.....&I...... + 242c0: 0000161d 01060000 16930102 01020106 ................ + 242d0: 00001b4a 01060000 1a970106 00001a97 ...J............ + 242e0: 01096164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ + 242f0: 74000000 16d20300 00272704 00020102 t........''..... + 24300: 01020106 00001693 01096164 665f6e62 ..........adf_nb + 24310: 75665f71 75657565 5f740000 00250b03 uf_queue_t...%.. + 24320: 0000274f 04000201 03000024 f3040002 ..'O.......$.... + 24330: 01020102 01060000 26490106 000024b2 ........&I....$. + 24340: 01060000 161d0106 0000161d 01060000 ................ + 24350: 1a970106 00001a97 01060000 1fb30106 ................ + 24360: 0000161d 01096164 665f6e62 75665f72 ......adf_nbuf_r + 24370: 785f636b 73756d5f 74000000 24210300 x_cksum_t...$!.. + 24380: 0027ab04 00020102 01096164 665f6e62 .'........adf_nb + 24390: 75665f74 736f5f74 00000024 43030000 uf_tso_t...$C... + 243a0: 27cf0400 02010201 09616466 5f6e6574 '........adf_net + 243b0: 5f68616e 646c655f 74000000 040d0961 _handle_t......a + 243c0: 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t + 243d0: 0000001d bf030000 28040400 06000026 ........(......& + 243e0: 2b010600 00262b01 02010201 075f4849 +....&+......_HI + 243f0: 465f434f 4e464947 00040000 28530864 F_CONFIG....(S.d + 24400: 756d6d79 00000001 18022300 00020103 ummy......#..... + 24410: 00002853 04000201 03000028 5c040007 ..(S.......(\... + 24420: 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... + 24430: 0028b108 73656e64 5f627566 5f646f6e .(..send_buf_don + 24440: 65000000 28550223 00087265 63765f62 e...(U.#..recv_b + 24450: 75660000 00285e02 23040863 6f6e7465 uf...(^.#..conte + 24460: 78740000 00040d02 23080009 6869665f xt......#...hif_ + 24470: 68616e64 6c655f74 00000004 0d094849 handle_t......HI + 24480: 465f434f 4e464947 00000028 32030000 F_CONFIG...(2... + 24490: 28c30400 06000028 b1010300 0028da04 (......(.....(.. + 244a0: 00020103 000028e7 04000948 49465f43 ......(....HIF_C + 244b0: 414c4c42 41434b00 00002865 03000028 ALLBACK...(e...( + 244c0: f0040002 01030000 29090400 06000001 ........)....... + 244d0: 18010300 00291204 00020103 0000291f .....)........). + 244e0: 04000600 00011801 03000029 28040002 ...........)(... + 244f0: 01030000 29350400 06000001 18010300 ....)5.......... + 24500: 00293e04 00020103 0000294b 04000768 .)>.......)K...h + 24510: 69665f61 70690038 00002aa4 085f696e if_api.8..*.._in + 24520: 69740000 0028e002 2300085f 73687574 it...(..#.._shut + 24530: 646f776e 00000028 e9022304 085f7265 down...(..#.._re + 24540: 67697374 65725f63 616c6c62 61636b00 gister_callback. + 24550: 0000290b 02230808 5f676574 5f746f74 ..)..#.._get_tot + 24560: 616c5f63 72656469 745f636f 756e7400 al_credit_count. + 24570: 00002918 02230c08 5f737461 72740000 ..)..#.._start.. + 24580: 0028e902 2310085f 636f6e66 69675f70 .(..#.._config_p + 24590: 69706500 00002921 02231408 5f73656e ipe...)!.#.._sen + 245a0: 645f6275 66666572 00000029 2e022318 d_buffer...)..#. + 245b0: 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 245c0: 66000000 29370223 1c085f69 735f7069 f...)7.#.._is_pi + 245d0: 70655f73 7570706f 72746564 00000029 pe_supported...) + 245e0: 44022320 085f6765 745f6d61 785f6d73 D.# ._get_max_ms + 245f0: 675f6c65 6e000000 29440223 24085f67 g_len...)D.#$._g + 24600: 65745f72 65736572 7665645f 68656164 et_reserved_head + 24610: 726f6f6d 00000029 18022328 085f6973 room...)..#(._is + 24620: 725f6861 6e646c65 72000000 28e90223 r_handler...(..# + 24630: 2c085f67 65745f64 65666175 6c745f70 ,._get_default_p + 24640: 69706500 0000294d 02233008 70526573 ipe...)M.#0.pRes + 24650: 65727665 64000000 040d0223 34000d64 erved......#4..d + 24660: 6d615f65 6e67696e 65000400 002b2d0e ma_engine....+-. + 24670: 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. + 24680: 0e444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. + 24690: 010e444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 + 246a0: 00020e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 246b0: 3300030e 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T + 246c0: 58300004 0e444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 246d0: 54583100 050e444d 415f454e 47494e45 TX1...DMA_ENGINE + 246e0: 5f4d4158 00060009 646d615f 656e6769 _MAX....dma_engi + 246f0: 6e655f74 0000002a a40d646d 615f6966 ne_t...*..dma_if + 24700: 74797065 00040000 2b7a0e44 4d415f49 type....+z.DMA_I + 24710: 465f474d 41430000 0e444d41 5f49465f F_GMAC...DMA_IF_ + 24720: 50434900 010e444d 415f4946 5f504349 PCI...DMA_IF_PCI + 24730: 45000200 09646d61 5f696674 7970655f E....dma_iftype_ + 24740: 74000000 2b3f0600 0012f201 0300002b t...+?.........+ + 24750: 8c040002 01030000 2b990400 02010300 ........+....... + 24760: 002ba204 00060000 09240103 00002bab .+.......$....+. + 24770: 04000600 0012f201 0300002b b8040006 ...........+.... + 24780: 000012f2 01030000 2bc50400 06000014 ........+....... + 24790: 3d010300 002bd204 00020103 00002bdf =....+........+. + 247a0: 04000764 6d615f6c 69625f61 70690034 ...dma_lib_api.4 + 247b0: 00002ce6 0874785f 696e6974 0000002b ..,..tx_init...+ + 247c0: 92022300 0874785f 73746172 74000000 ..#..tx_start... + 247d0: 2b9b0223 04087278 5f696e69 74000000 +..#..rx_init... + 247e0: 2b920223 08087278 5f636f6e 66696700 +..#..rx_config. + 247f0: 00002ba4 02230c08 72785f73 74617274 ..+..#..rx_start + 24800: 0000002b 9b022310 08696e74 725f7374 ...+..#..intr_st + 24810: 61747573 0000002b b1022314 08686172 atus...+..#..har + 24820: 645f786d 69740000 002bbe02 23180866 d_xmit...+..#..f + 24830: 6c757368 5f786d69 74000000 2b9b0223 lush_xmit...+..# + 24840: 1c08786d 69745f64 6f6e6500 00002bcb ..xmit_done...+. + 24850: 02232008 72656170 5f786d69 74746564 .# .reap_xmitted + 24860: 0000002b d8022324 08726561 705f7265 ...+..#$.reap_re + 24870: 63760000 002bd802 23280872 65747572 cv...+..#(.retur + 24880: 6e5f7265 63760000 002be102 232c0872 n_recv...+..#,.r + 24890: 6563765f 706b7400 00002bcb 02233000 ecv_pkt...+..#0. + 248a0: 075f5f70 63695f73 6f667463 000c0000 .__pci_softc.... + 248b0: 2d040873 77000000 28f00223 0000095f -..sw...(..#..._ + 248c0: 5f706369 5f736f66 74635f74 0000002c _pci_softc_t..., + 248d0: e6030000 2d040400 02010300 002d1e04 ....-........-.. + 248e0: 00060000 12de0103 00002d27 04000d68 ..........-'...h + 248f0: 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. + 24900: 00002d87 0e484946 5f504349 5f504950 ..-..HIF_PCI_PIP + 24910: 455f5458 3000000e 4849465f 5043495f E_TX0...HIF_PCI_ + 24920: 50495045 5f545831 00010e48 49465f50 PIPE_TX1...HIF_P + 24930: 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. + 24940: 00096869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 24950: 785f7400 00002d34 0600002b 2d010300 x_t...-4...+-... + 24960: 002d9e04 000d6869 665f7063 695f7069 .-....hif_pci_pi + 24970: 70655f72 78000400 002e240e 4849465f pe_rx.....$.HIF_ + 24980: 5043495f 50495045 5f525830 00000e48 PCI_PIPE_RX0...H + 24990: 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. + 249a0: 010e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 249b0: 58320002 0e484946 5f504349 5f504950 X2...HIF_PCI_PIP + 249c0: 455f5258 3300030e 4849465f 5043495f E_RX3...HIF_PCI_ + 249d0: 50495045 5f52585f 4d415800 04000968 PIPE_RX_MAX....h + 249e0: 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t + 249f0: 0000002d ab060000 2b2d0103 00002e3b ...-....+-.....; + 24a00: 04000768 69665f70 63695f61 70690024 ...hif_pci_api.$ + 24a10: 00002f19 08706369 5f626f6f 745f696e ../..pci_boot_in + 24a20: 69740000 00011102 23000870 63695f69 it......#..pci_i + 24a30: 6e697400 000028e0 02230408 7063695f nit...(..#..pci_ + 24a40: 72657365 74000000 01110223 08087063 reset......#..pc + 24a50: 695f656e 61626c65 00000001 1102230c i_enable......#. + 24a60: 08706369 5f726561 705f786d 69747465 .pci_reap_xmitte + 24a70: 64000000 2d200223 10087063 695f7265 d...- .#..pci_re + 24a80: 61705f72 65637600 00002d20 02231408 ap_recv...- .#.. + 24a90: 7063695f 6765745f 70697065 0000002d pci_get_pipe...- + 24aa0: 2d022318 08706369 5f676574 5f74785f -.#..pci_get_tx_ + 24ab0: 656e6700 00002da4 02231c08 7063695f eng...-..#..pci_ + 24ac0: 6765745f 72785f65 6e670000 002e4102 get_rx_eng....A. + 24ad0: 23200007 676d6163 5f617069 00040000 # ..gmac_api.... + 24ae0: 2f400867 6d61635f 626f6f74 5f696e69 /@.gmac_boot_ini + 24af0: 74000000 01110223 00000f00 00032506 t......#......%. + 24b00: 00002f4d 10050007 5f5f6574 68686472 ../M....__ethhdr + 24b10: 000e0000 2f830864 73740000 002f4002 ..../..dst.../@. + 24b20: 23000873 72630000 002f4002 23060865 #..src.../@.#..e + 24b30: 74797065 00000012 f202230c 00075f5f type......#...__ + 24b40: 61746868 64720004 00002fd1 15726573 athhdr..../..res + 24b50: 00000012 de010002 02230015 70726f74 .........#..prot + 24b60: 6f000000 12de0102 06022300 08726573 o.........#..res + 24b70: 5f6c6f00 000012de 02230108 7265735f _lo......#..res_ + 24b80: 68690000 0012f202 23020007 5f5f676d hi......#...__gm + 24b90: 61635f68 64720014 0000300d 08657468 ac_hdr....0..eth + 24ba0: 0000002f 4d022300 08617468 0000002f .../M.#..ath.../ + 24bb0: 8302230e 08616c69 676e5f70 61640000 ..#..align_pad.. + 24bc0: 0012f202 23120009 5f5f676d 61635f68 ....#...__gmac_h + 24bd0: 64725f74 0000002f d1075f5f 676d6163 dr_t.../..__gmac + 24be0: 5f736f66 74630024 00003057 08686472 _softc.$..0W.hdr + 24bf0: 00000030 0d022300 08677261 6e000000 ...0..#..gran... + 24c00: 12f20223 14087377 00000028 f0022318 ...#..sw...(..#. + 24c10: 00075f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ + 24c20: 63686563 6b000800 00309008 76657273 check....0..vers + 24c30: 696f6e00 00000118 02230008 7461626c ion......#..tabl + 24c40: 65000000 01180223 04000300 00305704 e......#.....0W. + 24c50: 00060000 01180103 00003097 04000300 ..........0..... + 24c60: 00041004 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 24c70: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 24c80: 0001b800 0031e708 68616c5f 6c696e6b .....1..hal_link + 24c90: 6167655f 63686563 6b000000 309d0223 age_check...0..# + 24ca0: 00087374 6172745f 62737300 000030a4 ..start_bss...0. + 24cb0: 02230408 6170705f 73746172 74000000 .#..app_start... + 24cc0: 01110223 08086d65 6d000000 04500223 ...#..mem....P.# + 24cd0: 0c086d69 73630000 00056f02 23200870 ..misc....o.# .p + 24ce0: 72696e74 66000000 01450223 44087561 rintf....E.#D.ua + 24cf0: 72740000 00020e02 234c0867 6d616300 rt......#L.gmac. + 24d00: 00002f19 02236c08 75736200 00000f9e ../..#l.usb..... + 24d10: 02237008 636c6f63 6b000000 0ae70323 .#p.clock......# + 24d20: e0010874 696d6572 00000007 87032384 ...timer......#. + 24d30: 0208696e 74720000 000c3703 23980208 ..intr....7.#... + 24d40: 616c6c6f 6372616d 00000009 3f0323c4 allocram....?.#. + 24d50: 0208726f 6d700000 00083103 23d00208 ..romp....1.#... + 24d60: 7764745f 74696d65 72000000 0e140323 wdt_timer......# + 24d70: e0020865 65700000 000f4203 23fc0208 ...eep....B.#... + 24d80: 73747269 6e670000 00069303 238c0308 string......#... + 24d90: 7461736b 6c657400 00000a3c 0323a403 tasklet....<.#.. + 24da0: 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 24db0: 49470010 0000325a 08676574 5f636f6d IG....2Z.get_com + 24dc0: 6d616e64 5f627566 00000014 4a022300 mand_buf....J.#. + 24dd0: 08726563 765f636f 6d6d616e 64000000 .recv_command... + 24de0: 14600223 04086765 745f6576 656e745f .`.#..get_event_ + 24df0: 62756600 0000144a 02230808 73656e64 buf....J.#..send + 24e00: 5f657665 6e745f64 6f6e6500 00001460 _event_done....` + 24e10: 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO + 24e20: 4e464947 00000031 e7030000 325a0400 NFIG...1....2Z.. + 24e30: 02010300 00327604 00077573 62666966 .....2v...usbfif + 24e40: 6f5f6170 69000c00 0032cc08 5f696e69 o_api....2.._ini + 24e50: 74000000 32780223 00085f65 6e61626c t...2x.#.._enabl + 24e60: 655f6576 656e745f 69737200 00000111 e_event_isr..... + 24e70: 02230408 70526573 65727665 64000000 .#..pReserved... + 24e80: 040d0223 08000f00 00168402 000032d9 ...#..........2. + 24e90: 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 24ea0: 44520008 0000334b 08456e64 706f696e DR....3K.Endpoin + 24eb0: 74494400 00001684 02230008 466c6167 tID......#..Flag + 24ec0: 73000000 16840223 01085061 796c6f61 s......#..Payloa + 24ed0: 644c656e 0000001c ac022302 08436f6e dLen......#..Con + 24ee0: 74726f6c 42797465 73000000 32cc0223 trolBytes...2..# + 24ef0: 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... + 24f00: ac022306 00120200 00336408 4d657373 ..#......3d.Mess + 24f10: 61676549 44000000 1cac0223 00001208 ageID......#.... + 24f20: 000033c7 084d6573 73616765 49440000 ..3..MessageID.. + 24f30: 001cac02 23000843 72656469 74436f75 ....#..CreditCou + 24f40: 6e740000 001cac02 23020843 72656469 nt......#..Credi + 24f50: 7453697a 65000000 1cac0223 04084d61 tSize......#..Ma + 24f60: 78456e64 706f696e 74730000 00168402 xEndpoints...... + 24f70: 2306085f 50616431 00000016 84022307 #.._Pad1......#. + 24f80: 00120a00 00345e08 4d657373 61676549 .....4^.MessageI + 24f90: 44000000 1cac0223 00085365 72766963 D......#..Servic + 24fa0: 65494400 00001cac 02230208 436f6e6e eID......#..Conn + 24fb0: 65637469 6f6e466c 61677300 00001cac ectionFlags..... + 24fc0: 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 24fd0: 49440000 00168402 23060855 704c696e ID......#..UpLin + 24fe0: 6b506970 65494400 00001684 02230708 kPipeID......#.. + 24ff0: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 25000: 68000000 16840223 08085f50 61643100 h......#.._Pad1. + 25010: 00001684 02230900 120a0000 34e6084d .....#......4..M + 25020: 65737361 67654944 0000001c ac022300 essageID......#. + 25030: 08536572 76696365 49440000 001cac02 .ServiceID...... + 25040: 23020853 74617475 73000000 16840223 #..Status......# + 25050: 0408456e 64706f69 6e744944 00000016 ..EndpointID.... + 25060: 84022305 084d6178 4d736753 697a6500 ..#..MaxMsgSize. + 25070: 00001cac 02230608 53657276 6963654d .....#..ServiceM + 25080: 6574614c 656e6774 68000000 16840223 etaLength......# + 25090: 08085f50 61643100 00001684 02230900 .._Pad1......#.. + 250a0: 12020000 34ff084d 65737361 67654944 ....4..MessageID + 250b0: 0000001c ac022300 00120400 00353b08 ......#......5;. + 250c0: 4d657373 61676549 44000000 1cac0223 MessageID......# + 250d0: 00085069 70654944 00000016 84022302 ..PipeID......#. + 250e0: 08437265 64697443 6f756e74 00000016 .CreditCount.... + 250f0: 84022303 00120400 00357208 4d657373 ..#......5r.Mess + 25100: 61676549 44000000 1cac0223 00085069 ageID......#..Pi + 25110: 70654944 00000016 84022302 08537461 peID......#..Sta + 25120: 74757300 00001684 02230300 12020000 tus......#...... + 25130: 35990852 65636f72 64494400 00001684 5..RecordID..... + 25140: 02230008 4c656e67 74680000 00168402 .#..Length...... + 25150: 23010012 02000035 c308456e 64706f69 #......5..Endpoi + 25160: 6e744944 00000016 84022300 08437265 ntID......#..Cre + 25170: 64697473 00000016 84022301 00120400 dits......#..... + 25180: 00360408 456e6470 6f696e74 49440000 .6..EndpointID.. + 25190: 00168402 23000843 72656469 74730000 ....#..Credits.. + 251a0: 00168402 23010854 67744372 65646974 ....#..TgtCredit + 251b0: 5365714e 6f000000 1cac0223 02000f00 SeqNo......#.... + 251c0: 00168404 00003611 10030012 06000036 ......6........6 + 251d0: 4d085072 6556616c 69640000 00168402 M.PreValid...... + 251e0: 2300084c 6f6f6b41 68656164 00000036 #..LookAhead...6 + 251f0: 04022301 08506f73 7456616c 69640000 ..#..PostValid.. + 25200: 00168402 23050009 706f6f6c 5f68616e ....#...pool_han + 25210: 646c655f 74000000 040d0600 00364d01 dle_t........6M. + 25220: 03000036 60040002 01030000 366d0400 ...6`.......6m.. + 25230: 14040000 36eb0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H + 25240: 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO + 25250: 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 25260: 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID + 25270: 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 25280: 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 25290: 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 252a0: 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I + 252b0: 44000000 36760201 03000036 fc040006 D...6v.....6.... + 252c0: 00002649 01030000 37050400 06000026 ..&I....7......& + 252d0: 49010300 00371204 00020103 0000371f I....7........7. + 252e0: 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 252f0: 1c000037 c1085f69 6e697400 00003666 ...7.._init...6f + 25300: 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 25310: 366f0223 04085f63 72656174 655f706f 6o.#.._create_po + 25320: 6f6c0000 0036fe02 2308085f 616c6c6f ol...6..#.._allo + 25330: 635f6275 66000000 370b0223 0c085f61 c_buf...7..#.._a + 25340: 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 25350: 00371802 2310085f 66726565 5f627566 .7..#.._free_buf + 25360: 00000037 21022314 08705265 73657276 ...7!.#..pReserv + 25370: 65640000 00040d02 23180007 5f485443 ed......#..._HTC + 25380: 5f534552 56494345 001c0000 38a00870 _SERVICE....8..p + 25390: 4e657874 00000038 a0022300 0850726f Next...8..#..Pro + 253a0: 63657373 52656376 4d736700 00003955 cessRecvMsg...9U + 253b0: 02230408 50726f63 65737353 656e6442 .#..ProcessSendB + 253c0: 75666665 72436f6d 706c6574 65000000 ufferComplete... + 253d0: 395e0223 08085072 6f636573 73436f6e 9^.#..ProcessCon + 253e0: 6e656374 00000039 7202230c 08536572 nect...9r.#..Ser + 253f0: 76696365 49440000 0012f202 23100853 viceID......#..S + 25400: 65727669 6365466c 61677300 000012f2 erviceFlags..... + 25410: 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz + 25420: 65000000 12f20223 14085472 61696c65 e......#..Traile + 25430: 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. + 25440: 0012f202 23160853 65727669 63654374 ....#..ServiceCt + 25450: 78000000 040d0223 18000300 0037c104 x......#.....7.. + 25460: 00140400 00393e19 454e4450 4f494e54 .....9>.ENDPOINT + 25470: 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END + 25480: 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN + 25490: 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. + 254a0: 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END + 254b0: 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN + 254c0: 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. + 254d0: 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END + 254e0: 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN + 254f0: 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END + 25500: 504f494e 545f4944 00000038 a7020103 POINT_ID...8.... + 25510: 00003953 04000201 03000039 5c040003 ..9S.......9\... + 25520: 00000118 04000600 0012de01 03000039 ...............9 + 25530: 6c040003 000037c1 0400075f 4854435f l.....7...._HTC_ + 25540: 434f4e46 49470014 000039f1 08437265 CONFIG....9..Cre + 25550: 64697453 697a6500 00000118 02230008 ditSize......#.. + 25560: 43726564 69744e75 6d626572 00000001 CreditNumber.... + 25570: 18022304 084f5348 616e646c 65000000 ..#..OSHandle... + 25580: 1a480223 08084849 4648616e 646c6500 .H.#..HIFHandle. + 25590: 000028b1 02230c08 506f6f6c 48616e64 ..(..#..PoolHand + 255a0: 6c650000 00364d02 23100007 5f485443 le...6M.#..._HTC + 255b0: 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 255c0: 3a2d0865 6e645f70 6f696e74 00000012 :-.end_point.... + 255d0: de022300 08687463 5f666c61 67730000 ..#..htc_flags.. + 255e0: 0012de02 23010009 6874635f 68616e64 ....#...htc_hand + 255f0: 6c655f74 00000004 0d094854 435f5345 le_t......HTC_SE + 25600: 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 25610: 00000111 09485443 5f434f4e 46494700 .....HTC_CONFIG. + 25620: 00003980 0300003a 5a040006 00003a2d ..9....:Z.....:- + 25630: 01030000 3a710400 02010300 003a7e04 ....:q.......:~. + 25640: 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... + 25650: 37c10300 003a8704 00020103 00003a9f 7....:........:. + 25660: 04000201 0300003a a8040002 01030000 .......:........ + 25670: 3ab10400 06000001 18010300 003aba04 :............:.. + 25680: 00076874 635f6170 69730034 00003c37 ..htc_apis.4..<7 + 25690: 085f4854 435f496e 69740000 003a7702 ._HTC_Init...:w. + 256a0: 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown + 256b0: 0000003a 80022304 085f4854 435f5265 ...:..#.._HTC_Re + 256c0: 67697374 65725365 72766963 65000000 gisterService... + 256d0: 3aa10223 08085f48 54435f52 65616479 :..#.._HTC_Ready + 256e0: 0000003a 8002230c 085f4854 435f5265 ...:..#.._HTC_Re + 256f0: 7475726e 42756666 65727300 00003aaa turnBuffers...:. + 25700: 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 25710: 75666665 72734c69 73740000 003ab302 uffersList...:.. + 25720: 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. + 25730: 00003aaa 02231808 5f485443 5f476574 ..:..#.._HTC_Get + 25740: 52657365 72766564 48656164 726f6f6d ReservedHeadroom + 25750: 0000003a c002231c 085f4854 435f4d73 ...:..#.._HTC_Ms + 25760: 67526563 7648616e 646c6572 00000028 gRecvHandler...( + 25770: 5e022320 085f4854 435f5365 6e64446f ^.# ._HTC_SendDo + 25780: 6e654861 6e646c65 72000000 28550223 neHandler...(U.# + 25790: 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv + 257a0: 6350726f 63657373 4d736700 00003955 cProcessMsg...9U + 257b0: 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control + 257c0: 53766350 726f6365 73735365 6e64436f SvcProcessSendCo + 257d0: 6d706c65 74650000 00395e02 232c0870 mplete...9^.#,.p + 257e0: 52657365 72766564 00000004 0d022330 Reserved......#0 + 257f0: 0007686f 73745f61 70705f61 7265615f ..host_app_area_ + 25800: 73000400 003c6708 776d695f 70726f74 s......tupleNu + 259a0: 6d4c0000 001cac02 23000874 75706c65 mL......#..tuple + 259b0: 4e756d48 0000001c ac022302 08617674 NumH......#..avt + 259c0: 0000003d cb022304 00120100 003e3108 ...=..#......>1. + 259d0: 62656163 6f6e5065 6e64696e 67436f75 beaconPendingCou + 259e0: 6e740000 00168402 23000007 5f574d49 nt......#..._WMI + 259f0: 5f535643 5f434f4e 46494700 1000003e _SVC_CONFIG....> + 25a00: 9a084874 6348616e 646c6500 00003a2d ..HtcHandle...:- + 25a10: 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 25a20: 00364d02 2304084d 6178436d 64526570 .6M.#..MaxCmdRep + 25a30: 6c794576 74730000 00011802 2308084d lyEvts......#..M + 25a40: 61784576 656e7445 76747300 00000118 axEventEvts..... + 25a50: 02230c00 02010300 003e9a04 0009574d .#.......>....WM + 25a60: 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 25a70: 3e9c075f 574d495f 44495350 41544348 >.._WMI_DISPATCH + 25a80: 5f454e54 52590008 00003f03 0870436d _ENTRY....?..pCm + 25a90: 6448616e 646c6572 0000003e a3022300 dHandler...>..#. + 25aa0: 08436d64 49440000 0012f202 23040846 .CmdID......#..F + 25ab0: 6c616773 00000012 f2022306 00075f57 lags......#..._W + 25ac0: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 25ad0: 45001000 003f6408 704e6578 74000000 E....?d.pNext... + 25ae0: 3f640223 00087043 6f6e7465 78740000 ?d.#..pContext.. + 25af0: 00040d02 2304084e 756d6265 724f6645 ....#..NumberOfE + 25b00: 6e747269 65730000 00011802 23080870 ntries......#..p + 25b10: 5461626c 65000000 3f830223 0c000300 Table...?..#.... + 25b20: 003f0304 0009574d 495f4449 53504154 .?....WMI_DISPAT + 25b30: 43485f45 4e545259 0000003e b8030000 CH_ENTRY...>.... + 25b40: 3f6b0400 0300003f 03040009 4854435f ?k.....?....HTC_ + 25b50: 4255465f 434f4e54 45585400 000039f1 BUF_CONTEXT...9. + 25b60: 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 25b70: 0000401b 19574d49 5f455654 5f434c41 ..@..WMI_EVT_CLA + 25b80: 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI + 25b90: 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 25ba0: 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C + 25bb0: 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 25bc0: 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 25bd0: 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL + 25be0: 41535300 00003fa6 075f574d 495f4255 ASS...?.._WMI_BU + 25bf0: 465f434f 4e544558 54000c00 00407908 F_CONTEXT....@y. + 25c00: 48746342 75664374 78000000 3f910223 HtcBufCtx...?..# + 25c10: 00084576 656e7443 6c617373 00000040 ..EventClass...@ + 25c20: 1b022304 08466c61 67730000 0012f202 ..#..Flags...... + 25c30: 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t + 25c40: 00000004 0d09574d 495f5356 435f434f ......WMI_SVC_CO + 25c50: 4e464947 0000003e 31030000 408b0400 NFIG...>1...@... + 25c60: 06000040 79010300 0040a604 0009574d ...@y....@....WM + 25c70: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 25c80: 0000003f 03030000 40b30400 02010300 ...?....@....... + 25c90: 0040d204 00060000 26490103 000040db .@......&I....@. + 25ca0: 04000201 03000040 e8040006 00000118 .......@........ + 25cb0: 01030000 40f10400 02010300 0040fe04 ....@........@.. + 25cc0: 00060000 12de0103 00004107 0400075f ..........A...._ + 25cd0: 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 25ce0: 424f085f 574d495f 496e6974 00000040 BO._WMI_Init...@ + 25cf0: ac022300 085f574d 495f5265 67697374 ..#.._WMI_Regist + 25d00: 65724469 73706174 63685461 626c6500 erDispatchTable. + 25d10: 000040d4 02230408 5f574d49 5f416c6c ..@..#.._WMI_All + 25d20: 6f634576 656e7400 000040e1 02230808 ocEvent...@..#.. + 25d30: 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 25d40: 0040ea02 230c085f 574d495f 47657450 .@..#.._WMI_GetP + 25d50: 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 25d60: 74000000 40f70223 10085f57 4d495f53 t...@..#.._WMI_S + 25d70: 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 25d80: 65720000 00395e02 2314085f 574d495f er...9^.#.._WMI_ + 25d90: 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ + 25da0: f7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo + 25db0: 776e0000 00410002 231c085f 574d495f wn...A..#.._WMI_ + 25dc0: 52656376 4d657373 61676548 616e646c RecvMessageHandl + 25dd0: 65720000 00395502 2320085f 574d495f er...9U.# ._WMI_ + 25de0: 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. + 25df0: 00410d02 23240870 52657365 72766564 .A..#$.pReserved + 25e00: 00000004 0d022328 00077a73 446d6144 ......#(..zsDmaD + 25e10: 65736300 14000042 d1086374 726c0000 esc....B..ctrl.. + 25e20: 00017c02 23000873 74617475 73000000 ..|.#..status... + 25e30: 017c0223 0208746f 74616c4c 656e0000 .|.#..totalLen.. + 25e40: 00017c02 23040864 61746153 697a6500 ..|.#..dataSize. + 25e50: 0000017c 02230608 6c617374 41646472 ...|.#..lastAddr + 25e60: 00000042 d1022308 08646174 61416464 ...B..#..dataAdd + 25e70: 72000000 01a00223 0c086e65 78744164 r......#..nextAd + 25e80: 64720000 0042d102 23100003 0000424f dr...B..#.....BO + 25e90: 04000300 00424f04 00077a73 446d6151 .....BO...zsDmaQ + 25ea0: 75657565 00080000 43110868 65616400 ueue....C..head. + 25eb0: 000042d8 02230008 7465726d 696e6174 ..B..#..terminat + 25ec0: 6f720000 0042d802 23040007 7a735478 or...B..#...zsTx + 25ed0: 446d6151 75657565 00100000 43750868 DmaQueue....Cu.h + 25ee0: 65616400 000042d8 02230008 7465726d ead...B..#..term + 25ef0: 696e6174 6f720000 0042d802 23040878 inator...B..#..x + 25f00: 6d697465 645f6275 665f6865 61640000 mited_buf_head.. + 25f10: 00143d02 23080878 6d697465 645f6275 ..=.#..xmited_bu + 25f20: 665f7461 696c0000 00143d02 230c0002 f_tail....=.#... + 25f30: 01030000 43750400 03000042 df040002 ....Cu.....B.... + 25f40: 01030000 43850400 03000043 11040002 ....C......C.... + 25f50: 01030000 43950400 02010300 00439e04 ....C........C.. + 25f60: 00020103 000043a7 04000600 00143d01 ......C.......=. + 25f70: 03000043 b0040002 01030000 43bd0400 ...C........C... + 25f80: 06000014 3d010300 0043c604 00020103 ....=....C...... + 25f90: 000043d3 04000600 00011801 03000043 ..C............C + 25fa0: dc040006 000042d8 01030000 43e90400 ......B.....C... + 25fb0: 02010300 0043f604 0007646d 615f656e .....C....dma_en + 25fc0: 67696e65 5f617069 00400000 456c085f gine_api.@..El._ + 25fd0: 696e6974 00000043 77022300 085f696e init...Cw.#.._in + 25fe0: 69745f72 785f7175 65756500 00004387 it_rx_queue...C. + 25ff0: 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que + 26000: 75650000 00439702 2308085f 636f6e66 ue...C..#.._conf + 26010: 69675f72 785f7175 65756500 000043a0 ig_rx_queue...C. + 26020: 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... + 26030: 43a90223 10085f66 6c757368 5f786d69 C..#.._flush_xmi + 26040: 74000000 43870223 14085f72 6561705f t...C..#.._reap_ + 26050: 72656376 5f627566 00000043 b6022318 recv_buf...C..#. + 26060: 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 26070: 66000000 43bf0223 1c085f72 6561705f f...C..#.._reap_ + 26080: 786d6974 65645f62 75660000 0043cc02 xmited_buf...C.. + 26090: 2320085f 73776170 5f646174 61000000 # ._swap_data... + 260a0: 43d50223 24085f68 61735f63 6f6d706c C..#$._has_compl + 260b0: 5f706163 6b657473 00000043 e2022328 _packets...C..#( + 260c0: 085f6465 73635f64 756d7000 00004387 ._desc_dump...C. + 260d0: 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. + 260e0: 000043ef 02233008 5f726563 6c61696d ..C..#0._reclaim + 260f0: 5f706163 6b657400 000043f8 02233408 _packet...C..#4. + 26100: 5f707574 5f706163 6b657400 000043f8 _put_packet...C. + 26110: 02233808 70526573 65727665 64000000 .#8.pReserved... + 26120: 040d0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ + 26130: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 26140: 655f7400 000030ab 09574d49 5f535643 e_t...0..WMI_SVC + 26150: 5f415049 53000000 4114175f 415f6d61 _APIS...A.._A_ma + 26160: 67706965 5f696e64 69726563 74696f6e gpie_indirection + 26170: 5f746162 6c650003 4c000046 9a08636d _table..L..F..cm + 26180: 6e6f7300 0000456c 02230008 64626700 nos...El.#..dbg. + 26190: 000003da 0323b803 08686966 00000029 .....#...hif...) + 261a0: 540323c0 03086874 63000000 3ac70323 T.#...htc...:..# + 261b0: f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. + 261c0: 00458e03 23ac0408 75736266 69666f5f .E..#...usbfifo_ + 261d0: 61706900 0000327f 0323d804 08627566 api...2..#...buf + 261e0: 5f706f6f 6c000000 37280323 e4040876 _pool...7(.#...v + 261f0: 62756600 00001467 03238005 08766465 buf....g.#...vde + 26200: 73630000 00134903 23940508 616c6c6f sc....I.#...allo + 26210: 6372616d 00000009 3f0323a8 0508646d cram....?.#...dm + 26220: 615f656e 67696e65 00000043 ff0323b4 a_engine...C..#. + 26230: 0508646d 615f6c69 62000000 2be80323 ..dma_lib...+..# + 26240: f4050868 69665f70 63690000 002e4803 ...hif_pci....H. + 26250: 23a80600 095f415f 6d616770 69655f69 #...._A_magpie_i + 26260: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 26270: 5f740000 0045a002 01030000 46bd0400 _t...E......F... + 26280: 1a5f7075 74630000 0046bf05 03005007 ._putc...F....P. + 26290: 0001046c 6f6e6720 696e7400 05040600 ...long int..... + 262a0: 00011801 0f000001 1f500000 46f7104f .........P..F..O + 262b0: 00095f5f 676e7563 5f76615f 6c697374 ..__gnuc_va_list + 262c0: 00000015 45060000 0118010f 0000011f ....E........... + 262d0: 20000047 1e101f00 03000046 d804000f ..G.......F.... + 262e0: 0000011f 0b000047 32100a00 03000047 .......G2......G + 262f0: 2504000f 0000011f 11000047 46101000 %..........GF... + 26300: 03000047 39040003 0000037b 04000f00 ...G9......{.... + 26310: 00011f07 00004761 10060003 00004754 ......Ga......GT + 26320: 04000300 0046f704 00030000 01450400 .....F.......E.. + 26330: 02011b01 3b636d6e 6f735f77 72697465 ....;cmnos_write + 26340: 5f636861 72000101 03920120 02900000 _char...... .... + 26350: 8e245000 8e247a00 0047ad1c 013b6300 .$P..$z..G...;c. + 26360: 0000011f 0152001d 01485f63 76740000 .....R...H_cvt.. + 26370: 00011801 049201f0 00029000 008e247c ..............$| + 26380: 008e24d0 0000482a 1c014876 616c0000 ..$...H*..Hval.. + 26390: 0001a001 521c0148 62756600 0000037b ....R..Hbuf....{ + 263a0: 01531c01 48726164 69780000 0046d801 .S..Hradix...F.. + 263b0: 541c0148 64696769 74730000 00037b01 T..Hdigits....{. + 263c0: 551e6370 00000003 7b1f7465 6d700000 U.cp....{.temp.. + 263d0: 0046ea03 91907f1e 6c656e67 74680000 .F......length.. + 263e0: 00011800 1d016063 6d6e6f73 5f767072 ......`cmnos_vpr + 263f0: 696e7466 00000001 18010492 01f00002 intf............ + 26400: 9000008e 24d0008e 29150000 49b31c01 ....$...)...I... + 26410: 60707574 63000000 46bf0152 1c016066 `putc...F..R..`f + 26420: 6d740000 00013101 531c0160 61700000 mt....1.S..`ap.. + 26430: 0046f701 541e6370 00000003 7b1f6275 .F..T.cp....{.bu + 26440: 66000000 47110391 907f1e76 616c0000 f...G......val.. + 26450: 0046d81e 72657300 00000118 1e6c656e .F..res......len + 26460: 67746800 00000118 1e630000 00011f1e gth......c...... + 26470: 69736c6f 6e676c6f 6e670000 0001181e islonglong...... + 26480: 69736c6f 6e670000 0001181e 7061645f islong......pad_ + 26490: 6f6e5f72 69676874 00000001 181e7269 on_right......ri + 264a0: 6768745f 70726563 00000001 181e6c65 ght_prec......le + 264b0: 66745f70 72656300 00000118 1e7a6572 ft_prec......zer + 264c0: 6f5f6669 6c6c0000 0001181e 7369676e o_fill......sign + 264d0: 00000001 1f1e6f72 69675f6e 64780000 ......orig_ndx.. + 264e0: 0001181e 5f5f6172 72617900 0000037b ....__array....{ + 264f0: 1e6f7269 675f6e64 78000000 01181e5f .orig_ndx......_ + 26500: 5f617272 61790000 00037b1e 6f726967 _array....{.orig + 26510: 5f6e6478 00000001 181e5f5f 61727261 _ndx......__arra + 26520: 79000000 037b1e6f 7269675f 6e647800 y....{.orig_ndx. + 26530: 00000118 1e5f5f61 72726179 00000003 .....__array.... + 26540: 7b1e6f72 69675f6e 64780000 0001181e {.orig_ndx...... + 26550: 5f5f6172 72617900 0000037b 1e690000 __array....{.i.. + 26560: 0001181e 70616400 00000118 00200101 ....pad...... .. + 26570: 24636d6e 6f735f70 72696e74 66000000 $cmnos_printf... + 26580: 01180101 049201d0 00029000 008e2918 ..............). + 26590: 008e294b 000049fe 21010124 666d7400 ..)K..I.!..$fmt. + 265a0: 00000131 01521e61 70000000 46f71e72 ...1.R.ap...F..r + 265b0: 65740000 00011800 22010138 636d6e6f et......"..8cmno + 265c0: 735f7072 696e7466 5f696e69 74000101 s_printf_init... + 265d0: 03920120 02900000 8e294c00 8e295123 ... .....)L..)Q# + 265e0: 01013c63 6d6e6f73 5f707269 6e74665f .....pri + 26770: 6e74665f 61706900 08000001 82085f70 ntf_api......._p + 26780: 72696e74 665f696e 69740000 00011702 rintf_init...... + 26790: 2300085f 7072696e 74660000 00014402 #.._printf....D. + 267a0: 23040004 73686f72 7420756e 7369676e #...short unsign + 267b0: 65642069 6e740007 02097569 6e743136 ed int....uint16 + 267c0: 5f740000 00018204 6c6f6e67 20756e73 _t......long uns + 267d0: 69676e65 6420696e 74000704 0975696e igned int....uin + 267e0: 7433325f 74000000 01a60775 6172745f t32_t......uart_ + 267f0: 6669666f 00080000 02140873 74617274 fifo.......start + 26800: 5f696e64 65780000 00019802 23000865 _index......#..e + 26810: 6e645f69 6e646578 00000001 98022302 nd_index......#. + 26820: 086f7665 7272756e 5f657272 00000001 .overrun_err.... + 26830: bb022304 00077561 72745f61 70690020 ..#...uart_api. + 26840: 000002cd 085f7561 72745f69 6e697400 ....._uart_init. + 26850: 00000324 02230008 5f756172 745f6368 ...$.#.._uart_ch + 26860: 61725f70 75740000 00034b02 2304085f ar_put....K.#.._ + 26870: 75617274 5f636861 725f6765 74000000 uart_char_get... + 26880: 035f0223 08085f75 6172745f 7374725f ._.#.._uart_str_ + 26890: 6f757400 00000368 02230c08 5f756172 out....h.#.._uar + 268a0: 745f7461 736b0000 00011702 2310085f t_task......#.._ + 268b0: 75617274 5f737461 74757300 00000324 uart_status....$ + 268c0: 02231408 5f756172 745f636f 6e666967 .#.._uart_config + 268d0: 00000003 71022318 085f7561 72745f68 ....q.#.._uart_h + 268e0: 77696e69 74000000 037a0223 1c000300 winit....z.#.... + 268f0: 00021404 00077561 72745f62 6c6b0010 ......uart_blk.. + 26900: 0000031e 08646562 75675f6d 6f646500 .....debug_mode. + 26910: 00000198 02230008 62617564 00000001 .....#..baud.... + 26920: 98022302 085f7561 72740000 0002cd02 ..#.._uart...... + 26930: 2304085f 74780000 0001c902 23080006 #.._tx......#... + 26940: 000001bb 01030000 031e0400 04756e73 .............uns + 26950: 69676e65 64206368 61720007 01097569 igned char....ui + 26960: 6e74385f 74000000 032b0201 03000003 nt8_t....+...... + 26970: 49040003 0000033c 04000600 00019801 I......<........ + 26980: 03000003 59040002 01030000 03660400 ....Y........f.. + 26990: 02010300 00036f04 00020103 00000378 ......o........x + 269a0: 04000300 00012504 00060000 011e0103 ......%......... + 269b0: 00000388 04000744 425f434f 4d4d414e .......DB_COMMAN + 269c0: 445f5354 52554354 000c0000 03e00863 D_STRUCT.......c + 269d0: 6d645f73 74720000 00038102 23000868 md_str......#..h + 269e0: 656c705f 73747200 00000381 02230408 elp_str......#.. + 269f0: 636d645f 66756e63 00000003 8e022308 cmd_func......#. + 26a00: 00076462 675f6170 69000800 00041308 ..dbg_api....... + 26a10: 5f646267 5f696e69 74000000 01170223 _dbg_init......# + 26a20: 00085f64 62675f74 61736b00 00000117 .._dbg_task..... + 26a30: 02230400 0a040004 756e7369 676e6564 .#......unsigned + 26a40: 20696e74 00070406 00000413 01030000 int............ + 26a50: 04260400 0b0b0300 00043404 00060000 .&........4..... + 26a60: 04130103 0000043c 04000600 00011e01 .......<........ + 26a70: 03000004 49040007 6d656d5f 61706900 ....I...mem_api. + 26a80: 14000004 b8085f6d 656d5f69 6e697400 ......_mem_init. + 26a90: 00000117 02230008 5f6d656d 73657400 .....#.._memset. + 26aa0: 0000042c 02230408 5f6d656d 63707900 ...,.#.._memcpy. + 26ab0: 00000442 02230808 5f6d656d 6d6f7665 ...B.#.._memmove + 26ac0: 00000004 4202230c 085f6d65 6d636d70 ....B.#.._memcmp + 26ad0: 00000004 4f022310 000c7265 67697374 ....O.#...regist + 26ae0: 65725f64 756d705f 73000001 03000004 er_dump_s....... + 26af0: b8040002 01030000 04d20400 02010300 ................ + 26b00: 0004db04 00060000 011e0103 000004e4 ................ + 26b10: 04000d68 6f737469 665f7300 04000005 ...hostif_s..... + 26b20: 400e4849 465f5553 4200000e 4849465f @.HIF_USB...HIF_ + 26b30: 50434945 00010e48 49465f47 4d414300 PCIE...HIF_GMAC. + 26b40: 020e4849 465f5043 4900030e 4849465f ..HIF_PCI...HIF_ + 26b50: 4e554d00 040e4849 465f4e4f 4e450005 NUM...HIF_NONE.. + 26b60: 0009415f 484f5354 49460000 0004f106 ..A_HOSTIF...... + 26b70: 00000540 01030000 054e0400 06000003 ...@.....N...... + 26b80: 3c010300 00055b04 00060000 01980103 <.....[......... + 26b90: 00000568 0400076d 6973635f 61706900 ...h...misc_api. + 26ba0: 24000006 58085f73 79737465 6d5f7265 $...X._system_re + 26bb0: 73657400 00000117 02230008 5f6d6163 set......#.._mac + 26bc0: 5f726573 65740000 00011702 2304085f _reset......#.._ + 26bd0: 61737366 61696c00 000004d4 02230808 assfail......#.. + 26be0: 5f6d6973 616c6967 6e65645f 6c6f6164 _misaligned_load + 26bf0: 5f68616e 646c6572 00000004 d402230c _handler......#. + 26c00: 085f7265 706f7274 5f666169 6c757265 ._report_failure + 26c10: 5f746f5f 686f7374 00000004 dd022310 _to_host......#. + 26c20: 085f7461 72676574 5f69645f 67657400 ._target_id_get. + 26c30: 000004ea 02231408 5f69735f 686f7374 .....#.._is_host + 26c40: 5f707265 73656e74 00000005 54022318 _present....T.#. + 26c50: 085f6b62 68697400 00000561 02231c08 ._kbhit....a.#.. + 26c60: 5f726f6d 5f766572 73696f6e 5f676574 _rom_version_get + 26c70: 00000005 6e022320 00060000 03810103 ....n.# ........ + 26c80: 00000658 04000600 00038101 03000006 ...X............ + 26c90: 65040006 0000011e 01030000 06720400 e............r.. + 26ca0: 06000001 1e010300 00067f04 00060000 ................ + 26cb0: 011e0103 0000068c 04000773 7472696e ...........strin + 26cc0: 675f6170 69001800 00071208 5f737472 g_api......._str + 26cd0: 696e675f 696e6974 00000001 17022300 ing_init......#. + 26ce0: 085f7374 72637079 00000006 5e022304 ._strcpy....^.#. + 26cf0: 085f7374 726e6370 79000000 066b0223 ._strncpy....k.# + 26d00: 08085f73 74726c65 6e000000 06780223 .._strlen....x.# + 26d10: 0c085f73 7472636d 70000000 06850223 .._strcmp......# + 26d20: 10085f73 74726e63 6d700000 00069202 .._strncmp...... + 26d30: 2314000f 00000416 14000007 1f100400 #............... + 26d40: 095f415f 54494d45 525f5350 41434500 ._A_TIMER_SPACE. + 26d50: 00000712 09415f74 696d6572 5f740000 .....A_timer_t.. + 26d60: 00071f03 00000733 04000201 03000007 .......3........ + 26d70: 49040002 01030000 07520400 09415f48 I........R...A_H + 26d80: 414e444c 45000000 04160201 09415f54 ANDLE........A_T + 26d90: 494d4552 5f46554e 43000000 07690300 IMER_FUNC....i.. + 26da0: 00076b04 00020103 00000784 04000774 ..k............t + 26db0: 696d6572 5f617069 00140000 0803085f imer_api......._ + 26dc0: 74696d65 725f696e 69740000 00011702 timer_init...... + 26dd0: 2300085f 74696d65 725f6172 6d000000 #.._timer_arm... + 26de0: 074b0223 04085f74 696d6572 5f646973 .K.#.._timer_dis + 26df0: 61726d00 00000754 02230808 5f74696d arm....T.#.._tim + 26e00: 65725f73 6574666e 00000007 8602230c er_setfn......#. + 26e10: 085f7469 6d65725f 72756e00 00000117 ._timer_run..... + 26e20: 02231000 09424f4f 4c45414e 00000001 .#...BOOLEAN.... + 26e30: 98060000 08030103 00000810 04000600 ................ + 26e40: 00080301 03000008 1d040006 00000803 ................ + 26e50: 01030000 082a0400 07726f6d 705f6170 .....*...romp_ap + 26e60: 69001000 00089c08 5f726f6d 705f696e i......._romp_in + 26e70: 69740000 00011702 2300085f 726f6d70 it......#.._romp + 26e80: 5f646f77 6e6c6f61 64000000 08160223 _download......# + 26e90: 04085f72 6f6d705f 696e7374 616c6c00 .._romp_install. + 26ea0: 00000823 02230808 5f726f6d 705f6465 ...#.#.._romp_de + 26eb0: 636f6465 00000008 3002230c 0007726f code....0.#...ro + 26ec0: 6d5f7061 7463685f 73740010 000008f8 m_patch_st...... + 26ed0: 08637263 31360000 00019802 2300086c .crc16......#..l + 26ee0: 656e0000 00019802 2302086c 645f6164 en......#..ld_ad + 26ef0: 64720000 0001bb02 23040866 756e5f61 dr......#..fun_a + 26f00: 64647200 000001bb 02230808 7066756e ddr......#..pfun + 26f10: 00000003 5202230c 00076565 705f7265 ....R.#...eep_re + 26f20: 6469725f 61646472 00040000 092a086f dir_addr.....*.o + 26f30: 66667365 74000000 01980223 00087369 ffset......#..si + 26f40: 7a650000 00019802 23020009 415f5549 ze......#...A_UI + 26f50: 4e543332 00000004 16060000 04130103 NT32............ + 26f60: 00000938 04000761 6c6c6f63 72616d5f ...8...allocram_ + 26f70: 61706900 0c000009 a908636d 6e6f735f api.......cmnos_ + 26f80: 616c6c6f 6372616d 5f696e69 74000000 allocram_init... + 26f90: 093e0223 0008636d 6e6f735f 616c6c6f .>.#..cmnos_allo + 26fa0: 6372616d 00000009 3e022304 08636d6e cram....>.#..cmn + 26fb0: 6f735f61 6c6c6f63 72616d5f 64656275 os_allocram_debu + 26fc0: 67000000 01170223 08000201 03000009 g......#........ + 26fd0: a9040009 415f5441 534b4c45 545f4655 ....A_TASKLET_FU + 26fe0: 4e430000 0009ab07 5f746173 6b6c6574 NC......_tasklet + 26ff0: 00100000 0a0a0866 756e6300 000009b2 .......func..... + 27000: 02230008 61726700 00000413 02230408 .#..arg......#.. + 27010: 73746174 65000000 011e0223 08086e65 state......#..ne + 27020: 78740000 000a0a02 230c0003 000009c6 xt......#....... + 27030: 04000300 0009c604 0009415f 7461736b ..........A_task + 27040: 6c65745f 74000000 09c60300 000a1804 let_t........... + 27050: 00020103 00000a30 04000201 0300000a .......0........ + 27060: 39040007 7461736b 6c65745f 61706900 9...tasklet_api. + 27070: 1400000a ce085f74 61736b6c 65745f69 ......_tasklet_i + 27080: 6e697400 00000117 02230008 5f746173 nit......#.._tas + 27090: 6b6c6574 5f696e69 745f7461 736b0000 klet_init_task.. + 270a0: 000a3202 2304085f 7461736b 6c65745f ..2.#.._tasklet_ + 270b0: 64697361 626c6500 00000a3b 02230808 disable....;.#.. + 270c0: 5f746173 6b6c6574 5f736368 6564756c _tasklet_schedul + 270d0: 65000000 0a3b0223 0c085f74 61736b6c e....;.#.._taskl + 270e0: 65745f72 756e0000 00011702 23100002 et_run......#... + 270f0: 01030000 0ace0400 06000009 2a010300 ............*... + 27100: 000ad704 00020103 00000ae4 04000763 ...............c + 27110: 6c6f636b 5f617069 00240000 0bc6085f lock_api.$....._ + 27120: 636c6f63 6b5f696e 69740000 000ad002 clock_init...... + 27130: 2300085f 636c6f63 6b726567 735f696e #.._clockregs_in + 27140: 69740000 00011702 2304085f 75617274 it......#.._uart + 27150: 5f667265 7175656e 63790000 000add02 _frequency...... + 27160: 2308085f 64656c61 795f7573 0000000a #.._delay_us.... + 27170: e602230c 085f776c 616e5f62 616e645f ..#.._wlan_band_ + 27180: 73657400 00000ae6 02231008 5f726566 set......#.._ref + 27190: 636c6b5f 73706565 645f6765 74000000 clk_speed_get... + 271a0: 0add0223 14085f6d 696c6c69 7365636f ...#.._milliseco + 271b0: 6e647300 00000add 02231808 5f737973 nds......#.._sys + 271c0: 636c6b5f 6368616e 67650000 00011702 clk_change...... + 271d0: 231c085f 636c6f63 6b5f7469 636b0000 #.._clock_tick.. + 271e0: 00011702 23200006 000001bb 01030000 ....# .......... + 271f0: 0bc60400 09415f6f 6c645f69 6e74725f .....A_old_intr_ + 27200: 74000000 01bb0600 000bd301 0300000b t............... + 27210: e5040002 01030000 0bf20400 02010300 ................ + 27220: 000bfb04 00060000 01bb0103 00000c04 ................ + 27230: 04000941 5f697372 5f740000 000c0a02 ...A_isr_t...... + 27240: 01030000 0c1e0400 06000004 16010300 ................ + 27250: 000c2704 00020103 00000c34 04000769 ..'........4...i + 27260: 6e74725f 61706900 2c00000d 56085f69 ntr_api.,...V._i + 27270: 6e74725f 696e6974 00000001 17022300 ntr_init......#. + 27280: 085f696e 74725f69 6e766f6b 655f6973 ._intr_invoke_is + 27290: 72000000 0bcc0223 04085f69 6e74725f r......#.._intr_ + 272a0: 64697361 626c6500 00000beb 02230808 disable......#.. + 272b0: 5f696e74 725f7265 73746f72 65000000 _intr_restore... + 272c0: 0bf40223 0c085f69 6e74725f 6d61736b ...#.._intr_mask + 272d0: 5f696e75 6d000000 0bfd0223 10085f69 _inum......#.._i + 272e0: 6e74725f 756e6d61 736b5f69 6e756d00 ntr_unmask_inum. + 272f0: 00000bfd 02231408 5f696e74 725f6174 .....#.._intr_at + 27300: 74616368 5f697372 0000000c 20022318 tach_isr.... .#. + 27310: 085f6765 745f696e 7472656e 61626c65 ._get_intrenable + 27320: 0000000c 2d02231c 085f7365 745f696e ....-.#.._set_in + 27330: 7472656e 61626c65 0000000c 36022320 trenable....6.# + 27340: 085f6765 745f696e 74727065 6e64696e ._get_intrpendin + 27350: 67000000 0c2d0223 24085f75 6e626c6f g....-.#$._unblo + 27360: 636b5f61 6c6c5f69 6e74726c 766c0000 ck_all_intrlvl.. + 27370: 00011702 23280011 0400000d 7c087469 ....#(......|.ti + 27380: 6d656f75 74000000 01bb0223 00086163 meout......#..ac + 27390: 74696f6e 00000001 bb022300 00120800 tion......#..... + 273a0: 000d9708 636d6400 000001bb 02230013 ....cmd......#.. + 273b0: 00000d56 02230400 09545f57 44545f43 ...V.#...T_WDT_C + 273c0: 4d440000 000d7c02 01030000 0da60400 MD....|......... + 273d0: 14040000 0dfc0e45 4e554d5f 5744545f .......ENUM_WDT_ + 273e0: 424f4f54 00010e45 4e554d5f 434f4c44 BOOT...ENUM_COLD + 273f0: 5f424f4f 5400020e 454e554d 5f535553 _BOOT...ENUM_SUS + 27400: 505f424f 4f540003 0e454e55 4d5f554e P_BOOT...ENUM_UN + 27410: 4b4e4f57 4e5f424f 4f540004 0009545f KNOWN_BOOT....T_ + 27420: 424f4f54 5f545950 45000000 0daf0600 BOOT_TYPE....... + 27430: 000dfc01 0300000e 0d040007 7764745f ............wdt_ + 27440: 61706900 1c00000e b1085f77 64745f69 api......._wdt_i + 27450: 6e697400 00000117 02230008 5f776474 nit......#.._wdt + 27460: 5f656e61 626c6500 00000117 02230408 _enable......#.. + 27470: 5f776474 5f646973 61626c65 00000001 _wdt_disable.... + 27480: 17022308 085f7764 745f7365 74000000 ..#.._wdt_set... + 27490: 0da80223 0c085f77 64745f74 61736b00 ...#.._wdt_task. + 274a0: 00000117 02231008 5f776474 5f726573 .....#.._wdt_res + 274b0: 65740000 00011702 2314085f 7764745f et......#.._wdt_ + 274c0: 6c617374 5f626f6f 74000000 0e130223 last_boot......# + 274d0: 18001404 00000f18 0e524554 5f535543 .........RET_SUC + 274e0: 43455353 00000e52 45545f4e 4f545f49 CESS...RET_NOT_I + 274f0: 4e495400 010e5245 545f4e4f 545f4558 NIT...RET_NOT_EX + 27500: 49535400 020e5245 545f4545 505f434f IST...RET_EEP_CO + 27510: 52525550 5400030e 5245545f 4545505f RRUPT...RET_EEP_ + 27520: 4f564552 464c4f57 00040e52 45545f55 OVERFLOW...RET_U + 27530: 4e4b4e4f 574e0005 0009545f 4545505f NKNOWN....T_EEP_ + 27540: 52455400 00000eb1 03000001 98040006 RET............. + 27550: 00000f18 01030000 0f2e0400 0600000f ................ + 27560: 18010300 000f3b04 00076565 705f6170 ......;...eep_ap + 27570: 69001000 000fa408 5f656570 5f696e69 i......._eep_ini + 27580: 74000000 01170223 00085f65 65705f72 t......#.._eep_r + 27590: 65616400 00000f34 02230408 5f656570 ead....4.#.._eep + 275a0: 5f777269 74650000 000f3402 2308085f _write....4.#.._ + 275b0: 6565705f 69735f65 78697374 0000000f eep_is_exist.... + 275c0: 4102230c 00077573 625f6170 69007000 A.#...usb_api.p. + 275d0: 00125108 5f757362 5f696e69 74000000 ..Q._usb_init... + 275e0: 01170223 00085f75 73625f72 6f6d5f74 ...#.._usb_rom_t + 275f0: 61736b00 00000117 02230408 5f757362 ask......#.._usb + 27600: 5f66775f 7461736b 00000001 17022308 _fw_task......#. + 27610: 085f7573 625f696e 69745f70 68790000 ._usb_init_phy.. + 27620: 00011702 230c085f 7573625f 6570305f ....#.._usb_ep0_ + 27630: 73657475 70000000 01170223 10085f75 setup......#.._u + 27640: 73625f65 70305f74 78000000 01170223 sb_ep0_tx......# + 27650: 14085f75 73625f65 70305f72 78000000 .._usb_ep0_rx... + 27660: 01170223 18085f75 73625f67 65745f69 ...#.._usb_get_i + 27670: 6e746572 66616365 00000008 2302231c nterface....#.#. + 27680: 085f7573 625f7365 745f696e 74657266 ._usb_set_interf + 27690: 61636500 00000823 02232008 5f757362 ace....#.# ._usb + 276a0: 5f676574 5f636f6e 66696775 72617469 _get_configurati + 276b0: 6f6e0000 00082302 2324085f 7573625f on....#.#$._usb_ + 276c0: 7365745f 636f6e66 69677572 6174696f set_configuratio + 276d0: 6e000000 08230223 28085f75 73625f73 n....#.#(._usb_s + 276e0: 74616e64 6172645f 636d6400 00000823 tandard_cmd....# + 276f0: 02232c08 5f757362 5f76656e 646f725f .#,._usb_vendor_ + 27700: 636d6400 00000117 02233008 5f757362 cmd......#0._usb + 27710: 5f706f77 65725f6f 66660000 00011702 _power_off...... + 27720: 2334085f 7573625f 72657365 745f6669 #4._usb_reset_fi + 27730: 666f0000 00011702 2338085f 7573625f fo......#8._usb_ + 27740: 67656e5f 77647400 00000117 02233c08 gen_wdt......#<. + 27750: 5f757362 5f6a756d 705f626f 6f740000 _usb_jump_boot.. + 27760: 00011702 2340085f 7573625f 636c725f ....#@._usb_clr_ + 27770: 66656174 75726500 00000823 02234408 feature....#.#D. + 27780: 5f757362 5f736574 5f666561 74757265 _usb_set_feature + 27790: 00000008 23022348 085f7573 625f7365 ....#.#H._usb_se + 277a0: 745f6164 64726573 73000000 08230223 t_address....#.# + 277b0: 4c085f75 73625f67 65745f64 65736372 L._usb_get_descr + 277c0: 6970746f 72000000 08230223 50085f75 iptor....#.#P._u + 277d0: 73625f67 65745f73 74617475 73000000 sb_get_status... + 277e0: 08230223 54085f75 73625f73 65747570 .#.#T._usb_setup + 277f0: 5f646573 63000000 01170223 58085f75 _desc......#X._u + 27800: 73625f72 65675f6f 75740000 00011702 sb_reg_out...... + 27810: 235c085f 7573625f 73746174 75735f69 #\._usb_status_i + 27820: 6e000000 01170223 60085f75 73625f65 n......#`._usb_e + 27830: 70305f74 785f6461 74610000 00011702 p0_tx_data...... + 27840: 2364085f 7573625f 6570305f 72785f64 #d._usb_ep0_rx_d + 27850: 61746100 00000117 02236808 5f757362 ata......#h._usb + 27860: 5f636c6b 5f696e69 74000000 01170223 _clk_init......# + 27870: 6c00075f 56444553 43002400 0012dd08 l.._VDESC.$..... + 27880: 6e657874 5f646573 63000000 12dd0223 next_desc......# + 27890: 00086275 665f6164 64720000 0012f102 ..buf_addr...... + 278a0: 23040862 75665f73 697a6500 000012f8 #..buf_size..... + 278b0: 02230808 64617461 5f6f6666 73657400 .#..data_offset. + 278c0: 000012f8 02230a08 64617461 5f73697a .....#..data_siz + 278d0: 65000000 12f80223 0c08636f 6e74726f e......#..contro + 278e0: 6c000000 12f80223 0e086877 5f646573 l......#..hw_des + 278f0: 635f6275 66000000 13060223 10000300 c_buf......#.... + 27900: 00125104 0009415f 55494e54 38000000 ..Q...A_UINT8... + 27910: 032b0300 0012e404 0009415f 55494e54 .+........A_UINT + 27920: 31360000 0001820f 000012e4 14000013 16.............. + 27930: 13101300 03000012 51040009 56444553 ........Q...VDES + 27940: 43000000 12510300 00131a04 00060000 C....Q.......... + 27950: 13250103 0000132c 04000600 0012f101 .%.....,........ + 27960: 03000013 39040002 01030000 13460400 ....9........F.. + 27970: 07766465 73635f61 70690014 000013be .vdesc_api...... + 27980: 085f696e 69740000 000ae602 2300085f ._init......#.._ + 27990: 616c6c6f 635f7664 65736300 00001332 alloc_vdesc....2 + 279a0: 02230408 5f676574 5f68775f 64657363 .#.._get_hw_desc + 279b0: 00000013 3f022308 085f7377 61705f76 ....?.#.._swap_v + 279c0: 64657363 00000013 4802230c 08705265 desc....H.#..pRe + 279d0: 73657276 65640000 00041302 23100007 served......#... + 279e0: 5f564255 46002000 00141e08 64657363 _VBUF. .....desc + 279f0: 5f6c6973 74000000 13250223 00086e65 _list....%.#..ne + 27a00: 78745f62 75660000 00141e02 23040862 xt_buf......#..b + 27a10: 75665f6c 656e6774 68000000 12f80223 uf_length......# + 27a20: 08087265 73657276 65640000 00142502 ..reserved....%. + 27a30: 230a0863 74780000 00130602 230c0003 #..ctx......#... + 27a40: 000013be 04000f00 0012e402 00001432 ...............2 + 27a50: 10010003 000013be 04000956 42554600 ...........VBUF. + 27a60: 000013be 03000014 39040006 00001443 ........9......C + 27a70: 01030000 144a0400 06000014 43010300 .....J......C... + 27a80: 00145704 00020103 00001464 04000776 ..W........d...v + 27a90: 6275665f 61706900 14000014 e2085f69 buf_api......._i + 27aa0: 6e697400 00000ae6 02230008 5f616c6c nit......#.._all + 27ab0: 6f635f76 62756600 00001450 02230408 oc_vbuf....P.#.. + 27ac0: 5f616c6c 6f635f76 6275665f 77697468 _alloc_vbuf_with + 27ad0: 5f73697a 65000000 145d0223 08085f66 _size....].#.._f + 27ae0: 7265655f 76627566 00000014 6602230c ree_vbuf....f.#. + 27af0: 08705265 73657276 65640000 00041302 .pReserved...... + 27b00: 23100007 5f5f6164 665f6465 76696365 #...__adf_device + 27b10: 00040000 15040864 756d6d79 00000001 .......dummy.... + 27b20: 1e022300 00030000 092a0400 075f5f61 ..#......*...__a + 27b30: 64665f64 6d615f6d 6170000c 0000154b df_dma_map.....K + 27b40: 08627566 00000014 43022300 0864735f .buf....C.#..ds_ + 27b50: 61646472 00000015 04022304 0864735f addr......#..ds_ + 27b60: 6c656e00 000012f8 02230800 120c0000 len......#...... + 27b70: 1585085f 5f76615f 73746b00 00000381 ...__va_stk..... + 27b80: 02230008 5f5f7661 5f726567 00000003 .#..__va_reg.... + 27b90: 81022304 085f5f76 615f6e64 78000000 ..#..__va_ndx... + 27ba0: 011e0223 0800095f 5f616466 5f6f735f ...#...__adf_os_ + 27bb0: 646d615f 61646472 5f740000 00092a09 dma_addr_t....*. + 27bc0: 6164665f 6f735f64 6d615f61 6464725f adf_os_dma_addr_ + 27bd0: 74000000 1585095f 5f616466 5f6f735f t......__adf_os_ + 27be0: 646d615f 73697a65 5f740000 00092a09 dma_size_t....*. + 27bf0: 6164665f 6f735f64 6d615f73 697a655f adf_os_dma_size_ + 27c00: 74000000 15b5075f 5f646d61 5f736567 t......__dma_seg + 27c10: 73000800 00161108 70616464 72000000 s.......paddr... + 27c20: 159e0223 00086c65 6e000000 15ce0223 ...#..len......# + 27c30: 0400095f 5f615f75 696e7433 325f7400 ...__a_uint32_t. + 27c40: 0000092a 09615f75 696e7433 325f7400 ...*.a_uint32_t. + 27c50: 00001611 0f000015 e5080000 16401000 .............@.. + 27c60: 00076164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 27c70: 696e666f 000c0000 1679086e 73656773 info.....y.nsegs + 27c80: 00000016 23022300 08646d61 5f736567 ....#.#..dma_seg + 27c90: 73000000 16330223 0400095f 5f615f75 s....3.#...__a_u + 27ca0: 696e7438 5f740000 0012e409 615f7569 int8_t......a_ui + 27cb0: 6e74385f 74000000 16790300 00168a04 nt8_t....y...... + 27cc0: 00075f5f 73675f73 65677300 08000016 ..__sg_segs..... + 27cd0: cb087661 64647200 00001699 02230008 ..vaddr......#.. + 27ce0: 6c656e00 00001623 02230400 0f000016 len....#.#...... + 27cf0: a0200000 16d81003 00076164 665f6f73 . ........adf_os + 27d00: 5f73676c 69737400 24000017 0b086e73 _sglist.$.....ns + 27d10: 65677300 00001623 02230008 73675f73 egs....#.#..sg_s + 27d20: 65677300 000016cb 02230400 12100000 egs......#...... + 27d30: 17540876 656e646f 72000000 16230223 .T.vendor....#.# + 27d40: 00086465 76696365 00000016 23022304 ..device....#.#. + 27d50: 08737562 76656e64 6f720000 00162302 .subvendor....#. + 27d60: 23080873 75626465 76696365 00000016 #..subdevice.... + 27d70: 2302230c 00046c6f 6e67206c 6f6e6720 #.#...long long + 27d80: 756e7369 676e6564 20696e74 00070809 unsigned int.... + 27d90: 415f5549 4e543634 00000017 54095f5f A_UINT64....T.__ + 27da0: 615f7569 6e743634 5f740000 00176e09 a_uint64_t....n. + 27db0: 615f7569 6e743634 5f740000 00177c14 a_uint64_t....|. + 27dc0: 04000017 da0e4144 465f4f53 5f524553 ......ADF_OS_RES + 27dd0: 4f555243 455f5459 50455f4d 454d0000 OURCE_TYPE_MEM.. + 27de0: 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 27df0: 5f545950 455f494f 00010009 6164665f _TYPE_IO....adf_ + 27e00: 6f735f72 65736f75 7263655f 74797065 os_resource_type + 27e10: 5f740000 00179e12 18000018 24087374 _t..........$.st + 27e20: 61727400 0000178e 02230008 656e6400 art......#..end. + 27e30: 0000178e 02230808 74797065 00000017 .....#..type.... + 27e40: da022310 00096164 665f6f73 5f706369 ..#...adf_os_pci + 27e50: 5f646576 5f69645f 74000000 170b0300 _dev_id_t....... + 27e60: 00182404 00110400 00186308 70636900 ..$.......c.pci. + 27e70: 0000183d 02230008 72617700 00000413 ...=.#..raw..... + 27e80: 02230000 11100000 18820870 63690000 .#.........pci.. + 27e90: 00182402 23000872 61770000 00041302 ..$.#..raw...... + 27ea0: 23000009 6164665f 6472765f 68616e64 #...adf_drv_hand + 27eb0: 6c655f74 00000004 13096164 665f6f73 le_t......adf_os + 27ec0: 5f726573 6f757263 655f7400 000017f6 _resource_t..... + 27ed0: 03000018 98040009 6164665f 6f735f61 ........adf_os_a + 27ee0: 74746163 685f6461 74615f74 00000018 ttach_data_t.... + 27ef0: 63030000 18b60400 03000014 e2040009 c............... + 27f00: 5f5f6164 665f6f73 5f646576 6963655f __adf_os_device_ + 27f10: 74000000 18d70961 64665f6f 735f6465 t......adf_os_de + 27f20: 76696365 5f740000 0018de06 00001882 vice_t.......... + 27f30: 01030000 190a0400 02010300 00191704 ................ + 27f40: 00096164 665f6f73 5f706d5f 74000000 ..adf_os_pm_t... + 27f50: 04130201 03000019 31040014 04000019 ........1....... + 27f60: 710e4144 465f4f53 5f425553 5f545950 q.ADF_OS_BUS_TYP + 27f70: 455f5043 4900010e 4144465f 4f535f42 E_PCI...ADF_OS_B + 27f80: 55535f54 5950455f 47454e45 52494300 US_TYPE_GENERIC. + 27f90: 02000961 64665f6f 735f6275 735f7479 ...adf_os_bus_ty + 27fa0: 70655f74 00000019 3a096164 665f6f73 pe_t....:.adf_os + 27fb0: 5f627573 5f726567 5f646174 615f7400 _bus_reg_data_t. + 27fc0: 00001844 03000003 2b040007 5f616466 ...D....+..._adf + 27fd0: 5f647276 5f696e66 6f002000 001a4e08 _drv_info. ...N. + 27fe0: 6472765f 61747461 63680000 00191002 drv_attach...... + 27ff0: 23000864 72765f64 65746163 68000000 #..drv_detach... + 28000: 19190223 04086472 765f7375 7370656e ...#..drv_suspen + 28010: 64000000 19330223 08086472 765f7265 d....3.#..drv_re + 28020: 73756d65 00000019 1902230c 08627573 sume......#..bus + 28030: 5f747970 65000000 19710223 10086275 _type....q.#..bu + 28040: 735f6461 74610000 00198802 2314086d s_data......#..m + 28050: 6f645f6e 616d6500 000019a3 02231808 od_name......#.. + 28060: 69666e61 6d650000 0019a302 231c0009 ifname......#... + 28070: 6164665f 6f735f68 616e646c 655f7400 adf_os_handle_t. + 28080: 00000413 03000016 79040002 01020109 ........y....... + 28090: 5f5f6164 665f6f73 5f73697a 655f7400 __adf_os_size_t. + 280a0: 00000416 14040000 1a9d0e41 5f46414c ...........A_FAL + 280b0: 53450000 0e415f54 52554500 01000961 SE...A_TRUE....a + 280c0: 5f626f6f 6c5f7400 00001a83 03000015 _bool_t......... + 280d0: 0b040009 5f5f6164 665f6f73 5f646d61 ....__adf_os_dma + 280e0: 5f6d6170 5f740000 001aab02 010d6164 _map_t........ad + 280f0: 665f6f73 5f636163 68655f73 796e6300 f_os_cache_sync. + 28100: 0400001b 350e4144 465f5359 4e435f50 ....5.ADF_SYNC_P + 28110: 52455245 41440000 0e414446 5f53594e REREAD...ADF_SYN + 28120: 435f5052 45575249 54450002 0e414446 C_PREWRITE...ADF + 28130: 5f53594e 435f504f 53545245 41440001 _SYNC_POSTREAD.. + 28140: 0e414446 5f53594e 435f504f 53545752 .ADF_SYNC_POSTWR + 28150: 49544500 03000961 64665f6f 735f6361 ITE....adf_os_ca + 28160: 6368655f 73796e63 5f740000 001acc02 che_sync_t...... + 28170: 01096164 665f6f73 5f73697a 655f7400 ..adf_os_size_t. + 28180: 00001a6e 0600001b 50010961 64665f6f ...n....P..adf_o + 28190: 735f646d 615f6d61 705f7400 00001ab2 s_dma_map_t..... + 281a0: 0300001b 69040006 00000413 01030000 ....i........... + 281b0: 1ab20400 06000004 13010201 06000015 ................ + 281c0: 9e010201 0473686f 72742069 6e740005 .....short int.. + 281d0: 0209415f 494e5431 36000000 1ba3095f ..A_INT16......_ + 281e0: 5f615f69 6e743136 5f740000 001bb009 _a_int16_t...... + 281f0: 615f696e 7431365f 74000000 1bbd0473 a_int16_t......s + 28200: 69676e65 64206368 61720005 0109415f igned char....A_ + 28210: 494e5438 0000001b dd095f5f 615f696e INT8......__a_in + 28220: 74385f74 0000001b ec09615f 696e7438 t8_t......a_int8 + 28230: 5f740000 001bf812 0c00001c 6f087375 _t..........o.su + 28240: 70706f72 74656400 00001623 02230008 pported....#.#.. + 28250: 61647665 7274697a 65640000 00162302 advertized....#. + 28260: 23040873 70656564 0000001b ce022308 #..speed......#. + 28270: 08647570 6c657800 00001c08 02230a08 .duplex......#.. + 28280: 6175746f 6e656700 0000168a 02230b00 autoneg......#.. + 28290: 0f000016 8a060000 1c7c1005 00076164 .........|....ad + 282a0: 665f6e65 745f6574 68616464 72000600 f_net_ethaddr... + 282b0: 001ca008 61646472 0000001c 6f022300 ....addr....o.#. + 282c0: 00095f5f 615f7569 6e743136 5f740000 ..__a_uint16_t.. + 282d0: 0012f809 615f7569 6e743136 5f740000 ....a_uint16_t.. + 282e0: 001ca012 0e00001d 04086574 6865725f ..........ether_ + 282f0: 64686f73 74000000 1c6f0223 00086574 dhost....o.#..et + 28300: 6865725f 73686f73 74000000 1c6f0223 her_shost....o.# + 28310: 06086574 6865725f 74797065 0000001c ..ether_type.... + 28320: b202230c 00121400 001dc515 69705f76 ..#.........ip_v + 28330: 65727369 6f6e0000 00168a01 00040223 ersion.........# + 28340: 00156970 5f686c00 0000168a 01040402 ..ip_hl......... + 28350: 23000869 705f746f 73000000 168a0223 #..ip_tos......# + 28360: 01086970 5f6c656e 0000001c b2022302 ..ip_len......#. + 28370: 0869705f 69640000 001cb202 23040869 .ip_id......#..i + 28380: 705f6672 61675f6f 66660000 001cb202 p_frag_off...... + 28390: 23060869 705f7474 6c000000 168a0223 #..ip_ttl......# + 283a0: 08086970 5f70726f 746f0000 00168a02 ..ip_proto...... + 283b0: 23090869 705f6368 65636b00 00001cb2 #..ip_check..... + 283c0: 02230a08 69705f73 61646472 00000016 .#..ip_saddr.... + 283d0: 2302230c 0869705f 64616464 72000000 #.#..ip_daddr... + 283e0: 16230223 10000761 64665f6e 65745f76 .#.#...adf_net_v + 283f0: 6c616e68 64720004 00001e17 08747069 lanhdr.......tpi + 28400: 64000000 1cb20223 00157072 696f0000 d......#..prio.. + 28410: 00168a01 00030223 02156366 69000000 .......#..cfi... + 28420: 168a0103 01022302 15766964 0000001c ......#..vid.... + 28430: b202040c 02230200 07616466 5f6e6574 .....#...adf_net + 28440: 5f766964 00020000 1e481572 65730000 _vid.....H.res.. + 28450: 00168a01 00040223 00157661 6c000000 .......#..val... + 28460: 1cb20204 0c022300 00120c00 001e8408 ......#......... + 28470: 72785f62 75667369 7a650000 00162302 rx_bufsize....#. + 28480: 23000872 785f6e64 65736300 00001623 #..rx_ndesc....# + 28490: 02230408 74785f6e 64657363 00000016 .#..tx_ndesc.... + 284a0: 23022308 00120800 001eaa08 706f6c6c #.#.........poll + 284b0: 65640000 001a9d02 23000870 6f6c6c5f ed......#..poll_ + 284c0: 77740000 00162302 2304000f 0000168a wt....#.#....... + 284d0: 4000001e b7103f00 12460000 1edf0869 @.....?..F.....i + 284e0: 665f6e61 6d650000 001eaa02 23000864 f_name......#..d + 284f0: 65765f61 64647200 00001c6f 02234000 ev_addr....o.#@. + 28500: 14040000 1f160e41 44465f4f 535f444d .......ADF_OS_DM + 28510: 415f4d41 534b5f33 32424954 00000e41 A_MASK_32BIT...A + 28520: 44465f4f 535f444d 415f4d41 534b5f36 DF_OS_DMA_MASK_6 + 28530: 34424954 00010009 6164665f 6f735f64 4BIT....adf_os_d + 28540: 6d615f6d 61736b5f 74000000 1edf0761 ma_mask_t......a + 28550: 64665f64 6d615f69 6e666f00 0800001f df_dma_info..... + 28560: 6308646d 615f6d61 736b0000 001f1602 c.dma_mask...... + 28570: 23000873 675f6e73 65677300 00001623 #..sg_nsegs....# + 28580: 02230400 14040000 1fb90e41 44465f4e .#.........ADF_N + 28590: 45545f43 4b53554d 5f4e4f4e 4500000e ET_CKSUM_NONE... + 285a0: 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 285b0: 505f5544 505f4950 76340001 0e414446 P_UDP_IPv4...ADF + 285c0: 5f4e4554 5f434b53 554d5f54 43505f55 _NET_CKSUM_TCP_U + 285d0: 44505f49 50763600 02000961 64665f6e DP_IPv6....adf_n + 285e0: 65745f63 6b73756d 5f747970 655f7400 et_cksum_type_t. + 285f0: 00001f63 12080000 1ffc0874 785f636b ...c.......tx_ck + 28600: 73756d00 00001fb9 02230008 72785f63 sum......#..rx_c + 28610: 6b73756d 0000001f b9022304 00096164 ksum......#...ad + 28620: 665f6e65 745f636b 73756d5f 696e666f f_net_cksum_info + 28630: 5f740000 001fd314 04000020 550e4144 _t......... U.AD + 28640: 465f4e45 545f5453 4f5f4e4f 4e450000 F_NET_TSO_NONE.. + 28650: 0e414446 5f4e4554 5f54534f 5f495056 .ADF_NET_TSO_IPV + 28660: 3400010e 4144465f 4e45545f 54534f5f 4...ADF_NET_TSO_ + 28670: 414c4c00 02000961 64665f6e 65745f74 ALL....adf_net_t + 28680: 736f5f74 7970655f 74000000 20161210 so_type_t... ... + 28690: 000020a9 08636b73 756d5f63 61700000 .. ..cksum_cap.. + 286a0: 001ffc02 23000874 736f0000 00205502 ....#..tso... U. + 286b0: 23080876 6c616e5f 73757070 6f727465 #..vlan_supporte + 286c0: 64000000 168a0223 0c001220 00002142 d......#... ..!B + 286d0: 0874785f 7061636b 65747300 00001623 .tx_packets....# + 286e0: 02230008 72785f70 61636b65 74730000 .#..rx_packets.. + 286f0: 00162302 23040874 785f6279 74657300 ..#.#..tx_bytes. + 28700: 00001623 02230808 72785f62 79746573 ...#.#..rx_bytes + 28710: 00000016 2302230c 0874785f 64726f70 ....#.#..tx_drop + 28720: 70656400 00001623 02231008 72785f64 ped....#.#..rx_d + 28730: 726f7070 65640000 00162302 23140872 ropped....#.#..r + 28740: 785f6572 726f7273 00000016 23022318 x_errors....#.#. + 28750: 0874785f 6572726f 72730000 00162302 .tx_errors....#. + 28760: 231c0009 6164665f 6e65745f 65746861 #...adf_net_etha + 28770: 6464725f 74000000 1c7c1600 00214203 ddr_t....|...!B. + 28780: 00000021 67107f00 17616466 5f6e6574 ...!g....adf_net + 28790: 5f636d64 5f6d6361 64647200 03040000 _cmd_mcaddr..... + 287a0: 219e086e 656c656d 00000016 23022300 !..nelem....#.#. + 287b0: 086d6361 73740000 00215902 23040009 .mcast...!Y.#... + 287c0: 6164665f 6e65745f 636d645f 6c696e6b adf_net_cmd_link + 287d0: 5f696e66 6f5f7400 00001c16 09616466 _info_t......adf + 287e0: 5f6e6574 5f636d64 5f706f6c 6c5f696e _net_cmd_poll_in + 287f0: 666f5f74 0000001e 84096164 665f6e65 fo_t......adf_ne + 28800: 745f636d 645f636b 73756d5f 696e666f t_cmd_cksum_info + 28810: 5f740000 001ffc09 6164665f 6e65745f _t......adf_net_ + 28820: 636d645f 72696e67 5f696e66 6f5f7400 cmd_ring_info_t. + 28830: 00001e48 09616466 5f6e6574 5f636d64 ...H.adf_net_cmd + 28840: 5f646d61 5f696e66 6f5f7400 00001f2d _dma_info_t....- + 28850: 09616466 5f6e6574 5f636d64 5f766964 .adf_net_cmd_vid + 28860: 5f740000 001cb209 6164665f 6e65745f _t......adf_net_ + 28870: 636d645f 6f66666c 6f61645f 6361705f cmd_offload_cap_ + 28880: 74000000 206d0961 64665f6e 65745f63 t... m.adf_net_c + 28890: 6d645f73 74617473 5f740000 0020a909 md_stats_t... .. + 288a0: 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad + 288b0: 64725f74 00000021 670d6164 665f6e65 dr_t...!g.adf_ne + 288c0: 745f636d 645f6d63 6173745f 63617000 t_cmd_mcast_cap. + 288d0: 04000022 e00e4144 465f4e45 545f4d43 ..."..ADF_NET_MC + 288e0: 4153545f 53555000 000e4144 465f4e45 AST_SUP...ADF_NE + 288f0: 545f4d43 4153545f 4e4f5453 55500001 T_MCAST_NOTSUP.. + 28900: 00096164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 28910: 6173745f 6361705f 74000000 22981803 ast_cap_t..."... + 28920: 04000023 b2086c69 6e6b5f69 6e666f00 ...#..link_info. + 28930: 0000219e 02230008 706f6c6c 5f696e66 ..!..#..poll_inf + 28940: 6f000000 21bb0223 0008636b 73756d5f o...!..#..cksum_ + 28950: 696e666f 00000021 d8022300 0872696e info...!..#..rin + 28960: 675f696e 666f0000 0021f602 23000864 g_info...!..#..d + 28970: 6d615f69 6e666f00 00002213 02230008 ma_info..."..#.. + 28980: 76696400 0000222f 02230008 6f66666c vid..."/.#..offl + 28990: 6f61645f 63617000 00002246 02230008 oad_cap..."F.#.. + 289a0: 73746174 73000000 22650223 00086d63 stats..."e.#..mc + 289b0: 6173745f 696e666f 00000022 7e022300 ast_info..."~.#. + 289c0: 086d6361 73745f63 61700000 0022e002 .mcast_cap...".. + 289d0: 23000014 04000024 090e4144 465f4e42 #......$..ADF_NB + 289e0: 55465f52 585f434b 53554d5f 4e4f4e45 UF_RX_CKSUM_NONE + 289f0: 00000e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 28a00: 4b53554d 5f485700 010e4144 465f4e42 KSUM_HW...ADF_NB + 28a10: 55465f52 585f434b 53554d5f 554e4e45 UF_RX_CKSUM_UNNE + 28a20: 43455353 41525900 02000961 64665f6e CESSARY....adf_n + 28a30: 6275665f 72785f63 6b73756d 5f747970 buf_rx_cksum_typ + 28a40: 655f7400 000023b2 12080000 24490872 e_t...#.....$I.r + 28a50: 6573756c 74000000 24090223 00087661 esult...$..#..va + 28a60: 6c000000 16230223 04001208 00002479 l....#.#......$y + 28a70: 08747970 65000000 20550223 00086d73 .type... U.#..ms + 28a80: 73000000 1cb20223 04086864 725f6f66 s......#..hdr_of + 28a90: 66000000 168a0223 0600075f 5f616466 f......#...__adf + 28aa0: 5f6e6275 665f7168 65616400 0c000024 _nbuf_qhead....$ + 28ab0: b8086865 61640000 00144302 23000874 ..head....C.#..t + 28ac0: 61696c00 00001443 02230408 716c656e ail....C.#..qlen + 28ad0: 00000016 23022308 00095f5f 6164665f ....#.#...__adf_ + 28ae0: 6e627566 5f740000 00144303 00001699 nbuf_t....C..... + 28af0: 04000300 00162304 00020106 00001325 ......#........% + 28b00: 01060000 16230106 00001699 01060000 .....#.......... + 28b10: 16990103 00001306 0400095f 5f616466 ...........__adf + 28b20: 5f6e6275 665f7168 6561645f 74000000 _nbuf_qhead_t... + 28b30: 2479095f 5f616466 5f6e6275 665f7175 $y.__adf_nbuf_qu + 28b40: 6575655f 74000000 24f90300 00251104 eue_t...$....%.. + 28b50: 00060000 24b80106 000024b8 01140400 ....$.....$..... + 28b60: 0026310e 415f5354 41545553 5f4f4b00 .&1.A_STATUS_OK. + 28b70: 000e415f 53544154 55535f46 41494c45 ..A_STATUS_FAILE + 28b80: 4400010e 415f5354 41545553 5f454e4f D...A_STATUS_ENO + 28b90: 454e5400 020e415f 53544154 55535f45 ENT...A_STATUS_E + 28ba0: 4e4f4d45 4d00030e 415f5354 41545553 NOMEM...A_STATUS + 28bb0: 5f45494e 56414c00 040e415f 53544154 _EINVAL...A_STAT + 28bc0: 55535f45 494e5052 4f475245 53530005 US_EINPROGRESS.. + 28bd0: 0e415f53 54415455 535f454e 4f545355 .A_STATUS_ENOTSU + 28be0: 50500006 0e415f53 54415455 535f4542 PP...A_STATUS_EB + 28bf0: 55535900 070e415f 53544154 55535f45 USY...A_STATUS_E + 28c00: 32424947 00080e41 5f535441 5455535f 2BIG...A_STATUS_ + 28c10: 45414444 524e4f54 41564149 4c00090e EADDRNOTAVAIL... + 28c20: 415f5354 41545553 5f454e58 494f000a A_STATUS_ENXIO.. + 28c30: 0e415f53 54415455 535f4546 41554c54 .A_STATUS_EFAULT + 28c40: 000b0e41 5f535441 5455535f 45494f00 ...A_STATUS_EIO. + 28c50: 0c000961 5f737461 7475735f 74000000 ...a_status_t... + 28c60: 253c0600 00263101 06000001 1e010201 %<...&1......... + 28c70: 09616466 5f6e6275 665f7400 000024b8 .adf_nbuf_t...$. + 28c80: 14040000 26960e41 44465f4f 535f444d ....&..ADF_OS_DM + 28c90: 415f544f 5f444556 49434500 000e4144 A_TO_DEVICE...AD + 28ca0: 465f4f53 5f444d41 5f46524f 4d5f4445 F_OS_DMA_FROM_DE + 28cb0: 56494345 00010009 6164665f 6f735f64 VICE....adf_os_d + 28cc0: 6d615f64 69725f74 00000026 5f060000 ma_dir_t...&_... + 28cd0: 26310102 01096164 665f6f73 5f646d61 &1....adf_os_dma + 28ce0: 6d61705f 696e666f 5f740000 00164003 map_info_t....@. + 28cf0: 000026b4 04000201 02010600 00264f01 ..&..........&O. + 28d00: 06000024 b8010201 02010600 00264f01 ...$.........&O. + 28d10: 06000024 b8010600 00264f01 06000024 ...$.....&O....$ + 28d20: b8010600 00264f01 02010201 06000016 .....&O......... + 28d30: 23010600 00169901 02010201 0600001b #............... + 28d40: 50010600 001a9d01 0600001a 9d010961 P..............a + 28d50: 64665f6f 735f7367 6c697374 5f740000 df_os_sglist_t.. + 28d60: 0016d803 0000272d 04000201 02010201 ......'-........ + 28d70: 06000016 99010961 64665f6e 6275665f .......adf_nbuf_ + 28d80: 71756575 655f7400 00002511 03000027 queue_t...%....' + 28d90: 55040002 01030000 24f90400 02010201 U.......$....... + 28da0: 02010600 00264f01 06000024 b8010600 .....&O....$.... + 28db0: 00162301 06000016 23010600 001a9d01 ..#.....#....... + 28dc0: 0600001a 9d010600 001fb901 06000016 ................ + 28dd0: 23010961 64665f6e 6275665f 72785f63 #..adf_nbuf_rx_c + 28de0: 6b73756d 5f740000 00242703 000027b1 ksum_t...$'...'. + 28df0: 04000201 02010961 64665f6e 6275665f .......adf_nbuf_ + 28e00: 74736f5f 74000000 24490300 0027d504 tso_t...$I...'.. + 28e10: 00020102 01096164 665f6e65 745f6861 ......adf_net_ha + 28e20: 6e646c65 5f740000 00041309 6164665f ndle_t......adf_ + 28e30: 6e65745f 766c616e 6864725f 74000000 net_vlanhdr_t... + 28e40: 1dc50300 00280a04 00060000 26310106 .....(......&1.. + 28e50: 00002631 01020102 01075f48 49465f43 ..&1......_HIF_C + 28e60: 4f4e4649 47000400 00285908 64756d6d ONFIG....(Y.dumm + 28e70: 79000000 011e0223 00000201 03000028 y......#.......( + 28e80: 59040002 01030000 28620400 075f4849 Y.......(b..._HI + 28e90: 465f4341 4c4c4241 434b000c 000028b7 F_CALLBACK....(. + 28ea0: 0873656e 645f6275 665f646f 6e650000 .send_buf_done.. + 28eb0: 00285b02 23000872 6563765f 62756600 .([.#..recv_buf. + 28ec0: 00002864 02230408 636f6e74 65787400 ..(d.#..context. + 28ed0: 00000413 02230800 09686966 5f68616e .....#...hif_han + 28ee0: 646c655f 74000000 04130948 49465f43 dle_t......HIF_C + 28ef0: 4f4e4649 47000000 28380300 0028c904 ONFIG...(8...(.. + 28f00: 00060000 28b70103 000028e0 04000201 ....(.....(..... + 28f10: 03000028 ed040009 4849465f 43414c4c ...(....HIF_CALL + 28f20: 4241434b 00000028 6b030000 28f60400 BACK...(k...(... + 28f30: 02010300 00290f04 00060000 011e0103 .....).......... + 28f40: 00002918 04000201 03000029 25040006 ..)........)%... + 28f50: 0000011e 01030000 292e0400 02010300 ........)....... + 28f60: 00293b04 00060000 011e0103 00002944 .);...........)D + 28f70: 04000201 03000029 51040007 6869665f .......)Q...hif_ + 28f80: 61706900 3800002a aa085f69 6e697400 api.8..*.._init. + 28f90: 000028e6 02230008 5f736875 74646f77 ..(..#.._shutdow + 28fa0: 6e000000 28ef0223 04085f72 65676973 n...(..#.._regis + 28fb0: 7465725f 63616c6c 6261636b 00000029 ter_callback...) + 28fc0: 11022308 085f6765 745f746f 74616c5f ..#.._get_total_ + 28fd0: 63726564 69745f63 6f756e74 00000029 credit_count...) + 28fe0: 1e02230c 085f7374 61727400 000028ef ..#.._start...(. + 28ff0: 02231008 5f636f6e 6669675f 70697065 .#.._config_pipe + 29000: 00000029 27022314 085f7365 6e645f62 ...)'.#.._send_b + 29010: 75666665 72000000 29340223 18085f72 uffer...)4.#.._r + 29020: 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. + 29030: 00293d02 231c085f 69735f70 6970655f .)=.#.._is_pipe_ + 29040: 73757070 6f727465 64000000 294a0223 supported...)J.# + 29050: 20085f67 65745f6d 61785f6d 73675f6c ._get_max_msg_l + 29060: 656e0000 00294a02 2324085f 6765745f en...)J.#$._get_ + 29070: 72657365 72766564 5f686561 64726f6f reserved_headroo + 29080: 6d000000 291e0223 28085f69 73725f68 m...)..#(._isr_h + 29090: 616e646c 65720000 0028ef02 232c085f andler...(..#,._ + 290a0: 6765745f 64656661 756c745f 70697065 get_default_pipe + 290b0: 00000029 53022330 08705265 73657276 ...)S.#0.pReserv + 290c0: 65640000 00041302 2334000d 646d615f ed......#4..dma_ + 290d0: 656e6769 6e650004 00002b33 0e444d41 engine....+3.DMA + 290e0: 5f454e47 494e455f 52583000 000e444d _ENGINE_RX0...DM + 290f0: 415f454e 47494e45 5f525831 00010e44 A_ENGINE_RX1...D + 29100: 4d415f45 4e47494e 455f5258 3200020e MA_ENGINE_RX2... + 29110: 444d415f 454e4749 4e455f52 58330003 DMA_ENGINE_RX3.. + 29120: 0e444d41 5f454e47 494e455f 54583000 .DMA_ENGINE_TX0. + 29130: 040e444d 415f454e 47494e45 5f545831 ..DMA_ENGINE_TX1 + 29140: 00050e44 4d415f45 4e47494e 455f4d41 ...DMA_ENGINE_MA + 29150: 58000600 09646d61 5f656e67 696e655f X....dma_engine_ + 29160: 74000000 2aaa0d64 6d615f69 66747970 t...*..dma_iftyp + 29170: 65000400 002b800e 444d415f 49465f47 e....+..DMA_IF_G + 29180: 4d414300 000e444d 415f4946 5f504349 MAC...DMA_IF_PCI + 29190: 00010e44 4d415f49 465f5043 49450002 ...DMA_IF_PCIE.. + 291a0: 0009646d 615f6966 74797065 5f740000 ..dma_iftype_t.. + 291b0: 002b4506 000012f8 01030000 2b920400 .+E.........+... + 291c0: 02010300 002b9f04 00020103 00002ba8 .....+........+. + 291d0: 04000600 00092a01 0300002b b1040006 ......*....+.... + 291e0: 000012f8 01030000 2bbe0400 06000012 ........+....... + 291f0: f8010300 002bcb04 00060000 14430103 .....+.......C.. + 29200: 00002bd8 04000201 0300002b e5040007 ..+........+.... + 29210: 646d615f 6c69625f 61706900 3400002c dma_lib_api.4.., + 29220: ec087478 5f696e69 74000000 2b980223 ..tx_init...+..# + 29230: 00087478 5f737461 72740000 002ba102 ..tx_start...+.. + 29240: 23040872 785f696e 69740000 002b9802 #..rx_init...+.. + 29250: 23080872 785f636f 6e666967 0000002b #..rx_config...+ + 29260: aa02230c 0872785f 73746172 74000000 ..#..rx_start... + 29270: 2ba10223 1008696e 74725f73 74617475 +..#..intr_statu + 29280: 73000000 2bb70223 14086861 72645f78 s...+..#..hard_x + 29290: 6d697400 00002bc4 02231808 666c7573 mit...+..#..flus + 292a0: 685f786d 69740000 002ba102 231c0878 h_xmit...+..#..x + 292b0: 6d69745f 646f6e65 0000002b d1022320 mit_done...+..# + 292c0: 08726561 705f786d 69747465 64000000 .reap_xmitted... + 292d0: 2bde0223 24087265 61705f72 65637600 +..#$.reap_recv. + 292e0: 00002bde 02232808 72657475 726e5f72 ..+..#(.return_r + 292f0: 65637600 00002be7 02232c08 72656376 ecv...+..#,.recv + 29300: 5f706b74 0000002b d1022330 00075f5f _pkt...+..#0..__ + 29310: 7063695f 736f6674 63000c00 002d0a08 pci_softc....-.. + 29320: 73770000 0028f602 23000009 5f5f7063 sw...(..#...__pc + 29330: 695f736f 6674635f 74000000 2cec0300 i_softc_t...,... + 29340: 002d0a04 00020103 00002d24 04000600 .-........-$.... + 29350: 0012e401 0300002d 2d04000d 6869665f .......--...hif_ + 29360: 7063695f 70697065 5f747800 0400002d pci_pipe_tx....- + 29370: 8d0e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 29380: 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 29390: 455f5458 3100010e 4849465f 5043495f E_TX1...HIF_PCI_ + 293a0: 50495045 5f54585f 4d415800 02000968 PIPE_TX_MAX....h + 293b0: 69665f70 63695f70 6970655f 74785f74 if_pci_pipe_tx_t + 293c0: 0000002d 3a060000 2b330103 00002da4 ...-:...+3....-. + 293d0: 04000d68 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 293e0: 72780004 00002e2a 0e484946 5f504349 rx.....*.HIF_PCI + 293f0: 5f504950 455f5258 3000000e 4849465f _PIPE_RX0...HIF_ + 29400: 5043495f 50495045 5f525831 00010e48 PCI_PIPE_RX1...H + 29410: 49465f50 43495f50 4950455f 52583200 IF_PCI_PIPE_RX2. + 29420: 020e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 29430: 58330003 0e484946 5f504349 5f504950 X3...HIF_PCI_PIP + 29440: 455f5258 5f4d4158 00040009 6869665f E_RX_MAX....hif_ + 29450: 7063695f 70697065 5f72785f 74000000 pci_pipe_rx_t... + 29460: 2db10600 002b3301 0300002e 41040007 -....+3.....A... + 29470: 6869665f 7063695f 61706900 2400002f hif_pci_api.$../ + 29480: 1f087063 695f626f 6f745f69 6e697400 ..pci_boot_init. + 29490: 00000117 02230008 7063695f 696e6974 .....#..pci_init + 294a0: 00000028 e6022304 08706369 5f726573 ...(..#..pci_res + 294b0: 65740000 00011702 23080870 63695f65 et......#..pci_e + 294c0: 6e61626c 65000000 01170223 0c087063 nable......#..pc + 294d0: 695f7265 61705f78 6d697474 65640000 i_reap_xmitted.. + 294e0: 002d2602 23100870 63695f72 6561705f .-&.#..pci_reap_ + 294f0: 72656376 0000002d 26022314 08706369 recv...-&.#..pci + 29500: 5f676574 5f706970 65000000 2d330223 _get_pipe...-3.# + 29510: 18087063 695f6765 745f7478 5f656e67 ..pci_get_tx_eng + 29520: 0000002d aa02231c 08706369 5f676574 ...-..#..pci_get + 29530: 5f72785f 656e6700 00002e47 02232000 _rx_eng....G.# . + 29540: 07676d61 635f6170 69000400 002f4608 .gmac_api..../F. + 29550: 676d6163 5f626f6f 745f696e 69740000 gmac_boot_init.. + 29560: 00011702 2300000f 0000032b 0600002f ....#......+.../ + 29570: 53100500 075f5f65 74686864 72000e00 S....__ethhdr... + 29580: 002f8908 64737400 00002f46 02230008 ./..dst.../F.#.. + 29590: 73726300 00002f46 02230608 65747970 src.../F.#..etyp + 295a0: 65000000 12f80223 0c00075f 5f617468 e......#...__ath + 295b0: 68647200 0400002f d7157265 73000000 hdr..../..res... + 295c0: 12e40100 02022300 1570726f 746f0000 ......#..proto.. + 295d0: 0012e401 02060223 00087265 735f6c6f .......#..res_lo + 295e0: 00000012 e4022301 08726573 5f686900 ......#..res_hi. + 295f0: 000012f8 02230200 075f5f67 6d61635f .....#...__gmac_ + 29600: 68647200 14000030 13086574 68000000 hdr....0..eth... + 29610: 2f530223 00086174 68000000 2f890223 /S.#..ath.../..# + 29620: 0e08616c 69676e5f 70616400 000012f8 ..align_pad..... + 29630: 02231200 095f5f67 6d61635f 6864725f .#...__gmac_hdr_ + 29640: 74000000 2fd7075f 5f676d61 635f736f t.../..__gmac_so + 29650: 66746300 24000030 5d086864 72000000 ftc.$..0].hdr... + 29660: 30130223 00086772 616e0000 0012f802 0..#..gran...... + 29670: 23140873 77000000 28f60223 1800075f #..sw...(..#..._ + 29680: 415f6f73 5f6c696e 6b616765 5f636865 A_os_linkage_che + 29690: 636b0008 00003096 08766572 73696f6e ck....0..version + 296a0: 00000001 1e022300 08746162 6c650000 ......#..table.. + 296b0: 00011e02 23040003 0000305d 04000600 ....#.....0].... + 296c0: 00011e01 03000030 9d040003 00000416 .......0........ + 296d0: 0400175f 415f636d 6e6f735f 696e6469 ..._A_cmnos_indi + 296e0: 72656374 696f6e5f 7461626c 650001b8 rection_table... + 296f0: 000031ed 0868616c 5f6c696e 6b616765 ..1..hal_linkage + 29700: 5f636865 636b0000 0030a302 23000873 _check...0..#..s + 29710: 74617274 5f627373 00000030 aa022304 tart_bss...0..#. + 29720: 08617070 5f737461 72740000 00011702 .app_start...... + 29730: 2308086d 656d0000 00045602 230c086d #..mem....V.#..m + 29740: 69736300 00000575 02232008 7072696e isc....u.# .prin + 29750: 74660000 00014b02 23440875 61727400 tf....K.#D.uart. + 29760: 00000214 02234c08 676d6163 0000002f .....#L.gmac.../ + 29770: 1f02236c 08757362 0000000f a4022370 ..#l.usb......#p + 29780: 08636c6f 636b0000 000aed03 23e00108 .clock......#... + 29790: 74696d65 72000000 078d0323 84020869 timer......#...i + 297a0: 6e747200 00000c3d 03239802 08616c6c ntr....=.#...all + 297b0: 6f637261 6d000000 09450323 c4020872 ocram....E.#...r + 297c0: 6f6d7000 00000837 0323d002 08776474 omp....7.#...wdt + 297d0: 5f74696d 65720000 000e1a03 23e00208 _timer......#... + 297e0: 65657000 00000f48 0323fc02 08737472 eep....H.#...str + 297f0: 696e6700 00000699 03238c03 08746173 ing......#...tas + 29800: 6b6c6574 0000000a 420323a4 0300075f klet....B.#...._ + 29810: 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 29820: 10000032 60086765 745f636f 6d6d616e ...2`.get_comman + 29830: 645f6275 66000000 14500223 00087265 d_buf....P.#..re + 29840: 63765f63 6f6d6d61 6e640000 00146602 cv_command....f. + 29850: 23040867 65745f65 76656e74 5f627566 #..get_event_buf + 29860: 00000014 50022308 0873656e 645f6576 ....P.#..send_ev + 29870: 656e745f 646f6e65 00000014 6602230c ent_done....f.#. + 29880: 00095553 425f4649 464f5f43 4f4e4649 ..USB_FIFO_CONFI + 29890: 47000000 31ed0300 00326004 00020103 G...1....2`..... + 298a0: 0000327c 04000775 73626669 666f5f61 ..2|...usbfifo_a + 298b0: 7069000c 000032d2 085f696e 69740000 pi....2.._init.. + 298c0: 00327e02 2300085f 656e6162 6c655f65 .2~.#.._enable_e + 298d0: 76656e74 5f697372 00000001 17022304 vent_isr......#. + 298e0: 08705265 73657276 65640000 00041302 .pReserved...... + 298f0: 2308000f 0000168a 02000032 df100100 #..........2.... + 29900: 075f4854 435f4652 414d455f 48445200 ._HTC_FRAME_HDR. + 29910: 08000033 5108456e 64706f69 6e744944 ...3Q.EndpointID + 29920: 00000016 8a022300 08466c61 67730000 ......#..Flags.. + 29930: 00168a02 23010850 61796c6f 61644c65 ....#..PayloadLe + 29940: 6e000000 1cb20223 0208436f 6e74726f n......#..Contro + 29950: 6c427974 65730000 0032d202 23040848 lBytes...2..#..H + 29960: 6f737453 65714e75 6d000000 1cb20223 ostSeqNum......# + 29970: 06001202 0000336a 084d6573 73616765 ......3j.Message + 29980: 49440000 001cb202 23000012 08000033 ID......#......3 + 29990: cd084d65 73736167 65494400 00001cb2 ..MessageID..... + 299a0: 02230008 43726564 6974436f 756e7400 .#..CreditCount. + 299b0: 00001cb2 02230208 43726564 69745369 .....#..CreditSi + 299c0: 7a650000 001cb202 2304084d 6178456e ze......#..MaxEn + 299d0: 64706f69 6e747300 0000168a 02230608 dpoints......#.. + 299e0: 5f506164 31000000 168a0223 0700120a _Pad1......#.... + 299f0: 00003464 084d6573 73616765 49440000 ..4d.MessageID.. + 29a00: 001cb202 23000853 65727669 63654944 ....#..ServiceID + 29a10: 0000001c b2022302 08436f6e 6e656374 ......#..Connect + 29a20: 696f6e46 6c616773 0000001c b2022304 ionFlags......#. + 29a30: 08446f77 6e4c696e 6b506970 65494400 .DownLinkPipeID. + 29a40: 0000168a 02230608 55704c69 6e6b5069 .....#..UpLinkPi + 29a50: 70654944 00000016 8a022307 08536572 peID......#..Ser + 29a60: 76696365 4d657461 4c656e67 74680000 viceMetaLength.. + 29a70: 00168a02 2308085f 50616431 00000016 ....#.._Pad1.... + 29a80: 8a022309 00120a00 0034ec08 4d657373 ..#......4..Mess + 29a90: 61676549 44000000 1cb20223 00085365 ageID......#..Se + 29aa0: 72766963 65494400 00001cb2 02230208 rviceID......#.. + 29ab0: 53746174 75730000 00168a02 23040845 Status......#..E + 29ac0: 6e64706f 696e7449 44000000 168a0223 ndpointID......# + 29ad0: 05084d61 784d7367 53697a65 0000001c ..MaxMsgSize.... + 29ae0: b2022306 08536572 76696365 4d657461 ..#..ServiceMeta + 29af0: 4c656e67 74680000 00168a02 2308085f Length......#.._ + 29b00: 50616431 00000016 8a022309 00120200 Pad1......#..... + 29b10: 00350508 4d657373 61676549 44000000 .5..MessageID... + 29b20: 1cb20223 00001204 00003541 084d6573 ...#......5A.Mes + 29b30: 73616765 49440000 001cb202 23000850 sageID......#..P + 29b40: 69706549 44000000 168a0223 02084372 ipeID......#..Cr + 29b50: 65646974 436f756e 74000000 168a0223 editCount......# + 29b60: 03001204 00003578 084d6573 73616765 ......5x.Message + 29b70: 49440000 001cb202 23000850 69706549 ID......#..PipeI + 29b80: 44000000 168a0223 02085374 61747573 D......#..Status + 29b90: 00000016 8a022303 00120200 00359f08 ......#......5.. + 29ba0: 5265636f 72644944 00000016 8a022300 RecordID......#. + 29bb0: 084c656e 67746800 0000168a 02230100 .Length......#.. + 29bc0: 12020000 35c90845 6e64706f 696e7449 ....5..EndpointI + 29bd0: 44000000 168a0223 00084372 65646974 D......#..Credit + 29be0: 73000000 168a0223 01001204 0000360a s......#......6. + 29bf0: 08456e64 706f696e 74494400 0000168a .EndpointID..... + 29c00: 02230008 43726564 69747300 0000168a .#..Credits..... + 29c10: 02230108 54677443 72656469 74536571 .#..TgtCreditSeq + 29c20: 4e6f0000 001cb202 2302000f 0000168a No......#....... + 29c30: 04000036 17100300 12060000 36530850 ...6........6S.P + 29c40: 72655661 6c696400 0000168a 02230008 reValid......#.. + 29c50: 4c6f6f6b 41686561 64000000 360a0223 LookAhead...6..# + 29c60: 0108506f 73745661 6c696400 0000168a ..PostValid..... + 29c70: 02230500 09706f6f 6c5f6861 6e646c65 .#...pool_handle + 29c80: 5f740000 00041306 00003653 01030000 _t........6S.... + 29c90: 36660400 02010300 00367304 00140400 6f.......6s..... + 29ca0: 0036f10e 504f4f4c 5f49445f 4854435f .6..POOL_ID_HTC_ + 29cb0: 434f4e54 524f4c00 000e504f 4f4c5f49 CONTROL...POOL_I + 29cc0: 445f574d 495f5356 435f434d 445f5245 D_WMI_SVC_CMD_RE + 29cd0: 504c5900 010e504f 4f4c5f49 445f574d PLY...POOL_ID_WM + 29ce0: 495f5356 435f4556 454e5400 020e504f I_SVC_EVENT...PO + 29cf0: 4f4c5f49 445f574c 414e5f52 585f4255 OL_ID_WLAN_RX_BU + 29d00: 4600030e 504f4f4c 5f49445f 4d415800 F...POOL_ID_MAX. + 29d10: 0a000942 55465f50 4f4f4c5f 49440000 ...BUF_POOL_ID.. + 29d20: 00367c02 01030000 37020400 06000026 .6|.....7......& + 29d30: 4f010300 00370b04 00060000 264f0103 O....7......&O.. + 29d40: 00003718 04000201 03000037 25040007 ..7........7%... + 29d50: 6275665f 706f6f6c 5f617069 001c0000 buf_pool_api.... + 29d60: 37c7085f 696e6974 00000036 6c022300 7.._init...6l.#. + 29d70: 085f7368 7574646f 776e0000 00367502 ._shutdown...6u. + 29d80: 2304085f 63726561 74655f70 6f6f6c00 #.._create_pool. + 29d90: 00003704 02230808 5f616c6c 6f635f62 ..7..#.._alloc_b + 29da0: 75660000 00371102 230c085f 616c6c6f uf...7..#.._allo + 29db0: 635f6275 665f616c 69676e00 0000371e c_buf_align...7. + 29dc0: 02231008 5f667265 655f6275 66000000 .#.._free_buf... + 29dd0: 37270223 14087052 65736572 76656400 7'.#..pReserved. + 29de0: 00000413 02231800 075f4854 435f5345 .....#..._HTC_SE + 29df0: 52564943 45001c00 0038a608 704e6578 RVICE....8..pNex + 29e00: 74000000 38a60223 00085072 6f636573 t...8..#..Proces + 29e10: 73526563 764d7367 00000039 5b022304 sRecvMsg...9[.#. + 29e20: 0850726f 63657373 53656e64 42756666 .ProcessSendBuff + 29e30: 6572436f 6d706c65 74650000 00396402 erComplete...9d. + 29e40: 23080850 726f6365 7373436f 6e6e6563 #..ProcessConnec + 29e50: 74000000 39780223 0c085365 72766963 t...9x.#..Servic + 29e60: 65494400 000012f8 02231008 53657276 eID......#..Serv + 29e70: 69636546 6c616773 00000012 f8022312 iceFlags......#. + 29e80: 084d6178 5376634d 73675369 7a650000 .MaxSvcMsgSize.. + 29e90: 0012f802 23140854 7261696c 65725370 ....#..TrailerSp + 29ea0: 63436865 636b4c69 6d697400 000012f8 cCheckLimit..... + 29eb0: 02231608 53657276 69636543 74780000 .#..ServiceCtx.. + 29ec0: 00041302 23180003 000037c7 04001404 ....#.....7..... + 29ed0: 00003944 19454e44 504f494e 545f554e ..9D.ENDPOINT_UN + 29ee0: 55534544 00ffffff ff0e454e 44504f49 USED......ENDPOI + 29ef0: 4e543000 000e454e 44504f49 4e543100 NT0...ENDPOINT1. + 29f00: 010e454e 44504f49 4e543200 020e454e ..ENDPOINT2...EN + 29f10: 44504f49 4e543300 030e454e 44504f49 DPOINT3...ENDPOI + 29f20: 4e543400 040e454e 44504f49 4e543500 NT4...ENDPOINT5. + 29f30: 050e454e 44504f49 4e543600 060e454e ..ENDPOINT6...EN + 29f40: 44504f49 4e543700 070e454e 44504f49 DPOINT7...ENDPOI + 29f50: 4e543800 080e454e 44504f49 4e545f4d NT8...ENDPOINT_M + 29f60: 41580016 00094854 435f454e 44504f49 AX....HTC_ENDPOI + 29f70: 4e545f49 44000000 38ad0201 03000039 NT_ID...8......9 + 29f80: 59040002 01030000 39620400 03000001 Y.......9b...... + 29f90: 1e040006 000012e4 01030000 39720400 ............9r.. + 29fa0: 03000037 c7040007 5f485443 5f434f4e ...7...._HTC_CON + 29fb0: 46494700 14000039 f7084372 65646974 FIG....9..Credit + 29fc0: 53697a65 00000001 1e022300 08437265 Size......#..Cre + 29fd0: 6469744e 756d6265 72000000 011e0223 ditNumber......# + 29fe0: 04084f53 48616e64 6c650000 001a4e02 ..OSHandle....N. + 29ff0: 23080848 49464861 6e646c65 00000028 #..HIFHandle...( + 2a000: b702230c 08506f6f 6c48616e 646c6500 ..#..PoolHandle. + 2a010: 00003653 02231000 075f4854 435f4255 ..6S.#..._HTC_BU + 2a020: 465f434f 4e544558 54000200 003a3308 F_CONTEXT....:3. + 2a030: 656e645f 706f696e 74000000 12e40223 end_point......# + 2a040: 00086874 635f666c 61677300 000012e4 ..htc_flags..... + 2a050: 02230100 09687463 5f68616e 646c655f .#...htc_handle_ + 2a060: 74000000 04130948 54435f53 45545550 t......HTC_SETUP + 2a070: 5f434f4d 504c4554 455f4342 00000001 _COMPLETE_CB.... + 2a080: 17094854 435f434f 4e464947 00000039 ..HTC_CONFIG...9 + 2a090: 86030000 3a600400 0600003a 33010300 ....:`.....:3... + 2a0a0: 003a7704 00020103 00003a84 04000948 .:w.......:....H + 2a0b0: 54435f53 45525649 43450000 0037c703 TC_SERVICE...7.. + 2a0c0: 00003a8d 04000201 0300003a a5040002 ..:........:.... + 2a0d0: 01030000 3aae0400 02010300 003ab704 ....:........:.. + 2a0e0: 00060000 011e0103 00003ac0 04000768 ..........:....h + 2a0f0: 74635f61 70697300 3400003c 3d085f48 tc_apis.4..<=._H + 2a100: 54435f49 6e697400 00003a7d 02230008 TC_Init...:}.#.. + 2a110: 5f485443 5f536875 74646f77 6e000000 _HTC_Shutdown... + 2a120: 3a860223 04085f48 54435f52 65676973 :..#.._HTC_Regis + 2a130: 74657253 65727669 63650000 003aa702 terService...:.. + 2a140: 2308085f 4854435f 52656164 79000000 #.._HTC_Ready... + 2a150: 3a860223 0c085f48 54435f52 65747572 :..#.._HTC_Retur + 2a160: 6e427566 66657273 0000003a b0022310 nBuffers...:..#. + 2a170: 085f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 2a180: 6572734c 69737400 00003ab9 02231408 ersList...:..#.. + 2a190: 5f485443 5f53656e 644d7367 0000003a _HTC_SendMsg...: + 2a1a0: b0022318 085f4854 435f4765 74526573 ..#.._HTC_GetRes + 2a1b0: 65727665 64486561 64726f6f 6d000000 ervedHeadroom... + 2a1c0: 3ac60223 1c085f48 54435f4d 73675265 :..#.._HTC_MsgRe + 2a1d0: 63764861 6e646c65 72000000 28640223 cvHandler...(d.# + 2a1e0: 20085f48 54435f53 656e6444 6f6e6548 ._HTC_SendDoneH + 2a1f0: 616e646c 65720000 00285b02 2324085f andler...([.#$._ + 2a200: 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 2a210: 6f636573 734d7367 00000039 5b022328 ocessMsg...9[.#( + 2a220: 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 2a230: 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl + 2a240: 65746500 00003964 02232c08 70526573 ete...9d.#,.pRes + 2a250: 65727665 64000000 04130223 30000768 erved......#0..h + 2a260: 6f73745f 6170705f 61726561 5f730004 ost_app_area_s.. + 2a270: 00003c6d 08776d69 5f70726f 746f636f ...# + 2b130: 04000473 686f7274 20756e73 69676e65 ...short unsigne + 2b140: 6420696e 74000702 0975696e 7431365f d int....uint16_ + 2b150: 74000000 017c046c 6f6e6720 756e7369 t....|.long unsi + 2b160: 676e6564 20696e74 00070409 75696e74 gned int....uint + 2b170: 33325f74 00000001 a0077561 72745f66 32_t......uart_f + 2b180: 69666f00 08000002 0e087374 6172745f ifo.......start_ + 2b190: 696e6465 78000000 01920223 0008656e index......#..en + 2b1a0: 645f696e 64657800 00000192 02230208 d_index......#.. + 2b1b0: 6f766572 72756e5f 65727200 000001b5 overrun_err..... + 2b1c0: 02230400 07756172 745f6170 69002000 .#...uart_api. . + 2b1d0: 0002c708 5f756172 745f696e 69740000 ...._uart_init.. + 2b1e0: 00031e02 2300085f 75617274 5f636861 ....#.._uart_cha + 2b1f0: 725f7075 74000000 03450223 04085f75 r_put....E.#.._u + 2b200: 6172745f 63686172 5f676574 00000003 art_char_get.... + 2b210: 59022308 085f7561 72745f73 74725f6f Y.#.._uart_str_o + 2b220: 75740000 00036202 230c085f 75617274 ut....b.#.._uart + 2b230: 5f746173 6b000000 01110223 10085f75 _task......#.._u + 2b240: 6172745f 73746174 75730000 00031e02 art_status...... + 2b250: 2314085f 75617274 5f636f6e 66696700 #.._uart_config. + 2b260: 0000036b 02231808 5f756172 745f6877 ...k.#.._uart_hw + 2b270: 696e6974 00000003 7402231c 00030000 init....t.#..... + 2b280: 020e0400 07756172 745f626c 6b001000 .....uart_blk... + 2b290: 00031808 64656275 675f6d6f 64650000 ....debug_mode.. + 2b2a0: 00019202 23000862 61756400 00000192 ....#..baud..... + 2b2b0: 02230208 5f756172 74000000 02c70223 .#.._uart......# + 2b2c0: 04085f74 78000000 01c30223 08000600 .._tx......#.... + 2b2d0: 0001b501 03000003 18040004 756e7369 ............unsi + 2b2e0: 676e6564 20636861 72000701 0975696e gned char....uin + 2b2f0: 74385f74 00000003 25020103 00000343 t8_t....%......C + 2b300: 04000300 00033604 00060000 01920103 ......6......... + 2b310: 00000353 04000201 03000003 60040002 ...S........`... + 2b320: 01030000 03690400 02010300 00037204 .....i........r. + 2b330: 00030000 011f0400 06000001 18010300 ................ + 2b340: 00038204 00074442 5f434f4d 4d414e44 ......DB_COMMAND + 2b350: 5f535452 55435400 0c000003 da08636d _STRUCT.......cm + 2b360: 645f7374 72000000 037b0223 00086865 d_str....{.#..he + 2b370: 6c705f73 74720000 00037b02 23040863 lp_str....{.#..c + 2b380: 6d645f66 756e6300 00000388 02230800 md_func......#.. + 2b390: 07646267 5f617069 00080000 040d085f .dbg_api......._ + 2b3a0: 6462675f 696e6974 00000001 11022300 dbg_init......#. + 2b3b0: 085f6462 675f7461 736b0000 00011102 ._dbg_task...... + 2b3c0: 2304000a 04000475 6e736967 6e656420 #......unsigned + 2b3d0: 696e7400 07040600 00040d01 03000004 int............. + 2b3e0: 2004000b 0b030000 042e0400 06000004 ............... + 2b3f0: 0d010300 00043604 00060000 01180103 ......6......... + 2b400: 00000443 0400076d 656d5f61 70690014 ...C...mem_api.. + 2b410: 000004b2 085f6d65 6d5f696e 69740000 ....._mem_init.. + 2b420: 00011102 2300085f 6d656d73 65740000 ....#.._memset.. + 2b430: 00042602 2304085f 6d656d63 70790000 ..&.#.._memcpy.. + 2b440: 00043c02 2308085f 6d656d6d 6f766500 ..<.#.._memmove. + 2b450: 0000043c 02230c08 5f6d656d 636d7000 ...<.#.._memcmp. + 2b460: 00000449 02231000 0c726567 69737465 ...I.#...registe + 2b470: 725f6475 6d705f73 00000103 000004b2 r_dump_s........ + 2b480: 04000201 03000004 cc040002 01030000 ................ + 2b490: 04d50400 06000001 18010300 0004de04 ................ + 2b4a0: 000d686f 73746966 5f730004 0000053a ..hostif_s.....: + 2b4b0: 0e484946 5f555342 00000e48 49465f50 .HIF_USB...HIF_P + 2b4c0: 43494500 010e4849 465f474d 41430002 CIE...HIF_GMAC.. + 2b4d0: 0e484946 5f504349 00030e48 49465f4e .HIF_PCI...HIF_N + 2b4e0: 554d0004 0e484946 5f4e4f4e 45000500 UM...HIF_NONE... + 2b4f0: 09415f48 4f535449 46000000 04eb0600 .A_HOSTIF....... + 2b500: 00053a01 03000005 48040006 00000336 ..:.....H......6 + 2b510: 01030000 05550400 06000001 92010300 .....U.......... + 2b520: 00056204 00076d69 73635f61 70690024 ..b...misc_api.$ + 2b530: 00000652 085f7379 7374656d 5f726573 ...R._system_res + 2b540: 65740000 00011102 2300085f 6d61635f et......#.._mac_ + 2b550: 72657365 74000000 01110223 04085f61 reset......#.._a + 2b560: 73736661 696c0000 0004ce02 2308085f ssfail......#.._ + 2b570: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 2b580: 68616e64 6c657200 000004ce 02230c08 handler......#.. + 2b590: 5f726570 6f72745f 6661696c 7572655f _report_failure_ + 2b5a0: 746f5f68 6f737400 000004d7 02231008 to_host......#.. + 2b5b0: 5f746172 6765745f 69645f67 65740000 _target_id_get.. + 2b5c0: 0004e402 2314085f 69735f68 6f73745f ....#.._is_host_ + 2b5d0: 70726573 656e7400 0000054e 02231808 present....N.#.. + 2b5e0: 5f6b6268 69740000 00055b02 231c085f _kbhit....[.#.._ + 2b5f0: 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. + 2b600: 00000568 02232000 06000003 7b010300 ...h.# .....{... + 2b610: 00065204 00060000 037b0103 0000065f ..R......{....._ + 2b620: 04000600 00011801 03000006 6c040006 ............l... + 2b630: 00000118 01030000 06790400 06000001 .........y...... + 2b640: 18010300 00068604 00077374 72696e67 ..........string + 2b650: 5f617069 00180000 070c085f 73747269 _api......._stri + 2b660: 6e675f69 6e697400 00000111 02230008 ng_init......#.. + 2b670: 5f737472 63707900 00000658 02230408 _strcpy....X.#.. + 2b680: 5f737472 6e637079 00000006 65022308 _strncpy....e.#. + 2b690: 085f7374 726c656e 00000006 7202230c ._strlen....r.#. + 2b6a0: 085f7374 72636d70 00000006 7f022310 ._strcmp......#. + 2b6b0: 085f7374 726e636d 70000000 068c0223 ._strncmp......# + 2b6c0: 14000f00 00041014 00000719 10040009 ................ + 2b6d0: 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. + 2b6e0: 00070c09 415f7469 6d65725f 74000000 ....A_timer_t... + 2b6f0: 07190300 00072d04 00020103 00000743 ......-........C + 2b700: 04000201 03000007 4c040009 415f4841 ........L...A_HA + 2b710: 4e444c45 00000004 10020109 415f5449 NDLE........A_TI + 2b720: 4d45525f 46554e43 00000007 63030000 MER_FUNC....c... + 2b730: 07650400 02010300 00077e04 00077469 .e........~...ti + 2b740: 6d65725f 61706900 14000007 fd085f74 mer_api......._t + 2b750: 696d6572 5f696e69 74000000 01110223 imer_init......# + 2b760: 00085f74 696d6572 5f61726d 00000007 .._timer_arm.... + 2b770: 45022304 085f7469 6d65725f 64697361 E.#.._timer_disa + 2b780: 726d0000 00074e02 2308085f 74696d65 rm....N.#.._time + 2b790: 725f7365 74666e00 00000780 02230c08 r_setfn......#.. + 2b7a0: 5f74696d 65725f72 756e0000 00011102 _timer_run...... + 2b7b0: 23100009 424f4f4c 45414e00 00000192 #...BOOLEAN..... + 2b7c0: 06000007 fd010300 00080a04 00060000 ................ + 2b7d0: 07fd0103 00000817 04000600 0007fd01 ................ + 2b7e0: 03000008 24040007 726f6d70 5f617069 ....$...romp_api + 2b7f0: 00100000 0896085f 726f6d70 5f696e69 ......._romp_ini + 2b800: 74000000 01110223 00085f72 6f6d705f t......#.._romp_ + 2b810: 646f776e 6c6f6164 00000008 10022304 download......#. + 2b820: 085f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. + 2b830: 00081d02 2308085f 726f6d70 5f646563 ....#.._romp_dec + 2b840: 6f646500 0000082a 02230c00 07726f6d ode....*.#...rom + 2b850: 5f706174 63685f73 74001000 0008f208 _patch_st....... + 2b860: 63726331 36000000 01920223 00086c65 crc16......#..le + 2b870: 6e000000 01920223 02086c64 5f616464 n......#..ld_add + 2b880: 72000000 01b50223 04086675 6e5f6164 r......#..fun_ad + 2b890: 64720000 0001b502 23080870 66756e00 dr......#..pfun. + 2b8a0: 0000034c 02230c00 07656570 5f726564 ...L.#...eep_red + 2b8b0: 69725f61 64647200 04000009 24086f66 ir_addr.....$.of + 2b8c0: 66736574 00000001 92022300 0873697a fset......#..siz + 2b8d0: 65000000 01920223 02000941 5f55494e e......#...A_UIN + 2b8e0: 54333200 00000410 06000004 0d010300 T32............. + 2b8f0: 00093204 0007616c 6c6f6372 616d5f61 ..2...allocram_a + 2b900: 7069000c 000009a3 08636d6e 6f735f61 pi.......cmnos_a + 2b910: 6c6c6f63 72616d5f 696e6974 00000009 llocram_init.... + 2b920: 38022300 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc + 2b930: 72616d00 00000938 02230408 636d6e6f ram....8.#..cmno + 2b940: 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 2b950: 00000001 11022308 00020103 000009a3 ......#......... + 2b960: 04000941 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 2b970: 43000000 09a5075f 7461736b 6c657400 C......_tasklet. + 2b980: 1000000a 04086675 6e630000 0009ac02 ......func...... + 2b990: 23000861 72670000 00040d02 23040873 #..arg......#..s + 2b9a0: 74617465 00000001 18022308 086e6578 tate......#..nex + 2b9b0: 74000000 0a040223 0c000300 0009c004 t......#........ + 2b9c0: 00030000 09c00400 09415f74 61736b6c .........A_taskl + 2b9d0: 65745f74 00000009 c0030000 0a120400 et_t............ + 2b9e0: 02010300 000a2a04 00020103 00000a33 ......*........3 + 2b9f0: 04000774 61736b6c 65745f61 70690014 ...tasklet_api.. + 2ba00: 00000ac8 085f7461 736b6c65 745f696e ....._tasklet_in + 2ba10: 69740000 00011102 2300085f 7461736b it......#.._task + 2ba20: 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 2ba30: 0a2c0223 04085f74 61736b6c 65745f64 .,.#.._tasklet_d + 2ba40: 69736162 6c650000 000a3502 2308085f isable....5.#.._ + 2ba50: 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 2ba60: 0000000a 3502230c 085f7461 736b6c65 ....5.#.._taskle + 2ba70: 745f7275 6e000000 01110223 10000201 t_run......#.... + 2ba80: 0300000a c8040006 00000924 01030000 ...........$.... + 2ba90: 0ad10400 02010300 000ade04 0007636c ..............cl + 2baa0: 6f636b5f 61706900 2400000b c0085f63 ock_api.$....._c + 2bab0: 6c6f636b 5f696e69 74000000 0aca0223 lock_init......# + 2bac0: 00085f63 6c6f636b 72656773 5f696e69 .._clockregs_ini + 2bad0: 74000000 01110223 04085f75 6172745f t......#.._uart_ + 2bae0: 66726571 75656e63 79000000 0ad70223 frequency......# + 2baf0: 08085f64 656c6179 5f757300 00000ae0 .._delay_us..... + 2bb00: 02230c08 5f776c61 6e5f6261 6e645f73 .#.._wlan_band_s + 2bb10: 65740000 000ae002 2310085f 72656663 et......#.._refc + 2bb20: 6c6b5f73 70656564 5f676574 0000000a lk_speed_get.... + 2bb30: d7022314 085f6d69 6c6c6973 65636f6e ..#.._millisecon + 2bb40: 64730000 000ad702 2318085f 73797363 ds......#.._sysc + 2bb50: 6c6b5f63 68616e67 65000000 01110223 lk_change......# + 2bb60: 1c085f63 6c6f636b 5f746963 6b000000 .._clock_tick... + 2bb70: 01110223 20000600 0001b501 0300000b ...# ........... + 2bb80: c0040009 415f6f6c 645f696e 74725f74 ....A_old_intr_t + 2bb90: 00000001 b5060000 0bcd0103 00000bdf ................ + 2bba0: 04000201 0300000b ec040002 01030000 ................ + 2bbb0: 0bf50400 06000001 b5010300 000bfe04 ................ + 2bbc0: 0009415f 6973725f 74000000 0c040201 ..A_isr_t....... + 2bbd0: 0300000c 18040006 00000410 01030000 ................ + 2bbe0: 0c210400 02010300 000c2e04 0007696e .!............in + 2bbf0: 74725f61 7069002c 00000d50 085f696e tr_api.,...P._in + 2bc00: 74725f69 6e697400 00000111 02230008 tr_init......#.. + 2bc10: 5f696e74 725f696e 766f6b65 5f697372 _intr_invoke_isr + 2bc20: 0000000b c6022304 085f696e 74725f64 ......#.._intr_d + 2bc30: 69736162 6c650000 000be502 2308085f isable......#.._ + 2bc40: 696e7472 5f726573 746f7265 0000000b intr_restore.... + 2bc50: ee02230c 085f696e 74725f6d 61736b5f ..#.._intr_mask_ + 2bc60: 696e756d 0000000b f7022310 085f696e inum......#.._in + 2bc70: 74725f75 6e6d6173 6b5f696e 756d0000 tr_unmask_inum.. + 2bc80: 000bf702 2314085f 696e7472 5f617474 ....#.._intr_att + 2bc90: 6163685f 69737200 00000c1a 02231808 ach_isr......#.. + 2bca0: 5f676574 5f696e74 72656e61 626c6500 _get_intrenable. + 2bcb0: 00000c27 02231c08 5f736574 5f696e74 ...'.#.._set_int + 2bcc0: 72656e61 626c6500 00000c30 02232008 renable....0.# . + 2bcd0: 5f676574 5f696e74 7270656e 64696e67 _get_intrpending + 2bce0: 0000000c 27022324 085f756e 626c6f63 ....'.#$._unbloc + 2bcf0: 6b5f616c 6c5f696e 74726c76 6c000000 k_all_intrlvl... + 2bd00: 01110223 28001104 00000d76 0874696d ...#(......v.tim + 2bd10: 656f7574 00000001 b5022300 08616374 eout......#..act + 2bd20: 696f6e00 000001b5 02230000 12080000 ion......#...... + 2bd30: 0d910863 6d640000 0001b502 23001300 ...cmd......#... + 2bd40: 000d5002 23040009 545f5744 545f434d ..P.#...T_WDT_CM + 2bd50: 44000000 0d760201 0300000d a0040014 D....v.......... + 2bd60: 0400000d f60e454e 554d5f57 44545f42 ......ENUM_WDT_B + 2bd70: 4f4f5400 010e454e 554d5f43 4f4c445f OOT...ENUM_COLD_ + 2bd80: 424f4f54 00020e45 4e554d5f 53555350 BOOT...ENUM_SUSP + 2bd90: 5f424f4f 5400030e 454e554d 5f554e4b _BOOT...ENUM_UNK + 2bda0: 4e4f574e 5f424f4f 54000400 09545f42 NOWN_BOOT....T_B + 2bdb0: 4f4f545f 54595045 0000000d a9060000 OOT_TYPE........ + 2bdc0: 0df60103 00000e07 04000777 64745f61 ...........wdt_a + 2bdd0: 7069001c 00000eab 085f7764 745f696e pi......._wdt_in + 2bde0: 69740000 00011102 2300085f 7764745f it......#.._wdt_ + 2bdf0: 656e6162 6c650000 00011102 2304085f enable......#.._ + 2be00: 7764745f 64697361 626c6500 00000111 wdt_disable..... + 2be10: 02230808 5f776474 5f736574 0000000d .#.._wdt_set.... + 2be20: a202230c 085f7764 745f7461 736b0000 ..#.._wdt_task.. + 2be30: 00011102 2310085f 7764745f 72657365 ....#.._wdt_rese + 2be40: 74000000 01110223 14085f77 64745f6c t......#.._wdt_l + 2be50: 6173745f 626f6f74 0000000e 0d022318 ast_boot......#. + 2be60: 00140400 000f120e 5245545f 53554343 ........RET_SUCC + 2be70: 45535300 000e5245 545f4e4f 545f494e ESS...RET_NOT_IN + 2be80: 49540001 0e524554 5f4e4f54 5f455849 IT...RET_NOT_EXI + 2be90: 53540002 0e524554 5f454550 5f434f52 ST...RET_EEP_COR + 2bea0: 52555054 00030e52 45545f45 45505f4f RUPT...RET_EEP_O + 2beb0: 56455246 4c4f5700 040e5245 545f554e VERFLOW...RET_UN + 2bec0: 4b4e4f57 4e000500 09545f45 45505f52 KNOWN....T_EEP_R + 2bed0: 45540000 000eab03 00000192 04000600 ET.............. + 2bee0: 000f1201 0300000f 28040006 00000f12 ........(....... + 2bef0: 01030000 0f350400 07656570 5f617069 .....5...eep_api + 2bf00: 00100000 0f9e085f 6565705f 696e6974 ......._eep_init + 2bf10: 00000001 11022300 085f6565 705f7265 ......#.._eep_re + 2bf20: 61640000 000f2e02 2304085f 6565705f ad......#.._eep_ + 2bf30: 77726974 65000000 0f2e0223 08085f65 write......#.._e + 2bf40: 65705f69 735f6578 69737400 00000f3b ep_is_exist....; + 2bf50: 02230c00 07757362 5f617069 00700000 .#...usb_api.p.. + 2bf60: 124b085f 7573625f 696e6974 00000001 .K._usb_init.... + 2bf70: 11022300 085f7573 625f726f 6d5f7461 ..#.._usb_rom_ta + 2bf80: 736b0000 00011102 2304085f 7573625f sk......#.._usb_ + 2bf90: 66775f74 61736b00 00000111 02230808 fw_task......#.. + 2bfa0: 5f757362 5f696e69 745f7068 79000000 _usb_init_phy... + 2bfb0: 01110223 0c085f75 73625f65 70305f73 ...#.._usb_ep0_s + 2bfc0: 65747570 00000001 11022310 085f7573 etup......#.._us + 2bfd0: 625f6570 305f7478 00000001 11022314 b_ep0_tx......#. + 2bfe0: 085f7573 625f6570 305f7278 00000001 ._usb_ep0_rx.... + 2bff0: 11022318 085f7573 625f6765 745f696e ..#.._usb_get_in + 2c000: 74657266 61636500 0000081d 02231c08 terface......#.. + 2c010: 5f757362 5f736574 5f696e74 65726661 _usb_set_interfa + 2c020: 63650000 00081d02 2320085f 7573625f ce......# ._usb_ + 2c030: 6765745f 636f6e66 69677572 6174696f get_configuratio + 2c040: 6e000000 081d0223 24085f75 73625f73 n......#$._usb_s + 2c050: 65745f63 6f6e6669 67757261 74696f6e et_configuration + 2c060: 00000008 1d022328 085f7573 625f7374 ......#(._usb_st + 2c070: 616e6461 72645f63 6d640000 00081d02 andard_cmd...... + 2c080: 232c085f 7573625f 76656e64 6f725f63 #,._usb_vendor_c + 2c090: 6d640000 00011102 2330085f 7573625f md......#0._usb_ + 2c0a0: 706f7765 725f6f66 66000000 01110223 power_off......# + 2c0b0: 34085f75 73625f72 65736574 5f666966 4._usb_reset_fif + 2c0c0: 6f000000 01110223 38085f75 73625f67 o......#8._usb_g + 2c0d0: 656e5f77 64740000 00011102 233c085f en_wdt......#<._ + 2c0e0: 7573625f 6a756d70 5f626f6f 74000000 usb_jump_boot... + 2c0f0: 01110223 40085f75 73625f63 6c725f66 ...#@._usb_clr_f + 2c100: 65617475 72650000 00081d02 2344085f eature......#D._ + 2c110: 7573625f 7365745f 66656174 75726500 usb_set_feature. + 2c120: 0000081d 02234808 5f757362 5f736574 .....#H._usb_set + 2c130: 5f616464 72657373 00000008 1d02234c _address......#L + 2c140: 085f7573 625f6765 745f6465 73637269 ._usb_get_descri + 2c150: 70746f72 00000008 1d022350 085f7573 ptor......#P._us + 2c160: 625f6765 745f7374 61747573 00000008 b_get_status.... + 2c170: 1d022354 085f7573 625f7365 7475705f ..#T._usb_setup_ + 2c180: 64657363 00000001 11022358 085f7573 desc......#X._us + 2c190: 625f7265 675f6f75 74000000 01110223 b_reg_out......# + 2c1a0: 5c085f75 73625f73 74617475 735f696e \._usb_status_in + 2c1b0: 00000001 11022360 085f7573 625f6570 ......#`._usb_ep + 2c1c0: 305f7478 5f646174 61000000 01110223 0_tx_data......# + 2c1d0: 64085f75 73625f65 70305f72 785f6461 d._usb_ep0_rx_da + 2c1e0: 74610000 00011102 2368085f 7573625f ta......#h._usb_ + 2c1f0: 636c6b5f 696e6974 00000001 1102236c clk_init......#l + 2c200: 00075f56 44455343 00240000 12d7086e .._VDESC.$.....n + 2c210: 6578745f 64657363 00000012 d7022300 ext_desc......#. + 2c220: 08627566 5f616464 72000000 12eb0223 .buf_addr......# + 2c230: 04086275 665f7369 7a650000 0012f202 ..buf_size...... + 2c240: 23080864 6174615f 6f666673 65740000 #..data_offset.. + 2c250: 0012f202 230a0864 6174615f 73697a65 ....#..data_size + 2c260: 00000012 f202230c 08636f6e 74726f6c ......#..control + 2c270: 00000012 f202230e 0868775f 64657363 ......#..hw_desc + 2c280: 5f627566 00000013 00022310 00030000 _buf......#..... + 2c290: 124b0400 09415f55 494e5438 00000003 .K...A_UINT8.... + 2c2a0: 25030000 12de0400 09415f55 494e5431 %........A_UINT1 + 2c2b0: 36000000 017c0f00 0012de14 0000130d 6....|.......... + 2c2c0: 10130003 0000124b 04000956 44455343 .......K...VDESC + 2c2d0: 00000012 4b030000 13140400 06000013 ....K........... + 2c2e0: 1f010300 00132604 00060000 12eb0103 ......&......... + 2c2f0: 00001333 04000201 03000013 40040007 ...3........@... + 2c300: 76646573 635f6170 69001400 0013b808 vdesc_api....... + 2c310: 5f696e69 74000000 0ae00223 00085f61 _init......#.._a + 2c320: 6c6c6f63 5f766465 73630000 00132c02 lloc_vdesc....,. + 2c330: 2304085f 6765745f 68775f64 65736300 #.._get_hw_desc. + 2c340: 00001339 02230808 5f737761 705f7664 ...9.#.._swap_vd + 2c350: 65736300 00001342 02230c08 70526573 esc....B.#..pRes + 2c360: 65727665 64000000 040d0223 1000075f erved......#..._ + 2c370: 56425546 00200000 14180864 6573635f VBUF. .....desc_ + 2c380: 6c697374 00000013 1f022300 086e6578 list......#..nex + 2c390: 745f6275 66000000 14180223 04086275 t_buf......#..bu + 2c3a0: 665f6c65 6e677468 00000012 f2022308 f_length......#. + 2c3b0: 08726573 65727665 64000000 141f0223 .reserved......# + 2c3c0: 0a086374 78000000 13000223 0c000300 ..ctx......#.... + 2c3d0: 0013b804 000f0000 12de0200 00142c10 ..............,. + 2c3e0: 01000300 0013b804 00095642 55460000 ..........VBUF.. + 2c3f0: 0013b803 00001433 04000600 00143d01 .......3......=. + 2c400: 03000014 44040006 0000143d 01030000 ....D......=.... + 2c410: 14510400 02010300 00145e04 00077662 .Q........^...vb + 2c420: 75665f61 70690014 000014dc 085f696e uf_api......._in + 2c430: 69740000 000ae002 2300085f 616c6c6f it......#.._allo + 2c440: 635f7662 75660000 00144a02 2304085f c_vbuf....J.#.._ + 2c450: 616c6c6f 635f7662 75665f77 6974685f alloc_vbuf_with_ + 2c460: 73697a65 00000014 57022308 085f6672 size....W.#.._fr + 2c470: 65655f76 62756600 00001460 02230c08 ee_vbuf....`.#.. + 2c480: 70526573 65727665 64000000 040d0223 pReserved......# + 2c490: 1000075f 5f616466 5f646576 69636500 ...__adf_device. + 2c4a0: 04000014 fe086475 6d6d7900 00000118 ......dummy..... + 2c4b0: 02230000 03000009 24040007 5f5f6164 .#......$...__ad + 2c4c0: 665f646d 615f6d61 70000c00 00154508 f_dma_map.....E. + 2c4d0: 62756600 0000143d 02230008 64735f61 buf....=.#..ds_a + 2c4e0: 64647200 000014fe 02230408 64735f6c ddr......#..ds_l + 2c4f0: 656e0000 0012f202 23080012 0c000015 en......#....... + 2c500: 7f085f5f 76615f73 746b0000 00037b02 ..__va_stk....{. + 2c510: 2300085f 5f76615f 72656700 0000037b #..__va_reg....{ + 2c520: 02230408 5f5f7661 5f6e6478 00000001 .#..__va_ndx.... + 2c530: 18022308 00095f5f 6164665f 6f735f64 ..#...__adf_os_d + 2c540: 6d615f61 6464725f 74000000 09240961 ma_addr_t....$.a + 2c550: 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t + 2c560: 00000015 7f095f5f 6164665f 6f735f64 ......__adf_os_d + 2c570: 6d615f73 697a655f 74000000 09240961 ma_size_t....$.a + 2c580: 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t + 2c590: 00000015 af075f5f 646d615f 73656773 ......__dma_segs + 2c5a0: 00080000 160b0870 61646472 00000015 .......paddr.... + 2c5b0: 98022300 086c656e 00000015 c8022304 ..#..len......#. + 2c5c0: 00095f5f 615f7569 6e743332 5f740000 ..__a_uint32_t.. + 2c5d0: 00092409 615f7569 6e743332 5f740000 ..$.a_uint32_t.. + 2c5e0: 00160b0f 000015df 08000016 3a100000 ............:... + 2c5f0: 07616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 2c600: 6e666f00 0c000016 73086e73 65677300 nfo.....s.nsegs. + 2c610: 0000161d 02230008 646d615f 73656773 .....#..dma_segs + 2c620: 00000016 2d022304 00095f5f 615f7569 ....-.#...__a_ui + 2c630: 6e74385f 74000000 12de0961 5f75696e nt8_t......a_uin + 2c640: 74385f74 00000016 73030000 16840400 t8_t....s....... + 2c650: 075f5f73 675f7365 67730008 000016c5 .__sg_segs...... + 2c660: 08766164 64720000 00169302 2300086c .vaddr......#..l + 2c670: 656e0000 00161d02 2304000f 0000169a en......#....... + 2c680: 20000016 d2100300 07616466 5f6f735f ........adf_os_ + 2c690: 73676c69 73740024 00001705 086e7365 sglist.$.....nse + 2c6a0: 67730000 00161d02 23000873 675f7365 gs......#..sg_se + 2c6b0: 67730000 0016c502 23040012 10000017 gs......#....... + 2c6c0: 4e087665 6e646f72 00000016 1d022300 N.vendor......#. + 2c6d0: 08646576 69636500 0000161d 02230408 .device......#.. + 2c6e0: 73756276 656e646f 72000000 161d0223 subvendor......# + 2c6f0: 08087375 62646576 69636500 0000161d ..subdevice..... + 2c700: 02230c00 046c6f6e 67206c6f 6e672075 .#...long long u + 2c710: 6e736967 6e656420 696e7400 07080941 nsigned int....A + 2c720: 5f55494e 54363400 0000174e 095f5f61 _UINT64....N.__a + 2c730: 5f75696e 7436345f 74000000 17680961 _uint64_t....h.a + 2c740: 5f75696e 7436345f 74000000 17761404 _uint64_t....v.. + 2c750: 000017d4 0e414446 5f4f535f 5245534f .....ADF_OS_RESO + 2c760: 55524345 5f545950 455f4d45 4d00000e URCE_TYPE_MEM... + 2c770: 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ + 2c780: 54595045 5f494f00 01000961 64665f6f TYPE_IO....adf_o + 2c790: 735f7265 736f7572 63655f74 7970655f s_resource_type_ + 2c7a0: 74000000 17981218 0000181e 08737461 t............sta + 2c7b0: 72740000 00178802 23000865 6e640000 rt......#..end.. + 2c7c0: 00178802 23080874 79706500 000017d4 ....#..type..... + 2c7d0: 02231000 09616466 5f6f735f 7063695f .#...adf_os_pci_ + 2c7e0: 6465765f 69645f74 00000017 05030000 dev_id_t........ + 2c7f0: 181e0400 11040000 185d0870 63690000 .........].pci.. + 2c800: 00183702 23000872 61770000 00040d02 ..7.#..raw...... + 2c810: 23000011 10000018 7c087063 69000000 #.......|.pci... + 2c820: 181e0223 00087261 77000000 040d0223 ...#..raw......# + 2c830: 00000961 64665f64 72765f68 616e646c ...adf_drv_handl + 2c840: 655f7400 0000040d 09616466 5f6f735f e_t......adf_os_ + 2c850: 7265736f 75726365 5f740000 0017f003 resource_t...... + 2c860: 00001892 04000961 64665f6f 735f6174 .......adf_os_at + 2c870: 74616368 5f646174 615f7400 0000185d tach_data_t....] + 2c880: 03000018 b0040003 000014dc 0400095f ..............._ + 2c890: 5f616466 5f6f735f 64657669 63655f74 _adf_os_device_t + 2c8a0: 00000018 d1096164 665f6f73 5f646576 ......adf_os_dev + 2c8b0: 6963655f 74000000 18d80600 00187c01 ice_t.........|. + 2c8c0: 03000019 04040002 01030000 19110400 ................ + 2c8d0: 09616466 5f6f735f 706d5f74 00000004 .adf_os_pm_t.... + 2c8e0: 0d020103 0000192b 04001404 0000196b .......+.......k + 2c8f0: 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 2c900: 5f504349 00010e41 44465f4f 535f4255 _PCI...ADF_OS_BU + 2c910: 535f5459 50455f47 454e4552 49430002 S_TYPE_GENERIC.. + 2c920: 00096164 665f6f73 5f627573 5f747970 ..adf_os_bus_typ + 2c930: 655f7400 00001934 09616466 5f6f735f e_t....4.adf_os_ + 2c940: 6275735f 7265675f 64617461 5f740000 bus_reg_data_t.. + 2c950: 00183e03 00000325 0400075f 6164665f ..>....%..._adf_ + 2c960: 6472765f 696e666f 00200000 1a480864 drv_info. ...H.d + 2c970: 72765f61 74746163 68000000 190a0223 rv_attach......# + 2c980: 00086472 765f6465 74616368 00000019 ..drv_detach.... + 2c990: 13022304 08647276 5f737573 70656e64 ..#..drv_suspend + 2c9a0: 00000019 2d022308 08647276 5f726573 ....-.#..drv_res + 2c9b0: 756d6500 00001913 02230c08 6275735f ume......#..bus_ + 2c9c0: 74797065 00000019 6b022310 08627573 type....k.#..bus + 2c9d0: 5f646174 61000000 19820223 14086d6f _data......#..mo + 2c9e0: 645f6e61 6d650000 00199d02 23180869 d_name......#..i + 2c9f0: 666e616d 65000000 199d0223 1c000961 fname......#...a + 2ca00: 64665f6f 735f6861 6e646c65 5f740000 df_os_handle_t.. + 2ca10: 00040d03 00001673 04000201 0201095f .......s......._ + 2ca20: 5f616466 5f6f735f 73697a65 5f740000 _adf_os_size_t.. + 2ca30: 00041014 0400001a 970e415f 46414c53 ..........A_FALS + 2ca40: 4500000e 415f5452 55450001 0009615f E...A_TRUE....a_ + 2ca50: 626f6f6c 5f740000 001a7d03 00001505 bool_t....}..... + 2ca60: 0400095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 2ca70: 6d61705f 74000000 1aa50201 0d616466 map_t........adf + 2ca80: 5f6f735f 63616368 655f7379 6e630004 _os_cache_sync.. + 2ca90: 00001b2f 0e414446 5f53594e 435f5052 .../.ADF_SYNC_PR + 2caa0: 45524541 4400000e 4144465f 53594e43 EREAD...ADF_SYNC + 2cab0: 5f505245 57524954 4500020e 4144465f _PREWRITE...ADF_ + 2cac0: 53594e43 5f504f53 54524541 4400010e SYNC_POSTREAD... + 2cad0: 4144465f 53594e43 5f504f53 54575249 ADF_SYNC_POSTWRI + 2cae0: 54450003 00096164 665f6f73 5f636163 TE....adf_os_cac + 2caf0: 68655f73 796e635f 74000000 1ac60201 he_sync_t....... + 2cb00: 09616466 5f6f735f 73697a65 5f740000 .adf_os_size_t.. + 2cb10: 001a6806 00001b4a 01096164 665f6f73 ..h....J..adf_os + 2cb20: 5f646d61 5f6d6170 5f740000 001aac03 _dma_map_t...... + 2cb30: 00001b63 04000600 00040d01 0300001a ...c............ + 2cb40: ac040006 0000040d 01020106 00001598 ................ + 2cb50: 01020104 73686f72 7420696e 74000502 ....short int... + 2cb60: 09415f49 4e543136 0000001b 9d095f5f .A_INT16......__ + 2cb70: 615f696e 7431365f 74000000 1baa0961 a_int16_t......a + 2cb80: 5f696e74 31365f74 0000001b b7047369 _int16_t......si + 2cb90: 676e6564 20636861 72000501 09415f49 gned char....A_I + 2cba0: 4e543800 00001bd7 095f5f61 5f696e74 NT8......__a_int + 2cbb0: 385f7400 00001be6 09615f69 6e74385f 8_t......a_int8_ + 2cbc0: 74000000 1bf2120c 00001c69 08737570 t..........i.sup + 2cbd0: 706f7274 65640000 00161d02 23000861 ported......#..a + 2cbe0: 64766572 74697a65 64000000 161d0223 dvertized......# + 2cbf0: 04087370 65656400 00001bc8 02230808 ..speed......#.. + 2cc00: 6475706c 65780000 001c0202 230a0861 duplex......#..a + 2cc10: 75746f6e 65670000 00168402 230b000f utoneg......#... + 2cc20: 00001684 0600001c 76100500 07616466 ........v....adf + 2cc30: 5f6e6574 5f657468 61646472 00060000 _net_ethaddr.... + 2cc40: 1c9a0861 64647200 00001c69 02230000 ...addr....i.#.. + 2cc50: 095f5f61 5f75696e 7431365f 74000000 .__a_uint16_t... + 2cc60: 12f20961 5f75696e 7431365f 74000000 ...a_uint16_t... + 2cc70: 1c9a120e 00001cfe 08657468 65725f64 .........ether_d + 2cc80: 686f7374 0000001c 69022300 08657468 host....i.#..eth + 2cc90: 65725f73 686f7374 0000001c 69022306 er_shost....i.#. + 2cca0: 08657468 65725f74 79706500 00001cac .ether_type..... + 2ccb0: 02230c00 12140000 1dbf1569 705f7665 .#.........ip_ve + 2ccc0: 7273696f 6e000000 16840100 04022300 rsion.........#. + 2ccd0: 1569705f 686c0000 00168401 04040223 .ip_hl.........# + 2cce0: 00086970 5f746f73 00000016 84022301 ..ip_tos......#. + 2ccf0: 0869705f 6c656e00 00001cac 02230208 .ip_len......#.. + 2cd00: 69705f69 64000000 1cac0223 04086970 ip_id......#..ip + 2cd10: 5f667261 675f6f66 66000000 1cac0223 _frag_off......# + 2cd20: 06086970 5f74746c 00000016 84022308 ..ip_ttl......#. + 2cd30: 0869705f 70726f74 6f000000 16840223 .ip_proto......# + 2cd40: 09086970 5f636865 636b0000 001cac02 ..ip_check...... + 2cd50: 230a0869 705f7361 64647200 0000161d #..ip_saddr..... + 2cd60: 02230c08 69705f64 61646472 00000016 .#..ip_daddr.... + 2cd70: 1d022310 00076164 665f6e65 745f766c ..#...adf_net_vl + 2cd80: 616e6864 72000400 001e1108 74706964 anhdr.......tpid + 2cd90: 0000001c ac022300 15707269 6f000000 ......#..prio... + 2cda0: 16840100 03022302 15636669 00000016 ......#..cfi.... + 2cdb0: 84010301 02230215 76696400 00001cac .....#..vid..... + 2cdc0: 02040c02 23020007 6164665f 6e65745f ....#...adf_net_ + 2cdd0: 76696400 0200001e 42157265 73000000 vid.....B.res... + 2cde0: 16840100 04022300 1576616c 0000001c ......#..val.... + 2cdf0: ac02040c 02230000 120c0000 1e7e0872 .....#.......~.r + 2ce00: 785f6275 6673697a 65000000 161d0223 x_bufsize......# + 2ce10: 00087278 5f6e6465 73630000 00161d02 ..rx_ndesc...... + 2ce20: 23040874 785f6e64 65736300 0000161d #..tx_ndesc..... + 2ce30: 02230800 12080000 1ea40870 6f6c6c65 .#.........polle + 2ce40: 64000000 1a970223 0008706f 6c6c5f77 d......#..poll_w + 2ce50: 74000000 161d0223 04000f00 00168440 t......#.......@ + 2ce60: 00001eb1 103f0012 4600001e d9086966 .....?..F.....if + 2ce70: 5f6e616d 65000000 1ea40223 00086465 _name......#..de + 2ce80: 765f6164 64720000 001c6902 23400014 v_addr....i.#@.. + 2ce90: 0400001f 100e4144 465f4f53 5f444d41 ......ADF_OS_DMA + 2cea0: 5f4d4153 4b5f3332 42495400 000e4144 _MASK_32BIT...AD + 2ceb0: 465f4f53 5f444d41 5f4d4153 4b5f3634 F_OS_DMA_MASK_64 + 2cec0: 42495400 01000961 64665f6f 735f646d BIT....adf_os_dm + 2ced0: 615f6d61 736b5f74 0000001e d9076164 a_mask_t......ad + 2cee0: 665f646d 615f696e 666f0008 00001f5d f_dma_info.....] + 2cef0: 08646d61 5f6d6173 6b000000 1f100223 .dma_mask......# + 2cf00: 00087367 5f6e7365 67730000 00161d02 ..sg_nsegs...... + 2cf10: 23040014 0400001f b30e4144 465f4e45 #.........ADF_NE + 2cf20: 545f434b 53554d5f 4e4f4e45 00000e41 T_CKSUM_NONE...A + 2cf30: 44465f4e 45545f43 4b53554d 5f544350 DF_NET_CKSUM_TCP + 2cf40: 5f554450 5f495076 3400010e 4144465f _UDP_IPv4...ADF_ + 2cf50: 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 2cf60: 505f4950 76360002 00096164 665f6e65 P_IPv6....adf_ne + 2cf70: 745f636b 73756d5f 74797065 5f740000 t_cksum_type_t.. + 2cf80: 001f5d12 0800001f f6087478 5f636b73 ..].......tx_cks + 2cf90: 756d0000 001fb302 23000872 785f636b um......#..rx_ck + 2cfa0: 73756d00 00001fb3 02230400 09616466 sum......#...adf + 2cfb0: 5f6e6574 5f636b73 756d5f69 6e666f5f _net_cksum_info_ + 2cfc0: 74000000 1fcd1404 0000204f 0e414446 t......... O.ADF + 2cfd0: 5f4e4554 5f54534f 5f4e4f4e 4500000e _NET_TSO_NONE... + 2cfe0: 4144465f 4e45545f 54534f5f 49505634 ADF_NET_TSO_IPV4 + 2cff0: 00010e41 44465f4e 45545f54 534f5f41 ...ADF_NET_TSO_A + 2d000: 4c4c0002 00096164 665f6e65 745f7473 LL....adf_net_ts + 2d010: 6f5f7479 70655f74 00000020 10121000 o_type_t... .... + 2d020: 0020a308 636b7375 6d5f6361 70000000 . ..cksum_cap... + 2d030: 1ff60223 00087473 6f000000 204f0223 ...#..tso... O.# + 2d040: 0808766c 616e5f73 7570706f 72746564 ..vlan_supported + 2d050: 00000016 8402230c 00122000 00213c08 ......#... ..!<. + 2d060: 74785f70 61636b65 74730000 00161d02 tx_packets...... + 2d070: 23000872 785f7061 636b6574 73000000 #..rx_packets... + 2d080: 161d0223 04087478 5f627974 65730000 ...#..tx_bytes.. + 2d090: 00161d02 23080872 785f6279 74657300 ....#..rx_bytes. + 2d0a0: 0000161d 02230c08 74785f64 726f7070 .....#..tx_dropp + 2d0b0: 65640000 00161d02 23100872 785f6472 ed......#..rx_dr + 2d0c0: 6f707065 64000000 161d0223 14087278 opped......#..rx + 2d0d0: 5f657272 6f727300 0000161d 02231808 _errors......#.. + 2d0e0: 74785f65 72726f72 73000000 161d0223 tx_errors......# + 2d0f0: 1c000961 64665f6e 65745f65 74686164 ...adf_net_ethad + 2d100: 64725f74 0000001c 76160000 213c0300 dr_t....v...!<.. + 2d110: 00002161 107f0017 6164665f 6e65745f ..!a....adf_net_ + 2d120: 636d645f 6d636164 64720003 04000021 cmd_mcaddr.....! + 2d130: 98086e65 6c656d00 0000161d 02230008 ..nelem......#.. + 2d140: 6d636173 74000000 21530223 04000961 mcast...!S.#...a + 2d150: 64665f6e 65745f63 6d645f6c 696e6b5f df_net_cmd_link_ + 2d160: 696e666f 5f740000 001c1009 6164665f info_t......adf_ + 2d170: 6e65745f 636d645f 706f6c6c 5f696e66 net_cmd_poll_inf + 2d180: 6f5f7400 00001e7e 09616466 5f6e6574 o_t....~.adf_net + 2d190: 5f636d64 5f636b73 756d5f69 6e666f5f _cmd_cksum_info_ + 2d1a0: 74000000 1ff60961 64665f6e 65745f63 t......adf_net_c + 2d1b0: 6d645f72 696e675f 696e666f 5f740000 md_ring_info_t.. + 2d1c0: 001e4209 6164665f 6e65745f 636d645f ..B.adf_net_cmd_ + 2d1d0: 646d615f 696e666f 5f740000 001f2709 dma_info_t....'. + 2d1e0: 6164665f 6e65745f 636d645f 7669645f adf_net_cmd_vid_ + 2d1f0: 74000000 1cac0961 64665f6e 65745f63 t......adf_net_c + 2d200: 6d645f6f 66666c6f 61645f63 61705f74 md_offload_cap_t + 2d210: 00000020 67096164 665f6e65 745f636d ... g.adf_net_cm + 2d220: 645f7374 6174735f 74000000 20a30961 d_stats_t... ..a + 2d230: 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd + 2d240: 725f7400 00002161 0d616466 5f6e6574 r_t...!a.adf_net + 2d250: 5f636d64 5f6d6361 73745f63 61700004 _cmd_mcast_cap.. + 2d260: 000022da 0e414446 5f4e4554 5f4d4341 .."..ADF_NET_MCA + 2d270: 53545f53 55500000 0e414446 5f4e4554 ST_SUP...ADF_NET + 2d280: 5f4d4341 53545f4e 4f545355 50000100 _MCAST_NOTSUP... + 2d290: 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 2d2a0: 73745f63 61705f74 00000022 92180304 st_cap_t...".... + 2d2b0: 000023ac 086c696e 6b5f696e 666f0000 ..#..link_info.. + 2d2c0: 00219802 23000870 6f6c6c5f 696e666f .!..#..poll_info + 2d2d0: 00000021 b5022300 08636b73 756d5f69 ...!..#..cksum_i + 2d2e0: 6e666f00 000021d2 02230008 72696e67 nfo...!..#..ring + 2d2f0: 5f696e66 6f000000 21f00223 0008646d _info...!..#..dm + 2d300: 615f696e 666f0000 00220d02 23000876 a_info..."..#..v + 2d310: 69640000 00222902 2300086f 66666c6f id...").#..offlo + 2d320: 61645f63 61700000 00224002 23000873 ad_cap..."@.#..s + 2d330: 74617473 00000022 5f022300 086d6361 tats..."_.#..mca + 2d340: 73745f69 6e666f00 00002278 02230008 st_info..."x.#.. + 2d350: 6d636173 745f6361 70000000 22da0223 mcast_cap..."..# + 2d360: 00001404 00002403 0e414446 5f4e4255 ......$..ADF_NBU + 2d370: 465f5258 5f434b53 554d5f4e 4f4e4500 F_RX_CKSUM_NONE. + 2d380: 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 2d390: 53554d5f 48570001 0e414446 5f4e4255 SUM_HW...ADF_NBU + 2d3a0: 465f5258 5f434b53 554d5f55 4e4e4543 F_RX_CKSUM_UNNEC + 2d3b0: 45535341 52590002 00096164 665f6e62 ESSARY....adf_nb + 2d3c0: 75665f72 785f636b 73756d5f 74797065 uf_rx_cksum_type + 2d3d0: 5f740000 0023ac12 08000024 43087265 _t...#.....$C.re + 2d3e0: 73756c74 00000024 03022300 0876616c sult...$..#..val + 2d3f0: 00000016 1d022304 00120800 00247308 ......#......$s. + 2d400: 74797065 00000020 4f022300 086d7373 type... O.#..mss + 2d410: 0000001c ac022304 08686472 5f6f6666 ......#..hdr_off + 2d420: 00000016 84022306 00075f5f 6164665f ......#...__adf_ + 2d430: 6e627566 5f716865 6164000c 000024b2 nbuf_qhead....$. + 2d440: 08686561 64000000 143d0223 00087461 .head....=.#..ta + 2d450: 696c0000 00143d02 23040871 6c656e00 il....=.#..qlen. + 2d460: 0000161d 02230800 095f5f61 64665f6e .....#...__adf_n + 2d470: 6275665f 74000000 143d0300 00169304 buf_t....=...... + 2d480: 00030000 161d0400 02010600 00131f01 ................ + 2d490: 06000016 1d010600 00169301 06000016 ................ + 2d4a0: 93010300 00130004 00095f5f 6164665f ..........__adf_ + 2d4b0: 6e627566 5f716865 61645f74 00000024 nbuf_qhead_t...$ + 2d4c0: 73095f5f 6164665f 6e627566 5f717565 s.__adf_nbuf_que + 2d4d0: 75655f74 00000024 f3030000 250b0400 ue_t...$....%... + 2d4e0: 06000024 b2010600 0024b201 14040000 ...$.....$...... + 2d4f0: 262b0e41 5f535441 5455535f 4f4b0000 &+.A_STATUS_OK.. + 2d500: 0e415f53 54415455 535f4641 494c4544 .A_STATUS_FAILED + 2d510: 00010e41 5f535441 5455535f 454e4f45 ...A_STATUS_ENOE + 2d520: 4e540002 0e415f53 54415455 535f454e NT...A_STATUS_EN + 2d530: 4f4d454d 00030e41 5f535441 5455535f OMEM...A_STATUS_ + 2d540: 45494e56 414c0004 0e415f53 54415455 EINVAL...A_STATU + 2d550: 535f4549 4e50524f 47524553 5300050e S_EINPROGRESS... + 2d560: 415f5354 41545553 5f454e4f 54535550 A_STATUS_ENOTSUP + 2d570: 5000060e 415f5354 41545553 5f454255 P...A_STATUS_EBU + 2d580: 53590007 0e415f53 54415455 535f4532 SY...A_STATUS_E2 + 2d590: 42494700 080e415f 53544154 55535f45 BIG...A_STATUS_E + 2d5a0: 41444452 4e4f5441 5641494c 00090e41 ADDRNOTAVAIL...A + 2d5b0: 5f535441 5455535f 454e5849 4f000a0e _STATUS_ENXIO... + 2d5c0: 415f5354 41545553 5f454641 554c5400 A_STATUS_EFAULT. + 2d5d0: 0b0e415f 53544154 55535f45 494f000c ..A_STATUS_EIO.. + 2d5e0: 0009615f 73746174 75735f74 00000025 ..a_status_t...% + 2d5f0: 36060000 262b0106 00000118 01020109 6...&+.......... + 2d600: 6164665f 6e627566 5f740000 0024b214 adf_nbuf_t...$.. + 2d610: 04000026 900e4144 465f4f53 5f444d41 ...&..ADF_OS_DMA + 2d620: 5f544f5f 44455649 43450000 0e414446 _TO_DEVICE...ADF + 2d630: 5f4f535f 444d415f 46524f4d 5f444556 _OS_DMA_FROM_DEV + 2d640: 49434500 01000961 64665f6f 735f646d ICE....adf_os_dm + 2d650: 615f6469 725f7400 00002659 06000026 a_dir_t...&Y...& + 2d660: 2b010201 09616466 5f6f735f 646d616d +....adf_os_dmam + 2d670: 61705f69 6e666f5f 74000000 163a0300 ap_info_t....:.. + 2d680: 0026ae04 00020102 01060000 26490106 .&..........&I.. + 2d690: 000024b2 01020102 01060000 26490106 ..$.........&I.. + 2d6a0: 000024b2 01060000 26490106 000024b2 ..$.....&I....$. + 2d6b0: 01060000 26490102 01020106 0000161d ....&I.......... + 2d6c0: 01060000 16930102 01020106 00001b4a ...............J + 2d6d0: 01060000 1a970106 00001a97 01096164 ..............ad + 2d6e0: 665f6f73 5f73676c 6973745f 74000000 f_os_sglist_t... + 2d6f0: 16d20300 00272704 00020102 01020106 .....''......... + 2d700: 00001693 01096164 665f6e62 75665f71 ......adf_nbuf_q + 2d710: 75657565 5f740000 00250b03 0000274f ueue_t...%....'O + 2d720: 04000201 03000024 f3040002 01020102 .......$........ + 2d730: 01060000 26490106 000024b2 01060000 ....&I....$..... + 2d740: 161d0106 0000161d 01060000 1a970106 ................ + 2d750: 00001a97 01060000 1fb30106 0000161d ................ + 2d760: 01096164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck + 2d770: 73756d5f 74000000 24210300 0027ab04 sum_t...$!...'.. + 2d780: 00020102 01096164 665f6e62 75665f74 ......adf_nbuf_t + 2d790: 736f5f74 00000024 43030000 27cf0400 so_t...$C...'... + 2d7a0: 02010201 09616466 5f6e6574 5f68616e .....adf_net_han + 2d7b0: 646c655f 74000000 040d0961 64665f6e dle_t......adf_n + 2d7c0: 65745f76 6c616e68 64725f74 0000001d et_vlanhdr_t.... + 2d7d0: bf030000 28040400 06000026 2b010600 ....(......&+... + 2d7e0: 00262b01 02010201 075f4849 465f434f .&+......_HIF_CO + 2d7f0: 4e464947 00040000 28530864 756d6d79 NFIG....(S.dummy + 2d800: 00000001 18022300 00020103 00002853 ......#.......(S + 2d810: 04000201 03000028 5c040007 5f484946 .......(\..._HIF + 2d820: 5f43414c 4c424143 4b000c00 0028b108 _CALLBACK....(.. + 2d830: 73656e64 5f627566 5f646f6e 65000000 send_buf_done... + 2d840: 28550223 00087265 63765f62 75660000 (U.#..recv_buf.. + 2d850: 00285e02 23040863 6f6e7465 78740000 .(^.#..context.. + 2d860: 00040d02 23080009 6869665f 68616e64 ....#...hif_hand + 2d870: 6c655f74 00000004 0d094849 465f434f le_t......HIF_CO + 2d880: 4e464947 00000028 32030000 28c30400 NFIG...(2...(... + 2d890: 06000028 b1010300 0028da04 00020103 ...(.....(...... + 2d8a0: 000028e7 04000948 49465f43 414c4c42 ..(....HIF_CALLB + 2d8b0: 41434b00 00002865 03000028 f0040002 ACK...(e...(.... + 2d8c0: 01030000 29090400 06000001 18010300 ....)........... + 2d8d0: 00291204 00020103 0000291f 04000600 .)........)..... + 2d8e0: 00011801 03000029 28040002 01030000 .......)(....... + 2d8f0: 29350400 06000001 18010300 00293e04 )5...........)>. + 2d900: 00020103 0000294b 04000768 69665f61 ......)K...hif_a + 2d910: 70690038 00002aa4 085f696e 69740000 pi.8..*.._init.. + 2d920: 0028e002 2300085f 73687574 646f776e .(..#.._shutdown + 2d930: 00000028 e9022304 085f7265 67697374 ...(..#.._regist + 2d940: 65725f63 616c6c62 61636b00 0000290b er_callback...). + 2d950: 02230808 5f676574 5f746f74 616c5f63 .#.._get_total_c + 2d960: 72656469 745f636f 756e7400 00002918 redit_count...). + 2d970: 02230c08 5f737461 72740000 0028e902 .#.._start...(.. + 2d980: 2310085f 636f6e66 69675f70 69706500 #.._config_pipe. + 2d990: 00002921 02231408 5f73656e 645f6275 ..)!.#.._send_bu + 2d9a0: 66666572 00000029 2e022318 085f7265 ffer...)..#.._re + 2d9b0: 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 2d9c0: 29370223 1c085f69 735f7069 70655f73 )7.#.._is_pipe_s + 2d9d0: 7570706f 72746564 00000029 44022320 upported...)D.# + 2d9e0: 085f6765 745f6d61 785f6d73 675f6c65 ._get_max_msg_le + 2d9f0: 6e000000 29440223 24085f67 65745f72 n...)D.#$._get_r + 2da00: 65736572 7665645f 68656164 726f6f6d eserved_headroom + 2da10: 00000029 18022328 085f6973 725f6861 ...)..#(._isr_ha + 2da20: 6e646c65 72000000 28e90223 2c085f67 ndler...(..#,._g + 2da30: 65745f64 65666175 6c745f70 69706500 et_default_pipe. + 2da40: 0000294d 02233008 70526573 65727665 ..)M.#0.pReserve + 2da50: 64000000 040d0223 34000d64 6d615f65 d......#4..dma_e + 2da60: 6e67696e 65000400 002b2d0e 444d415f ngine....+-.DMA_ + 2da70: 454e4749 4e455f52 58300000 0e444d41 ENGINE_RX0...DMA + 2da80: 5f454e47 494e455f 52583100 010e444d _ENGINE_RX1...DM + 2da90: 415f454e 47494e45 5f525832 00020e44 A_ENGINE_RX2...D + 2daa0: 4d415f45 4e47494e 455f5258 3300030e MA_ENGINE_RX3... + 2dab0: 444d415f 454e4749 4e455f54 58300004 DMA_ENGINE_TX0.. + 2dac0: 0e444d41 5f454e47 494e455f 54583100 .DMA_ENGINE_TX1. + 2dad0: 050e444d 415f454e 47494e45 5f4d4158 ..DMA_ENGINE_MAX + 2dae0: 00060009 646d615f 656e6769 6e655f74 ....dma_engine_t + 2daf0: 0000002a a40d646d 615f6966 74797065 ...*..dma_iftype + 2db00: 00040000 2b7a0e44 4d415f49 465f474d ....+z.DMA_IF_GM + 2db10: 41430000 0e444d41 5f49465f 50434900 AC...DMA_IF_PCI. + 2db20: 010e444d 415f4946 5f504349 45000200 ..DMA_IF_PCIE... + 2db30: 09646d61 5f696674 7970655f 74000000 .dma_iftype_t... + 2db40: 2b3f0600 0012f201 0300002b 8c040002 +?.........+.... + 2db50: 01030000 2b990400 02010300 002ba204 ....+........+.. + 2db60: 00060000 09240103 00002bab 04000600 .....$....+..... + 2db70: 0012f201 0300002b b8040006 000012f2 .......+........ + 2db80: 01030000 2bc50400 06000014 3d010300 ....+.......=... + 2db90: 002bd204 00020103 00002bdf 04000764 .+........+....d + 2dba0: 6d615f6c 69625f61 70690034 00002ce6 ma_lib_api.4..,. + 2dbb0: 0874785f 696e6974 0000002b 92022300 .tx_init...+..#. + 2dbc0: 0874785f 73746172 74000000 2b9b0223 .tx_start...+..# + 2dbd0: 04087278 5f696e69 74000000 2b920223 ..rx_init...+..# + 2dbe0: 08087278 5f636f6e 66696700 00002ba4 ..rx_config...+. + 2dbf0: 02230c08 72785f73 74617274 0000002b .#..rx_start...+ + 2dc00: 9b022310 08696e74 725f7374 61747573 ..#..intr_status + 2dc10: 0000002b b1022314 08686172 645f786d ...+..#..hard_xm + 2dc20: 69740000 002bbe02 23180866 6c757368 it...+..#..flush + 2dc30: 5f786d69 74000000 2b9b0223 1c08786d _xmit...+..#..xm + 2dc40: 69745f64 6f6e6500 00002bcb 02232008 it_done...+..# . + 2dc50: 72656170 5f786d69 74746564 0000002b reap_xmitted...+ + 2dc60: d8022324 08726561 705f7265 63760000 ..#$.reap_recv.. + 2dc70: 002bd802 23280872 65747572 6e5f7265 .+..#(.return_re + 2dc80: 63760000 002be102 232c0872 6563765f cv...+..#,.recv_ + 2dc90: 706b7400 00002bcb 02233000 075f5f70 pkt...+..#0..__p + 2dca0: 63695f73 6f667463 000c0000 2d040873 ci_softc....-..s + 2dcb0: 77000000 28f00223 0000095f 5f706369 w...(..#...__pci + 2dcc0: 5f736f66 74635f74 0000002c e6030000 _softc_t...,.... + 2dcd0: 2d040400 02010300 002d1e04 00060000 -........-...... + 2dce0: 12de0103 00002d27 04000d68 69665f70 ......-'...hif_p + 2dcf0: 63695f70 6970655f 74780004 00002d87 ci_pipe_tx....-. + 2dd00: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 2dd10: 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE + 2dd20: 5f545831 00010e48 49465f50 43495f50 _TX1...HIF_PCI_P + 2dd30: 4950455f 54585f4d 41580002 00096869 IPE_TX_MAX....hi + 2dd40: 665f7063 695f7069 70655f74 785f7400 f_pci_pipe_tx_t. + 2dd50: 00002d34 0600002b 2d010300 002d9e04 ..-4...+-....-.. + 2dd60: 000d6869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r + 2dd70: 78000400 002e240e 4849465f 5043495f x.....$.HIF_PCI_ + 2dd80: 50495045 5f525830 00000e48 49465f50 PIPE_RX0...HIF_P + 2dd90: 43495f50 4950455f 52583100 010e4849 CI_PIPE_RX1...HI + 2dda0: 465f5043 495f5049 50455f52 58320002 F_PCI_PIPE_RX2.. + 2ddb0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 2ddc0: 3300030e 4849465f 5043495f 50495045 3...HIF_PCI_PIPE + 2ddd0: 5f52585f 4d415800 04000968 69665f70 _RX_MAX....hif_p + 2dde0: 63695f70 6970655f 72785f74 0000002d ci_pipe_rx_t...- + 2ddf0: ab060000 2b2d0103 00002e3b 04000768 ....+-.....;...h + 2de00: 69665f70 63695f61 70690024 00002f19 if_pci_api.$../. + 2de10: 08706369 5f626f6f 745f696e 69740000 .pci_boot_init.. + 2de20: 00011102 23000870 63695f69 6e697400 ....#..pci_init. + 2de30: 000028e0 02230408 7063695f 72657365 ..(..#..pci_rese + 2de40: 74000000 01110223 08087063 695f656e t......#..pci_en + 2de50: 61626c65 00000001 1102230c 08706369 able......#..pci + 2de60: 5f726561 705f786d 69747465 64000000 _reap_xmitted... + 2de70: 2d200223 10087063 695f7265 61705f72 - .#..pci_reap_r + 2de80: 65637600 00002d20 02231408 7063695f ecv...- .#..pci_ + 2de90: 6765745f 70697065 0000002d 2d022318 get_pipe...--.#. + 2dea0: 08706369 5f676574 5f74785f 656e6700 .pci_get_tx_eng. + 2deb0: 00002da4 02231c08 7063695f 6765745f ..-..#..pci_get_ + 2dec0: 72785f65 6e670000 002e4102 23200007 rx_eng....A.# .. + 2ded0: 676d6163 5f617069 00040000 2f400867 gmac_api..../@.g + 2dee0: 6d61635f 626f6f74 5f696e69 74000000 mac_boot_init... + 2def0: 01110223 00000f00 00032506 00002f4d ...#......%.../M + 2df00: 10050007 5f5f6574 68686472 000e0000 ....__ethhdr.... + 2df10: 2f830864 73740000 002f4002 23000873 /..dst.../@.#..s + 2df20: 72630000 002f4002 23060865 74797065 rc.../@.#..etype + 2df30: 00000012 f202230c 00075f5f 61746868 ......#...__athh + 2df40: 64720004 00002fd1 15726573 00000012 dr..../..res.... + 2df50: de010002 02230015 70726f74 6f000000 .....#..proto... + 2df60: 12de0102 06022300 08726573 5f6c6f00 ......#..res_lo. + 2df70: 000012de 02230108 7265735f 68690000 .....#..res_hi.. + 2df80: 0012f202 23020007 5f5f676d 61635f68 ....#...__gmac_h + 2df90: 64720014 0000300d 08657468 0000002f dr....0..eth.../ + 2dfa0: 4d022300 08617468 0000002f 8302230e M.#..ath.../..#. + 2dfb0: 08616c69 676e5f70 61640000 0012f202 .align_pad...... + 2dfc0: 23120009 5f5f676d 61635f68 64725f74 #...__gmac_hdr_t + 2dfd0: 0000002f d1075f5f 676d6163 5f736f66 .../..__gmac_sof + 2dfe0: 74630024 00003057 08686472 00000030 tc.$..0W.hdr...0 + 2dff0: 0d022300 08677261 6e000000 12f20223 ..#..gran......# + 2e000: 14087377 00000028 f0022318 00075f41 ..sw...(..#..._A + 2e010: 5f6f735f 6c696e6b 6167655f 63686563 _os_linkage_chec + 2e020: 6b000800 00309008 76657273 696f6e00 k....0..version. + 2e030: 00000118 02230008 7461626c 65000000 .....#..table... + 2e040: 01180223 04000300 00305704 00060000 ...#.....0W..... + 2e050: 01180103 00003097 04000300 00041004 ......0......... + 2e060: 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 2e070: 65637469 6f6e5f74 61626c65 0001b800 ection_table.... + 2e080: 0031e708 68616c5f 6c696e6b 6167655f .1..hal_linkage_ + 2e090: 63686563 6b000000 309d0223 00087374 check...0..#..st + 2e0a0: 6172745f 62737300 000030a4 02230408 art_bss...0..#.. + 2e0b0: 6170705f 73746172 74000000 01110223 app_start......# + 2e0c0: 08086d65 6d000000 04500223 0c086d69 ..mem....P.#..mi + 2e0d0: 73630000 00056f02 23200870 72696e74 sc....o.# .print + 2e0e0: 66000000 01450223 44087561 72740000 f....E.#D.uart.. + 2e0f0: 00020e02 234c0867 6d616300 00002f19 ....#L.gmac.../. + 2e100: 02236c08 75736200 00000f9e 02237008 .#l.usb......#p. + 2e110: 636c6f63 6b000000 0ae70323 e0010874 clock......#...t + 2e120: 696d6572 00000007 87032384 0208696e imer......#...in + 2e130: 74720000 000c3703 23980208 616c6c6f tr....7.#...allo + 2e140: 6372616d 00000009 3f0323c4 0208726f cram....?.#...ro + 2e150: 6d700000 00083103 23d00208 7764745f mp....1.#...wdt_ + 2e160: 74696d65 72000000 0e140323 e0020865 timer......#...e + 2e170: 65700000 000f4203 23fc0208 73747269 ep....B.#...stri + 2e180: 6e670000 00069303 238c0308 7461736b ng......#...task + 2e190: 6c657400 00000a3c 0323a403 00075f55 let....<.#...._U + 2e1a0: 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. + 2e1b0: 0000325a 08676574 5f636f6d 6d616e64 ..2Z.get_command + 2e1c0: 5f627566 00000014 4a022300 08726563 _buf....J.#..rec + 2e1d0: 765f636f 6d6d616e 64000000 14600223 v_command....`.# + 2e1e0: 04086765 745f6576 656e745f 62756600 ..get_event_buf. + 2e1f0: 0000144a 02230808 73656e64 5f657665 ...J.#..send_eve + 2e200: 6e745f64 6f6e6500 00001460 02230c00 nt_done....`.#.. + 2e210: 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG + 2e220: 00000031 e7030000 325a0400 02010300 ...1....2Z...... + 2e230: 00327604 00077573 62666966 6f5f6170 .2v...usbfifo_ap + 2e240: 69000c00 0032cc08 5f696e69 74000000 i....2.._init... + 2e250: 32780223 00085f65 6e61626c 655f6576 2x.#.._enable_ev + 2e260: 656e745f 69737200 00000111 02230408 ent_isr......#.. + 2e270: 70526573 65727665 64000000 040d0223 pReserved......# + 2e280: 08000f00 00168402 000032d9 10010007 ..........2..... + 2e290: 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. + 2e2a0: 0000334b 08456e64 706f696e 74494400 ..3K.EndpointID. + 2e2b0: 00001684 02230008 466c6167 73000000 .....#..Flags... + 2e2c0: 16840223 01085061 796c6f61 644c656e ...#..PayloadLen + 2e2d0: 0000001c ac022302 08436f6e 74726f6c ......#..Control + 2e2e0: 42797465 73000000 32cc0223 0408486f Bytes...2..#..Ho + 2e2f0: 73745365 714e756d 0000001c ac022306 stSeqNum......#. + 2e300: 00120200 00336408 4d657373 61676549 .....3d.MessageI + 2e310: 44000000 1cac0223 00001208 000033c7 D......#......3. + 2e320: 084d6573 73616765 49440000 001cac02 .MessageID...... + 2e330: 23000843 72656469 74436f75 6e740000 #..CreditCount.. + 2e340: 001cac02 23020843 72656469 7453697a ....#..CreditSiz + 2e350: 65000000 1cac0223 04084d61 78456e64 e......#..MaxEnd + 2e360: 706f696e 74730000 00168402 2306085f points......#.._ + 2e370: 50616431 00000016 84022307 00120a00 Pad1......#..... + 2e380: 00345e08 4d657373 61676549 44000000 .4^.MessageID... + 2e390: 1cac0223 00085365 72766963 65494400 ...#..ServiceID. + 2e3a0: 00001cac 02230208 436f6e6e 65637469 .....#..Connecti + 2e3b0: 6f6e466c 61677300 00001cac 02230408 onFlags......#.. + 2e3c0: 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. + 2e3d0: 00168402 23060855 704c696e 6b506970 ....#..UpLinkPip + 2e3e0: 65494400 00001684 02230708 53657276 eID......#..Serv + 2e3f0: 6963654d 6574614c 656e6774 68000000 iceMetaLength... + 2e400: 16840223 08085f50 61643100 00001684 ...#.._Pad1..... + 2e410: 02230900 120a0000 34e6084d 65737361 .#......4..Messa + 2e420: 67654944 0000001c ac022300 08536572 geID......#..Ser + 2e430: 76696365 49440000 001cac02 23020853 viceID......#..S + 2e440: 74617475 73000000 16840223 0408456e tatus......#..En + 2e450: 64706f69 6e744944 00000016 84022305 dpointID......#. + 2e460: 084d6178 4d736753 697a6500 00001cac .MaxMsgSize..... + 2e470: 02230608 53657276 6963654d 6574614c .#..ServiceMetaL + 2e480: 656e6774 68000000 16840223 08085f50 ength......#.._P + 2e490: 61643100 00001684 02230900 12020000 ad1......#...... + 2e4a0: 34ff084d 65737361 67654944 0000001c 4..MessageID.... + 2e4b0: ac022300 00120400 00353b08 4d657373 ..#......5;.Mess + 2e4c0: 61676549 44000000 1cac0223 00085069 ageID......#..Pi + 2e4d0: 70654944 00000016 84022302 08437265 peID......#..Cre + 2e4e0: 64697443 6f756e74 00000016 84022303 ditCount......#. + 2e4f0: 00120400 00357208 4d657373 61676549 .....5r.MessageI + 2e500: 44000000 1cac0223 00085069 70654944 D......#..PipeID + 2e510: 00000016 84022302 08537461 74757300 ......#..Status. + 2e520: 00001684 02230300 12020000 35990852 .....#......5..R + 2e530: 65636f72 64494400 00001684 02230008 ecordID......#.. + 2e540: 4c656e67 74680000 00168402 23010012 Length......#... + 2e550: 02000035 c308456e 64706f69 6e744944 ...5..EndpointID + 2e560: 00000016 84022300 08437265 64697473 ......#..Credits + 2e570: 00000016 84022301 00120400 00360408 ......#......6.. + 2e580: 456e6470 6f696e74 49440000 00168402 EndpointID...... + 2e590: 23000843 72656469 74730000 00168402 #..Credits...... + 2e5a0: 23010854 67744372 65646974 5365714e #..TgtCreditSeqN + 2e5b0: 6f000000 1cac0223 02000f00 00168404 o......#........ + 2e5c0: 00003611 10030012 06000036 4d085072 ..6........6M.Pr + 2e5d0: 6556616c 69640000 00168402 2300084c eValid......#..L + 2e5e0: 6f6f6b41 68656164 00000036 04022301 ookAhead...6..#. + 2e5f0: 08506f73 7456616c 69640000 00168402 .PostValid...... + 2e600: 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ + 2e610: 74000000 040d0600 00364d01 03000036 t........6M....6 + 2e620: 60040002 01030000 366d0400 14040000 `.......6m...... + 2e630: 36eb0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C + 2e640: 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID + 2e650: 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP + 2e660: 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI + 2e670: 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO + 2e680: 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF + 2e690: 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. + 2e6a0: 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... + 2e6b0: 36760201 03000036 fc040006 00002649 6v.....6......&I + 2e6c0: 01030000 37050400 06000026 49010300 ....7......&I... + 2e6d0: 00371204 00020103 0000371f 04000762 .7........7....b + 2e6e0: 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 + 2e6f0: c1085f69 6e697400 00003666 02230008 .._init...6f.#.. + 2e700: 5f736875 74646f77 6e000000 366f0223 _shutdown...6o.# + 2e710: 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. + 2e720: 0036fe02 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu + 2e730: 66000000 370b0223 0c085f61 6c6c6f63 f...7..#.._alloc + 2e740: 5f627566 5f616c69 676e0000 00371802 _buf_align...7.. + 2e750: 2310085f 66726565 5f627566 00000037 #.._free_buf...7 + 2e760: 21022314 08705265 73657276 65640000 !.#..pReserved.. + 2e770: 00040d02 23180007 5f485443 5f534552 ....#..._HTC_SER + 2e780: 56494345 001c0000 38a00870 4e657874 VICE....8..pNext + 2e790: 00000038 a0022300 0850726f 63657373 ...8..#..Process + 2e7a0: 52656376 4d736700 00003955 02230408 RecvMsg...9U.#.. + 2e7b0: 50726f63 65737353 656e6442 75666665 ProcessSendBuffe + 2e7c0: 72436f6d 706c6574 65000000 395e0223 rComplete...9^.# + 2e7d0: 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect + 2e7e0: 00000039 7202230c 08536572 76696365 ...9r.#..Service + 2e7f0: 49440000 0012f202 23100853 65727669 ID......#..Servi + 2e800: 6365466c 61677300 000012f2 02231208 ceFlags......#.. + 2e810: 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... + 2e820: 12f20223 14085472 61696c65 72537063 ...#..TrailerSpc + 2e830: 43686563 6b4c696d 69740000 0012f202 CheckLimit...... + 2e840: 23160853 65727669 63654374 78000000 #..ServiceCtx... + 2e850: 040d0223 18000300 0037c104 00140400 ...#.....7...... + 2e860: 00393e19 454e4450 4f494e54 5f554e55 .9>.ENDPOINT_UNU + 2e870: 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN + 2e880: 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. + 2e890: 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END + 2e8a0: 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN + 2e8b0: 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. + 2e8c0: 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END + 2e8d0: 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN + 2e8e0: 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA + 2e8f0: 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN + 2e900: 545f4944 00000038 a7020103 00003953 T_ID...8......9S + 2e910: 04000201 03000039 5c040003 00000118 .......9\....... + 2e920: 04000600 0012de01 03000039 6c040003 ...........9l... + 2e930: 000037c1 0400075f 4854435f 434f4e46 ..7...._HTC_CONF + 2e940: 49470014 000039f1 08437265 64697453 IG....9..CreditS + 2e950: 697a6500 00000118 02230008 43726564 ize......#..Cred + 2e960: 69744e75 6d626572 00000001 18022304 itNumber......#. + 2e970: 084f5348 616e646c 65000000 1a480223 .OSHandle....H.# + 2e980: 08084849 4648616e 646c6500 000028b1 ..HIFHandle...(. + 2e990: 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 2e9a0: 00364d02 23100007 5f485443 5f425546 .6M.#..._HTC_BUF + 2e9b0: 5f434f4e 54455854 00020000 3a2d0865 _CONTEXT....:-.e + 2e9c0: 6e645f70 6f696e74 00000012 de022300 nd_point......#. + 2e9d0: 08687463 5f666c61 67730000 0012de02 .htc_flags...... + 2e9e0: 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t + 2e9f0: 00000004 0d094854 435f5345 5455505f ......HTC_SETUP_ + 2ea00: 434f4d50 4c455445 5f434200 00000111 COMPLETE_CB..... + 2ea10: 09485443 5f434f4e 46494700 00003980 .HTC_CONFIG...9. + 2ea20: 0300003a 5a040006 00003a2d 01030000 ...:Z.....:-.... + 2ea30: 3a710400 02010300 003a7e04 00094854 :q.......:~...HT + 2ea40: 435f5345 52564943 45000000 37c10300 C_SERVICE...7... + 2ea50: 003a8704 00020103 00003a9f 04000201 .:........:..... + 2ea60: 0300003a a8040002 01030000 3ab10400 ...:........:... + 2ea70: 06000001 18010300 003aba04 00076874 .........:....ht + 2ea80: 635f6170 69730034 00003c37 085f4854 c_apis.4..<7._HT + 2ea90: 435f496e 69740000 003a7702 2300085f C_Init...:w.#.._ + 2eaa0: 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: + 2eab0: 80022304 085f4854 435f5265 67697374 ..#.._HTC_Regist + 2eac0: 65725365 72766963 65000000 3aa10223 erService...:..# + 2ead0: 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: + 2eae0: 8002230c 085f4854 435f5265 7475726e ..#.._HTC_Return + 2eaf0: 42756666 65727300 00003aaa 02231008 Buffers...:..#.. + 2eb00: 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 2eb10: 72734c69 73740000 003ab302 2314085f rsList...:..#.._ + 2eb20: 4854435f 53656e64 4d736700 00003aaa HTC_SendMsg...:. + 2eb30: 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese + 2eb40: 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: + 2eb50: c002231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec + 2eb60: 7648616e 646c6572 00000028 5e022320 vHandler...(^.# + 2eb70: 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa + 2eb80: 6e646c65 72000000 28550223 24085f48 ndler...(U.#$._H + 2eb90: 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro + 2eba0: 63657373 4d736700 00003955 02232808 cessMsg...9U.#(. + 2ebb0: 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP + 2ebc0: 726f6365 73735365 6e64436f 6d706c65 rocessSendComple + 2ebd0: 74650000 00395e02 232c0870 52657365 te...9^.#,.pRese + 2ebe0: 72766564 00000004 0d022330 0007686f rved......#0..ho + 2ebf0: 73745f61 70705f61 7265615f 73000400 st_app_area_s... + 2ec00: 003c6708 776d695f 70726f74 6f636f6c ...tupleNumL.. + 2eda0: 001cac02 23000874 75706c65 4e756d48 ....#..tupleNumH + 2edb0: 0000001c ac022302 08617674 0000003d ......#..avt...= + 2edc0: cb022304 00120100 003e3108 62656163 ..#......>1.beac + 2edd0: 6f6e5065 6e64696e 67436f75 6e740000 onPendingCount.. + 2ede0: 00168402 23000007 5f574d49 5f535643 ....#..._WMI_SVC + 2edf0: 5f434f4e 46494700 1000003e 9a084874 _CONFIG....>..Ht + 2ee00: 6348616e 646c6500 00003a2d 02230008 cHandle...:-.#.. + 2ee10: 506f6f6c 48616e64 6c650000 00364d02 PoolHandle...6M. + 2ee20: 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv + 2ee30: 74730000 00011802 2308084d 61784576 ts......#..MaxEv + 2ee40: 656e7445 76747300 00000118 02230c00 entEvts......#.. + 2ee50: 02010300 003e9a04 0009574d 495f434d .....>....WMI_CM + 2ee60: 445f4841 4e444c45 52000000 3e9c075f D_HANDLER...>.._ + 2ee70: 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT + 2ee80: 52590008 00003f03 0870436d 6448616e RY....?..pCmdHan + 2ee90: 646c6572 0000003e a3022300 08436d64 dler...>..#..Cmd + 2eea0: 49440000 0012f202 23040846 6c616773 ID......#..Flags + 2eeb0: 00000012 f2022306 00075f57 4d495f44 ......#..._WMI_D + 2eec0: 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... + 2eed0: 003f6408 704e6578 74000000 3f640223 .?d.pNext...?d.# + 2eee0: 00087043 6f6e7465 78740000 00040d02 ..pContext...... + 2eef0: 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri + 2ef00: 65730000 00011802 23080870 5461626c es......#..pTabl + 2ef10: 65000000 3f830223 0c000300 003f0304 e...?..#.....?.. + 2ef20: 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E + 2ef30: 4e545259 0000003e b8030000 3f6b0400 NTRY...>....?k.. + 2ef40: 0300003f 03040009 4854435f 4255465f ...?....HTC_BUF_ + 2ef50: 434f4e54 45585400 000039f1 0d574d49 CONTEXT...9..WMI + 2ef60: 5f455654 5f434c41 53530004 0000401b _EVT_CLASS....@. + 2ef70: 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N + 2ef80: 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT + 2ef90: 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT + 2efa0: 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 2efb0: 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI + 2efc0: 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. + 2efd0: 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. + 2efe0: 00003fa6 075f574d 495f4255 465f434f ..?.._WMI_BUF_CO + 2eff0: 4e544558 54000c00 00407908 48746342 NTEXT....@y.HtcB + 2f000: 75664374 78000000 3f910223 00084576 ufCtx...?..#..Ev + 2f010: 656e7443 6c617373 00000040 1b022304 entClass...@..#. + 2f020: 08466c61 67730000 0012f202 23080009 .Flags......#... + 2f030: 776d695f 68616e64 6c655f74 00000004 wmi_handle_t.... + 2f040: 0d09574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG + 2f050: 0000003e 31030000 408b0400 06000040 ...>1...@......@ + 2f060: 79010300 0040a604 0009574d 495f4449 y....@....WMI_DI + 2f070: 53504154 43485f54 41424c45 0000003f SPATCH_TABLE...? + 2f080: 03030000 40b30400 02010300 0040d204 ....@........@.. + 2f090: 00060000 26490103 000040db 04000201 ....&I....@..... + 2f0a0: 03000040 e8040006 00000118 01030000 ...@............ + 2f0b0: 40f10400 02010300 0040fe04 00060000 @........@...... + 2f0c0: 12de0103 00004107 0400075f 776d695f ......A...._wmi_ + 2f0d0: 7376635f 61706973 002c0000 424f085f svc_apis.,..BO._ + 2f0e0: 574d495f 496e6974 00000040 ac022300 WMI_Init...@..#. + 2f0f0: 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi + 2f100: 73706174 63685461 626c6500 000040d4 spatchTable...@. + 2f110: 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv + 2f120: 656e7400 000040e1 02230808 5f574d49 ent...@..#.._WMI + 2f130: 5f53656e 64457665 6e740000 0040ea02 _SendEvent...@.. + 2f140: 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi + 2f150: 6e674576 656e7473 436f756e 74000000 ngEventsCount... + 2f160: 40f70223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC + 2f170: 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. + 2f180: 00395e02 2314085f 574d495f 47657443 .9^.#.._WMI_GetC + 2f190: 6f6e7472 6f6c4570 00000040 f7022318 ontrolEp...@..#. + 2f1a0: 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. + 2f1b0: 00410002 231c085f 574d495f 52656376 .A..#.._WMI_Recv + 2f1c0: 4d657373 61676548 616e646c 65720000 MessageHandler.. + 2f1d0: 00395502 2320085f 574d495f 53657276 .9U.# ._WMI_Serv + 2f1e0: 69636543 6f6e6e65 63740000 00410d02 iceConnect...A.. + 2f1f0: 23240870 52657365 72766564 00000004 #$.pReserved.... + 2f200: 0d022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. + 2f210: 14000042 d1086374 726c0000 00017c02 ...B..ctrl....|. + 2f220: 23000873 74617475 73000000 017c0223 #..status....|.# + 2f230: 0208746f 74616c4c 656e0000 00017c02 ..totalLen....|. + 2f240: 23040864 61746153 697a6500 0000017c #..dataSize....| + 2f250: 02230608 6c617374 41646472 00000042 .#..lastAddr...B + 2f260: d1022308 08646174 61416464 72000000 ..#..dataAddr... + 2f270: 01a00223 0c086e65 78744164 64720000 ...#..nextAddr.. + 2f280: 0042d102 23100003 0000424f 04000300 .B..#.....BO.... + 2f290: 00424f04 00077a73 446d6151 75657565 .BO...zsDmaQueue + 2f2a0: 00080000 43110868 65616400 000042d8 ....C..head...B. + 2f2b0: 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 2f2c0: 0042d802 23040007 7a735478 446d6151 .B..#...zsTxDmaQ + 2f2d0: 75657565 00100000 43750868 65616400 ueue....Cu.head. + 2f2e0: 000042d8 02230008 7465726d 696e6174 ..B..#..terminat + 2f2f0: 6f720000 0042d802 23040878 6d697465 or...B..#..xmite + 2f300: 645f6275 665f6865 61640000 00143d02 d_buf_head....=. + 2f310: 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta + 2f320: 696c0000 00143d02 230c0002 01030000 il....=.#....... + 2f330: 43750400 03000042 df040002 01030000 Cu.....B........ + 2f340: 43850400 03000043 11040002 01030000 C......C........ + 2f350: 43950400 02010300 00439e04 00020103 C........C...... + 2f360: 000043a7 04000600 00143d01 03000043 ..C.......=....C + 2f370: b0040002 01030000 43bd0400 06000014 ........C....... + 2f380: 3d010300 0043c604 00020103 000043d3 =....C........C. + 2f390: 04000600 00011801 03000043 dc040006 ...........C.... + 2f3a0: 000042d8 01030000 43e90400 02010300 ..B.....C....... + 2f3b0: 0043f604 0007646d 615f656e 67696e65 .C....dma_engine + 2f3c0: 5f617069 00400000 456c085f 696e6974 _api.@..El._init + 2f3d0: 00000043 77022300 085f696e 69745f72 ...Cw.#.._init_r + 2f3e0: 785f7175 65756500 00004387 02230408 x_queue...C..#.. + 2f3f0: 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. + 2f400: 00439702 2308085f 636f6e66 69675f72 .C..#.._config_r + 2f410: 785f7175 65756500 000043a0 02230c08 x_queue...C..#.. + 2f420: 5f786d69 745f6275 66000000 43a90223 _xmit_buf...C..# + 2f430: 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... + 2f440: 43870223 14085f72 6561705f 72656376 C..#.._reap_recv + 2f450: 5f627566 00000043 b6022318 085f7265 _buf...C..#.._re + 2f460: 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 2f470: 43bf0223 1c085f72 6561705f 786d6974 C..#.._reap_xmit + 2f480: 65645f62 75660000 0043cc02 2320085f ed_buf...C..# ._ + 2f490: 73776170 5f646174 61000000 43d50223 swap_data...C..# + 2f4a0: 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac + 2f4b0: 6b657473 00000043 e2022328 085f6465 kets...C..#(._de + 2f4c0: 73635f64 756d7000 00004387 02232c08 sc_dump...C..#,. + 2f4d0: 5f676574 5f706163 6b657400 000043ef _get_packet...C. + 2f4e0: 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac + 2f4f0: 6b657400 000043f8 02233408 5f707574 ket...C..#4._put + 2f500: 5f706163 6b657400 000043f8 02233808 _packet...C..#8. + 2f510: 70526573 65727665 64000000 040d0223 pReserved......# + 2f520: 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi + 2f530: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 2f540: 000030ab 09574d49 5f535643 5f415049 ..0..WMI_SVC_API + 2f550: 53000000 4114175f 415f6d61 67706965 S...A.._A_magpie + 2f560: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 2f570: 6c650003 4c000046 9a08636d 6e6f7300 le..L..F..cmnos. + 2f580: 0000456c 02230008 64626700 000003da ..El.#..dbg..... + 2f590: 0323b803 08686966 00000029 540323c0 .#...hif...)T.#. + 2f5a0: 03086874 63000000 3ac70323 f8030877 ..htc...:..#...w + 2f5b0: 6d695f73 76635f61 70690000 00458e03 mi_svc_api...E.. + 2f5c0: 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. + 2f5d0: 0000327f 0323d804 08627566 5f706f6f ..2..#...buf_poo + 2f5e0: 6c000000 37280323 e4040876 62756600 l...7(.#...vbuf. + 2f5f0: 00001467 03238005 08766465 73630000 ...g.#...vdesc.. + 2f600: 00134903 23940508 616c6c6f 6372616d ..I.#...allocram + 2f610: 00000009 3f0323a8 0508646d 615f656e ....?.#...dma_en + 2f620: 67696e65 00000043 ff0323b4 0508646d gine...C..#...dm + 2f630: 615f6c69 62000000 2be80323 f4050868 a_lib...+..#...h + 2f640: 69665f70 63690000 002e4803 23a80600 if_pci....H.#... + 2f650: 095f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 2f660: 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 2f670: 0045a003 00000693 04000201 1a010d63 .E.............c + 2f680: 6d6e6f73 5f737472 696e675f 696e6974 mnos_string_init + 2f690: 00010103 92012002 9000008e 2abc008e ...... .....*... + 2f6a0: 2ac11b01 12636d6e 6f735f73 7472696e *....cmnos_strin + 2f6b0: 675f6d6f 64756c65 5f696e73 74616c6c g_module_install + 2f6c0: 00010103 92012002 9000008e 2ac4008e ...... .....*... + 2f6d0: 2ae71c01 1274626c 00000046 bd015200 *....tbl...F..R. + 2f6e0: 00000000 48ad0002 000011a4 04012f72 ....H........./r + 2f6f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2f700: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2f710: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 2f720: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 2f730: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 2f740: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 2f750: 732f7461 736b6c65 742f7372 632f636d s/tasklet/src/cm + 2f760: 6e6f735f 7461736b 6c65742e 63002f72 nos_tasklet.c./r + 2f770: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2f780: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2f790: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 2f7a0: 2f636d6e 6f732f74 61736b6c 65740078 /cmnos/tasklet.x + 2f7b0: 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 + 2f7c0: 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr + 2f7d0: 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - + 2f7e0: 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... + 2f7f0: 0000a848 02010300 00011204 0004696e ...H..........in + 2f800: 74000504 04636861 72000701 05000001 t....char....... + 2f810: 22050000 01220300 00012f04 00060000 "...."..../..... + 2f820: 011b0103 0000013b 04000770 72696e74 .......;...print + 2f830: 665f6170 69000800 00017f08 5f707269 f_api......._pri + 2f840: 6e74665f 696e6974 00000001 14022300 ntf_init......#. + 2f850: 085f7072 696e7466 00000001 41022304 ._printf....A.#. + 2f860: 00047368 6f727420 756e7369 676e6564 ..short unsigned + 2f870: 20696e74 00070209 75696e74 31365f74 int....uint16_t + 2f880: 00000001 7f046c6f 6e672075 6e736967 ......long unsig + 2f890: 6e656420 696e7400 07040975 696e7433 ned int....uint3 + 2f8a0: 325f7400 000001a3 07756172 745f6669 2_t......uart_fi + 2f8b0: 666f0008 00000211 08737461 72745f69 fo.......start_i + 2f8c0: 6e646578 00000001 95022300 08656e64 ndex......#..end + 2f8d0: 5f696e64 65780000 00019502 2302086f _index......#..o + 2f8e0: 76657272 756e5f65 72720000 0001b802 verrun_err...... + 2f8f0: 23040007 75617274 5f617069 00200000 #...uart_api. .. + 2f900: 02ca085f 75617274 5f696e69 74000000 ..._uart_init... + 2f910: 03210223 00085f75 6172745f 63686172 .!.#.._uart_char + 2f920: 5f707574 00000003 48022304 085f7561 _put....H.#.._ua + 2f930: 72745f63 6861725f 67657400 0000035c rt_char_get....\ + 2f940: 02230808 5f756172 745f7374 725f6f75 .#.._uart_str_ou + 2f950: 74000000 03650223 0c085f75 6172745f t....e.#.._uart_ + 2f960: 7461736b 00000001 14022310 085f7561 task......#.._ua + 2f970: 72745f73 74617475 73000000 03210223 rt_status....!.# + 2f980: 14085f75 6172745f 636f6e66 69670000 .._uart_config.. + 2f990: 00036e02 2318085f 75617274 5f687769 ..n.#.._uart_hwi + 2f9a0: 6e697400 00000377 02231c00 03000002 nit....w.#...... + 2f9b0: 11040007 75617274 5f626c6b 00100000 ....uart_blk.... + 2f9c0: 031b0864 65627567 5f6d6f64 65000000 ...debug_mode... + 2f9d0: 01950223 00086261 75640000 00019502 ...#..baud...... + 2f9e0: 2302085f 75617274 00000002 ca022304 #.._uart......#. + 2f9f0: 085f7478 00000001 c6022308 00060000 ._tx......#..... + 2fa00: 01b80103 0000031b 04000475 6e736967 ...........unsig + 2fa10: 6e656420 63686172 00070109 75696e74 ned char....uint + 2fa20: 385f7400 00000328 02010300 00034604 8_t....(......F. + 2fa30: 00030000 03390400 06000001 95010300 .....9.......... + 2fa40: 00035604 00020103 00000363 04000201 ..V........c.... + 2fa50: 03000003 6c040002 01030000 03750400 ....l........u.. + 2fa60: 03000001 22040006 0000011b 01030000 ...."........... + 2fa70: 03850400 0744425f 434f4d4d 414e445f .....DB_COMMAND_ + 2fa80: 53545255 4354000c 000003dd 08636d64 STRUCT.......cmd + 2fa90: 5f737472 00000003 7e022300 0868656c _str....~.#..hel + 2faa0: 705f7374 72000000 037e0223 0408636d p_str....~.#..cm + 2fab0: 645f6675 6e630000 00038b02 23080007 d_func......#... + 2fac0: 6462675f 61706900 08000004 10085f64 dbg_api......._d + 2fad0: 62675f69 6e697400 00000114 02230008 bg_init......#.. + 2fae0: 5f646267 5f746173 6b000000 01140223 _dbg_task......# + 2faf0: 04000a04 0004756e 7369676e 65642069 ......unsigned i + 2fb00: 6e740007 04060000 04100103 00000423 nt.............# + 2fb10: 04000b0b 03000004 31040006 00000410 ........1....... + 2fb20: 01030000 04390400 06000001 1b010300 .....9.......... + 2fb30: 00044604 00076d65 6d5f6170 69001400 ..F...mem_api... + 2fb40: 0004b508 5f6d656d 5f696e69 74000000 ...._mem_init... + 2fb50: 01140223 00085f6d 656d7365 74000000 ...#.._memset... + 2fb60: 04290223 04085f6d 656d6370 79000000 .).#.._memcpy... + 2fb70: 043f0223 08085f6d 656d6d6f 76650000 .?.#.._memmove.. + 2fb80: 00043f02 230c085f 6d656d63 6d700000 ..?.#.._memcmp.. + 2fb90: 00044c02 2310000c 72656769 73746572 ..L.#...register + 2fba0: 5f64756d 705f7300 00010300 0004b504 _dump_s......... + 2fbb0: 00020103 000004cf 04000201 03000004 ................ + 2fbc0: d8040006 0000011b 01030000 04e10400 ................ + 2fbd0: 0d686f73 7469665f 73000400 00053d0e .hostif_s.....=. + 2fbe0: 4849465f 55534200 000e4849 465f5043 HIF_USB...HIF_PC + 2fbf0: 49450001 0e484946 5f474d41 4300020e IE...HIF_GMAC... + 2fc00: 4849465f 50434900 030e4849 465f4e55 HIF_PCI...HIF_NU + 2fc10: 4d00040e 4849465f 4e4f4e45 00050009 M...HIF_NONE.... + 2fc20: 415f484f 53544946 00000004 ee060000 A_HOSTIF........ + 2fc30: 053d0103 0000054b 04000600 00033901 .=.....K......9. + 2fc40: 03000005 58040006 00000195 01030000 ....X........... + 2fc50: 05650400 076d6973 635f6170 69002400 .e...misc_api.$. + 2fc60: 00065508 5f737973 74656d5f 72657365 ..U._system_rese + 2fc70: 74000000 01140223 00085f6d 61635f72 t......#.._mac_r + 2fc80: 65736574 00000001 14022304 085f6173 eset......#.._as + 2fc90: 73666169 6c000000 04d10223 08085f6d sfail......#.._m + 2fca0: 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 2fcb0: 616e646c 65720000 0004d102 230c085f andler......#.._ + 2fcc0: 7265706f 72745f66 61696c75 72655f74 report_failure_t + 2fcd0: 6f5f686f 73740000 0004da02 2310085f o_host......#.._ + 2fce0: 74617267 65745f69 645f6765 74000000 target_id_get... + 2fcf0: 04e70223 14085f69 735f686f 73745f70 ...#.._is_host_p + 2fd00: 72657365 6e740000 00055102 2318085f resent....Q.#.._ + 2fd10: 6b626869 74000000 055e0223 1c085f72 kbhit....^.#.._r + 2fd20: 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 2fd30: 00056b02 23200006 0000037e 01030000 ..k.# .....~.... + 2fd40: 06550400 06000003 7e010300 00066204 .U......~.....b. + 2fd50: 00060000 011b0103 0000066f 04000600 ...........o.... + 2fd60: 00011b01 03000006 7c040006 0000011b ........|....... + 2fd70: 01030000 06890400 07737472 696e675f .........string_ + 2fd80: 61706900 18000007 0f085f73 7472696e api......._strin + 2fd90: 675f696e 69740000 00011402 2300085f g_init......#.._ + 2fda0: 73747263 70790000 00065b02 2304085f strcpy....[.#.._ + 2fdb0: 7374726e 63707900 00000668 02230808 strncpy....h.#.. + 2fdc0: 5f737472 6c656e00 00000675 02230c08 _strlen....u.#.. + 2fdd0: 5f737472 636d7000 00000682 02231008 _strcmp......#.. + 2fde0: 5f737472 6e636d70 00000006 8f022314 _strncmp......#. + 2fdf0: 000f0000 04131400 00071c10 0400095f ..............._ + 2fe00: 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 2fe10: 070f0941 5f74696d 65725f74 00000007 ...A_timer_t.... + 2fe20: 1c030000 07300400 02010300 00074604 .....0........F. + 2fe30: 00020103 0000074f 04000941 5f48414e .......O...A_HAN + 2fe40: 444c4500 00000413 02010941 5f54494d DLE........A_TIM + 2fe50: 45525f46 554e4300 00000766 03000007 ER_FUNC....f.... + 2fe60: 68040002 01030000 07810400 0774696d h............tim + 2fe70: 65725f61 70690014 00000800 085f7469 er_api......._ti + 2fe80: 6d65725f 696e6974 00000001 14022300 mer_init......#. + 2fe90: 085f7469 6d65725f 61726d00 00000748 ._timer_arm....H + 2fea0: 02230408 5f74696d 65725f64 69736172 .#.._timer_disar + 2feb0: 6d000000 07510223 08085f74 696d6572 m....Q.#.._timer + 2fec0: 5f736574 666e0000 00078302 230c085f _setfn......#.._ + 2fed0: 74696d65 725f7275 6e000000 01140223 timer_run......# + 2fee0: 10000942 4f4f4c45 414e0000 00019506 ...BOOLEAN...... + 2fef0: 00000800 01030000 080d0400 06000008 ................ + 2ff00: 00010300 00081a04 00060000 08000103 ................ + 2ff10: 00000827 04000772 6f6d705f 61706900 ...'...romp_api. + 2ff20: 10000008 99085f72 6f6d705f 696e6974 ......_romp_init + 2ff30: 00000001 14022300 085f726f 6d705f64 ......#.._romp_d + 2ff40: 6f776e6c 6f616400 00000813 02230408 ownload......#.. + 2ff50: 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 2ff60: 08200223 08085f72 6f6d705f 6465636f . .#.._romp_deco + 2ff70: 64650000 00082d02 230c0007 726f6d5f de....-.#...rom_ + 2ff80: 70617463 685f7374 00100000 08f50863 patch_st.......c + 2ff90: 72633136 00000001 95022300 086c656e rc16......#..len + 2ffa0: 00000001 95022302 086c645f 61646472 ......#..ld_addr + 2ffb0: 00000001 b8022304 0866756e 5f616464 ......#..fun_add + 2ffc0: 72000000 01b80223 08087066 756e0000 r......#..pfun.. + 2ffd0: 00034f02 230c0007 6565705f 72656469 ..O.#...eep_redi + 2ffe0: 725f6164 64720004 00000927 086f6666 r_addr.....'.off + 2fff0: 73657400 00000195 02230008 73697a65 set......#..size + 30000: 00000001 95022302 0009415f 55494e54 ......#...A_UINT + 30010: 33320000 00041306 00000410 01030000 32.............. + 30020: 09350400 07616c6c 6f637261 6d5f6170 .5...allocram_ap + 30030: 69000c00 0009a608 636d6e6f 735f616c i.......cmnos_al + 30040: 6c6f6372 616d5f69 6e697400 0000093b locram_init....; + 30050: 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 30060: 616d0000 00093b02 23040863 6d6e6f73 am....;.#..cmnos + 30070: 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 30080: 00000114 02230800 02010300 0009a604 .....#.......... + 30090: 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 300a0: 00000009 a8075f74 61736b6c 65740010 ......_tasklet.. + 300b0: 00000a07 0866756e 63000000 09af0223 .....func......# + 300c0: 00086172 67000000 04100223 04087374 ..arg......#..st + 300d0: 61746500 0000011b 02230808 6e657874 ate......#..next + 300e0: 0000000a 0702230c 00030000 09c30400 ......#......... + 300f0: 03000009 c3040009 415f7461 736b6c65 ........A_taskle + 30100: 745f7400 000009c3 0300000a 15040002 t_t............. + 30110: 01030000 0a2d0400 02010300 000a3604 .....-........6. + 30120: 00077461 736b6c65 745f6170 69001400 ..tasklet_api... + 30130: 000acb08 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 30140: 74000000 01140223 00085f74 61736b6c t......#.._taskl + 30150: 65745f69 6e69745f 7461736b 0000000a et_init_task.... + 30160: 2f022304 085f7461 736b6c65 745f6469 /.#.._tasklet_di + 30170: 7361626c 65000000 0a380223 08085f74 sable....8.#.._t + 30180: 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 30190: 00000a38 02230c08 5f746173 6b6c6574 ...8.#.._tasklet + 301a0: 5f72756e 00000001 14022310 00020103 _run......#..... + 301b0: 00000acb 04000600 00092701 0300000a ..........'..... + 301c0: d4040002 01030000 0ae10400 07636c6f .............clo + 301d0: 636b5f61 70690024 00000bc3 085f636c ck_api.$....._cl + 301e0: 6f636b5f 696e6974 0000000a cd022300 ock_init......#. + 301f0: 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init + 30200: 00000001 14022304 085f7561 72745f66 ......#.._uart_f + 30210: 72657175 656e6379 0000000a da022308 requency......#. + 30220: 085f6465 6c61795f 75730000 000ae302 ._delay_us...... + 30230: 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se + 30240: 74000000 0ae30223 10085f72 6566636c t......#.._refcl + 30250: 6b5f7370 6565645f 67657400 00000ada k_speed_get..... + 30260: 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond + 30270: 73000000 0ada0223 18085f73 7973636c s......#.._syscl + 30280: 6b5f6368 616e6765 00000001 1402231c k_change......#. + 30290: 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... + 302a0: 14022320 00060000 01b80103 00000bc3 ..# ............ + 302b0: 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. + 302c0: 000001b8 0600000b d0010300 000be204 ................ + 302d0: 00020103 00000bef 04000201 0300000b ................ + 302e0: f8040006 000001b8 01030000 0c010400 ................ + 302f0: 09415f69 73725f74 0000000c 07020103 .A_isr_t........ + 30300: 00000c1b 04000600 00041301 0300000c ................ + 30310: 24040002 01030000 0c310400 07696e74 $........1...int + 30320: 725f6170 69002c00 000d5308 5f696e74 r_api.,...S._int + 30330: 725f696e 69740000 00011402 2300085f r_init......#.._ + 30340: 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. + 30350: 00000bc9 02230408 5f696e74 725f6469 .....#.._intr_di + 30360: 7361626c 65000000 0be80223 08085f69 sable......#.._i + 30370: 6e74725f 72657374 6f726500 00000bf1 ntr_restore..... + 30380: 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i + 30390: 6e756d00 00000bfa 02231008 5f696e74 num......#.._int + 303a0: 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... + 303b0: 0bfa0223 14085f69 6e74725f 61747461 ...#.._intr_atta + 303c0: 63685f69 73720000 000c1d02 2318085f ch_isr......#.._ + 303d0: 6765745f 696e7472 656e6162 6c650000 get_intrenable.. + 303e0: 000c2a02 231c085f 7365745f 696e7472 ..*.#.._set_intr + 303f0: 656e6162 6c650000 000c3302 2320085f enable....3.# ._ + 30400: 6765745f 696e7472 70656e64 696e6700 get_intrpending. + 30410: 00000c2a 02232408 5f756e62 6c6f636b ...*.#$._unblock + 30420: 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... + 30430: 14022328 00110400 000d7908 74696d65 ..#(......y.time + 30440: 6f757400 000001b8 02230008 61637469 out......#..acti + 30450: 6f6e0000 0001b802 23000012 0800000d on......#....... + 30460: 9408636d 64000000 01b80223 00130000 ..cmd......#.... + 30470: 0d530223 04000954 5f574454 5f434d44 .S.#...T_WDT_CMD + 30480: 0000000d 79020103 00000da3 04001404 ....y........... + 30490: 00000df9 0e454e55 4d5f5744 545f424f .....ENUM_WDT_BO + 304a0: 4f540001 0e454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B + 304b0: 4f4f5400 020e454e 554d5f53 5553505f OOT...ENUM_SUSP_ + 304c0: 424f4f54 00030e45 4e554d5f 554e4b4e BOOT...ENUM_UNKN + 304d0: 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO + 304e0: 4f545f54 59504500 00000dac 0600000d OT_TYPE......... + 304f0: f9010300 000e0a04 00077764 745f6170 ..........wdt_ap + 30500: 69001c00 000eae08 5f776474 5f696e69 i......._wdt_ini + 30510: 74000000 01140223 00085f77 64745f65 t......#.._wdt_e + 30520: 6e61626c 65000000 01140223 04085f77 nable......#.._w + 30530: 64745f64 69736162 6c650000 00011402 dt_disable...... + 30540: 2308085f 7764745f 73657400 00000da5 #.._wdt_set..... + 30550: 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... + 30560: 01140223 10085f77 64745f72 65736574 ...#.._wdt_reset + 30570: 00000001 14022314 085f7764 745f6c61 ......#.._wdt_la + 30580: 73745f62 6f6f7400 00000e10 02231800 st_boot......#.. + 30590: 14040000 0f150e52 45545f53 55434345 .......RET_SUCCE + 305a0: 53530000 0e524554 5f4e4f54 5f494e49 SS...RET_NOT_INI + 305b0: 5400010e 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS + 305c0: 5400020e 5245545f 4545505f 434f5252 T...RET_EEP_CORR + 305d0: 55505400 030e5245 545f4545 505f4f56 UPT...RET_EEP_OV + 305e0: 4552464c 4f570004 0e524554 5f554e4b ERFLOW...RET_UNK + 305f0: 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE + 30600: 54000000 0eae0300 00019504 00060000 T............... + 30610: 0f150103 00000f2b 04000600 000f1501 .......+........ + 30620: 0300000f 38040007 6565705f 61706900 ....8...eep_api. + 30630: 1000000f a1085f65 65705f69 6e697400 ......_eep_init. + 30640: 00000114 02230008 5f656570 5f726561 .....#.._eep_rea + 30650: 64000000 0f310223 04085f65 65705f77 d....1.#.._eep_w + 30660: 72697465 0000000f 31022308 085f6565 rite....1.#.._ee + 30670: 705f6973 5f657869 73740000 000f3e02 p_is_exist....>. + 30680: 230c0007 7573625f 61706900 70000012 #...usb_api.p... + 30690: 4e085f75 73625f69 6e697400 00000114 N._usb_init..... + 306a0: 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas + 306b0: 6b000000 01140223 04085f75 73625f66 k......#.._usb_f + 306c0: 775f7461 736b0000 00011402 2308085f w_task......#.._ + 306d0: 7573625f 696e6974 5f706879 00000001 usb_init_phy.... + 306e0: 1402230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se + 306f0: 74757000 00000114 02231008 5f757362 tup......#.._usb + 30700: 5f657030 5f747800 00000114 02231408 _ep0_tx......#.. + 30710: 5f757362 5f657030 5f727800 00000114 _usb_ep0_rx..... + 30720: 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int + 30730: 65726661 63650000 00082002 231c085f erface.... .#.._ + 30740: 7573625f 7365745f 696e7465 72666163 usb_set_interfac + 30750: 65000000 08200223 20085f75 73625f67 e.... .# ._usb_g + 30760: 65745f63 6f6e6669 67757261 74696f6e et_configuration + 30770: 00000008 20022324 085f7573 625f7365 .... .#$._usb_se + 30780: 745f636f 6e666967 75726174 696f6e00 t_configuration. + 30790: 00000820 02232808 5f757362 5f737461 ... .#(._usb_sta + 307a0: 6e646172 645f636d 64000000 08200223 ndard_cmd.... .# + 307b0: 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm + 307c0: 64000000 01140223 30085f75 73625f70 d......#0._usb_p + 307d0: 6f776572 5f6f6666 00000001 14022334 ower_off......#4 + 307e0: 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo + 307f0: 00000001 14022338 085f7573 625f6765 ......#8._usb_ge + 30800: 6e5f7764 74000000 01140223 3c085f75 n_wdt......#<._u + 30810: 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... + 30820: 14022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe + 30830: 61747572 65000000 08200223 44085f75 ature.... .#D._u + 30840: 73625f73 65745f66 65617475 72650000 sb_set_feature.. + 30850: 00082002 2348085f 7573625f 7365745f .. .#H._usb_set_ + 30860: 61646472 65737300 00000820 02234c08 address.... .#L. + 30870: 5f757362 5f676574 5f646573 63726970 _usb_get_descrip + 30880: 746f7200 00000820 02235008 5f757362 tor.... .#P._usb + 30890: 5f676574 5f737461 74757300 00000820 _get_status.... + 308a0: 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d + 308b0: 65736300 00000114 02235808 5f757362 esc......#X._usb + 308c0: 5f726567 5f6f7574 00000001 1402235c _reg_out......#\ + 308d0: 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. + 308e0: 00000114 02236008 5f757362 5f657030 .....#`._usb_ep0 + 308f0: 5f74785f 64617461 00000001 14022364 _tx_data......#d + 30900: 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat + 30910: 61000000 01140223 68085f75 73625f63 a......#h._usb_c + 30920: 6c6b5f69 6e697400 00000114 02236c00 lk_init......#l. + 30930: 075f5644 45534300 24000012 da086e65 ._VDESC.$.....ne + 30940: 78745f64 65736300 000012da 02230008 xt_desc......#.. + 30950: 6275665f 61646472 00000012 ee022304 buf_addr......#. + 30960: 08627566 5f73697a 65000000 12f50223 .buf_size......# + 30970: 08086461 74615f6f 66667365 74000000 ..data_offset... + 30980: 12f50223 0a086461 74615f73 697a6500 ...#..data_size. + 30990: 000012f5 02230c08 636f6e74 726f6c00 .....#..control. + 309a0: 000012f5 02230e08 68775f64 6573635f .....#..hw_desc_ + 309b0: 62756600 00001303 02231000 03000012 buf......#...... + 309c0: 4e040009 415f5549 4e543800 00000328 N...A_UINT8....( + 309d0: 03000012 e1040009 415f5549 4e543136 ........A_UINT16 + 309e0: 00000001 7f0f0000 12e11400 00131010 ................ + 309f0: 13000300 00124e04 00095644 45534300 ......N...VDESC. + 30a00: 0000124e 03000013 17040006 00001322 ...N..........." + 30a10: 01030000 13290400 06000012 ee010300 .....).......... + 30a20: 00133604 00020103 00001343 04000776 ..6........C...v + 30a30: 64657363 5f617069 00140000 13bb085f desc_api......._ + 30a40: 696e6974 0000000a e3022300 085f616c init......#.._al + 30a50: 6c6f635f 76646573 63000000 132f0223 loc_vdesc..../.# + 30a60: 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. + 30a70: 00133c02 2308085f 73776170 5f766465 ..<.#.._swap_vde + 30a80: 73630000 00134502 230c0870 52657365 sc....E.#..pRese + 30a90: 72766564 00000004 10022310 00075f56 rved......#..._V + 30aa0: 42554600 20000014 1b086465 73635f6c BUF. .....desc_l + 30ab0: 69737400 00001322 02230008 6e657874 ist....".#..next + 30ac0: 5f627566 00000014 1b022304 08627566 _buf......#..buf + 30ad0: 5f6c656e 67746800 000012f5 02230808 _length......#.. + 30ae0: 72657365 72766564 00000014 2202230a reserved....".#. + 30af0: 08637478 00000013 0302230c 00030000 .ctx......#..... + 30b00: 13bb0400 0f000012 e1020000 142f1001 ............./.. + 30b10: 00030000 13bb0400 09564255 46000000 .........VBUF... + 30b20: 13bb0300 00143604 00060000 14400103 ......6......@.. + 30b30: 00001447 04000600 00144001 03000014 ...G......@..... + 30b40: 54040002 01030000 14610400 07766275 T........a...vbu + 30b50: 665f6170 69001400 0014df08 5f696e69 f_api......._ini + 30b60: 74000000 0ae30223 00085f61 6c6c6f63 t......#.._alloc + 30b70: 5f766275 66000000 144d0223 04085f61 _vbuf....M.#.._a + 30b80: 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s + 30b90: 697a6500 0000145a 02230808 5f667265 ize....Z.#.._fre + 30ba0: 655f7662 75660000 00146302 230c0870 e_vbuf....c.#..p + 30bb0: 52657365 72766564 00000004 10022310 Reserved......#. + 30bc0: 00075f5f 6164665f 64657669 63650004 ..__adf_device.. + 30bd0: 00001501 0864756d 6d790000 00011b02 .....dummy...... + 30be0: 23000003 00000927 0400075f 5f616466 #......'...__adf + 30bf0: 5f646d61 5f6d6170 000c0000 15480862 _dma_map.....H.b + 30c00: 75660000 00144002 23000864 735f6164 uf....@.#..ds_ad + 30c10: 64720000 00150102 23040864 735f6c65 dr......#..ds_le + 30c20: 6e000000 12f50223 0800120c 00001582 n......#........ + 30c30: 085f5f76 615f7374 6b000000 037e0223 .__va_stk....~.# + 30c40: 00085f5f 76615f72 65670000 00037e02 ..__va_reg....~. + 30c50: 2304085f 5f76615f 6e647800 0000011b #..__va_ndx..... + 30c60: 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm + 30c70: 615f6164 64725f74 00000009 27096164 a_addr_t....'.ad + 30c80: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 30c90: 00001582 095f5f61 64665f6f 735f646d .....__adf_os_dm + 30ca0: 615f7369 7a655f74 00000009 27096164 a_size_t....'.ad + 30cb0: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 30cc0: 000015b2 075f5f64 6d615f73 65677300 .....__dma_segs. + 30cd0: 08000016 0e087061 64647200 0000159b ......paddr..... + 30ce0: 02230008 6c656e00 000015cb 02230400 .#..len......#.. + 30cf0: 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... + 30d00: 09270961 5f75696e 7433325f 74000000 .'.a_uint32_t... + 30d10: 160e0f00 0015e208 0000163d 10000007 ...........=.... + 30d20: 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 30d30: 666f000c 00001676 086e7365 67730000 fo.....v.nsegs.. + 30d40: 00162002 23000864 6d615f73 65677300 .. .#..dma_segs. + 30d50: 00001630 02230400 095f5f61 5f75696e ...0.#...__a_uin + 30d60: 74385f74 00000012 e109615f 75696e74 t8_t......a_uint + 30d70: 385f7400 00001676 03000016 87040007 8_t....v........ + 30d80: 5f5f7367 5f736567 73000800 0016c808 __sg_segs....... + 30d90: 76616464 72000000 16960223 00086c65 vaddr......#..le + 30da0: 6e000000 16200223 04000f00 00169d20 n.... .#....... + 30db0: 000016d5 10030007 6164665f 6f735f73 ........adf_os_s + 30dc0: 676c6973 74002400 00170808 6e736567 glist.$.....nseg + 30dd0: 73000000 16200223 00087367 5f736567 s.... .#..sg_seg + 30de0: 73000000 16c80223 04001210 00001751 s......#.......Q + 30df0: 0876656e 646f7200 00001620 02230008 .vendor.... .#.. + 30e00: 64657669 63650000 00162002 23040873 device.... .#..s + 30e10: 75627665 6e646f72 00000016 20022308 ubvendor.... .#. + 30e20: 08737562 64657669 63650000 00162002 .subdevice.... . + 30e30: 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un + 30e40: 7369676e 65642069 6e740007 0809415f signed int....A_ + 30e50: 55494e54 36340000 00175109 5f5f615f UINT64....Q.__a_ + 30e60: 75696e74 36345f74 00000017 6b09615f uint64_t....k.a_ + 30e70: 75696e74 36345f74 00000017 79140400 uint64_t....y... + 30e80: 0017d70e 4144465f 4f535f52 45534f55 ....ADF_OS_RESOU + 30e90: 5243455f 54595045 5f4d454d 00000e41 RCE_TYPE_MEM...A + 30ea0: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 30eb0: 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os + 30ec0: 5f726573 6f757263 655f7479 70655f74 _resource_type_t + 30ed0: 00000017 9b121800 00182108 73746172 ..........!.star + 30ee0: 74000000 178b0223 0008656e 64000000 t......#..end... + 30ef0: 178b0223 08087479 70650000 0017d702 ...#..type...... + 30f00: 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d + 30f10: 65765f69 645f7400 00001708 03000018 ev_id_t......... + 30f20: 21040011 04000018 60087063 69000000 !.......`.pci... + 30f30: 183a0223 00087261 77000000 04100223 .:.#..raw......# + 30f40: 00001110 0000187f 08706369 00000018 .........pci.... + 30f50: 21022300 08726177 00000004 10022300 !.#..raw......#. + 30f60: 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle + 30f70: 5f740000 00041009 6164665f 6f735f72 _t......adf_os_r + 30f80: 65736f75 7263655f 74000000 17f30300 esource_t....... + 30f90: 00189504 00096164 665f6f73 5f617474 ......adf_os_att + 30fa0: 6163685f 64617461 5f740000 00186003 ach_data_t....`. + 30fb0: 000018b3 04000300 0014df04 00095f5f ..............__ + 30fc0: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 30fd0: 000018d4 09616466 5f6f735f 64657669 .....adf_os_devi + 30fe0: 63655f74 00000018 db060000 187f0103 ce_t............ + 30ff0: 00001907 04000201 03000019 14040009 ................ + 31000: 6164665f 6f735f70 6d5f7400 00000410 adf_os_pm_t..... + 31010: 02010300 00192e04 00140400 00196e0e ..............n. + 31020: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 31030: 50434900 010e4144 465f4f53 5f425553 PCI...ADF_OS_BUS + 31040: 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... + 31050: 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type + 31060: 5f740000 00193709 6164665f 6f735f62 _t....7.adf_os_b + 31070: 75735f72 65675f64 6174615f 74000000 us_reg_data_t... + 31080: 18410300 00032804 00075f61 64665f64 .A....(..._adf_d + 31090: 72765f69 6e666f00 2000001a 4b086472 rv_info. ...K.dr + 310a0: 765f6174 74616368 00000019 0d022300 v_attach......#. + 310b0: 08647276 5f646574 61636800 00001916 .drv_detach..... + 310c0: 02230408 6472765f 73757370 656e6400 .#..drv_suspend. + 310d0: 00001930 02230808 6472765f 72657375 ...0.#..drv_resu + 310e0: 6d650000 00191602 230c0862 75735f74 me......#..bus_t + 310f0: 79706500 0000196e 02231008 6275735f ype....n.#..bus_ + 31100: 64617461 00000019 85022314 086d6f64 data......#..mod + 31110: 5f6e616d 65000000 19a00223 18086966 _name......#..if + 31120: 6e616d65 00000019 a002231c 00096164 name......#...ad + 31130: 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... + 31140: 04100300 00167604 00020102 01095f5f ......v.......__ + 31150: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 31160: 04131404 00001a9a 0e415f46 414c5345 .........A_FALSE + 31170: 00000e41 5f545255 45000100 09615f62 ...A_TRUE....a_b + 31180: 6f6f6c5f 74000000 1a800300 00150804 ool_t........... + 31190: 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m + 311a0: 61705f74 0000001a a802010d 6164665f ap_t........adf_ + 311b0: 6f735f63 61636865 5f73796e 63000400 os_cache_sync... + 311c0: 001b320e 4144465f 53594e43 5f505245 ..2.ADF_SYNC_PRE + 311d0: 52454144 00000e41 44465f53 594e435f READ...ADF_SYNC_ + 311e0: 50524557 52495445 00020e41 44465f53 PREWRITE...ADF_S + 311f0: 594e435f 504f5354 52454144 00010e41 YNC_POSTREAD...A + 31200: 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT + 31210: 45000300 09616466 5f6f735f 63616368 E....adf_os_cach + 31220: 655f7379 6e635f74 0000001a c9020109 e_sync_t........ + 31230: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... + 31240: 1a6b0600 001b4d01 09616466 5f6f735f .k....M..adf_os_ + 31250: 646d615f 6d61705f 74000000 1aaf0300 dma_map_t....... + 31260: 001b6604 00060000 04100103 00001aaf ..f............. + 31270: 04000600 00041001 02010600 00159b01 ................ + 31280: 02010473 686f7274 20696e74 00050209 ...short int.... + 31290: 415f494e 54313600 00001ba0 095f5f61 A_INT16......__a + 312a0: 5f696e74 31365f74 0000001b ad09615f _int16_t......a_ + 312b0: 696e7431 365f7400 00001bba 04736967 int16_t......sig + 312c0: 6e656420 63686172 00050109 415f494e ned char....A_IN + 312d0: 54380000 001bda09 5f5f615f 696e7438 T8......__a_int8 + 312e0: 5f740000 001be909 615f696e 74385f74 _t......a_int8_t + 312f0: 0000001b f5120c00 001c6c08 73757070 ..........l.supp + 31300: 6f727465 64000000 16200223 00086164 orted.... .#..ad + 31310: 76657274 697a6564 00000016 20022304 vertized.... .#. + 31320: 08737065 65640000 001bcb02 23080864 .speed......#..d + 31330: 75706c65 78000000 1c050223 0a086175 uplex......#..au + 31340: 746f6e65 67000000 16870223 0b000f00 toneg......#.... + 31350: 00168706 00001c79 10050007 6164665f .......y....adf_ + 31360: 6e65745f 65746861 64647200 0600001c net_ethaddr..... + 31370: 9d086164 64720000 001c6c02 23000009 ..addr....l.#... + 31380: 5f5f615f 75696e74 31365f74 00000012 __a_uint16_t.... + 31390: f509615f 75696e74 31365f74 0000001c ..a_uint16_t.... + 313a0: 9d120e00 001d0108 65746865 725f6468 ........ether_dh + 313b0: 6f737400 00001c6c 02230008 65746865 ost....l.#..ethe + 313c0: 725f7368 6f737400 00001c6c 02230608 r_shost....l.#.. + 313d0: 65746865 725f7479 70650000 001caf02 ether_type...... + 313e0: 230c0012 1400001d c2156970 5f766572 #.........ip_ver + 313f0: 73696f6e 00000016 87010004 02230015 sion.........#.. + 31400: 69705f68 6c000000 16870104 04022300 ip_hl.........#. + 31410: 0869705f 746f7300 00001687 02230108 .ip_tos......#.. + 31420: 69705f6c 656e0000 001caf02 23020869 ip_len......#..i + 31430: 705f6964 0000001c af022304 0869705f p_id......#..ip_ + 31440: 66726167 5f6f6666 0000001c af022306 frag_off......#. + 31450: 0869705f 74746c00 00001687 02230808 .ip_ttl......#.. + 31460: 69705f70 726f746f 00000016 87022309 ip_proto......#. + 31470: 0869705f 63686563 6b000000 1caf0223 .ip_check......# + 31480: 0a086970 5f736164 64720000 00162002 ..ip_saddr.... . + 31490: 230c0869 705f6461 64647200 00001620 #..ip_daddr.... + 314a0: 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla + 314b0: 6e686472 00040000 1e140874 70696400 nhdr.......tpid. + 314c0: 00001caf 02230015 7072696f 00000016 .....#..prio.... + 314d0: 87010003 02230215 63666900 00001687 .....#..cfi..... + 314e0: 01030102 23021576 69640000 001caf02 ....#..vid...... + 314f0: 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v + 31500: 69640002 00001e45 15726573 00000016 id.....E.res.... + 31510: 87010004 02230015 76616c00 00001caf .....#..val..... + 31520: 02040c02 23000012 0c00001e 81087278 ....#.........rx + 31530: 5f627566 73697a65 00000016 20022300 _bufsize.... .#. + 31540: 0872785f 6e646573 63000000 16200223 .rx_ndesc.... .# + 31550: 04087478 5f6e6465 73630000 00162002 ..tx_ndesc.... . + 31560: 23080012 0800001e a708706f 6c6c6564 #.........polled + 31570: 0000001a 9a022300 08706f6c 6c5f7774 ......#..poll_wt + 31580: 00000016 20022304 000f0000 16874000 .... .#.......@. + 31590: 001eb410 3f001246 00001edc 0869665f ....?..F.....if_ + 315a0: 6e616d65 0000001e a7022300 08646576 name......#..dev + 315b0: 5f616464 72000000 1c6c0223 40001404 _addr....l.#@... + 315c0: 00001f13 0e414446 5f4f535f 444d415f .....ADF_OS_DMA_ + 315d0: 4d41534b 5f333242 49540000 0e414446 MASK_32BIT...ADF + 315e0: 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B + 315f0: 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma + 31600: 5f6d6173 6b5f7400 00001edc 07616466 _mask_t......adf + 31610: 5f646d61 5f696e66 6f000800 001f6008 _dma_info.....`. + 31620: 646d615f 6d61736b 0000001f 13022300 dma_mask......#. + 31630: 0873675f 6e736567 73000000 16200223 .sg_nsegs.... .# + 31640: 04001404 00001fb6 0e414446 5f4e4554 .........ADF_NET + 31650: 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD + 31660: 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 31670: 5544505f 49507634 00010e41 44465f4e UDP_IPv4...ADF_N + 31680: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 31690: 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net + 316a0: 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 316b0: 1f601208 00001ff9 0874785f 636b7375 .`.......tx_cksu + 316c0: 6d000000 1fb60223 00087278 5f636b73 m......#..rx_cks + 316d0: 756d0000 001fb602 23040009 6164665f um......#...adf_ + 316e0: 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t + 316f0: 0000001f d0140400 0020520e 4144465f ......... R.ADF_ + 31700: 4e45545f 54534f5f 4e4f4e45 00000e41 NET_TSO_NONE...A + 31710: 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. + 31720: 010e4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL + 31730: 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso + 31740: 5f747970 655f7400 00002013 12100000 _type_t... ..... + 31750: 20a60863 6b73756d 5f636170 0000001f ..cksum_cap.... + 31760: f9022300 0874736f 00000020 52022308 ..#..tso... R.#. + 31770: 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. + 31780: 00001687 02230c00 12200000 213f0874 .....#... ..!?.t + 31790: 785f7061 636b6574 73000000 16200223 x_packets.... .# + 317a0: 00087278 5f706163 6b657473 00000016 ..rx_packets.... + 317b0: 20022304 0874785f 62797465 73000000 .#..tx_bytes... + 317c0: 16200223 08087278 5f627974 65730000 . .#..rx_bytes.. + 317d0: 00162002 230c0874 785f6472 6f707065 .. .#..tx_droppe + 317e0: 64000000 16200223 10087278 5f64726f d.... .#..rx_dro + 317f0: 70706564 00000016 20022314 0872785f pped.... .#..rx_ + 31800: 6572726f 72730000 00162002 23180874 errors.... .#..t + 31810: 785f6572 726f7273 00000016 2002231c x_errors.... .#. + 31820: 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 31830: 725f7400 00001c79 16000021 3f030000 r_t....y...!?... + 31840: 00216410 7f001761 64665f6e 65745f63 .!d....adf_net_c + 31850: 6d645f6d 63616464 72000304 0000219b md_mcaddr.....!. + 31860: 086e656c 656d0000 00162002 2300086d .nelem.... .#..m + 31870: 63617374 00000021 56022304 00096164 cast...!V.#...ad + 31880: 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i + 31890: 6e666f5f 74000000 1c130961 64665f6e nfo_t......adf_n + 318a0: 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info + 318b0: 5f740000 001e8109 6164665f 6e65745f _t......adf_net_ + 318c0: 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t + 318d0: 0000001f f9096164 665f6e65 745f636d ......adf_net_cm + 318e0: 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... + 318f0: 1e450961 64665f6e 65745f63 6d645f64 .E.adf_net_cmd_d + 31900: 6d615f69 6e666f5f 74000000 1f2a0961 ma_info_t....*.a + 31910: 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t + 31920: 0000001c af096164 665f6e65 745f636d ......adf_net_cm + 31930: 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. + 31940: 0000206a 09616466 5f6e6574 5f636d64 .. j.adf_net_cmd + 31950: 5f737461 74735f74 00000020 a6096164 _stats_t... ..ad + 31960: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 31970: 5f740000 0021640d 6164665f 6e65745f _t...!d.adf_net_ + 31980: 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... + 31990: 0022dd0e 4144465f 4e45545f 4d434153 ."..ADF_NET_MCAS + 319a0: 545f5355 5000000e 4144465f 4e45545f T_SUP...ADF_NET_ + 319b0: 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... + 319c0: 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 319d0: 745f6361 705f7400 00002295 18030400 t_cap_t..."..... + 319e0: 0023af08 6c696e6b 5f696e66 6f000000 .#..link_info... + 319f0: 219b0223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. + 31a00: 000021b8 02230008 636b7375 6d5f696e ..!..#..cksum_in + 31a10: 666f0000 0021d502 23000872 696e675f fo...!..#..ring_ + 31a20: 696e666f 00000021 f3022300 08646d61 info...!..#..dma + 31a30: 5f696e66 6f000000 22100223 00087669 _info..."..#..vi + 31a40: 64000000 222c0223 00086f66 666c6f61 d...",.#..offloa + 31a50: 645f6361 70000000 22430223 00087374 d_cap..."C.#..st + 31a60: 61747300 00002262 02230008 6d636173 ats..."b.#..mcas + 31a70: 745f696e 666f0000 00227b02 2300086d t_info..."{.#..m + 31a80: 63617374 5f636170 00000022 dd022300 cast_cap..."..#. + 31a90: 00140400 0024060e 4144465f 4e425546 .....$..ADF_NBUF + 31aa0: 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. + 31ab0: 0e414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 31ac0: 554d5f48 5700010e 4144465f 4e425546 UM_HW...ADF_NBUF + 31ad0: 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE + 31ae0: 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu + 31af0: 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ + 31b00: 74000000 23af1208 00002446 08726573 t...#.....$F.res + 31b10: 756c7400 00002406 02230008 76616c00 ult...$..#..val. + 31b20: 00001620 02230400 12080000 24760874 ... .#......$v.t + 31b30: 79706500 00002052 02230008 6d737300 ype... R.#..mss. + 31b40: 00001caf 02230408 6864725f 6f666600 .....#..hdr_off. + 31b50: 00001687 02230600 075f5f61 64665f6e .....#...__adf_n + 31b60: 6275665f 71686561 64000c00 0024b508 buf_qhead....$.. + 31b70: 68656164 00000014 40022300 08746169 head....@.#..tai + 31b80: 6c000000 14400223 0408716c 656e0000 l....@.#..qlen.. + 31b90: 00162002 23080009 5f5f6164 665f6e62 .. .#...__adf_nb + 31ba0: 75665f74 00000014 40030000 16960400 uf_t....@....... + 31bb0: 03000016 20040002 01060000 13220106 .... ........".. + 31bc0: 00001620 01060000 16960106 00001696 ... ............ + 31bd0: 01030000 13030400 095f5f61 64665f6e .........__adf_n + 31be0: 6275665f 71686561 645f7400 00002476 buf_qhead_t...$v + 31bf0: 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu + 31c00: 655f7400 000024f6 03000025 0e040006 e_t...$....%.... + 31c10: 000024b5 01060000 24b50114 04000026 ..$.....$......& + 31c20: 2e0e415f 53544154 55535f4f 4b00000e ..A_STATUS_OK... + 31c30: 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. + 31c40: 010e415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN + 31c50: 5400020e 415f5354 41545553 5f454e4f T...A_STATUS_ENO + 31c60: 4d454d00 030e415f 53544154 55535f45 MEM...A_STATUS_E + 31c70: 494e5641 4c00040e 415f5354 41545553 INVAL...A_STATUS + 31c80: 5f45494e 50524f47 52455353 00050e41 _EINPROGRESS...A + 31c90: 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP + 31ca0: 00060e41 5f535441 5455535f 45425553 ...A_STATUS_EBUS + 31cb0: 5900070e 415f5354 41545553 5f453242 Y...A_STATUS_E2B + 31cc0: 49470008 0e415f53 54415455 535f4541 IG...A_STATUS_EA + 31cd0: 4444524e 4f544156 41494c00 090e415f DDRNOTAVAIL...A_ + 31ce0: 53544154 55535f45 4e58494f 000a0e41 STATUS_ENXIO...A + 31cf0: 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. + 31d00: 0e415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... + 31d10: 09615f73 74617475 735f7400 00002539 .a_status_t...%9 + 31d20: 06000026 2e010600 00011b01 02010961 ...&...........a + 31d30: 64665f6e 6275665f 74000000 24b51404 df_nbuf_t...$... + 31d40: 00002693 0e414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ + 31d50: 544f5f44 45564943 4500000e 4144465f TO_DEVICE...ADF_ + 31d60: 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI + 31d70: 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma + 31d80: 5f646972 5f740000 00265c06 0000262e _dir_t...&\...&. + 31d90: 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama + 31da0: 705f696e 666f5f74 00000016 3d030000 p_info_t....=... + 31db0: 26b10400 02010201 06000026 4c010600 &..........&L... + 31dc0: 0024b501 02010201 06000026 4c010600 .$.........&L... + 31dd0: 0024b501 06000026 4c010600 0024b501 .$.....&L....$.. + 31de0: 06000026 4c010201 02010600 00162001 ...&L......... . + 31df0: 06000016 96010201 02010600 001b4d01 ..............M. + 31e00: 0600001a 9a010600 001a9a01 09616466 .............adf + 31e10: 5f6f735f 73676c69 73745f74 00000016 _os_sglist_t.... + 31e20: d5030000 272a0400 02010201 02010600 ....'*.......... + 31e30: 00169601 09616466 5f6e6275 665f7175 .....adf_nbuf_qu + 31e40: 6575655f 74000000 250e0300 00275204 eue_t...%....'R. + 31e50: 00020103 000024f6 04000201 02010201 ......$......... + 31e60: 06000026 4c010600 0024b501 06000016 ...&L....$...... + 31e70: 20010600 00162001 0600001a 9a010600 ..... ......... + 31e80: 001a9a01 0600001f b6010600 00162001 .............. . + 31e90: 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 31ea0: 756d5f74 00000024 24030000 27ae0400 um_t...$$...'... + 31eb0: 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts + 31ec0: 6f5f7400 00002446 03000027 d2040002 o_t...$F...'.... + 31ed0: 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand + 31ee0: 6c655f74 00000004 10096164 665f6e65 le_t......adf_ne + 31ef0: 745f766c 616e6864 725f7400 00001dc2 t_vlanhdr_t..... + 31f00: 03000028 07040006 0000262e 01060000 ...(......&..... + 31f10: 262e0102 01020107 5f484946 5f434f4e &......._HIF_CON + 31f20: 46494700 04000028 56086475 6d6d7900 FIG....(V.dummy. + 31f30: 0000011b 02230000 02010300 00285604 .....#.......(V. + 31f40: 00020103 0000285f 0400075f 4849465f ......(_..._HIF_ + 31f50: 43414c4c 4241434b 000c0000 28b40873 CALLBACK....(..s + 31f60: 656e645f 6275665f 646f6e65 00000028 end_buf_done...( + 31f70: 58022300 08726563 765f6275 66000000 X.#..recv_buf... + 31f80: 28610223 0408636f 6e746578 74000000 (a.#..context... + 31f90: 04100223 08000968 69665f68 616e646c ...#...hif_handl + 31fa0: 655f7400 00000410 09484946 5f434f4e e_t......HIF_CON + 31fb0: 46494700 00002835 03000028 c6040006 FIG...(5...(.... + 31fc0: 000028b4 01030000 28dd0400 02010300 ..(.....(....... + 31fd0: 0028ea04 00094849 465f4341 4c4c4241 .(....HIF_CALLBA + 31fe0: 434b0000 00286803 000028f3 04000201 CK...(h...(..... + 31ff0: 03000029 0c040006 0000011b 01030000 ...)............ + 32000: 29150400 02010300 00292204 00060000 )........)"..... + 32010: 011b0103 0000292b 04000201 03000029 ......)+.......) + 32020: 38040006 0000011b 01030000 29410400 8...........)A.. + 32030: 02010300 00294e04 00076869 665f6170 .....)N...hif_ap + 32040: 69003800 002aa708 5f696e69 74000000 i.8..*.._init... + 32050: 28e30223 00085f73 68757464 6f776e00 (..#.._shutdown. + 32060: 000028ec 02230408 5f726567 69737465 ..(..#.._registe + 32070: 725f6361 6c6c6261 636b0000 00290e02 r_callback...).. + 32080: 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr + 32090: 65646974 5f636f75 6e740000 00291b02 edit_count...).. + 320a0: 230c085f 73746172 74000000 28ec0223 #.._start...(..# + 320b0: 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. + 320c0: 00292402 2314085f 73656e64 5f627566 .)$.#.._send_buf + 320d0: 66657200 00002931 02231808 5f726574 fer...)1.#.._ret + 320e0: 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) + 320f0: 3a02231c 085f6973 5f706970 655f7375 :.#.._is_pipe_su + 32100: 70706f72 74656400 00002947 02232008 pported...)G.# . + 32110: 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len + 32120: 00000029 47022324 085f6765 745f7265 ...)G.#$._get_re + 32130: 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 32140: 0000291b 02232808 5f697372 5f68616e ..)..#(._isr_han + 32150: 646c6572 00000028 ec02232c 085f6765 dler...(..#,._ge + 32160: 745f6465 6661756c 745f7069 70650000 t_default_pipe.. + 32170: 00295002 23300870 52657365 72766564 .)P.#0.pReserved + 32180: 00000004 10022334 000d646d 615f656e ......#4..dma_en + 32190: 67696e65 00040000 2b300e44 4d415f45 gine....+0.DMA_E + 321a0: 4e47494e 455f5258 3000000e 444d415f NGINE_RX0...DMA_ + 321b0: 454e4749 4e455f52 58310001 0e444d41 ENGINE_RX1...DMA + 321c0: 5f454e47 494e455f 52583200 020e444d _ENGINE_RX2...DM + 321d0: 415f454e 47494e45 5f525833 00030e44 A_ENGINE_RX3...D + 321e0: 4d415f45 4e47494e 455f5458 3000040e MA_ENGINE_TX0... + 321f0: 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. + 32200: 0e444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. + 32210: 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. + 32220: 00002aa7 0d646d61 5f696674 79706500 ..*..dma_iftype. + 32230: 0400002b 7d0e444d 415f4946 5f474d41 ...+}.DMA_IF_GMA + 32240: 4300000e 444d415f 49465f50 43490001 C...DMA_IF_PCI.. + 32250: 0e444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... + 32260: 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ + 32270: 42060000 12f50103 00002b8f 04000201 B.........+..... + 32280: 0300002b 9c040002 01030000 2ba50400 ...+........+... + 32290: 06000009 27010300 002bae04 00060000 ....'....+...... + 322a0: 12f50103 00002bbb 04000600 0012f501 ......+......... + 322b0: 0300002b c8040006 00001440 01030000 ...+.......@.... + 322c0: 2bd50400 02010300 002be204 0007646d +........+....dm + 322d0: 615f6c69 625f6170 69003400 002ce908 a_lib_api.4..,.. + 322e0: 74785f69 6e697400 00002b95 02230008 tx_init...+..#.. + 322f0: 74785f73 74617274 0000002b 9e022304 tx_start...+..#. + 32300: 0872785f 696e6974 0000002b 95022308 .rx_init...+..#. + 32310: 0872785f 636f6e66 69670000 002ba702 .rx_config...+.. + 32320: 230c0872 785f7374 61727400 00002b9e #..rx_start...+. + 32330: 02231008 696e7472 5f737461 74757300 .#..intr_status. + 32340: 00002bb4 02231408 68617264 5f786d69 ..+..#..hard_xmi + 32350: 74000000 2bc10223 1808666c 7573685f t...+..#..flush_ + 32360: 786d6974 0000002b 9e02231c 08786d69 xmit...+..#..xmi + 32370: 745f646f 6e650000 002bce02 23200872 t_done...+..# .r + 32380: 6561705f 786d6974 74656400 00002bdb eap_xmitted...+. + 32390: 02232408 72656170 5f726563 76000000 .#$.reap_recv... + 323a0: 2bdb0223 28087265 7475726e 5f726563 +..#(.return_rec + 323b0: 76000000 2be40223 2c087265 63765f70 v...+..#,.recv_p + 323c0: 6b740000 002bce02 23300007 5f5f7063 kt...+..#0..__pc + 323d0: 695f736f 66746300 0c00002d 07087377 i_softc....-..sw + 323e0: 00000028 f3022300 00095f5f 7063695f ...(..#...__pci_ + 323f0: 736f6674 635f7400 00002ce9 0300002d softc_t...,....- + 32400: 07040002 01030000 2d210400 06000012 ........-!...... + 32410: e1010300 002d2a04 000d6869 665f7063 .....-*...hif_pc + 32420: 695f7069 70655f74 78000400 002d8a0e i_pipe_tx....-.. + 32430: 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 + 32440: 00000e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 32450: 54583100 010e4849 465f5043 495f5049 TX1...HIF_PCI_PI + 32460: 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif + 32470: 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. + 32480: 002d3706 00002b30 01030000 2da10400 .-7...+0....-... + 32490: 0d686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 324a0: 00040000 2e270e48 49465f50 43495f50 .....'.HIF_PCI_P + 324b0: 4950455f 52583000 000e4849 465f5043 IPE_RX0...HIF_PC + 324c0: 495f5049 50455f52 58310001 0e484946 I_PIPE_RX1...HIF + 324d0: 5f504349 5f504950 455f5258 3200020e _PCI_PIPE_RX2... + 324e0: 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 + 324f0: 00030e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 32500: 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc + 32510: 695f7069 70655f72 785f7400 00002dae i_pipe_rx_t...-. + 32520: 0600002b 30010300 002e3e04 00076869 ...+0.....>...hi + 32530: 665f7063 695f6170 69002400 002f1c08 f_pci_api.$../.. + 32540: 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... + 32550: 01140223 00087063 695f696e 69740000 ...#..pci_init.. + 32560: 0028e302 23040870 63695f72 65736574 .(..#..pci_reset + 32570: 00000001 14022308 08706369 5f656e61 ......#..pci_ena + 32580: 626c6500 00000114 02230c08 7063695f ble......#..pci_ + 32590: 72656170 5f786d69 74746564 0000002d reap_xmitted...- + 325a0: 23022310 08706369 5f726561 705f7265 #.#..pci_reap_re + 325b0: 63760000 002d2302 23140870 63695f67 cv...-#.#..pci_g + 325c0: 65745f70 69706500 00002d30 02231808 et_pipe...-0.#.. + 325d0: 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. + 325e0: 002da702 231c0870 63695f67 65745f72 .-..#..pci_get_r + 325f0: 785f656e 67000000 2e440223 20000767 x_eng....D.# ..g + 32600: 6d61635f 61706900 0400002f 4308676d mac_api..../C.gm + 32610: 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... + 32620: 14022300 000f0000 03280600 002f5010 ..#......(.../P. + 32630: 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ + 32640: 86086473 74000000 2f430223 00087372 ..dst.../C.#..sr + 32650: 63000000 2f430223 06086574 79706500 c.../C.#..etype. + 32660: 000012f5 02230c00 075f5f61 74686864 .....#...__athhd + 32670: 72000400 002fd415 72657300 000012e1 r..../..res..... + 32680: 01000202 23001570 726f746f 00000012 ....#..proto.... + 32690: e1010206 02230008 7265735f 6c6f0000 .....#..res_lo.. + 326a0: 0012e102 23010872 65735f68 69000000 ....#..res_hi... + 326b0: 12f50223 0200075f 5f676d61 635f6864 ...#...__gmac_hd + 326c0: 72001400 00301008 65746800 00002f50 r....0..eth.../P + 326d0: 02230008 61746800 00002f86 02230e08 .#..ath.../..#.. + 326e0: 616c6967 6e5f7061 64000000 12f50223 align_pad......# + 326f0: 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. + 32700: 00002fd4 075f5f67 6d61635f 736f6674 ../..__gmac_soft + 32710: 63002400 00305a08 68647200 00003010 c.$..0Z.hdr...0. + 32720: 02230008 6772616e 00000012 f5022314 .#..gran......#. + 32730: 08737700 000028f3 02231800 075f415f .sw...(..#..._A_ + 32740: 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check + 32750: 00080000 30930876 65727369 6f6e0000 ....0..version.. + 32760: 00011b02 23000874 61626c65 00000001 ....#..table.... + 32770: 1b022304 00030000 305a0400 06000001 ..#.....0Z...... + 32780: 1b010300 00309a04 00030000 04130400 .....0.......... + 32790: 175f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire + 327a0: 6374696f 6e5f7461 626c6500 01b80000 ction_table..... + 327b0: 31ea0868 616c5f6c 696e6b61 67655f63 1..hal_linkage_c + 327c0: 6865636b 00000030 a0022300 08737461 heck...0..#..sta + 327d0: 72745f62 73730000 0030a702 23040861 rt_bss...0..#..a + 327e0: 70705f73 74617274 00000001 14022308 pp_start......#. + 327f0: 086d656d 00000004 5302230c 086d6973 .mem....S.#..mis + 32800: 63000000 05720223 20087072 696e7466 c....r.# .printf + 32810: 00000001 48022344 08756172 74000000 ....H.#D.uart... + 32820: 02110223 4c08676d 61630000 002f1c02 ...#L.gmac.../.. + 32830: 236c0875 73620000 000fa102 23700863 #l.usb......#p.c + 32840: 6c6f636b 0000000a ea0323e0 01087469 lock......#...ti + 32850: 6d657200 0000078a 03238402 08696e74 mer......#...int + 32860: 72000000 0c3a0323 98020861 6c6c6f63 r....:.#...alloc + 32870: 72616d00 00000942 0323c402 08726f6d ram....B.#...rom + 32880: 70000000 08340323 d0020877 64745f74 p....4.#...wdt_t + 32890: 696d6572 0000000e 170323e0 02086565 imer......#...ee + 328a0: 70000000 0f450323 fc020873 7472696e p....E.#...strin + 328b0: 67000000 06960323 8c030874 61736b6c g......#...taskl + 328c0: 65740000 000a3f03 23a40300 075f5553 et....?.#...._US + 328d0: 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... + 328e0: 00325d08 6765745f 636f6d6d 616e645f .2].get_command_ + 328f0: 62756600 0000144d 02230008 72656376 buf....M.#..recv + 32900: 5f636f6d 6d616e64 00000014 63022304 _command....c.#. + 32910: 08676574 5f657665 6e745f62 75660000 .get_event_buf.. + 32920: 00144d02 23080873 656e645f 6576656e ..M.#..send_even + 32930: 745f646f 6e650000 00146302 230c0009 t_done....c.#... + 32940: 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. + 32950: 000031ea 03000032 5d040002 01030000 ..1....2]....... + 32960: 32790400 07757362 6669666f 5f617069 2y...usbfifo_api + 32970: 000c0000 32cf085f 696e6974 00000032 ....2.._init...2 + 32980: 7b022300 085f656e 61626c65 5f657665 {.#.._enable_eve + 32990: 6e745f69 73720000 00011402 23040870 nt_isr......#..p + 329a0: 52657365 72766564 00000004 10022308 Reserved......#. + 329b0: 000f0000 16870200 0032dc10 0100075f .........2....._ + 329c0: 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... + 329d0: 00334e08 456e6470 6f696e74 49440000 .3N.EndpointID.. + 329e0: 00168702 23000846 6c616773 00000016 ....#..Flags.... + 329f0: 87022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. + 32a00: 00001caf 02230208 436f6e74 726f6c42 .....#..ControlB + 32a10: 79746573 00000032 cf022304 08486f73 ytes...2..#..Hos + 32a20: 74536571 4e756d00 00001caf 02230600 tSeqNum......#.. + 32a30: 12020000 3367084d 65737361 67654944 ....3g.MessageID + 32a40: 0000001c af022300 00120800 0033ca08 ......#......3.. + 32a50: 4d657373 61676549 44000000 1caf0223 MessageID......# + 32a60: 00084372 65646974 436f756e 74000000 ..CreditCount... + 32a70: 1caf0223 02084372 65646974 53697a65 ...#..CreditSize + 32a80: 0000001c af022304 084d6178 456e6470 ......#..MaxEndp + 32a90: 6f696e74 73000000 16870223 06085f50 oints......#.._P + 32aa0: 61643100 00001687 02230700 120a0000 ad1......#...... + 32ab0: 3461084d 65737361 67654944 0000001c 4a.MessageID.... + 32ac0: af022300 08536572 76696365 49440000 ..#..ServiceID.. + 32ad0: 001caf02 23020843 6f6e6e65 6374696f ....#..Connectio + 32ae0: 6e466c61 67730000 001caf02 23040844 nFlags......#..D + 32af0: 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 32b00: 16870223 06085570 4c696e6b 50697065 ...#..UpLinkPipe + 32b10: 49440000 00168702 23070853 65727669 ID......#..Servi + 32b20: 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 32b30: 87022308 085f5061 64310000 00168702 ..#.._Pad1...... + 32b40: 23090012 0a000034 e9084d65 73736167 #......4..Messag + 32b50: 65494400 00001caf 02230008 53657276 eID......#..Serv + 32b60: 69636549 44000000 1caf0223 02085374 iceID......#..St + 32b70: 61747573 00000016 87022304 08456e64 atus......#..End + 32b80: 706f696e 74494400 00001687 02230508 pointID......#.. + 32b90: 4d61784d 73675369 7a650000 001caf02 MaxMsgSize...... + 32ba0: 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe + 32bb0: 6e677468 00000016 87022308 085f5061 ngth......#.._Pa + 32bc0: 64310000 00168702 23090012 02000035 d1......#......5 + 32bd0: 02084d65 73736167 65494400 00001caf ..MessageID..... + 32be0: 02230000 12040000 353e084d 65737361 .#......5>.Messa + 32bf0: 67654944 0000001c af022300 08506970 geID......#..Pip + 32c00: 65494400 00001687 02230208 43726564 eID......#..Cred + 32c10: 6974436f 756e7400 00001687 02230300 itCount......#.. + 32c20: 12040000 3575084d 65737361 67654944 ....5u.MessageID + 32c30: 0000001c af022300 08506970 65494400 ......#..PipeID. + 32c40: 00001687 02230208 53746174 75730000 .....#..Status.. + 32c50: 00168702 23030012 02000035 9c085265 ....#......5..Re + 32c60: 636f7264 49440000 00168702 2300084c cordID......#..L + 32c70: 656e6774 68000000 16870223 01001202 ength......#.... + 32c80: 000035c6 08456e64 706f696e 74494400 ..5..EndpointID. + 32c90: 00001687 02230008 43726564 69747300 .....#..Credits. + 32ca0: 00001687 02230100 12040000 36070845 .....#......6..E + 32cb0: 6e64706f 696e7449 44000000 16870223 ndpointID......# + 32cc0: 00084372 65646974 73000000 16870223 ..Credits......# + 32cd0: 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo + 32ce0: 0000001c af022302 000f0000 16870400 ......#......... + 32cf0: 00361410 03001206 00003650 08507265 .6........6P.Pre + 32d00: 56616c69 64000000 16870223 00084c6f Valid......#..Lo + 32d10: 6f6b4168 65616400 00003607 02230108 okAhead...6..#.. + 32d20: 506f7374 56616c69 64000000 16870223 PostValid......# + 32d30: 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t + 32d40: 00000004 10060000 36500103 00003663 ........6P....6c + 32d50: 04000201 03000036 70040014 04000036 .......6p......6 + 32d60: ee0e504f 4f4c5f49 445f4854 435f434f ..POOL_ID_HTC_CO + 32d70: 4e54524f 4c00000e 504f4f4c 5f49445f NTROL...POOL_ID_ + 32d80: 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL + 32d90: 5900010e 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ + 32da0: 5356435f 4556454e 5400020e 504f4f4c SVC_EVENT...POOL + 32db0: 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. + 32dc0: 030e504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... + 32dd0: 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 + 32de0: 79020103 000036ff 04000600 00264c01 y.....6......&L. + 32df0: 03000037 08040006 0000264c 01030000 ...7......&L.... + 32e00: 37150400 02010300 00372204 00076275 7........7"...bu + 32e10: 665f706f 6f6c5f61 7069001c 000037c4 f_pool_api....7. + 32e20: 085f696e 69740000 00366902 2300085f ._init...6i.#.._ + 32e30: 73687574 646f776e 00000036 72022304 shutdown...6r.#. + 32e40: 085f6372 65617465 5f706f6f 6c000000 ._create_pool... + 32e50: 37010223 08085f61 6c6c6f63 5f627566 7..#.._alloc_buf + 32e60: 00000037 0e02230c 085f616c 6c6f635f ...7..#.._alloc_ + 32e70: 6275665f 616c6967 6e000000 371b0223 buf_align...7..# + 32e80: 10085f66 7265655f 62756600 00003724 .._free_buf...7$ + 32e90: 02231408 70526573 65727665 64000000 .#..pReserved... + 32ea0: 04100223 1800075f 4854435f 53455256 ...#..._HTC_SERV + 32eb0: 49434500 1c000038 a308704e 65787400 ICE....8..pNext. + 32ec0: 000038a3 02230008 50726f63 65737352 ..8..#..ProcessR + 32ed0: 6563764d 73670000 00395802 23040850 ecvMsg...9X.#..P + 32ee0: 726f6365 73735365 6e644275 66666572 rocessSendBuffer + 32ef0: 436f6d70 6c657465 00000039 61022308 Complete...9a.#. + 32f00: 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. + 32f10: 00003975 02230c08 53657276 69636549 ..9u.#..ServiceI + 32f20: 44000000 12f50223 10085365 72766963 D......#..Servic + 32f30: 65466c61 67730000 0012f502 2312084d eFlags......#..M + 32f40: 61785376 634d7367 53697a65 00000012 axSvcMsgSize.... + 32f50: f5022314 08547261 696c6572 53706343 ..#..TrailerSpcC + 32f60: 6865636b 4c696d69 74000000 12f50223 heckLimit......# + 32f70: 16085365 72766963 65437478 00000004 ..ServiceCtx.... + 32f80: 10022318 00030000 37c40400 14040000 ..#.....7....... + 32f90: 39411945 4e44504f 494e545f 554e5553 9A.ENDPOINT_UNUS + 32fa0: 454400ff ffffff0e 454e4450 4f494e54 ED......ENDPOINT + 32fb0: 3000000e 454e4450 4f494e54 3100010e 0...ENDPOINT1... + 32fc0: 454e4450 4f494e54 3200020e 454e4450 ENDPOINT2...ENDP + 32fd0: 4f494e54 3300030e 454e4450 4f494e54 OINT3...ENDPOINT + 32fe0: 3400040e 454e4450 4f494e54 3500050e 4...ENDPOINT5... + 32ff0: 454e4450 4f494e54 3600060e 454e4450 ENDPOINT6...ENDP + 33000: 4f494e54 3700070e 454e4450 4f494e54 OINT7...ENDPOINT + 33010: 3800080e 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX + 33020: 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT + 33030: 5f494400 000038aa 02010300 00395604 _ID...8......9V. + 33040: 00020103 0000395f 04000300 00011b04 ......9_........ + 33050: 00060000 12e10103 0000396f 04000300 ..........9o.... + 33060: 0037c404 00075f48 54435f43 4f4e4649 .7...._HTC_CONFI + 33070: 47001400 0039f408 43726564 69745369 G....9..CreditSi + 33080: 7a650000 00011b02 23000843 72656469 ze......#..Credi + 33090: 744e756d 62657200 0000011b 02230408 tNumber......#.. + 330a0: 4f534861 6e646c65 0000001a 4b022308 OSHandle....K.#. + 330b0: 08484946 48616e64 6c650000 0028b402 .HIFHandle...(.. + 330c0: 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 330d0: 36500223 1000075f 4854435f 4255465f 6P.#..._HTC_BUF_ + 330e0: 434f4e54 45585400 0200003a 3008656e CONTEXT....:0.en + 330f0: 645f706f 696e7400 000012e1 02230008 d_point......#.. + 33100: 6874635f 666c6167 73000000 12e10223 htc_flags......# + 33110: 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. + 33120: 00000410 09485443 5f534554 55505f43 .....HTC_SETUP_C + 33130: 4f4d504c 4554455f 43420000 00011409 OMPLETE_CB...... + 33140: 4854435f 434f4e46 49470000 00398303 HTC_CONFIG...9.. + 33150: 00003a5d 04000600 003a3001 0300003a ..:].....:0....: + 33160: 74040002 01030000 3a810400 09485443 t.......:....HTC + 33170: 5f534552 56494345 00000037 c4030000 _SERVICE...7.... + 33180: 3a8a0400 02010300 003aa204 00020103 :........:...... + 33190: 00003aab 04000201 0300003a b4040006 ..:........:.... + 331a0: 0000011b 01030000 3abd0400 07687463 ........:....htc + 331b0: 5f617069 73003400 003c3a08 5f485443 _apis.4..<:._HTC + 331c0: 5f496e69 74000000 3a7a0223 00085f48 _Init...:z.#.._H + 331d0: 54435f53 68757464 6f776e00 00003a83 TC_Shutdown...:. + 331e0: 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe + 331f0: 72536572 76696365 0000003a a4022308 rService...:..#. + 33200: 085f4854 435f5265 61647900 00003a83 ._HTC_Ready...:. + 33210: 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 33220: 75666665 72730000 003aad02 2310085f uffers...:..#.._ + 33230: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 33240: 734c6973 74000000 3ab60223 14085f48 sList...:..#.._H + 33250: 54435f53 656e644d 73670000 003aad02 TC_SendMsg...:.. + 33260: 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser + 33270: 76656448 65616472 6f6f6d00 00003ac3 vedHeadroom...:. + 33280: 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv + 33290: 48616e64 6c657200 00002861 02232008 Handler...(a.# . + 332a0: 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan + 332b0: 646c6572 00000028 58022324 085f4854 dler...(X.#$._HT + 332c0: 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 332d0: 6573734d 73670000 00395802 2328085f essMsg...9X.#(._ + 332e0: 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr + 332f0: 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet + 33300: 65000000 39610223 2c087052 65736572 e...9a.#,.pReser + 33310: 76656400 00000410 02233000 07686f73 ved......#0..hos + 33320: 745f6170 705f6172 65615f73 00040000 t_app_area_s.... + 33330: 3c6a0877 6d695f70 726f746f 636f6c5f ..tupleNumL... + 334d0: 1caf0223 00087475 706c654e 756d4800 ...#..tupleNumH. + 334e0: 00001caf 02230208 61767400 00003dce .....#..avt...=. + 334f0: 02230400 12010000 3e340862 6561636f .#......>4.beaco + 33500: 6e50656e 64696e67 436f756e 74000000 nPendingCount... + 33510: 16870223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ + 33520: 434f4e46 49470010 00003e9d 08487463 CONFIG....>..Htc + 33530: 48616e64 6c650000 003a3002 23000850 Handle...:0.#..P + 33540: 6f6f6c48 616e646c 65000000 36500223 oolHandle...6P.# + 33550: 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt + 33560: 73000000 011b0223 08084d61 78457665 s......#..MaxEve + 33570: 6e744576 74730000 00011b02 230c0002 ntEvts......#... + 33580: 01030000 3e9d0400 09574d49 5f434d44 ....>....WMI_CMD + 33590: 5f48414e 444c4552 0000003e 9f075f57 _HANDLER...>.._W + 335a0: 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 335b0: 59000800 003f0608 70436d64 48616e64 Y....?..pCmdHand + 335c0: 6c657200 00003ea6 02230008 436d6449 ler...>..#..CmdI + 335d0: 44000000 12f50223 0408466c 61677300 D......#..Flags. + 335e0: 000012f5 02230600 075f574d 495f4449 .....#..._WMI_DI + 335f0: 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... + 33600: 3f670870 4e657874 0000003f 67022300 ?g.pNext...?g.#. + 33610: 0870436f 6e746578 74000000 04100223 .pContext......# + 33620: 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie + 33630: 73000000 011b0223 08087054 61626c65 s......#..pTable + 33640: 0000003f 8602230c 00030000 3f060400 ...?..#.....?... + 33650: 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN + 33660: 54525900 00003ebb 0300003f 6e040003 TRY...>....?n... + 33670: 00003f06 04000948 54435f42 55465f43 ..?....HTC_BUF_C + 33680: 4f4e5445 58540000 0039f40d 574d495f ONTEXT...9..WMI_ + 33690: 4556545f 434c4153 53000400 00401e19 EVT_CLASS....@.. + 336a0: 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO + 336b0: 4e4500ff ffffff0e 574d495f 4556545f NE......WMI_EVT_ + 336c0: 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. + 336d0: 000e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ + 336e0: 434d445f 5245504c 5900010e 574d495f CMD_REPLY...WMI_ + 336f0: 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... + 33700: 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. + 33710: 003fa907 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON + 33720: 54455854 000c0000 407c0848 74634275 TEXT....@|.HtcBu + 33730: 66437478 0000003f 94022300 08457665 fCtx...?..#..Eve + 33740: 6e74436c 61737300 0000401e 02230408 ntClass...@..#.. + 33750: 466c6167 73000000 12f50223 08000977 Flags......#...w + 33760: 6d695f68 616e646c 655f7400 00000410 mi_handle_t..... + 33770: 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. + 33780: 00003e34 03000040 8e040006 0000407c ..>4...@......@| + 33790: 01030000 40a90400 09574d49 5f444953 ....@....WMI_DIS + 337a0: 50415443 485f5441 424c4500 00003f06 PATCH_TABLE...?. + 337b0: 03000040 b6040002 01030000 40d50400 ...@........@... + 337c0: 06000026 4c010300 0040de04 00020103 ...&L....@...... + 337d0: 000040eb 04000600 00011b01 03000040 ..@............@ + 337e0: f4040002 01030000 41010400 06000012 ........A....... + 337f0: e1010300 00410a04 00075f77 6d695f73 .....A...._wmi_s + 33800: 76635f61 70697300 2c000042 52085f57 vc_apis.,..BR._W + 33810: 4d495f49 6e697400 000040af 02230008 MI_Init...@..#.. + 33820: 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis + 33830: 70617463 68546162 6c650000 0040d702 patchTable...@.. + 33840: 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve + 33850: 6e740000 0040e402 2308085f 574d495f nt...@..#.._WMI_ + 33860: 53656e64 4576656e 74000000 40ed0223 SendEvent...@..# + 33870: 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin + 33880: 67457665 6e747343 6f756e74 00000040 gEventsCount...@ + 33890: fa022310 085f574d 495f5365 6e64436f ..#.._WMI_SendCo + 338a0: 6d706c65 74654861 6e646c65 72000000 mpleteHandler... + 338b0: 39610223 14085f57 4d495f47 6574436f 9a.#.._WMI_GetCo + 338c0: 6e74726f 6c457000 000040fa 02231808 ntrolEp...@..#.. + 338d0: 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... + 338e0: 41030223 1c085f57 4d495f52 6563764d A..#.._WMI_RecvM + 338f0: 65737361 67654861 6e646c65 72000000 essageHandler... + 33900: 39580223 20085f57 4d495f53 65727669 9X.# ._WMI_Servi + 33910: 6365436f 6e6e6563 74000000 41100223 ceConnect...A..# + 33920: 24087052 65736572 76656400 00000410 $.pReserved..... + 33930: 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. + 33940: 000042d4 08637472 6c000000 017f0223 ..B..ctrl......# + 33950: 00087374 61747573 00000001 7f022302 ..status......#. + 33960: 08746f74 616c4c65 6e000000 017f0223 .totalLen......# + 33970: 04086461 74615369 7a650000 00017f02 ..dataSize...... + 33980: 2306086c 61737441 64647200 000042d4 #..lastAddr...B. + 33990: 02230808 64617461 41646472 00000001 .#..dataAddr.... + 339a0: a302230c 086e6578 74416464 72000000 ..#..nextAddr... + 339b0: 42d40223 10000300 00425204 00030000 B..#.....BR..... + 339c0: 42520400 077a7344 6d615175 65756500 BR...zsDmaQueue. + 339d0: 08000043 14086865 61640000 0042db02 ...C..head...B.. + 339e0: 23000874 65726d69 6e61746f 72000000 #..terminator... + 339f0: 42db0223 0400077a 73547844 6d615175 B..#...zsTxDmaQu + 33a00: 65756500 10000043 78086865 61640000 eue....Cx.head.. + 33a10: 0042db02 23000874 65726d69 6e61746f .B..#..terminato + 33a20: 72000000 42db0223 0408786d 69746564 r...B..#..xmited + 33a30: 5f627566 5f686561 64000000 14400223 _buf_head....@.# + 33a40: 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai + 33a50: 6c000000 14400223 0c000201 03000043 l....@.#.......C + 33a60: 78040003 000042e2 04000201 03000043 x.....B........C + 33a70: 88040003 00004314 04000201 03000043 ......C........C + 33a80: 98040002 01030000 43a10400 02010300 ........C....... + 33a90: 0043aa04 00060000 14400103 000043b3 .C.......@....C. + 33aa0: 04000201 03000043 c0040006 00001440 .......C.......@ + 33ab0: 01030000 43c90400 02010300 0043d604 ....C........C.. + 33ac0: 00060000 011b0103 000043df 04000600 ..........C..... + 33ad0: 0042db01 03000043 ec040002 01030000 .B.....C........ + 33ae0: 43f90400 07646d61 5f656e67 696e655f C....dma_engine_ + 33af0: 61706900 40000045 6f085f69 6e697400 api.@..Eo._init. + 33b00: 0000437a 02230008 5f696e69 745f7278 ..Cz.#.._init_rx + 33b10: 5f717565 75650000 00438a02 2304085f _queue...C..#.._ + 33b20: 696e6974 5f74785f 71756575 65000000 init_tx_queue... + 33b30: 439a0223 08085f63 6f6e6669 675f7278 C..#.._config_rx + 33b40: 5f717565 75650000 0043a302 230c085f _queue...C..#.._ + 33b50: 786d6974 5f627566 00000043 ac022310 xmit_buf...C..#. + 33b60: 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C + 33b70: 8a022314 085f7265 61705f72 6563765f ..#.._reap_recv_ + 33b80: 62756600 000043b9 02231808 5f726574 buf...C..#.._ret + 33b90: 75726e5f 72656376 5f627566 00000043 urn_recv_buf...C + 33ba0: c202231c 085f7265 61705f78 6d697465 ..#.._reap_xmite + 33bb0: 645f6275 66000000 43cf0223 20085f73 d_buf...C..# ._s + 33bc0: 7761705f 64617461 00000043 d8022324 wap_data...C..#$ + 33bd0: 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack + 33be0: 65747300 000043e5 02232808 5f646573 ets...C..#(._des + 33bf0: 635f6475 6d700000 00438a02 232c085f c_dump...C..#,._ + 33c00: 6765745f 7061636b 65740000 0043f202 get_packet...C.. + 33c10: 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack + 33c20: 65740000 0043fb02 2334085f 7075745f et...C..#4._put_ + 33c30: 7061636b 65740000 0043fb02 23380870 packet...C..#8.p + 33c40: 52657365 72766564 00000004 1002233c Reserved......#< + 33c50: 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 33c60: 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. + 33c70: 0030ae09 574d495f 5356435f 41504953 .0..WMI_SVC_APIS + 33c80: 00000041 17175f41 5f6d6167 7069655f ...A.._A_magpie_ + 33c90: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 33ca0: 6500034c 0000469d 08636d6e 6f730000 e..L..F..cmnos.. + 33cb0: 00456f02 23000864 62670000 0003dd03 .Eo.#..dbg...... + 33cc0: 23b80308 68696600 00002957 0323c003 #...hif...)W.#.. + 33cd0: 08687463 0000003a ca0323f8 0308776d .htc...:..#...wm + 33ce0: 695f7376 635f6170 69000000 45910323 i_svc_api...E..# + 33cf0: ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. + 33d00: 00328203 23d80408 6275665f 706f6f6c .2..#...buf_pool + 33d10: 00000037 2b0323e4 04087662 75660000 ...7+.#...vbuf.. + 33d20: 00146a03 23800508 76646573 63000000 ..j.#...vdesc... + 33d30: 134c0323 94050861 6c6c6f63 72616d00 .L.#...allocram. + 33d40: 00000942 0323a805 08646d61 5f656e67 ...B.#...dma_eng + 33d50: 696e6500 00004402 0323b405 08646d61 ine...D..#...dma + 33d60: 5f6c6962 0000002b eb0323f4 05086869 _lib...+..#...hi + 33d70: 665f7063 69000000 2e4b0323 a8060009 f_pci....K.#.... + 33d80: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire + 33d90: 6374696f 6e5f7461 626c655f 74000000 ction_table_t... + 33da0: 45a3075f 7461736b 6c65745f 636f6e74 E.._tasklet_cont + 33db0: 65787400 04000046 ef087363 68656475 ext....F..schedu + 33dc0: 6c655f74 61736b73 0000000a 26022300 le_tasks....&.#. + 33dd0: 00097461 736b6c65 745f636f 6e746578 ..tasklet_contex + 33de0: 74000000 46c01a67 5f746173 6b6c6574 t...F..g_tasklet + 33df0: 5f637478 00000046 ef050300 500a3003 _ctx...F....P.0. + 33e00: 00000a3f 04000201 1b011163 6d6e6f73 ...?.......cmnos + 33e10: 5f746173 6b6c6574 5f696e69 74000101 _tasklet_init... + 33e20: 03920120 02900000 8e2ae800 8e2af41c ... .....*...*.. + 33e30: 0118636d 6e6f735f 7461736b 6c65745f ..cmnos_tasklet_ + 33e40: 696e6974 5f746173 6b000101 03920120 init_task...... + 33e50: 02900000 8e2af400 8e2b0300 0047a81d .....*...+...G.. + 33e60: 0118666e 00000009 af01521d 01186172 ..fn......R...ar + 33e70: 67000000 04100153 1d011874 61736b6c g......S...taskl + 33e80: 65740000 000a2601 54001c01 21636d6e et....&.T...!cmn + 33e90: 6f735f74 61736b6c 65745f73 63686564 os_tasklet_sched + 33ea0: 756c6500 01010392 01200290 00008e2b ule...... .....+ + 33eb0: 04008e2b 1d000047 e91d0121 7461736b ...+...G...!task + 33ec0: 6c657400 00000a26 0152001c 0131636d let....&.R...1cm + 33ed0: 6e6f735f 7461736b 6c65745f 64697361 nos_tasklet_disa + 33ee0: 626c6500 01010392 01200290 00008e2b ble...... .....+ + 33ef0: 20008e2b 51000048 3c1d0131 7461736b ..+Q..H<..1task + 33f00: 6c657400 00000a26 01521e70 72657600 let....&.R.prev. + 33f10: 00000a26 1e746d70 0000000a 26001c01 ...&.tmp....&... + 33f20: 4d636d6e 6f735f74 61736b6c 65745f72 Mcmnos_tasklet_r + 33f30: 756e0001 01039201 20029000 008e2b54 un...... .....+T + 33f40: 008e2b7c 00004870 1e746d70 0000000a ..+|..Hp.tmp.... + 33f50: 26001f01 61636d6e 6f735f74 61736b6c &...acmnos_taskl + 33f60: 65745f6d 6f64756c 655f696e 7374616c et_module_instal + 33f70: 6c000101 03920120 02900000 8e2b7c00 l...... .....+|. + 33f80: 8e2b9a1d 01617462 6c000000 471d0152 .+...atbl...G..R + 33f90: 00000000 00496f00 02000013 0804012f .....Io......../ + 33fa0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 33fb0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 33fc0: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 33fd0: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 33fe0: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 33ff0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 34000: 6f732f74 696d6572 2f737263 2f636d6e os/timer/src/cmn + 34010: 6f735f74 696d6572 2e63002f 726f6f74 os_timer.c./root + 34020: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 34030: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 34040: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 34050: 6e6f732f 74696d65 72007874 2d786363 nos/timer.xt-xcc + 34060: 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: + 34070: 616c6967 6e5f696e 73747275 6374696f align_instructio + 34080: 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O + 34090: 50543a73 70616365 00010000 00b69c02 PT:space........ + 340a0: 01030000 010c0400 04696e74 00050404 .........int.... + 340b0: 63686172 00070105 0000011c 05000001 char............ + 340c0: 1c030000 01290400 06000001 15010300 .....).......... + 340d0: 00013504 00077072 696e7466 5f617069 ..5...printf_api + 340e0: 00080000 0179085f 7072696e 74665f69 .....y._printf_i + 340f0: 6e697400 0000010e 02230008 5f707269 nit......#.._pri + 34100: 6e746600 0000013b 02230400 0473686f ntf....;.#...sho + 34110: 72742075 6e736967 6e656420 696e7400 rt unsigned int. + 34120: 07020975 696e7431 365f7400 00000179 ...uint16_t....y + 34130: 046c6f6e 6720756e 7369676e 65642069 .long unsigned i + 34140: 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. + 34150: 00019d07 75617274 5f666966 6f000800 ....uart_fifo... + 34160: 00020b08 73746172 745f696e 64657800 ....start_index. + 34170: 0000018f 02230008 656e645f 696e6465 .....#..end_inde + 34180: 78000000 018f0223 02086f76 65727275 x......#..overru + 34190: 6e5f6572 72000000 01b20223 04000775 n_err......#...u + 341a0: 6172745f 61706900 20000002 c4085f75 art_api. ....._u + 341b0: 6172745f 696e6974 00000003 1b022300 art_init......#. + 341c0: 085f7561 72745f63 6861725f 70757400 ._uart_char_put. + 341d0: 00000342 02230408 5f756172 745f6368 ...B.#.._uart_ch + 341e0: 61725f67 65740000 00035602 2308085f ar_get....V.#.._ + 341f0: 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 34200: 5f02230c 085f7561 72745f74 61736b00 _.#.._uart_task. + 34210: 0000010e 02231008 5f756172 745f7374 .....#.._uart_st + 34220: 61747573 00000003 1b022314 085f7561 atus......#.._ua + 34230: 72745f63 6f6e6669 67000000 03680223 rt_config....h.# + 34240: 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 34250: 00037102 231c0003 0000020b 04000775 ..q.#..........u + 34260: 6172745f 626c6b00 10000003 15086465 art_blk.......de + 34270: 6275675f 6d6f6465 00000001 8f022300 bug_mode......#. + 34280: 08626175 64000000 018f0223 02085f75 .baud......#.._u + 34290: 61727400 000002c4 02230408 5f747800 art......#.._tx. + 342a0: 000001c0 02230800 06000001 b2010300 .....#.......... + 342b0: 00031504 0004756e 7369676e 65642063 ......unsigned c + 342c0: 68617200 07010975 696e7438 5f740000 har....uint8_t.. + 342d0: 00032202 01030000 03400400 03000003 .."......@...... + 342e0: 33040006 0000018f 01030000 03500400 3............P.. + 342f0: 02010300 00035d04 00020103 00000366 ......]........f + 34300: 04000201 03000003 6f040003 0000011c ........o....... + 34310: 04000600 00011501 03000003 7f040007 ................ + 34320: 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC + 34330: 54000c00 0003d708 636d645f 73747200 T.......cmd_str. + 34340: 00000378 02230008 68656c70 5f737472 ...x.#..help_str + 34350: 00000003 78022304 08636d64 5f66756e ....x.#..cmd_fun + 34360: 63000000 03850223 08000764 62675f61 c......#...dbg_a + 34370: 70690008 0000040a 085f6462 675f696e pi......._dbg_in + 34380: 69740000 00010e02 2300085f 6462675f it......#.._dbg_ + 34390: 7461736b 00000001 0e022304 000a0400 task......#..... + 343a0: 04756e73 69676e65 6420696e 74000704 .unsigned int... + 343b0: 06000004 0a010300 00041d04 000b0b03 ................ + 343c0: 0000042b 04000600 00040a01 03000004 ...+............ + 343d0: 33040006 00000115 01030000 04400400 3............@.. + 343e0: 076d656d 5f617069 00140000 04af085f .mem_api......._ + 343f0: 6d656d5f 696e6974 00000001 0e022300 mem_init......#. + 34400: 085f6d65 6d736574 00000004 23022304 ._memset....#.#. + 34410: 085f6d65 6d637079 00000004 39022308 ._memcpy....9.#. + 34420: 085f6d65 6d6d6f76 65000000 04390223 ._memmove....9.# + 34430: 0c085f6d 656d636d 70000000 04460223 .._memcmp....F.# + 34440: 10000c72 65676973 7465725f 64756d70 ...register_dump + 34450: 5f730000 01030000 04af0400 02010300 _s.............. + 34460: 0004c904 00020103 000004d2 04000600 ................ + 34470: 00011501 03000004 db04000d 686f7374 ............host + 34480: 69665f73 00040000 05370e48 49465f55 if_s.....7.HIF_U + 34490: 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... + 344a0: 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P + 344b0: 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H + 344c0: 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS + 344d0: 54494600 000004e8 06000005 37010300 TIF.........7... + 344e0: 00054504 00060000 03330103 00000552 ..E......3.....R + 344f0: 04000600 00018f01 03000005 5f040007 ............_... + 34500: 6d697363 5f617069 00240000 064f085f misc_api.$...O._ + 34510: 73797374 656d5f72 65736574 00000001 system_reset.... + 34520: 0e022300 085f6d61 635f7265 73657400 ..#.._mac_reset. + 34530: 0000010e 02230408 5f617373 6661696c .....#.._assfail + 34540: 00000004 cb022308 085f6d69 73616c69 ......#.._misali + 34550: 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle + 34560: 72000000 04cb0223 0c085f72 65706f72 r......#.._repor + 34570: 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos + 34580: 74000000 04d40223 10085f74 61726765 t......#.._targe + 34590: 745f6964 5f676574 00000004 e1022314 t_id_get......#. + 345a0: 085f6973 5f686f73 745f7072 6573656e ._is_host_presen + 345b0: 74000000 054b0223 18085f6b 62686974 t....K.#.._kbhit + 345c0: 00000005 5802231c 085f726f 6d5f7665 ....X.#.._rom_ve + 345d0: 7273696f 6e5f6765 74000000 05650223 rsion_get....e.# + 345e0: 20000600 00037801 03000006 4f040006 .....x.....O... + 345f0: 00000378 01030000 065c0400 06000001 ...x.....\...... + 34600: 15010300 00066904 00060000 01150103 ......i......... + 34610: 00000676 04000600 00011501 03000006 ...v............ + 34620: 83040007 73747269 6e675f61 70690018 ....string_api.. + 34630: 00000709 085f7374 72696e67 5f696e69 ....._string_ini + 34640: 74000000 010e0223 00085f73 74726370 t......#.._strcp + 34650: 79000000 06550223 04085f73 74726e63 y....U.#.._strnc + 34660: 70790000 00066202 2308085f 7374726c py....b.#.._strl + 34670: 656e0000 00066f02 230c085f 73747263 en....o.#.._strc + 34680: 6d700000 00067c02 2310085f 7374726e mp....|.#.._strn + 34690: 636d7000 00000689 02231400 0f000004 cmp......#...... + 346a0: 0d140000 07161004 00095f41 5f54494d .........._A_TIM + 346b0: 45525f53 50414345 00000007 0909415f ER_SPACE......A_ + 346c0: 74696d65 725f7400 00000716 03000007 timer_t......... + 346d0: 2a040002 01030000 07400400 02010300 *........@...... + 346e0: 00074904 0009415f 48414e44 4c450000 ..I...A_HANDLE.. + 346f0: 00040d02 0109415f 54494d45 525f4655 ......A_TIMER_FU + 34700: 4e430000 00076003 00000762 04000201 NC....`....b.... + 34710: 03000007 7b040007 74696d65 725f6170 ....{...timer_ap + 34720: 69001400 0007fa08 5f74696d 65725f69 i......._timer_i + 34730: 6e697400 0000010e 02230008 5f74696d nit......#.._tim + 34740: 65725f61 726d0000 00074202 2304085f er_arm....B.#.._ + 34750: 74696d65 725f6469 7361726d 00000007 timer_disarm.... + 34760: 4b022308 085f7469 6d65725f 73657466 K.#.._timer_setf + 34770: 6e000000 077d0223 0c085f74 696d6572 n....}.#.._timer + 34780: 5f72756e 00000001 0e022310 0009424f _run......#...BO + 34790: 4f4c4541 4e000000 018f0600 0007fa01 OLEAN........... + 347a0: 03000008 07040006 000007fa 01030000 ................ + 347b0: 08140400 06000007 fa010300 00082104 ..............!. + 347c0: 0007726f 6d705f61 70690010 00000893 ..romp_api...... + 347d0: 085f726f 6d705f69 6e697400 0000010e ._romp_init..... + 347e0: 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo + 347f0: 61640000 00080d02 2304085f 726f6d70 ad......#.._romp + 34800: 5f696e73 74616c6c 00000008 1a022308 _install......#. + 34810: 085f726f 6d705f64 65636f64 65000000 ._romp_decode... + 34820: 08270223 0c000772 6f6d5f70 61746368 .'.#...rom_patch + 34830: 5f737400 10000008 ef086372 63313600 _st.......crc16. + 34840: 0000018f 02230008 6c656e00 0000018f .....#..len..... + 34850: 02230208 6c645f61 64647200 000001b2 .#..ld_addr..... + 34860: 02230408 66756e5f 61646472 00000001 .#..fun_addr.... + 34870: b2022308 08706675 6e000000 03490223 ..#..pfun....I.# + 34880: 0c000765 65705f72 65646972 5f616464 ...eep_redir_add + 34890: 72000400 00092108 6f666673 65740000 r.....!.offset.. + 348a0: 00018f02 23000873 697a6500 0000018f ....#..size..... + 348b0: 02230200 09415f55 494e5433 32000000 .#...A_UINT32... + 348c0: 040d0600 00040a01 03000009 2f040007 ............/... + 348d0: 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... + 348e0: 09a00863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra + 348f0: 6d5f696e 69740000 00093502 23000863 m_init....5.#..c + 34900: 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... + 34910: 09350223 0408636d 6e6f735f 616c6c6f .5.#..cmnos_allo + 34920: 6372616d 5f646562 75670000 00010e02 cram_debug...... + 34930: 23080002 01030000 09a00400 09415f54 #............A_T + 34940: 41534b4c 45545f46 554e4300 000009a2 ASKLET_FUNC..... + 34950: 075f7461 736b6c65 74001000 000a0108 ._tasklet....... + 34960: 66756e63 00000009 a9022300 08617267 func......#..arg + 34970: 00000004 0a022304 08737461 74650000 ......#..state.. + 34980: 00011502 2308086e 65787400 00000a01 ....#..next..... + 34990: 02230c00 03000009 bd040003 000009bd .#.............. + 349a0: 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. + 349b0: 0009bd03 00000a0f 04000201 0300000a ................ + 349c0: 27040002 01030000 0a300400 07746173 '........0...tas + 349d0: 6b6c6574 5f617069 00140000 0ac5085f klet_api......._ + 349e0: 7461736b 6c65745f 696e6974 00000001 tasklet_init.... + 349f0: 0e022300 085f7461 736b6c65 745f696e ..#.._tasklet_in + 34a00: 69745f74 61736b00 00000a29 02230408 it_task....).#.. + 34a10: 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable + 34a20: 0000000a 32022308 085f7461 736b6c65 ....2.#.._taskle + 34a30: 745f7363 68656475 6c650000 000a3202 t_schedule....2. + 34a40: 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. + 34a50: 0000010e 02231000 02010300 000ac504 .....#.......... + 34a60: 00060000 09210103 00000ace 04000201 .....!.......... + 34a70: 0300000a db040007 636c6f63 6b5f6170 ........clock_ap + 34a80: 69002400 000bbd08 5f636c6f 636b5f69 i.$....._clock_i + 34a90: 6e697400 00000ac7 02230008 5f636c6f nit......#.._clo + 34aa0: 636b7265 67735f69 6e697400 0000010e ckregs_init..... + 34ab0: 02230408 5f756172 745f6672 65717565 .#.._uart_freque + 34ac0: 6e637900 00000ad4 02230808 5f64656c ncy......#.._del + 34ad0: 61795f75 73000000 0add0223 0c085f77 ay_us......#.._w + 34ae0: 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... + 34af0: dd022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe + 34b00: 65645f67 65740000 000ad402 2314085f ed_get......#.._ + 34b10: 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... + 34b20: d4022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha + 34b30: 6e676500 0000010e 02231c08 5f636c6f nge......#.._clo + 34b40: 636b5f74 69636b00 0000010e 02232000 ck_tick......# . + 34b50: 06000001 b2010300 000bbd04 0009415f ..............A_ + 34b60: 6f6c645f 696e7472 5f740000 0001b206 old_intr_t...... + 34b70: 00000bca 01030000 0bdc0400 02010300 ................ + 34b80: 000be904 00020103 00000bf2 04000600 ................ + 34b90: 0001b201 0300000b fb040009 415f6973 ............A_is + 34ba0: 725f7400 00000c01 02010300 000c1504 r_t............. + 34bb0: 00060000 040d0103 00000c1e 04000201 ................ + 34bc0: 0300000c 2b040007 696e7472 5f617069 ....+...intr_api + 34bd0: 002c0000 0d4d085f 696e7472 5f696e69 .,...M._intr_ini + 34be0: 74000000 010e0223 00085f69 6e74725f t......#.._intr_ + 34bf0: 696e766f 6b655f69 73720000 000bc302 invoke_isr...... + 34c00: 2304085f 696e7472 5f646973 61626c65 #.._intr_disable + 34c10: 0000000b e2022308 085f696e 74725f72 ......#.._intr_r + 34c20: 6573746f 72650000 000beb02 230c085f estore......#.._ + 34c30: 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. + 34c40: 000bf402 2310085f 696e7472 5f756e6d ....#.._intr_unm + 34c50: 61736b5f 696e756d 0000000b f4022314 ask_inum......#. + 34c60: 085f696e 74725f61 74746163 685f6973 ._intr_attach_is + 34c70: 72000000 0c170223 18085f67 65745f69 r......#.._get_i + 34c80: 6e747265 6e61626c 65000000 0c240223 ntrenable....$.# + 34c90: 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl + 34ca0: 65000000 0c2d0223 20085f67 65745f69 e....-.# ._get_i + 34cb0: 6e747270 656e6469 6e670000 000c2402 ntrpending....$. + 34cc0: 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ + 34cd0: 696e7472 6c766c00 0000010e 02232800 intrlvl......#(. + 34ce0: 11040000 0d730874 696d656f 75740000 .....s.timeout.. + 34cf0: 0001b202 23000861 6374696f 6e000000 ....#..action... + 34d00: 01b20223 00001208 00000d8e 08636d64 ...#.........cmd + 34d10: 00000001 b2022300 1300000d 4d022304 ......#.....M.#. + 34d20: 0009545f 5744545f 434d4400 00000d73 ..T_WDT_CMD....s + 34d30: 02010300 000d9d04 00140400 000df30e ................ + 34d40: 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... + 34d50: 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. + 34d60: 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. + 34d70: 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B + 34d80: 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY + 34d90: 50450000 000da606 00000df3 01030000 PE.............. + 34da0: 0e040400 07776474 5f617069 001c0000 .....wdt_api.... + 34db0: 0ea8085f 7764745f 696e6974 00000001 ..._wdt_init.... + 34dc0: 0e022300 085f7764 745f656e 61626c65 ..#.._wdt_enable + 34dd0: 00000001 0e022304 085f7764 745f6469 ......#.._wdt_di + 34de0: 7361626c 65000000 010e0223 08085f77 sable......#.._w + 34df0: 64745f73 65740000 000d9f02 230c085f dt_set......#.._ + 34e00: 7764745f 7461736b 00000001 0e022310 wdt_task......#. + 34e10: 085f7764 745f7265 73657400 0000010e ._wdt_reset..... + 34e20: 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo + 34e30: 6f740000 000e0a02 23180014 0400000f ot......#....... + 34e40: 0f0e5245 545f5355 43434553 5300000e ..RET_SUCCESS... + 34e50: 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R + 34e60: 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R + 34e70: 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. + 34e80: 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO + 34e90: 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. + 34ea0: 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... + 34eb0: a8030000 018f0400 0600000f 0f010300 ................ + 34ec0: 000f2504 00060000 0f0f0103 00000f32 ..%............2 + 34ed0: 04000765 65705f61 70690010 00000f9b ...eep_api...... + 34ee0: 085f6565 705f696e 69740000 00010e02 ._eep_init...... + 34ef0: 2300085f 6565705f 72656164 0000000f #.._eep_read.... + 34f00: 2b022304 085f6565 705f7772 69746500 +.#.._eep_write. + 34f10: 00000f2b 02230808 5f656570 5f69735f ...+.#.._eep_is_ + 34f20: 65786973 74000000 0f380223 0c000775 exist....8.#...u + 34f30: 73625f61 70690070 00001248 085f7573 sb_api.p...H._us + 34f40: 625f696e 69740000 00010e02 2300085f b_init......#.._ + 34f50: 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... + 34f60: 0e022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas + 34f70: 6b000000 010e0223 08085f75 73625f69 k......#.._usb_i + 34f80: 6e69745f 70687900 0000010e 02230c08 nit_phy......#.. + 34f90: 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. + 34fa0: 00010e02 2310085f 7573625f 6570305f ....#.._usb_ep0_ + 34fb0: 74780000 00010e02 2314085f 7573625f tx......#.._usb_ + 34fc0: 6570305f 72780000 00010e02 2318085f ep0_rx......#.._ + 34fd0: 7573625f 6765745f 696e7465 72666163 usb_get_interfac + 34fe0: 65000000 081a0223 1c085f75 73625f73 e......#.._usb_s + 34ff0: 65745f69 6e746572 66616365 00000008 et_interface.... + 35000: 1a022320 085f7573 625f6765 745f636f ..# ._usb_get_co + 35010: 6e666967 75726174 696f6e00 0000081a nfiguration..... + 35020: 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con + 35030: 66696775 72617469 6f6e0000 00081a02 figuration...... + 35040: 2328085f 7573625f 7374616e 64617264 #(._usb_standard + 35050: 5f636d64 00000008 1a02232c 085f7573 _cmd......#,._us + 35060: 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... + 35070: 0e022330 085f7573 625f706f 7765725f ..#0._usb_power_ + 35080: 6f666600 0000010e 02233408 5f757362 off......#4._usb + 35090: 5f726573 65745f66 69666f00 0000010e _reset_fifo..... + 350a0: 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt + 350b0: 00000001 0e02233c 085f7573 625f6a75 ......#<._usb_ju + 350c0: 6d705f62 6f6f7400 0000010e 02234008 mp_boot......#@. + 350d0: 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature + 350e0: 00000008 1a022344 085f7573 625f7365 ......#D._usb_se + 350f0: 745f6665 61747572 65000000 081a0223 t_feature......# + 35100: 48085f75 73625f73 65745f61 64647265 H._usb_set_addre + 35110: 73730000 00081a02 234c085f 7573625f ss......#L._usb_ + 35120: 6765745f 64657363 72697074 6f720000 get_descriptor.. + 35130: 00081a02 2350085f 7573625f 6765745f ....#P._usb_get_ + 35140: 73746174 75730000 00081a02 2354085f status......#T._ + 35150: 7573625f 73657475 705f6465 73630000 usb_setup_desc.. + 35160: 00010e02 2358085f 7573625f 7265675f ....#X._usb_reg_ + 35170: 6f757400 0000010e 02235c08 5f757362 out......#\._usb + 35180: 5f737461 7475735f 696e0000 00010e02 _status_in...... + 35190: 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d + 351a0: 61746100 0000010e 02236408 5f757362 ata......#d._usb + 351b0: 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... + 351c0: 0e022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in + 351d0: 69740000 00010e02 236c0007 5f564445 it......#l.._VDE + 351e0: 53430024 000012d4 086e6578 745f6465 SC.$.....next_de + 351f0: 73630000 0012d402 23000862 75665f61 sc......#..buf_a + 35200: 64647200 000012e8 02230408 6275665f ddr......#..buf_ + 35210: 73697a65 00000012 ef022308 08646174 size......#..dat + 35220: 615f6f66 66736574 00000012 ef02230a a_offset......#. + 35230: 08646174 615f7369 7a650000 0012ef02 .data_size...... + 35240: 230c0863 6f6e7472 6f6c0000 0012ef02 #..control...... + 35250: 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. + 35260: 0012fd02 23100003 00001248 04000941 ....#......H...A + 35270: 5f55494e 54380000 00032203 000012db _UINT8...."..... + 35280: 04000941 5f55494e 54313600 00000179 ...A_UINT16....y + 35290: 0f000012 db140000 130a1013 00030000 ................ + 352a0: 12480400 09564445 53430000 00124803 .H...VDESC....H. + 352b0: 00001311 04000600 00131c01 03000013 ................ + 352c0: 23040006 000012e8 01030000 13300400 #............0.. + 352d0: 02010300 00133d04 00077664 6573635f ......=...vdesc_ + 352e0: 61706900 14000013 b5085f69 6e697400 api......._init. + 352f0: 00000add 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 35300: 64657363 00000013 29022304 085f6765 desc....).#.._ge + 35310: 745f6877 5f646573 63000000 13360223 t_hw_desc....6.# + 35320: 08085f73 7761705f 76646573 63000000 .._swap_vdesc... + 35330: 133f0223 0c087052 65736572 76656400 .?.#..pReserved. + 35340: 0000040a 02231000 075f5642 55460020 .....#..._VBUF. + 35350: 00001415 08646573 635f6c69 73740000 .....desc_list.. + 35360: 00131c02 2300086e 6578745f 62756600 ....#..next_buf. + 35370: 00001415 02230408 6275665f 6c656e67 .....#..buf_leng + 35380: 74680000 0012ef02 23080872 65736572 th......#..reser + 35390: 76656400 0000141c 02230a08 63747800 ved......#..ctx. + 353a0: 000012fd 02230c00 03000013 b504000f .....#.......... + 353b0: 000012db 02000014 29100100 03000013 ........)....... + 353c0: b5040009 56425546 00000013 b5030000 ....VBUF........ + 353d0: 14300400 06000014 3a010300 00144104 .0......:.....A. + 353e0: 00060000 143a0103 0000144e 04000201 .....:.....N.... + 353f0: 03000014 5b040007 76627566 5f617069 ....[...vbuf_api + 35400: 00140000 14d9085f 696e6974 0000000a ......._init.... + 35410: dd022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf + 35420: 00000014 47022304 085f616c 6c6f635f ....G.#.._alloc_ + 35430: 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. + 35440: 00145402 2308085f 66726565 5f766275 ..T.#.._free_vbu + 35450: 66000000 145d0223 0c087052 65736572 f....].#..pReser + 35460: 76656400 0000040a 02231000 075f5f61 ved......#...__a + 35470: 64665f64 65766963 65000400 0014fb08 df_device....... + 35480: 64756d6d 79000000 01150223 00000300 dummy......#.... + 35490: 00092104 00075f5f 6164665f 646d615f ..!...__adf_dma_ + 354a0: 6d617000 0c000015 42086275 66000000 map.....B.buf... + 354b0: 143a0223 00086473 5f616464 72000000 .:.#..ds_addr... + 354c0: 14fb0223 04086473 5f6c656e 00000012 ...#..ds_len.... + 354d0: ef022308 00120c00 00157c08 5f5f7661 ..#.......|.__va + 354e0: 5f73746b 00000003 78022300 085f5f76 _stk....x.#..__v + 354f0: 615f7265 67000000 03780223 04085f5f a_reg....x.#..__ + 35500: 76615f6e 64780000 00011502 23080009 va_ndx......#... + 35510: 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add + 35520: 725f7400 00000921 09616466 5f6f735f r_t....!.adf_os_ + 35530: 646d615f 61646472 5f740000 00157c09 dma_addr_t....|. + 35540: 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz + 35550: 655f7400 00000921 09616466 5f6f735f e_t....!.adf_os_ + 35560: 646d615f 73697a65 5f740000 0015ac07 dma_size_t...... + 35570: 5f5f646d 615f7365 67730008 00001608 __dma_segs...... + 35580: 08706164 64720000 00159502 2300086c .paddr......#..l + 35590: 656e0000 0015c502 23040009 5f5f615f en......#...__a_ + 355a0: 75696e74 33325f74 00000009 2109615f uint32_t....!.a_ + 355b0: 75696e74 33325f74 00000016 080f0000 uint32_t........ + 355c0: 15dc0800 00163710 00000761 64665f6f ......7....adf_o + 355d0: 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... + 355e0: 00167008 6e736567 73000000 161a0223 ..p.nsegs......# + 355f0: 0008646d 615f7365 67730000 00162a02 ..dma_segs....*. + 35600: 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. + 35610: 000012db 09615f75 696e7438 5f740000 .....a_uint8_t.. + 35620: 00167003 00001681 0400075f 5f73675f ..p........__sg_ + 35630: 73656773 00080000 16c20876 61646472 segs.......vaddr + 35640: 00000016 90022300 086c656e 00000016 ......#..len.... + 35650: 1a022304 000f0000 16972000 0016cf10 ..#....... ..... + 35660: 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist + 35670: 00240000 1702086e 73656773 00000016 .$.....nsegs.... + 35680: 1a022300 0873675f 73656773 00000016 ..#..sg_segs.... + 35690: c2022304 00121000 00174b08 76656e64 ..#.......K.vend + 356a0: 6f720000 00161a02 23000864 65766963 or......#..devic + 356b0: 65000000 161a0223 04087375 6276656e e......#..subven + 356c0: 646f7200 0000161a 02230808 73756264 dor......#..subd + 356d0: 65766963 65000000 161a0223 0c00046c evice......#...l + 356e0: 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne + 356f0: 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 + 35700: 34000000 174b095f 5f615f75 696e7436 4....K.__a_uint6 + 35710: 345f7400 00001765 09615f75 696e7436 4_t....e.a_uint6 + 35720: 345f7400 00001773 14040000 17d10e41 4_t....s.......A + 35730: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T + 35740: 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS + 35750: 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I + 35760: 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso + 35770: 75726365 5f747970 655f7400 00001795 urce_type_t..... + 35780: 12180000 181b0873 74617274 00000017 .......start.... + 35790: 85022300 08656e64 00000017 85022308 ..#..end......#. + 357a0: 08747970 65000000 17d10223 10000961 .type......#...a + 357b0: 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id + 357c0: 5f740000 00170203 0000181b 04001104 _t.............. + 357d0: 0000185a 08706369 00000018 34022300 ...Z.pci....4.#. + 357e0: 08726177 00000004 0a022300 00111000 .raw......#..... + 357f0: 00187908 70636900 0000181b 02230008 ..y.pci......#.. + 35800: 72617700 0000040a 02230000 09616466 raw......#...adf + 35810: 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... + 35820: 040a0961 64665f6f 735f7265 736f7572 ...adf_os_resour + 35830: 63655f74 00000017 ed030000 188f0400 ce_t............ + 35840: 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d + 35850: 6174615f 74000000 185a0300 0018ad04 ata_t....Z...... + 35860: 00030000 14d90400 095f5f61 64665f6f .........__adf_o + 35870: 735f6465 76696365 5f740000 0018ce09 s_device_t...... + 35880: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. + 35890: 000018d5 06000018 79010300 00190104 ........y....... + 358a0: 00020103 0000190e 04000961 64665f6f ...........adf_o + 358b0: 735f706d 5f740000 00040a02 01030000 s_pm_t.......... + 358c0: 19280400 14040000 19680e41 44465f4f .(.......h.ADF_O + 358d0: 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. + 358e0: 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE + 358f0: 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ + 35900: 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... + 35910: 19310961 64665f6f 735f6275 735f7265 .1.adf_os_bus_re + 35920: 675f6461 74615f74 00000018 3b030000 g_data_t....;... + 35930: 03220400 075f6164 665f6472 765f696e ."..._adf_drv_in + 35940: 666f0020 00001a45 08647276 5f617474 fo. ...E.drv_att + 35950: 61636800 00001907 02230008 6472765f ach......#..drv_ + 35960: 64657461 63680000 00191002 23040864 detach......#..d + 35970: 72765f73 75737065 6e640000 00192a02 rv_suspend....*. + 35980: 23080864 72765f72 6573756d 65000000 #..drv_resume... + 35990: 19100223 0c086275 735f7479 70650000 ...#..bus_type.. + 359a0: 00196802 23100862 75735f64 61746100 ..h.#..bus_data. + 359b0: 0000197f 02231408 6d6f645f 6e616d65 .....#..mod_name + 359c0: 00000019 9a022318 0869666e 616d6500 ......#..ifname. + 359d0: 0000199a 02231c00 09616466 5f6f735f .....#...adf_os_ + 359e0: 68616e64 6c655f74 00000004 0a030000 handle_t........ + 359f0: 16700400 02010201 095f5f61 64665f6f .p.......__adf_o + 35a00: 735f7369 7a655f74 00000004 0d140400 s_size_t........ + 35a10: 001a940e 415f4641 4c534500 000e415f ....A_FALSE...A_ + 35a20: 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t + 35a30: 0000001a 7a030000 15020400 095f5f61 ....z........__a + 35a40: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 35a50: 00001aa2 02010d61 64665f6f 735f6361 .......adf_os_ca + 35a60: 6368655f 73796e63 00040000 1b2c0e41 che_sync.....,.A + 35a70: 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. + 35a80: 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR + 35a90: 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P + 35aa0: 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY + 35ab0: 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... + 35ac0: 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn + 35ad0: 635f7400 00001ac3 02010961 64665f6f c_t........adf_o + 35ae0: 735f7369 7a655f74 0000001a 65060000 s_size_t....e... + 35af0: 1b470109 6164665f 6f735f64 6d615f6d .G..adf_os_dma_m + 35b00: 61705f74 0000001a a9030000 1b600400 ap_t.........`.. + 35b10: 06000004 0a010300 001aa904 00060000 ................ + 35b20: 040a0102 01060000 15950102 01047368 ..............sh + 35b30: 6f727420 696e7400 05020941 5f494e54 ort int....A_INT + 35b40: 31360000 001b9a09 5f5f615f 696e7431 16......__a_int1 + 35b50: 365f7400 00001ba7 09615f69 6e743136 6_t......a_int16 + 35b60: 5f740000 001bb404 7369676e 65642063 _t......signed c + 35b70: 68617200 05010941 5f494e54 38000000 har....A_INT8... + 35b80: 1bd4095f 5f615f69 6e74385f 74000000 ...__a_int8_t... + 35b90: 1be30961 5f696e74 385f7400 00001bef ...a_int8_t..... + 35ba0: 120c0000 1c660873 7570706f 72746564 .....f.supported + 35bb0: 00000016 1a022300 08616476 65727469 ......#..adverti + 35bc0: 7a656400 0000161a 02230408 73706565 zed......#..spee + 35bd0: 64000000 1bc50223 08086475 706c6578 d......#..duplex + 35be0: 0000001b ff02230a 08617574 6f6e6567 ......#..autoneg + 35bf0: 00000016 8102230b 000f0000 16810600 ......#......... + 35c00: 001c7310 05000761 64665f6e 65745f65 ..s....adf_net_e + 35c10: 74686164 64720006 00001c97 08616464 thaddr.......add + 35c20: 72000000 1c660223 0000095f 5f615f75 r....f.#...__a_u + 35c30: 696e7431 365f7400 000012ef 09615f75 int16_t......a_u + 35c40: 696e7431 365f7400 00001c97 120e0000 int16_t......... + 35c50: 1cfb0865 74686572 5f64686f 73740000 ...ether_dhost.. + 35c60: 001c6602 23000865 74686572 5f73686f ..f.#..ether_sho + 35c70: 73740000 001c6602 23060865 74686572 st....f.#..ether + 35c80: 5f747970 65000000 1ca90223 0c001214 _type......#.... + 35c90: 00001dbc 1569705f 76657273 696f6e00 .....ip_version. + 35ca0: 00001681 01000402 23001569 705f686c ........#..ip_hl + 35cb0: 00000016 81010404 02230008 69705f74 .........#..ip_t + 35cc0: 6f730000 00168102 23010869 705f6c65 os......#..ip_le + 35cd0: 6e000000 1ca90223 02086970 5f696400 n......#..ip_id. + 35ce0: 00001ca9 02230408 69705f66 7261675f .....#..ip_frag_ + 35cf0: 6f666600 00001ca9 02230608 69705f74 off......#..ip_t + 35d00: 746c0000 00168102 23080869 705f7072 tl......#..ip_pr + 35d10: 6f746f00 00001681 02230908 69705f63 oto......#..ip_c + 35d20: 6865636b 0000001c a902230a 0869705f heck......#..ip_ + 35d30: 73616464 72000000 161a0223 0c086970 saddr......#..ip + 35d40: 5f646164 64720000 00161a02 23100007 _daddr......#... + 35d50: 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. + 35d60: 0400001e 0e087470 69640000 001ca902 ......tpid...... + 35d70: 23001570 72696f00 00001681 01000302 #..prio......... + 35d80: 23021563 66690000 00168101 03010223 #..cfi.........# + 35d90: 02157669 64000000 1ca90204 0c022302 ..vid.........#. + 35da0: 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... + 35db0: 001e3f15 72657300 00001681 01000402 ..?.res......... + 35dc0: 23001576 616c0000 001ca902 040c0223 #..val.........# + 35dd0: 0000120c 00001e7b 0872785f 62756673 .......{.rx_bufs + 35de0: 697a6500 0000161a 02230008 72785f6e ize......#..rx_n + 35df0: 64657363 00000016 1a022304 0874785f desc......#..tx_ + 35e00: 6e646573 63000000 161a0223 08001208 ndesc......#.... + 35e10: 00001ea1 08706f6c 6c656400 00001a94 .....polled..... + 35e20: 02230008 706f6c6c 5f777400 0000161a .#..poll_wt..... + 35e30: 02230400 0f000016 81400000 1eae103f .#.......@.....? + 35e40: 00124600 001ed608 69665f6e 616d6500 ..F.....if_name. + 35e50: 00001ea1 02230008 6465765f 61646472 .....#..dev_addr + 35e60: 0000001c 66022340 00140400 001f0d0e ....f.#@........ + 35e70: 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 35e80: 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D + 35e90: 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... + 35ea0: 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask + 35eb0: 5f740000 001ed607 6164665f 646d615f _t......adf_dma_ + 35ec0: 696e666f 00080000 1f5a0864 6d615f6d info.....Z.dma_m + 35ed0: 61736b00 00001f0d 02230008 73675f6e ask......#..sg_n + 35ee0: 73656773 00000016 1a022304 00140400 segs......#..... + 35ef0: 001fb00e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU + 35f00: 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET + 35f10: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 35f20: 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK + 35f30: 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 + 35f40: 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu + 35f50: 6d5f7479 70655f74 0000001f 5a120800 m_type_t....Z... + 35f60: 001ff308 74785f63 6b73756d 0000001f ....tx_cksum.... + 35f70: b0022300 0872785f 636b7375 6d000000 ..#..rx_cksum... + 35f80: 1fb00223 04000961 64665f6e 65745f63 ...#...adf_net_c + 35f90: 6b73756d 5f696e66 6f5f7400 00001fca ksum_info_t..... + 35fa0: 14040000 204c0e41 44465f4e 45545f54 .... L.ADF_NET_T + 35fb0: 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE + 35fc0: 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF + 35fd0: 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... + 35fe0: 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type + 35ff0: 5f740000 00200d12 10000020 a008636b _t... ..... ..ck + 36000: 73756d5f 63617000 00001ff3 02230008 sum_cap......#.. + 36010: 74736f00 0000204c 02230808 766c616e tso... L.#..vlan + 36020: 5f737570 706f7274 65640000 00168102 _supported...... + 36030: 230c0012 20000021 39087478 5f706163 #... ..!9.tx_pac + 36040: 6b657473 00000016 1a022300 0872785f kets......#..rx_ + 36050: 7061636b 65747300 0000161a 02230408 packets......#.. + 36060: 74785f62 79746573 00000016 1a022308 tx_bytes......#. + 36070: 0872785f 62797465 73000000 161a0223 .rx_bytes......# + 36080: 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... + 36090: 1a022310 0872785f 64726f70 70656400 ..#..rx_dropped. + 360a0: 0000161a 02231408 72785f65 72726f72 .....#..rx_error + 360b0: 73000000 161a0223 18087478 5f657272 s......#..tx_err + 360c0: 6f727300 0000161a 02231c00 09616466 ors......#...adf + 360d0: 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. + 360e0: 001c7316 00002139 03000000 215e107f ..s...!9....!^.. + 360f0: 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc + 36100: 61646472 00030400 00219508 6e656c65 addr.....!..nele + 36110: 6d000000 161a0223 00086d63 61737400 m......#..mcast. + 36120: 00002150 02230400 09616466 5f6e6574 ..!P.#...adf_net + 36130: 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t + 36140: 0000001c 0d096164 665f6e65 745f636d ......adf_net_cm + 36150: 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... + 36160: 1e7b0961 64665f6e 65745f63 6d645f63 .{.adf_net_cmd_c + 36170: 6b73756d 5f696e66 6f5f7400 00001ff3 ksum_info_t..... + 36180: 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin + 36190: 675f696e 666f5f74 0000001e 3f096164 g_info_t....?.ad + 361a0: 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in + 361b0: 666f5f74 0000001f 24096164 665f6e65 fo_t....$.adf_ne + 361c0: 745f636d 645f7669 645f7400 00001ca9 t_cmd_vid_t..... + 361d0: 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off + 361e0: 6c6f6164 5f636170 5f740000 00206409 load_cap_t... d. + 361f0: 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat + 36200: 735f7400 000020a0 09616466 5f6e6574 s_t... ..adf_net + 36210: 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... + 36220: 215e0d61 64665f6e 65745f63 6d645f6d !^.adf_net_cmd_m + 36230: 63617374 5f636170 00040000 22d70e41 cast_cap...."..A + 36240: 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP + 36250: 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST + 36260: 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n + 36270: 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 36280: 5f740000 00228f18 03040000 23a9086c _t..."......#..l + 36290: 696e6b5f 696e666f 00000021 95022300 ink_info...!..#. + 362a0: 08706f6c 6c5f696e 666f0000 0021b202 .poll_info...!.. + 362b0: 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... + 362c0: 21cf0223 00087269 6e675f69 6e666f00 !..#..ring_info. + 362d0: 000021ed 02230008 646d615f 696e666f ..!..#..dma_info + 362e0: 00000022 0a022300 08766964 00000022 ..."..#..vid..." + 362f0: 26022300 086f6666 6c6f6164 5f636170 &.#..offload_cap + 36300: 00000022 3d022300 08737461 74730000 ..."=.#..stats.. + 36310: 00225c02 2300086d 63617374 5f696e66 ."\.#..mcast_inf + 36320: 6f000000 22750223 00086d63 6173745f o..."u.#..mcast_ + 36330: 63617000 000022d7 02230000 14040000 cap..."..#...... + 36340: 24000e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C + 36350: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 36360: 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW + 36370: 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C + 36380: 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY + 36390: 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 363a0: 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# + 363b0: a9120800 00244008 72657375 6c740000 .....$@.result.. + 363c0: 00240002 23000876 616c0000 00161a02 .$..#..val...... + 363d0: 23040012 08000024 70087479 70650000 #......$p.type.. + 363e0: 00204c02 2300086d 73730000 001ca902 . L.#..mss...... + 363f0: 23040868 64725f6f 66660000 00168102 #..hdr_off...... + 36400: 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q + 36410: 68656164 000c0000 24af0868 65616400 head....$..head. + 36420: 0000143a 02230008 7461696c 00000014 ...:.#..tail.... + 36430: 3a022304 08716c65 6e000000 161a0223 :.#..qlen......# + 36440: 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. + 36450: 0000143a 03000016 90040003 0000161a ...:............ + 36460: 04000201 06000013 1c010600 00161a01 ................ + 36470: 06000016 90010600 00169001 03000012 ................ + 36480: fd040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q + 36490: 68656164 5f740000 00247009 5f5f6164 head_t...$p.__ad + 364a0: 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 364b0: 0024f003 00002508 04000600 0024af01 .$....%......$.. + 364c0: 06000024 af011404 00002628 0e415f53 ...$......&(.A_S + 364d0: 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA + 364e0: 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S + 364f0: 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A + 36500: 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. + 36510: 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL + 36520: 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP + 36530: 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT + 36540: 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ + 36550: 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A + 36560: 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... + 36570: 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO + 36580: 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU + 36590: 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT + 365a0: 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST + 365b0: 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st + 365c0: 61747573 5f740000 00253306 00002628 atus_t...%3...&( + 365d0: 01060000 01150102 01096164 665f6e62 ..........adf_nb + 365e0: 75665f74 00000024 af140400 00268d0e uf_t...$.....&.. + 365f0: 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE + 36600: 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM + 36610: 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... + 36620: 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ + 36630: 74000000 26560600 00262801 02010961 t...&V...&(....a + 36640: 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf + 36650: 6f5f7400 00001637 03000026 ab040002 o_t....7...&.... + 36660: 01020106 00002646 01060000 24af0102 ......&F....$... + 36670: 01020106 00002646 01060000 24af0106 ......&F....$... + 36680: 00002646 01060000 24af0106 00002646 ..&F....$.....&F + 36690: 01020102 01060000 161a0106 00001690 ................ + 366a0: 01020102 01060000 1b470106 00001a94 .........G...... + 366b0: 01060000 1a940109 6164665f 6f735f73 ........adf_os_s + 366c0: 676c6973 745f7400 000016cf 03000027 glist_t........' + 366d0: 24040002 01020102 01060000 16900109 $............... + 366e0: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 366f0: 00000025 08030000 274c0400 02010300 ...%....'L...... + 36700: 0024f004 00020102 01020106 00002646 .$............&F + 36710: 01060000 24af0106 0000161a 01060000 ....$........... + 36720: 161a0106 00001a94 01060000 1a940106 ................ + 36730: 00001fb0 01060000 161a0109 6164665f ............adf_ + 36740: 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. + 36750: 0000241e 03000027 a8040002 01020109 ..$....'........ + 36760: 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. + 36770: 00244003 000027cc 04000201 02010961 .$@...'........a + 36780: 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. + 36790: 0000040a 09616466 5f6e6574 5f766c61 .....adf_net_vla + 367a0: 6e686472 5f740000 001dbc03 00002801 nhdr_t........(. + 367b0: 04000600 00262801 06000026 28010201 .....&(....&(... + 367c0: 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. + 367d0: 00002850 0864756d 6d790000 00011502 ..(P.dummy...... + 367e0: 23000002 01030000 28500400 02010300 #.......(P...... + 367f0: 00285904 00075f48 49465f43 414c4c42 .(Y..._HIF_CALLB + 36800: 41434b00 0c000028 ae087365 6e645f62 ACK....(..send_b + 36810: 75665f64 6f6e6500 00002852 02230008 uf_done...(R.#.. + 36820: 72656376 5f627566 00000028 5b022304 recv_buf...([.#. + 36830: 08636f6e 74657874 00000004 0a022308 .context......#. + 36840: 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. + 36850: 00040a09 4849465f 434f4e46 49470000 ....HIF_CONFIG.. + 36860: 00282f03 000028c0 04000600 0028ae01 .(/...(......(.. + 36870: 03000028 d7040002 01030000 28e40400 ...(........(... + 36880: 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... + 36890: 28620300 0028ed04 00020103 00002906 (b...(........). + 368a0: 04000600 00011501 03000029 0f040002 ...........).... + 368b0: 01030000 291c0400 06000001 15010300 ....)........... + 368c0: 00292504 00020103 00002932 04000600 .)%.......)2.... + 368d0: 00011501 03000029 3b040002 01030000 .......);....... + 368e0: 29480400 07686966 5f617069 00380000 )H...hif_api.8.. + 368f0: 2aa1085f 696e6974 00000028 dd022300 *.._init...(..#. + 36900: 085f7368 7574646f 776e0000 0028e602 ._shutdown...(.. + 36910: 2304085f 72656769 73746572 5f63616c #.._register_cal + 36920: 6c626163 6b000000 29080223 08085f67 lback...)..#.._g + 36930: 65745f74 6f74616c 5f637265 6469745f et_total_credit_ + 36940: 636f756e 74000000 29150223 0c085f73 count...)..#.._s + 36950: 74617274 00000028 e6022310 085f636f tart...(..#.._co + 36960: 6e666967 5f706970 65000000 291e0223 nfig_pipe...)..# + 36970: 14085f73 656e645f 62756666 65720000 .._send_buffer.. + 36980: 00292b02 2318085f 72657475 726e5f72 .)+.#.._return_r + 36990: 6563765f 62756600 00002934 02231c08 ecv_buf...)4.#.. + 369a0: 5f69735f 70697065 5f737570 706f7274 _is_pipe_support + 369b0: 65640000 00294102 2320085f 6765745f ed...)A.# ._get_ + 369c0: 6d61785f 6d73675f 6c656e00 00002941 max_msg_len...)A + 369d0: 02232408 5f676574 5f726573 65727665 .#$._get_reserve + 369e0: 645f6865 6164726f 6f6d0000 00291502 d_headroom...).. + 369f0: 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. + 36a00: 000028e6 02232c08 5f676574 5f646566 ..(..#,._get_def + 36a10: 61756c74 5f706970 65000000 294a0223 ault_pipe...)J.# + 36a20: 30087052 65736572 76656400 0000040a 0.pReserved..... + 36a30: 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. + 36a40: 0400002b 2a0e444d 415f454e 47494e45 ...+*.DMA_ENGINE + 36a50: 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN + 36a60: 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI + 36a70: 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG + 36a80: 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN + 36a90: 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E + 36aa0: 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ + 36ab0: 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm + 36ac0: 615f656e 67696e65 5f740000 002aa10d a_engine_t...*.. + 36ad0: 646d615f 69667479 70650004 00002b77 dma_iftype....+w + 36ae0: 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D + 36af0: 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ + 36b00: 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i + 36b10: 66747970 655f7400 00002b3c 06000012 ftype_t...+<.... + 36b20: ef010300 002b8904 00020103 00002b96 .....+........+. + 36b30: 04000201 0300002b 9f040006 00000921 .......+.......! + 36b40: 01030000 2ba80400 06000012 ef010300 ....+........... + 36b50: 002bb504 00060000 12ef0103 00002bc2 .+............+. + 36b60: 04000600 00143a01 0300002b cf040002 ......:....+.... + 36b70: 01030000 2bdc0400 07646d61 5f6c6962 ....+....dma_lib + 36b80: 5f617069 00340000 2ce30874 785f696e _api.4..,..tx_in + 36b90: 69740000 002b8f02 23000874 785f7374 it...+..#..tx_st + 36ba0: 61727400 00002b98 02230408 72785f69 art...+..#..rx_i + 36bb0: 6e697400 00002b8f 02230808 72785f63 nit...+..#..rx_c + 36bc0: 6f6e6669 67000000 2ba10223 0c087278 onfig...+..#..rx + 36bd0: 5f737461 72740000 002b9802 23100869 _start...+..#..i + 36be0: 6e74725f 73746174 75730000 002bae02 ntr_status...+.. + 36bf0: 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ + 36c00: bb022318 08666c75 73685f78 6d697400 ..#..flush_xmit. + 36c10: 00002b98 02231c08 786d6974 5f646f6e ..+..#..xmit_don + 36c20: 65000000 2bc80223 20087265 61705f78 e...+..# .reap_x + 36c30: 6d697474 65640000 002bd502 23240872 mitted...+..#$.r + 36c40: 6561705f 72656376 0000002b d5022328 eap_recv...+..#( + 36c50: 08726574 75726e5f 72656376 0000002b .return_recv...+ + 36c60: de02232c 08726563 765f706b 74000000 ..#,.recv_pkt... + 36c70: 2bc80223 3000075f 5f706369 5f736f66 +..#0..__pci_sof + 36c80: 7463000c 00002d01 08737700 000028ed tc....-..sw...(. + 36c90: 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc + 36ca0: 5f740000 002ce303 00002d01 04000201 _t...,....-..... + 36cb0: 0300002d 1b040006 000012db 01030000 ...-............ + 36cc0: 2d240400 0d686966 5f706369 5f706970 -$...hif_pci_pip + 36cd0: 655f7478 00040000 2d840e48 49465f50 e_tx....-..HIF_P + 36ce0: 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI + 36cf0: 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. + 36d00: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 36d10: 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ + 36d20: 70697065 5f74785f 74000000 2d310600 pipe_tx_t...-1.. + 36d30: 002b2a01 0300002d 9b04000d 6869665f .+*....-....hif_ + 36d40: 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... + 36d50: 210e4849 465f5043 495f5049 50455f52 !.HIF_PCI_PIPE_R + 36d60: 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP + 36d70: 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ + 36d80: 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P + 36d90: 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI + 36da0: 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA + 36db0: 58000400 09686966 5f706369 5f706970 X....hif_pci_pip + 36dc0: 655f7278 5f740000 002da806 00002b2a e_rx_t...-....+* + 36dd0: 01030000 2e380400 07686966 5f706369 .....8...hif_pci + 36de0: 5f617069 00240000 2f160870 63695f62 _api.$../..pci_b + 36df0: 6f6f745f 696e6974 00000001 0e022300 oot_init......#. + 36e00: 08706369 5f696e69 74000000 28dd0223 .pci_init...(..# + 36e10: 04087063 695f7265 73657400 0000010e ..pci_reset..... + 36e20: 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. + 36e30: 00010e02 230c0870 63695f72 6561705f ....#..pci_reap_ + 36e40: 786d6974 74656400 00002d1d 02231008 xmitted...-..#.. + 36e50: 7063695f 72656170 5f726563 76000000 pci_reap_recv... + 36e60: 2d1d0223 14087063 695f6765 745f7069 -..#..pci_get_pi + 36e70: 70650000 002d2a02 23180870 63695f67 pe...-*.#..pci_g + 36e80: 65745f74 785f656e 67000000 2da10223 et_tx_eng...-..# + 36e90: 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng + 36ea0: 0000002e 3e022320 0007676d 61635f61 ....>.# ..gmac_a + 36eb0: 70690004 00002f3d 08676d61 635f626f pi..../=.gmac_bo + 36ec0: 6f745f69 6e697400 0000010e 02230000 ot_init......#.. + 36ed0: 0f000003 22060000 2f4a1005 00075f5f ....".../J....__ + 36ee0: 65746868 6472000e 00002f80 08647374 ethhdr..../..dst + 36ef0: 0000002f 3d022300 08737263 0000002f .../=.#..src.../ + 36f00: 3d022306 08657479 70650000 0012ef02 =.#..etype...... + 36f10: 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... + 36f20: 2fce1572 65730000 0012db01 00020223 /..res.........# + 36f30: 00157072 6f746f00 000012db 01020602 ..proto......... + 36f40: 23000872 65735f6c 6f000000 12db0223 #..res_lo......# + 36f50: 01087265 735f6869 00000012 ef022302 ..res_hi......#. + 36f60: 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... + 36f70: 300a0865 74680000 002f4a02 23000861 0..eth.../J.#..a + 36f80: 74680000 002f8002 230e0861 6c69676e th.../..#..align + 36f90: 5f706164 00000012 ef022312 00095f5f _pad......#...__ + 36fa0: 676d6163 5f686472 5f740000 002fce07 gmac_hdr_t.../.. + 36fb0: 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. + 36fc0: 30540868 64720000 00300a02 23000867 0T.hdr...0..#..g + 36fd0: 72616e00 000012ef 02231408 73770000 ran......#..sw.. + 36fe0: 0028ed02 23180007 5f415f6f 735f6c69 .(..#..._A_os_li + 36ff0: 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 + 37000: 8d087665 7273696f 6e000000 01150223 ..version......# + 37010: 00087461 626c6500 00000115 02230400 ..table......#.. + 37020: 03000030 54040006 00000115 01030000 ...0T........... + 37030: 30940400 03000004 0d040017 5f415f63 0..........._A_c + 37040: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection + 37050: 5f746162 6c650001 b8000031 e4086861 _table.....1..ha + 37060: 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. + 37070: 0000309a 02230008 73746172 745f6273 ..0..#..start_bs + 37080: 73000000 30a10223 04086170 705f7374 s...0..#..app_st + 37090: 61727400 0000010e 02230808 6d656d00 art......#..mem. + 370a0: 0000044d 02230c08 6d697363 00000005 ...M.#..misc.... + 370b0: 6c022320 08707269 6e746600 00000142 l.# .printf....B + 370c0: 02234408 75617274 00000002 0b02234c .#D.uart......#L + 370d0: 08676d61 63000000 2f160223 6c087573 .gmac.../..#l.us + 370e0: 62000000 0f9b0223 7008636c 6f636b00 b......#p.clock. + 370f0: 00000ae4 0323e001 0874696d 65720000 .....#...timer.. + 37100: 00078403 23840208 696e7472 0000000c ....#...intr.... + 37110: 34032398 0208616c 6c6f6372 616d0000 4.#...allocram.. + 37120: 00093c03 23c40208 726f6d70 00000008 ..<.#...romp.... + 37130: 2e0323d0 02087764 745f7469 6d657200 ..#...wdt_timer. + 37140: 00000e11 0323e002 08656570 0000000f .....#...eep.... + 37150: 3f0323fc 02087374 72696e67 00000006 ?.#...string.... + 37160: 9003238c 03087461 736b6c65 74000000 ..#...tasklet... + 37170: 0a390323 a4030007 5f555342 5f464946 .9.#...._USB_FIF + 37180: 4f5f434f 4e464947 00100000 32570867 O_CONFIG....2W.g + 37190: 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. + 371a0: 00144702 23000872 6563765f 636f6d6d ..G.#..recv_comm + 371b0: 616e6400 0000145d 02230408 6765745f and....].#..get_ + 371c0: 6576656e 745f6275 66000000 14470223 event_buf....G.# + 371d0: 08087365 6e645f65 76656e74 5f646f6e ..send_event_don + 371e0: 65000000 145d0223 0c000955 53425f46 e....].#...USB_F + 371f0: 49464f5f 434f4e46 49470000 0031e403 IFO_CONFIG...1.. + 37200: 00003257 04000201 03000032 73040007 ..2W.......2s... + 37210: 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 + 37220: c9085f69 6e697400 00003275 02230008 .._init...2u.#.. + 37230: 5f656e61 626c655f 6576656e 745f6973 _enable_event_is + 37240: 72000000 010e0223 04087052 65736572 r......#..pReser + 37250: 76656400 0000040a 02230800 0f000016 ved......#...... + 37260: 81020000 32d61001 00075f48 54435f46 ....2....._HTC_F + 37270: 52414d45 5f484452 00080000 33480845 RAME_HDR....3H.E + 37280: 6e64706f 696e7449 44000000 16810223 ndpointID......# + 37290: 0008466c 61677300 00001681 02230108 ..Flags......#.. + 372a0: 5061796c 6f61644c 656e0000 001ca902 PayloadLen...... + 372b0: 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. + 372c0: 000032c9 02230408 486f7374 5365714e ..2..#..HostSeqN + 372d0: 756d0000 001ca902 23060012 02000033 um......#......3 + 372e0: 61084d65 73736167 65494400 00001ca9 a.MessageID..... + 372f0: 02230000 12080000 33c4084d 65737361 .#......3..Messa + 37300: 67654944 0000001c a9022300 08437265 geID......#..Cre + 37310: 64697443 6f756e74 0000001c a9022302 ditCount......#. + 37320: 08437265 64697453 697a6500 00001ca9 .CreditSize..... + 37330: 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints + 37340: 00000016 81022306 085f5061 64310000 ......#.._Pad1.. + 37350: 00168102 23070012 0a000034 5b084d65 ....#......4[.Me + 37360: 73736167 65494400 00001ca9 02230008 ssageID......#.. + 37370: 53657276 69636549 44000000 1ca90223 ServiceID......# + 37380: 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag + 37390: 73000000 1ca90223 0408446f 776e4c69 s......#..DownLi + 373a0: 6e6b5069 70654944 00000016 81022306 nkPipeID......#. + 373b0: 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... + 373c0: 16810223 07085365 72766963 654d6574 ...#..ServiceMet + 373d0: 614c656e 67746800 00001681 02230808 aLength......#.. + 373e0: 5f506164 31000000 16810223 0900120a _Pad1......#.... + 373f0: 000034e3 084d6573 73616765 49440000 ..4..MessageID.. + 37400: 001ca902 23000853 65727669 63654944 ....#..ServiceID + 37410: 0000001c a9022302 08537461 74757300 ......#..Status. + 37420: 00001681 02230408 456e6470 6f696e74 .....#..Endpoint + 37430: 49440000 00168102 2305084d 61784d73 ID......#..MaxMs + 37440: 6753697a 65000000 1ca90223 06085365 gSize......#..Se + 37450: 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 37460: 00001681 02230808 5f506164 31000000 .....#.._Pad1... + 37470: 16810223 09001202 000034fc 084d6573 ...#......4..Mes + 37480: 73616765 49440000 001ca902 23000012 sageID......#... + 37490: 04000035 38084d65 73736167 65494400 ...58.MessageID. + 374a0: 00001ca9 02230008 50697065 49440000 .....#..PipeID.. + 374b0: 00168102 23020843 72656469 74436f75 ....#..CreditCou + 374c0: 6e740000 00168102 23030012 04000035 nt......#......5 + 374d0: 6f084d65 73736167 65494400 00001ca9 o.MessageID..... + 374e0: 02230008 50697065 49440000 00168102 .#..PipeID...... + 374f0: 23020853 74617475 73000000 16810223 #..Status......# + 37500: 03001202 00003596 08526563 6f726449 ......5..RecordI + 37510: 44000000 16810223 00084c65 6e677468 D......#..Length + 37520: 00000016 81022301 00120200 0035c008 ......#......5.. + 37530: 456e6470 6f696e74 49440000 00168102 EndpointID...... + 37540: 23000843 72656469 74730000 00168102 #..Credits...... + 37550: 23010012 04000036 0108456e 64706f69 #......6..Endpoi + 37560: 6e744944 00000016 81022300 08437265 ntID......#..Cre + 37570: 64697473 00000016 81022301 08546774 dits......#..Tgt + 37580: 43726564 69745365 714e6f00 00001ca9 CreditSeqNo..... + 37590: 02230200 0f000016 81040000 360e1003 .#..........6... + 375a0: 00120600 00364a08 50726556 616c6964 .....6J.PreValid + 375b0: 00000016 81022300 084c6f6f 6b416865 ......#..LookAhe + 375c0: 61640000 00360102 23010850 6f737456 ad...6..#..PostV + 375d0: 616c6964 00000016 81022305 0009706f alid......#...po + 375e0: 6f6c5f68 616e646c 655f7400 0000040a ol_handle_t..... + 375f0: 06000036 4a010300 00365d04 00020103 ...6J....6]..... + 37600: 0000366a 04001404 000036e8 0e504f4f ..6j......6..POO + 37610: 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL + 37620: 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S + 37630: 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P + 37640: 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E + 37650: 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W + 37660: 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO + 37670: 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ + 37680: 504f4f4c 5f494400 00003673 02010300 POOL_ID...6s.... + 37690: 0036f904 00060000 26460103 00003702 .6......&F....7. + 376a0: 04000600 00264601 03000037 0f040002 .....&F....7.... + 376b0: 01030000 371c0400 07627566 5f706f6f ....7....buf_poo + 376c0: 6c5f6170 69001c00 0037be08 5f696e69 l_api....7.._ini + 376d0: 74000000 36630223 00085f73 68757464 t...6c.#.._shutd + 376e0: 6f776e00 0000366c 02230408 5f637265 own...6l.#.._cre + 376f0: 6174655f 706f6f6c 00000036 fb022308 ate_pool...6..#. + 37700: 085f616c 6c6f635f 62756600 00003708 ._alloc_buf...7. + 37710: 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a + 37720: 6c69676e 00000037 15022310 085f6672 lign...7..#.._fr + 37730: 65655f62 75660000 00371e02 23140870 ee_buf...7..#..p + 37740: 52657365 72766564 00000004 0a022318 Reserved......#. + 37750: 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. + 37760: 0000389d 08704e65 78740000 00389d02 ..8..pNext...8.. + 37770: 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs + 37780: 67000000 39520223 04085072 6f636573 g...9R.#..Proces + 37790: 7353656e 64427566 66657243 6f6d706c sSendBufferCompl + 377a0: 65746500 0000395b 02230808 50726f63 ete...9[.#..Proc + 377b0: 65737343 6f6e6e65 63740000 00396f02 essConnect...9o. + 377c0: 230c0853 65727669 63654944 00000012 #..ServiceID.... + 377d0: ef022310 08536572 76696365 466c6167 ..#..ServiceFlag + 377e0: 73000000 12ef0223 12084d61 78537663 s......#..MaxSvc + 377f0: 4d736753 697a6500 000012ef 02231408 MsgSize......#.. + 37800: 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL + 37810: 696d6974 00000012 ef022316 08536572 imit......#..Ser + 37820: 76696365 43747800 0000040a 02231800 viceCtx......#.. + 37830: 03000037 be040014 04000039 3b19454e ...7.......9;.EN + 37840: 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... + 37850: ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E + 37860: 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO + 37870: 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 + 37880: 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E + 37890: 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO + 378a0: 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 + 378b0: 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E + 378c0: 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H + 378d0: 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. + 378e0: 0038a402 01030000 39500400 02010300 .8......9P...... + 378f0: 00395904 00030000 01150400 06000012 .9Y............. + 37900: db010300 00396904 00030000 37be0400 .....9i.....7... + 37910: 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... + 37920: 39ee0843 72656469 7453697a 65000000 9..CreditSize... + 37930: 01150223 00084372 65646974 4e756d62 ...#..CreditNumb + 37940: 65720000 00011502 2304084f 5348616e er......#..OSHan + 37950: 646c6500 00001a45 02230808 48494648 dle....E.#..HIFH + 37960: 616e646c 65000000 28ae0223 0c08506f andle...(..#..Po + 37970: 6f6c4861 6e646c65 00000036 4a022310 olHandle...6J.#. + 37980: 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE + 37990: 58540002 00003a2a 08656e64 5f706f69 XT....:*.end_poi + 379a0: 6e740000 0012db02 23000868 74635f66 nt......#..htc_f + 379b0: 6c616773 00000012 db022301 00096874 lags......#...ht + 379c0: 635f6861 6e646c65 5f740000 00040a09 c_handle_t...... + 379d0: 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE + 379e0: 54455f43 42000000 010e0948 54435f43 TE_CB......HTC_C + 379f0: 4f4e4649 47000000 397d0300 003a5704 ONFIG...9}...:W. + 37a00: 00060000 3a2a0103 00003a6e 04000201 ....:*....:n.... + 37a10: 0300003a 7b040009 4854435f 53455256 ...:{...HTC_SERV + 37a20: 49434500 000037be 0300003a 84040002 ICE...7....:.... + 37a30: 01030000 3a9c0400 02010300 003aa504 ....:........:.. + 37a40: 00020103 00003aae 04000600 00011501 ......:......... + 37a50: 0300003a b7040007 6874635f 61706973 ...:....htc_apis + 37a60: 00340000 3c34085f 4854435f 496e6974 .4..<4._HTC_Init + 37a70: 0000003a 74022300 085f4854 435f5368 ...:t.#.._HTC_Sh + 37a80: 7574646f 776e0000 003a7d02 2304085f utdown...:}.#.._ + 37a90: 4854435f 52656769 73746572 53657276 HTC_RegisterServ + 37aa0: 69636500 00003a9e 02230808 5f485443 ice...:..#.._HTC + 37ab0: 5f526561 64790000 003a7d02 230c085f _Ready...:}.#.._ + 37ac0: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer + 37ad0: 73000000 3aa70223 10085f48 54435f52 s...:..#.._HTC_R + 37ae0: 65747572 6e427566 66657273 4c697374 eturnBuffersList + 37af0: 0000003a b0022314 085f4854 435f5365 ...:..#.._HTC_Se + 37b00: 6e644d73 67000000 3aa70223 18085f48 ndMsg...:..#.._H + 37b10: 54435f47 65745265 73657276 65644865 TC_GetReservedHe + 37b20: 6164726f 6f6d0000 003abd02 231c085f adroom...:..#.._ + 37b30: 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl + 37b40: 65720000 00285b02 2320085f 4854435f er...([.# ._HTC_ + 37b50: 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. + 37b60: 00002852 02232408 5f485443 5f436f6e ..(R.#$._HTC_Con + 37b70: 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs + 37b80: 67000000 39520223 28085f48 54435f43 g...9R.#(._HTC_C + 37b90: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 37ba0: 53656e64 436f6d70 6c657465 00000039 SendComplete...9 + 37bb0: 5b02232c 08705265 73657276 65640000 [.#,.pReserved.. + 37bc0: 00040a02 23300007 686f7374 5f617070 ....#0..host_app + 37bd0: 5f617265 615f7300 0400003c 6408776d _area_s......t + 37d70: 75706c65 4e756d4c 0000001c a9022300 upleNumL......#. + 37d80: 08747570 6c654e75 6d480000 001ca902 .tupleNumH...... + 37d90: 23020861 76740000 003dc802 23040012 #..avt...=..#... + 37da0: 0100003e 2e086265 61636f6e 50656e64 ...>..beaconPend + 37db0: 696e6743 6f756e74 00000016 81022300 ingCount......#. + 37dc0: 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI + 37dd0: 47001000 003e9708 48746348 616e646c G....>..HtcHandl + 37de0: 65000000 3a2a0223 0008506f 6f6c4861 e...:*.#..PoolHa + 37df0: 6e646c65 00000036 4a022304 084d6178 ndle...6J.#..Max + 37e00: 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... + 37e10: 15022308 084d6178 4576656e 74457674 ..#..MaxEventEvt + 37e20: 73000000 01150223 0c000201 0300003e s......#.......> + 37e30: 97040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND + 37e40: 4c455200 00003e99 075f574d 495f4449 LER...>.._WMI_DI + 37e50: 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... + 37e60: 3f000870 436d6448 616e646c 65720000 ?..pCmdHandler.. + 37e70: 003ea002 23000843 6d644944 00000012 .>..#..CmdID.... + 37e80: ef022304 08466c61 67730000 0012ef02 ..#..Flags...... + 37e90: 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC + 37ea0: 485f5441 424c4500 1000003f 6108704e H_TABLE....?a.pN + 37eb0: 65787400 00003f61 02230008 70436f6e ext...?a.#..pCon + 37ec0: 74657874 00000004 0a022304 084e756d text......#..Num + 37ed0: 6265724f 66456e74 72696573 00000001 berOfEntries.... + 37ee0: 15022308 08705461 626c6500 00003f80 ..#..pTable...?. + 37ef0: 02230c00 0300003f 00040009 574d495f .#.....?....WMI_ + 37f00: 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. + 37f10: 003eb503 00003f68 04000300 003f0004 .>....?h.....?.. + 37f20: 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX + 37f30: 54000000 39ee0d57 4d495f45 56545f43 T...9..WMI_EVT_C + 37f40: 4c415353 00040000 40181957 4d495f45 LASS....@..WMI_E + 37f50: 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... + 37f60: ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 37f70: 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI + 37f80: 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R + 37f90: 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C + 37fa0: 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ + 37fb0: 4556545f 434c4153 53000000 3fa3075f EVT_CLASS...?.._ + 37fc0: 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. + 37fd0: 0c000040 76084874 63427566 43747800 ...@v.HtcBufCtx. + 37fe0: 00003f8e 02230008 4576656e 74436c61 ..?..#..EventCla + 37ff0: 73730000 00401802 23040846 6c616773 ss...@..#..Flags + 38000: 00000012 ef022308 0009776d 695f6861 ......#...wmi_ha + 38010: 6e646c65 5f740000 00040a09 574d495f ndle_t......WMI_ + 38020: 5356435f 434f4e46 49470000 003e2e03 SVC_CONFIG...>.. + 38030: 00004088 04000600 00407601 03000040 ..@......@v....@ + 38040: a3040009 574d495f 44495350 41544348 ....WMI_DISPATCH + 38050: 5f544142 4c450000 003f0003 000040b0 _TABLE...?....@. + 38060: 04000201 03000040 cf040006 00002646 .......@......&F + 38070: 01030000 40d80400 02010300 0040e504 ....@........@.. + 38080: 00060000 01150103 000040ee 04000201 ..........@..... + 38090: 03000040 fb040006 000012db 01030000 ...@............ + 380a0: 41040400 075f776d 695f7376 635f6170 A...._wmi_svc_ap + 380b0: 6973002c 0000424c 085f574d 495f496e is.,..BL._WMI_In + 380c0: 69740000 0040a902 2300085f 574d495f it...@..#.._WMI_ + 380d0: 52656769 73746572 44697370 61746368 RegisterDispatch + 380e0: 5461626c 65000000 40d10223 04085f57 Table...@..#.._W + 380f0: 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... + 38100: 40de0223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE + 38110: 76656e74 00000040 e702230c 085f574d vent...@..#.._WM + 38120: 495f4765 7450656e 64696e67 4576656e I_GetPendingEven + 38130: 7473436f 756e7400 000040f4 02231008 tsCount...@..#.. + 38140: 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet + 38150: 6548616e 646c6572 00000039 5b022314 eHandler...9[.#. + 38160: 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl + 38170: 45700000 0040f402 2318085f 574d495f Ep...@..#.._WMI_ + 38180: 53687574 646f776e 00000040 fd02231c Shutdown...@..#. + 38190: 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag + 381a0: 6548616e 646c6572 00000039 52022320 eHandler...9R.# + 381b0: 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon + 381c0: 6e656374 00000041 0a022324 08705265 nect...A..#$.pRe + 381d0: 73657276 65640000 00040a02 23280007 served......#(.. + 381e0: 7a73446d 61446573 63001400 0042ce08 zsDmaDesc....B.. + 381f0: 6374726c 00000001 79022300 08737461 ctrl....y.#..sta + 38200: 74757300 00000179 02230208 746f7461 tus....y.#..tota + 38210: 6c4c656e 00000001 79022304 08646174 lLen....y.#..dat + 38220: 6153697a 65000000 01790223 06086c61 aSize....y.#..la + 38230: 73744164 64720000 0042ce02 23080864 stAddr...B..#..d + 38240: 61746141 64647200 0000019d 02230c08 ataAddr......#.. + 38250: 6e657874 41646472 00000042 ce022310 nextAddr...B..#. + 38260: 00030000 424c0400 03000042 4c040007 ....BL.....BL... + 38270: 7a73446d 61517565 75650008 0000430e zsDmaQueue....C. + 38280: 08686561 64000000 42d50223 00087465 .head...B..#..te + 38290: 726d696e 61746f72 00000042 d5022304 rminator...B..#. + 382a0: 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. + 382b0: 00004372 08686561 64000000 42d50223 ..Cr.head...B..# + 382c0: 00087465 726d696e 61746f72 00000042 ..terminator...B + 382d0: d5022304 08786d69 7465645f 6275665f ..#..xmited_buf_ + 382e0: 68656164 00000014 3a022308 08786d69 head....:.#..xmi + 382f0: 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... + 38300: 3a02230c 00020103 00004372 04000300 :.#.......Cr.... + 38310: 0042dc04 00020103 00004382 04000300 .B........C..... + 38320: 00430e04 00020103 00004392 04000201 .C........C..... + 38330: 03000043 9b040002 01030000 43a40400 ...C........C... + 38340: 06000014 3a010300 0043ad04 00020103 ....:....C...... + 38350: 000043ba 04000600 00143a01 03000043 ..C.......:....C + 38360: c3040002 01030000 43d00400 06000001 ........C....... + 38370: 15010300 0043d904 00060000 42d50103 .....C......B... + 38380: 000043e6 04000201 03000043 f3040007 ..C........C.... + 38390: 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ + 383a0: 00004569 085f696e 69740000 00437402 ..Ei._init...Ct. + 383b0: 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu + 383c0: 65000000 43840223 04085f69 6e69745f e...C..#.._init_ + 383d0: 74785f71 75657565 00000043 94022308 tx_queue...C..#. + 383e0: 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu + 383f0: 65000000 439d0223 0c085f78 6d69745f e...C..#.._xmit_ + 38400: 62756600 000043a6 02231008 5f666c75 buf...C..#.._flu + 38410: 73685f78 6d697400 00004384 02231408 sh_xmit...C..#.. + 38420: 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. + 38430: 0043b302 2318085f 72657475 726e5f72 .C..#.._return_r + 38440: 6563765f 62756600 000043bc 02231c08 ecv_buf...C..#.. + 38450: 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf + 38460: 00000043 c9022320 085f7377 61705f64 ...C..# ._swap_d + 38470: 61746100 000043d2 02232408 5f686173 ata...C..#$._has + 38480: 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. + 38490: 0043df02 2328085f 64657363 5f64756d .C..#(._desc_dum + 384a0: 70000000 43840223 2c085f67 65745f70 p...C..#,._get_p + 384b0: 61636b65 74000000 43ec0223 30085f72 acket...C..#0._r + 384c0: 65636c61 696d5f70 61636b65 74000000 eclaim_packet... + 384d0: 43f50223 34085f70 75745f70 61636b65 C..#4._put_packe + 384e0: 74000000 43f50223 38087052 65736572 t...C..#8.pReser + 384f0: 76656400 0000040a 02233c00 095f415f ved......#<.._A_ + 38500: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 38510: 6e5f7461 626c655f 74000000 30a80957 n_table_t...0..W + 38520: 4d495f53 56435f41 50495300 00004111 MI_SVC_APIS...A. + 38530: 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir + 38540: 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. + 38550: 00469708 636d6e6f 73000000 45690223 .F..cmnos...Ei.# + 38560: 00086462 67000000 03d70323 b8030868 ..dbg......#...h + 38570: 69660000 00295103 23c00308 68746300 if...)Q.#...htc. + 38580: 00003ac4 0323f803 08776d69 5f737663 ..:..#...wmi_svc + 38590: 5f617069 00000045 8b0323ac 04087573 _api...E..#...us + 385a0: 62666966 6f5f6170 69000000 327c0323 bfifo_api...2|.# + 385b0: d8040862 75665f70 6f6f6c00 00003725 ...buf_pool...7% + 385c0: 0323e404 08766275 66000000 14640323 .#...vbuf....d.# + 385d0: 80050876 64657363 00000013 46032394 ...vdesc....F.#. + 385e0: 0508616c 6c6f6372 616d0000 00093c03 ..allocram....<. + 385f0: 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. + 38600: 0043fc03 23b40508 646d615f 6c696200 .C..#...dma_lib. + 38610: 00002be5 0323f405 08686966 5f706369 ..+..#...hif_pci + 38620: 0000002e 450323a8 0600095f 415f6d61 ....E.#...._A_ma + 38630: 67706965 5f696e64 69726563 74696f6e gpie_indirection + 38640: 5f746162 6c655f74 00000045 9d07636d _table_t...E..cm + 38650: 6e6f735f 74696d65 725f7300 14000047 nos_timer_s....G + 38660: 35087469 6d65725f 6e657874 00000047 5.timer_next...G + 38670: 35022300 0874696d 65725f65 78706972 5.#..timer_expir + 38680: 65000000 09210223 04087469 6d65725f e....!.#..timer_ + 38690: 70657269 6f640000 00092102 23080874 period....!.#..t + 386a0: 696d6572 5f66756e 6374696f 6e000000 imer_function... + 386b0: 07740223 0c087469 6d65725f 61726700 .t.#..timer_arg. + 386c0: 0000040a 02231000 03000046 ba040003 .....#.....F.... + 386d0: 000046ba 04000963 6d6e6f73 5f74696d ..F....cmnos_tim + 386e0: 65725f74 00000046 ba030000 47430400 er_t...F....GC.. + 386f0: 1a74696d 65725f6c 69737400 00004756 .timer_list...GV + 38700: 05030050 0a340103 00000784 04000201 ...P.4.......... + 38710: 1b012163 6d6e6f73 5f74696d 65725f73 ..!cmnos_timer_s + 38720: 6574666e 00010103 92012002 9000008e etfn...... ..... + 38730: 2b9c008e 2bab0000 47e61c01 21415f74 +...+...G...!A_t + 38740: 696d6572 00000007 3901521c 01217066 imer....9.R..!pf + 38750: 756e6374 696f6e00 00000774 01531c01 unction....t.S.. + 38760: 21706172 67000000 040a0154 1d707469 !parg......T.pti + 38770: 6d657200 00004756 001b012e 636d6e6f mer...GV....cmno + 38780: 735f7469 6d65725f 61726d00 01010392 s_timer_arm..... + 38790: 01200290 00008e2b ac008e2b ee000048 . .....+...+...H + 387a0: 791c012e 415f7469 6d657200 00000739 y...A_timer....9 + 387b0: 01521c01 2e6d696c 6c697365 636f6e64 .R...millisecond + 387c0: 73000000 040d0153 1d707469 6d657200 s......S.ptimer. + 387d0: 00004756 1d707265 76000000 47561d74 ..GV.prev...GV.t + 387e0: 696d6572 5f746963 6b730000 0009211d imer_ticks....!. + 387f0: 74696d65 725f6578 70697265 00000009 timer_expire.... + 38800: 211d6375 72720000 00475600 1b015363 !.curr...GV...Sc + 38810: 6d6e6f73 5f74696d 65725f64 69736172 mnos_timer_disar + 38820: 6d000101 03920120 02900000 8e2bf000 m...... .....+.. + 38830: 8e2c2000 0048d61c 0153415f 74696d65 ., ..H...SA_time + 38840: 72000000 07390152 1d707469 6d657200 r....9.R.ptimer. + 38850: 00004756 1d707265 76000000 47561d63 ..GV.prev...GV.c + 38860: 75727200 00004756 001e0172 636d6e6f urr...GV...rcmno + 38870: 735f7469 6d65725f 696e6974 00010103 s_timer_init.... + 38880: 92012002 9000008e 2c20008e 2c2c1b01 .. ....., ..,,.. + 38890: 79636d6e 6f735f74 696d6572 5f68616e ycmnos_timer_han + 388a0: 646c6572 00010103 92012002 9000008e dler...... ..... + 388b0: 2c2c008e 2c590000 49341d70 74696d65 ,,..,Y..I4.ptime + 388c0: 72000000 4756001f 0189636d 6e6f735f r...GV....cmnos_ + 388d0: 74696d65 725f6d6f 64756c65 5f696e73 timer_module_ins + 388e0: 74616c6c 00010103 92012002 9000008e tall...... ..... + 388f0: 2c5c008e 2c7a1c01 8974626c 00000047 ,\..,z...tbl...G + 38900: 74015200 00000000 493f0002 0000146e t.R.....I?.....n + 38910: 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 38920: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 38930: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 38940: 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 38950: 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 38960: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 38970: 636d6e6f 732f7764 742f7372 632f636d cmnos/wdt/src/cm + 38980: 6e6f735f 7764742e 63002f72 6f6f742f nos_wdt.c./root/ + 38990: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 389a0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 389b0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 389c0: 6f732f77 64740078 742d7863 6320666f os/wdt.xt-xcc fo + 389d0: 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali + 389e0: 676e5f69 6e737472 75637469 6f6e733d gn_instructions= + 389f0: 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: + 38a00: 73706163 65000100 0000c4c8 02010300 space........... + 38a10: 00010604 0004696e 74000504 04636861 ......int....cha + 38a20: 72000701 05000001 16050000 01160300 r............... + 38a30: 00012304 00060000 010f0103 0000012f ..#............/ + 38a40: 04000770 72696e74 665f6170 69000800 ...printf_api... + 38a50: 00017308 5f707269 6e74665f 696e6974 ..s._printf_init + 38a60: 00000001 08022300 085f7072 696e7466 ......#.._printf + 38a70: 00000001 35022304 00047368 6f727420 ....5.#...short + 38a80: 756e7369 676e6564 20696e74 00070209 unsigned int.... + 38a90: 75696e74 31365f74 00000001 73046c6f uint16_t....s.lo + 38aa0: 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 38ab0: 07040975 696e7433 325f7400 00000197 ...uint32_t..... + 38ac0: 07756172 745f6669 666f0008 00000205 .uart_fifo...... + 38ad0: 08737461 72745f69 6e646578 00000001 .start_index.... + 38ae0: 89022300 08656e64 5f696e64 65780000 ..#..end_index.. + 38af0: 00018902 2302086f 76657272 756e5f65 ....#..overrun_e + 38b00: 72720000 0001ac02 23040007 75617274 rr......#...uart + 38b10: 5f617069 00200000 02be085f 75617274 _api. ....._uart + 38b20: 5f696e69 74000000 03150223 00085f75 _init......#.._u + 38b30: 6172745f 63686172 5f707574 00000003 art_char_put.... + 38b40: 3c022304 085f7561 72745f63 6861725f <.#.._uart_char_ + 38b50: 67657400 00000350 02230808 5f756172 get....P.#.._uar + 38b60: 745f7374 725f6f75 74000000 03590223 t_str_out....Y.# + 38b70: 0c085f75 6172745f 7461736b 00000001 .._uart_task.... + 38b80: 08022310 085f7561 72745f73 74617475 ..#.._uart_statu + 38b90: 73000000 03150223 14085f75 6172745f s......#.._uart_ + 38ba0: 636f6e66 69670000 00036202 2318085f config....b.#.._ + 38bb0: 75617274 5f687769 6e697400 0000036b uart_hwinit....k + 38bc0: 02231c00 03000002 05040007 75617274 .#..........uart + 38bd0: 5f626c6b 00100000 030f0864 65627567 _blk.......debug + 38be0: 5f6d6f64 65000000 01890223 00086261 _mode......#..ba + 38bf0: 75640000 00018902 2302085f 75617274 ud......#.._uart + 38c00: 00000002 be022304 085f7478 00000001 ......#.._tx.... + 38c10: ba022308 00060000 01ac0103 0000030f ..#............. + 38c20: 04000475 6e736967 6e656420 63686172 ...unsigned char + 38c30: 00070109 75696e74 385f7400 0000031c ....uint8_t..... + 38c40: 02010300 00033a04 00030000 032d0400 ......:......-.. + 38c50: 06000001 89010300 00034a04 00020103 ..........J..... + 38c60: 00000357 04000201 03000003 60040002 ...W........`... + 38c70: 01030000 03690400 03000001 16040006 .....i.......... + 38c80: 0000010f 01030000 03790400 0744425f .........y...DB_ + 38c90: 434f4d4d 414e445f 53545255 4354000c COMMAND_STRUCT.. + 38ca0: 000003d1 08636d64 5f737472 00000003 .....cmd_str.... + 38cb0: 72022300 0868656c 705f7374 72000000 r.#..help_str... + 38cc0: 03720223 0408636d 645f6675 6e630000 .r.#..cmd_func.. + 38cd0: 00037f02 23080007 6462675f 61706900 ....#...dbg_api. + 38ce0: 08000004 04085f64 62675f69 6e697400 ......_dbg_init. + 38cf0: 00000108 02230008 5f646267 5f746173 .....#.._dbg_tas + 38d00: 6b000000 01080223 04000a04 0004756e k......#......un + 38d10: 7369676e 65642069 6e740007 04060000 signed int...... + 38d20: 04040103 00000417 04000b0b 03000004 ................ + 38d30: 25040006 00000404 01030000 042d0400 %............-.. + 38d40: 06000001 0f010300 00043a04 00076d65 ..........:...me + 38d50: 6d5f6170 69001400 0004a908 5f6d656d m_api......._mem + 38d60: 5f696e69 74000000 01080223 00085f6d _init......#.._m + 38d70: 656d7365 74000000 041d0223 04085f6d emset......#.._m + 38d80: 656d6370 79000000 04330223 08085f6d emcpy....3.#.._m + 38d90: 656d6d6f 76650000 00043302 230c085f emmove....3.#.._ + 38da0: 6d656d63 6d700000 00044002 2310000c memcmp....@.#... + 38db0: 72656769 73746572 5f64756d 705f7300 register_dump_s. + 38dc0: 00010300 0004a904 00020103 000004c3 ................ + 38dd0: 04000201 03000004 cc040006 0000010f ................ + 38de0: 01030000 04d50400 0d686f73 7469665f .........hostif_ + 38df0: 73000400 0005310e 4849465f 55534200 s.....1.HIF_USB. + 38e00: 000e4849 465f5043 49450001 0e484946 ..HIF_PCIE...HIF + 38e10: 5f474d41 4300020e 4849465f 50434900 _GMAC...HIF_PCI. + 38e20: 030e4849 465f4e55 4d00040e 4849465f ..HIF_NUM...HIF_ + 38e30: 4e4f4e45 00050009 415f484f 53544946 NONE....A_HOSTIF + 38e40: 00000004 e2060000 05310103 0000053f .........1.....? + 38e50: 04000600 00032d01 03000005 4c040006 ......-.....L... + 38e60: 00000189 01030000 05590400 076d6973 .........Y...mis + 38e70: 635f6170 69002400 00064908 5f737973 c_api.$...I._sys + 38e80: 74656d5f 72657365 74000000 01080223 tem_reset......# + 38e90: 00085f6d 61635f72 65736574 00000001 .._mac_reset.... + 38ea0: 08022304 085f6173 73666169 6c000000 ..#.._assfail... + 38eb0: 04c50223 08085f6d 6973616c 69676e65 ...#.._misaligne + 38ec0: 645f6c6f 61645f68 616e646c 65720000 d_load_handler.. + 38ed0: 0004c502 230c085f 7265706f 72745f66 ....#.._report_f + 38ee0: 61696c75 72655f74 6f5f686f 73740000 ailure_to_host.. + 38ef0: 0004ce02 2310085f 74617267 65745f69 ....#.._target_i + 38f00: 645f6765 74000000 04db0223 14085f69 d_get......#.._i + 38f10: 735f686f 73745f70 72657365 6e740000 s_host_present.. + 38f20: 00054502 2318085f 6b626869 74000000 ..E.#.._kbhit... + 38f30: 05520223 1c085f72 6f6d5f76 65727369 .R.#.._rom_versi + 38f40: 6f6e5f67 65740000 00055f02 23200006 on_get...._.# .. + 38f50: 00000372 01030000 06490400 06000003 ...r.....I...... + 38f60: 72010300 00065604 00060000 010f0103 r.....V......... + 38f70: 00000663 04000600 00010f01 03000006 ...c............ + 38f80: 70040006 0000010f 01030000 067d0400 p............}.. + 38f90: 07737472 696e675f 61706900 18000007 .string_api..... + 38fa0: 03085f73 7472696e 675f696e 69740000 .._string_init.. + 38fb0: 00010802 2300085f 73747263 70790000 ....#.._strcpy.. + 38fc0: 00064f02 2304085f 7374726e 63707900 ..O.#.._strncpy. + 38fd0: 0000065c 02230808 5f737472 6c656e00 ...\.#.._strlen. + 38fe0: 00000669 02230c08 5f737472 636d7000 ...i.#.._strcmp. + 38ff0: 00000676 02231008 5f737472 6e636d70 ...v.#.._strncmp + 39000: 00000006 83022314 000f0000 04071400 ......#......... + 39010: 00071010 0400095f 415f5449 4d45525f ......._A_TIMER_ + 39020: 53504143 45000000 07030941 5f74696d SPACE......A_tim + 39030: 65725f74 00000007 10030000 07240400 er_t.........$.. + 39040: 02010300 00073a04 00020103 00000743 ......:........C + 39050: 04000941 5f48414e 444c4500 00000407 ...A_HANDLE..... + 39060: 02010941 5f54494d 45525f46 554e4300 ...A_TIMER_FUNC. + 39070: 0000075a 03000007 5c040002 01030000 ...Z....\....... + 39080: 07750400 0774696d 65725f61 70690014 .u...timer_api.. + 39090: 000007f4 085f7469 6d65725f 696e6974 ....._timer_init + 390a0: 00000001 08022300 085f7469 6d65725f ......#.._timer_ + 390b0: 61726d00 0000073c 02230408 5f74696d arm....<.#.._tim + 390c0: 65725f64 69736172 6d000000 07450223 er_disarm....E.# + 390d0: 08085f74 696d6572 5f736574 666e0000 .._timer_setfn.. + 390e0: 00077702 230c085f 74696d65 725f7275 ..w.#.._timer_ru + 390f0: 6e000000 01080223 10000942 4f4f4c45 n......#...BOOLE + 39100: 414e0000 00018906 000007f4 01030000 AN.............. + 39110: 08010400 06000007 f4010300 00080e04 ................ + 39120: 00060000 07f40103 0000081b 04000772 ...............r + 39130: 6f6d705f 61706900 10000008 8d085f72 omp_api......._r + 39140: 6f6d705f 696e6974 00000001 08022300 omp_init......#. + 39150: 085f726f 6d705f64 6f776e6c 6f616400 ._romp_download. + 39160: 00000807 02230408 5f726f6d 705f696e .....#.._romp_in + 39170: 7374616c 6c000000 08140223 08085f72 stall......#.._r + 39180: 6f6d705f 6465636f 64650000 00082102 omp_decode....!. + 39190: 230c0007 726f6d5f 70617463 685f7374 #...rom_patch_st + 391a0: 00100000 08e90863 72633136 00000001 .......crc16.... + 391b0: 89022300 086c656e 00000001 89022302 ..#..len......#. + 391c0: 086c645f 61646472 00000001 ac022304 .ld_addr......#. + 391d0: 0866756e 5f616464 72000000 01ac0223 .fun_addr......# + 391e0: 08087066 756e0000 00034302 230c0007 ..pfun....C.#... + 391f0: 6565705f 72656469 725f6164 64720004 eep_redir_addr.. + 39200: 0000091b 086f6666 73657400 00000189 .....offset..... + 39210: 02230008 73697a65 00000001 89022302 .#..size......#. + 39220: 0009415f 55494e54 33320000 00040706 ..A_UINT32...... + 39230: 00000404 01030000 09290400 07616c6c .........)...all + 39240: 6f637261 6d5f6170 69000c00 00099a08 ocram_api....... + 39250: 636d6e6f 735f616c 6c6f6372 616d5f69 cmnos_allocram_i + 39260: 6e697400 0000092f 02230008 636d6e6f nit..../.#..cmno + 39270: 735f616c 6c6f6372 616d0000 00092f02 s_allocram..../. + 39280: 23040863 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra + 39290: 6d5f6465 62756700 00000108 02230800 m_debug......#.. + 392a0: 02010300 00099a04 0009415f 5441534b ..........A_TASK + 392b0: 4c45545f 46554e43 00000009 9c075f74 LET_FUNC......_t + 392c0: 61736b6c 65740010 000009fb 0866756e asklet.......fun + 392d0: 63000000 09a30223 00086172 67000000 c......#..arg... + 392e0: 04040223 04087374 61746500 0000010f ...#..state..... + 392f0: 02230808 6e657874 00000009 fb02230c .#..next......#. + 39300: 00030000 09b70400 03000009 b7040009 ................ + 39310: 415f7461 736b6c65 745f7400 000009b7 A_tasklet_t..... + 39320: 0300000a 09040002 01030000 0a210400 .............!.. + 39330: 02010300 000a2a04 00077461 736b6c65 ......*...taskle + 39340: 745f6170 69001400 000abf08 5f746173 t_api......._tas + 39350: 6b6c6574 5f696e69 74000000 01080223 klet_init......# + 39360: 00085f74 61736b6c 65745f69 6e69745f .._tasklet_init_ + 39370: 7461736b 0000000a 23022304 085f7461 task....#.#.._ta + 39380: 736b6c65 745f6469 7361626c 65000000 sklet_disable... + 39390: 0a2c0223 08085f74 61736b6c 65745f73 .,.#.._tasklet_s + 393a0: 63686564 756c6500 00000a2c 02230c08 chedule....,.#.. + 393b0: 5f746173 6b6c6574 5f72756e 00000001 _tasklet_run.... + 393c0: 08022310 00020103 00000abf 04000600 ..#............. + 393d0: 00091b01 0300000a c8040002 01030000 ................ + 393e0: 0ad50400 07636c6f 636b5f61 70690024 .....clock_api.$ + 393f0: 00000bb7 085f636c 6f636b5f 696e6974 ....._clock_init + 39400: 0000000a c1022300 085f636c 6f636b72 ......#.._clockr + 39410: 6567735f 696e6974 00000001 08022304 egs_init......#. + 39420: 085f7561 72745f66 72657175 656e6379 ._uart_frequency + 39430: 0000000a ce022308 085f6465 6c61795f ......#.._delay_ + 39440: 75730000 000ad702 230c085f 776c616e us......#.._wlan + 39450: 5f62616e 645f7365 74000000 0ad70223 _band_set......# + 39460: 10085f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 39470: 67657400 00000ace 02231408 5f6d696c get......#.._mil + 39480: 6c697365 636f6e64 73000000 0ace0223 liseconds......# + 39490: 18085f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 394a0: 00000001 0802231c 085f636c 6f636b5f ......#.._clock_ + 394b0: 7469636b 00000001 08022320 00060000 tick......# .... + 394c0: 01ac0103 00000bb7 04000941 5f6f6c64 ...........A_old + 394d0: 5f696e74 725f7400 000001ac 0600000b _intr_t......... + 394e0: c4010300 000bd604 00020103 00000be3 ................ + 394f0: 04000201 0300000b ec040006 000001ac ................ + 39500: 01030000 0bf50400 09415f69 73725f74 .........A_isr_t + 39510: 0000000b fb020103 00000c0f 04000600 ................ + 39520: 00040701 0300000c 18040002 01030000 ................ + 39530: 0c250400 07696e74 725f6170 69002c00 .%...intr_api.,. + 39540: 000d4708 5f696e74 725f696e 69740000 ..G._intr_init.. + 39550: 00010802 2300085f 696e7472 5f696e76 ....#.._intr_inv + 39560: 6f6b655f 69737200 00000bbd 02230408 oke_isr......#.. + 39570: 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 39580: 0bdc0223 08085f69 6e74725f 72657374 ...#.._intr_rest + 39590: 6f726500 00000be5 02230c08 5f696e74 ore......#.._int + 395a0: 725f6d61 736b5f69 6e756d00 00000bee r_mask_inum..... + 395b0: 02231008 5f696e74 725f756e 6d61736b .#.._intr_unmask + 395c0: 5f696e75 6d000000 0bee0223 14085f69 _inum......#.._i + 395d0: 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 395e0: 000c1102 2318085f 6765745f 696e7472 ....#.._get_intr + 395f0: 656e6162 6c650000 000c1e02 231c085f enable......#.._ + 39600: 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 39610: 000c2702 2320085f 6765745f 696e7472 ..'.# ._get_intr + 39620: 70656e64 696e6700 00000c1e 02232408 pending......#$. + 39630: 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 39640: 726c766c 00000001 08022328 00110400 rlvl......#(.... + 39650: 000d6d08 74696d65 6f757400 000001ac ..m.timeout..... + 39660: 02230008 61637469 6f6e0000 0001ac02 .#..action...... + 39670: 23000012 0800000d 8808636d 64000000 #.........cmd... + 39680: 01ac0223 00130000 0d470223 04000954 ...#.....G.#...T + 39690: 5f574454 5f434d44 0000000d 6d020103 _WDT_CMD....m... + 396a0: 00000d97 04001404 00000ded 0e454e55 .............ENU + 396b0: 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU + 396c0: 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN + 396d0: 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E + 396e0: 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 396f0: 00040009 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 39700: 00000da0 0600000d ed010300 000dfe04 ................ + 39710: 00077764 745f6170 69001c00 000ea208 ..wdt_api....... + 39720: 5f776474 5f696e69 74000000 01080223 _wdt_init......# + 39730: 00085f77 64745f65 6e61626c 65000000 .._wdt_enable... + 39740: 01080223 04085f77 64745f64 69736162 ...#.._wdt_disab + 39750: 6c650000 00010802 2308085f 7764745f le......#.._wdt_ + 39760: 73657400 00000d99 02230c08 5f776474 set......#.._wdt + 39770: 5f746173 6b000000 01080223 10085f77 _task......#.._w + 39780: 64745f72 65736574 00000001 08022314 dt_reset......#. + 39790: 085f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 397a0: 00000e04 02231800 14040000 0f090e52 .....#.........R + 397b0: 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET + 397c0: 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ + 397d0: 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ + 397e0: 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE + 397f0: 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 39800: 0e524554 5f554e4b 4e4f574e 00050009 .RET_UNKNOWN.... + 39810: 545f4545 505f5245 54000000 0ea20300 T_EEP_RET....... + 39820: 00018904 00060000 0f090103 00000f1f ................ + 39830: 04000600 000f0901 0300000f 2c040007 ............,... + 39840: 6565705f 61706900 1000000f 95085f65 eep_api......._e + 39850: 65705f69 6e697400 00000108 02230008 ep_init......#.. + 39860: 5f656570 5f726561 64000000 0f250223 _eep_read....%.# + 39870: 04085f65 65705f77 72697465 0000000f .._eep_write.... + 39880: 25022308 085f6565 705f6973 5f657869 %.#.._eep_is_exi + 39890: 73740000 000f3202 230c0007 7573625f st....2.#...usb_ + 398a0: 61706900 70000012 42085f75 73625f69 api.p...B._usb_i + 398b0: 6e697400 00000108 02230008 5f757362 nit......#.._usb + 398c0: 5f726f6d 5f746173 6b000000 01080223 _rom_task......# + 398d0: 04085f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 398e0: 00010802 2308085f 7573625f 696e6974 ....#.._usb_init + 398f0: 5f706879 00000001 0802230c 085f7573 _phy......#.._us + 39900: 625f6570 305f7365 74757000 00000108 b_ep0_setup..... + 39910: 02231008 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 39920: 00000108 02231408 5f757362 5f657030 .....#.._usb_ep0 + 39930: 5f727800 00000108 02231808 5f757362 _rx......#.._usb + 39940: 5f676574 5f696e74 65726661 63650000 _get_interface.. + 39950: 00081402 231c085f 7573625f 7365745f ....#.._usb_set_ + 39960: 696e7465 72666163 65000000 08140223 interface......# + 39970: 20085f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 39980: 67757261 74696f6e 00000008 14022324 guration......#$ + 39990: 085f7573 625f7365 745f636f 6e666967 ._usb_set_config + 399a0: 75726174 696f6e00 00000814 02232808 uration......#(. + 399b0: 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 399c0: 64000000 08140223 2c085f75 73625f76 d......#,._usb_v + 399d0: 656e646f 725f636d 64000000 01080223 endor_cmd......# + 399e0: 30085f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 399f0: 00000001 08022334 085f7573 625f7265 ......#4._usb_re + 39a00: 7365745f 6669666f 00000001 08022338 set_fifo......#8 + 39a10: 085f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 39a20: 01080223 3c085f75 73625f6a 756d705f ...#<._usb_jump_ + 39a30: 626f6f74 00000001 08022340 085f7573 boot......#@._us + 39a40: 625f636c 725f6665 61747572 65000000 b_clr_feature... + 39a50: 08140223 44085f75 73625f73 65745f66 ...#D._usb_set_f + 39a60: 65617475 72650000 00081402 2348085f eature......#H._ + 39a70: 7573625f 7365745f 61646472 65737300 usb_set_address. + 39a80: 00000814 02234c08 5f757362 5f676574 .....#L._usb_get + 39a90: 5f646573 63726970 746f7200 00000814 _descriptor..... + 39aa0: 02235008 5f757362 5f676574 5f737461 .#P._usb_get_sta + 39ab0: 74757300 00000814 02235408 5f757362 tus......#T._usb + 39ac0: 5f736574 75705f64 65736300 00000108 _setup_desc..... + 39ad0: 02235808 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 39ae0: 00000001 0802235c 085f7573 625f7374 ......#\._usb_st + 39af0: 61747573 5f696e00 00000108 02236008 atus_in......#`. + 39b00: 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 39b10: 00000001 08022364 085f7573 625f6570 ......#d._usb_ep + 39b20: 305f7278 5f646174 61000000 01080223 0_rx_data......# + 39b30: 68085f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 39b40: 00000108 02236c00 075f5644 45534300 .....#l.._VDESC. + 39b50: 24000012 ce086e65 78745f64 65736300 $.....next_desc. + 39b60: 000012ce 02230008 6275665f 61646472 .....#..buf_addr + 39b70: 00000012 e2022304 08627566 5f73697a ......#..buf_siz + 39b80: 65000000 12e90223 08086461 74615f6f e......#..data_o + 39b90: 66667365 74000000 12e90223 0a086461 ffset......#..da + 39ba0: 74615f73 697a6500 000012e9 02230c08 ta_size......#.. + 39bb0: 636f6e74 726f6c00 000012e9 02230e08 control......#.. + 39bc0: 68775f64 6573635f 62756600 000012f7 hw_desc_buf..... + 39bd0: 02231000 03000012 42040009 415f5549 .#......B...A_UI + 39be0: 4e543800 0000031c 03000012 d5040009 NT8............. + 39bf0: 415f5549 4e543136 00000001 730f0000 A_UINT16....s... + 39c00: 12d51400 00130410 13000300 00124204 ..............B. + 39c10: 00095644 45534300 00001242 03000013 ..VDESC....B.... + 39c20: 0b040006 00001316 01030000 131d0400 ................ + 39c30: 06000012 e2010300 00132a04 00020103 ..........*..... + 39c40: 00001337 04000776 64657363 5f617069 ...7...vdesc_api + 39c50: 00140000 13af085f 696e6974 0000000a ......._init.... + 39c60: d7022300 085f616c 6c6f635f 76646573 ..#.._alloc_vdes + 39c70: 63000000 13230223 04085f67 65745f68 c....#.#.._get_h + 39c80: 775f6465 73630000 00133002 2308085f w_desc....0.#.._ + 39c90: 73776170 5f766465 73630000 00133902 swap_vdesc....9. + 39ca0: 230c0870 52657365 72766564 00000004 #..pReserved.... + 39cb0: 04022310 00075f56 42554600 20000014 ..#..._VBUF. ... + 39cc0: 0f086465 73635f6c 69737400 00001316 ..desc_list..... + 39cd0: 02230008 6e657874 5f627566 00000014 .#..next_buf.... + 39ce0: 0f022304 08627566 5f6c656e 67746800 ..#..buf_length. + 39cf0: 000012e9 02230808 72657365 72766564 .....#..reserved + 39d00: 00000014 1602230a 08637478 00000012 ......#..ctx.... + 39d10: f702230c 00030000 13af0400 0f000012 ..#............. + 39d20: d5020000 14231001 00030000 13af0400 .....#.......... + 39d30: 09564255 46000000 13af0300 00142a04 .VBUF.........*. + 39d40: 00060000 14340103 0000143b 04000600 .....4.....;.... + 39d50: 00143401 03000014 48040002 01030000 ..4.....H....... + 39d60: 14550400 07766275 665f6170 69001400 .U...vbuf_api... + 39d70: 0014d308 5f696e69 74000000 0ad70223 ...._init......# + 39d80: 00085f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... + 39d90: 14410223 04085f61 6c6c6f63 5f766275 .A.#.._alloc_vbu + 39da0: 665f7769 74685f73 697a6500 0000144e f_with_size....N + 39db0: 02230808 5f667265 655f7662 75660000 .#.._free_vbuf.. + 39dc0: 00145702 230c0870 52657365 72766564 ..W.#..pReserved + 39dd0: 00000004 04022310 00075f5f 6164665f ......#...__adf_ + 39de0: 64657669 63650004 000014f5 0864756d device.......dum + 39df0: 6d790000 00010f02 23000003 0000091b my......#....... + 39e00: 0400075f 5f616466 5f646d61 5f6d6170 ...__adf_dma_map + 39e10: 000c0000 153c0862 75660000 00143402 .....<.buf....4. + 39e20: 23000864 735f6164 64720000 0014f502 #..ds_addr...... + 39e30: 23040864 735f6c65 6e000000 12e90223 #..ds_len......# + 39e40: 0800120c 00001576 085f5f76 615f7374 .......v.__va_st + 39e50: 6b000000 03720223 00085f5f 76615f72 k....r.#..__va_r + 39e60: 65670000 00037202 2304085f 5f76615f eg....r.#..__va_ + 39e70: 6e647800 0000010f 02230800 095f5f61 ndx......#...__a + 39e80: 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t + 39e90: 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma + 39ea0: 5f616464 725f7400 00001576 095f5f61 _addr_t....v.__a + 39eb0: 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t + 39ec0: 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma + 39ed0: 5f73697a 655f7400 000015a6 075f5f64 _size_t......__d + 39ee0: 6d615f73 65677300 08000016 02087061 ma_segs.......pa + 39ef0: 64647200 0000158f 02230008 6c656e00 ddr......#..len. + 39f00: 000015bf 02230400 095f5f61 5f75696e .....#...__a_uin + 39f10: 7433325f 74000000 091b0961 5f75696e t32_t......a_uin + 39f20: 7433325f 74000000 16020f00 0015d608 t32_t........... + 39f30: 00001631 10000007 6164665f 6f735f64 ...1....adf_os_d + 39f40: 6d616d61 705f696e 666f000c 0000166a mamap_info.....j + 39f50: 086e7365 67730000 00161402 23000864 .nsegs......#..d + 39f60: 6d615f73 65677300 00001624 02230400 ma_segs....$.#.. + 39f70: 095f5f61 5f75696e 74385f74 00000012 .__a_uint8_t.... + 39f80: d509615f 75696e74 385f7400 0000166a ..a_uint8_t....j + 39f90: 03000016 7b040007 5f5f7367 5f736567 ....{...__sg_seg + 39fa0: 73000800 0016bc08 76616464 72000000 s.......vaddr... + 39fb0: 168a0223 00086c65 6e000000 16140223 ...#..len......# + 39fc0: 04000f00 00169120 000016c9 10030007 ....... ........ + 39fd0: 6164665f 6f735f73 676c6973 74002400 adf_os_sglist.$. + 39fe0: 0016fc08 6e736567 73000000 16140223 ....nsegs......# + 39ff0: 00087367 5f736567 73000000 16bc0223 ..sg_segs......# + 3a000: 04001210 00001745 0876656e 646f7200 .......E.vendor. + 3a010: 00001614 02230008 64657669 63650000 .....#..device.. + 3a020: 00161402 23040873 75627665 6e646f72 ....#..subvendor + 3a030: 00000016 14022308 08737562 64657669 ......#..subdevi + 3a040: 63650000 00161402 230c0004 6c6f6e67 ce......#...long + 3a050: 206c6f6e 6720756e 7369676e 65642069 long unsigned i + 3a060: 6e740007 0809415f 55494e54 36340000 nt....A_UINT64.. + 3a070: 00174509 5f5f615f 75696e74 36345f74 ..E.__a_uint64_t + 3a080: 00000017 5f09615f 75696e74 36345f74 ...._.a_uint64_t + 3a090: 00000017 6d140400 0017cb0e 4144465f ....m.......ADF_ + 3a0a0: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 3a0b0: 5f4d454d 00000e41 44465f4f 535f5245 _MEM...ADF_OS_RE + 3a0c0: 534f5552 43455f54 5950455f 494f0001 SOURCE_TYPE_IO.. + 3a0d0: 00096164 665f6f73 5f726573 6f757263 ..adf_os_resourc + 3a0e0: 655f7479 70655f74 00000017 8f121800 e_type_t........ + 3a0f0: 00181508 73746172 74000000 177f0223 ....start......# + 3a100: 0008656e 64000000 177f0223 08087479 ..end......#..ty + 3a110: 70650000 0017cb02 23100009 6164665f pe......#...adf_ + 3a120: 6f735f70 63695f64 65765f69 645f7400 os_pci_dev_id_t. + 3a130: 000016fc 03000018 15040011 04000018 ................ + 3a140: 54087063 69000000 182e0223 00087261 T.pci......#..ra + 3a150: 77000000 04040223 00001110 00001873 w......#.......s + 3a160: 08706369 00000018 15022300 08726177 .pci......#..raw + 3a170: 00000004 04022300 00096164 665f6472 ......#...adf_dr + 3a180: 765f6861 6e646c65 5f740000 00040409 v_handle_t...... + 3a190: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 3a1a0: 74000000 17e70300 00188904 00096164 t.............ad + 3a1b0: 665f6f73 5f617474 6163685f 64617461 f_os_attach_data + 3a1c0: 5f740000 00185403 000018a7 04000300 _t....T......... + 3a1d0: 0014d304 00095f5f 6164665f 6f735f64 ......__adf_os_d + 3a1e0: 65766963 655f7400 000018c8 09616466 evice_t......adf + 3a1f0: 5f6f735f 64657669 63655f74 00000018 _os_device_t.... + 3a200: cf060000 18730103 000018fb 04000201 .....s.......... + 3a210: 03000019 08040009 6164665f 6f735f70 ........adf_os_p + 3a220: 6d5f7400 00000404 02010300 00192204 m_t...........". + 3a230: 00140400 0019620e 4144465f 4f535f42 ......b.ADF_OS_B + 3a240: 55535f54 5950455f 50434900 010e4144 US_TYPE_PCI...AD + 3a250: 465f4f53 5f425553 5f545950 455f4745 F_OS_BUS_TYPE_GE + 3a260: 4e455249 43000200 09616466 5f6f735f NERIC....adf_os_ + 3a270: 6275735f 74797065 5f740000 00192b09 bus_type_t....+. + 3a280: 6164665f 6f735f62 75735f72 65675f64 adf_os_bus_reg_d + 3a290: 6174615f 74000000 18350300 00031c04 ata_t....5...... + 3a2a0: 00075f61 64665f64 72765f69 6e666f00 .._adf_drv_info. + 3a2b0: 2000001a 3f086472 765f6174 74616368 ...?.drv_attach + 3a2c0: 00000019 01022300 08647276 5f646574 ......#..drv_det + 3a2d0: 61636800 0000190a 02230408 6472765f ach......#..drv_ + 3a2e0: 73757370 656e6400 00001924 02230808 suspend....$.#.. + 3a2f0: 6472765f 72657375 6d650000 00190a02 drv_resume...... + 3a300: 230c0862 75735f74 79706500 00001962 #..bus_type....b + 3a310: 02231008 6275735f 64617461 00000019 .#..bus_data.... + 3a320: 79022314 086d6f64 5f6e616d 65000000 y.#..mod_name... + 3a330: 19940223 18086966 6e616d65 00000019 ...#..ifname.... + 3a340: 9402231c 00096164 665f6f73 5f68616e ..#...adf_os_han + 3a350: 646c655f 74000000 04040300 00166a04 dle_t.........j. + 3a360: 00020102 01095f5f 6164665f 6f735f73 ......__adf_os_s + 3a370: 697a655f 74000000 04071404 00001a8e ize_t........... + 3a380: 0e415f46 414c5345 00000e41 5f545255 .A_FALSE...A_TRU + 3a390: 45000100 09615f62 6f6f6c5f 74000000 E....a_bool_t... + 3a3a0: 1a740300 0014fc04 00095f5f 6164665f .t........__adf_ + 3a3b0: 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... + 3a3c0: 9c02010d 6164665f 6f735f63 61636865 ....adf_os_cache + 3a3d0: 5f73796e 63000400 001b260e 4144465f _sync.....&.ADF_ + 3a3e0: 53594e43 5f505245 52454144 00000e41 SYNC_PREREAD...A + 3a3f0: 44465f53 594e435f 50524557 52495445 DF_SYNC_PREWRITE + 3a400: 00020e41 44465f53 594e435f 504f5354 ...ADF_SYNC_POST + 3a410: 52454144 00010e41 44465f53 594e435f READ...ADF_SYNC_ + 3a420: 504f5354 57524954 45000300 09616466 POSTWRITE....adf + 3a430: 5f6f735f 63616368 655f7379 6e635f74 _os_cache_sync_t + 3a440: 0000001a bd020109 6164665f 6f735f73 ........adf_os_s + 3a450: 697a655f 74000000 1a5f0600 001b4101 ize_t...._....A. + 3a460: 09616466 5f6f735f 646d615f 6d61705f .adf_os_dma_map_ + 3a470: 74000000 1aa30300 001b5a04 00060000 t.........Z..... + 3a480: 04040103 00001aa3 04000600 00040401 ................ + 3a490: 02010600 00158f01 02010473 686f7274 ...........short + 3a4a0: 20696e74 00050209 415f494e 54313600 int....A_INT16. + 3a4b0: 00001b94 095f5f61 5f696e74 31365f74 .....__a_int16_t + 3a4c0: 0000001b a109615f 696e7431 365f7400 ......a_int16_t. + 3a4d0: 00001bae 04736967 6e656420 63686172 .....signed char + 3a4e0: 00050109 415f494e 54380000 001bce09 ....A_INT8...... + 3a4f0: 5f5f615f 696e7438 5f740000 001bdd09 __a_int8_t...... + 3a500: 615f696e 74385f74 0000001b e9120c00 a_int8_t........ + 3a510: 001c6008 73757070 6f727465 64000000 ..`.supported... + 3a520: 16140223 00086164 76657274 697a6564 ...#..advertized + 3a530: 00000016 14022304 08737065 65640000 ......#..speed.. + 3a540: 001bbf02 23080864 75706c65 78000000 ....#..duplex... + 3a550: 1bf90223 0a086175 746f6e65 67000000 ...#..autoneg... + 3a560: 167b0223 0b000f00 00167b06 00001c6d .{.#......{....m + 3a570: 10050007 6164665f 6e65745f 65746861 ....adf_net_etha + 3a580: 64647200 0600001c 91086164 64720000 ddr.......addr.. + 3a590: 001c6002 23000009 5f5f615f 75696e74 ..`.#...__a_uint + 3a5a0: 31365f74 00000012 e909615f 75696e74 16_t......a_uint + 3a5b0: 31365f74 0000001c 91120e00 001cf508 16_t............ + 3a5c0: 65746865 725f6468 6f737400 00001c60 ether_dhost....` + 3a5d0: 02230008 65746865 725f7368 6f737400 .#..ether_shost. + 3a5e0: 00001c60 02230608 65746865 725f7479 ...`.#..ether_ty + 3a5f0: 70650000 001ca302 230c0012 1400001d pe......#....... + 3a600: b6156970 5f766572 73696f6e 00000016 ..ip_version.... + 3a610: 7b010004 02230015 69705f68 6c000000 {....#..ip_hl... + 3a620: 167b0104 04022300 0869705f 746f7300 .{....#..ip_tos. + 3a630: 0000167b 02230108 69705f6c 656e0000 ...{.#..ip_len.. + 3a640: 001ca302 23020869 705f6964 0000001c ....#..ip_id.... + 3a650: a3022304 0869705f 66726167 5f6f6666 ..#..ip_frag_off + 3a660: 0000001c a3022306 0869705f 74746c00 ......#..ip_ttl. + 3a670: 0000167b 02230808 69705f70 726f746f ...{.#..ip_proto + 3a680: 00000016 7b022309 0869705f 63686563 ....{.#..ip_chec + 3a690: 6b000000 1ca30223 0a086970 5f736164 k......#..ip_sad + 3a6a0: 64720000 00161402 230c0869 705f6461 dr......#..ip_da + 3a6b0: 64647200 00001614 02231000 07616466 ddr......#...adf + 3a6c0: 5f6e6574 5f766c61 6e686472 00040000 _net_vlanhdr.... + 3a6d0: 1e080874 70696400 00001ca3 02230015 ...tpid......#.. + 3a6e0: 7072696f 00000016 7b010003 02230215 prio....{....#.. + 3a6f0: 63666900 0000167b 01030102 23021576 cfi....{....#..v + 3a700: 69640000 001ca302 040c0223 02000761 id.........#...a + 3a710: 64665f6e 65745f76 69640002 00001e39 df_net_vid.....9 + 3a720: 15726573 00000016 7b010004 02230015 .res....{....#.. + 3a730: 76616c00 00001ca3 02040c02 23000012 val.........#... + 3a740: 0c00001e 75087278 5f627566 73697a65 ....u.rx_bufsize + 3a750: 00000016 14022300 0872785f 6e646573 ......#..rx_ndes + 3a760: 63000000 16140223 04087478 5f6e6465 c......#..tx_nde + 3a770: 73630000 00161402 23080012 0800001e sc......#....... + 3a780: 9b08706f 6c6c6564 0000001a 8e022300 ..polled......#. + 3a790: 08706f6c 6c5f7774 00000016 14022304 .poll_wt......#. + 3a7a0: 000f0000 167b4000 001ea810 3f001246 .....{@.....?..F + 3a7b0: 00001ed0 0869665f 6e616d65 0000001e .....if_name.... + 3a7c0: 9b022300 08646576 5f616464 72000000 ..#..dev_addr... + 3a7d0: 1c600223 40001404 00001f07 0e414446 .`.#@........ADF + 3a7e0: 5f4f535f 444d415f 4d41534b 5f333242 _OS_DMA_MASK_32B + 3a7f0: 49540000 0e414446 5f4f535f 444d415f IT...ADF_OS_DMA_ + 3a800: 4d41534b 5f363442 49540001 00096164 MASK_64BIT....ad + 3a810: 665f6f73 5f646d61 5f6d6173 6b5f7400 f_os_dma_mask_t. + 3a820: 00001ed0 07616466 5f646d61 5f696e66 .....adf_dma_inf + 3a830: 6f000800 001f5408 646d615f 6d61736b o.....T.dma_mask + 3a840: 0000001f 07022300 0873675f 6e736567 ......#..sg_nseg + 3a850: 73000000 16140223 04001404 00001faa s......#........ + 3a860: 0e414446 5f4e4554 5f434b53 554d5f4e .ADF_NET_CKSUM_N + 3a870: 4f4e4500 000e4144 465f4e45 545f434b ONE...ADF_NET_CK + 3a880: 53554d5f 5443505f 5544505f 49507634 SUM_TCP_UDP_IPv4 + 3a890: 00010e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 3a8a0: 5f544350 5f554450 5f495076 36000200 _TCP_UDP_IPv6... + 3a8b0: 09616466 5f6e6574 5f636b73 756d5f74 .adf_net_cksum_t + 3a8c0: 7970655f 74000000 1f541208 00001fed ype_t....T...... + 3a8d0: 0874785f 636b7375 6d000000 1faa0223 .tx_cksum......# + 3a8e0: 00087278 5f636b73 756d0000 001faa02 ..rx_cksum...... + 3a8f0: 23040009 6164665f 6e65745f 636b7375 #...adf_net_cksu + 3a900: 6d5f696e 666f5f74 0000001f c4140400 m_info_t........ + 3a910: 0020460e 4144465f 4e45545f 54534f5f . F.ADF_NET_TSO_ + 3a920: 4e4f4e45 00000e41 44465f4e 45545f54 NONE...ADF_NET_T + 3a930: 534f5f49 50563400 010e4144 465f4e45 SO_IPV4...ADF_NE + 3a940: 545f5453 4f5f414c 4c000200 09616466 T_TSO_ALL....adf + 3a950: 5f6e6574 5f74736f 5f747970 655f7400 _net_tso_type_t. + 3a960: 00002007 12100000 209a0863 6b73756d .. ..... ..cksum + 3a970: 5f636170 0000001f ed022300 0874736f _cap......#..tso + 3a980: 00000020 46022308 08766c61 6e5f7375 ... F.#..vlan_su + 3a990: 70706f72 74656400 0000167b 02230c00 pported....{.#.. + 3a9a0: 12200000 21330874 785f7061 636b6574 . ..!3.tx_packet + 3a9b0: 73000000 16140223 00087278 5f706163 s......#..rx_pac + 3a9c0: 6b657473 00000016 14022304 0874785f kets......#..tx_ + 3a9d0: 62797465 73000000 16140223 08087278 bytes......#..rx + 3a9e0: 5f627974 65730000 00161402 230c0874 _bytes......#..t + 3a9f0: 785f6472 6f707065 64000000 16140223 x_dropped......# + 3aa00: 10087278 5f64726f 70706564 00000016 ..rx_dropped.... + 3aa10: 14022314 0872785f 6572726f 72730000 ..#..rx_errors.. + 3aa20: 00161402 23180874 785f6572 726f7273 ....#..tx_errors + 3aa30: 00000016 1402231c 00096164 665f6e65 ......#...adf_ne + 3aa40: 745f6574 68616464 725f7400 00001c6d t_ethaddr_t....m + 3aa50: 16000021 33030000 00215810 7f001761 ...!3....!X....a + 3aa60: 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd + 3aa70: 72000304 0000218f 086e656c 656d0000 r.....!..nelem.. + 3aa80: 00161402 2300086d 63617374 00000021 ....#..mcast...! + 3aa90: 4a022304 00096164 665f6e65 745f636d J.#...adf_net_cm + 3aaa0: 645f6c69 6e6b5f69 6e666f5f 74000000 d_link_info_t... + 3aab0: 1c070961 64665f6e 65745f63 6d645f70 ...adf_net_cmd_p + 3aac0: 6f6c6c5f 696e666f 5f740000 001e7509 oll_info_t....u. + 3aad0: 6164665f 6e65745f 636d645f 636b7375 adf_net_cmd_cksu + 3aae0: 6d5f696e 666f5f74 0000001f ed096164 m_info_t......ad + 3aaf0: 665f6e65 745f636d 645f7269 6e675f69 f_net_cmd_ring_i + 3ab00: 6e666f5f 74000000 1e390961 64665f6e nfo_t....9.adf_n + 3ab10: 65745f63 6d645f64 6d615f69 6e666f5f et_cmd_dma_info_ + 3ab20: 74000000 1f1e0961 64665f6e 65745f63 t......adf_net_c + 3ab30: 6d645f76 69645f74 0000001c a3096164 md_vid_t......ad + 3ab40: 665f6e65 745f636d 645f6f66 666c6f61 f_net_cmd_offloa + 3ab50: 645f6361 705f7400 0000205e 09616466 d_cap_t... ^.adf + 3ab60: 5f6e6574 5f636d64 5f737461 74735f74 _net_cmd_stats_t + 3ab70: 00000020 9a096164 665f6e65 745f636d ... ..adf_net_cm + 3ab80: 645f6d63 61646472 5f740000 0021580d d_mcaddr_t...!X. + 3ab90: 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas + 3aba0: 745f6361 70000400 0022d10e 4144465f t_cap...."..ADF_ + 3abb0: 4e45545f 4d434153 545f5355 5000000e NET_MCAST_SUP... + 3abc0: 4144465f 4e45545f 4d434153 545f4e4f ADF_NET_MCAST_NO + 3abd0: 54535550 00010009 6164665f 6e65745f TSUP....adf_net_ + 3abe0: 636d645f 6d636173 745f6361 705f7400 cmd_mcast_cap_t. + 3abf0: 00002289 18030400 0023a308 6c696e6b .."......#..link + 3ac00: 5f696e66 6f000000 218f0223 0008706f _info...!..#..po + 3ac10: 6c6c5f69 6e666f00 000021ac 02230008 ll_info...!..#.. + 3ac20: 636b7375 6d5f696e 666f0000 0021c902 cksum_info...!.. + 3ac30: 23000872 696e675f 696e666f 00000021 #..ring_info...! + 3ac40: e7022300 08646d61 5f696e66 6f000000 ..#..dma_info... + 3ac50: 22040223 00087669 64000000 22200223 "..#..vid..." .# + 3ac60: 00086f66 666c6f61 645f6361 70000000 ..offload_cap... + 3ac70: 22370223 00087374 61747300 00002256 "7.#..stats..."V + 3ac80: 02230008 6d636173 745f696e 666f0000 .#..mcast_info.. + 3ac90: 00226f02 2300086d 63617374 5f636170 ."o.#..mcast_cap + 3aca0: 00000022 d1022300 00140400 0023fa0e ..."..#......#.. + 3acb0: 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU + 3acc0: 4d5f4e4f 4e450000 0e414446 5f4e4255 M_NONE...ADF_NBU + 3acd0: 465f5258 5f434b53 554d5f48 5700010e F_RX_CKSUM_HW... + 3ace0: 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU + 3acf0: 4d5f554e 4e454345 53534152 59000200 M_UNNECESSARY... + 3ad00: 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks + 3ad10: 756d5f74 7970655f 74000000 23a31208 um_type_t...#... + 3ad20: 0000243a 08726573 756c7400 000023fa ..$:.result...#. + 3ad30: 02230008 76616c00 00001614 02230400 .#..val......#.. + 3ad40: 12080000 246a0874 79706500 00002046 ....$j.type... F + 3ad50: 02230008 6d737300 00001ca3 02230408 .#..mss......#.. + 3ad60: 6864725f 6f666600 0000167b 02230600 hdr_off....{.#.. + 3ad70: 075f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea + 3ad80: 64000c00 0024a908 68656164 00000014 d....$..head.... + 3ad90: 34022300 08746169 6c000000 14340223 4.#..tail....4.# + 3ada0: 0408716c 656e0000 00161402 23080009 ..qlen......#... + 3adb0: 5f5f6164 665f6e62 75665f74 00000014 __adf_nbuf_t.... + 3adc0: 34030000 168a0400 03000016 14040002 4............... + 3add0: 01060000 13160106 00001614 01060000 ................ + 3ade0: 168a0106 0000168a 01030000 12f70400 ................ + 3adf0: 095f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea + 3ae00: 645f7400 0000246a 095f5f61 64665f6e d_t...$j.__adf_n + 3ae10: 6275665f 71756575 655f7400 000024ea buf_queue_t...$. + 3ae20: 03000025 02040006 000024a9 01060000 ...%......$..... + 3ae30: 24a90114 04000026 220e415f 53544154 $......&".A_STAT + 3ae40: 55535f4f 4b00000e 415f5354 41545553 US_OK...A_STATUS + 3ae50: 5f464149 4c454400 010e415f 53544154 _FAILED...A_STAT + 3ae60: 55535f45 4e4f454e 5400020e 415f5354 US_ENOENT...A_ST + 3ae70: 41545553 5f454e4f 4d454d00 030e415f ATUS_ENOMEM...A_ + 3ae80: 53544154 55535f45 494e5641 4c00040e STATUS_EINVAL... + 3ae90: 415f5354 41545553 5f45494e 50524f47 A_STATUS_EINPROG + 3aea0: 52455353 00050e41 5f535441 5455535f RESS...A_STATUS_ + 3aeb0: 454e4f54 53555050 00060e41 5f535441 ENOTSUPP...A_STA + 3aec0: 5455535f 45425553 5900070e 415f5354 TUS_EBUSY...A_ST + 3aed0: 41545553 5f453242 49470008 0e415f53 ATUS_E2BIG...A_S + 3aee0: 54415455 535f4541 4444524e 4f544156 TATUS_EADDRNOTAV + 3aef0: 41494c00 090e415f 53544154 55535f45 AIL...A_STATUS_E + 3af00: 4e58494f 000a0e41 5f535441 5455535f NXIO...A_STATUS_ + 3af10: 45464155 4c54000b 0e415f53 54415455 EFAULT...A_STATU + 3af20: 535f4549 4f000c00 09615f73 74617475 S_EIO....a_statu + 3af30: 735f7400 0000252d 06000026 22010600 s_t...%-...&"... + 3af40: 00010f01 02010961 64665f6e 6275665f .......adf_nbuf_ + 3af50: 74000000 24a91404 00002687 0e414446 t...$.....&..ADF + 3af60: 5f4f535f 444d415f 544f5f44 45564943 _OS_DMA_TO_DEVIC + 3af70: 4500000e 4144465f 4f535f44 4d415f46 E...ADF_OS_DMA_F + 3af80: 524f4d5f 44455649 43450001 00096164 ROM_DEVICE....ad + 3af90: 665f6f73 5f646d61 5f646972 5f740000 f_os_dma_dir_t.. + 3afa0: 00265006 00002622 01020109 6164665f .&P...&"....adf_ + 3afb0: 6f735f64 6d616d61 705f696e 666f5f74 os_dmamap_info_t + 3afc0: 00000016 31030000 26a50400 02010201 ....1...&....... + 3afd0: 06000026 40010600 0024a901 02010201 ...&@....$...... + 3afe0: 06000026 40010600 0024a901 06000026 ...&@....$.....& + 3aff0: 40010600 0024a901 06000026 40010201 @....$.....&@... + 3b000: 02010600 00161401 06000016 8a010201 ................ + 3b010: 02010600 001b4101 0600001a 8e010600 ......A......... + 3b020: 001a8e01 09616466 5f6f735f 73676c69 .....adf_os_sgli + 3b030: 73745f74 00000016 c9030000 271e0400 st_t........'... + 3b040: 02010201 02010600 00168a01 09616466 .............adf + 3b050: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 3b060: 25020300 00274604 00020103 000024ea %....'F.......$. + 3b070: 04000201 02010201 06000026 40010600 ...........&@... + 3b080: 0024a901 06000016 14010600 00161401 .$.............. + 3b090: 0600001a 8e010600 001a8e01 0600001f ................ + 3b0a0: aa010600 00161401 09616466 5f6e6275 .........adf_nbu + 3b0b0: 665f7278 5f636b73 756d5f74 00000024 f_rx_cksum_t...$ + 3b0c0: 18030000 27a20400 02010201 09616466 ....'........adf + 3b0d0: 5f6e6275 665f7473 6f5f7400 0000243a _nbuf_tso_t...$: + 3b0e0: 03000027 c6040002 01020109 6164665f ...'........adf_ + 3b0f0: 6e65745f 68616e64 6c655f74 00000004 net_handle_t.... + 3b100: 04096164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 3b110: 725f7400 00001db6 03000027 fb040006 r_t........'.... + 3b120: 00002622 01060000 26220102 01020107 ..&"....&"...... + 3b130: 5f484946 5f434f4e 46494700 04000028 _HIF_CONFIG....( + 3b140: 4a086475 6d6d7900 0000010f 02230000 J.dummy......#.. + 3b150: 02010300 00284a04 00020103 00002853 .....(J.......(S + 3b160: 0400075f 4849465f 43414c4c 4241434b ..._HIF_CALLBACK + 3b170: 000c0000 28a80873 656e645f 6275665f ....(..send_buf_ + 3b180: 646f6e65 00000028 4c022300 08726563 done...(L.#..rec + 3b190: 765f6275 66000000 28550223 0408636f v_buf...(U.#..co + 3b1a0: 6e746578 74000000 04040223 08000968 ntext......#...h + 3b1b0: 69665f68 616e646c 655f7400 00000404 if_handle_t..... + 3b1c0: 09484946 5f434f4e 46494700 00002829 .HIF_CONFIG...() + 3b1d0: 03000028 ba040006 000028a8 01030000 ...(......(..... + 3b1e0: 28d10400 02010300 0028de04 00094849 (........(....HI + 3b1f0: 465f4341 4c4c4241 434b0000 00285c03 F_CALLBACK...(\. + 3b200: 000028e7 04000201 03000029 00040006 ..(........).... + 3b210: 0000010f 01030000 29090400 02010300 ........)....... + 3b220: 00291604 00060000 010f0103 0000291f .)............). + 3b230: 04000201 03000029 2c040006 0000010f .......),....... + 3b240: 01030000 29350400 02010300 00294204 ....)5.......)B. + 3b250: 00076869 665f6170 69003800 002a9b08 ..hif_api.8..*.. + 3b260: 5f696e69 74000000 28d70223 00085f73 _init...(..#.._s + 3b270: 68757464 6f776e00 000028e0 02230408 hutdown...(..#.. + 3b280: 5f726567 69737465 725f6361 6c6c6261 _register_callba + 3b290: 636b0000 00290202 2308085f 6765745f ck...)..#.._get_ + 3b2a0: 746f7461 6c5f6372 65646974 5f636f75 total_credit_cou + 3b2b0: 6e740000 00290f02 230c085f 73746172 nt...)..#.._star + 3b2c0: 74000000 28e00223 10085f63 6f6e6669 t...(..#.._confi + 3b2d0: 675f7069 70650000 00291802 2314085f g_pipe...)..#.._ + 3b2e0: 73656e64 5f627566 66657200 00002925 send_buffer...)% + 3b2f0: 02231808 5f726574 75726e5f 72656376 .#.._return_recv + 3b300: 5f627566 00000029 2e02231c 085f6973 _buf...)..#.._is + 3b310: 5f706970 655f7375 70706f72 74656400 _pipe_supported. + 3b320: 0000293b 02232008 5f676574 5f6d6178 ..);.# ._get_max + 3b330: 5f6d7367 5f6c656e 00000029 3b022324 _msg_len...);.#$ + 3b340: 085f6765 745f7265 73657276 65645f68 ._get_reserved_h + 3b350: 65616472 6f6f6d00 0000290f 02232808 eadroom...)..#(. + 3b360: 5f697372 5f68616e 646c6572 00000028 _isr_handler...( + 3b370: e002232c 085f6765 745f6465 6661756c ..#,._get_defaul + 3b380: 745f7069 70650000 00294402 23300870 t_pipe...)D.#0.p + 3b390: 52657365 72766564 00000004 04022334 Reserved......#4 + 3b3a0: 000d646d 615f656e 67696e65 00040000 ..dma_engine.... + 3b3b0: 2b240e44 4d415f45 4e47494e 455f5258 +$.DMA_ENGINE_RX + 3b3c0: 3000000e 444d415f 454e4749 4e455f52 0...DMA_ENGINE_R + 3b3d0: 58310001 0e444d41 5f454e47 494e455f X1...DMA_ENGINE_ + 3b3e0: 52583200 020e444d 415f454e 47494e45 RX2...DMA_ENGINE + 3b3f0: 5f525833 00030e44 4d415f45 4e47494e _RX3...DMA_ENGIN + 3b400: 455f5458 3000040e 444d415f 454e4749 E_TX0...DMA_ENGI + 3b410: 4e455f54 58310005 0e444d41 5f454e47 NE_TX1...DMA_ENG + 3b420: 494e455f 4d415800 06000964 6d615f65 INE_MAX....dma_e + 3b430: 6e67696e 655f7400 00002a9b 0d646d61 ngine_t...*..dma + 3b440: 5f696674 79706500 0400002b 710e444d _iftype....+q.DM + 3b450: 415f4946 5f474d41 4300000e 444d415f A_IF_GMAC...DMA_ + 3b460: 49465f50 43490001 0e444d41 5f49465f IF_PCI...DMA_IF_ + 3b470: 50434945 00020009 646d615f 69667479 PCIE....dma_ifty + 3b480: 70655f74 0000002b 36060000 12e90103 pe_t...+6....... + 3b490: 00002b83 04000201 0300002b 90040002 ..+........+.... + 3b4a0: 01030000 2b990400 06000009 1b010300 ....+........... + 3b4b0: 002ba204 00060000 12e90103 00002baf .+............+. + 3b4c0: 04000600 0012e901 0300002b bc040006 ...........+.... + 3b4d0: 00001434 01030000 2bc90400 02010300 ...4....+....... + 3b4e0: 002bd604 0007646d 615f6c69 625f6170 .+....dma_lib_ap + 3b4f0: 69003400 002cdd08 74785f69 6e697400 i.4..,..tx_init. + 3b500: 00002b89 02230008 74785f73 74617274 ..+..#..tx_start + 3b510: 0000002b 92022304 0872785f 696e6974 ...+..#..rx_init + 3b520: 0000002b 89022308 0872785f 636f6e66 ...+..#..rx_conf + 3b530: 69670000 002b9b02 230c0872 785f7374 ig...+..#..rx_st + 3b540: 61727400 00002b92 02231008 696e7472 art...+..#..intr + 3b550: 5f737461 74757300 00002ba8 02231408 _status...+..#.. + 3b560: 68617264 5f786d69 74000000 2bb50223 hard_xmit...+..# + 3b570: 1808666c 7573685f 786d6974 0000002b ..flush_xmit...+ + 3b580: 9202231c 08786d69 745f646f 6e650000 ..#..xmit_done.. + 3b590: 002bc202 23200872 6561705f 786d6974 .+..# .reap_xmit + 3b5a0: 74656400 00002bcf 02232408 72656170 ted...+..#$.reap + 3b5b0: 5f726563 76000000 2bcf0223 28087265 _recv...+..#(.re + 3b5c0: 7475726e 5f726563 76000000 2bd80223 turn_recv...+..# + 3b5d0: 2c087265 63765f70 6b740000 002bc202 ,.recv_pkt...+.. + 3b5e0: 23300007 5f5f7063 695f736f 66746300 #0..__pci_softc. + 3b5f0: 0c00002c fb087377 00000028 e7022300 ...,..sw...(..#. + 3b600: 00095f5f 7063695f 736f6674 635f7400 ..__pci_softc_t. + 3b610: 00002cdd 0300002c fb040002 01030000 ..,....,........ + 3b620: 2d150400 06000012 d5010300 002d1e04 -............-.. + 3b630: 000d6869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 3b640: 78000400 002d7e0e 4849465f 5043495f x....-~.HIF_PCI_ + 3b650: 50495045 5f545830 00000e48 49465f50 PIPE_TX0...HIF_P + 3b660: 43495f50 4950455f 54583100 010e4849 CI_PIPE_TX1...HI + 3b670: 465f5043 495f5049 50455f54 585f4d41 F_PCI_PIPE_TX_MA + 3b680: 58000200 09686966 5f706369 5f706970 X....hif_pci_pip + 3b690: 655f7478 5f740000 002d2b06 00002b24 e_tx_t...-+...+$ + 3b6a0: 01030000 2d950400 0d686966 5f706369 ....-....hif_pci + 3b6b0: 5f706970 655f7278 00040000 2e1b0e48 _pipe_rx.......H + 3b6c0: 49465f50 43495f50 4950455f 52583000 IF_PCI_PIPE_RX0. + 3b6d0: 000e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 3b6e0: 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP + 3b6f0: 455f5258 3200020e 4849465f 5043495f E_RX2...HIF_PCI_ + 3b700: 50495045 5f525833 00030e48 49465f50 PIPE_RX3...HIF_P + 3b710: 43495f50 4950455f 52585f4d 41580004 CI_PIPE_RX_MAX.. + 3b720: 00096869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r + 3b730: 785f7400 00002da2 0600002b 24010300 x_t...-....+$... + 3b740: 002e3204 00076869 665f7063 695f6170 ..2...hif_pci_ap + 3b750: 69002400 002f1008 7063695f 626f6f74 i.$../..pci_boot + 3b760: 5f696e69 74000000 01080223 00087063 _init......#..pc + 3b770: 695f696e 69740000 0028d702 23040870 i_init...(..#..p + 3b780: 63695f72 65736574 00000001 08022308 ci_reset......#. + 3b790: 08706369 5f656e61 626c6500 00000108 .pci_enable..... + 3b7a0: 02230c08 7063695f 72656170 5f786d69 .#..pci_reap_xmi + 3b7b0: 74746564 0000002d 17022310 08706369 tted...-..#..pci + 3b7c0: 5f726561 705f7265 63760000 002d1702 _reap_recv...-.. + 3b7d0: 23140870 63695f67 65745f70 69706500 #..pci_get_pipe. + 3b7e0: 00002d24 02231808 7063695f 6765745f ..-$.#..pci_get_ + 3b7f0: 74785f65 6e670000 002d9b02 231c0870 tx_eng...-..#..p + 3b800: 63695f67 65745f72 785f656e 67000000 ci_get_rx_eng... + 3b810: 2e380223 20000767 6d61635f 61706900 .8.# ..gmac_api. + 3b820: 0400002f 3708676d 61635f62 6f6f745f .../7.gmac_boot_ + 3b830: 696e6974 00000001 08022300 000f0000 init......#..... + 3b840: 031c0600 002f4410 0500075f 5f657468 ...../D....__eth + 3b850: 68647200 0e00002f 7a086473 74000000 hdr..../z.dst... + 3b860: 2f370223 00087372 63000000 2f370223 /7.#..src.../7.# + 3b870: 06086574 79706500 000012e9 02230c00 ..etype......#.. + 3b880: 075f5f61 74686864 72000400 002fc815 .__athhdr..../.. + 3b890: 72657300 000012d5 01000202 23001570 res.........#..p + 3b8a0: 726f746f 00000012 d5010206 02230008 roto.........#.. + 3b8b0: 7265735f 6c6f0000 0012d502 23010872 res_lo......#..r + 3b8c0: 65735f68 69000000 12e90223 0200075f es_hi......#..._ + 3b8d0: 5f676d61 635f6864 72001400 00300408 _gmac_hdr....0.. + 3b8e0: 65746800 00002f44 02230008 61746800 eth.../D.#..ath. + 3b8f0: 00002f7a 02230e08 616c6967 6e5f7061 ../z.#..align_pa + 3b900: 64000000 12e90223 1200095f 5f676d61 d......#...__gma + 3b910: 635f6864 725f7400 00002fc8 075f5f67 c_hdr_t.../..__g + 3b920: 6d61635f 736f6674 63002400 00304e08 mac_softc.$..0N. + 3b930: 68647200 00003004 02230008 6772616e hdr...0..#..gran + 3b940: 00000012 e9022314 08737700 000028e7 ......#..sw...(. + 3b950: 02231800 075f415f 6f735f6c 696e6b61 .#..._A_os_linka + 3b960: 67655f63 6865636b 00080000 30870876 ge_check....0..v + 3b970: 65727369 6f6e0000 00010f02 23000874 ersion......#..t + 3b980: 61626c65 00000001 0f022304 00030000 able......#..... + 3b990: 304e0400 06000001 0f010300 00308e04 0N...........0.. + 3b9a0: 00030000 04070400 175f415f 636d6e6f ........._A_cmno + 3b9b0: 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta + 3b9c0: 626c6500 01b80000 31de0868 616c5f6c ble.....1..hal_l + 3b9d0: 696e6b61 67655f63 6865636b 00000030 inkage_check...0 + 3b9e0: 94022300 08737461 72745f62 73730000 ..#..start_bss.. + 3b9f0: 00309b02 23040861 70705f73 74617274 .0..#..app_start + 3ba00: 00000001 08022308 086d656d 00000004 ......#..mem.... + 3ba10: 4702230c 086d6973 63000000 05660223 G.#..misc....f.# + 3ba20: 20087072 696e7466 00000001 3c022344 .printf....<.#D + 3ba30: 08756172 74000000 02050223 4c08676d .uart......#L.gm + 3ba40: 61630000 002f1002 236c0875 73620000 ac.../..#l.usb.. + 3ba50: 000f9502 23700863 6c6f636b 0000000a ....#p.clock.... + 3ba60: de0323e0 01087469 6d657200 0000077e ..#...timer....~ + 3ba70: 03238402 08696e74 72000000 0c2e0323 .#...intr......# + 3ba80: 98020861 6c6c6f63 72616d00 00000936 ...allocram....6 + 3ba90: 0323c402 08726f6d 70000000 08280323 .#...romp....(.# + 3baa0: d0020877 64745f74 696d6572 0000000e ...wdt_timer.... + 3bab0: 0b0323e0 02086565 70000000 0f390323 ..#...eep....9.# + 3bac0: fc020873 7472696e 67000000 068a0323 ...string......# + 3bad0: 8c030874 61736b6c 65740000 000a3303 ...tasklet....3. + 3bae0: 23a40300 075f5553 425f4649 464f5f43 #...._USB_FIFO_C + 3baf0: 4f4e4649 47001000 00325108 6765745f ONFIG....2Q.get_ + 3bb00: 636f6d6d 616e645f 62756600 00001441 command_buf....A + 3bb10: 02230008 72656376 5f636f6d 6d616e64 .#..recv_command + 3bb20: 00000014 57022304 08676574 5f657665 ....W.#..get_eve + 3bb30: 6e745f62 75660000 00144102 23080873 nt_buf....A.#..s + 3bb40: 656e645f 6576656e 745f646f 6e650000 end_event_done.. + 3bb50: 00145702 230c0009 5553425f 4649464f ..W.#...USB_FIFO + 3bb60: 5f434f4e 46494700 000031de 03000032 _CONFIG...1....2 + 3bb70: 51040002 01030000 326d0400 07757362 Q.......2m...usb + 3bb80: 6669666f 5f617069 000c0000 32c3085f fifo_api....2.._ + 3bb90: 696e6974 00000032 6f022300 085f656e init...2o.#.._en + 3bba0: 61626c65 5f657665 6e745f69 73720000 able_event_isr.. + 3bbb0: 00010802 23040870 52657365 72766564 ....#..pReserved + 3bbc0: 00000004 04022308 000f0000 167b0200 ......#......{.. + 3bbd0: 0032d010 0100075f 4854435f 4652414d .2....._HTC_FRAM + 3bbe0: 455f4844 52000800 00334208 456e6470 E_HDR....3B.Endp + 3bbf0: 6f696e74 49440000 00167b02 23000846 ointID....{.#..F + 3bc00: 6c616773 00000016 7b022301 08506179 lags....{.#..Pay + 3bc10: 6c6f6164 4c656e00 00001ca3 02230208 loadLen......#.. + 3bc20: 436f6e74 726f6c42 79746573 00000032 ControlBytes...2 + 3bc30: c3022304 08486f73 74536571 4e756d00 ..#..HostSeqNum. + 3bc40: 00001ca3 02230600 12020000 335b084d .....#......3[.M + 3bc50: 65737361 67654944 0000001c a3022300 essageID......#. + 3bc60: 00120800 0033be08 4d657373 61676549 .....3..MessageI + 3bc70: 44000000 1ca30223 00084372 65646974 D......#..Credit + 3bc80: 436f756e 74000000 1ca30223 02084372 Count......#..Cr + 3bc90: 65646974 53697a65 0000001c a3022304 editSize......#. + 3bca0: 084d6178 456e6470 6f696e74 73000000 .MaxEndpoints... + 3bcb0: 167b0223 06085f50 61643100 0000167b .{.#.._Pad1....{ + 3bcc0: 02230700 120a0000 3455084d 65737361 .#......4U.Messa + 3bcd0: 67654944 0000001c a3022300 08536572 geID......#..Ser + 3bce0: 76696365 49440000 001ca302 23020843 viceID......#..C + 3bcf0: 6f6e6e65 6374696f 6e466c61 67730000 onnectionFlags.. + 3bd00: 001ca302 23040844 6f776e4c 696e6b50 ....#..DownLinkP + 3bd10: 69706549 44000000 167b0223 06085570 ipeID....{.#..Up + 3bd20: 4c696e6b 50697065 49440000 00167b02 LinkPipeID....{. + 3bd30: 23070853 65727669 63654d65 74614c65 #..ServiceMetaLe + 3bd40: 6e677468 00000016 7b022308 085f5061 ngth....{.#.._Pa + 3bd50: 64310000 00167b02 23090012 0a000034 d1....{.#......4 + 3bd60: dd084d65 73736167 65494400 00001ca3 ..MessageID..... + 3bd70: 02230008 53657276 69636549 44000000 .#..ServiceID... + 3bd80: 1ca30223 02085374 61747573 00000016 ...#..Status.... + 3bd90: 7b022304 08456e64 706f696e 74494400 {.#..EndpointID. + 3bda0: 0000167b 02230508 4d61784d 73675369 ...{.#..MaxMsgSi + 3bdb0: 7a650000 001ca302 23060853 65727669 ze......#..Servi + 3bdc0: 63654d65 74614c65 6e677468 00000016 ceMetaLength.... + 3bdd0: 7b022308 085f5061 64310000 00167b02 {.#.._Pad1....{. + 3bde0: 23090012 02000034 f6084d65 73736167 #......4..Messag + 3bdf0: 65494400 00001ca3 02230000 12040000 eID......#...... + 3be00: 3532084d 65737361 67654944 0000001c 52.MessageID.... + 3be10: a3022300 08506970 65494400 0000167b ..#..PipeID....{ + 3be20: 02230208 43726564 6974436f 756e7400 .#..CreditCount. + 3be30: 0000167b 02230300 12040000 3569084d ...{.#......5i.M + 3be40: 65737361 67654944 0000001c a3022300 essageID......#. + 3be50: 08506970 65494400 0000167b 02230208 .PipeID....{.#.. + 3be60: 53746174 75730000 00167b02 23030012 Status....{.#... + 3be70: 02000035 90085265 636f7264 49440000 ...5..RecordID.. + 3be80: 00167b02 2300084c 656e6774 68000000 ..{.#..Length... + 3be90: 167b0223 01001202 000035ba 08456e64 .{.#......5..End + 3bea0: 706f696e 74494400 0000167b 02230008 pointID....{.#.. + 3beb0: 43726564 69747300 0000167b 02230100 Credits....{.#.. + 3bec0: 12040000 35fb0845 6e64706f 696e7449 ....5..EndpointI + 3bed0: 44000000 167b0223 00084372 65646974 D....{.#..Credit + 3bee0: 73000000 167b0223 01085467 74437265 s....{.#..TgtCre + 3bef0: 64697453 65714e6f 0000001c a3022302 ditSeqNo......#. + 3bf00: 000f0000 167b0400 00360810 03001206 .....{...6...... + 3bf10: 00003644 08507265 56616c69 64000000 ..6D.PreValid... + 3bf20: 167b0223 00084c6f 6f6b4168 65616400 .{.#..LookAhead. + 3bf30: 000035fb 02230108 506f7374 56616c69 ..5..#..PostVali + 3bf40: 64000000 167b0223 05000970 6f6f6c5f d....{.#...pool_ + 3bf50: 68616e64 6c655f74 00000004 04060000 handle_t........ + 3bf60: 36440103 00003657 04000201 03000036 6D....6W.......6 + 3bf70: 64040014 04000036 e20e504f 4f4c5f49 d......6..POOL_I + 3bf80: 445f4854 435f434f 4e54524f 4c00000e D_HTC_CONTROL... + 3bf90: 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 3bfa0: 434d445f 5245504c 5900010e 504f4f4c CMD_REPLY...POOL + 3bfb0: 5f49445f 574d495f 5356435f 4556454e _ID_WMI_SVC_EVEN + 3bfc0: 5400020e 504f4f4c 5f49445f 574c414e T...POOL_ID_WLAN + 3bfd0: 5f52585f 42554600 030e504f 4f4c5f49 _RX_BUF...POOL_I + 3bfe0: 445f4d41 58000a00 09425546 5f504f4f D_MAX....BUF_POO + 3bff0: 4c5f4944 00000036 6d020103 000036f3 L_ID...6m.....6. + 3c000: 04000600 00264001 03000036 fc040006 .....&@....6.... + 3c010: 00002640 01030000 37090400 02010300 ..&@....7....... + 3c020: 00371604 00076275 665f706f 6f6c5f61 .7....buf_pool_a + 3c030: 7069001c 000037b8 085f696e 69740000 pi....7.._init.. + 3c040: 00365d02 2300085f 73687574 646f776e .6].#.._shutdown + 3c050: 00000036 66022304 085f6372 65617465 ...6f.#.._create + 3c060: 5f706f6f 6c000000 36f50223 08085f61 _pool...6..#.._a + 3c070: 6c6c6f63 5f627566 00000037 0202230c lloc_buf...7..#. + 3c080: 085f616c 6c6f635f 6275665f 616c6967 ._alloc_buf_alig + 3c090: 6e000000 370f0223 10085f66 7265655f n...7..#.._free_ + 3c0a0: 62756600 00003718 02231408 70526573 buf...7..#..pRes + 3c0b0: 65727665 64000000 04040223 1800075f erved......#..._ + 3c0c0: 4854435f 53455256 49434500 1c000038 HTC_SERVICE....8 + 3c0d0: 9708704e 65787400 00003897 02230008 ..pNext...8..#.. + 3c0e0: 50726f63 65737352 6563764d 73670000 ProcessRecvMsg.. + 3c0f0: 00394c02 23040850 726f6365 73735365 .9L.#..ProcessSe + 3c100: 6e644275 66666572 436f6d70 6c657465 ndBufferComplete + 3c110: 00000039 55022308 0850726f 63657373 ...9U.#..Process + 3c120: 436f6e6e 65637400 00003969 02230c08 Connect...9i.#.. + 3c130: 53657276 69636549 44000000 12e90223 ServiceID......# + 3c140: 10085365 72766963 65466c61 67730000 ..ServiceFlags.. + 3c150: 0012e902 2312084d 61785376 634d7367 ....#..MaxSvcMsg + 3c160: 53697a65 00000012 e9022314 08547261 Size......#..Tra + 3c170: 696c6572 53706343 6865636b 4c696d69 ilerSpcCheckLimi + 3c180: 74000000 12e90223 16085365 72766963 t......#..Servic + 3c190: 65437478 00000004 04022318 00030000 eCtx......#..... + 3c1a0: 37b80400 14040000 39351945 4e44504f 7.......95.ENDPO + 3c1b0: 494e545f 554e5553 454400ff ffffff0e INT_UNUSED...... + 3c1c0: 454e4450 4f494e54 3000000e 454e4450 ENDPOINT0...ENDP + 3c1d0: 4f494e54 3100010e 454e4450 4f494e54 OINT1...ENDPOINT + 3c1e0: 3200020e 454e4450 4f494e54 3300030e 2...ENDPOINT3... + 3c1f0: 454e4450 4f494e54 3400040e 454e4450 ENDPOINT4...ENDP + 3c200: 4f494e54 3500050e 454e4450 4f494e54 OINT5...ENDPOINT + 3c210: 3600060e 454e4450 4f494e54 3700070e 6...ENDPOINT7... + 3c220: 454e4450 4f494e54 3800080e 454e4450 ENDPOINT8...ENDP + 3c230: 4f494e54 5f4d4158 00160009 4854435f OINT_MAX....HTC_ + 3c240: 454e4450 4f494e54 5f494400 0000389e ENDPOINT_ID...8. + 3c250: 02010300 00394a04 00020103 00003953 .....9J.......9S + 3c260: 04000300 00010f04 00060000 12d50103 ................ + 3c270: 00003963 04000300 0037b804 00075f48 ..9c.....7...._H + 3c280: 54435f43 4f4e4649 47001400 0039e808 TC_CONFIG....9.. + 3c290: 43726564 69745369 7a650000 00010f02 CreditSize...... + 3c2a0: 23000843 72656469 744e756d 62657200 #..CreditNumber. + 3c2b0: 0000010f 02230408 4f534861 6e646c65 .....#..OSHandle + 3c2c0: 0000001a 3f022308 08484946 48616e64 ....?.#..HIFHand + 3c2d0: 6c650000 0028a802 230c0850 6f6f6c48 le...(..#..PoolH + 3c2e0: 616e646c 65000000 36440223 1000075f andle...6D.#..._ + 3c2f0: 4854435f 4255465f 434f4e54 45585400 HTC_BUF_CONTEXT. + 3c300: 0200003a 2408656e 645f706f 696e7400 ...:$.end_point. + 3c310: 000012d5 02230008 6874635f 666c6167 .....#..htc_flag + 3c320: 73000000 12d50223 01000968 74635f68 s......#...htc_h + 3c330: 616e646c 655f7400 00000404 09485443 andle_t......HTC + 3c340: 5f534554 55505f43 4f4d504c 4554455f _SETUP_COMPLETE_ + 3c350: 43420000 00010809 4854435f 434f4e46 CB......HTC_CONF + 3c360: 49470000 00397703 00003a51 04000600 IG...9w...:Q.... + 3c370: 003a2401 0300003a 68040002 01030000 .:$....:h....... + 3c380: 3a750400 09485443 5f534552 56494345 :u...HTC_SERVICE + 3c390: 00000037 b8030000 3a7e0400 02010300 ...7....:~...... + 3c3a0: 003a9604 00020103 00003a9f 04000201 .:........:..... + 3c3b0: 0300003a a8040006 0000010f 01030000 ...:............ + 3c3c0: 3ab10400 07687463 5f617069 73003400 :....htc_apis.4. + 3c3d0: 003c2e08 5f485443 5f496e69 74000000 .<.._HTC_Init... + 3c3e0: 3a6e0223 00085f48 54435f53 68757464 :n.#.._HTC_Shutd + 3c3f0: 6f776e00 00003a77 02230408 5f485443 own...:w.#.._HTC + 3c400: 5f526567 69737465 72536572 76696365 _RegisterService + 3c410: 0000003a 98022308 085f4854 435f5265 ...:..#.._HTC_Re + 3c420: 61647900 00003a77 02230c08 5f485443 ady...:w.#.._HTC + 3c430: 5f526574 75726e42 75666665 72730000 _ReturnBuffers.. + 3c440: 003aa102 2310085f 4854435f 52657475 .:..#.._HTC_Retu + 3c450: 726e4275 66666572 734c6973 74000000 rnBuffersList... + 3c460: 3aaa0223 14085f48 54435f53 656e644d :..#.._HTC_SendM + 3c470: 73670000 003aa102 2318085f 4854435f sg...:..#.._HTC_ + 3c480: 47657452 65736572 76656448 65616472 GetReservedHeadr + 3c490: 6f6f6d00 00003ab7 02231c08 5f485443 oom...:..#.._HTC + 3c4a0: 5f4d7367 52656376 48616e64 6c657200 _MsgRecvHandler. + 3c4b0: 00002855 02232008 5f485443 5f53656e ..(U.# ._HTC_Sen + 3c4c0: 64446f6e 6548616e 646c6572 00000028 dDoneHandler...( + 3c4d0: 4c022324 085f4854 435f436f 6e74726f L.#$._HTC_Contro + 3c4e0: 6c537663 50726f63 6573734d 73670000 lSvcProcessMsg.. + 3c4f0: 00394c02 2328085f 4854435f 436f6e74 .9L.#(._HTC_Cont + 3c500: 726f6c53 76635072 6f636573 7353656e rolSvcProcessSen + 3c510: 64436f6d 706c6574 65000000 39550223 dComplete...9U.# + 3c520: 2c087052 65736572 76656400 00000404 ,.pReserved..... + 3c530: 02233000 07686f73 745f6170 705f6172 .#0..host_app_ar + 3c540: 65615f73 00040000 3c5e0877 6d695f70 ea_s....<^.wmi_p + 3c550: 726f746f 636f6c5f 76657200 00001614 rotocol_ver..... + 3c560: 02230000 120e0000 3c950864 73744d61 .#......<..dstMa + 3c570: 63000000 1c600223 00087372 634d6163 c....`.#..srcMac + 3c580: 0000001c 60022306 08747970 654f724c ....`.#..typeOrL + 3c590: 656e0000 001ca302 230c000f 0000167b en......#......{ + 3c5a0: 0300003c a2100200 12080000 3cf20864 ...<........<..d + 3c5b0: 73617000 0000167b 02230008 73736170 sap....{.#..ssap + 3c5c0: 00000016 7b022301 08636e74 6c000000 ....{.#..cntl... + 3c5d0: 167b0223 02086f72 67436f64 65000000 .{.#..orgCode... + 3c5e0: 3c950223 03086574 68657254 79706500 <..#..etherType. + 3c5f0: 00001ca3 02230600 12020000 3d130872 .....#......=..r + 3c600: 73736900 00001bf9 02230008 696e666f ssi......#..info + 3c610: 00000016 7b022301 00120400 003d3a08 ....{.#......=:. + 3c620: 636f6d6d 616e6449 64000000 1ca30223 commandId......# + 3c630: 00087365 714e6f00 00001ca3 02230200 ..seqNo......#.. + 3c640: 0f000016 7b010000 3d471000 00120200 ....{...=G...... + 3c650: 003d6e08 6d736753 697a6500 0000167b .=n.msgSize....{ + 3c660: 02230008 6d736744 61746100 00003d3a .#..msgData...=: + 3c670: 02230100 12080000 3db50861 64647265 .#......=..addre + 3c680: 73734c00 00001ca3 02230008 61646472 ssL......#..addr + 3c690: 65737348 0000001c a3022302 0876616c essH......#..val + 3c6a0: 75654c00 00001ca3 02230408 76616c75 ueL......#..valu + 3c6b0: 65480000 001ca302 23060009 574d495f eH......#...WMI_ + 3c6c0: 41565400 00003d6e 0f00003d b5080000 AVT...=n...=.... + 3c6d0: 3dcf1000 00120c00 003e0608 7475706c =........>..tupl + 3c6e0: 654e756d 4c000000 1ca30223 00087475 eNumL......#..tu + 3c6f0: 706c654e 756d4800 00001ca3 02230208 pleNumH......#.. + 3c700: 61767400 00003dc2 02230400 12010000 avt...=..#...... + 3c710: 3e280862 6561636f 6e50656e 64696e67 >(.beaconPending + 3c720: 436f756e 74000000 167b0223 0000075f Count....{.#..._ + 3c730: 574d495f 5356435f 434f4e46 49470010 WMI_SVC_CONFIG.. + 3c740: 00003e91 08487463 48616e64 6c650000 ..>..HtcHandle.. + 3c750: 003a2402 23000850 6f6f6c48 616e646c .:$.#..PoolHandl + 3c760: 65000000 36440223 04084d61 78436d64 e...6D.#..MaxCmd + 3c770: 5265706c 79457674 73000000 010f0223 ReplyEvts......# + 3c780: 08084d61 78457665 6e744576 74730000 ..MaxEventEvts.. + 3c790: 00010f02 230c0002 01030000 3e910400 ....#.......>... + 3c7a0: 09574d49 5f434d44 5f48414e 444c4552 .WMI_CMD_HANDLER + 3c7b0: 0000003e 93075f57 4d495f44 49535041 ...>.._WMI_DISPA + 3c7c0: 5443485f 454e5452 59000800 003efa08 TCH_ENTRY....>.. + 3c7d0: 70436d64 48616e64 6c657200 00003e9a pCmdHandler...>. + 3c7e0: 02230008 436d6449 44000000 12e90223 .#..CmdID......# + 3c7f0: 0408466c 61677300 000012e9 02230600 ..Flags......#.. + 3c800: 075f574d 495f4449 53504154 43485f54 ._WMI_DISPATCH_T + 3c810: 41424c45 00100000 3f5b0870 4e657874 ABLE....?[.pNext + 3c820: 0000003f 5b022300 0870436f 6e746578 ...?[.#..pContex + 3c830: 74000000 04040223 04084e75 6d626572 t......#..Number + 3c840: 4f66456e 74726965 73000000 010f0223 OfEntries......# + 3c850: 08087054 61626c65 0000003f 7a02230c ..pTable...?z.#. + 3c860: 00030000 3efa0400 09574d49 5f444953 ....>....WMI_DIS + 3c870: 50415443 485f454e 54525900 00003eaf PATCH_ENTRY...>. + 3c880: 0300003f 62040003 00003efa 04000948 ...?b.....>....H + 3c890: 54435f42 55465f43 4f4e5445 58540000 TC_BUF_CONTEXT.. + 3c8a0: 0039e80d 574d495f 4556545f 434c4153 .9..WMI_EVT_CLAS + 3c8b0: 53000400 00401219 574d495f 4556545f S....@..WMI_EVT_ + 3c8c0: 434c4153 535f4e4f 4e4500ff ffffff0e CLASS_NONE...... + 3c8d0: 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM + 3c8e0: 445f4556 454e5400 000e574d 495f4556 D_EVENT...WMI_EV + 3c8f0: 545f434c 4153535f 434d445f 5245504c T_CLASS_CMD_REPL + 3c900: 5900010e 574d495f 4556545f 434c4153 Y...WMI_EVT_CLAS + 3c910: 535f4d41 58000200 09574d49 5f455654 S_MAX....WMI_EVT + 3c920: 5f434c41 53530000 003f9d07 5f574d49 _CLASS...?.._WMI + 3c930: 5f425546 5f434f4e 54455854 000c0000 _BUF_CONTEXT.... + 3c940: 40700848 74634275 66437478 0000003f @p.HtcBufCtx...? + 3c950: 88022300 08457665 6e74436c 61737300 ..#..EventClass. + 3c960: 00004012 02230408 466c6167 73000000 ..@..#..Flags... + 3c970: 12e90223 08000977 6d695f68 616e646c ...#...wmi_handl + 3c980: 655f7400 00000404 09574d49 5f535643 e_t......WMI_SVC + 3c990: 5f434f4e 46494700 00003e28 03000040 _CONFIG...>(...@ + 3c9a0: 82040006 00004070 01030000 409d0400 ......@p....@... + 3c9b0: 09574d49 5f444953 50415443 485f5441 .WMI_DISPATCH_TA + 3c9c0: 424c4500 00003efa 03000040 aa040002 BLE...>....@.... + 3c9d0: 01030000 40c90400 06000026 40010300 ....@......&@... + 3c9e0: 0040d204 00020103 000040df 04000600 .@........@..... + 3c9f0: 00010f01 03000040 e8040002 01030000 .......@........ + 3ca00: 40f50400 06000012 d5010300 0040fe04 @............@.. + 3ca10: 00075f77 6d695f73 76635f61 70697300 .._wmi_svc_apis. + 3ca20: 2c000042 46085f57 4d495f49 6e697400 ,..BF._WMI_Init. + 3ca30: 000040a3 02230008 5f574d49 5f526567 ..@..#.._WMI_Reg + 3ca40: 69737465 72446973 70617463 68546162 isterDispatchTab + 3ca50: 6c650000 0040cb02 2304085f 574d495f le...@..#.._WMI_ + 3ca60: 416c6c6f 63457665 6e740000 0040d802 AllocEvent...@.. + 3ca70: 2308085f 574d495f 53656e64 4576656e #.._WMI_SendEven + 3ca80: 74000000 40e10223 0c085f57 4d495f47 t...@..#.._WMI_G + 3ca90: 65745065 6e64696e 67457665 6e747343 etPendingEventsC + 3caa0: 6f756e74 00000040 ee022310 085f574d ount...@..#.._WM + 3cab0: 495f5365 6e64436f 6d706c65 74654861 I_SendCompleteHa + 3cac0: 6e646c65 72000000 39550223 14085f57 ndler...9U.#.._W + 3cad0: 4d495f47 6574436f 6e74726f 6c457000 MI_GetControlEp. + 3cae0: 000040ee 02231808 5f574d49 5f536875 ..@..#.._WMI_Shu + 3caf0: 74646f77 6e000000 40f70223 1c085f57 tdown...@..#.._W + 3cb00: 4d495f52 6563764d 65737361 67654861 MI_RecvMessageHa + 3cb10: 6e646c65 72000000 394c0223 20085f57 ndler...9L.# ._W + 3cb20: 4d495f53 65727669 6365436f 6e6e6563 MI_ServiceConnec + 3cb30: 74000000 41040223 24087052 65736572 t...A..#$.pReser + 3cb40: 76656400 00000404 02232800 077a7344 ved......#(..zsD + 3cb50: 6d614465 73630014 000042c8 08637472 maDesc....B..ctr + 3cb60: 6c000000 01730223 00087374 61747573 l....s.#..status + 3cb70: 00000001 73022302 08746f74 616c4c65 ....s.#..totalLe + 3cb80: 6e000000 01730223 04086461 74615369 n....s.#..dataSi + 3cb90: 7a650000 00017302 2306086c 61737441 ze....s.#..lastA + 3cba0: 64647200 000042c8 02230808 64617461 ddr...B..#..data + 3cbb0: 41646472 00000001 9702230c 086e6578 Addr......#..nex + 3cbc0: 74416464 72000000 42c80223 10000300 tAddr...B..#.... + 3cbd0: 00424604 00030000 42460400 077a7344 .BF.....BF...zsD + 3cbe0: 6d615175 65756500 08000043 08086865 maQueue....C..he + 3cbf0: 61640000 0042cf02 23000874 65726d69 ad...B..#..termi + 3cc00: 6e61746f 72000000 42cf0223 0400077a nator...B..#...z + 3cc10: 73547844 6d615175 65756500 10000043 sTxDmaQueue....C + 3cc20: 6c086865 61640000 0042cf02 23000874 l.head...B..#..t + 3cc30: 65726d69 6e61746f 72000000 42cf0223 erminator...B..# + 3cc40: 0408786d 69746564 5f627566 5f686561 ..xmited_buf_hea + 3cc50: 64000000 14340223 0808786d 69746564 d....4.#..xmited + 3cc60: 5f627566 5f746169 6c000000 14340223 _buf_tail....4.# + 3cc70: 0c000201 03000043 6c040003 000042d6 .......Cl.....B. + 3cc80: 04000201 03000043 7c040003 00004308 .......C|.....C. + 3cc90: 04000201 03000043 8c040002 01030000 .......C........ + 3cca0: 43950400 02010300 00439e04 00060000 C........C...... + 3ccb0: 14340103 000043a7 04000201 03000043 .4....C........C + 3ccc0: b4040006 00001434 01030000 43bd0400 .......4....C... + 3ccd0: 02010300 0043ca04 00060000 010f0103 .....C.......... + 3cce0: 000043d3 04000600 0042cf01 03000043 ..C......B.....C + 3ccf0: e0040002 01030000 43ed0400 07646d61 ........C....dma + 3cd00: 5f656e67 696e655f 61706900 40000045 _engine_api.@..E + 3cd10: 63085f69 6e697400 0000436e 02230008 c._init...Cn.#.. + 3cd20: 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. + 3cd30: 00437e02 2304085f 696e6974 5f74785f .C~.#.._init_tx_ + 3cd40: 71756575 65000000 438e0223 08085f63 queue...C..#.._c + 3cd50: 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. + 3cd60: 00439702 230c085f 786d6974 5f627566 .C..#.._xmit_buf + 3cd70: 00000043 a0022310 085f666c 7573685f ...C..#.._flush_ + 3cd80: 786d6974 00000043 7e022314 085f7265 xmit...C~.#.._re + 3cd90: 61705f72 6563765f 62756600 000043ad ap_recv_buf...C. + 3cda0: 02231808 5f726574 75726e5f 72656376 .#.._return_recv + 3cdb0: 5f627566 00000043 b602231c 085f7265 _buf...C..#.._re + 3cdc0: 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... + 3cdd0: 43c30223 20085f73 7761705f 64617461 C..# ._swap_data + 3cde0: 00000043 cc022324 085f6861 735f636f ...C..#$._has_co + 3cdf0: 6d706c5f 7061636b 65747300 000043d9 mpl_packets...C. + 3ce00: 02232808 5f646573 635f6475 6d700000 .#(._desc_dump.. + 3ce10: 00437e02 232c085f 6765745f 7061636b .C~.#,._get_pack + 3ce20: 65740000 0043e602 2330085f 7265636c et...C..#0._recl + 3ce30: 61696d5f 7061636b 65740000 0043ef02 aim_packet...C.. + 3ce40: 2334085f 7075745f 7061636b 65740000 #4._put_packet.. + 3ce50: 0043ef02 23380870 52657365 72766564 .C..#8.pReserved + 3ce60: 00000004 0402233c 00095f41 5f636d6e ......#<.._A_cmn + 3ce70: 6f735f69 6e646972 65637469 6f6e5f74 os_indirection_t + 3ce80: 61626c65 5f740000 0030a209 574d495f able_t...0..WMI_ + 3ce90: 5356435f 41504953 00000041 0b175f41 SVC_APIS...A.._A + 3cea0: 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect + 3ceb0: 696f6e5f 7461626c 6500034c 00004691 ion_table..L..F. + 3cec0: 08636d6e 6f730000 00456302 23000864 .cmnos...Ec.#..d + 3ced0: 62670000 0003d103 23b80308 68696600 bg......#...hif. + 3cee0: 0000294b 0323c003 08687463 0000003a ..)K.#...htc...: + 3cef0: be0323f8 0308776d 695f7376 635f6170 ..#...wmi_svc_ap + 3cf00: 69000000 45850323 ac040875 73626669 i...E..#...usbfi + 3cf10: 666f5f61 70690000 00327603 23d80408 fo_api...2v.#... + 3cf20: 6275665f 706f6f6c 00000037 1f0323e4 buf_pool...7..#. + 3cf30: 04087662 75660000 00145e03 23800508 ..vbuf....^.#... + 3cf40: 76646573 63000000 13400323 94050861 vdesc....@.#...a + 3cf50: 6c6c6f63 72616d00 00000936 0323a805 llocram....6.#.. + 3cf60: 08646d61 5f656e67 696e6500 000043f6 .dma_engine...C. + 3cf70: 0323b405 08646d61 5f6c6962 0000002b .#...dma_lib...+ + 3cf80: df0323f4 05086869 665f7063 69000000 ..#...hif_pci... + 3cf90: 2e3f0323 a8060009 5f415f6d 61677069 .?.#...._A_magpi + 3cfa0: 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta + 3cfb0: 626c655f 74000000 45971404 00004716 ble_t...E.....G. + 3cfc0: 0e574454 5f414354 494f4e5f 4e4f0000 .WDT_ACTION_NO.. + 3cfd0: 0e574454 5f414354 494f4e5f 494e5452 .WDT_ACTION_INTR + 3cfe0: 00010e57 44545f41 4354494f 4e5f4e4d ...WDT_ACTION_NM + 3cff0: 4900020e 5744545f 41435449 4f4e5f52 I...WDT_ACTION_R + 3d000: 45534554 00030e57 44545f41 4354494f ESET...WDT_ACTIO + 3d010: 4e5f554e 4b4e4f57 4e000400 09545f57 N_UNKNOWN....T_W + 3d020: 44545f41 4354494f 4e5f5459 50450000 DT_ACTION_TYPE.. + 3d030: 0046b412 0c000047 61087374 61746500 .F.....Ga.state. + 3d040: 000007f4 02230008 61637469 6f6e0000 .....#..action.. + 3d050: 00471602 23040874 696d656f 75740000 .G..#..timeout.. + 3d060: 0001ac02 23080009 545f5744 545f4354 ....#...T_WDT_CT + 3d070: 524c0000 00472d1a 7764745f 6374726c RL...G-.wdt_ctrl + 3d080: 00000047 61050300 500a3801 03000001 ...Ga...P.8..... + 3d090: ac040003 00000e0b 04000201 03000043 ...............C + 3d0a0: 6c040003 0000436c 04000300 00436c04 l.....Cl.....Cl. + 3d0b0: 00030000 436c0400 1b011763 6d6e6f73 ....Cl.....cmnos + 3d0c0: 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 3d0d0: 000ded01 01039201 20029000 008e2c7c ........ .....,| + 3d0e0: 008e2ca7 1c013763 6d6e6f73 5f776474 ..,...7cmnos_wdt + 3d0f0: 5f726573 65740001 03920120 02900000 _reset..... .... + 3d100: 8e2ca800 8e2cc21d 0143636d 6e6f735f .,...,...Ccmnos_ + 3d110: 7764745f 73657400 01010392 01200290 wdt_set...... .. + 3d120: 00008e2c c4008e2c ec000048 391e0143 ...,...,...H9..C + 3d130: 7764745f 636d6400 00000d88 0152001f wdt_cmd......R.. + 3d140: 015d636d 6e6f735f 7764745f 656e6162 .]cmnos_wdt_enab + 3d150: 6c650001 03920130 02900000 8e2cec00 le.....0.....,.. + 3d160: 8e2d0a00 00487220 7764745f 636d6400 .-...Hr wdt_cmd. + 3d170: 00000d88 02915000 1f016c63 6d6e6f73 ......P...lcmnos + 3d180: 5f776474 5f646973 61626c65 00010392 _wdt_disable.... + 3d190: 01300290 00008e2d 0c008e2d 28000048 .0.....-...-(..H + 3d1a0: ac207764 745f636d 64000000 0d880291 . wdt_cmd....... + 3d1b0: 50001d01 7e636d6e 6f735f77 64745f69 P...~cmnos_wdt_i + 3d1c0: 6e697400 01010392 01300290 00008e2d nit......0.....- + 3d1d0: 28008e2d 50000048 e4207764 745f636d (..-P..H. wdt_cm + 3d1e0: 64000000 0d880291 50001c01 94636d6e d.......P....cmn + 3d1f0: 6f735f77 64745f74 61736b00 01039201 os_wdt_task..... + 3d200: 20029000 008e2d50 008e2d68 21019f63 .....-P..-h!..c + 3d210: 6d6e6f73 5f776474 5f6d6f64 756c655f mnos_wdt_module_ + 3d220: 696e7374 616c6c00 01010392 01200290 install...... .. + 3d230: 00008e2d 68008e2d 901e019f 74626c00 ...-h..-....tbl. + 3d240: 0000478d 01520000 00000049 a9000200 ..G..R.....I.... + 3d250: 00160404 012f726f 6f742f57 6f726b73 ...../root/Works + 3d260: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 3d270: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 3d280: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 3d290: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 3d2a0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 3d2b0: 6f6d2f63 6d6e6f73 2f756172 742f7372 om/cmnos/uart/sr + 3d2c0: 632f7561 72745f61 70692e63 002f726f c/uart_api.c./ro + 3d2d0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 3d2e0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 3d2f0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 3d300: 636d6e6f 732f7561 72740078 742d7863 cmnos/uart.xt-xc + 3d310: 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 3d320: 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 3d330: 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - + 3d340: 4f50543a 73706163 65000100 0000d36e OPT:space......n + 3d350: 02010300 00010704 0004696e 74000504 ..........int... + 3d360: 04636861 72000701 05000001 17050000 .char........... + 3d370: 01170300 00012404 00060000 01100103 ......$......... + 3d380: 00000130 04000770 72696e74 665f6170 ...0...printf_ap + 3d390: 69000800 00017408 5f707269 6e74665f i.....t._printf_ + 3d3a0: 696e6974 00000001 09022300 085f7072 init......#.._pr + 3d3b0: 696e7466 00000001 36022304 00047368 intf....6.#...sh + 3d3c0: 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 3d3d0: 00070209 75696e74 31365f74 00000001 ....uint16_t.... + 3d3e0: 74046c6f 6e672075 6e736967 6e656420 t.long unsigned + 3d3f0: 696e7400 07040975 696e7433 325f7400 int....uint32_t. + 3d400: 00000198 07756172 745f6669 666f0008 .....uart_fifo.. + 3d410: 00000206 08737461 72745f69 6e646578 .....start_index + 3d420: 00000001 8a022300 08656e64 5f696e64 ......#..end_ind + 3d430: 65780000 00018a02 2302086f 76657272 ex......#..overr + 3d440: 756e5f65 72720000 0001ad02 23040007 un_err......#... + 3d450: 75617274 5f617069 00200000 02bf085f uart_api. ....._ + 3d460: 75617274 5f696e69 74000000 03160223 uart_init......# + 3d470: 00085f75 6172745f 63686172 5f707574 .._uart_char_put + 3d480: 00000003 3d022304 085f7561 72745f63 ....=.#.._uart_c + 3d490: 6861725f 67657400 00000351 02230808 har_get....Q.#.. + 3d4a0: 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 3d4b0: 035a0223 0c085f75 6172745f 7461736b .Z.#.._uart_task + 3d4c0: 00000001 09022310 085f7561 72745f73 ......#.._uart_s + 3d4d0: 74617475 73000000 03160223 14085f75 tatus......#.._u + 3d4e0: 6172745f 636f6e66 69670000 00036302 art_config....c. + 3d4f0: 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. + 3d500: 0000036c 02231c00 03000002 06040007 ...l.#.......... + 3d510: 75617274 5f626c6b 00100000 03100864 uart_blk.......d + 3d520: 65627567 5f6d6f64 65000000 018a0223 ebug_mode......# + 3d530: 00086261 75640000 00018a02 2302085f ..baud......#.._ + 3d540: 75617274 00000002 bf022304 085f7478 uart......#.._tx + 3d550: 00000001 bb022308 00060000 01ad0103 ......#......... + 3d560: 00000310 04000475 6e736967 6e656420 .......unsigned + 3d570: 63686172 00070109 75696e74 385f7400 char....uint8_t. + 3d580: 0000031d 02010300 00033b04 00030000 ..........;..... + 3d590: 032e0400 06000001 8a010300 00034b04 ..............K. + 3d5a0: 00020103 00000358 04000201 03000003 .......X........ + 3d5b0: 61040002 01030000 036a0400 03000001 a........j...... + 3d5c0: 17040006 00000110 01030000 037a0400 .............z.. + 3d5d0: 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU + 3d5e0: 4354000c 000003d2 08636d64 5f737472 CT.......cmd_str + 3d5f0: 00000003 73022300 0868656c 705f7374 ....s.#..help_st + 3d600: 72000000 03730223 0408636d 645f6675 r....s.#..cmd_fu + 3d610: 6e630000 00038002 23080007 6462675f nc......#...dbg_ + 3d620: 61706900 08000004 05085f64 62675f69 api......._dbg_i + 3d630: 6e697400 00000109 02230008 5f646267 nit......#.._dbg + 3d640: 5f746173 6b000000 01090223 04000a04 _task......#.... + 3d650: 0004756e 7369676e 65642069 6e740007 ..unsigned int.. + 3d660: 04060000 04050103 00000418 04000b0b ................ + 3d670: 03000004 26040006 00000405 01030000 ....&........... + 3d680: 042e0400 06000001 10010300 00043b04 ..............;. + 3d690: 00076d65 6d5f6170 69001400 0004aa08 ..mem_api....... + 3d6a0: 5f6d656d 5f696e69 74000000 01090223 _mem_init......# + 3d6b0: 00085f6d 656d7365 74000000 041e0223 .._memset......# + 3d6c0: 04085f6d 656d6370 79000000 04340223 .._memcpy....4.# + 3d6d0: 08085f6d 656d6d6f 76650000 00043402 .._memmove....4. + 3d6e0: 230c085f 6d656d63 6d700000 00044102 #.._memcmp....A. + 3d6f0: 2310000c 72656769 73746572 5f64756d #...register_dum + 3d700: 705f7300 00010300 0004aa04 00020103 p_s............. + 3d710: 000004c4 04000201 03000004 cd040006 ................ + 3d720: 00000110 01030000 04d60400 0d686f73 .............hos + 3d730: 7469665f 73000400 0005320e 4849465f tif_s.....2.HIF_ + 3d740: 55534200 000e4849 465f5043 49450001 USB...HIF_PCIE.. + 3d750: 0e484946 5f474d41 4300020e 4849465f .HIF_GMAC...HIF_ + 3d760: 50434900 030e4849 465f4e55 4d00040e PCI...HIF_NUM... + 3d770: 4849465f 4e4f4e45 00050009 415f484f HIF_NONE....A_HO + 3d780: 53544946 00000004 e3060000 05320103 STIF.........2.. + 3d790: 00000540 04000600 00032e01 03000005 ...@............ + 3d7a0: 4d040006 0000018a 01030000 055a0400 M............Z.. + 3d7b0: 076d6973 635f6170 69002400 00064a08 .misc_api.$...J. + 3d7c0: 5f737973 74656d5f 72657365 74000000 _system_reset... + 3d7d0: 01090223 00085f6d 61635f72 65736574 ...#.._mac_reset + 3d7e0: 00000001 09022304 085f6173 73666169 ......#.._assfai + 3d7f0: 6c000000 04c60223 08085f6d 6973616c l......#.._misal + 3d800: 69676e65 645f6c6f 61645f68 616e646c igned_load_handl + 3d810: 65720000 0004c602 230c085f 7265706f er......#.._repo + 3d820: 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho + 3d830: 73740000 0004cf02 2310085f 74617267 st......#.._targ + 3d840: 65745f69 645f6765 74000000 04dc0223 et_id_get......# + 3d850: 14085f69 735f686f 73745f70 72657365 .._is_host_prese + 3d860: 6e740000 00054602 2318085f 6b626869 nt....F.#.._kbhi + 3d870: 74000000 05530223 1c085f72 6f6d5f76 t....S.#.._rom_v + 3d880: 65727369 6f6e5f67 65740000 00056002 ersion_get....`. + 3d890: 23200006 00000373 01030000 064a0400 # .....s.....J.. + 3d8a0: 06000003 73010300 00065704 00060000 ....s.....W..... + 3d8b0: 01100103 00000664 04000600 00011001 .......d........ + 3d8c0: 03000006 71040006 00000110 01030000 ....q........... + 3d8d0: 067e0400 07737472 696e675f 61706900 .~...string_api. + 3d8e0: 18000007 04085f73 7472696e 675f696e ......_string_in + 3d8f0: 69740000 00010902 2300085f 73747263 it......#.._strc + 3d900: 70790000 00065002 2304085f 7374726e py....P.#.._strn + 3d910: 63707900 0000065d 02230808 5f737472 cpy....].#.._str + 3d920: 6c656e00 0000066a 02230c08 5f737472 len....j.#.._str + 3d930: 636d7000 00000677 02231008 5f737472 cmp....w.#.._str + 3d940: 6e636d70 00000006 84022314 000f0000 ncmp......#..... + 3d950: 04081400 00071110 0400095f 415f5449 ..........._A_TI + 3d960: 4d45525f 53504143 45000000 07040941 MER_SPACE......A + 3d970: 5f74696d 65725f74 00000007 11030000 _timer_t........ + 3d980: 07250400 02010300 00073b04 00020103 .%........;..... + 3d990: 00000744 04000941 5f48414e 444c4500 ...D...A_HANDLE. + 3d9a0: 00000408 02010941 5f54494d 45525f46 .......A_TIMER_F + 3d9b0: 554e4300 0000075b 03000007 5d040002 UNC....[....]... + 3d9c0: 01030000 07760400 0774696d 65725f61 .....v...timer_a + 3d9d0: 70690014 000007f5 085f7469 6d65725f pi......._timer_ + 3d9e0: 696e6974 00000001 09022300 085f7469 init......#.._ti + 3d9f0: 6d65725f 61726d00 0000073d 02230408 mer_arm....=.#.. + 3da00: 5f74696d 65725f64 69736172 6d000000 _timer_disarm... + 3da10: 07460223 08085f74 696d6572 5f736574 .F.#.._timer_set + 3da20: 666e0000 00077802 230c085f 74696d65 fn....x.#.._time + 3da30: 725f7275 6e000000 01090223 10000942 r_run......#...B + 3da40: 4f4f4c45 414e0000 00018a06 000007f5 OOLEAN.......... + 3da50: 01030000 08020400 06000007 f5010300 ................ + 3da60: 00080f04 00060000 07f50103 0000081c ................ + 3da70: 04000772 6f6d705f 61706900 10000008 ...romp_api..... + 3da80: 8e085f72 6f6d705f 696e6974 00000001 .._romp_init.... + 3da90: 09022300 085f726f 6d705f64 6f776e6c ..#.._romp_downl + 3daa0: 6f616400 00000808 02230408 5f726f6d oad......#.._rom + 3dab0: 705f696e 7374616c 6c000000 08150223 p_install......# + 3dac0: 08085f72 6f6d705f 6465636f 64650000 .._romp_decode.. + 3dad0: 00082202 230c0007 726f6d5f 70617463 ..".#...rom_patc + 3dae0: 685f7374 00100000 08ea0863 72633136 h_st.......crc16 + 3daf0: 00000001 8a022300 086c656e 00000001 ......#..len.... + 3db00: 8a022302 086c645f 61646472 00000001 ..#..ld_addr.... + 3db10: ad022304 0866756e 5f616464 72000000 ..#..fun_addr... + 3db20: 01ad0223 08087066 756e0000 00034402 ...#..pfun....D. + 3db30: 230c0007 6565705f 72656469 725f6164 #...eep_redir_ad + 3db40: 64720004 0000091c 086f6666 73657400 dr.......offset. + 3db50: 0000018a 02230008 73697a65 00000001 .....#..size.... + 3db60: 8a022302 0009415f 55494e54 33320000 ..#...A_UINT32.. + 3db70: 00040806 00000405 01030000 092a0400 .............*.. + 3db80: 07616c6c 6f637261 6d5f6170 69000c00 .allocram_api... + 3db90: 00099b08 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr + 3dba0: 616d5f69 6e697400 00000930 02230008 am_init....0.#.. + 3dbb0: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 3dbc0: 00093002 23040863 6d6e6f73 5f616c6c ..0.#..cmnos_all + 3dbd0: 6f637261 6d5f6465 62756700 00000109 ocram_debug..... + 3dbe0: 02230800 02010300 00099b04 0009415f .#............A_ + 3dbf0: 5441534b 4c45545f 46554e43 00000009 TASKLET_FUNC.... + 3dc00: 9d075f74 61736b6c 65740010 000009fc .._tasklet...... + 3dc10: 0866756e 63000000 09a40223 00086172 .func......#..ar + 3dc20: 67000000 04050223 04087374 61746500 g......#..state. + 3dc30: 00000110 02230808 6e657874 00000009 .....#..next.... + 3dc40: fc02230c 00030000 09b80400 03000009 ..#............. + 3dc50: b8040009 415f7461 736b6c65 745f7400 ....A_tasklet_t. + 3dc60: 000009b8 0300000a 0a040002 01030000 ................ + 3dc70: 0a220400 02010300 000a2b04 00077461 ."........+...ta + 3dc80: 736b6c65 745f6170 69001400 000ac008 sklet_api....... + 3dc90: 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 3dca0: 01090223 00085f74 61736b6c 65745f69 ...#.._tasklet_i + 3dcb0: 6e69745f 7461736b 0000000a 24022304 nit_task....$.#. + 3dcc0: 085f7461 736b6c65 745f6469 7361626c ._tasklet_disabl + 3dcd0: 65000000 0a2d0223 08085f74 61736b6c e....-.#.._taskl + 3dce0: 65745f73 63686564 756c6500 00000a2d et_schedule....- + 3dcf0: 02230c08 5f746173 6b6c6574 5f72756e .#.._tasklet_run + 3dd00: 00000001 09022310 00020103 00000ac0 ......#......... + 3dd10: 04000600 00091c01 0300000a c9040002 ................ + 3dd20: 01030000 0ad60400 07636c6f 636b5f61 .........clock_a + 3dd30: 70690024 00000bb8 085f636c 6f636b5f pi.$....._clock_ + 3dd40: 696e6974 0000000a c2022300 085f636c init......#.._cl + 3dd50: 6f636b72 6567735f 696e6974 00000001 ockregs_init.... + 3dd60: 09022304 085f7561 72745f66 72657175 ..#.._uart_frequ + 3dd70: 656e6379 0000000a cf022308 085f6465 ency......#.._de + 3dd80: 6c61795f 75730000 000ad802 230c085f lay_us......#.._ + 3dd90: 776c616e 5f62616e 645f7365 74000000 wlan_band_set... + 3dda0: 0ad80223 10085f72 6566636c 6b5f7370 ...#.._refclk_sp + 3ddb0: 6565645f 67657400 00000acf 02231408 eed_get......#.. + 3ddc0: 5f6d696c 6c697365 636f6e64 73000000 _milliseconds... + 3ddd0: 0acf0223 18085f73 7973636c 6b5f6368 ...#.._sysclk_ch + 3dde0: 616e6765 00000001 0902231c 085f636c ange......#.._cl + 3ddf0: 6f636b5f 7469636b 00000001 09022320 ock_tick......# + 3de00: 00060000 01ad0103 00000bb8 04000941 ...............A + 3de10: 5f6f6c64 5f696e74 725f7400 000001ad _old_intr_t..... + 3de20: 0600000b c5010300 000bd704 00020103 ................ + 3de30: 00000be4 04000201 0300000b ed040006 ................ + 3de40: 000001ad 01030000 0bf60400 09415f69 .............A_i + 3de50: 73725f74 0000000b fc020103 00000c10 sr_t............ + 3de60: 04000600 00040801 0300000c 19040002 ................ + 3de70: 01030000 0c260400 07696e74 725f6170 .....&...intr_ap + 3de80: 69002c00 000d4808 5f696e74 725f696e i.,...H._intr_in + 3de90: 69740000 00010902 2300085f 696e7472 it......#.._intr + 3dea0: 5f696e76 6f6b655f 69737200 00000bbe _invoke_isr..... + 3deb0: 02230408 5f696e74 725f6469 7361626c .#.._intr_disabl + 3dec0: 65000000 0bdd0223 08085f69 6e74725f e......#.._intr_ + 3ded0: 72657374 6f726500 00000be6 02230c08 restore......#.. + 3dee0: 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. + 3def0: 00000bef 02231008 5f696e74 725f756e .....#.._intr_un + 3df00: 6d61736b 5f696e75 6d000000 0bef0223 mask_inum......# + 3df10: 14085f69 6e74725f 61747461 63685f69 .._intr_attach_i + 3df20: 73720000 000c1202 2318085f 6765745f sr......#.._get_ + 3df30: 696e7472 656e6162 6c650000 000c1f02 intrenable...... + 3df40: 231c085f 7365745f 696e7472 656e6162 #.._set_intrenab + 3df50: 6c650000 000c2802 2320085f 6765745f le....(.# ._get_ + 3df60: 696e7472 70656e64 696e6700 00000c1f intrpending..... + 3df70: 02232408 5f756e62 6c6f636b 5f616c6c .#$._unblock_all + 3df80: 5f696e74 726c766c 00000001 09022328 _intrlvl......#( + 3df90: 00110400 000d6e08 74696d65 6f757400 ......n.timeout. + 3dfa0: 000001ad 02230008 61637469 6f6e0000 .....#..action.. + 3dfb0: 0001ad02 23000012 0800000d 8908636d ....#.........cm + 3dfc0: 64000000 01ad0223 00130000 0d480223 d......#.....H.# + 3dfd0: 04000954 5f574454 5f434d44 0000000d ...T_WDT_CMD.... + 3dfe0: 6e020103 00000d98 04001404 00000dee n............... + 3dff0: 0e454e55 4d5f5744 545f424f 4f540001 .ENUM_WDT_BOOT.. + 3e000: 0e454e55 4d5f434f 4c445f42 4f4f5400 .ENUM_COLD_BOOT. + 3e010: 020e454e 554d5f53 5553505f 424f4f54 ..ENUM_SUSP_BOOT + 3e020: 00030e45 4e554d5f 554e4b4e 4f574e5f ...ENUM_UNKNOWN_ + 3e030: 424f4f54 00040009 545f424f 4f545f54 BOOT....T_BOOT_T + 3e040: 59504500 00000da1 0600000d ee010300 YPE............. + 3e050: 000dff04 00077764 745f6170 69001c00 ......wdt_api... + 3e060: 000ea308 5f776474 5f696e69 74000000 ...._wdt_init... + 3e070: 01090223 00085f77 64745f65 6e61626c ...#.._wdt_enabl + 3e080: 65000000 01090223 04085f77 64745f64 e......#.._wdt_d + 3e090: 69736162 6c650000 00010902 2308085f isable......#.._ + 3e0a0: 7764745f 73657400 00000d9a 02230c08 wdt_set......#.. + 3e0b0: 5f776474 5f746173 6b000000 01090223 _wdt_task......# + 3e0c0: 10085f77 64745f72 65736574 00000001 .._wdt_reset.... + 3e0d0: 09022314 085f7764 745f6c61 73745f62 ..#.._wdt_last_b + 3e0e0: 6f6f7400 00000e05 02231800 14040000 oot......#...... + 3e0f0: 0f0a0e52 45545f53 55434345 53530000 ...RET_SUCCESS.. + 3e100: 0e524554 5f4e4f54 5f494e49 5400010e .RET_NOT_INIT... + 3e110: 5245545f 4e4f545f 45584953 5400020e RET_NOT_EXIST... + 3e120: 5245545f 4545505f 434f5252 55505400 RET_EEP_CORRUPT. + 3e130: 030e5245 545f4545 505f4f56 4552464c ..RET_EEP_OVERFL + 3e140: 4f570004 0e524554 5f554e4b 4e4f574e OW...RET_UNKNOWN + 3e150: 00050009 545f4545 505f5245 54000000 ....T_EEP_RET... + 3e160: 0ea30300 00018a04 00060000 0f0a0103 ................ + 3e170: 00000f20 04000600 000f0a01 0300000f ... ............ + 3e180: 2d040007 6565705f 61706900 1000000f -...eep_api..... + 3e190: 96085f65 65705f69 6e697400 00000109 .._eep_init..... + 3e1a0: 02230008 5f656570 5f726561 64000000 .#.._eep_read... + 3e1b0: 0f260223 04085f65 65705f77 72697465 .&.#.._eep_write + 3e1c0: 0000000f 26022308 085f6565 705f6973 ....&.#.._eep_is + 3e1d0: 5f657869 73740000 000f3302 230c0007 _exist....3.#... + 3e1e0: 7573625f 61706900 70000012 43085f75 usb_api.p...C._u + 3e1f0: 73625f69 6e697400 00000109 02230008 sb_init......#.. + 3e200: 5f757362 5f726f6d 5f746173 6b000000 _usb_rom_task... + 3e210: 01090223 04085f75 73625f66 775f7461 ...#.._usb_fw_ta + 3e220: 736b0000 00010902 2308085f 7573625f sk......#.._usb_ + 3e230: 696e6974 5f706879 00000001 0902230c init_phy......#. + 3e240: 085f7573 625f6570 305f7365 74757000 ._usb_ep0_setup. + 3e250: 00000109 02231008 5f757362 5f657030 .....#.._usb_ep0 + 3e260: 5f747800 00000109 02231408 5f757362 _tx......#.._usb + 3e270: 5f657030 5f727800 00000109 02231808 _ep0_rx......#.. + 3e280: 5f757362 5f676574 5f696e74 65726661 _usb_get_interfa + 3e290: 63650000 00081502 231c085f 7573625f ce......#.._usb_ + 3e2a0: 7365745f 696e7465 72666163 65000000 set_interface... + 3e2b0: 08150223 20085f75 73625f67 65745f63 ...# ._usb_get_c + 3e2c0: 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 3e2d0: 15022324 085f7573 625f7365 745f636f ..#$._usb_set_co + 3e2e0: 6e666967 75726174 696f6e00 00000815 nfiguration..... + 3e2f0: 02232808 5f757362 5f737461 6e646172 .#(._usb_standar + 3e300: 645f636d 64000000 08150223 2c085f75 d_cmd......#,._u + 3e310: 73625f76 656e646f 725f636d 64000000 sb_vendor_cmd... + 3e320: 01090223 30085f75 73625f70 6f776572 ...#0._usb_power + 3e330: 5f6f6666 00000001 09022334 085f7573 _off......#4._us + 3e340: 625f7265 7365745f 6669666f 00000001 b_reset_fifo.... + 3e350: 09022338 085f7573 625f6765 6e5f7764 ..#8._usb_gen_wd + 3e360: 74000000 01090223 3c085f75 73625f6a t......#<._usb_j + 3e370: 756d705f 626f6f74 00000001 09022340 ump_boot......#@ + 3e380: 085f7573 625f636c 725f6665 61747572 ._usb_clr_featur + 3e390: 65000000 08150223 44085f75 73625f73 e......#D._usb_s + 3e3a0: 65745f66 65617475 72650000 00081502 et_feature...... + 3e3b0: 2348085f 7573625f 7365745f 61646472 #H._usb_set_addr + 3e3c0: 65737300 00000815 02234c08 5f757362 ess......#L._usb + 3e3d0: 5f676574 5f646573 63726970 746f7200 _get_descriptor. + 3e3e0: 00000815 02235008 5f757362 5f676574 .....#P._usb_get + 3e3f0: 5f737461 74757300 00000815 02235408 _status......#T. + 3e400: 5f757362 5f736574 75705f64 65736300 _usb_setup_desc. + 3e410: 00000109 02235808 5f757362 5f726567 .....#X._usb_reg + 3e420: 5f6f7574 00000001 0902235c 085f7573 _out......#\._us + 3e430: 625f7374 61747573 5f696e00 00000109 b_status_in..... + 3e440: 02236008 5f757362 5f657030 5f74785f .#`._usb_ep0_tx_ + 3e450: 64617461 00000001 09022364 085f7573 data......#d._us + 3e460: 625f6570 305f7278 5f646174 61000000 b_ep0_rx_data... + 3e470: 01090223 68085f75 73625f63 6c6b5f69 ...#h._usb_clk_i + 3e480: 6e697400 00000109 02236c00 075f5644 nit......#l.._VD + 3e490: 45534300 24000012 cf086e65 78745f64 ESC.$.....next_d + 3e4a0: 65736300 000012cf 02230008 6275665f esc......#..buf_ + 3e4b0: 61646472 00000012 e3022304 08627566 addr......#..buf + 3e4c0: 5f73697a 65000000 12ea0223 08086461 _size......#..da + 3e4d0: 74615f6f 66667365 74000000 12ea0223 ta_offset......# + 3e4e0: 0a086461 74615f73 697a6500 000012ea ..data_size..... + 3e4f0: 02230c08 636f6e74 726f6c00 000012ea .#..control..... + 3e500: 02230e08 68775f64 6573635f 62756600 .#..hw_desc_buf. + 3e510: 000012f8 02231000 03000012 43040009 .....#......C... + 3e520: 415f5549 4e543800 0000031d 03000012 A_UINT8......... + 3e530: d6040009 415f5549 4e543136 00000001 ....A_UINT16.... + 3e540: 740f0000 12d61400 00130510 13000300 t............... + 3e550: 00124304 00095644 45534300 00001243 ..C...VDESC....C + 3e560: 03000013 0c040006 00001317 01030000 ................ + 3e570: 131e0400 06000012 e3010300 00132b04 ..............+. + 3e580: 00020103 00001338 04000776 64657363 .......8...vdesc + 3e590: 5f617069 00140000 13b0085f 696e6974 _api......._init + 3e5a0: 0000000a d8022300 085f616c 6c6f635f ......#.._alloc_ + 3e5b0: 76646573 63000000 13240223 04085f67 vdesc....$.#.._g + 3e5c0: 65745f68 775f6465 73630000 00133102 et_hw_desc....1. + 3e5d0: 2308085f 73776170 5f766465 73630000 #.._swap_vdesc.. + 3e5e0: 00133a02 230c0870 52657365 72766564 ..:.#..pReserved + 3e5f0: 00000004 05022310 00075f56 42554600 ......#..._VBUF. + 3e600: 20000014 10086465 73635f6c 69737400 .....desc_list. + 3e610: 00001317 02230008 6e657874 5f627566 .....#..next_buf + 3e620: 00000014 10022304 08627566 5f6c656e ......#..buf_len + 3e630: 67746800 000012ea 02230808 72657365 gth......#..rese + 3e640: 72766564 00000014 1702230a 08637478 rved......#..ctx + 3e650: 00000012 f802230c 00030000 13b00400 ......#......... + 3e660: 0f000012 d6020000 14241001 00030000 .........$...... + 3e670: 13b00400 09564255 46000000 13b00300 .....VBUF....... + 3e680: 00142b04 00060000 14350103 0000143c ..+......5.....< + 3e690: 04000600 00143501 03000014 49040002 ......5.....I... + 3e6a0: 01030000 14560400 07766275 665f6170 .....V...vbuf_ap + 3e6b0: 69001400 0014d408 5f696e69 74000000 i......._init... + 3e6c0: 0ad80223 00085f61 6c6c6f63 5f766275 ...#.._alloc_vbu + 3e6d0: 66000000 14420223 04085f61 6c6c6f63 f....B.#.._alloc + 3e6e0: 5f766275 665f7769 74685f73 697a6500 _vbuf_with_size. + 3e6f0: 0000144f 02230808 5f667265 655f7662 ...O.#.._free_vb + 3e700: 75660000 00145802 230c0870 52657365 uf....X.#..pRese + 3e710: 72766564 00000004 05022310 00075f5f rved......#...__ + 3e720: 6164665f 64657669 63650004 000014f6 adf_device...... + 3e730: 0864756d 6d790000 00011002 23000003 .dummy......#... + 3e740: 0000091c 0400075f 5f616466 5f646d61 .......__adf_dma + 3e750: 5f6d6170 000c0000 153d0862 75660000 _map.....=.buf.. + 3e760: 00143502 23000864 735f6164 64720000 ..5.#..ds_addr.. + 3e770: 0014f602 23040864 735f6c65 6e000000 ....#..ds_len... + 3e780: 12ea0223 0800120c 00001577 085f5f76 ...#.......w.__v + 3e790: 615f7374 6b000000 03730223 00085f5f a_stk....s.#..__ + 3e7a0: 76615f72 65670000 00037302 2304085f va_reg....s.#.._ + 3e7b0: 5f76615f 6e647800 00000110 02230800 _va_ndx......#.. + 3e7c0: 095f5f61 64665f6f 735f646d 615f6164 .__adf_os_dma_ad + 3e7d0: 64725f74 00000009 1c096164 665f6f73 dr_t......adf_os + 3e7e0: 5f646d61 5f616464 725f7400 00001577 _dma_addr_t....w + 3e7f0: 095f5f61 64665f6f 735f646d 615f7369 .__adf_os_dma_si + 3e800: 7a655f74 00000009 1c096164 665f6f73 ze_t......adf_os + 3e810: 5f646d61 5f73697a 655f7400 000015a7 _dma_size_t..... + 3e820: 075f5f64 6d615f73 65677300 08000016 .__dma_segs..... + 3e830: 03087061 64647200 00001590 02230008 ..paddr......#.. + 3e840: 6c656e00 000015c0 02230400 095f5f61 len......#...__a + 3e850: 5f75696e 7433325f 74000000 091c0961 _uint32_t......a + 3e860: 5f75696e 7433325f 74000000 16030f00 _uint32_t....... + 3e870: 0015d708 00001632 10000007 6164665f .......2....adf_ + 3e880: 6f735f64 6d616d61 705f696e 666f000c os_dmamap_info.. + 3e890: 0000166b 086e7365 67730000 00161502 ...k.nsegs...... + 3e8a0: 23000864 6d615f73 65677300 00001625 #..dma_segs....% + 3e8b0: 02230400 095f5f61 5f75696e 74385f74 .#...__a_uint8_t + 3e8c0: 00000012 d609615f 75696e74 385f7400 ......a_uint8_t. + 3e8d0: 0000166b 03000016 7c040007 5f5f7367 ...k....|...__sg + 3e8e0: 5f736567 73000800 0016bd08 76616464 _segs.......vadd + 3e8f0: 72000000 168b0223 00086c65 6e000000 r......#..len... + 3e900: 16150223 04000f00 00169220 000016ca ...#....... .... + 3e910: 10030007 6164665f 6f735f73 676c6973 ....adf_os_sglis + 3e920: 74002400 0016fd08 6e736567 73000000 t.$.....nsegs... + 3e930: 16150223 00087367 5f736567 73000000 ...#..sg_segs... + 3e940: 16bd0223 04001210 00001746 0876656e ...#.......F.ven + 3e950: 646f7200 00001615 02230008 64657669 dor......#..devi + 3e960: 63650000 00161502 23040873 75627665 ce......#..subve + 3e970: 6e646f72 00000016 15022308 08737562 ndor......#..sub + 3e980: 64657669 63650000 00161502 230c0004 device......#... + 3e990: 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign + 3e9a0: 65642069 6e740007 0809415f 55494e54 ed int....A_UINT + 3e9b0: 36340000 00174609 5f5f615f 75696e74 64....F.__a_uint + 3e9c0: 36345f74 00000017 6009615f 75696e74 64_t....`.a_uint + 3e9d0: 36345f74 00000017 6e140400 0017cc0e 64_t....n....... + 3e9e0: 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ + 3e9f0: 54595045 5f4d454d 00000e41 44465f4f TYPE_MEM...ADF_O + 3ea00: 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 3ea10: 494f0001 00096164 665f6f73 5f726573 IO....adf_os_res + 3ea20: 6f757263 655f7479 70655f74 00000017 ource_type_t.... + 3ea30: 90121800 00181608 73746172 74000000 ........start... + 3ea40: 17800223 0008656e 64000000 17800223 ...#..end......# + 3ea50: 08087479 70650000 0017cc02 23100009 ..type......#... + 3ea60: 6164665f 6f735f70 63695f64 65765f69 adf_os_pci_dev_i + 3ea70: 645f7400 000016fd 03000018 16040011 d_t............. + 3ea80: 04000018 55087063 69000000 182f0223 ....U.pci..../.# + 3ea90: 00087261 77000000 04050223 00001110 ..raw......#.... + 3eaa0: 00001874 08706369 00000018 16022300 ...t.pci......#. + 3eab0: 08726177 00000004 05022300 00096164 .raw......#...ad + 3eac0: 665f6472 765f6861 6e646c65 5f740000 f_drv_handle_t.. + 3ead0: 00040509 6164665f 6f735f72 65736f75 ....adf_os_resou + 3eae0: 7263655f 74000000 17e80300 00188a04 rce_t........... + 3eaf0: 00096164 665f6f73 5f617474 6163685f ..adf_os_attach_ + 3eb00: 64617461 5f740000 00185503 000018a8 data_t....U..... + 3eb10: 04000300 0014d404 00095f5f 6164665f ..........__adf_ + 3eb20: 6f735f64 65766963 655f7400 000018c9 os_device_t..... + 3eb30: 09616466 5f6f735f 64657669 63655f74 .adf_os_device_t + 3eb40: 00000018 d0060000 18740103 000018fc .........t...... + 3eb50: 04000201 03000019 09040009 6164665f ............adf_ + 3eb60: 6f735f70 6d5f7400 00000405 02010300 os_pm_t......... + 3eb70: 00192304 00140400 0019630e 4144465f ..#.......c.ADF_ + 3eb80: 4f535f42 55535f54 5950455f 50434900 OS_BUS_TYPE_PCI. + 3eb90: 010e4144 465f4f53 5f425553 5f545950 ..ADF_OS_BUS_TYP + 3eba0: 455f4745 4e455249 43000200 09616466 E_GENERIC....adf + 3ebb0: 5f6f735f 6275735f 74797065 5f740000 _os_bus_type_t.. + 3ebc0: 00192c09 6164665f 6f735f62 75735f72 ..,.adf_os_bus_r + 3ebd0: 65675f64 6174615f 74000000 18360300 eg_data_t....6.. + 3ebe0: 00031d04 00075f61 64665f64 72765f69 ......_adf_drv_i + 3ebf0: 6e666f00 2000001a 40086472 765f6174 nfo. ...@.drv_at + 3ec00: 74616368 00000019 02022300 08647276 tach......#..drv + 3ec10: 5f646574 61636800 0000190b 02230408 _detach......#.. + 3ec20: 6472765f 73757370 656e6400 00001925 drv_suspend....% + 3ec30: 02230808 6472765f 72657375 6d650000 .#..drv_resume.. + 3ec40: 00190b02 230c0862 75735f74 79706500 ....#..bus_type. + 3ec50: 00001963 02231008 6275735f 64617461 ...c.#..bus_data + 3ec60: 00000019 7a022314 086d6f64 5f6e616d ....z.#..mod_nam + 3ec70: 65000000 19950223 18086966 6e616d65 e......#..ifname + 3ec80: 00000019 9502231c 00096164 665f6f73 ......#...adf_os + 3ec90: 5f68616e 646c655f 74000000 04050300 _handle_t....... + 3eca0: 00166b04 00020102 01095f5f 6164665f ..k.......__adf_ + 3ecb0: 6f735f73 697a655f 74000000 04081404 os_size_t....... + 3ecc0: 00001a8f 0e415f46 414c5345 00000e41 .....A_FALSE...A + 3ecd0: 5f545255 45000100 09615f62 6f6f6c5f _TRUE....a_bool_ + 3ece0: 74000000 1a750300 0014fd04 00095f5f t....u........__ + 3ecf0: 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 3ed00: 0000001a 9d02010d 6164665f 6f735f63 ........adf_os_c + 3ed10: 61636865 5f73796e 63000400 001b270e ache_sync.....'. + 3ed20: 4144465f 53594e43 5f505245 52454144 ADF_SYNC_PREREAD + 3ed30: 00000e41 44465f53 594e435f 50524557 ...ADF_SYNC_PREW + 3ed40: 52495445 00020e41 44465f53 594e435f RITE...ADF_SYNC_ + 3ed50: 504f5354 52454144 00010e41 44465f53 POSTREAD...ADF_S + 3ed60: 594e435f 504f5354 57524954 45000300 YNC_POSTWRITE... + 3ed70: 09616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 3ed80: 6e635f74 0000001a be020109 6164665f nc_t........adf_ + 3ed90: 6f735f73 697a655f 74000000 1a600600 os_size_t....`.. + 3eda0: 001b4201 09616466 5f6f735f 646d615f ..B..adf_os_dma_ + 3edb0: 6d61705f 74000000 1aa40300 001b5b04 map_t.........[. + 3edc0: 00060000 04050103 00001aa4 04000600 ................ + 3edd0: 00040501 02010600 00159001 02010473 ...............s + 3ede0: 686f7274 20696e74 00050209 415f494e hort int....A_IN + 3edf0: 54313600 00001b95 095f5f61 5f696e74 T16......__a_int + 3ee00: 31365f74 0000001b a209615f 696e7431 16_t......a_int1 + 3ee10: 365f7400 00001baf 04736967 6e656420 6_t......signed + 3ee20: 63686172 00050109 415f494e 54380000 char....A_INT8.. + 3ee30: 001bcf09 5f5f615f 696e7438 5f740000 ....__a_int8_t.. + 3ee40: 001bde09 615f696e 74385f74 0000001b ....a_int8_t.... + 3ee50: ea120c00 001c6108 73757070 6f727465 ......a.supporte + 3ee60: 64000000 16150223 00086164 76657274 d......#..advert + 3ee70: 697a6564 00000016 15022304 08737065 ized......#..spe + 3ee80: 65640000 001bc002 23080864 75706c65 ed......#..duple + 3ee90: 78000000 1bfa0223 0a086175 746f6e65 x......#..autone + 3eea0: 67000000 167c0223 0b000f00 00167c06 g....|.#......|. + 3eeb0: 00001c6e 10050007 6164665f 6e65745f ...n....adf_net_ + 3eec0: 65746861 64647200 0600001c 92086164 ethaddr.......ad + 3eed0: 64720000 001c6102 23000009 5f5f615f dr....a.#...__a_ + 3eee0: 75696e74 31365f74 00000012 ea09615f uint16_t......a_ + 3eef0: 75696e74 31365f74 0000001c 92120e00 uint16_t........ + 3ef00: 001cf608 65746865 725f6468 6f737400 ....ether_dhost. + 3ef10: 00001c61 02230008 65746865 725f7368 ...a.#..ether_sh + 3ef20: 6f737400 00001c61 02230608 65746865 ost....a.#..ethe + 3ef30: 725f7479 70650000 001ca402 230c0012 r_type......#... + 3ef40: 1400001d b7156970 5f766572 73696f6e ......ip_version + 3ef50: 00000016 7c010004 02230015 69705f68 ....|....#..ip_h + 3ef60: 6c000000 167c0104 04022300 0869705f l....|....#..ip_ + 3ef70: 746f7300 0000167c 02230108 69705f6c tos....|.#..ip_l + 3ef80: 656e0000 001ca402 23020869 705f6964 en......#..ip_id + 3ef90: 0000001c a4022304 0869705f 66726167 ......#..ip_frag + 3efa0: 5f6f6666 0000001c a4022306 0869705f _off......#..ip_ + 3efb0: 74746c00 0000167c 02230808 69705f70 ttl....|.#..ip_p + 3efc0: 726f746f 00000016 7c022309 0869705f roto....|.#..ip_ + 3efd0: 63686563 6b000000 1ca40223 0a086970 check......#..ip + 3efe0: 5f736164 64720000 00161502 230c0869 _saddr......#..i + 3eff0: 705f6461 64647200 00001615 02231000 p_daddr......#.. + 3f000: 07616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 3f010: 00040000 1e090874 70696400 00001ca4 .......tpid..... + 3f020: 02230015 7072696f 00000016 7c010003 .#..prio....|... + 3f030: 02230215 63666900 0000167c 01030102 .#..cfi....|.... + 3f040: 23021576 69640000 001ca402 040c0223 #..vid.........# + 3f050: 02000761 64665f6e 65745f76 69640002 ...adf_net_vid.. + 3f060: 00001e3a 15726573 00000016 7c010004 ...:.res....|... + 3f070: 02230015 76616c00 00001ca4 02040c02 .#..val......... + 3f080: 23000012 0c00001e 76087278 5f627566 #.......v.rx_buf + 3f090: 73697a65 00000016 15022300 0872785f size......#..rx_ + 3f0a0: 6e646573 63000000 16150223 04087478 ndesc......#..tx + 3f0b0: 5f6e6465 73630000 00161502 23080012 _ndesc......#... + 3f0c0: 0800001e 9c08706f 6c6c6564 0000001a ......polled.... + 3f0d0: 8f022300 08706f6c 6c5f7774 00000016 ..#..poll_wt.... + 3f0e0: 15022304 000f0000 167c4000 001ea910 ..#......|@..... + 3f0f0: 3f001246 00001ed1 0869665f 6e616d65 ?..F.....if_name + 3f100: 0000001e 9c022300 08646576 5f616464 ......#..dev_add + 3f110: 72000000 1c610223 40001404 00001f08 r....a.#@....... + 3f120: 0e414446 5f4f535f 444d415f 4d41534b .ADF_OS_DMA_MASK + 3f130: 5f333242 49540000 0e414446 5f4f535f _32BIT...ADF_OS_ + 3f140: 444d415f 4d41534b 5f363442 49540001 DMA_MASK_64BIT.. + 3f150: 00096164 665f6f73 5f646d61 5f6d6173 ..adf_os_dma_mas + 3f160: 6b5f7400 00001ed1 07616466 5f646d61 k_t......adf_dma + 3f170: 5f696e66 6f000800 001f5508 646d615f _info.....U.dma_ + 3f180: 6d61736b 0000001f 08022300 0873675f mask......#..sg_ + 3f190: 6e736567 73000000 16150223 04001404 nsegs......#.... + 3f1a0: 00001fab 0e414446 5f4e4554 5f434b53 .....ADF_NET_CKS + 3f1b0: 554d5f4e 4f4e4500 000e4144 465f4e45 UM_NONE...ADF_NE + 3f1c0: 545f434b 53554d5f 5443505f 5544505f T_CKSUM_TCP_UDP_ + 3f1d0: 49507634 00010e41 44465f4e 45545f43 IPv4...ADF_NET_C + 3f1e0: 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv + 3f1f0: 36000200 09616466 5f6e6574 5f636b73 6....adf_net_cks + 3f200: 756d5f74 7970655f 74000000 1f551208 um_type_t....U.. + 3f210: 00001fee 0874785f 636b7375 6d000000 .....tx_cksum... + 3f220: 1fab0223 00087278 5f636b73 756d0000 ...#..rx_cksum.. + 3f230: 001fab02 23040009 6164665f 6e65745f ....#...adf_net_ + 3f240: 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... + 3f250: c5140400 0020470e 4144465f 4e45545f ..... G.ADF_NET_ + 3f260: 54534f5f 4e4f4e45 00000e41 44465f4e TSO_NONE...ADF_N + 3f270: 45545f54 534f5f49 50563400 010e4144 ET_TSO_IPV4...AD + 3f280: 465f4e45 545f5453 4f5f414c 4c000200 F_NET_TSO_ALL... + 3f290: 09616466 5f6e6574 5f74736f 5f747970 .adf_net_tso_typ + 3f2a0: 655f7400 00002008 12100000 209b0863 e_t... ..... ..c + 3f2b0: 6b73756d 5f636170 0000001f ee022300 ksum_cap......#. + 3f2c0: 0874736f 00000020 47022308 08766c61 .tso... G.#..vla + 3f2d0: 6e5f7375 70706f72 74656400 0000167c n_supported....| + 3f2e0: 02230c00 12200000 21340874 785f7061 .#... ..!4.tx_pa + 3f2f0: 636b6574 73000000 16150223 00087278 ckets......#..rx + 3f300: 5f706163 6b657473 00000016 15022304 _packets......#. + 3f310: 0874785f 62797465 73000000 16150223 .tx_bytes......# + 3f320: 08087278 5f627974 65730000 00161502 ..rx_bytes...... + 3f330: 230c0874 785f6472 6f707065 64000000 #..tx_dropped... + 3f340: 16150223 10087278 5f64726f 70706564 ...#..rx_dropped + 3f350: 00000016 15022314 0872785f 6572726f ......#..rx_erro + 3f360: 72730000 00161502 23180874 785f6572 rs......#..tx_er + 3f370: 726f7273 00000016 1502231c 00096164 rors......#...ad + 3f380: 665f6e65 745f6574 68616464 725f7400 f_net_ethaddr_t. + 3f390: 00001c6e 16000021 34030000 00215910 ...n...!4....!Y. + 3f3a0: 7f001761 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m + 3f3b0: 63616464 72000304 00002190 086e656c caddr.....!..nel + 3f3c0: 656d0000 00161502 2300086d 63617374 em......#..mcast + 3f3d0: 00000021 4b022304 00096164 665f6e65 ...!K.#...adf_ne + 3f3e0: 745f636d 645f6c69 6e6b5f69 6e666f5f t_cmd_link_info_ + 3f3f0: 74000000 1c080961 64665f6e 65745f63 t......adf_net_c + 3f400: 6d645f70 6f6c6c5f 696e666f 5f740000 md_poll_info_t.. + 3f410: 001e7609 6164665f 6e65745f 636d645f ..v.adf_net_cmd_ + 3f420: 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... + 3f430: ee096164 665f6e65 745f636d 645f7269 ..adf_net_cmd_ri + 3f440: 6e675f69 6e666f5f 74000000 1e3a0961 ng_info_t....:.a + 3f450: 64665f6e 65745f63 6d645f64 6d615f69 df_net_cmd_dma_i + 3f460: 6e666f5f 74000000 1f1f0961 64665f6e nfo_t......adf_n + 3f470: 65745f63 6d645f76 69645f74 0000001c et_cmd_vid_t.... + 3f480: a4096164 665f6e65 745f636d 645f6f66 ..adf_net_cmd_of + 3f490: 666c6f61 645f6361 705f7400 0000205f fload_cap_t... _ + 3f4a0: 09616466 5f6e6574 5f636d64 5f737461 .adf_net_cmd_sta + 3f4b0: 74735f74 00000020 9b096164 665f6e65 ts_t... ..adf_ne + 3f4c0: 745f636d 645f6d63 61646472 5f740000 t_cmd_mcaddr_t.. + 3f4d0: 0021590d 6164665f 6e65745f 636d645f .!Y.adf_net_cmd_ + 3f4e0: 6d636173 745f6361 70000400 0022d20e mcast_cap....".. + 3f4f0: 4144465f 4e45545f 4d434153 545f5355 ADF_NET_MCAST_SU + 3f500: 5000000e 4144465f 4e45545f 4d434153 P...ADF_NET_MCAS + 3f510: 545f4e4f 54535550 00010009 6164665f T_NOTSUP....adf_ + 3f520: 6e65745f 636d645f 6d636173 745f6361 net_cmd_mcast_ca + 3f530: 705f7400 0000228a 18030400 0023a408 p_t..."......#.. + 3f540: 6c696e6b 5f696e66 6f000000 21900223 link_info...!..# + 3f550: 0008706f 6c6c5f69 6e666f00 000021ad ..poll_info...!. + 3f560: 02230008 636b7375 6d5f696e 666f0000 .#..cksum_info.. + 3f570: 0021ca02 23000872 696e675f 696e666f .!..#..ring_info + 3f580: 00000021 e8022300 08646d61 5f696e66 ...!..#..dma_inf + 3f590: 6f000000 22050223 00087669 64000000 o..."..#..vid... + 3f5a0: 22210223 00086f66 666c6f61 645f6361 "!.#..offload_ca + 3f5b0: 70000000 22380223 00087374 61747300 p..."8.#..stats. + 3f5c0: 00002257 02230008 6d636173 745f696e .."W.#..mcast_in + 3f5d0: 666f0000 00227002 2300086d 63617374 fo..."p.#..mcast + 3f5e0: 5f636170 00000022 d2022300 00140400 _cap..."..#..... + 3f5f0: 0023fb0e 4144465f 4e425546 5f52585f .#..ADF_NBUF_RX_ + 3f600: 434b5355 4d5f4e4f 4e450000 0e414446 CKSUM_NONE...ADF + 3f610: 5f4e4255 465f5258 5f434b53 554d5f48 _NBUF_RX_CKSUM_H + 3f620: 5700010e 4144465f 4e425546 5f52585f W...ADF_NBUF_RX_ + 3f630: 434b5355 4d5f554e 4e454345 53534152 CKSUM_UNNECESSAR + 3f640: 59000200 09616466 5f6e6275 665f7278 Y....adf_nbuf_rx + 3f650: 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... + 3f660: 23a41208 0000243b 08726573 756c7400 #.....$;.result. + 3f670: 000023fb 02230008 76616c00 00001615 ..#..#..val..... + 3f680: 02230400 12080000 246b0874 79706500 .#......$k.type. + 3f690: 00002047 02230008 6d737300 00001ca4 .. G.#..mss..... + 3f6a0: 02230408 6864725f 6f666600 0000167c .#..hdr_off....| + 3f6b0: 02230600 075f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 3f6c0: 71686561 64000c00 0024aa08 68656164 qhead....$..head + 3f6d0: 00000014 35022300 08746169 6c000000 ....5.#..tail... + 3f6e0: 14350223 0408716c 656e0000 00161502 .5.#..qlen...... + 3f6f0: 23080009 5f5f6164 665f6e62 75665f74 #...__adf_nbuf_t + 3f700: 00000014 35030000 168b0400 03000016 ....5........... + 3f710: 15040002 01060000 13170106 00001615 ................ + 3f720: 01060000 168b0106 0000168b 01030000 ................ + 3f730: 12f80400 095f5f61 64665f6e 6275665f .....__adf_nbuf_ + 3f740: 71686561 645f7400 0000246b 095f5f61 qhead_t...$k.__a + 3f750: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 3f760: 000024eb 03000025 03040006 000024aa ..$....%......$. + 3f770: 01060000 24aa0114 04000026 230e415f ....$......&#.A_ + 3f780: 53544154 55535f4f 4b00000e 415f5354 STATUS_OK...A_ST + 3f790: 41545553 5f464149 4c454400 010e415f ATUS_FAILED...A_ + 3f7a0: 53544154 55535f45 4e4f454e 5400020e STATUS_ENOENT... + 3f7b0: 415f5354 41545553 5f454e4f 4d454d00 A_STATUS_ENOMEM. + 3f7c0: 030e415f 53544154 55535f45 494e5641 ..A_STATUS_EINVA + 3f7d0: 4c00040e 415f5354 41545553 5f45494e L...A_STATUS_EIN + 3f7e0: 50524f47 52455353 00050e41 5f535441 PROGRESS...A_STA + 3f7f0: 5455535f 454e4f54 53555050 00060e41 TUS_ENOTSUPP...A + 3f800: 5f535441 5455535f 45425553 5900070e _STATUS_EBUSY... + 3f810: 415f5354 41545553 5f453242 49470008 A_STATUS_E2BIG.. + 3f820: 0e415f53 54415455 535f4541 4444524e .A_STATUS_EADDRN + 3f830: 4f544156 41494c00 090e415f 53544154 OTAVAIL...A_STAT + 3f840: 55535f45 4e58494f 000a0e41 5f535441 US_ENXIO...A_STA + 3f850: 5455535f 45464155 4c54000b 0e415f53 TUS_EFAULT...A_S + 3f860: 54415455 535f4549 4f000c00 09615f73 TATUS_EIO....a_s + 3f870: 74617475 735f7400 0000252e 06000026 tatus_t...%....& + 3f880: 23010600 00011001 02010961 64665f6e #..........adf_n + 3f890: 6275665f 74000000 24aa1404 00002688 buf_t...$.....&. + 3f8a0: 0e414446 5f4f535f 444d415f 544f5f44 .ADF_OS_DMA_TO_D + 3f8b0: 45564943 4500000e 4144465f 4f535f44 EVICE...ADF_OS_D + 3f8c0: 4d415f46 524f4d5f 44455649 43450001 MA_FROM_DEVICE.. + 3f8d0: 00096164 665f6f73 5f646d61 5f646972 ..adf_os_dma_dir + 3f8e0: 5f740000 00265106 00002623 01020109 _t...&Q...&#.... + 3f8f0: 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in + 3f900: 666f5f74 00000016 32030000 26a60400 fo_t....2...&... + 3f910: 02010201 06000026 41010600 0024aa01 .......&A....$.. + 3f920: 02010201 06000026 41010600 0024aa01 .......&A....$.. + 3f930: 06000026 41010600 0024aa01 06000026 ...&A....$.....& + 3f940: 41010201 02010600 00161501 06000016 A............... + 3f950: 8b010201 02010600 001b4201 0600001a ..........B..... + 3f960: 8f010600 001a8f01 09616466 5f6f735f .........adf_os_ + 3f970: 73676c69 73745f74 00000016 ca030000 sglist_t........ + 3f980: 271f0400 02010201 02010600 00168b01 '............... + 3f990: 09616466 5f6e6275 665f7175 6575655f .adf_nbuf_queue_ + 3f9a0: 74000000 25030300 00274704 00020103 t...%....'G..... + 3f9b0: 000024eb 04000201 02010201 06000026 ..$............& + 3f9c0: 41010600 0024aa01 06000016 15010600 A....$.......... + 3f9d0: 00161501 0600001a 8f010600 001a8f01 ................ + 3f9e0: 0600001f ab010600 00161501 09616466 .............adf + 3f9f0: 5f6e6275 665f7278 5f636b73 756d5f74 _nbuf_rx_cksum_t + 3fa00: 00000024 19030000 27a30400 02010201 ...$....'....... + 3fa10: 09616466 5f6e6275 665f7473 6f5f7400 .adf_nbuf_tso_t. + 3fa20: 0000243b 03000027 c7040002 01020109 ..$;...'........ + 3fa30: 6164665f 6e65745f 68616e64 6c655f74 adf_net_handle_t + 3fa40: 00000004 05096164 665f6e65 745f766c ......adf_net_vl + 3fa50: 616e6864 725f7400 00001db7 03000027 anhdr_t........' + 3fa60: fc040006 00002623 01060000 26230102 ......&#....&#.. + 3fa70: 01020107 5f484946 5f434f4e 46494700 ...._HIF_CONFIG. + 3fa80: 04000028 4b086475 6d6d7900 00000110 ...(K.dummy..... + 3fa90: 02230000 02010300 00284b04 00020103 .#.......(K..... + 3faa0: 00002854 0400075f 4849465f 43414c4c ..(T..._HIF_CALL + 3fab0: 4241434b 000c0000 28a90873 656e645f BACK....(..send_ + 3fac0: 6275665f 646f6e65 00000028 4d022300 buf_done...(M.#. + 3fad0: 08726563 765f6275 66000000 28560223 .recv_buf...(V.# + 3fae0: 0408636f 6e746578 74000000 04050223 ..context......# + 3faf0: 08000968 69665f68 616e646c 655f7400 ...hif_handle_t. + 3fb00: 00000405 09484946 5f434f4e 46494700 .....HIF_CONFIG. + 3fb10: 0000282a 03000028 bb040006 000028a9 ..(*...(......(. + 3fb20: 01030000 28d20400 02010300 0028df04 ....(........(.. + 3fb30: 00094849 465f4341 4c4c4241 434b0000 ..HIF_CALLBACK.. + 3fb40: 00285d03 000028e8 04000201 03000029 .(]...(........) + 3fb50: 01040006 00000110 01030000 290a0400 ............)... + 3fb60: 02010300 00291704 00060000 01100103 .....).......... + 3fb70: 00002920 04000201 03000029 2d040006 ..) .......)-... + 3fb80: 00000110 01030000 29360400 02010300 ........)6...... + 3fb90: 00294304 00076869 665f6170 69003800 .)C...hif_api.8. + 3fba0: 002a9c08 5f696e69 74000000 28d80223 .*.._init...(..# + 3fbb0: 00085f73 68757464 6f776e00 000028e1 .._shutdown...(. + 3fbc0: 02230408 5f726567 69737465 725f6361 .#.._register_ca + 3fbd0: 6c6c6261 636b0000 00290302 2308085f llback...)..#.._ + 3fbe0: 6765745f 746f7461 6c5f6372 65646974 get_total_credit + 3fbf0: 5f636f75 6e740000 00291002 230c085f _count...)..#.._ + 3fc00: 73746172 74000000 28e10223 10085f63 start...(..#.._c + 3fc10: 6f6e6669 675f7069 70650000 00291902 onfig_pipe...).. + 3fc20: 2314085f 73656e64 5f627566 66657200 #.._send_buffer. + 3fc30: 00002926 02231808 5f726574 75726e5f ..)&.#.._return_ + 3fc40: 72656376 5f627566 00000029 2f02231c recv_buf...)/.#. + 3fc50: 085f6973 5f706970 655f7375 70706f72 ._is_pipe_suppor + 3fc60: 74656400 0000293c 02232008 5f676574 ted...)<.# ._get + 3fc70: 5f6d6178 5f6d7367 5f6c656e 00000029 _max_msg_len...) + 3fc80: 3c022324 085f6765 745f7265 73657276 <.#$._get_reserv + 3fc90: 65645f68 65616472 6f6f6d00 00002910 ed_headroom...). + 3fca0: 02232808 5f697372 5f68616e 646c6572 .#(._isr_handler + 3fcb0: 00000028 e102232c 085f6765 745f6465 ...(..#,._get_de + 3fcc0: 6661756c 745f7069 70650000 00294502 fault_pipe...)E. + 3fcd0: 23300870 52657365 72766564 00000004 #0.pReserved.... + 3fce0: 05022334 000d646d 615f656e 67696e65 ..#4..dma_engine + 3fcf0: 00040000 2b250e44 4d415f45 4e47494e ....+%.DMA_ENGIN + 3fd00: 455f5258 3000000e 444d415f 454e4749 E_RX0...DMA_ENGI + 3fd10: 4e455f52 58310001 0e444d41 5f454e47 NE_RX1...DMA_ENG + 3fd20: 494e455f 52583200 020e444d 415f454e INE_RX2...DMA_EN + 3fd30: 47494e45 5f525833 00030e44 4d415f45 GINE_RX3...DMA_E + 3fd40: 4e47494e 455f5458 3000040e 444d415f NGINE_TX0...DMA_ + 3fd50: 454e4749 4e455f54 58310005 0e444d41 ENGINE_TX1...DMA + 3fd60: 5f454e47 494e455f 4d415800 06000964 _ENGINE_MAX....d + 3fd70: 6d615f65 6e67696e 655f7400 00002a9c ma_engine_t...*. + 3fd80: 0d646d61 5f696674 79706500 0400002b .dma_iftype....+ + 3fd90: 720e444d 415f4946 5f474d41 4300000e r.DMA_IF_GMAC... + 3fda0: 444d415f 49465f50 43490001 0e444d41 DMA_IF_PCI...DMA + 3fdb0: 5f49465f 50434945 00020009 646d615f _IF_PCIE....dma_ + 3fdc0: 69667479 70655f74 0000002b 37060000 iftype_t...+7... + 3fdd0: 12ea0103 00002b84 04000201 0300002b ......+........+ + 3fde0: 91040002 01030000 2b9a0400 06000009 ........+....... + 3fdf0: 1c010300 002ba304 00060000 12ea0103 .....+.......... + 3fe00: 00002bb0 04000600 0012ea01 0300002b ..+............+ + 3fe10: bd040006 00001435 01030000 2bca0400 .......5....+... + 3fe20: 02010300 002bd704 0007646d 615f6c69 .....+....dma_li + 3fe30: 625f6170 69003400 002cde08 74785f69 b_api.4..,..tx_i + 3fe40: 6e697400 00002b8a 02230008 74785f73 nit...+..#..tx_s + 3fe50: 74617274 0000002b 93022304 0872785f tart...+..#..rx_ + 3fe60: 696e6974 0000002b 8a022308 0872785f init...+..#..rx_ + 3fe70: 636f6e66 69670000 002b9c02 230c0872 config...+..#..r + 3fe80: 785f7374 61727400 00002b93 02231008 x_start...+..#.. + 3fe90: 696e7472 5f737461 74757300 00002ba9 intr_status...+. + 3fea0: 02231408 68617264 5f786d69 74000000 .#..hard_xmit... + 3feb0: 2bb60223 1808666c 7573685f 786d6974 +..#..flush_xmit + 3fec0: 0000002b 9302231c 08786d69 745f646f ...+..#..xmit_do + 3fed0: 6e650000 002bc302 23200872 6561705f ne...+..# .reap_ + 3fee0: 786d6974 74656400 00002bd0 02232408 xmitted...+..#$. + 3fef0: 72656170 5f726563 76000000 2bd00223 reap_recv...+..# + 3ff00: 28087265 7475726e 5f726563 76000000 (.return_recv... + 3ff10: 2bd90223 2c087265 63765f70 6b740000 +..#,.recv_pkt.. + 3ff20: 002bc302 23300007 5f5f7063 695f736f .+..#0..__pci_so + 3ff30: 66746300 0c00002c fc087377 00000028 ftc....,..sw...( + 3ff40: e8022300 00095f5f 7063695f 736f6674 ..#...__pci_soft + 3ff50: 635f7400 00002cde 0300002c fc040002 c_t...,....,.... + 3ff60: 01030000 2d160400 06000012 d6010300 ....-........... + 3ff70: 002d1f04 000d6869 665f7063 695f7069 .-....hif_pci_pi + 3ff80: 70655f74 78000400 002d7f0e 4849465f pe_tx....-..HIF_ + 3ff90: 5043495f 50495045 5f545830 00000e48 PCI_PIPE_TX0...H + 3ffa0: 49465f50 43495f50 4950455f 54583100 IF_PCI_PIPE_TX1. + 3ffb0: 010e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 3ffc0: 585f4d41 58000200 09686966 5f706369 X_MAX....hif_pci + 3ffd0: 5f706970 655f7478 5f740000 002d2c06 _pipe_tx_t...-,. + 3ffe0: 00002b25 01030000 2d960400 0d686966 ..+%....-....hif + 3fff0: 5f706369 5f706970 655f7278 00040000 _pci_pipe_rx.... + 40000: 2e1c0e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 40010: 52583000 000e4849 465f5043 495f5049 RX0...HIF_PCI_PI + 40020: 50455f52 58310001 0e484946 5f504349 PE_RX1...HIF_PCI + 40030: 5f504950 455f5258 3200020e 4849465f _PIPE_RX2...HIF_ + 40040: 5043495f 50495045 5f525833 00030e48 PCI_PIPE_RX3...H + 40050: 49465f50 43495f50 4950455f 52585f4d IF_PCI_PIPE_RX_M + 40060: 41580004 00096869 665f7063 695f7069 AX....hif_pci_pi + 40070: 70655f72 785f7400 00002da3 0600002b pe_rx_t...-....+ + 40080: 25010300 002e3304 00076869 665f7063 %.....3...hif_pc + 40090: 695f6170 69002400 002f1108 7063695f i_api.$../..pci_ + 400a0: 626f6f74 5f696e69 74000000 01090223 boot_init......# + 400b0: 00087063 695f696e 69740000 0028d802 ..pci_init...(.. + 400c0: 23040870 63695f72 65736574 00000001 #..pci_reset.... + 400d0: 09022308 08706369 5f656e61 626c6500 ..#..pci_enable. + 400e0: 00000109 02230c08 7063695f 72656170 .....#..pci_reap + 400f0: 5f786d69 74746564 0000002d 18022310 _xmitted...-..#. + 40100: 08706369 5f726561 705f7265 63760000 .pci_reap_recv.. + 40110: 002d1802 23140870 63695f67 65745f70 .-..#..pci_get_p + 40120: 69706500 00002d25 02231808 7063695f ipe...-%.#..pci_ + 40130: 6765745f 74785f65 6e670000 002d9c02 get_tx_eng...-.. + 40140: 231c0870 63695f67 65745f72 785f656e #..pci_get_rx_en + 40150: 67000000 2e390223 20000767 6d61635f g....9.# ..gmac_ + 40160: 61706900 0400002f 3808676d 61635f62 api..../8.gmac_b + 40170: 6f6f745f 696e6974 00000001 09022300 oot_init......#. + 40180: 000f0000 031d0600 002f4510 0500075f ........./E...._ + 40190: 5f657468 68647200 0e00002f 7b086473 _ethhdr..../{.ds + 401a0: 74000000 2f380223 00087372 63000000 t.../8.#..src... + 401b0: 2f380223 06086574 79706500 000012ea /8.#..etype..... + 401c0: 02230c00 075f5f61 74686864 72000400 .#...__athhdr... + 401d0: 002fc915 72657300 000012d6 01000202 ./..res......... + 401e0: 23001570 726f746f 00000012 d6010206 #..proto........ + 401f0: 02230008 7265735f 6c6f0000 0012d602 .#..res_lo...... + 40200: 23010872 65735f68 69000000 12ea0223 #..res_hi......# + 40210: 0200075f 5f676d61 635f6864 72001400 ...__gmac_hdr... + 40220: 00300508 65746800 00002f45 02230008 .0..eth.../E.#.. + 40230: 61746800 00002f7b 02230e08 616c6967 ath.../{.#..alig + 40240: 6e5f7061 64000000 12ea0223 1200095f n_pad......#..._ + 40250: 5f676d61 635f6864 725f7400 00002fc9 _gmac_hdr_t.../. + 40260: 075f5f67 6d61635f 736f6674 63002400 .__gmac_softc.$. + 40270: 00304f08 68647200 00003005 02230008 .0O.hdr...0..#.. + 40280: 6772616e 00000012 ea022314 08737700 gran......#..sw. + 40290: 000028e8 02231800 075f415f 6f735f6c ..(..#..._A_os_l + 402a0: 696e6b61 67655f63 6865636b 00080000 inkage_check.... + 402b0: 30880876 65727369 6f6e0000 00011002 0..version...... + 402c0: 23000874 61626c65 00000001 10022304 #..table......#. + 402d0: 00030000 304f0400 06000001 10010300 ....0O.......... + 402e0: 00308f04 00030000 04080400 175f415f .0..........._A_ + 402f0: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 40300: 6e5f7461 626c6500 01b80000 31df0868 n_table.....1..h + 40310: 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check + 40320: 00000030 95022300 08737461 72745f62 ...0..#..start_b + 40330: 73730000 00309c02 23040861 70705f73 ss...0..#..app_s + 40340: 74617274 00000001 09022308 086d656d tart......#..mem + 40350: 00000004 4802230c 086d6973 63000000 ....H.#..misc... + 40360: 05670223 20087072 696e7466 00000001 .g.# .printf.... + 40370: 3d022344 08756172 74000000 02060223 =.#D.uart......# + 40380: 4c08676d 61630000 002f1102 236c0875 L.gmac.../..#l.u + 40390: 73620000 000f9602 23700863 6c6f636b sb......#p.clock + 403a0: 0000000a df0323e0 01087469 6d657200 ......#...timer. + 403b0: 0000077f 03238402 08696e74 72000000 .....#...intr... + 403c0: 0c2f0323 98020861 6c6c6f63 72616d00 ./.#...allocram. + 403d0: 00000937 0323c402 08726f6d 70000000 ...7.#...romp... + 403e0: 08290323 d0020877 64745f74 696d6572 .).#...wdt_timer + 403f0: 0000000e 0c0323e0 02086565 70000000 ......#...eep... + 40400: 0f3a0323 fc020873 7472696e 67000000 .:.#...string... + 40410: 068b0323 8c030874 61736b6c 65740000 ...#...tasklet.. + 40420: 000a3403 23a40300 075f5553 425f4649 ..4.#...._USB_FI + 40430: 464f5f43 4f4e4649 47001000 00325208 FO_CONFIG....2R. + 40440: 6765745f 636f6d6d 616e645f 62756600 get_command_buf. + 40450: 00001442 02230008 72656376 5f636f6d ...B.#..recv_com + 40460: 6d616e64 00000014 58022304 08676574 mand....X.#..get + 40470: 5f657665 6e745f62 75660000 00144202 _event_buf....B. + 40480: 23080873 656e645f 6576656e 745f646f #..send_event_do + 40490: 6e650000 00145802 230c0009 5553425f ne....X.#...USB_ + 404a0: 4649464f 5f434f4e 46494700 000031df FIFO_CONFIG...1. + 404b0: 03000032 52040002 01030000 326e0400 ...2R.......2n.. + 404c0: 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... + 404d0: 32c4085f 696e6974 00000032 70022300 2.._init...2p.#. + 404e0: 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i + 404f0: 73720000 00010902 23040870 52657365 sr......#..pRese + 40500: 72766564 00000004 05022308 000f0000 rved......#..... + 40510: 167c0200 0032d110 0100075f 4854435f .|...2....._HTC_ + 40520: 4652414d 455f4844 52000800 00334308 FRAME_HDR....3C. + 40530: 456e6470 6f696e74 49440000 00167c02 EndpointID....|. + 40540: 23000846 6c616773 00000016 7c022301 #..Flags....|.#. + 40550: 08506179 6c6f6164 4c656e00 00001ca4 .PayloadLen..... + 40560: 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes + 40570: 00000032 c4022304 08486f73 74536571 ...2..#..HostSeq + 40580: 4e756d00 00001ca4 02230600 12020000 Num......#...... + 40590: 335c084d 65737361 67654944 0000001c 3\.MessageID.... + 405a0: a4022300 00120800 0033bf08 4d657373 ..#......3..Mess + 405b0: 61676549 44000000 1ca40223 00084372 ageID......#..Cr + 405c0: 65646974 436f756e 74000000 1ca40223 editCount......# + 405d0: 02084372 65646974 53697a65 0000001c ..CreditSize.... + 405e0: a4022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint + 405f0: 73000000 167c0223 06085f50 61643100 s....|.#.._Pad1. + 40600: 0000167c 02230700 120a0000 3456084d ...|.#......4V.M + 40610: 65737361 67654944 0000001c a4022300 essageID......#. + 40620: 08536572 76696365 49440000 001ca402 .ServiceID...... + 40630: 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla + 40640: 67730000 001ca402 23040844 6f776e4c gs......#..DownL + 40650: 696e6b50 69706549 44000000 167c0223 inkPipeID....|.# + 40660: 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. + 40670: 00167c02 23070853 65727669 63654d65 ..|.#..ServiceMe + 40680: 74614c65 6e677468 00000016 7c022308 taLength....|.#. + 40690: 085f5061 64310000 00167c02 23090012 ._Pad1....|.#... + 406a0: 0a000034 de084d65 73736167 65494400 ...4..MessageID. + 406b0: 00001ca4 02230008 53657276 69636549 .....#..ServiceI + 406c0: 44000000 1ca40223 02085374 61747573 D......#..Status + 406d0: 00000016 7c022304 08456e64 706f696e ....|.#..Endpoin + 406e0: 74494400 0000167c 02230508 4d61784d tID....|.#..MaxM + 406f0: 73675369 7a650000 001ca402 23060853 sgSize......#..S + 40700: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 40710: 00000016 7c022308 085f5061 64310000 ....|.#.._Pad1.. + 40720: 00167c02 23090012 02000034 f7084d65 ..|.#......4..Me + 40730: 73736167 65494400 00001ca4 02230000 ssageID......#.. + 40740: 12040000 3533084d 65737361 67654944 ....53.MessageID + 40750: 0000001c a4022300 08506970 65494400 ......#..PipeID. + 40760: 0000167c 02230208 43726564 6974436f ...|.#..CreditCo + 40770: 756e7400 0000167c 02230300 12040000 unt....|.#...... + 40780: 356a084d 65737361 67654944 0000001c 5j.MessageID.... + 40790: a4022300 08506970 65494400 0000167c ..#..PipeID....| + 407a0: 02230208 53746174 75730000 00167c02 .#..Status....|. + 407b0: 23030012 02000035 91085265 636f7264 #......5..Record + 407c0: 49440000 00167c02 2300084c 656e6774 ID....|.#..Lengt + 407d0: 68000000 167c0223 01001202 000035bb h....|.#......5. + 407e0: 08456e64 706f696e 74494400 0000167c .EndpointID....| + 407f0: 02230008 43726564 69747300 0000167c .#..Credits....| + 40800: 02230100 12040000 35fc0845 6e64706f .#......5..Endpo + 40810: 696e7449 44000000 167c0223 00084372 intID....|.#..Cr + 40820: 65646974 73000000 167c0223 01085467 edits....|.#..Tg + 40830: 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... + 40840: a4022302 000f0000 167c0400 00360910 ..#......|...6.. + 40850: 03001206 00003645 08507265 56616c69 ......6E.PreVali + 40860: 64000000 167c0223 00084c6f 6f6b4168 d....|.#..LookAh + 40870: 65616400 000035fc 02230108 506f7374 ead...5..#..Post + 40880: 56616c69 64000000 167c0223 05000970 Valid....|.#...p + 40890: 6f6f6c5f 68616e64 6c655f74 00000004 ool_handle_t.... + 408a0: 05060000 36450103 00003658 04000201 ....6E....6X.... + 408b0: 03000036 65040014 04000036 e30e504f ...6e......6..PO + 408c0: 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO + 408d0: 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ + 408e0: 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... + 408f0: 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 40900: 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ + 40910: 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO + 40920: 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF + 40930: 5f504f4f 4c5f4944 00000036 6e020103 _POOL_ID...6n... + 40940: 000036f4 04000600 00264101 03000036 ..6......&A....6 + 40950: fd040006 00002641 01030000 370a0400 ......&A....7... + 40960: 02010300 00371704 00076275 665f706f .....7....buf_po + 40970: 6f6c5f61 7069001c 000037b9 085f696e ol_api....7.._in + 40980: 69740000 00365e02 2300085f 73687574 it...6^.#.._shut + 40990: 646f776e 00000036 67022304 085f6372 down...6g.#.._cr + 409a0: 65617465 5f706f6f 6c000000 36f60223 eate_pool...6..# + 409b0: 08085f61 6c6c6f63 5f627566 00000037 .._alloc_buf...7 + 409c0: 0302230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ + 409d0: 616c6967 6e000000 37100223 10085f66 align...7..#.._f + 409e0: 7265655f 62756600 00003719 02231408 ree_buf...7..#.. + 409f0: 70526573 65727665 64000000 04050223 pReserved......# + 40a00: 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. + 40a10: 1c000038 9808704e 65787400 00003898 ...8..pNext...8. + 40a20: 02230008 50726f63 65737352 6563764d .#..ProcessRecvM + 40a30: 73670000 00394d02 23040850 726f6365 sg...9M.#..Proce + 40a40: 73735365 6e644275 66666572 436f6d70 ssSendBufferComp + 40a50: 6c657465 00000039 56022308 0850726f lete...9V.#..Pro + 40a60: 63657373 436f6e6e 65637400 0000396a cessConnect...9j + 40a70: 02230c08 53657276 69636549 44000000 .#..ServiceID... + 40a80: 12ea0223 10085365 72766963 65466c61 ...#..ServiceFla + 40a90: 67730000 0012ea02 2312084d 61785376 gs......#..MaxSv + 40aa0: 634d7367 53697a65 00000012 ea022314 cMsgSize......#. + 40ab0: 08547261 696c6572 53706343 6865636b .TrailerSpcCheck + 40ac0: 4c696d69 74000000 12ea0223 16085365 Limit......#..Se + 40ad0: 72766963 65437478 00000004 05022318 rviceCtx......#. + 40ae0: 00030000 37b90400 14040000 39361945 ....7.......96.E + 40af0: 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. + 40b00: ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... + 40b10: 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP + 40b20: 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT + 40b30: 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... + 40b40: 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP + 40b50: 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT + 40b60: 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... + 40b70: 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... + 40b80: 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. + 40b90: 0000389f 02010300 00394b04 00020103 ..8......9K..... + 40ba0: 00003954 04000300 00011004 00060000 ..9T............ + 40bb0: 12d60103 00003964 04000300 0037b904 ......9d.....7.. + 40bc0: 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... + 40bd0: 0039e908 43726564 69745369 7a650000 .9..CreditSize.. + 40be0: 00011002 23000843 72656469 744e756d ....#..CreditNum + 40bf0: 62657200 00000110 02230408 4f534861 ber......#..OSHa + 40c00: 6e646c65 0000001a 40022308 08484946 ndle....@.#..HIF + 40c10: 48616e64 6c650000 0028a902 230c0850 Handle...(..#..P + 40c20: 6f6f6c48 616e646c 65000000 36450223 oolHandle...6E.# + 40c30: 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT + 40c40: 45585400 0200003a 2508656e 645f706f EXT....:%.end_po + 40c50: 696e7400 000012d6 02230008 6874635f int......#..htc_ + 40c60: 666c6167 73000000 12d60223 01000968 flags......#...h + 40c70: 74635f68 616e646c 655f7400 00000405 tc_handle_t..... + 40c80: 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL + 40c90: 4554455f 43420000 00010909 4854435f ETE_CB......HTC_ + 40ca0: 434f4e46 49470000 00397803 00003a52 CONFIG...9x...:R + 40cb0: 04000600 003a2501 0300003a 69040002 .....:%....:i... + 40cc0: 01030000 3a760400 09485443 5f534552 ....:v...HTC_SER + 40cd0: 56494345 00000037 b9030000 3a7f0400 VICE...7....:... + 40ce0: 02010300 003a9704 00020103 00003aa0 .....:........:. + 40cf0: 04000201 0300003a a9040006 00000110 .......:........ + 40d00: 01030000 3ab20400 07687463 5f617069 ....:....htc_api + 40d10: 73003400 003c2f08 5f485443 5f496e69 s.4.... + 41020: 7475706c 654e756d 4c000000 1ca40223 tupleNumL......# + 41030: 00087475 706c654e 756d4800 00001ca4 ..tupleNumH..... + 41040: 02230208 61767400 00003dc3 02230400 .#..avt...=..#.. + 41050: 12010000 3e290862 6561636f 6e50656e ....>).beaconPen + 41060: 64696e67 436f756e 74000000 167c0223 dingCount....|.# + 41070: 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF + 41080: 49470010 00003e92 08487463 48616e64 IG....>..HtcHand + 41090: 6c650000 003a2502 23000850 6f6f6c48 le...:%.#..PoolH + 410a0: 616e646c 65000000 36450223 04084d61 andle...6E.#..Ma + 410b0: 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... + 410c0: 01100223 08084d61 78457665 6e744576 ...#..MaxEventEv + 410d0: 74730000 00011002 230c0002 01030000 ts......#....... + 410e0: 3e920400 09574d49 5f434d44 5f48414e >....WMI_CMD_HAN + 410f0: 444c4552 0000003e 94075f57 4d495f44 DLER...>.._WMI_D + 41100: 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... + 41110: 003efb08 70436d64 48616e64 6c657200 .>..pCmdHandler. + 41120: 00003e9b 02230008 436d6449 44000000 ..>..#..CmdID... + 41130: 12ea0223 0408466c 61677300 000012ea ...#..Flags..... + 41140: 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT + 41150: 43485f54 41424c45 00100000 3f5c0870 CH_TABLE....?\.p + 41160: 4e657874 0000003f 5c022300 0870436f Next...?\.#..pCo + 41170: 6e746578 74000000 04050223 04084e75 ntext......#..Nu + 41180: 6d626572 4f66456e 74726965 73000000 mberOfEntries... + 41190: 01100223 08087054 61626c65 0000003f ...#..pTable...? + 411a0: 7b02230c 00030000 3efb0400 09574d49 {.#.....>....WMI + 411b0: 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 411c0: 00003eb0 0300003f 63040003 00003efb ..>....?c.....>. + 411d0: 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE + 411e0: 58540000 0039e90d 574d495f 4556545f XT...9..WMI_EVT_ + 411f0: 434c4153 53000400 00401319 574d495f CLASS....@..WMI_ + 41200: 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. + 41210: ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 41220: 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM + 41230: 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 41240: 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ + 41250: 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI + 41260: 5f455654 5f434c41 53530000 003f9e07 _EVT_CLASS...?.. + 41270: 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT + 41280: 000c0000 40710848 74634275 66437478 ....@q.HtcBufCtx + 41290: 0000003f 89022300 08457665 6e74436c ...?..#..EventCl + 412a0: 61737300 00004013 02230408 466c6167 ass...@..#..Flag + 412b0: 73000000 12ea0223 08000977 6d695f68 s......#...wmi_h + 412c0: 616e646c 655f7400 00000405 09574d49 andle_t......WMI + 412d0: 5f535643 5f434f4e 46494700 00003e29 _SVC_CONFIG...>) + 412e0: 03000040 83040006 00004071 01030000 ...@......@q.... + 412f0: 409e0400 09574d49 5f444953 50415443 @....WMI_DISPATC + 41300: 485f5441 424c4500 00003efb 03000040 H_TABLE...>....@ + 41310: ab040002 01030000 40ca0400 06000026 ........@......& + 41320: 41010300 0040d304 00020103 000040e0 A....@........@. + 41330: 04000600 00011001 03000040 e9040002 ...........@.... + 41340: 01030000 40f60400 06000012 d6010300 ....@........... + 41350: 0040ff04 00075f77 6d695f73 76635f61 .@...._wmi_svc_a + 41360: 70697300 2c000042 47085f57 4d495f49 pis.,..BG._WMI_I + 41370: 6e697400 000040a4 02230008 5f574d49 nit...@..#.._WMI + 41380: 5f526567 69737465 72446973 70617463 _RegisterDispatc + 41390: 68546162 6c650000 0040cc02 2304085f hTable...@..#.._ + 413a0: 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. + 413b0: 0040d902 2308085f 574d495f 53656e64 .@..#.._WMI_Send + 413c0: 4576656e 74000000 40e20223 0c085f57 Event...@..#.._W + 413d0: 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve + 413e0: 6e747343 6f756e74 00000040 ef022310 ntsCount...@..#. + 413f0: 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple + 41400: 74654861 6e646c65 72000000 39560223 teHandler...9V.# + 41410: 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro + 41420: 6c457000 000040ef 02231808 5f574d49 lEp...@..#.._WMI + 41430: 5f536875 74646f77 6e000000 40f80223 _Shutdown...@..# + 41440: 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa + 41450: 67654861 6e646c65 72000000 394d0223 geHandler...9M.# + 41460: 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo + 41470: 6e6e6563 74000000 41050223 24087052 nnect...A..#$.pR + 41480: 65736572 76656400 00000405 02232800 eserved......#(. + 41490: 077a7344 6d614465 73630014 000042c9 .zsDmaDesc....B. + 414a0: 08637472 6c000000 01740223 00087374 .ctrl....t.#..st + 414b0: 61747573 00000001 74022302 08746f74 atus....t.#..tot + 414c0: 616c4c65 6e000000 01740223 04086461 alLen....t.#..da + 414d0: 74615369 7a650000 00017402 2306086c taSize....t.#..l + 414e0: 61737441 64647200 000042c9 02230808 astAddr...B..#.. + 414f0: 64617461 41646472 00000001 9802230c dataAddr......#. + 41500: 086e6578 74416464 72000000 42c90223 .nextAddr...B..# + 41510: 10000300 00424704 00030000 42470400 .....BG.....BG.. + 41520: 077a7344 6d615175 65756500 08000043 .zsDmaQueue....C + 41530: 09086865 61640000 0042d002 23000874 ..head...B..#..t + 41540: 65726d69 6e61746f 72000000 42d00223 erminator...B..# + 41550: 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. + 41560: 10000043 6d086865 61640000 0042d002 ...Cm.head...B.. + 41570: 23000874 65726d69 6e61746f 72000000 #..terminator... + 41580: 42d00223 0408786d 69746564 5f627566 B..#..xmited_buf + 41590: 5f686561 64000000 14350223 0808786d _head....5.#..xm + 415a0: 69746564 5f627566 5f746169 6c000000 ited_buf_tail... + 415b0: 14350223 0c000201 03000043 6d040003 .5.#.......Cm... + 415c0: 000042d7 04000201 03000043 7d040003 ..B........C}... + 415d0: 00004309 04000201 03000043 8d040002 ..C........C.... + 415e0: 01030000 43960400 02010300 00439f04 ....C........C.. + 415f0: 00060000 14350103 000043a8 04000201 .....5....C..... + 41600: 03000043 b5040006 00001435 01030000 ...C.......5.... + 41610: 43be0400 02010300 0043cb04 00060000 C........C...... + 41620: 01100103 000043d4 04000600 0042d001 ......C......B.. + 41630: 03000043 e1040002 01030000 43ee0400 ...C........C... + 41640: 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. + 41650: 40000045 64085f69 6e697400 0000436f @..Ed._init...Co + 41660: 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que + 41670: 75650000 00437f02 2304085f 696e6974 ue...C..#.._init + 41680: 5f74785f 71756575 65000000 438f0223 _tx_queue...C..# + 41690: 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que + 416a0: 75650000 00439802 230c085f 786d6974 ue...C..#.._xmit + 416b0: 5f627566 00000043 a1022310 085f666c _buf...C..#.._fl + 416c0: 7573685f 786d6974 00000043 7f022314 ush_xmit...C..#. + 416d0: 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. + 416e0: 000043ae 02231808 5f726574 75726e5f ..C..#.._return_ + 416f0: 72656376 5f627566 00000043 b702231c recv_buf...C..#. + 41700: 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu + 41710: 66000000 43c40223 20085f73 7761705f f...C..# ._swap_ + 41720: 64617461 00000043 cd022324 085f6861 data...C..#$._ha + 41730: 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. + 41740: 000043da 02232808 5f646573 635f6475 ..C..#(._desc_du + 41750: 6d700000 00437f02 232c085f 6765745f mp...C..#,._get_ + 41760: 7061636b 65740000 0043e702 2330085f packet...C..#0._ + 41770: 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. + 41780: 0043f002 2334085f 7075745f 7061636b .C..#4._put_pack + 41790: 65740000 0043f002 23380870 52657365 et...C..#8.pRese + 417a0: 72766564 00000004 0502233c 00095f41 rved......#<.._A + 417b0: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 417c0: 6f6e5f74 61626c65 5f740000 0030a309 on_table_t...0.. + 417d0: 574d495f 5356435f 41504953 00000041 WMI_SVC_APIS...A + 417e0: 0c175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 417f0: 72656374 696f6e5f 7461626c 6500034c rection_table..L + 41800: 00004692 08636d6e 6f730000 00456402 ..F..cmnos...Ed. + 41810: 23000864 62670000 0003d203 23b80308 #..dbg......#... + 41820: 68696600 0000294c 0323c003 08687463 hif...)L.#...htc + 41830: 0000003a bf0323f8 0308776d 695f7376 ...:..#...wmi_sv + 41840: 635f6170 69000000 45860323 ac040875 c_api...E..#...u + 41850: 73626669 666f5f61 70690000 00327703 sbfifo_api...2w. + 41860: 23d80408 6275665f 706f6f6c 00000037 #...buf_pool...7 + 41870: 200323e4 04087662 75660000 00145f03 .#...vbuf...._. + 41880: 23800508 76646573 63000000 13410323 #...vdesc....A.# + 41890: 94050861 6c6c6f63 72616d00 00000937 ...allocram....7 + 418a0: 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. + 418b0: 000043f7 0323b405 08646d61 5f6c6962 ..C..#...dma_lib + 418c0: 0000002b e00323f4 05086869 665f7063 ...+..#...hif_pc + 418d0: 69000000 2e400323 a8060009 5f415f6d i....@.#...._A_m + 418e0: 61677069 655f696e 64697265 6374696f agpie_indirectio + 418f0: 6e5f7461 626c655f 74000000 45980300 n_table_t...E... + 41900: 0001ad04 001a7561 72745f63 746c5f62 ......uart_ctl_b + 41910: 6c6b0000 0002c605 0300500a 44060000 lk........P.D... + 41920: 01ad0102 01030000 46d40400 1b01195f ........F......_ + 41930: 75617274 5f696e69 74000000 01ad0101 uart_init....... + 41940: 03920120 02900000 8e2d9000 8e2dce00 ... .....-...-.. + 41950: 0047151c 5f6c6372 00000001 ad001d01 .G.._lcr........ + 41960: 405f7561 72745f63 6861725f 7075745f @_uart_char_put_ + 41970: 6e6f7468 696e6700 01010392 01200290 nothing...... .. + 41980: 00008e2d d0008e2d d5000047 511e0140 ...-...-...GQ..@ + 41990: 63680000 00032e01 52001b01 4a5f7561 ch......R...J_ua + 419a0: 72745f63 6861725f 6765745f 6e6f7468 rt_char_get_noth + 419b0: 696e6700 0000018a 01010392 01200290 ing.......... .. + 419c0: 00008e2d d8008e2d df000047 911e014a ...-...-...G...J + 419d0: 63680000 00034401 52001d01 545f7561 ch....D.R...T_ua + 419e0: 72745f63 6861725f 70757400 01010392 rt_char_put..... + 419f0: 01200290 00008e2d e0008e2e 0c000047 . .....-.......G + 41a00: d51e0154 63680000 00032e01 521c6900 ...Tch......R.i. + 41a10: 00000110 1c6c7372 00000001 ad001d01 .....lsr........ + 41a20: 8e5f7561 72745f63 6861725f 7075745f ._uart_char_put_ + 41a30: 6e6f7761 69740001 01039201 20029000 nowait...... ... + 41a40: 008e2e0c 008e2e38 00004820 1e018e63 .......8..H ...c + 41a50: 68000000 032e0152 1c690000 0001101c h......R.i...... + 41a60: 6c737200 000001ad 001b01b3 5f756172 lsr........._uar + 41a70: 745f6368 61725f67 65740000 00018a01 t_char_get...... + 41a80: 01039201 20029000 008e2e38 008e2e58 .... ......8...X + 41a90: 00004858 1e01b363 68000000 03440152 ..HX...ch....D.R + 41aa0: 001f01c7 5f756172 745f7461 736b0001 ...._uart_task.. + 41ab0: 01039201 20029000 008e2e58 008e2e5d .... ......X...] + 41ac0: 2001e55f 75617274 5f737461 74757300 .._uart_status. + 41ad0: 000001ad 01039201 20029000 008e2e60 ........ ......` + 41ae0: 008e2e6a 1d01ee5f 75617274 5f737472 ...j..._uart_str + 41af0: 5f6f7574 00010103 92012002 9000008e _out...... ..... + 41b00: 2e6c008e 2e890000 48d61e01 ee737472 .l......H....str + 41b10: 00000003 4401521c 69000000 01ad0021 ....D.R.i......! + 41b20: 0101005f 75617274 5f636f6e 66696700 ..._uart_config. + 41b30: 01010392 01200290 00008e2e 8c008e2e ..... .......... + 41b40: b3000049 0c220101 00666c61 67000000 ...I."...flag... + 41b50: 018a0152 00230101 135f7561 72745f68 ...R.#..._uart_h + 41b60: 77696e69 74000103 92012002 9000008e winit..... ..... + 41b70: 2eb4008e 2ef40000 496c2201 01136672 ........Il"...fr + 41b80: 65710000 0001ad01 52220101 13626175 eq......R"...bau + 41b90: 64000000 01ad0153 1c626175 645f6469 d......S.baud_di + 41ba0: 7669736f 72000000 01ad1c5f 6c637200 visor......_lcr. + 41bb0: 000001ad 00240101 28636d6e 6f735f75 .....$..(cmnos_u + 41bc0: 6172745f 6d6f6475 6c655f69 6e737461 art_module_insta + 41bd0: 6c6c0001 01039201 20029000 008e2ef4 ll...... ....... + 41be0: 008e2f2b 22010128 61706973 00000002 ../+"..(apis.... + 41bf0: bf015200 00000000 4de80002 000017d9 ..R.....M....... + 41c00: 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 41c10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 41c20: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 41c30: 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 41c40: 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 41c50: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 41c60: 6869662f 7063692f 2f686966 5f706369 hif/pci//hif_pci + 41c70: 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa + 41c80: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 41c90: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 41ca0: 312f726f 6d2f6869 662f7063 69007874 1/rom/hif/pci.xt + 41cb0: 2d786363 20666f72 20372e31 2e30202d -xcc for 7.1.0 - + 41cc0: 4f50543a 616c6967 6e5f696e 73747275 OPT:align_instru + 41cd0: 6374696f 6e733d33 32202d4f 32202d67 ctions=32 -O2 -g + 41ce0: 33202d4f 50543a73 70616365 00010000 3 -OPT:space.... + 41cf0: 00e26e02 5f564445 53430024 00000189 ..n._VDESC.$.... + 41d00: 036e6578 745f6465 73630000 00018902 .next_desc...... + 41d10: 23000362 75665f61 64647200 000001ae #..buf_addr..... + 41d20: 02230403 6275665f 73697a65 00000001 .#..buf_size.... + 41d30: cb022308 03646174 615f6f66 66736574 ..#..data_offset + 41d40: 00000001 cb02230a 03646174 615f7369 ......#..data_si + 41d50: 7a650000 0001cb02 230c0363 6f6e7472 ze......#..contr + 41d60: 6f6c0000 0001cb02 230e0368 775f6465 ol......#..hw_de + 41d70: 73635f62 75660000 0001d902 23100004 sc_buf......#... + 41d80: 000000fd 04000575 6e736967 6e656420 .......unsigned + 41d90: 63686172 00070106 415f5549 4e543800 char....A_UINT8. + 41da0: 00000190 04000001 a1040005 73686f72 ............shor + 41db0: 7420756e 7369676e 65642069 6e740007 t unsigned int.. + 41dc0: 0206415f 55494e54 31360000 0001b507 ..A_UINT16...... + 41dd0: 000001a1 14000001 e6081300 04000000 ................ + 41de0: fd040005 696e7400 05040901 04000001 ....int......... + 41df0: f4040006 56444553 43000000 00fd0400 ....VDESC....... + 41e00: 0001fd04 000a0000 02080104 0000020f ................ + 41e10: 04000a00 0001ae01 04000002 1c040009 ................ + 41e20: 01040000 02290400 0b040002 76646573 .....)......vdes + 41e30: 635f6170 69001400 0002a403 5f696e69 c_api......._ini + 41e40: 74000000 01f60223 00035f61 6c6c6f63 t......#.._alloc + 41e50: 5f766465 73630000 00021502 2304035f _vdesc......#.._ + 41e60: 6765745f 68775f64 65736300 00000222 get_hw_desc...." + 41e70: 02230803 5f737761 705f7664 65736300 .#.._swap_vdesc. + 41e80: 0000022b 02230c03 70526573 65727665 ...+.#..pReserve + 41e90: 64000000 02320223 1000025f 56425546 d....2.#..._VBUF + 41ea0: 00200000 03040364 6573635f 6c697374 . .....desc_list + 41eb0: 00000002 08022300 036e6578 745f6275 ......#..next_bu + 41ec0: 66000000 03040223 04036275 665f6c65 f......#..buf_le + 41ed0: 6e677468 00000001 cb022308 03726573 ngth......#..res + 41ee0: 65727665 64000000 030b0223 0a036374 erved......#..ct + 41ef0: 78000000 01d90223 0c000400 0002a404 x......#........ + 41f00: 00070000 01a10200 00031808 01000400 ................ + 41f10: 0002a404 00065642 55460000 0002a404 ......VBUF...... + 41f20: 0000031f 04000a00 00032901 04000003 ..........)..... + 41f30: 3004000a 00000329 01040000 033d0400 0......).....=.. + 41f40: 09010400 00034a04 00027662 75665f61 ......J...vbuf_a + 41f50: 70690014 000003c8 035f696e 69740000 pi......._init.. + 41f60: 0001f602 2300035f 616c6c6f 635f7662 ....#.._alloc_vb + 41f70: 75660000 00033602 2304035f 616c6c6f uf....6.#.._allo + 41f80: 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 41f90: 00000003 43022308 035f6672 65655f76 ....C.#.._free_v + 41fa0: 62756600 0000034c 02230c03 70526573 buf....L.#..pRes + 41fb0: 65727665 64000000 02320223 1000025f erved....2.#..._ + 41fc0: 5f616466 5f646576 69636500 04000003 _adf_device..... + 41fd0: ea036475 6d6d7900 000001ed 02230000 ..dummy......#.. + 41fe0: 05756e73 69676e65 6420696e 74000704 .unsigned int... + 41ff0: 06415f55 494e5433 32000000 03ea0400 .A_UINT32....... + 42000: 0003fa04 00025f5f 6164665f 646d615f ......__adf_dma_ + 42010: 6d617000 0c000004 4f036275 66000000 map.....O.buf... + 42020: 03290223 00036473 5f616464 72000000 .).#..ds_addr... + 42030: 04080223 04036473 5f6c656e 00000001 ...#..ds_len.... + 42040: cb022308 00056368 61720007 01040000 ..#...char...... + 42050: 044f0400 0c0c0000 0498035f 5f76615f .O.........__va_ + 42060: 73746b00 00000457 02230003 5f5f7661 stk....W.#..__va + 42070: 5f726567 00000004 57022304 035f5f76 _reg....W.#..__v + 42080: 615f6e64 78000000 01ed0223 0800065f a_ndx......#..._ + 42090: 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr + 420a0: 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d + 420b0: 6d615f61 6464725f 74000000 0498065f ma_addr_t......_ + 420c0: 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size + 420d0: 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d + 420e0: 6d615f73 697a655f 74000000 04c8025f ma_size_t......_ + 420f0: 5f646d61 5f736567 73000800 00052403 _dma_segs.....$. + 42100: 70616464 72000000 04b10223 00036c65 paddr......#..le + 42110: 6e000000 04e10223 0400065f 5f615f75 n......#...__a_u + 42120: 696e7433 325f7400 000003fa 06615f75 int32_t......a_u + 42130: 696e7433 325f7400 00000524 07000004 int32_t....$.... + 42140: f8080000 05530800 00026164 665f6f73 .....S....adf_os + 42150: 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... + 42160: 058c036e 73656773 00000005 36022300 ...nsegs....6.#. + 42170: 03646d61 5f736567 73000000 05460223 .dma_segs....F.# + 42180: 0400065f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. + 42190: 0001a106 615f7569 6e74385f 74000000 ....a_uint8_t... + 421a0: 058c0400 00059d04 00025f5f 73675f73 ..........__sg_s + 421b0: 65677300 08000005 de037661 64647200 egs.......vaddr. + 421c0: 000005ac 02230003 6c656e00 00000536 .....#..len....6 + 421d0: 02230400 07000005 b3200000 05eb0803 .#....... ...... + 421e0: 00026164 665f6f73 5f73676c 69737400 ..adf_os_sglist. + 421f0: 24000006 1e036e73 65677300 00000536 $.....nsegs....6 + 42200: 02230003 73675f73 65677300 000005de .#..sg_segs..... + 42210: 02230400 0c100000 06670376 656e646f .#.......g.vendo + 42220: 72000000 05360223 00036465 76696365 r....6.#..device + 42230: 00000005 36022304 03737562 76656e64 ....6.#..subvend + 42240: 6f720000 00053602 23080373 75626465 or....6.#..subde + 42250: 76696365 00000005 3602230c 00056c6f vice....6.#...lo + 42260: 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned + 42270: 20696e74 00070806 415f5549 4e543634 int....A_UINT64 + 42280: 00000006 67065f5f 615f7569 6e743634 ....g.__a_uint64 + 42290: 5f740000 00068106 615f7569 6e743634 _t......a_uint64 + 422a0: 5f740000 00068f0d 04000006 ed0e4144 _t............AD + 422b0: 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY + 422c0: 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ + 422d0: 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO + 422e0: 00010006 6164665f 6f735f72 65736f75 ....adf_os_resou + 422f0: 7263655f 74797065 5f740000 0006b10c rce_type_t...... + 42300: 18000007 37037374 61727400 000006a1 ....7.start..... + 42310: 02230003 656e6400 000006a1 02230803 .#..end......#.. + 42320: 74797065 00000006 ed022310 00066164 type......#...ad + 42330: 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ + 42340: 74000000 061e0400 00073704 000f0400 t.........7..... + 42350: 00077603 70636900 00000750 02230003 ..v.pci....P.#.. + 42360: 72617700 00000232 02230000 0f100000 raw....2.#...... + 42370: 07950370 63690000 00073702 23000372 ...pci....7.#..r + 42380: 61770000 00023202 23000006 6164665f aw....2.#...adf_ + 42390: 6472765f 68616e64 6c655f74 00000002 drv_handle_t.... + 423a0: 32066164 665f6f73 5f726573 6f757263 2.adf_os_resourc + 423b0: 655f7400 00000709 04000007 ab040006 e_t............. + 423c0: 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da + 423d0: 74615f74 00000007 76040000 07c90400 ta_t....v....... + 423e0: 04000003 c8040006 5f5f6164 665f6f73 ........__adf_os + 423f0: 5f646576 6963655f 74000000 07ea0661 _device_t......a + 42400: 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. + 42410: 0007f10a 00000795 01040000 081d0400 ................ + 42420: 09010400 00082a04 00066164 665f6f73 ......*...adf_os + 42430: 5f706d5f 74000000 02320901 04000008 _pm_t....2...... + 42440: 4404000d 04000008 840e4144 465f4f53 D.........ADF_OS + 42450: 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... + 42460: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ + 42470: 47454e45 52494300 02000661 64665f6f GENERIC....adf_o + 42480: 735f6275 735f7479 70655f74 00000008 s_bus_type_t.... + 42490: 4d066164 665f6f73 5f627573 5f726567 M.adf_os_bus_reg + 424a0: 5f646174 615f7400 00000757 04000001 _data_t....W.... + 424b0: 90040002 5f616466 5f647276 5f696e66 ...._adf_drv_inf + 424c0: 6f002000 00096103 6472765f 61747461 o. ...a.drv_atta + 424d0: 63680000 00082302 23000364 72765f64 ch....#.#..drv_d + 424e0: 65746163 68000000 082c0223 04036472 etach....,.#..dr + 424f0: 765f7375 7370656e 64000000 08460223 v_suspend....F.# + 42500: 08036472 765f7265 73756d65 00000008 ..drv_resume.... + 42510: 2c02230c 03627573 5f747970 65000000 ,.#..bus_type... + 42520: 08840223 10036275 735f6461 74610000 ...#..bus_data.. + 42530: 00089b02 2314036d 6f645f6e 616d6500 ....#..mod_name. + 42540: 000008b6 02231803 69666e61 6d650000 .....#..ifname.. + 42550: 0008b602 231c0006 6164665f 6f735f68 ....#...adf_os_h + 42560: 616e646c 655f7400 00000232 04000005 andle_t....2.... + 42570: 8c040009 01090106 5f5f6164 665f6f73 ........__adf_os + 42580: 5f73697a 655f7400 000003ea 0d040000 _size_t......... + 42590: 09b00e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T + 425a0: 52554500 01000661 5f626f6f 6c5f7400 RUE....a_bool_t. + 425b0: 00000996 04000004 0f040006 5f5f6164 ............__ad + 425c0: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 425d0: 0009be09 01106164 665f6f73 5f636163 ......adf_os_cac + 425e0: 68655f73 796e6300 0400000a 480e4144 he_sync.....H.AD + 425f0: 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. + 42600: 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI + 42610: 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO + 42620: 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN + 42630: 435f504f 53545752 49544500 03000661 C_POSTWRITE....a + 42640: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 42650: 5f740000 0009df09 01066164 665f6f73 _t........adf_os + 42660: 5f73697a 655f7400 00000981 0a00000a _size_t......... + 42670: 63010661 64665f6f 735f646d 615f6d61 c..adf_os_dma_ma + 42680: 705f7400 000009c5 0400000a 7c04000a p_t.........|... + 42690: 00000232 01040000 09c50400 0a000002 ...2............ + 426a0: 32010901 0a000004 b1010901 0573686f 2............sho + 426b0: 72742069 6e740005 0206415f 494e5431 rt int....A_INT1 + 426c0: 36000000 0ab6065f 5f615f69 6e743136 6......__a_int16 + 426d0: 5f740000 000ac306 615f696e 7431365f _t......a_int16_ + 426e0: 74000000 0ad00573 69676e65 64206368 t......signed ch + 426f0: 61720005 0106415f 494e5438 0000000a ar....A_INT8.... + 42700: f0065f5f 615f696e 74385f74 0000000a ..__a_int8_t.... + 42710: ff06615f 696e7438 5f740000 000b0b0c ..a_int8_t...... + 42720: 0c00000b 82037375 70706f72 74656400 ......supported. + 42730: 00000536 02230003 61647665 7274697a ...6.#..advertiz + 42740: 65640000 00053602 23040373 70656564 ed....6.#..speed + 42750: 0000000a e1022308 03647570 6c657800 ......#..duplex. + 42760: 00000b1b 02230a03 6175746f 6e656700 .....#..autoneg. + 42770: 0000059d 02230b00 07000005 9d060000 .....#.......... + 42780: 0b8f0805 00026164 665f6e65 745f6574 ......adf_net_et + 42790: 68616464 72000600 000bb303 61646472 haddr.......addr + 427a0: 0000000b 82022300 00065f5f 615f7569 ......#...__a_ui + 427b0: 6e743136 5f740000 0001cb06 615f7569 nt16_t......a_ui + 427c0: 6e743136 5f740000 000bb30c 0e00000c nt16_t.......... + 427d0: 17036574 6865725f 64686f73 74000000 ..ether_dhost... + 427e0: 0b820223 00036574 6865725f 73686f73 ...#..ether_shos + 427f0: 74000000 0b820223 06036574 6865725f t......#..ether_ + 42800: 74797065 0000000b c502230c 000c1400 type......#..... + 42810: 000cd811 69705f76 65727369 6f6e0000 ....ip_version.. + 42820: 00059d01 00040223 00116970 5f686c00 .......#..ip_hl. + 42830: 0000059d 01040402 23000369 705f746f ........#..ip_to + 42840: 73000000 059d0223 01036970 5f6c656e s......#..ip_len + 42850: 0000000b c5022302 0369705f 69640000 ......#..ip_id.. + 42860: 000bc502 23040369 705f6672 61675f6f ....#..ip_frag_o + 42870: 66660000 000bc502 23060369 705f7474 ff......#..ip_tt + 42880: 6c000000 059d0223 08036970 5f70726f l......#..ip_pro + 42890: 746f0000 00059d02 23090369 705f6368 to......#..ip_ch + 428a0: 65636b00 00000bc5 02230a03 69705f73 eck......#..ip_s + 428b0: 61646472 00000005 3602230c 0369705f addr....6.#..ip_ + 428c0: 64616464 72000000 05360223 10000261 daddr....6.#...a + 428d0: 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. + 428e0: 00000d2a 03747069 64000000 0bc50223 ...*.tpid......# + 428f0: 00117072 696f0000 00059d01 00030223 ..prio.........# + 42900: 02116366 69000000 059d0103 01022302 ..cfi.........#. + 42910: 11766964 0000000b c502040c 02230200 .vid.........#.. + 42920: 02616466 5f6e6574 5f766964 00020000 .adf_net_vid.... + 42930: 0d5b1172 65730000 00059d01 00040223 .[.res.........# + 42940: 00117661 6c000000 0bc50204 0c022300 ..val.........#. + 42950: 000c0c00 000d9703 72785f62 75667369 ........rx_bufsi + 42960: 7a650000 00053602 23000372 785f6e64 ze....6.#..rx_nd + 42970: 65736300 00000536 02230403 74785f6e esc....6.#..tx_n + 42980: 64657363 00000005 36022308 000c0800 desc....6.#..... + 42990: 000dbd03 706f6c6c 65640000 0009b002 ....polled...... + 429a0: 23000370 6f6c6c5f 77740000 00053602 #..poll_wt....6. + 429b0: 23040007 0000059d 4000000d ca083f00 #.......@.....?. + 429c0: 0c460000 0df20369 665f6e61 6d650000 .F.....if_name.. + 429d0: 000dbd02 23000364 65765f61 64647200 ....#..dev_addr. + 429e0: 00000b82 02234000 0d040000 0e290e41 .....#@......).A + 429f0: 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 + 42a00: 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM + 42a10: 415f4d41 534b5f36 34424954 00010006 A_MASK_64BIT.... + 42a20: 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ + 42a30: 74000000 0df20261 64665f64 6d615f69 t......adf_dma_i + 42a40: 6e666f00 0800000e 7603646d 615f6d61 nfo.....v.dma_ma + 42a50: 736b0000 000e2902 23000373 675f6e73 sk....).#..sg_ns + 42a60: 65677300 00000536 02230400 0d040000 egs....6.#...... + 42a70: 0ecc0e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 42a80: 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ + 42a90: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 42aa0: 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS + 42ab0: 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. + 42ac0: 02000661 64665f6e 65745f63 6b73756d ...adf_net_cksum + 42ad0: 5f747970 655f7400 00000e76 0c080000 _type_t....v.... + 42ae0: 0f0f0374 785f636b 73756d00 00000ecc ...tx_cksum..... + 42af0: 02230003 72785f63 6b73756d 0000000e .#..rx_cksum.... + 42b00: cc022304 00066164 665f6e65 745f636b ..#...adf_net_ck + 42b10: 73756d5f 696e666f 5f740000 000ee60d sum_info_t...... + 42b20: 0400000f 680e4144 465f4e45 545f5453 ....h.ADF_NET_TS + 42b30: 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET + 42b40: 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ + 42b50: 4e45545f 54534f5f 414c4c00 02000661 NET_TSO_ALL....a + 42b60: 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ + 42b70: 74000000 0f290c10 00000fbc 03636b73 t....).......cks + 42b80: 756d5f63 61700000 000f0f02 23000374 um_cap......#..t + 42b90: 736f0000 000f6802 23080376 6c616e5f so....h.#..vlan_ + 42ba0: 73757070 6f727465 64000000 059d0223 supported......# + 42bb0: 0c000c20 00001055 0374785f 7061636b ... ...U.tx_pack + 42bc0: 65747300 00000536 02230003 72785f70 ets....6.#..rx_p + 42bd0: 61636b65 74730000 00053602 23040374 ackets....6.#..t + 42be0: 785f6279 74657300 00000536 02230803 x_bytes....6.#.. + 42bf0: 72785f62 79746573 00000005 3602230c rx_bytes....6.#. + 42c00: 0374785f 64726f70 70656400 00000536 .tx_dropped....6 + 42c10: 02231003 72785f64 726f7070 65640000 .#..rx_dropped.. + 42c20: 00053602 23140372 785f6572 726f7273 ..6.#..rx_errors + 42c30: 00000005 36022318 0374785f 6572726f ....6.#..tx_erro + 42c40: 72730000 00053602 231c0006 6164665f rs....6.#...adf_ + 42c50: 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... + 42c60: 0b8f1200 00105503 00000010 7a087f00 ......U.....z... + 42c70: 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 42c80: 64647200 03040000 10b1036e 656c656d ddr........nelem + 42c90: 00000005 36022300 036d6361 73740000 ....6.#..mcast.. + 42ca0: 00106c02 23040006 6164665f 6e65745f ..l.#...adf_net_ + 42cb0: 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. + 42cc0: 00000b29 06616466 5f6e6574 5f636d64 ...).adf_net_cmd + 42cd0: 5f706f6c 6c5f696e 666f5f74 0000000d _poll_info_t.... + 42ce0: 97066164 665f6e65 745f636d 645f636b ..adf_net_cmd_ck + 42cf0: 73756d5f 696e666f 5f740000 000f0f06 sum_info_t...... + 42d00: 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring + 42d10: 5f696e66 6f5f7400 00000d5b 06616466 _info_t....[.adf + 42d20: 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf + 42d30: 6f5f7400 00000e40 06616466 5f6e6574 o_t....@.adf_net + 42d40: 5f636d64 5f766964 5f740000 000bc506 _cmd_vid_t...... + 42d50: 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl + 42d60: 6f61645f 6361705f 74000000 0f800661 oad_cap_t......a + 42d70: 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats + 42d80: 5f740000 000fbc06 6164665f 6e65745f _t......adf_net_ + 42d90: 636d645f 6d636164 64725f74 00000010 cmd_mcaddr_t.... + 42da0: 7a106164 665f6e65 745f636d 645f6d63 z.adf_net_cmd_mc + 42db0: 6173745f 63617000 04000011 f30e4144 ast_cap.......AD + 42dc0: 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. + 42dd0: 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 42de0: 4e4f5453 55500001 00066164 665f6e65 NOTSUP....adf_ne + 42df0: 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ + 42e00: 74000000 11ab1403 04000012 c5036c69 t.............li + 42e10: 6e6b5f69 6e666f00 000010b1 02230003 nk_info......#.. + 42e20: 706f6c6c 5f696e66 6f000000 10ce0223 poll_info......# + 42e30: 0003636b 73756d5f 696e666f 00000010 ..cksum_info.... + 42e40: eb022300 0372696e 675f696e 666f0000 ..#..ring_info.. + 42e50: 00110902 23000364 6d615f69 6e666f00 ....#..dma_info. + 42e60: 00001126 02230003 76696400 00001142 ...&.#..vid....B + 42e70: 02230003 6f66666c 6f61645f 63617000 .#..offload_cap. + 42e80: 00001159 02230003 73746174 73000000 ...Y.#..stats... + 42e90: 11780223 00036d63 6173745f 696e666f .x.#..mcast_info + 42ea0: 00000011 91022300 036d6361 73745f63 ......#..mcast_c + 42eb0: 61700000 0011f302 2300000d 04000013 ap......#....... + 42ec0: 1c0e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 42ed0: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 42ee0: 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. + 42ef0: 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK + 42f00: 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. + 42f10: 02000661 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c + 42f20: 6b73756d 5f747970 655f7400 000012c5 ksum_type_t..... + 42f30: 0c080000 135c0372 6573756c 74000000 .....\.result... + 42f40: 131c0223 00037661 6c000000 05360223 ...#..val....6.# + 42f50: 04000c08 0000138c 03747970 65000000 .........type... + 42f60: 0f680223 00036d73 73000000 0bc50223 .h.#..mss......# + 42f70: 04036864 725f6f66 66000000 059d0223 ..hdr_off......# + 42f80: 0600025f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 42f90: 65616400 0c000013 cb036865 61640000 ead.......head.. + 42fa0: 00032902 23000374 61696c00 00000329 ..).#..tail....) + 42fb0: 02230403 716c656e 00000005 36022308 .#..qlen....6.#. + 42fc0: 00065f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. + 42fd0: 00032904 000005ac 04000400 00053604 ..)...........6. + 42fe0: 0009010a 00000208 010a0000 0536010a .............6.. + 42ff0: 000005ac 010a0000 05ac0104 000001d9 ................ + 43000: 0400065f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh + 43010: 6561645f 74000000 138c065f 5f616466 ead_t......__adf + 43020: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... + 43030: 140c0400 00142404 000a0000 13cb010a ......$......... + 43040: 000013cb 010d0400 0015440e 415f5354 ..........D.A_ST + 43050: 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT + 43060: 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST + 43070: 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ + 43080: 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... + 43090: 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. + 430a0: 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR + 430b0: 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU + 430c0: 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S + 430d0: 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ + 430e0: 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A + 430f0: 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT + 43100: 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS + 43110: 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU + 43120: 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA + 43130: 5455535f 45494f00 0c000661 5f737461 TUS_EIO....a_sta + 43140: 7475735f 74000000 144f0a00 00154401 tus_t....O....D. + 43150: 0a000001 ed010901 06616466 5f6e6275 .........adf_nbu + 43160: 665f7400 000013cb 0d040000 15a90e41 f_t............A + 43170: 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV + 43180: 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA + 43190: 5f46524f 4d5f4445 56494345 00010006 _FROM_DEVICE.... + 431a0: 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t + 431b0: 00000015 720a0000 15440109 01066164 ....r....D....ad + 431c0: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 431d0: 5f740000 00055304 000015c7 04000901 _t....S......... + 431e0: 09010a00 00156201 0a000013 cb010901 ......b......... + 431f0: 09010a00 00156201 0a000013 cb010a00 ......b......... + 43200: 00156201 0a000013 cb010a00 00156201 ..b...........b. + 43210: 09010901 0a000005 36010a00 0005ac01 ........6....... + 43220: 09010901 0a00000a 63010a00 0009b001 ........c....... + 43230: 0a000009 b0010661 64665f6f 735f7367 .......adf_os_sg + 43240: 6c697374 5f740000 0005eb04 00001640 list_t.........@ + 43250: 04000901 09010901 0a000005 ac010661 ...............a + 43260: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. + 43270: 00001424 04000016 68040009 01040000 ...$....h....... + 43280: 140c0400 09010901 09010a00 00156201 ..............b. + 43290: 0a000013 cb010a00 00053601 0a000005 ..........6..... + 432a0: 36010a00 0009b001 0a000009 b0010a00 6............... + 432b0: 000ecc01 0a000005 36010661 64665f6e ........6..adf_n + 432c0: 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. + 432d0: 00133a04 000016c4 04000901 09010661 ..:............a + 432e0: 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... + 432f0: 135c0400 0016e804 00090109 01066164 .\............ad + 43300: 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. + 43310: 00023206 6164665f 6e65745f 766c616e ..2.adf_net_vlan + 43320: 6864725f 74000000 0cd80400 00171d04 hdr_t........... + 43330: 000a0000 1544010a 00001544 01090109 .....D.....D.... + 43340: 01025f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... + 43350: 00176c03 64756d6d 79000000 01ed0223 ..l.dummy......# + 43360: 00000901 04000017 6c040009 01040000 ........l....... + 43370: 17750400 025f4849 465f4341 4c4c4241 .u..._HIF_CALLBA + 43380: 434b000c 000017ca 0373656e 645f6275 CK.......send_bu + 43390: 665f646f 6e650000 00176e02 23000372 f_done....n.#..r + 433a0: 6563765f 62756600 00001777 02230403 ecv_buf....w.#.. + 433b0: 636f6e74 65787400 00000232 02230800 context....2.#.. + 433c0: 06686966 5f68616e 646c655f 74000000 .hif_handle_t... + 433d0: 02320648 49465f43 4f4e4649 47000000 .2.HIF_CONFIG... + 433e0: 174b0400 0017dc04 000a0000 17ca0104 .K.............. + 433f0: 000017f3 04000901 04000018 00040006 ................ + 43400: 4849465f 43414c4c 4241434b 00000017 HIF_CALLBACK.... + 43410: 7e040000 18090400 09010400 00182204 ~.............". + 43420: 000a0000 01ed0104 0000182b 04000901 ...........+.... + 43430: 04000018 3804000a 000001ed 01040000 ....8........... + 43440: 18410400 09010400 00184e04 000a0000 .A........N..... + 43450: 01ed0104 00001857 04000901 04000018 .......W........ + 43460: 64040002 6869665f 61706900 38000019 d...hif_api.8... + 43470: bd035f69 6e697400 000017f9 02230003 .._init......#.. + 43480: 5f736875 74646f77 6e000000 18020223 _shutdown......# + 43490: 04035f72 65676973 7465725f 63616c6c .._register_call + 434a0: 6261636b 00000018 24022308 035f6765 back....$.#.._ge + 434b0: 745f746f 74616c5f 63726564 69745f63 t_total_credit_c + 434c0: 6f756e74 00000018 3102230c 035f7374 ount....1.#.._st + 434d0: 61727400 00001802 02231003 5f636f6e art......#.._con + 434e0: 6669675f 70697065 00000018 3a022314 fig_pipe....:.#. + 434f0: 035f7365 6e645f62 75666665 72000000 ._send_buffer... + 43500: 18470223 18035f72 65747572 6e5f7265 .G.#.._return_re + 43510: 63765f62 75660000 00185002 231c035f cv_buf....P.#.._ + 43520: 69735f70 6970655f 73757070 6f727465 is_pipe_supporte + 43530: 64000000 185d0223 20035f67 65745f6d d....].# ._get_m + 43540: 61785f6d 73675f6c 656e0000 00185d02 ax_msg_len....]. + 43550: 2324035f 6765745f 72657365 72766564 #$._get_reserved + 43560: 5f686561 64726f6f 6d000000 18310223 _headroom....1.# + 43570: 28035f69 73725f68 616e646c 65720000 (._isr_handler.. + 43580: 00180202 232c035f 6765745f 64656661 ....#,._get_defa + 43590: 756c745f 70697065 00000018 66022330 ult_pipe....f.#0 + 435a0: 03705265 73657276 65640000 00023202 .pReserved....2. + 435b0: 23340009 01040000 19bd0400 15000004 #4.............. + 435c0: 4f150000 044f0400 0019cb04 000a0000 O....O.......... + 435d0: 01ed0104 000019d7 04000270 72696e74 ...........print + 435e0: 665f6170 69000800 001a1b03 5f707269 f_api......._pri + 435f0: 6e74665f 696e6974 00000019 bf022300 ntf_init......#. + 43600: 035f7072 696e7466 00000019 dd022304 ._printf......#. + 43610: 00067569 6e743136 5f740000 0001b505 ..uint16_t...... + 43620: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 43630: 74000704 0675696e 7433325f 74000000 t....uint32_t... + 43640: 1a290275 6172745f 6669666f 00080000 .).uart_fifo.... + 43650: 1a970373 74617274 5f696e64 65780000 ...start_index.. + 43660: 001a1b02 23000365 6e645f69 6e646578 ....#..end_index + 43670: 0000001a 1b022302 036f7665 7272756e ......#..overrun + 43680: 5f657272 0000001a 3e022304 00027561 _err....>.#...ua + 43690: 72745f61 70690020 00001b50 035f7561 rt_api. ...P._ua + 436a0: 72745f69 6e697400 00001ba7 02230003 rt_init......#.. + 436b0: 5f756172 745f6368 61725f70 75740000 _uart_char_put.. + 436c0: 001bbd02 2304035f 75617274 5f636861 ....#.._uart_cha + 436d0: 725f6765 74000000 1bd10223 08035f75 r_get......#.._u + 436e0: 6172745f 7374725f 6f757400 00001bda art_str_out..... + 436f0: 02230c03 5f756172 745f7461 736b0000 .#.._uart_task.. + 43700: 0019bf02 2310035f 75617274 5f737461 ....#.._uart_sta + 43710: 74757300 00001ba7 02231403 5f756172 tus......#.._uar + 43720: 745f636f 6e666967 0000001b e3022318 t_config......#. + 43730: 035f7561 72745f68 77696e69 74000000 ._uart_hwinit... + 43740: 1bec0223 1c000400 001a9704 00027561 ...#..........ua + 43750: 72745f62 6c6b0010 00001ba1 03646562 rt_blk.......deb + 43760: 75675f6d 6f646500 00001a1b 02230003 ug_mode......#.. + 43770: 62617564 0000001a 1b022302 035f7561 baud......#.._ua + 43780: 72740000 001b5002 2304035f 74780000 rt....P.#.._tx.. + 43790: 001a4c02 2308000a 00001a3e 01040000 ..L.#......>.... + 437a0: 1ba10400 0675696e 74385f74 00000001 .....uint8_t.... + 437b0: 90090104 00001bbb 04000400 001bae04 ................ + 437c0: 000a0000 1a1b0104 00001bcb 04000901 ................ + 437d0: 0400001b d8040009 01040000 1be10400 ................ + 437e0: 09010400 001bea04 000a0000 01ed0104 ................ + 437f0: 00001bf3 04000244 425f434f 4d4d414e .......DB_COMMAN + 43800: 445f5354 52554354 000c0000 1c4b0363 D_STRUCT.....K.c + 43810: 6d645f73 74720000 00045702 23000368 md_str....W.#..h + 43820: 656c705f 73747200 00000457 02230403 elp_str....W.#.. + 43830: 636d645f 66756e63 0000001b f9022308 cmd_func......#. + 43840: 00026462 675f6170 69000800 001c7e03 ..dbg_api.....~. + 43850: 5f646267 5f696e69 74000000 19bf0223 _dbg_init......# + 43860: 00035f64 62675f74 61736b00 000019bf .._dbg_task..... + 43870: 02230400 0a000002 32010400 001c7e04 .#......2.....~. + 43880: 00161604 00001c8c 04000a00 00023201 ..............2. + 43890: 0400001c 9404000a 000001ed 01040000 ................ + 438a0: 1ca10400 026d656d 5f617069 00140000 .....mem_api.... + 438b0: 1d10035f 6d656d5f 696e6974 00000019 ..._mem_init.... + 438c0: bf022300 035f6d65 6d736574 0000001c ..#.._memset.... + 438d0: 84022304 035f6d65 6d637079 0000001c ..#.._memcpy.... + 438e0: 9a022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... + 438f0: 1c9a0223 0c035f6d 656d636d 70000000 ...#.._memcmp... + 43900: 1ca70223 10001772 65676973 7465725f ...#...register_ + 43910: 64756d70 5f730000 01040000 1d100400 dump_s.......... + 43920: 09010400 001d2a04 00090104 00001d33 ......*........3 + 43930: 04000a00 0001ed01 0400001d 3c040010 ............<... + 43940: 686f7374 69665f73 00040000 1d980e48 hostif_s.......H + 43950: 49465f55 53420000 0e484946 5f504349 IF_USB...HIF_PCI + 43960: 4500010e 4849465f 474d4143 00020e48 E...HIF_GMAC...H + 43970: 49465f50 43490003 0e484946 5f4e554d IF_PCI...HIF_NUM + 43980: 00040e48 49465f4e 4f4e4500 05000641 ...HIF_NONE....A + 43990: 5f484f53 54494600 00001d49 0a00001d _HOSTIF....I.... + 439a0: 98010400 001da604 000a0000 1bae0104 ................ + 439b0: 00001db3 04000a00 001a1b01 0400001d ................ + 439c0: c0040002 6d697363 5f617069 00240000 ....misc_api.$.. + 439d0: 1eb0035f 73797374 656d5f72 65736574 ..._system_reset + 439e0: 00000019 bf022300 035f6d61 635f7265 ......#.._mac_re + 439f0: 73657400 000019bf 02230403 5f617373 set......#.._ass + 43a00: 6661696c 0000001d 2c022308 035f6d69 fail....,.#.._mi + 43a10: 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha + 43a20: 6e646c65 72000000 1d2c0223 0c035f72 ndler....,.#.._r + 43a30: 65706f72 745f6661 696c7572 655f746f eport_failure_to + 43a40: 5f686f73 74000000 1d350223 10035f74 _host....5.#.._t + 43a50: 61726765 745f6964 5f676574 0000001d arget_id_get.... + 43a60: 42022314 035f6973 5f686f73 745f7072 B.#.._is_host_pr + 43a70: 6573656e 74000000 1dac0223 18035f6b esent......#.._k + 43a80: 62686974 0000001d b902231c 035f726f bhit......#.._ro + 43a90: 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... + 43aa0: 1dc60223 20000a00 00045701 0400001e ...# .....W..... + 43ab0: b004000a 00000457 01040000 1ebd0400 .......W........ + 43ac0: 0a000001 ed010400 001eca04 000a0000 ................ + 43ad0: 01ed0104 00001ed7 04000a00 0001ed01 ................ + 43ae0: 0400001e e4040002 73747269 6e675f61 ........string_a + 43af0: 70690018 00001f6a 035f7374 72696e67 pi.....j._string + 43b00: 5f696e69 74000000 19bf0223 00035f73 _init......#.._s + 43b10: 74726370 79000000 1eb60223 04035f73 trcpy......#.._s + 43b20: 74726e63 70790000 001ec302 2308035f trncpy......#.._ + 43b30: 7374726c 656e0000 001ed002 230c035f strlen......#.._ + 43b40: 73747263 6d700000 001edd02 2310035f strcmp......#.._ + 43b50: 7374726e 636d7000 00001eea 02231400 strncmp......#.. + 43b60: 07000003 ea140000 1f770804 00065f41 .........w...._A + 43b70: 5f54494d 45525f53 50414345 0000001f _TIMER_SPACE.... + 43b80: 6a06415f 74696d65 725f7400 00001f77 j.A_timer_t....w + 43b90: 0400001f 8b040009 01040000 1fa10400 ................ + 43ba0: 09010400 001faa04 0006415f 48414e44 ..........A_HAND + 43bb0: 4c450000 0003ea09 0106415f 54494d45 LE........A_TIME + 43bc0: 525f4655 4e430000 001fc104 00001fc3 R_FUNC.......... + 43bd0: 04000901 0400001f dc040002 74696d65 ............time + 43be0: 725f6170 69001400 00205b03 5f74696d r_api.... [._tim + 43bf0: 65725f69 6e697400 000019bf 02230003 er_init......#.. + 43c00: 5f74696d 65725f61 726d0000 001fa302 _timer_arm...... + 43c10: 2304035f 74696d65 725f6469 7361726d #.._timer_disarm + 43c20: 0000001f ac022308 035f7469 6d65725f ......#.._timer_ + 43c30: 73657466 6e000000 1fde0223 0c035f74 setfn......#.._t + 43c40: 696d6572 5f72756e 00000019 bf022310 imer_run......#. + 43c50: 0006424f 4f4c4541 4e000000 1a1b0a00 ..BOOLEAN....... + 43c60: 00205b01 04000020 6804000a 0000205b . [.... h..... [ + 43c70: 01040000 20750400 0a000020 5b010400 .... u..... [... + 43c80: 00208204 0002726f 6d705f61 70690010 . ....romp_api.. + 43c90: 000020f4 035f726f 6d705f69 6e697400 .. .._romp_init. + 43ca0: 000019bf 02230003 5f726f6d 705f646f .....#.._romp_do + 43cb0: 776e6c6f 61640000 00206e02 2304035f wnload... n.#.._ + 43cc0: 726f6d70 5f696e73 74616c6c 00000020 romp_install... + 43cd0: 7b022308 035f726f 6d705f64 65636f64 {.#.._romp_decod + 43ce0: 65000000 20880223 0c000272 6f6d5f70 e... ..#...rom_p + 43cf0: 61746368 5f737400 10000021 50036372 atch_st....!P.cr + 43d00: 63313600 00001a1b 02230003 6c656e00 c16......#..len. + 43d10: 00001a1b 02230203 6c645f61 64647200 .....#..ld_addr. + 43d20: 00001a3e 02230403 66756e5f 61646472 ...>.#..fun_addr + 43d30: 0000001a 3e022308 03706675 6e000000 ....>.#..pfun... + 43d40: 1bc40223 0c000265 65705f72 65646972 ...#...eep_redir + 43d50: 5f616464 72000400 00218203 6f666673 _addr....!..offs + 43d60: 65740000 001a1b02 23000373 697a6500 et......#..size. + 43d70: 00001a1b 02230200 0a000002 32010400 .....#......2... + 43d80: 00218204 0002616c 6c6f6372 616d5f61 .!....allocram_a + 43d90: 7069000c 000021f3 03636d6e 6f735f61 pi....!..cmnos_a + 43da0: 6c6c6f63 72616d5f 696e6974 00000021 llocram_init...! + 43db0: 88022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc + 43dc0: 72616d00 00002188 02230403 636d6e6f ram...!..#..cmno + 43dd0: 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 43de0: 00000019 bf022308 00090104 000021f3 ......#.......!. + 43df0: 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 43e00: 43000000 21f5025f 7461736b 6c657400 C...!.._tasklet. + 43e10: 10000022 54036675 6e630000 0021fc02 ..."T.func...!.. + 43e20: 23000361 72670000 00023202 23040373 #..arg....2.#..s + 43e30: 74617465 00000001 ed022308 036e6578 tate......#..nex + 43e40: 74000000 22540223 0c000400 00221004 t..."T.#.....".. + 43e50: 00040000 22100400 06415f74 61736b6c ...."....A_taskl + 43e60: 65745f74 00000022 10040000 22620400 et_t..."...."b.. + 43e70: 09010400 00227a04 00090104 00002283 ....."z.......". + 43e80: 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. + 43e90: 00002318 035f7461 736b6c65 745f696e ..#.._tasklet_in + 43ea0: 69740000 0019bf02 2300035f 7461736b it......#.._task + 43eb0: 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 43ec0: 227c0223 04035f74 61736b6c 65745f64 "|.#.._tasklet_d + 43ed0: 69736162 6c650000 00228502 2308035f isable..."..#.._ + 43ee0: 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 43ef0: 00000022 8502230c 035f7461 736b6c65 ..."..#.._taskle + 43f00: 745f7275 6e000000 19bf0223 10000901 t_run......#.... + 43f10: 04000023 1804000a 000003fa 01040000 ...#............ + 43f20: 23210400 02636c6f 636b5f61 70690024 #!...clock_api.$ + 43f30: 00002407 035f636c 6f636b5f 696e6974 ..$.._clock_init + 43f40: 00000023 1a022300 035f636c 6f636b72 ...#..#.._clockr + 43f50: 6567735f 696e6974 00000019 bf022304 egs_init......#. + 43f60: 035f7561 72745f66 72657175 656e6379 ._uart_frequency + 43f70: 00000023 27022308 035f6465 6c61795f ...#'.#.._delay_ + 43f80: 75730000 0001f602 230c035f 776c616e us......#.._wlan + 43f90: 5f62616e 645f7365 74000000 01f60223 _band_set......# + 43fa0: 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 43fb0: 67657400 00002327 02231403 5f6d696c get...#'.#.._mil + 43fc0: 6c697365 636f6e64 73000000 23270223 liseconds...#'.# + 43fd0: 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 43fe0: 00000019 bf02231c 035f636c 6f636b5f ......#.._clock_ + 43ff0: 7469636b 00000019 bf022320 000a0000 tick......# .... + 44000: 1a3e0104 00002407 04000641 5f6f6c64 .>....$....A_old + 44010: 5f696e74 725f7400 00001a3e 0a000024 _intr_t....>...$ + 44020: 14010400 00242604 00090104 00002433 .....$&.......$3 + 44030: 04000901 04000024 3c04000a 00001a3e .......$<......> + 44040: 01040000 24450400 06415f69 73725f74 ....$E...A_isr_t + 44050: 00000024 4b090104 0000245f 04000a00 ...$K.....$_.... + 44060: 0003ea01 04000024 68040009 01040000 .......$h....... + 44070: 24750400 02696e74 725f6170 69002c00 $u...intr_api.,. + 44080: 00259703 5f696e74 725f696e 69740000 .%.._intr_init.. + 44090: 0019bf02 2300035f 696e7472 5f696e76 ....#.._intr_inv + 440a0: 6f6b655f 69737200 0000240d 02230403 oke_isr...$..#.. + 440b0: 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 440c0: 242c0223 08035f69 6e74725f 72657374 $,.#.._intr_rest + 440d0: 6f726500 00002435 02230c03 5f696e74 ore...$5.#.._int + 440e0: 725f6d61 736b5f69 6e756d00 0000243e r_mask_inum...$> + 440f0: 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask + 44100: 5f696e75 6d000000 243e0223 14035f69 _inum...$>.#.._i + 44110: 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 44120: 00246102 2318035f 6765745f 696e7472 .$a.#.._get_intr + 44130: 656e6162 6c650000 00246e02 231c035f enable...$n.#.._ + 44140: 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 44150: 00247702 2320035f 6765745f 696e7472 .$w.# ._get_intr + 44160: 70656e64 696e6700 0000246e 02232403 pending...$n.#$. + 44170: 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 44180: 726c766c 00000019 bf022328 000f0400 rlvl......#(.... + 44190: 0025bd03 74696d65 6f757400 00001a3e .%..timeout....> + 441a0: 02230003 61637469 6f6e0000 001a3e02 .#..action....>. + 441b0: 2300000c 08000025 d803636d 64000000 #......%..cmd... + 441c0: 1a3e0223 00180000 25970223 04000654 .>.#....%..#...T + 441d0: 5f574454 5f434d44 00000025 bd090104 _WDT_CMD...%.... + 441e0: 000025e7 04000d04 0000263d 0e454e55 ..%.......&=.ENU + 441f0: 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU + 44200: 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN + 44210: 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E + 44220: 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 44230: 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 44240: 000025f0 0a000026 3d010400 00264e04 ..%....&=....&N. + 44250: 00027764 745f6170 69001c00 0026f203 ..wdt_api....&.. + 44260: 5f776474 5f696e69 74000000 19bf0223 _wdt_init......# + 44270: 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... + 44280: 19bf0223 04035f77 64745f64 69736162 ...#.._wdt_disab + 44290: 6c650000 0019bf02 2308035f 7764745f le......#.._wdt_ + 442a0: 73657400 000025e9 02230c03 5f776474 set...%..#.._wdt + 442b0: 5f746173 6b000000 19bf0223 10035f77 _task......#.._w + 442c0: 64745f72 65736574 00000019 bf022314 dt_reset......#. + 442d0: 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 442e0: 00002654 02231800 0d040000 27590e52 ..&T.#......'Y.R + 442f0: 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET + 44300: 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ + 44310: 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ + 44320: 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE + 44330: 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 44340: 0e524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... + 44350: 545f4545 505f5245 54000000 26f20400 T_EEP_RET...&... + 44360: 001a1b04 000a0000 27590104 0000276f ........'Y....'o + 44370: 04000a00 00275901 04000027 7c040002 .....'Y....'|... + 44380: 6565705f 61706900 10000027 e5035f65 eep_api....'.._e + 44390: 65705f69 6e697400 000019bf 02230003 ep_init......#.. + 443a0: 5f656570 5f726561 64000000 27750223 _eep_read...'u.# + 443b0: 04035f65 65705f77 72697465 00000027 .._eep_write...' + 443c0: 75022308 035f6565 705f6973 5f657869 u.#.._eep_is_exi + 443d0: 73740000 00278202 230c0002 7573625f st...'..#...usb_ + 443e0: 61706900 7000002a 92035f75 73625f69 api.p..*.._usb_i + 443f0: 6e697400 000019bf 02230003 5f757362 nit......#.._usb + 44400: 5f726f6d 5f746173 6b000000 19bf0223 _rom_task......# + 44410: 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 44420: 0019bf02 2308035f 7573625f 696e6974 ....#.._usb_init + 44430: 5f706879 00000019 bf02230c 035f7573 _phy......#.._us + 44440: 625f6570 305f7365 74757000 000019bf b_ep0_setup..... + 44450: 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 44460: 000019bf 02231403 5f757362 5f657030 .....#.._usb_ep0 + 44470: 5f727800 000019bf 02231803 5f757362 _rx......#.._usb + 44480: 5f676574 5f696e74 65726661 63650000 _get_interface.. + 44490: 00207b02 231c035f 7573625f 7365745f . {.#.._usb_set_ + 444a0: 696e7465 72666163 65000000 207b0223 interface... {.# + 444b0: 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 444c0: 67757261 74696f6e 00000020 7b022324 guration... {.#$ + 444d0: 035f7573 625f7365 745f636f 6e666967 ._usb_set_config + 444e0: 75726174 696f6e00 0000207b 02232803 uration... {.#(. + 444f0: 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 44500: 64000000 207b0223 2c035f75 73625f76 d... {.#,._usb_v + 44510: 656e646f 725f636d 64000000 19bf0223 endor_cmd......# + 44520: 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 44530: 00000019 bf022334 035f7573 625f7265 ......#4._usb_re + 44540: 7365745f 6669666f 00000019 bf022338 set_fifo......#8 + 44550: 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 44560: 19bf0223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ + 44570: 626f6f74 00000019 bf022340 035f7573 boot......#@._us + 44580: 625f636c 725f6665 61747572 65000000 b_clr_feature... + 44590: 207b0223 44035f75 73625f73 65745f66 {.#D._usb_set_f + 445a0: 65617475 72650000 00207b02 2348035f eature... {.#H._ + 445b0: 7573625f 7365745f 61646472 65737300 usb_set_address. + 445c0: 0000207b 02234c03 5f757362 5f676574 .. {.#L._usb_get + 445d0: 5f646573 63726970 746f7200 0000207b _descriptor... { + 445e0: 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta + 445f0: 74757300 0000207b 02235403 5f757362 tus... {.#T._usb + 44600: 5f736574 75705f64 65736300 000019bf _setup_desc..... + 44610: 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 44620: 00000019 bf02235c 035f7573 625f7374 ......#\._usb_st + 44630: 61747573 5f696e00 000019bf 02236003 atus_in......#`. + 44640: 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 44650: 00000019 bf022364 035f7573 625f6570 ......#d._usb_ep + 44660: 305f7278 5f646174 61000000 19bf0223 0_rx_data......# + 44670: 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 44680: 000019bf 02236c00 10646d61 5f656e67 .....#l..dma_eng + 44690: 696e6500 0400002b 1b0e444d 415f454e ine....+..DMA_EN + 446a0: 47494e45 5f525830 00000e44 4d415f45 GINE_RX0...DMA_E + 446b0: 4e47494e 455f5258 3100010e 444d415f NGINE_RX1...DMA_ + 446c0: 454e4749 4e455f52 58320002 0e444d41 ENGINE_RX2...DMA + 446d0: 5f454e47 494e455f 52583300 030e444d _ENGINE_RX3...DM + 446e0: 415f454e 47494e45 5f545830 00040e44 A_ENGINE_TX0...D + 446f0: 4d415f45 4e47494e 455f5458 3100050e MA_ENGINE_TX1... + 44700: 444d415f 454e4749 4e455f4d 41580006 DMA_ENGINE_MAX.. + 44710: 0006646d 615f656e 67696e65 5f740000 ..dma_engine_t.. + 44720: 002a9210 646d615f 69667479 70650004 .*..dma_iftype.. + 44730: 00002b68 0e444d41 5f49465f 474d4143 ..+h.DMA_IF_GMAC + 44740: 00000e44 4d415f49 465f5043 4900010e ...DMA_IF_PCI... + 44750: 444d415f 49465f50 43494500 02000664 DMA_IF_PCIE....d + 44760: 6d615f69 66747970 655f7400 00002b2d ma_iftype_t...+- + 44770: 0a000001 cb010400 002b7a04 00090104 .........+z..... + 44780: 00002b87 04000901 0400002b 9004000a ..+........+.... + 44790: 000003fa 01040000 2b990400 0a000001 ........+....... + 447a0: cb010400 002ba604 000a0000 01cb0104 .....+.......... + 447b0: 00002bb3 04000a00 00032901 0400002b ..+.......)....+ + 447c0: c0040009 01040000 2bcd0400 02646d61 ........+....dma + 447d0: 5f6c6962 5f617069 00340000 2cd40374 _lib_api.4..,..t + 447e0: 785f696e 69740000 002b8002 23000374 x_init...+..#..t + 447f0: 785f7374 61727400 00002b89 02230403 x_start...+..#.. + 44800: 72785f69 6e697400 00002b80 02230803 rx_init...+..#.. + 44810: 72785f63 6f6e6669 67000000 2b920223 rx_config...+..# + 44820: 0c037278 5f737461 72740000 002b8902 ..rx_start...+.. + 44830: 23100369 6e74725f 73746174 75730000 #..intr_status.. + 44840: 002b9f02 23140368 6172645f 786d6974 .+..#..hard_xmit + 44850: 0000002b ac022318 03666c75 73685f78 ...+..#..flush_x + 44860: 6d697400 00002b89 02231c03 786d6974 mit...+..#..xmit + 44870: 5f646f6e 65000000 2bb90223 20037265 _done...+..# .re + 44880: 61705f78 6d697474 65640000 002bc602 ap_xmitted...+.. + 44890: 23240372 6561705f 72656376 0000002b #$.reap_recv...+ + 448a0: c6022328 03726574 75726e5f 72656376 ..#(.return_recv + 448b0: 0000002b cf02232c 03726563 765f706b ...+..#,.recv_pk + 448c0: 74000000 2bb90223 3000025f 5f706369 t...+..#0..__pci + 448d0: 5f736f66 7463000c 00002cf2 03737700 _softc....,..sw. + 448e0: 00001809 02230000 065f5f70 63695f73 .....#...__pci_s + 448f0: 6f667463 5f740000 002cd404 00002cf2 oftc_t...,....,. + 44900: 04000901 0400002d 0c04000a 000001a1 .......-........ + 44910: 01040000 2d150400 10686966 5f706369 ....-....hif_pci + 44920: 5f706970 655f7478 00040000 2d750e48 _pipe_tx....-u.H + 44930: 49465f50 43495f50 4950455f 54583000 IF_PCI_PIPE_TX0. + 44940: 000e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T + 44950: 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP + 44960: 455f5458 5f4d4158 00020006 6869665f E_TX_MAX....hif_ + 44970: 7063695f 70697065 5f74785f 74000000 pci_pipe_tx_t... + 44980: 2d220a00 002b1b01 0400002d 8c040010 -"...+.....-.... + 44990: 6869665f 7063695f 70697065 5f727800 hif_pci_pipe_rx. + 449a0: 0400002e 120e4849 465f5043 495f5049 ......HIF_PCI_PI + 449b0: 50455f52 58300000 0e484946 5f504349 PE_RX0...HIF_PCI + 449c0: 5f504950 455f5258 3100010e 4849465f _PIPE_RX1...HIF_ + 449d0: 5043495f 50495045 5f525832 00020e48 PCI_PIPE_RX2...H + 449e0: 49465f50 43495f50 4950455f 52583300 IF_PCI_PIPE_RX3. + 449f0: 030e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 44a00: 585f4d41 58000400 06686966 5f706369 X_MAX....hif_pci + 44a10: 5f706970 655f7278 5f740000 002d990a _pipe_rx_t...-.. + 44a20: 00002b1b 01040000 2e290400 02686966 ..+......)...hif + 44a30: 5f706369 5f617069 00240000 2f070370 _pci_api.$../..p + 44a40: 63695f62 6f6f745f 696e6974 00000019 ci_boot_init.... + 44a50: bf022300 03706369 5f696e69 74000000 ..#..pci_init... + 44a60: 17f90223 04037063 695f7265 73657400 ...#..pci_reset. + 44a70: 000019bf 02230803 7063695f 656e6162 .....#..pci_enab + 44a80: 6c650000 0019bf02 230c0370 63695f72 le......#..pci_r + 44a90: 6561705f 786d6974 74656400 00002d0e eap_xmitted...-. + 44aa0: 02231003 7063695f 72656170 5f726563 .#..pci_reap_rec + 44ab0: 76000000 2d0e0223 14037063 695f6765 v...-..#..pci_ge + 44ac0: 745f7069 70650000 002d1b02 23180370 t_pipe...-..#..p + 44ad0: 63695f67 65745f74 785f656e 67000000 ci_get_tx_eng... + 44ae0: 2d920223 1c037063 695f6765 745f7278 -..#..pci_get_rx + 44af0: 5f656e67 0000002e 2f022320 0002676d _eng..../.# ..gm + 44b00: 61635f61 70690004 00002f2e 03676d61 ac_api..../..gma + 44b10: 635f626f 6f745f69 6e697400 000019bf c_boot_init..... + 44b20: 02230000 07000001 90060000 2f3b0805 .#........../;.. + 44b30: 00025f5f 65746868 6472000e 00002f71 ..__ethhdr..../q + 44b40: 03647374 0000002f 2e022300 03737263 .dst.../..#..src + 44b50: 0000002f 2e022306 03657479 70650000 .../..#..etype.. + 44b60: 0001cb02 230c0002 5f5f6174 68686472 ....#...__athhdr + 44b70: 00040000 2fbf1172 65730000 0001a101 ..../..res...... + 44b80: 00020223 00117072 6f746f00 000001a1 ...#..proto..... + 44b90: 01020602 23000372 65735f6c 6f000000 ....#..res_lo... + 44ba0: 01a10223 01037265 735f6869 00000001 ...#..res_hi.... + 44bb0: cb022302 00025f5f 676d6163 5f686472 ..#...__gmac_hdr + 44bc0: 00140000 2ffb0365 74680000 002f3b02 ..../..eth.../;. + 44bd0: 23000361 74680000 002f7102 230e0361 #..ath.../q.#..a + 44be0: 6c69676e 5f706164 00000001 cb022312 lign_pad......#. + 44bf0: 00065f5f 676d6163 5f686472 5f740000 ..__gmac_hdr_t.. + 44c00: 002fbf02 5f5f676d 61635f73 6f667463 ./..__gmac_softc + 44c10: 00240000 30450368 64720000 002ffb02 .$..0E.hdr.../.. + 44c20: 23000367 72616e00 000001cb 02231403 #..gran......#.. + 44c30: 73770000 00180902 23180017 5f415f6f sw......#..._A_o + 44c40: 735f6c69 6e6b6167 655f6368 65636b00 s_linkage_check. + 44c50: 00010400 00304504 000a0000 01ed0104 .....0E......... + 44c60: 00003063 04000400 0003ea04 00135f41 ..0c.........._A + 44c70: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 44c80: 6f6e5f74 61626c65 0001b800 0031b303 on_table.....1.. + 44c90: 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 44ca0: 6b000000 30690223 00037374 6172745f k...0i.#..start_ + 44cb0: 62737300 00003070 02230403 6170705f bss...0p.#..app_ + 44cc0: 73746172 74000000 19bf0223 08036d65 start......#..me + 44cd0: 6d000000 1cae0223 0c036d69 73630000 m......#..misc.. + 44ce0: 001dcd02 23200370 72696e74 66000000 ....# .printf... + 44cf0: 19e40223 44037561 72740000 001a9702 ...#D.uart...... + 44d00: 234c0367 6d616300 00002f07 02236c03 #L.gmac.../..#l. + 44d10: 75736200 000027e5 02237003 636c6f63 usb...'..#p.cloc + 44d20: 6b000000 232e0323 e0010374 696d6572 k...#..#...timer + 44d30: 0000001f e5032384 0203696e 74720000 ......#...intr.. + 44d40: 00247e03 23980203 616c6c6f 6372616d .$~.#...allocram + 44d50: 00000021 8f0323c4 0203726f 6d700000 ...!..#...romp.. + 44d60: 00208f03 23d00203 7764745f 74696d65 . ..#...wdt_time + 44d70: 72000000 265b0323 e0020365 65700000 r...&[.#...eep.. + 44d80: 00278903 23fc0203 73747269 6e670000 .'..#...string.. + 44d90: 001ef103 238c0303 7461736b 6c657400 ....#...tasklet. + 44da0: 0000228c 0323a403 00025f55 53425f46 .."..#...._USB_F + 44db0: 49464f5f 434f4e46 49470010 00003226 IFO_CONFIG....2& + 44dc0: 03676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 44dd0: 00000003 36022300 03726563 765f636f ....6.#..recv_co + 44de0: 6d6d616e 64000000 034c0223 04036765 mmand....L.#..ge + 44df0: 745f6576 656e745f 62756600 00000336 t_event_buf....6 + 44e00: 02230803 73656e64 5f657665 6e745f64 .#..send_event_d + 44e10: 6f6e6500 0000034c 02230c00 06555342 one....L.#...USB + 44e20: 5f464946 4f5f434f 4e464947 00000031 _FIFO_CONFIG...1 + 44e30: b3040000 32260400 09010400 00324204 ....2&.......2B. + 44e40: 00027573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 44e50: 00329803 5f696e69 74000000 32440223 .2.._init...2D.# + 44e60: 00035f65 6e61626c 655f6576 656e745f .._enable_event_ + 44e70: 69737200 000019bf 02230403 70526573 isr......#..pRes + 44e80: 65727665 64000000 02320223 08000700 erved....2.#.... + 44e90: 00059d02 000032a5 08010002 5f485443 ......2....._HTC + 44ea0: 5f465241 4d455f48 44520008 00003317 _FRAME_HDR....3. + 44eb0: 03456e64 706f696e 74494400 0000059d .EndpointID..... + 44ec0: 02230003 466c6167 73000000 059d0223 .#..Flags......# + 44ed0: 01035061 796c6f61 644c656e 0000000b ..PayloadLen.... + 44ee0: c5022302 03436f6e 74726f6c 42797465 ..#..ControlByte + 44ef0: 73000000 32980223 0403486f 73745365 s...2..#..HostSe + 44f00: 714e756d 0000000b c5022306 000c0200 qNum......#..... + 44f10: 00333003 4d657373 61676549 44000000 .30.MessageID... + 44f20: 0bc50223 00000c08 00003393 034d6573 ...#......3..Mes + 44f30: 73616765 49440000 000bc502 23000343 sageID......#..C + 44f40: 72656469 74436f75 6e740000 000bc502 reditCount...... + 44f50: 23020343 72656469 7453697a 65000000 #..CreditSize... + 44f60: 0bc50223 04034d61 78456e64 706f696e ...#..MaxEndpoin + 44f70: 74730000 00059d02 2306035f 50616431 ts......#.._Pad1 + 44f80: 00000005 9d022307 000c0a00 00342a03 ......#......4*. + 44f90: 4d657373 61676549 44000000 0bc50223 MessageID......# + 44fa0: 00035365 72766963 65494400 00000bc5 ..ServiceID..... + 44fb0: 02230203 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 44fc0: 61677300 00000bc5 02230403 446f776e ags......#..Down + 44fd0: 4c696e6b 50697065 49440000 00059d02 LinkPipeID...... + 44fe0: 23060355 704c696e 6b506970 65494400 #..UpLinkPipeID. + 44ff0: 0000059d 02230703 53657276 6963654d .....#..ServiceM + 45000: 6574614c 656e6774 68000000 059d0223 etaLength......# + 45010: 08035f50 61643100 0000059d 02230900 .._Pad1......#.. + 45020: 0c0a0000 34b2034d 65737361 67654944 ....4..MessageID + 45030: 0000000b c5022300 03536572 76696365 ......#..Service + 45040: 49440000 000bc502 23020353 74617475 ID......#..Statu + 45050: 73000000 059d0223 0403456e 64706f69 s......#..Endpoi + 45060: 6e744944 00000005 9d022305 034d6178 ntID......#..Max + 45070: 4d736753 697a6500 00000bc5 02230603 MsgSize......#.. + 45080: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 45090: 68000000 059d0223 08035f50 61643100 h......#.._Pad1. + 450a0: 0000059d 02230900 0c020000 34cb034d .....#......4..M + 450b0: 65737361 67654944 0000000b c5022300 essageID......#. + 450c0: 000c0400 00350703 4d657373 61676549 .....5..MessageI + 450d0: 44000000 0bc50223 00035069 70654944 D......#..PipeID + 450e0: 00000005 9d022302 03437265 64697443 ......#..CreditC + 450f0: 6f756e74 00000005 9d022303 000c0400 ount......#..... + 45100: 00353e03 4d657373 61676549 44000000 .5>.MessageID... + 45110: 0bc50223 00035069 70654944 00000005 ...#..PipeID.... + 45120: 9d022302 03537461 74757300 0000059d ..#..Status..... + 45130: 02230300 0c020000 35650352 65636f72 .#......5e.Recor + 45140: 64494400 0000059d 02230003 4c656e67 dID......#..Leng + 45150: 74680000 00059d02 2301000c 02000035 th......#......5 + 45160: 8f03456e 64706f69 6e744944 00000005 ..EndpointID.... + 45170: 9d022300 03437265 64697473 00000005 ..#..Credits.... + 45180: 9d022301 000c0400 0035d003 456e6470 ..#......5..Endp + 45190: 6f696e74 49440000 00059d02 23000343 ointID......#..C + 451a0: 72656469 74730000 00059d02 23010354 redits......#..T + 451b0: 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 451c0: 0bc50223 02000700 00059d04 000035dd ...#..........5. + 451d0: 0803000c 06000036 19035072 6556616c .......6..PreVal + 451e0: 69640000 00059d02 2300034c 6f6f6b41 id......#..LookA + 451f0: 68656164 00000035 d0022301 03506f73 head...5..#..Pos + 45200: 7456616c 69640000 00059d02 23050006 tValid......#... + 45210: 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 45220: 02320a00 00361901 04000036 2c040009 .2...6.....6,... + 45230: 01040000 36390400 0d040000 36b70e50 ....69......6..P + 45240: 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 45250: 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 45260: 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 45270: 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 45280: 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID + 45290: 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P + 452a0: 4f4f4c5f 49445f4d 4158000a 00064255 OOL_ID_MAX....BU + 452b0: 465f504f 4f4c5f49 44000000 36420901 F_POOL_ID...6B.. + 452c0: 04000036 c804000a 00001562 01040000 ...6.......b.... + 452d0: 36d10400 0a000015 62010400 0036de04 6.......b....6.. + 452e0: 00090104 000036eb 04000262 75665f70 ......6....buf_p + 452f0: 6f6f6c5f 61706900 1c000037 8d035f69 ool_api....7.._i + 45300: 6e697400 00003632 02230003 5f736875 nit...62.#.._shu + 45310: 74646f77 6e000000 363b0223 04035f63 tdown...6;.#.._c + 45320: 72656174 655f706f 6f6c0000 0036ca02 reate_pool...6.. + 45330: 2308035f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 45340: 36d70223 0c035f61 6c6c6f63 5f627566 6..#.._alloc_buf + 45350: 5f616c69 676e0000 0036e402 2310035f _align...6..#.._ + 45360: 66726565 5f627566 00000036 ed022314 free_buf...6..#. + 45370: 03705265 73657276 65640000 00023202 .pReserved....2. + 45380: 23180002 5f485443 5f534552 56494345 #..._HTC_SERVICE + 45390: 001c0000 386c0370 4e657874 00000038 ....8l.pNext...8 + 453a0: 6c022300 0350726f 63657373 52656376 l.#..ProcessRecv + 453b0: 4d736700 00003921 02230403 50726f63 Msg...9!.#..Proc + 453c0: 65737353 656e6442 75666665 72436f6d essSendBufferCom + 453d0: 706c6574 65000000 392a0223 08035072 plete...9*.#..Pr + 453e0: 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 453f0: 3e02230c 03536572 76696365 49440000 >.#..ServiceID.. + 45400: 0001cb02 23100353 65727669 6365466c ....#..ServiceFl + 45410: 61677300 000001cb 02231203 4d617853 ags......#..MaxS + 45420: 76634d73 6753697a 65000000 01cb0223 vcMsgSize......# + 45430: 14035472 61696c65 72537063 43686563 ..TrailerSpcChec + 45440: 6b4c696d 69740000 0001cb02 23160353 kLimit......#..S + 45450: 65727669 63654374 78000000 02320223 erviceCtx....2.# + 45460: 18000400 00378d04 000d0400 00390a19 .....7.......9.. + 45470: 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 45480: ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. + 45490: 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END + 454a0: 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN + 454b0: 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. + 454c0: 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END + 454d0: 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN + 454e0: 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. + 454f0: 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 45500: 06485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 45510: 00000038 73090104 0000391f 04000901 ...8s.....9..... + 45520: 04000039 28040004 000001ed 04000a00 ...9(........... + 45530: 0001a101 04000039 38040004 0000378d .......98.....7. + 45540: 0400025f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 45550: 000039bd 03437265 64697453 697a6500 ..9..CreditSize. + 45560: 000001ed 02230003 43726564 69744e75 .....#..CreditNu + 45570: 6d626572 00000001 ed022304 034f5348 mber......#..OSH + 45580: 616e646c 65000000 09610223 08034849 andle....a.#..HI + 45590: 4648616e 646c6500 000017ca 02230c03 FHandle......#.. + 455a0: 506f6f6c 48616e64 6c650000 00361902 PoolHandle...6.. + 455b0: 23100002 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 455c0: 54455854 00020000 39f90365 6e645f70 TEXT....9..end_p + 455d0: 6f696e74 00000001 a1022300 03687463 oint......#..htc + 455e0: 5f666c61 67730000 0001a102 23010006 _flags......#... + 455f0: 6874635f 68616e64 6c655f74 00000002 htc_handle_t.... + 45600: 32064854 435f5345 5455505f 434f4d50 2.HTC_SETUP_COMP + 45610: 4c455445 5f434200 000019bf 06485443 LETE_CB......HTC + 45620: 5f434f4e 46494700 0000394c 0400003a _CONFIG...9L...: + 45630: 2604000a 000039f9 01040000 3a3d0400 &.....9.....:=.. + 45640: 09010400 003a4a04 00064854 435f5345 .....:J...HTC_SE + 45650: 52564943 45000000 378d0400 003a5304 RVICE...7....:S. + 45660: 00090104 00003a6b 04000901 0400003a ......:k.......: + 45670: 74040009 01040000 3a7d0400 0a000001 t.......:}...... + 45680: ed010400 003a8604 00026874 635f6170 .....:....htc_ap + 45690: 69730034 00003c03 035f4854 435f496e is.4..<.._HTC_In + 456a0: 69740000 003a4302 2300035f 4854435f it...:C.#.._HTC_ + 456b0: 53687574 646f776e 0000003a 4c022304 Shutdown...:L.#. + 456c0: 035f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 456d0: 72766963 65000000 3a6d0223 08035f48 rvice...:m.#.._H + 456e0: 54435f52 65616479 0000003a 4c02230c TC_Ready...:L.#. + 456f0: 035f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 45700: 65727300 00003a76 02231003 5f485443 ers...:v.#.._HTC + 45710: 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 45720: 73740000 003a7f02 2314035f 4854435f st...:..#.._HTC_ + 45730: 53656e64 4d736700 00003a76 02231803 SendMsg...:v.#.. + 45740: 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 45750: 48656164 726f6f6d 0000003a 8c02231c Headroom...:..#. + 45760: 035f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 45770: 646c6572 00000017 77022320 035f4854 dler....w.# ._HT + 45780: 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 45790: 72000000 176e0223 24035f48 54435f43 r....n.#$._HTC_C + 457a0: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 457b0: 4d736700 00003921 02232803 5f485443 Msg...9!.#(._HTC + 457c0: 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 457d0: 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 457e0: 00392a02 232c0370 52657365 72766564 .9*.#,.pReserved + 457f0: 00000002 32022330 0002686f 73745f61 ....2.#0..host_a + 45800: 70705f61 7265615f 73000400 003c3303 pp_area_s....<3. + 45810: 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 45820: 00000005 36022300 000c0e00 003c6a03 ....6.#......f.HtcHan + 45a10: 646c6500 000039f9 02230003 506f6f6c dle...9..#..Pool + 45a20: 48616e64 6c650000 00361902 2304034d Handle...6..#..M + 45a30: 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 45a40: 0001ed02 2308034d 61784576 656e7445 ....#..MaxEventE + 45a50: 76747300 000001ed 02230c00 09010400 vts......#...... + 45a60: 003e6604 0006574d 495f434d 445f4841 .>f...WMI_CMD_HA + 45a70: 4e444c45 52000000 3e68025f 574d495f NDLER...>h._WMI_ + 45a80: 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 45a90: 00003ecf 0370436d 6448616e 646c6572 ..>..pCmdHandler + 45aa0: 0000003e 6f022300 03436d64 49440000 ...>o.#..CmdID.. + 45ab0: 0001cb02 23040346 6c616773 00000001 ....#..Flags.... + 45ac0: cb022306 00025f57 4d495f44 49535041 ..#..._WMI_DISPA + 45ad0: 5443485f 5441424c 45001000 003f3003 TCH_TABLE....?0. + 45ae0: 704e6578 74000000 3f300223 00037043 pNext...?0.#..pC + 45af0: 6f6e7465 78740000 00023202 2304034e ontext....2.#..N + 45b00: 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 45b10: 0001ed02 23080370 5461626c 65000000 ....#..pTable... + 45b20: 3f4f0223 0c000400 003ecf04 0006574d ?O.#.....>....WM + 45b30: 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 45b40: 0000003e 84040000 3f370400 0400003e ...>....?7.....> + 45b50: cf040006 4854435f 4255465f 434f4e54 ....HTC_BUF_CONT + 45b60: 45585400 000039bd 10574d49 5f455654 EXT...9..WMI_EVT + 45b70: 5f434c41 53530004 00003fe7 19574d49 _CLASS....?..WMI + 45b80: 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 45b90: ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 45ba0: 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W + 45bb0: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 45bc0: 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT + 45bd0: 5f434c41 53535f4d 41580002 0006574d _CLASS_MAX....WM + 45be0: 495f4556 545f434c 41535300 00003f72 I_EVT_CLASS...?r + 45bf0: 025f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 45c00: 54000c00 00404503 48746342 75664374 T....@E.HtcBufCt + 45c10: 78000000 3f5d0223 00034576 656e7443 x...?].#..EventC + 45c20: 6c617373 0000003f e7022304 03466c61 lass...?..#..Fla + 45c30: 67730000 0001cb02 23080006 776d695f gs......#...wmi_ + 45c40: 68616e64 6c655f74 00000002 3206574d handle_t....2.WM + 45c50: 495f5356 435f434f 4e464947 0000003d I_SVC_CONFIG...= + 45c60: fd040000 40570400 0a000040 45010400 ....@W.....@E... + 45c70: 00407204 0006574d 495f4449 53504154 .@r...WMI_DISPAT + 45c80: 43485f54 41424c45 0000003e cf040000 CH_TABLE...>.... + 45c90: 407f0400 09010400 00409e04 000a0000 @........@...... + 45ca0: 15620104 000040a7 04000901 04000040 .b....@........@ + 45cb0: b404000a 000001ed 01040000 40bd0400 ............@... + 45cc0: 09010400 0040ca04 000a0000 01a10104 .....@.......... + 45cd0: 000040d3 0400025f 776d695f 7376635f ..@...._wmi_svc_ + 45ce0: 61706973 002c0000 421b035f 574d495f apis.,..B.._WMI_ + 45cf0: 496e6974 00000040 78022300 035f574d Init...@x.#.._WM + 45d00: 495f5265 67697374 65724469 73706174 I_RegisterDispat + 45d10: 63685461 626c6500 000040a0 02230403 chTable...@..#.. + 45d20: 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 45d30: 000040ad 02230803 5f574d49 5f53656e ..@..#.._WMI_Sen + 45d40: 64457665 6e740000 0040b602 230c035f dEvent...@..#.._ + 45d50: 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 45d60: 656e7473 436f756e 74000000 40c30223 entsCount...@..# + 45d70: 10035f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 45d80: 65746548 616e646c 65720000 00392a02 eteHandler...9*. + 45d90: 2314035f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 45da0: 6f6c4570 00000040 c3022318 035f574d olEp...@..#.._WM + 45db0: 495f5368 7574646f 776e0000 0040cc02 I_Shutdown...@.. + 45dc0: 231c035f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 45dd0: 61676548 616e646c 65720000 00392102 ageHandler...9!. + 45de0: 2320035f 574d495f 53657276 69636543 # ._WMI_ServiceC + 45df0: 6f6e6e65 63740000 0040d902 23240370 onnect...@..#$.p + 45e00: 52657365 72766564 00000002 32022328 Reserved....2.#( + 45e10: 00027a73 446d6144 65736300 14000042 ..zsDmaDesc....B + 45e20: 9d036374 726c0000 0001b502 23000373 ..ctrl......#..s + 45e30: 74617475 73000000 01b50223 0203746f tatus......#..to + 45e40: 74616c4c 656e0000 0001b502 23040364 talLen......#..d + 45e50: 61746153 697a6500 000001b5 02230603 ataSize......#.. + 45e60: 6c617374 41646472 00000042 9d022308 lastAddr...B..#. + 45e70: 03646174 61416464 72000000 1a290223 .dataAddr....).# + 45e80: 0c036e65 78744164 64720000 00429d02 ..nextAddr...B.. + 45e90: 23100004 0000421b 04000400 00421b04 #.....B......B.. + 45ea0: 00027a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 45eb0: 42dd0368 65616400 000042a4 02230003 B..head...B..#.. + 45ec0: 7465726d 696e6174 6f720000 0042a402 terminator...B.. + 45ed0: 23040002 7a735478 446d6151 75657565 #...zsTxDmaQueue + 45ee0: 00100000 43410368 65616400 000042a4 ....CA.head...B. + 45ef0: 02230003 7465726d 696e6174 6f720000 .#..terminator.. + 45f00: 0042a402 23040378 6d697465 645f6275 .B..#..xmited_bu + 45f10: 665f6865 61640000 00032902 23080378 f_head....).#..x + 45f20: 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 45f30: 00032902 230c0009 01040000 43410400 ..).#.......CA.. + 45f40: 04000042 ab040009 01040000 43510400 ...B........CQ.. + 45f50: 04000042 dd040009 01040000 43610400 ...B........Ca.. + 45f60: 09010400 00436a04 00090104 00004373 .....Cj.......Cs + 45f70: 04000a00 00032901 04000043 7c040009 ......)....C|... + 45f80: 01040000 43890400 0a000003 29010400 ....C.......)... + 45f90: 00439204 00090104 0000439f 04000a00 .C........C..... + 45fa0: 0001ed01 04000043 a804000a 000042a4 .......C......B. + 45fb0: 01040000 43b50400 09010400 0043c204 ....C........C.. + 45fc0: 0002646d 615f656e 67696e65 5f617069 ..dma_engine_api + 45fd0: 00400000 4538035f 696e6974 00000043 .@..E8._init...C + 45fe0: 43022300 035f696e 69745f72 785f7175 C.#.._init_rx_qu + 45ff0: 65756500 00004353 02230403 5f696e69 eue...CS.#.._ini + 46000: 745f7478 5f717565 75650000 00436302 t_tx_queue...Cc. + 46010: 2308035f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 46020: 65756500 0000436c 02230c03 5f786d69 eue...Cl.#.._xmi + 46030: 745f6275 66000000 43750223 10035f66 t_buf...Cu.#.._f + 46040: 6c757368 5f786d69 74000000 43530223 lush_xmit...CS.# + 46050: 14035f72 6561705f 72656376 5f627566 .._reap_recv_buf + 46060: 00000043 82022318 035f7265 7475726e ...C..#.._return + 46070: 5f726563 765f6275 66000000 438b0223 _recv_buf...C..# + 46080: 1c035f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 46090: 75660000 00439802 2320035f 73776170 uf...C..# ._swap + 460a0: 5f646174 61000000 43a10223 24035f68 _data...C..#$._h + 460b0: 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 460c0: 00000043 ae022328 035f6465 73635f64 ...C..#(._desc_d + 460d0: 756d7000 00004353 02232c03 5f676574 ump...CS.#,._get + 460e0: 5f706163 6b657400 000043bb 02233003 _packet...C..#0. + 460f0: 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 46100: 000043c4 02233403 5f707574 5f706163 ..C..#4._put_pac + 46110: 6b657400 000043c4 02233803 70526573 ket...C..#8.pRes + 46120: 65727665 64000000 02320223 3c00065f erved....2.#<.._ + 46130: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 46140: 696f6e5f 7461626c 655f7400 00003077 ion_table_t...0w + 46150: 06574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 46160: 40e0135f 415f6d61 67706965 5f696e64 @.._A_magpie_ind + 46170: 69726563 74696f6e 5f746162 6c650003 irection_table.. + 46180: 4c000046 6603636d 6e6f7300 00004538 L..Ff.cmnos...E8 + 46190: 02230003 64626700 00001c4b 0323b803 .#..dbg....K.#.. + 461a0: 03686966 00000018 6d0323c0 03036874 .hif....m.#...ht + 461b0: 63000000 3a930323 f8030377 6d695f73 c...:..#...wmi_s + 461c0: 76635f61 70690000 00455a03 23ac0403 vc_api...EZ.#... + 461d0: 75736266 69666f5f 61706900 0000324b usbfifo_api...2K + 461e0: 0323d804 03627566 5f706f6f 6c000000 .#...buf_pool... + 461f0: 36f40323 e4040376 62756600 00000353 6..#...vbuf....S + 46200: 03238005 03766465 73630000 00023503 .#...vdesc....5. + 46210: 23940503 616c6c6f 6372616d 00000021 #...allocram...! + 46220: 8f0323a8 0503646d 615f656e 67696e65 ..#...dma_engine + 46230: 00000043 cb0323b4 0503646d 615f6c69 ...C..#...dma_li + 46240: 62000000 2bd60323 f4050368 69665f70 b...+..#...hif_p + 46250: 63690000 002e3603 23a80600 1a706369 ci....6.#....pci + 46260: 5f736300 00002cf2 05030050 0708010a _sc...,....P.... + 46270: 000003fa 01040000 03fa0400 0901065f ..............._ + 46280: 415f6d61 67706965 5f696e64 69726563 A_magpie_indirec + 46290: 74696f6e 5f746162 6c655f74 00000045 tion_table_t...E + 462a0: 6c070000 044f1900 0046b808 18000400 l....O...F...... + 462b0: 0046ab04 00070000 044f0b00 0046cc08 .F.......O...F.. + 462c0: 0a000400 0046bf04 00070000 044f1300 .....F.......O.. + 462d0: 0046e008 12000400 0046d304 00070000 .F.......F...... + 462e0: 044f0f00 0046f408 0e000400 0046e704 .O...F.......F.. + 462f0: 00070000 044f1000 00470808 0f000400 .....O...G...... + 46300: 0046fb04 000a0000 01ed0107 0000044f .F.............O + 46310: 15000047 22081400 04000047 15040009 ...G"......G.... + 46320: 01040000 186d0400 09010400 00470f04 .....m.......G.. + 46330: 00040000 47290400 0400002e 36040009 ....G)......6... + 46340: 011b0131 5f5f7063 695f7265 675f7265 ...1__pci_reg_re + 46350: 61640000 0003fa01 01039201 20029000 ad.......... ... + 46360: 008e2f2c 008e2f36 00004785 1c013161 ../,../6..G...1a + 46370: 64647200 000003fa 0152001d 01375f5f ddr......R...7__ + 46380: 7063695f 7265675f 77726974 65000101 pci_reg_write... + 46390: 03920120 02900000 8e2f3800 8e2f4200 ... ...../8../B. + 463a0: 0047c91c 01376164 64720000 0003fa01 .G...7addr...... + 463b0: 521c0137 76616c00 000003fa 0153001b R..7val......S.. + 463c0: 013d5f5f 7063695f 6765745f 70697065 .=__pci_get_pipe + 463d0: 00000001 a1010103 92012002 9000008e .......... ..... + 463e0: 2f44008e 2f6b0000 48021c01 3d656e67 /D../k..H...=eng + 463f0: 0000002b 1b015200 1b01525f 5f706369 ...+..R...R__pci + 46400: 5f676574 5f74785f 656e6700 00002b1b _get_tx_eng...+. + 46410: 01010392 01200290 00008e2f 6c008e2f ..... ...../l../ + 46420: 80000048 3e1c0152 70697065 0000002d ...H>..Rpipe...- + 46430: 75015200 1b01605f 5f706369 5f676574 u.R...`__pci_get + 46440: 5f72785f 656e6700 00002b1b 01010392 _rx_eng...+..... + 46450: 01200290 00008e2f 80008e2f a3000048 . ...../.../...H + 46460: 7a1c0160 70697065 0000002e 12015200 z..`pipe......R. + 46470: 1d01765f 5f706369 5f656e61 626c6500 ..v__pci_enable. + 46480: 01010392 01200290 00008e2f a4008e2f ..... ...../.../ + 46490: ba000048 ac1e725f 64617461 00000003 ...H..r_data.... + 464a0: fa001d01 875f5f70 63695f72 65736574 .....__pci_reset + 464b0: 00010103 92013002 9000008e 2fbc008e ......0...../... + 464c0: 30700000 48e01f72 5f646174 61000000 0p..H..r_data... + 464d0: 03fa0291 50002001 b95f5f70 63695f62 ....P. ..__pci_b + 464e0: 6f6f745f 696e6974 00010103 92012002 oot_init...... . + 464f0: 9000008e 3070008e 30a51b01 cd5f5f70 ....0p..0....__p + 46500: 63695f69 6e697400 000017ca 01010392 ci_init......... + 46510: 01200290 00008e30 a8008e30 fb000049 . .....0...0...I + 46520: 3d1c01cd 70436f6e 66696700 000017ec =...pConfig..... + 46530: 0152001d 01ea5f5f 7063695f 6366675f .R....__pci_cfg_ + 46540: 70697065 00010103 92012002 9000008e pipe...... ..... + 46550: 30fc008e 31360000 49a91c01 ea68646c 0...16..I....hdl + 46560: 00000017 ca01521c 01ea7069 70650000 ......R...pipe.. + 46570: 0001ed01 531c01ea 6e756d5f 64657363 ....S...num_desc + 46580: 00000001 ed01541e 656e6700 00002b1b ......T.eng...+. + 46590: 1e646573 635f6c65 6e000000 01cb0021 .desc_len......! + 465a0: 0101005f 5f706369 5f737461 72740001 ...__pci_start.. + 465b0: 01039201 20029000 008e3138 008e313d .... .....18..1= + 465c0: 000049dd 22010100 68646c00 000017ca ..I."...hdl..... + 465d0: 01520021 01010b5f 5f706369 5f726567 .R.!...__pci_reg + 465e0: 5f63616c 6c626163 6b000101 03920120 _callback...... + 465f0: 02900000 8e314000 8e315400 004a2d22 .....1@..1T..J-" + 46600: 01010b68 646c0000 0017ca01 52220101 ...hdl......R".. + 46610: 0b737700 0000181b 01531e73 63000000 .sw......S.sc... + 46620: 2d050021 01011b5f 5f706369 5f726561 -..!...__pci_rea + 46630: 705f786d 69747465 64000101 03920120 p_xmitted...... + 46640: 02900000 8e315400 8e318000 004a8c22 .....1T..1...J." + 46650: 01011b73 63000000 2d050152 2201011b ...sc...-..R"... + 46660: 656e675f 6e6f0000 002b1b01 531e7662 eng_no...+..S.vb + 46670: 75660000 0003291e 70697065 00000001 uf....).pipe.... + 46680: a1002101 01345f5f 7063695f 72656170 ..!..4__pci_reap + 46690: 5f726563 76000101 03920120 02900000 _recv...... .... + 466a0: 8e318000 8e31a800 004ade22 01013473 .1...1...J."..4s + 466b0: 63000000 2d050152 22010134 656e675f c...-..R"..4eng_ + 466c0: 6e6f0000 002b1b01 531e7662 75660000 no...+..S.vbuf.. + 466d0: 00032900 21010145 5f5f7063 695f6973 ..).!..E__pci_is + 466e0: 725f6861 6e646c65 72000101 03920120 r_handler...... + 466f0: 02900000 8e31a800 8e324700 004b2a22 .....1...2G..K*" + 46700: 01014568 646c0000 0017ca01 521e7363 ..Ehdl......R.sc + 46710: 0000002d 051e6d6f 72650000 0001cb00 ...-..more...... + 46720: 23010171 5f5f7063 695f786d 69745f62 #..q__pci_xmit_b + 46730: 75660000 0001ed01 01039201 20029000 uf.......... ... + 46740: 008e3248 008e3272 00004b8c 22010171 ..2H..2r..K."..q + 46750: 68646c00 000017ca 01522201 01717069 hdl......R"..qpi + 46760: 70650000 0001ed01 53220101 71766275 pe......S"..qvbu + 46770: 66000000 03290154 1e656e67 0000002b f....).T.eng...+ + 46780: 1b002101 01865f5f 7063695f 72657475 ..!...__pci_retu + 46790: 726e5f72 65637600 01010392 01200290 rn_recv...... .. + 467a0: 00008e32 74008e32 8f00004b ec220101 ...2t..2...K.".. + 467b0: 8668646c 00000017 ca015222 01018670 .hdl......R"...p + 467c0: 69706500 000001ed 01532201 01866275 ipe......S"...bu + 467d0: 66000000 03290154 1e656e67 0000002b f....).T.eng...+ + 467e0: 1b002301 019a5f5f 7063695f 69735f70 ..#...__pci_is_p + 467f0: 6970655f 73757070 6f727465 64000000 ipe_supported... + 46800: 01ed0101 03920120 02900000 8e329000 ....... .....2.. + 46810: 8e329e00 004c3f22 01019a68 646c0000 .2...L?"...hdl.. + 46820: 0017ca01 52220101 9a706970 65000000 ....R"...pipe... + 46830: 01ed0153 00230101 aa5f5f70 63695f67 ...S.#...__pci_g + 46840: 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 46850: 0001ed01 01039201 20029000 008e32a0 ........ .....2. + 46860: 008e32ae 00004c90 220101aa 68646c00 ..2...L."...hdl. + 46870: 000017ca 01522201 01aa7069 70650000 .....R"...pipe.. + 46880: 0001ed01 53002301 01b95f5f 7063695f ....S.#...__pci_ + 46890: 6765745f 72657365 72766564 5f686561 get_reserved_hea + 468a0: 64726f6f 6d000000 01ed0101 03920120 droom.......... + 468b0: 02900000 8e32b000 8e32b700 004cd822 .....2...2...L." + 468c0: 0101b968 646c0000 0017ca01 52002101 ...hdl......R.!. + 468d0: 01c35f5f 7063695f 73687574 646f776e ..__pci_shutdown + 468e0: 00010103 92012002 9000008e 32b8008e ...... .....2... + 468f0: 32bd0000 4d0f2201 01c36864 6c000000 2...M."...hdl... + 46900: 17ca0152 00210101 c95f5f70 63695f67 ...R.!...__pci_g + 46910: 65745f64 65665f70 69706500 01010392 et_def_pipe..... + 46920: 01200290 00008e32 c0008e32 cd00004d . .....2...2...M + 46930: 71220101 c968616e 646c6500 000017ca q"...handle..... + 46940: 01522201 01c97069 70655f72 78000000 .R"...pipe_rx... + 46950: 01ae0153 220101c9 70697065 5f747800 ...S"...pipe_tx. + 46960: 000001ae 01540021 0101d468 69665f70 .....T.!...hif_p + 46970: 63695f6d 6f64756c 655f696e 7374616c ci_module_instal + 46980: 6c000101 03920120 02900000 8e32d000 l...... .....2.. + 46990: 8e331100 004db122 0101d461 70697300 .3...M."...apis. + 469a0: 0000472b 01520024 0101e668 69665f70 ..G+.R.$...hif_p + 469b0: 63695f61 70695f69 6e737461 6c6c0001 ci_api_install.. + 469c0: 01039201 20029000 008e3314 008e3346 .... .....3...3F + 469d0: 220101e6 61706973 00000047 42015200 "...apis...GB.R. + 469e0: 00000000 4f6f0002 000019a8 04012f72 ....Oo......../r + 469f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 46a00: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 46a10: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 46a20: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 46a30: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 46a40: 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ + 46a50: 7573622f 7372632f 4849465f 7573622e usb/src/HIF_usb. + 46a60: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 46a70: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 46a80: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 46a90: 2f726f6d 2f686966 2f757362 0078742d /rom/hif/usb.xt- + 46aa0: 78636320 666f7220 372e312e 30202d4f xcc for 7.1.0 -O + 46ab0: 50543a61 6c69676e 5f696e73 74727563 PT:align_instruc + 46ac0: 74696f6e 733d3332 202d4f32 202d6733 tions=32 -O2 -g3 + 46ad0: 202d4f50 543a7370 61636500 01000000 -OPT:space..... + 46ae0: f3c20201 03000001 00040004 696e7400 ............int. + 46af0: 05040463 68617200 07010500 00011005 ...char......... + 46b00: 00000110 03000001 1d040006 00000109 ................ + 46b10: 01030000 01290400 07707269 6e74665f .....)...printf_ + 46b20: 61706900 08000001 6d085f70 72696e74 api.....m._print + 46b30: 665f696e 69740000 00010202 2300085f f_init......#.._ + 46b40: 7072696e 74660000 00012f02 23040004 printf..../.#... + 46b50: 73686f72 7420756e 7369676e 65642069 short unsigned i + 46b60: 6e740007 02097569 6e743136 5f740000 nt....uint16_t.. + 46b70: 00016d04 6c6f6e67 20756e73 69676e65 ..m.long unsigne + 46b80: 6420696e 74000704 0975696e 7433325f d int....uint32_ + 46b90: 74000000 01910775 6172745f 6669666f t......uart_fifo + 46ba0: 00080000 01ff0873 74617274 5f696e64 .......start_ind + 46bb0: 65780000 00018302 23000865 6e645f69 ex......#..end_i + 46bc0: 6e646578 00000001 83022302 086f7665 ndex......#..ove + 46bd0: 7272756e 5f657272 00000001 a6022304 rrun_err......#. + 46be0: 00077561 72745f61 70690020 000002b8 ..uart_api. .... + 46bf0: 085f7561 72745f69 6e697400 0000030f ._uart_init..... + 46c00: 02230008 5f756172 745f6368 61725f70 .#.._uart_char_p + 46c10: 75740000 00033602 2304085f 75617274 ut....6.#.._uart + 46c20: 5f636861 725f6765 74000000 034a0223 _char_get....J.# + 46c30: 08085f75 6172745f 7374725f 6f757400 .._uart_str_out. + 46c40: 00000353 02230c08 5f756172 745f7461 ...S.#.._uart_ta + 46c50: 736b0000 00010202 2310085f 75617274 sk......#.._uart + 46c60: 5f737461 74757300 0000030f 02231408 _status......#.. + 46c70: 5f756172 745f636f 6e666967 00000003 _uart_config.... + 46c80: 5c022318 085f7561 72745f68 77696e69 \.#.._uart_hwini + 46c90: 74000000 03650223 1c000300 0001ff04 t....e.#........ + 46ca0: 00077561 72745f62 6c6b0010 00000309 ..uart_blk...... + 46cb0: 08646562 75675f6d 6f646500 00000183 .debug_mode..... + 46cc0: 02230008 62617564 00000001 83022302 .#..baud......#. + 46cd0: 085f7561 72740000 0002b802 2304085f ._uart......#.._ + 46ce0: 74780000 0001b402 23080006 000001a6 tx......#....... + 46cf0: 01030000 03090400 04756e73 69676e65 .........unsigne + 46d00: 64206368 61720007 01097569 6e74385f d char....uint8_ + 46d10: 74000000 03160201 03000003 34040003 t...........4... + 46d20: 00000327 04000600 00018301 03000003 ...'............ + 46d30: 44040002 01030000 03510400 02010300 D........Q...... + 46d40: 00035a04 00020103 00000363 04000300 ..Z........c.... + 46d50: 00011004 00060000 01090103 00000373 ...............s + 46d60: 04000744 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST + 46d70: 52554354 000c0000 03cb0863 6d645f73 RUCT.......cmd_s + 46d80: 74720000 00036c02 23000868 656c705f tr....l.#..help_ + 46d90: 73747200 0000036c 02230408 636d645f str....l.#..cmd_ + 46da0: 66756e63 00000003 79022308 00076462 func....y.#...db + 46db0: 675f6170 69000800 0003fe08 5f646267 g_api......._dbg + 46dc0: 5f696e69 74000000 01020223 00085f64 _init......#.._d + 46dd0: 62675f74 61736b00 00000102 02230400 bg_task......#.. + 46de0: 0a040004 756e7369 676e6564 20696e74 ....unsigned int + 46df0: 00070406 000003fe 01030000 04110400 ................ + 46e00: 0b0b0300 00041f04 00060000 03fe0103 ................ + 46e10: 00000427 04000600 00010901 03000004 ...'............ + 46e20: 34040007 6d656d5f 61706900 14000004 4...mem_api..... + 46e30: a3085f6d 656d5f69 6e697400 00000102 .._mem_init..... + 46e40: 02230008 5f6d656d 73657400 00000417 .#.._memset..... + 46e50: 02230408 5f6d656d 63707900 0000042d .#.._memcpy....- + 46e60: 02230808 5f6d656d 6d6f7665 00000004 .#.._memmove.... + 46e70: 2d02230c 085f6d65 6d636d70 00000004 -.#.._memcmp.... + 46e80: 3a022310 000c7265 67697374 65725f64 :.#...register_d + 46e90: 756d705f 73000001 03000004 a3040002 ump_s........... + 46ea0: 01030000 04bd0400 02010300 0004c604 ................ + 46eb0: 00060000 01090103 000004cf 04000d68 ...............h + 46ec0: 6f737469 665f7300 04000005 2b0e4849 ostif_s.....+.HI + 46ed0: 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE + 46ee0: 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI + 46ef0: 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. + 46f00: 040e4849 465f4e4f 4e450005 0009415f ..HIF_NONE....A_ + 46f10: 484f5354 49460000 0004dc06 0000052b HOSTIF.........+ + 46f20: 01030000 05390400 06000003 27010300 .....9......'... + 46f30: 00054604 00060000 01830103 00000553 ..F............S + 46f40: 0400076d 6973635f 61706900 24000006 ...misc_api.$... + 46f50: 43085f73 79737465 6d5f7265 73657400 C._system_reset. + 46f60: 00000102 02230008 5f6d6163 5f726573 .....#.._mac_res + 46f70: 65740000 00010202 2304085f 61737366 et......#.._assf + 46f80: 61696c00 000004bf 02230808 5f6d6973 ail......#.._mis + 46f90: 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han + 46fa0: 646c6572 00000004 bf02230c 085f7265 dler......#.._re + 46fb0: 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ + 46fc0: 686f7374 00000004 c8022310 085f7461 host......#.._ta + 46fd0: 72676574 5f69645f 67657400 000004d5 rget_id_get..... + 46fe0: 02231408 5f69735f 686f7374 5f707265 .#.._is_host_pre + 46ff0: 73656e74 00000005 3f022318 085f6b62 sent....?.#.._kb + 47000: 68697400 0000054c 02231c08 5f726f6d hit....L.#.._rom + 47010: 5f766572 73696f6e 5f676574 00000005 _version_get.... + 47020: 59022320 00060000 036c0103 00000643 Y.# .....l.....C + 47030: 04000600 00036c01 03000006 50040006 ......l.....P... + 47040: 00000109 01030000 065d0400 06000001 .........]...... + 47050: 09010300 00066a04 00060000 01090103 ......j......... + 47060: 00000677 04000773 7472696e 675f6170 ...w...string_ap + 47070: 69001800 0006fd08 5f737472 696e675f i......._string_ + 47080: 696e6974 00000001 02022300 085f7374 init......#.._st + 47090: 72637079 00000006 49022304 085f7374 rcpy....I.#.._st + 470a0: 726e6370 79000000 06560223 08085f73 rncpy....V.#.._s + 470b0: 74726c65 6e000000 06630223 0c085f73 trlen....c.#.._s + 470c0: 7472636d 70000000 06700223 10085f73 trcmp....p.#.._s + 470d0: 74726e63 6d700000 00067d02 2314000f trncmp....}.#... + 470e0: 00000401 14000007 0a100400 095f415f ............._A_ + 470f0: 54494d45 525f5350 41434500 000006fd TIMER_SPACE..... + 47100: 09415f74 696d6572 5f740000 00070a03 .A_timer_t...... + 47110: 0000071e 04000201 03000007 34040002 ............4... + 47120: 01030000 073d0400 09415f48 414e444c .....=...A_HANDL + 47130: 45000000 04010201 09415f54 494d4552 E........A_TIMER + 47140: 5f46554e 43000000 07540300 00075604 _FUNC....T....V. + 47150: 00020103 0000076f 04000774 696d6572 .......o...timer + 47160: 5f617069 00140000 07ee085f 74696d65 _api......._time + 47170: 725f696e 69740000 00010202 2300085f r_init......#.._ + 47180: 74696d65 725f6172 6d000000 07360223 timer_arm....6.# + 47190: 04085f74 696d6572 5f646973 61726d00 .._timer_disarm. + 471a0: 0000073f 02230808 5f74696d 65725f73 ...?.#.._timer_s + 471b0: 6574666e 00000007 7102230c 085f7469 etfn....q.#.._ti + 471c0: 6d65725f 72756e00 00000102 02231000 mer_run......#.. + 471d0: 09424f4f 4c45414e 00000001 83060000 .BOOLEAN........ + 471e0: 07ee0103 000007fb 04000600 0007ee01 ................ + 471f0: 03000008 08040006 000007ee 01030000 ................ + 47200: 08150400 07726f6d 705f6170 69001000 .....romp_api... + 47210: 00088708 5f726f6d 705f696e 69740000 ...._romp_init.. + 47220: 00010202 2300085f 726f6d70 5f646f77 ....#.._romp_dow + 47230: 6e6c6f61 64000000 08010223 04085f72 nload......#.._r + 47240: 6f6d705f 696e7374 616c6c00 0000080e omp_install..... + 47250: 02230808 5f726f6d 705f6465 636f6465 .#.._romp_decode + 47260: 00000008 1b02230c 0007726f 6d5f7061 ......#...rom_pa + 47270: 7463685f 73740010 000008e3 08637263 tch_st.......crc + 47280: 31360000 00018302 2300086c 656e0000 16......#..len.. + 47290: 00018302 2302086c 645f6164 64720000 ....#..ld_addr.. + 472a0: 0001a602 23040866 756e5f61 64647200 ....#..fun_addr. + 472b0: 000001a6 02230808 7066756e 00000003 .....#..pfun.... + 472c0: 3d02230c 00076565 705f7265 6469725f =.#...eep_redir_ + 472d0: 61646472 00040000 0915086f 66667365 addr.......offse + 472e0: 74000000 01830223 00087369 7a650000 t......#..size.. + 472f0: 00018302 23020009 415f5549 4e543332 ....#...A_UINT32 + 47300: 00000004 01060000 03fe0103 00000923 ...............# + 47310: 04000761 6c6c6f63 72616d5f 61706900 ...allocram_api. + 47320: 0c000009 9408636d 6e6f735f 616c6c6f ......cmnos_allo + 47330: 6372616d 5f696e69 74000000 09290223 cram_init....).# + 47340: 0008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 47350: 00000009 29022304 08636d6e 6f735f61 ....).#..cmnos_a + 47360: 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... + 47370: 01020223 08000201 03000009 94040009 ...#............ + 47380: 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. + 47390: 00099607 5f746173 6b6c6574 00100000 ...._tasklet.... + 473a0: 09f50866 756e6300 0000099d 02230008 ...func......#.. + 473b0: 61726700 000003fe 02230408 73746174 arg......#..stat + 473c0: 65000000 01090223 08086e65 78740000 e......#..next.. + 473d0: 0009f502 230c0003 000009b1 04000300 ....#........... + 473e0: 0009b104 0009415f 7461736b 6c65745f ......A_tasklet_ + 473f0: 74000000 09b10300 000a0304 00020103 t............... + 47400: 00000a1b 04000201 0300000a 24040007 ............$... + 47410: 7461736b 6c65745f 61706900 1400000a tasklet_api..... + 47420: b9085f74 61736b6c 65745f69 6e697400 .._tasklet_init. + 47430: 00000102 02230008 5f746173 6b6c6574 .....#.._tasklet + 47440: 5f696e69 745f7461 736b0000 000a1d02 _init_task...... + 47450: 2304085f 7461736b 6c65745f 64697361 #.._tasklet_disa + 47460: 626c6500 00000a26 02230808 5f746173 ble....&.#.._tas + 47470: 6b6c6574 5f736368 6564756c 65000000 klet_schedule... + 47480: 0a260223 0c085f74 61736b6c 65745f72 .&.#.._tasklet_r + 47490: 756e0000 00010202 23100002 01030000 un......#....... + 474a0: 0ab90400 06000009 15010300 000ac204 ................ + 474b0: 00020103 00000acf 04000763 6c6f636b ...........clock + 474c0: 5f617069 00240000 0bb1085f 636c6f63 _api.$....._cloc + 474d0: 6b5f696e 69740000 000abb02 2300085f k_init......#.._ + 474e0: 636c6f63 6b726567 735f696e 69740000 clockregs_init.. + 474f0: 00010202 2304085f 75617274 5f667265 ....#.._uart_fre + 47500: 7175656e 63790000 000ac802 2308085f quency......#.._ + 47510: 64656c61 795f7573 0000000a d102230c delay_us......#. + 47520: 085f776c 616e5f62 616e645f 73657400 ._wlan_band_set. + 47530: 00000ad1 02231008 5f726566 636c6b5f .....#.._refclk_ + 47540: 73706565 645f6765 74000000 0ac80223 speed_get......# + 47550: 14085f6d 696c6c69 7365636f 6e647300 .._milliseconds. + 47560: 00000ac8 02231808 5f737973 636c6b5f .....#.._sysclk_ + 47570: 6368616e 67650000 00010202 231c085f change......#.._ + 47580: 636c6f63 6b5f7469 636b0000 00010202 clock_tick...... + 47590: 23200006 000001a6 01030000 0bb10400 # .............. + 475a0: 09415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... + 475b0: 01a60600 000bbe01 0300000b d0040002 ................ + 475c0: 01030000 0bdd0400 02010300 000be604 ................ + 475d0: 00060000 01a60103 00000bef 04000941 ...............A + 475e0: 5f697372 5f740000 000bf502 01030000 _isr_t.......... + 475f0: 0c090400 06000004 01010300 000c1204 ................ + 47600: 00020103 00000c1f 04000769 6e74725f ...........intr_ + 47610: 61706900 2c00000d 41085f69 6e74725f api.,...A._intr_ + 47620: 696e6974 00000001 02022300 085f696e init......#.._in + 47630: 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... + 47640: 0bb70223 04085f69 6e74725f 64697361 ...#.._intr_disa + 47650: 626c6500 00000bd6 02230808 5f696e74 ble......#.._int + 47660: 725f7265 73746f72 65000000 0bdf0223 r_restore......# + 47670: 0c085f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu + 47680: 6d000000 0be80223 10085f69 6e74725f m......#.._intr_ + 47690: 756e6d61 736b5f69 6e756d00 00000be8 unmask_inum..... + 476a0: 02231408 5f696e74 725f6174 74616368 .#.._intr_attach + 476b0: 5f697372 0000000c 0b022318 085f6765 _isr......#.._ge + 476c0: 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 476d0: 1802231c 085f7365 745f696e 7472656e ..#.._set_intren + 476e0: 61626c65 0000000c 21022320 085f6765 able....!.# ._ge + 476f0: 745f696e 74727065 6e64696e 67000000 t_intrpending... + 47700: 0c180223 24085f75 6e626c6f 636b5f61 ...#$._unblock_a + 47710: 6c6c5f69 6e74726c 766c0000 00010202 ll_intrlvl...... + 47720: 23280011 0400000d 67087469 6d656f75 #(......g.timeou + 47730: 74000000 01a60223 00086163 74696f6e t......#..action + 47740: 00000001 a6022300 00120800 000d8208 ......#......... + 47750: 636d6400 000001a6 02230013 00000d41 cmd......#.....A + 47760: 02230400 09545f57 44545f43 4d440000 .#...T_WDT_CMD.. + 47770: 000d6702 01030000 0d910400 14040000 ..g............. + 47780: 0de70e45 4e554d5f 5744545f 424f4f54 ...ENUM_WDT_BOOT + 47790: 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO + 477a0: 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO + 477b0: 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW + 477c0: 4e5f424f 4f540004 0009545f 424f4f54 N_BOOT....T_BOOT + 477d0: 5f545950 45000000 0d9a0600 000de701 _TYPE........... + 477e0: 0300000d f8040007 7764745f 61706900 ........wdt_api. + 477f0: 1c00000e 9c085f77 64745f69 6e697400 ......_wdt_init. + 47800: 00000102 02230008 5f776474 5f656e61 .....#.._wdt_ena + 47810: 626c6500 00000102 02230408 5f776474 ble......#.._wdt + 47820: 5f646973 61626c65 00000001 02022308 _disable......#. + 47830: 085f7764 745f7365 74000000 0d930223 ._wdt_set......# + 47840: 0c085f77 64745f74 61736b00 00000102 .._wdt_task..... + 47850: 02231008 5f776474 5f726573 65740000 .#.._wdt_reset.. + 47860: 00010202 2314085f 7764745f 6c617374 ....#.._wdt_last + 47870: 5f626f6f 74000000 0dfe0223 18001404 _boot......#.... + 47880: 00000f03 0e524554 5f535543 43455353 .....RET_SUCCESS + 47890: 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. + 478a0: 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. + 478b0: 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP + 478c0: 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER + 478d0: 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO + 478e0: 574e0005 0009545f 4545505f 52455400 WN....T_EEP_RET. + 478f0: 00000e9c 03000001 83040006 00000f03 ................ + 47900: 01030000 0f190400 0600000f 03010300 ................ + 47910: 000f2604 00076565 705f6170 69001000 ..&...eep_api... + 47920: 000f8f08 5f656570 5f696e69 74000000 ...._eep_init... + 47930: 01020223 00085f65 65705f72 65616400 ...#.._eep_read. + 47940: 00000f1f 02230408 5f656570 5f777269 .....#.._eep_wri + 47950: 74650000 000f1f02 2308085f 6565705f te......#.._eep_ + 47960: 69735f65 78697374 0000000f 2c02230c is_exist....,.#. + 47970: 00077573 625f6170 69007000 00123c08 ..usb_api.p...<. + 47980: 5f757362 5f696e69 74000000 01020223 _usb_init......# + 47990: 00085f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. + 479a0: 00000102 02230408 5f757362 5f66775f .....#.._usb_fw_ + 479b0: 7461736b 00000001 02022308 085f7573 task......#.._us + 479c0: 625f696e 69745f70 68790000 00010202 b_init_phy...... + 479d0: 230c085f 7573625f 6570305f 73657475 #.._usb_ep0_setu + 479e0: 70000000 01020223 10085f75 73625f65 p......#.._usb_e + 479f0: 70305f74 78000000 01020223 14085f75 p0_tx......#.._u + 47a00: 73625f65 70305f72 78000000 01020223 sb_ep0_rx......# + 47a10: 18085f75 73625f67 65745f69 6e746572 .._usb_get_inter + 47a20: 66616365 00000008 0e02231c 085f7573 face......#.._us + 47a30: 625f7365 745f696e 74657266 61636500 b_set_interface. + 47a40: 0000080e 02232008 5f757362 5f676574 .....# ._usb_get + 47a50: 5f636f6e 66696775 72617469 6f6e0000 _configuration.. + 47a60: 00080e02 2324085f 7573625f 7365745f ....#$._usb_set_ + 47a70: 636f6e66 69677572 6174696f 6e000000 configuration... + 47a80: 080e0223 28085f75 73625f73 74616e64 ...#(._usb_stand + 47a90: 6172645f 636d6400 0000080e 02232c08 ard_cmd......#,. + 47aa0: 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. + 47ab0: 00000102 02233008 5f757362 5f706f77 .....#0._usb_pow + 47ac0: 65725f6f 66660000 00010202 2334085f er_off......#4._ + 47ad0: 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. + 47ae0: 00010202 2338085f 7573625f 67656e5f ....#8._usb_gen_ + 47af0: 77647400 00000102 02233c08 5f757362 wdt......#<._usb + 47b00: 5f6a756d 705f626f 6f740000 00010202 _jump_boot...... + 47b10: 2340085f 7573625f 636c725f 66656174 #@._usb_clr_feat + 47b20: 75726500 0000080e 02234408 5f757362 ure......#D._usb + 47b30: 5f736574 5f666561 74757265 00000008 _set_feature.... + 47b40: 0e022348 085f7573 625f7365 745f6164 ..#H._usb_set_ad + 47b50: 64726573 73000000 080e0223 4c085f75 dress......#L._u + 47b60: 73625f67 65745f64 65736372 6970746f sb_get_descripto + 47b70: 72000000 080e0223 50085f75 73625f67 r......#P._usb_g + 47b80: 65745f73 74617475 73000000 080e0223 et_status......# + 47b90: 54085f75 73625f73 65747570 5f646573 T._usb_setup_des + 47ba0: 63000000 01020223 58085f75 73625f72 c......#X._usb_r + 47bb0: 65675f6f 75740000 00010202 235c085f eg_out......#\._ + 47bc0: 7573625f 73746174 75735f69 6e000000 usb_status_in... + 47bd0: 01020223 60085f75 73625f65 70305f74 ...#`._usb_ep0_t + 47be0: 785f6461 74610000 00010202 2364085f x_data......#d._ + 47bf0: 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. + 47c00: 00000102 02236808 5f757362 5f636c6b .....#h._usb_clk + 47c10: 5f696e69 74000000 01020223 6c00075f _init......#l.._ + 47c20: 56444553 43002400 0012c808 6e657874 VDESC.$.....next + 47c30: 5f646573 63000000 12c80223 00086275 _desc......#..bu + 47c40: 665f6164 64720000 0012dc02 23040862 f_addr......#..b + 47c50: 75665f73 697a6500 000012e3 02230808 uf_size......#.. + 47c60: 64617461 5f6f6666 73657400 000012e3 data_offset..... + 47c70: 02230a08 64617461 5f73697a 65000000 .#..data_size... + 47c80: 12e30223 0c08636f 6e74726f 6c000000 ...#..control... + 47c90: 12e30223 0e086877 5f646573 635f6275 ...#..hw_desc_bu + 47ca0: 66000000 12f10223 10000300 00123c04 f......#......<. + 47cb0: 0009415f 55494e54 38000000 03160300 ..A_UINT8....... + 47cc0: 0012cf04 0009415f 55494e54 31360000 ......A_UINT16.. + 47cd0: 00016d0f 000012cf 14000012 fe101300 ..m............. + 47ce0: 03000012 3c040009 56444553 43000000 ....<...VDESC... + 47cf0: 123c0300 00130504 00060000 13100103 .<.............. + 47d00: 00001317 04000600 0012dc01 03000013 ................ + 47d10: 24040002 01030000 13310400 07766465 $........1...vde + 47d20: 73635f61 70690014 000013a9 085f696e sc_api......._in + 47d30: 69740000 000ad102 2300085f 616c6c6f it......#.._allo + 47d40: 635f7664 65736300 0000131d 02230408 c_vdesc......#.. + 47d50: 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... + 47d60: 2a022308 085f7377 61705f76 64657363 *.#.._swap_vdesc + 47d70: 00000013 3302230c 08705265 73657276 ....3.#..pReserv + 47d80: 65640000 0003fe02 23100007 5f564255 ed......#..._VBU + 47d90: 46002000 00140908 64657363 5f6c6973 F. .....desc_lis + 47da0: 74000000 13100223 00086e65 78745f62 t......#..next_b + 47db0: 75660000 00140902 23040862 75665f6c uf......#..buf_l + 47dc0: 656e6774 68000000 12e30223 08087265 ength......#..re + 47dd0: 73657276 65640000 00141002 230a0863 served......#..c + 47de0: 74780000 0012f102 230c0003 000013a9 tx......#....... + 47df0: 04000f00 0012cf02 0000141d 10010003 ................ + 47e00: 000013a9 04000956 42554600 000013a9 .......VBUF..... + 47e10: 03000014 24040006 0000142e 01030000 ....$........... + 47e20: 14350400 06000014 2e010300 00144204 .5............B. + 47e30: 00020103 0000144f 04000776 6275665f .......O...vbuf_ + 47e40: 61706900 14000014 cd085f69 6e697400 api......._init. + 47e50: 00000ad1 02230008 5f616c6c 6f635f76 .....#.._alloc_v + 47e60: 62756600 0000143b 02230408 5f616c6c buf....;.#.._all + 47e70: 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz + 47e80: 65000000 14480223 08085f66 7265655f e....H.#.._free_ + 47e90: 76627566 00000014 5102230c 08705265 vbuf....Q.#..pRe + 47ea0: 73657276 65640000 0003fe02 23100007 served......#... + 47eb0: 5f5f6164 665f6465 76696365 00040000 __adf_device.... + 47ec0: 14ef0864 756d6d79 00000001 09022300 ...dummy......#. + 47ed0: 00030000 09150400 075f5f61 64665f64 .........__adf_d + 47ee0: 6d615f6d 6170000c 00001536 08627566 ma_map.....6.buf + 47ef0: 00000014 2e022300 0864735f 61646472 ......#..ds_addr + 47f00: 00000014 ef022304 0864735f 6c656e00 ......#..ds_len. + 47f10: 000012e3 02230800 120c0000 1570085f .....#.......p._ + 47f20: 5f76615f 73746b00 0000036c 02230008 _va_stk....l.#.. + 47f30: 5f5f7661 5f726567 00000003 6c022304 __va_reg....l.#. + 47f40: 085f5f76 615f6e64 78000000 01090223 .__va_ndx......# + 47f50: 0800095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 47f60: 61646472 5f740000 00091509 6164665f addr_t......adf_ + 47f70: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 47f80: 1570095f 5f616466 5f6f735f 646d615f .p.__adf_os_dma_ + 47f90: 73697a65 5f740000 00091509 6164665f size_t......adf_ + 47fa0: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 47fb0: 15a0075f 5f646d61 5f736567 73000800 ...__dma_segs... + 47fc0: 0015fc08 70616464 72000000 15890223 ....paddr......# + 47fd0: 00086c65 6e000000 15b90223 0400095f ..len......#..._ + 47fe0: 5f615f75 696e7433 325f7400 00000915 _a_uint32_t..... + 47ff0: 09615f75 696e7433 325f7400 000015fc .a_uint32_t..... + 48000: 0f000015 d0080000 162b1000 00076164 .........+....ad + 48010: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 48020: 000c0000 1664086e 73656773 00000016 .....d.nsegs.... + 48030: 0e022300 08646d61 5f736567 73000000 ..#..dma_segs... + 48040: 161e0223 0400095f 5f615f75 696e7438 ...#...__a_uint8 + 48050: 5f740000 0012cf09 615f7569 6e74385f _t......a_uint8_ + 48060: 74000000 16640300 00167504 00075f5f t....d....u...__ + 48070: 73675f73 65677300 08000016 b6087661 sg_segs.......va + 48080: 64647200 00001684 02230008 6c656e00 ddr......#..len. + 48090: 0000160e 02230400 0f000016 8b200000 .....#....... .. + 480a0: 16c31003 00076164 665f6f73 5f73676c ......adf_os_sgl + 480b0: 69737400 24000016 f6086e73 65677300 ist.$.....nsegs. + 480c0: 0000160e 02230008 73675f73 65677300 .....#..sg_segs. + 480d0: 000016b6 02230400 12100000 173f0876 .....#.......?.v + 480e0: 656e646f 72000000 160e0223 00086465 endor......#..de + 480f0: 76696365 00000016 0e022304 08737562 vice......#..sub + 48100: 76656e64 6f720000 00160e02 23080873 vendor......#..s + 48110: 75626465 76696365 00000016 0e02230c ubdevice......#. + 48120: 00046c6f 6e67206c 6f6e6720 756e7369 ..long long unsi + 48130: 676e6564 20696e74 00070809 415f5549 gned int....A_UI + 48140: 4e543634 00000017 3f095f5f 615f7569 NT64....?.__a_ui + 48150: 6e743634 5f740000 00175909 615f7569 nt64_t....Y.a_ui + 48160: 6e743634 5f740000 00176714 04000017 nt64_t....g..... + 48170: c50e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC + 48180: 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF + 48190: 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP + 481a0: 455f494f 00010009 6164665f 6f735f72 E_IO....adf_os_r + 481b0: 65736f75 7263655f 74797065 5f740000 esource_type_t.. + 481c0: 00178912 18000018 0f087374 61727400 ..........start. + 481d0: 00001779 02230008 656e6400 00001779 ...y.#..end....y + 481e0: 02230808 74797065 00000017 c5022310 .#..type......#. + 481f0: 00096164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev + 48200: 5f69645f 74000000 16f60300 00180f04 _id_t........... + 48210: 00110400 00184e08 70636900 00001828 ......N.pci....( + 48220: 02230008 72617700 000003fe 02230000 .#..raw......#.. + 48230: 11100000 186d0870 63690000 00180f02 .....m.pci...... + 48240: 23000872 61770000 0003fe02 23000009 #..raw......#... + 48250: 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t + 48260: 00000003 fe096164 665f6f73 5f726573 ......adf_os_res + 48270: 6f757263 655f7400 000017e1 03000018 ource_t......... + 48280: 83040009 6164665f 6f735f61 74746163 ....adf_os_attac + 48290: 685f6461 74615f74 00000018 4e030000 h_data_t....N... + 482a0: 18a10400 03000014 cd040009 5f5f6164 ............__ad + 482b0: 665f6f73 5f646576 6963655f 74000000 f_os_device_t... + 482c0: 18c20961 64665f6f 735f6465 76696365 ...adf_os_device + 482d0: 5f740000 0018c906 0000186d 01030000 _t.........m.... + 482e0: 18f50400 02010300 00190204 00096164 ..............ad + 482f0: 665f6f73 5f706d5f 74000000 03fe0201 f_os_pm_t....... + 48300: 03000019 1c040014 04000019 5c0e4144 ............\.AD + 48310: 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC + 48320: 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T + 48330: 5950455f 47454e45 52494300 02000961 YPE_GENERIC....a + 48340: 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t + 48350: 00000019 25096164 665f6f73 5f627573 ....%.adf_os_bus + 48360: 5f726567 5f646174 615f7400 0000182f _reg_data_t..../ + 48370: 03000003 16040007 5f616466 5f647276 ........_adf_drv + 48380: 5f696e66 6f002000 001a3908 6472765f _info. ...9.drv_ + 48390: 61747461 63680000 0018fb02 23000864 attach......#..d + 483a0: 72765f64 65746163 68000000 19040223 rv_detach......# + 483b0: 04086472 765f7375 7370656e 64000000 ..drv_suspend... + 483c0: 191e0223 08086472 765f7265 73756d65 ...#..drv_resume + 483d0: 00000019 0402230c 08627573 5f747970 ......#..bus_typ + 483e0: 65000000 195c0223 10086275 735f6461 e....\.#..bus_da + 483f0: 74610000 00197302 2314086d 6f645f6e ta....s.#..mod_n + 48400: 616d6500 0000198e 02231808 69666e61 ame......#..ifna + 48410: 6d650000 00198e02 231c0009 6164665f me......#...adf_ + 48420: 6f735f68 616e646c 655f7400 000003fe os_handle_t..... + 48430: 03000016 64040002 01020109 5f5f6164 ....d.......__ad + 48440: 665f6f73 5f73697a 655f7400 00000401 f_os_size_t..... + 48450: 14040000 1a880e41 5f46414c 53450000 .......A_FALSE.. + 48460: 0e415f54 52554500 01000961 5f626f6f .A_TRUE....a_boo + 48470: 6c5f7400 00001a6e 03000014 f6040009 l_t....n........ + 48480: 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map + 48490: 5f740000 001a9602 010d6164 665f6f73 _t........adf_os + 484a0: 5f636163 68655f73 796e6300 0400001b _cache_sync..... + 484b0: 200e4144 465f5359 4e435f50 52455245 .ADF_SYNC_PRERE + 484c0: 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR + 484d0: 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN + 484e0: 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF + 484f0: 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. + 48500: 03000961 64665f6f 735f6361 6368655f ...adf_os_cache_ + 48510: 73796e63 5f740000 001ab702 01096164 sync_t........ad + 48520: 665f6f73 5f73697a 655f7400 00001a59 f_os_size_t....Y + 48530: 0600001b 3b010961 64665f6f 735f646d ....;..adf_os_dm + 48540: 615f6d61 705f7400 00001a9d 0300001b a_map_t......... + 48550: 54040006 000003fe 01030000 1a9d0400 T............... + 48560: 06000003 fe010201 06000015 89010201 ................ + 48570: 0473686f 72742069 6e740005 0209415f .short int....A_ + 48580: 494e5431 36000000 1b8e095f 5f615f69 INT16......__a_i + 48590: 6e743136 5f740000 001b9b09 615f696e nt16_t......a_in + 485a0: 7431365f 74000000 1ba80473 69676e65 t16_t......signe + 485b0: 64206368 61720005 0109415f 494e5438 d char....A_INT8 + 485c0: 0000001b c8095f5f 615f696e 74385f74 ......__a_int8_t + 485d0: 0000001b d709615f 696e7438 5f740000 ......a_int8_t.. + 485e0: 001be312 0c00001c 5a087375 70706f72 ........Z.suppor + 485f0: 74656400 0000160e 02230008 61647665 ted......#..adve + 48600: 7274697a 65640000 00160e02 23040873 rtized......#..s + 48610: 70656564 0000001b b9022308 08647570 peed......#..dup + 48620: 6c657800 00001bf3 02230a08 6175746f lex......#..auto + 48630: 6e656700 00001675 02230b00 0f000016 neg....u.#...... + 48640: 75060000 1c671005 00076164 665f6e65 u....g....adf_ne + 48650: 745f6574 68616464 72000600 001c8b08 t_ethaddr....... + 48660: 61646472 0000001c 5a022300 00095f5f addr....Z.#...__ + 48670: 615f7569 6e743136 5f740000 0012e309 a_uint16_t...... + 48680: 615f7569 6e743136 5f740000 001c8b12 a_uint16_t...... + 48690: 0e00001c ef086574 6865725f 64686f73 ......ether_dhos + 486a0: 74000000 1c5a0223 00086574 6865725f t....Z.#..ether_ + 486b0: 73686f73 74000000 1c5a0223 06086574 shost....Z.#..et + 486c0: 6865725f 74797065 0000001c 9d02230c her_type......#. + 486d0: 00121400 001db015 69705f76 65727369 ........ip_versi + 486e0: 6f6e0000 00167501 00040223 00156970 on....u....#..ip + 486f0: 5f686c00 00001675 01040402 23000869 _hl....u....#..i + 48700: 705f746f 73000000 16750223 01086970 p_tos....u.#..ip + 48710: 5f6c656e 0000001c 9d022302 0869705f _len......#..ip_ + 48720: 69640000 001c9d02 23040869 705f6672 id......#..ip_fr + 48730: 61675f6f 66660000 001c9d02 23060869 ag_off......#..i + 48740: 705f7474 6c000000 16750223 08086970 p_ttl....u.#..ip + 48750: 5f70726f 746f0000 00167502 23090869 _proto....u.#..i + 48760: 705f6368 65636b00 00001c9d 02230a08 p_check......#.. + 48770: 69705f73 61646472 00000016 0e02230c ip_saddr......#. + 48780: 0869705f 64616464 72000000 160e0223 .ip_daddr......# + 48790: 10000761 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 487a0: 64720004 00001e02 08747069 64000000 dr.......tpid... + 487b0: 1c9d0223 00157072 696f0000 00167501 ...#..prio....u. + 487c0: 00030223 02156366 69000000 16750103 ...#..cfi....u.. + 487d0: 01022302 15766964 0000001c 9d02040c ..#..vid........ + 487e0: 02230200 07616466 5f6e6574 5f766964 .#...adf_net_vid + 487f0: 00020000 1e331572 65730000 00167501 .....3.res....u. + 48800: 00040223 00157661 6c000000 1c9d0204 ...#..val....... + 48810: 0c022300 00120c00 001e6f08 72785f62 ..#.......o.rx_b + 48820: 75667369 7a650000 00160e02 23000872 ufsize......#..r + 48830: 785f6e64 65736300 0000160e 02230408 x_ndesc......#.. + 48840: 74785f6e 64657363 00000016 0e022308 tx_ndesc......#. + 48850: 00120800 001e9508 706f6c6c 65640000 ........polled.. + 48860: 001a8802 23000870 6f6c6c5f 77740000 ....#..poll_wt.. + 48870: 00160e02 2304000f 00001675 4000001e ....#......u@... + 48880: a2103f00 12460000 1eca0869 665f6e61 ..?..F.....if_na + 48890: 6d650000 001e9502 23000864 65765f61 me......#..dev_a + 488a0: 64647200 00001c5a 02234000 14040000 ddr....Z.#@..... + 488b0: 1f010e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 488c0: 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O + 488d0: 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT + 488e0: 00010009 6164665f 6f735f64 6d615f6d ....adf_os_dma_m + 488f0: 61736b5f 74000000 1eca0761 64665f64 ask_t......adf_d + 48900: 6d615f69 6e666f00 0800001f 4e08646d ma_info.....N.dm + 48910: 615f6d61 736b0000 001f0102 23000873 a_mask......#..s + 48920: 675f6e73 65677300 0000160e 02230400 g_nsegs......#.. + 48930: 14040000 1fa40e41 44465f4e 45545f43 .......ADF_NET_C + 48940: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 48950: 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 48960: 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET + 48970: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 48980: 50763600 02000961 64665f6e 65745f63 Pv6....adf_net_c + 48990: 6b73756d 5f747970 655f7400 00001f4e ksum_type_t....N + 489a0: 12080000 1fe70874 785f636b 73756d00 .......tx_cksum. + 489b0: 00001fa4 02230008 72785f63 6b73756d .....#..rx_cksum + 489c0: 0000001f a4022304 00096164 665f6e65 ......#...adf_ne + 489d0: 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. + 489e0: 001fbe14 04000020 400e4144 465f4e45 ....... @.ADF_NE + 489f0: 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF + 48a00: 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... + 48a10: 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. + 48a20: 02000961 64665f6e 65745f74 736f5f74 ...adf_net_tso_t + 48a30: 7970655f 74000000 20011210 00002094 ype_t... ..... . + 48a40: 08636b73 756d5f63 61700000 001fe702 .cksum_cap...... + 48a50: 23000874 736f0000 00204002 23080876 #..tso... @.#..v + 48a60: 6c616e5f 73757070 6f727465 64000000 lan_supported... + 48a70: 16750223 0c001220 0000212d 0874785f .u.#... ..!-.tx_ + 48a80: 7061636b 65747300 0000160e 02230008 packets......#.. + 48a90: 72785f70 61636b65 74730000 00160e02 rx_packets...... + 48aa0: 23040874 785f6279 74657300 0000160e #..tx_bytes..... + 48ab0: 02230808 72785f62 79746573 00000016 .#..rx_bytes.... + 48ac0: 0e02230c 0874785f 64726f70 70656400 ..#..tx_dropped. + 48ad0: 0000160e 02231008 72785f64 726f7070 .....#..rx_dropp + 48ae0: 65640000 00160e02 23140872 785f6572 ed......#..rx_er + 48af0: 726f7273 00000016 0e022318 0874785f rors......#..tx_ + 48b00: 6572726f 72730000 00160e02 231c0009 errors......#... + 48b10: 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ + 48b20: 74000000 1c671600 00212d03 00000021 t....g...!-....! + 48b30: 52107f00 17616466 5f6e6574 5f636d64 R....adf_net_cmd + 48b40: 5f6d6361 64647200 03040000 2189086e _mcaddr.....!..n + 48b50: 656c656d 00000016 0e022300 086d6361 elem......#..mca + 48b60: 73740000 00214402 23040009 6164665f st...!D.#...adf_ + 48b70: 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf + 48b80: 6f5f7400 00001c01 09616466 5f6e6574 o_t......adf_net + 48b90: 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t + 48ba0: 0000001e 6f096164 665f6e65 745f636d ....o.adf_net_cm + 48bb0: 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. + 48bc0: 001fe709 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 48bd0: 72696e67 5f696e66 6f5f7400 00001e33 ring_info_t....3 + 48be0: 09616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma + 48bf0: 5f696e66 6f5f7400 00001f18 09616466 _info_t......adf + 48c00: 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. + 48c10: 001c9d09 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 48c20: 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... + 48c30: 20580961 64665f6e 65745f63 6d645f73 X.adf_net_cmd_s + 48c40: 74617473 5f740000 00209409 6164665f tats_t... ..adf_ + 48c50: 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t + 48c60: 00000021 520d6164 665f6e65 745f636d ...!R.adf_net_cm + 48c70: 645f6d63 6173745f 63617000 04000022 d_mcast_cap...." + 48c80: cb0e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ + 48c90: 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC + 48ca0: 4153545f 4e4f5453 55500001 00096164 AST_NOTSUP....ad + 48cb0: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 48cc0: 6361705f 74000000 22831803 04000023 cap_t..."......# + 48cd0: 9d086c69 6e6b5f69 6e666f00 00002189 ..link_info...!. + 48ce0: 02230008 706f6c6c 5f696e66 6f000000 .#..poll_info... + 48cf0: 21a60223 0008636b 73756d5f 696e666f !..#..cksum_info + 48d00: 00000021 c3022300 0872696e 675f696e ...!..#..ring_in + 48d10: 666f0000 0021e102 23000864 6d615f69 fo...!..#..dma_i + 48d20: 6e666f00 000021fe 02230008 76696400 nfo...!..#..vid. + 48d30: 0000221a 02230008 6f66666c 6f61645f .."..#..offload_ + 48d40: 63617000 00002231 02230008 73746174 cap..."1.#..stat + 48d50: 73000000 22500223 00086d63 6173745f s..."P.#..mcast_ + 48d60: 696e666f 00000022 69022300 086d6361 info..."i.#..mca + 48d70: 73745f63 61700000 0022cb02 23000014 st_cap..."..#... + 48d80: 04000023 f40e4144 465f4e42 55465f52 ...#..ADF_NBUF_R + 48d90: 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A + 48da0: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 48db0: 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R + 48dc0: 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS + 48dd0: 41525900 02000961 64665f6e 6275665f ARY....adf_nbuf_ + 48de0: 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. + 48df0: 0000239d 12080000 24340872 6573756c ..#.....$4.resul + 48e00: 74000000 23f40223 00087661 6c000000 t...#..#..val... + 48e10: 160e0223 04001208 00002464 08747970 ...#......$d.typ + 48e20: 65000000 20400223 00086d73 73000000 e... @.#..mss... + 48e30: 1c9d0223 04086864 725f6f66 66000000 ...#..hdr_off... + 48e40: 16750223 0600075f 5f616466 5f6e6275 .u.#...__adf_nbu + 48e50: 665f7168 65616400 0c000024 a3086865 f_qhead....$..he + 48e60: 61640000 00142e02 23000874 61696c00 ad......#..tail. + 48e70: 0000142e 02230408 716c656e 00000016 .....#..qlen.... + 48e80: 0e022308 00095f5f 6164665f 6e627566 ..#...__adf_nbuf + 48e90: 5f740000 00142e03 00001684 04000300 _t.............. + 48ea0: 00160e04 00020106 00001310 01060000 ................ + 48eb0: 160e0106 00001684 01060000 16840103 ................ + 48ec0: 000012f1 0400095f 5f616466 5f6e6275 .......__adf_nbu + 48ed0: 665f7168 6561645f 74000000 2464095f f_qhead_t...$d._ + 48ee0: 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ + 48ef0: 74000000 24e40300 0024fc04 00060000 t...$....$...... + 48f00: 24a30106 000024a3 01140400 00261c0e $.....$......&.. + 48f10: 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ + 48f20: 53544154 55535f46 41494c45 4400010e STATUS_FAILED... + 48f30: 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. + 48f40: 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME + 48f50: 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN + 48f60: 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E + 48f70: 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S + 48f80: 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. + 48f90: 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. + 48fa0: 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG + 48fb0: 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD + 48fc0: 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST + 48fd0: 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S + 48fe0: 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A + 48ff0: 5f535441 5455535f 45494f00 0c000961 _STATUS_EIO....a + 49000: 5f737461 7475735f 74000000 25270600 _status_t...%'.. + 49010: 00261c01 06000001 09010201 09616466 .&...........adf + 49020: 5f6e6275 665f7400 000024a3 14040000 _nbuf_t...$..... + 49030: 26810e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO + 49040: 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS + 49050: 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE + 49060: 00010009 6164665f 6f735f64 6d615f64 ....adf_os_dma_d + 49070: 69725f74 00000026 4a060000 261c0102 ir_t...&J...&... + 49080: 01096164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 49090: 696e666f 5f740000 00162b03 0000269f info_t....+...&. + 490a0: 04000201 02010600 00263a01 06000024 .........&:....$ + 490b0: a3010201 02010600 00263a01 06000024 .........&:....$ + 490c0: a3010600 00263a01 06000024 a3010600 .....&:....$.... + 490d0: 00263a01 02010201 06000016 0e010600 .&:............. + 490e0: 00168401 02010201 0600001b 3b010600 ............;... + 490f0: 001a8801 0600001a 88010961 64665f6f ...........adf_o + 49100: 735f7367 6c697374 5f740000 0016c303 s_sglist_t...... + 49110: 00002718 04000201 02010201 06000016 ..'............. + 49120: 84010961 64665f6e 6275665f 71756575 ...adf_nbuf_queu + 49130: 655f7400 000024fc 03000027 40040002 e_t...$....'@... + 49140: 01030000 24e40400 02010201 02010600 ....$........... + 49150: 00263a01 06000024 a3010600 00160e01 .&:....$........ + 49160: 06000016 0e010600 001a8801 0600001a ................ + 49170: 88010600 001fa401 06000016 0e010961 ...............a + 49180: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 49190: 5f740000 00241203 0000279c 04000201 _t...$....'..... + 491a0: 02010961 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ + 491b0: 74000000 24340300 0027c004 00020102 t...$4...'...... + 491c0: 01096164 665f6e65 745f6861 6e646c65 ..adf_net_handle + 491d0: 5f740000 0003fe09 6164665f 6e65745f _t......adf_net_ + 491e0: 766c616e 6864725f 74000000 1db00300 vlanhdr_t....... + 491f0: 0027f504 00060000 261c0106 0000261c .'......&.....&. + 49200: 01020102 01075f48 49465f43 4f4e4649 ......_HIF_CONFI + 49210: 47000400 00284408 64756d6d 79000000 G....(D.dummy... + 49220: 01090223 00000201 03000028 44040002 ...#.......(D... + 49230: 01030000 284d0400 075f4849 465f4341 ....(M..._HIF_CA + 49240: 4c4c4241 434b000c 000028a2 0873656e LLBACK....(..sen + 49250: 645f6275 665f646f 6e650000 00284602 d_buf_done...(F. + 49260: 23000872 6563765f 62756600 0000284f #..recv_buf...(O + 49270: 02230408 636f6e74 65787400 000003fe .#..context..... + 49280: 02230800 09686966 5f68616e 646c655f .#...hif_handle_ + 49290: 74000000 03fe0948 49465f43 4f4e4649 t......HIF_CONFI + 492a0: 47000000 28230300 0028b404 00060000 G...(#...(...... + 492b0: 28a20103 000028cb 04000201 03000028 (.....(........( + 492c0: d8040009 4849465f 43414c4c 4241434b ....HIF_CALLBACK + 492d0: 00000028 56030000 28e10400 02010300 ...(V...(....... + 492e0: 0028fa04 00060000 01090103 00002903 .(............). + 492f0: 04000201 03000029 10040006 00000109 .......)........ + 49300: 01030000 29190400 02010300 00292604 ....)........)&. + 49310: 00060000 01090103 0000292f 04000201 ..........)/.... + 49320: 03000029 3c040007 6869665f 61706900 ...)<...hif_api. + 49330: 3800002a 95085f69 6e697400 000028d1 8..*.._init...(. + 49340: 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 49350: 28da0223 04085f72 65676973 7465725f (..#.._register_ + 49360: 63616c6c 6261636b 00000028 fc022308 callback...(..#. + 49370: 085f6765 745f746f 74616c5f 63726564 ._get_total_cred + 49380: 69745f63 6f756e74 00000029 0902230c it_count...)..#. + 49390: 085f7374 61727400 000028da 02231008 ._start...(..#.. + 493a0: 5f636f6e 6669675f 70697065 00000029 _config_pipe...) + 493b0: 12022314 085f7365 6e645f62 75666665 ..#.._send_buffe + 493c0: 72000000 291f0223 18085f72 65747572 r...)..#.._retur + 493d0: 6e5f7265 63765f62 75660000 00292802 n_recv_buf...)(. + 493e0: 231c085f 69735f70 6970655f 73757070 #.._is_pipe_supp + 493f0: 6f727465 64000000 29350223 20085f67 orted...)5.# ._g + 49400: 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 49410: 00293502 2324085f 6765745f 72657365 .)5.#$._get_rese + 49420: 72766564 5f686561 64726f6f 6d000000 rved_headroom... + 49430: 29090223 28085f69 73725f68 616e646c )..#(._isr_handl + 49440: 65720000 0028da02 232c085f 6765745f er...(..#,._get_ + 49450: 64656661 756c745f 70697065 00000029 default_pipe...) + 49460: 3e022330 08705265 73657276 65640000 >.#0.pReserved.. + 49470: 0003fe02 2334000d 646d615f 656e6769 ....#4..dma_engi + 49480: 6e650004 00002b1e 0e444d41 5f454e47 ne....+..DMA_ENG + 49490: 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN + 494a0: 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E + 494b0: 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ + 494c0: 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA + 494d0: 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM + 494e0: 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D + 494f0: 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... + 49500: 09646d61 5f656e67 696e655f 74000000 .dma_engine_t... + 49510: 2a950d64 6d615f69 66747970 65000400 *..dma_iftype... + 49520: 002b6b0e 444d415f 49465f47 4d414300 .+k.DMA_IF_GMAC. + 49530: 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D + 49540: 4d415f49 465f5043 49450002 0009646d MA_IF_PCIE....dm + 49550: 615f6966 74797065 5f740000 002b3006 a_iftype_t...+0. + 49560: 000012e3 01030000 2b7d0400 02010300 ........+}...... + 49570: 002b8a04 00020103 00002b93 04000600 .+........+..... + 49580: 00091501 0300002b 9c040006 000012e3 .......+........ + 49590: 01030000 2ba90400 06000012 e3010300 ....+........... + 495a0: 002bb604 00060000 142e0103 00002bc3 .+............+. + 495b0: 04000201 0300002b d0040007 646d615f .......+....dma_ + 495c0: 6c69625f 61706900 3400002c d7087478 lib_api.4..,..tx + 495d0: 5f696e69 74000000 2b830223 00087478 _init...+..#..tx + 495e0: 5f737461 72740000 002b8c02 23040872 _start...+..#..r + 495f0: 785f696e 69740000 002b8302 23080872 x_init...+..#..r + 49600: 785f636f 6e666967 0000002b 9502230c x_config...+..#. + 49610: 0872785f 73746172 74000000 2b8c0223 .rx_start...+..# + 49620: 1008696e 74725f73 74617475 73000000 ..intr_status... + 49630: 2ba20223 14086861 72645f78 6d697400 +..#..hard_xmit. + 49640: 00002baf 02231808 666c7573 685f786d ..+..#..flush_xm + 49650: 69740000 002b8c02 231c0878 6d69745f it...+..#..xmit_ + 49660: 646f6e65 0000002b bc022320 08726561 done...+..# .rea + 49670: 705f786d 69747465 64000000 2bc90223 p_xmitted...+..# + 49680: 24087265 61705f72 65637600 00002bc9 $.reap_recv...+. + 49690: 02232808 72657475 726e5f72 65637600 .#(.return_recv. + 496a0: 00002bd2 02232c08 72656376 5f706b74 ..+..#,.recv_pkt + 496b0: 0000002b bc022330 00075f5f 7063695f ...+..#0..__pci_ + 496c0: 736f6674 63000c00 002cf508 73770000 softc....,..sw.. + 496d0: 0028e102 23000009 5f5f7063 695f736f .(..#...__pci_so + 496e0: 6674635f 74000000 2cd70300 002cf504 ftc_t...,....,.. + 496f0: 00020103 00002d0f 04000600 0012cf01 ......-......... + 49700: 0300002d 1804000d 6869665f 7063695f ...-....hif_pci_ + 49710: 70697065 5f747800 0400002d 780e4849 pipe_tx....-x.HI + 49720: 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. + 49730: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 49740: 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 49750: 5f54585f 4d415800 02000968 69665f70 _TX_MAX....hif_p + 49760: 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- + 49770: 25060000 2b1e0103 00002d8f 04000d68 %...+.....-....h + 49780: 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. + 49790: 00002e15 0e484946 5f504349 5f504950 .....HIF_PCI_PIP + 497a0: 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ + 497b0: 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P + 497c0: 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI + 497d0: 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. + 497e0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 497f0: 5f4d4158 00040009 6869665f 7063695f _MAX....hif_pci_ + 49800: 70697065 5f72785f 74000000 2d9c0600 pipe_rx_t...-... + 49810: 002b1e01 0300002e 2c040007 6869665f .+......,...hif_ + 49820: 7063695f 61706900 2400002f 0a087063 pci_api.$../..pc + 49830: 695f626f 6f745f69 6e697400 00000102 i_boot_init..... + 49840: 02230008 7063695f 696e6974 00000028 .#..pci_init...( + 49850: d1022304 08706369 5f726573 65740000 ..#..pci_reset.. + 49860: 00010202 23080870 63695f65 6e61626c ....#..pci_enabl + 49870: 65000000 01020223 0c087063 695f7265 e......#..pci_re + 49880: 61705f78 6d697474 65640000 002d1102 ap_xmitted...-.. + 49890: 23100870 63695f72 6561705f 72656376 #..pci_reap_recv + 498a0: 0000002d 11022314 08706369 5f676574 ...-..#..pci_get + 498b0: 5f706970 65000000 2d1e0223 18087063 _pipe...-..#..pc + 498c0: 695f6765 745f7478 5f656e67 0000002d i_get_tx_eng...- + 498d0: 9502231c 08706369 5f676574 5f72785f ..#..pci_get_rx_ + 498e0: 656e6700 00002e32 02232000 07676d61 eng....2.# ..gma + 498f0: 635f6170 69000400 002f3108 676d6163 c_api..../1.gmac + 49900: 5f626f6f 745f696e 69740000 00010202 _boot_init...... + 49910: 2300000f 00000316 0600002f 3e100500 #........../>... + 49920: 075f5f65 74686864 72000e00 002f7408 .__ethhdr..../t. + 49930: 64737400 00002f31 02230008 73726300 dst.../1.#..src. + 49940: 00002f31 02230608 65747970 65000000 ../1.#..etype... + 49950: 12e30223 0c00075f 5f617468 68647200 ...#...__athhdr. + 49960: 0400002f c2157265 73000000 12cf0100 .../..res....... + 49970: 02022300 1570726f 746f0000 0012cf01 ..#..proto...... + 49980: 02060223 00087265 735f6c6f 00000012 ...#..res_lo.... + 49990: cf022301 08726573 5f686900 000012e3 ..#..res_hi..... + 499a0: 02230200 075f5f67 6d61635f 68647200 .#...__gmac_hdr. + 499b0: 1400002f fe086574 68000000 2f3e0223 .../..eth.../>.# + 499c0: 00086174 68000000 2f740223 0e08616c ..ath.../t.#..al + 499d0: 69676e5f 70616400 000012e3 02231200 ign_pad......#.. + 499e0: 095f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... + 499f0: 2fc2075f 5f676d61 635f736f 66746300 /..__gmac_softc. + 49a00: 24000030 48086864 72000000 2ffe0223 $..0H.hdr.../..# + 49a10: 00086772 616e0000 0012e302 23140873 ..gran......#..s + 49a20: 77000000 28e10223 18000c5f 415f6f73 w...(..#..._A_os + 49a30: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. + 49a40: 01030000 30480400 06000001 09010300 ....0H.......... + 49a50: 00306604 00030000 04010400 175f415f .0f.........._A_ + 49a60: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio + 49a70: 6e5f7461 626c6500 01b80000 31b60868 n_table.....1..h + 49a80: 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check + 49a90: 00000030 6c022300 08737461 72745f62 ...0l.#..start_b + 49aa0: 73730000 00307302 23040861 70705f73 ss...0s.#..app_s + 49ab0: 74617274 00000001 02022308 086d656d tart......#..mem + 49ac0: 00000004 4102230c 086d6973 63000000 ....A.#..misc... + 49ad0: 05600223 20087072 696e7466 00000001 .`.# .printf.... + 49ae0: 36022344 08756172 74000000 01ff0223 6.#D.uart......# + 49af0: 4c08676d 61630000 002f0a02 236c0875 L.gmac.../..#l.u + 49b00: 73620000 000f8f02 23700863 6c6f636b sb......#p.clock + 49b10: 0000000a d80323e0 01087469 6d657200 ......#...timer. + 49b20: 00000778 03238402 08696e74 72000000 ...x.#...intr... + 49b30: 0c280323 98020861 6c6c6f63 72616d00 .(.#...allocram. + 49b40: 00000930 0323c402 08726f6d 70000000 ...0.#...romp... + 49b50: 08220323 d0020877 64745f74 696d6572 .".#...wdt_timer + 49b60: 0000000e 050323e0 02086565 70000000 ......#...eep... + 49b70: 0f330323 fc020873 7472696e 67000000 .3.#...string... + 49b80: 06840323 8c030874 61736b6c 65740000 ...#...tasklet.. + 49b90: 000a2d03 23a40300 075f5553 425f4649 ..-.#...._USB_FI + 49ba0: 464f5f43 4f4e4649 47001000 00322908 FO_CONFIG....2). + 49bb0: 6765745f 636f6d6d 616e645f 62756600 get_command_buf. + 49bc0: 0000143b 02230008 72656376 5f636f6d ...;.#..recv_com + 49bd0: 6d616e64 00000014 51022304 08676574 mand....Q.#..get + 49be0: 5f657665 6e745f62 75660000 00143b02 _event_buf....;. + 49bf0: 23080873 656e645f 6576656e 745f646f #..send_event_do + 49c00: 6e650000 00145102 230c0009 5553425f ne....Q.#...USB_ + 49c10: 4649464f 5f434f4e 46494700 000031b6 FIFO_CONFIG...1. + 49c20: 03000032 29040002 01030000 32450400 ...2).......2E.. + 49c30: 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... + 49c40: 329b085f 696e6974 00000032 47022300 2.._init...2G.#. + 49c50: 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i + 49c60: 73720000 00010202 23040870 52657365 sr......#..pRese + 49c70: 72766564 00000003 fe022308 000f0000 rved......#..... + 49c80: 16750200 0032a810 0100075f 4854435f .u...2....._HTC_ + 49c90: 4652414d 455f4844 52000800 00331a08 FRAME_HDR....3.. + 49ca0: 456e6470 6f696e74 49440000 00167502 EndpointID....u. + 49cb0: 23000846 6c616773 00000016 75022301 #..Flags....u.#. + 49cc0: 08506179 6c6f6164 4c656e00 00001c9d .PayloadLen..... + 49cd0: 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes + 49ce0: 00000032 9b022304 08486f73 74536571 ...2..#..HostSeq + 49cf0: 4e756d00 00001c9d 02230600 12020000 Num......#...... + 49d00: 3333084d 65737361 67654944 0000001c 33.MessageID.... + 49d10: 9d022300 00120800 00339608 4d657373 ..#......3..Mess + 49d20: 61676549 44000000 1c9d0223 00084372 ageID......#..Cr + 49d30: 65646974 436f756e 74000000 1c9d0223 editCount......# + 49d40: 02084372 65646974 53697a65 0000001c ..CreditSize.... + 49d50: 9d022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint + 49d60: 73000000 16750223 06085f50 61643100 s....u.#.._Pad1. + 49d70: 00001675 02230700 120a0000 342d084d ...u.#......4-.M + 49d80: 65737361 67654944 0000001c 9d022300 essageID......#. + 49d90: 08536572 76696365 49440000 001c9d02 .ServiceID...... + 49da0: 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla + 49db0: 67730000 001c9d02 23040844 6f776e4c gs......#..DownL + 49dc0: 696e6b50 69706549 44000000 16750223 inkPipeID....u.# + 49dd0: 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. + 49de0: 00167502 23070853 65727669 63654d65 ..u.#..ServiceMe + 49df0: 74614c65 6e677468 00000016 75022308 taLength....u.#. + 49e00: 085f5061 64310000 00167502 23090012 ._Pad1....u.#... + 49e10: 0a000034 b5084d65 73736167 65494400 ...4..MessageID. + 49e20: 00001c9d 02230008 53657276 69636549 .....#..ServiceI + 49e30: 44000000 1c9d0223 02085374 61747573 D......#..Status + 49e40: 00000016 75022304 08456e64 706f696e ....u.#..Endpoin + 49e50: 74494400 00001675 02230508 4d61784d tID....u.#..MaxM + 49e60: 73675369 7a650000 001c9d02 23060853 sgSize......#..S + 49e70: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 49e80: 00000016 75022308 085f5061 64310000 ....u.#.._Pad1.. + 49e90: 00167502 23090012 02000034 ce084d65 ..u.#......4..Me + 49ea0: 73736167 65494400 00001c9d 02230000 ssageID......#.. + 49eb0: 12040000 350a084d 65737361 67654944 ....5..MessageID + 49ec0: 0000001c 9d022300 08506970 65494400 ......#..PipeID. + 49ed0: 00001675 02230208 43726564 6974436f ...u.#..CreditCo + 49ee0: 756e7400 00001675 02230300 12040000 unt....u.#...... + 49ef0: 3541084d 65737361 67654944 0000001c 5A.MessageID.... + 49f00: 9d022300 08506970 65494400 00001675 ..#..PipeID....u + 49f10: 02230208 53746174 75730000 00167502 .#..Status....u. + 49f20: 23030012 02000035 68085265 636f7264 #......5h.Record + 49f30: 49440000 00167502 2300084c 656e6774 ID....u.#..Lengt + 49f40: 68000000 16750223 01001202 00003592 h....u.#......5. + 49f50: 08456e64 706f696e 74494400 00001675 .EndpointID....u + 49f60: 02230008 43726564 69747300 00001675 .#..Credits....u + 49f70: 02230100 12040000 35d30845 6e64706f .#......5..Endpo + 49f80: 696e7449 44000000 16750223 00084372 intID....u.#..Cr + 49f90: 65646974 73000000 16750223 01085467 edits....u.#..Tg + 49fa0: 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... + 49fb0: 9d022302 000f0000 16750400 0035e010 ..#......u...5.. + 49fc0: 03001206 0000361c 08507265 56616c69 ......6..PreVali + 49fd0: 64000000 16750223 00084c6f 6f6b4168 d....u.#..LookAh + 49fe0: 65616400 000035d3 02230108 506f7374 ead...5..#..Post + 49ff0: 56616c69 64000000 16750223 05000970 Valid....u.#...p + 4a000: 6f6f6c5f 68616e64 6c655f74 00000003 ool_handle_t.... + 4a010: fe060000 361c0103 0000362f 04000201 ....6.....6/.... + 4a020: 03000036 3c040014 04000036 ba0e504f ...6<......6..PO + 4a030: 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO + 4a040: 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ + 4a050: 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... + 4a060: 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ + 4a070: 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ + 4a080: 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO + 4a090: 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF + 4a0a0: 5f504f4f 4c5f4944 00000036 45020103 _POOL_ID...6E... + 4a0b0: 000036cb 04000600 00263a01 03000036 ..6......&:....6 + 4a0c0: d4040006 0000263a 01030000 36e10400 ......&:....6... + 4a0d0: 02010300 0036ee04 00076275 665f706f .....6....buf_po + 4a0e0: 6f6c5f61 7069001c 00003790 085f696e ol_api....7.._in + 4a0f0: 69740000 00363502 2300085f 73687574 it...65.#.._shut + 4a100: 646f776e 00000036 3e022304 085f6372 down...6>.#.._cr + 4a110: 65617465 5f706f6f 6c000000 36cd0223 eate_pool...6..# + 4a120: 08085f61 6c6c6f63 5f627566 00000036 .._alloc_buf...6 + 4a130: da02230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ + 4a140: 616c6967 6e000000 36e70223 10085f66 align...6..#.._f + 4a150: 7265655f 62756600 000036f0 02231408 ree_buf...6..#.. + 4a160: 70526573 65727665 64000000 03fe0223 pReserved......# + 4a170: 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. + 4a180: 1c000038 6f08704e 65787400 0000386f ...8o.pNext...8o + 4a190: 02230008 50726f63 65737352 6563764d .#..ProcessRecvM + 4a1a0: 73670000 00392402 23040850 726f6365 sg...9$.#..Proce + 4a1b0: 73735365 6e644275 66666572 436f6d70 ssSendBufferComp + 4a1c0: 6c657465 00000039 2d022308 0850726f lete...9-.#..Pro + 4a1d0: 63657373 436f6e6e 65637400 00003941 cessConnect...9A + 4a1e0: 02230c08 53657276 69636549 44000000 .#..ServiceID... + 4a1f0: 12e30223 10085365 72766963 65466c61 ...#..ServiceFla + 4a200: 67730000 0012e302 2312084d 61785376 gs......#..MaxSv + 4a210: 634d7367 53697a65 00000012 e3022314 cMsgSize......#. + 4a220: 08547261 696c6572 53706343 6865636b .TrailerSpcCheck + 4a230: 4c696d69 74000000 12e30223 16085365 Limit......#..Se + 4a240: 72766963 65437478 00000003 fe022318 rviceCtx......#. + 4a250: 00030000 37900400 14040000 390d1945 ....7.......9..E + 4a260: 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. + 4a270: ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... + 4a280: 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP + 4a290: 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT + 4a2a0: 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... + 4a2b0: 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP + 4a2c0: 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT + 4a2d0: 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... + 4a2e0: 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... + 4a2f0: 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. + 4a300: 00003876 02010300 00392204 00020103 ..8v.....9"..... + 4a310: 0000392b 04000300 00010904 00060000 ..9+............ + 4a320: 12cf0103 0000393b 04000300 00379004 ......9;.....7.. + 4a330: 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... + 4a340: 0039c008 43726564 69745369 7a650000 .9..CreditSize.. + 4a350: 00010902 23000843 72656469 744e756d ....#..CreditNum + 4a360: 62657200 00000109 02230408 4f534861 ber......#..OSHa + 4a370: 6e646c65 0000001a 39022308 08484946 ndle....9.#..HIF + 4a380: 48616e64 6c650000 0028a202 230c0850 Handle...(..#..P + 4a390: 6f6f6c48 616e646c 65000000 361c0223 oolHandle...6..# + 4a3a0: 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT + 4a3b0: 45585400 02000039 fc08656e 645f706f EXT....9..end_po + 4a3c0: 696e7400 000012cf 02230008 6874635f int......#..htc_ + 4a3d0: 666c6167 73000000 12cf0223 01000968 flags......#...h + 4a3e0: 74635f68 616e646c 655f7400 000003fe tc_handle_t..... + 4a3f0: 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL + 4a400: 4554455f 43420000 00010209 4854435f ETE_CB......HTC_ + 4a410: 434f4e46 49470000 00394f03 00003a29 CONFIG...9O...:) + 4a420: 04000600 0039fc01 0300003a 40040002 .....9.....:@... + 4a430: 01030000 3a4d0400 09485443 5f534552 ....:M...HTC_SER + 4a440: 56494345 00000037 90030000 3a560400 VICE...7....:V.. + 4a450: 02010300 003a6e04 00020103 00003a77 .....:n.......:w + 4a460: 04000201 0300003a 80040006 00000109 .......:........ + 4a470: 01030000 3a890400 07687463 5f617069 ....:....htc_api + 4a480: 73003400 003c0608 5f485443 5f496e69 s.4..<.._HTC_Ini + 4a490: 74000000 3a460223 00085f48 54435f53 t...:F.#.._HTC_S + 4a4a0: 68757464 6f776e00 00003a4f 02230408 hutdown...:O.#.. + 4a4b0: 5f485443 5f526567 69737465 72536572 _HTC_RegisterSer + 4a4c0: 76696365 0000003a 70022308 085f4854 vice...:p.#.._HT + 4a4d0: 435f5265 61647900 00003a4f 02230c08 C_Ready...:O.#.. + 4a4e0: 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 4a4f0: 72730000 003a7902 2310085f 4854435f rs...:y.#.._HTC_ + 4a500: 52657475 726e4275 66666572 734c6973 ReturnBuffersLis + 4a510: 74000000 3a820223 14085f48 54435f53 t...:..#.._HTC_S + 4a520: 656e644d 73670000 003a7902 2318085f endMsg...:y.#.._ + 4a530: 4854435f 47657452 65736572 76656448 HTC_GetReservedH + 4a540: 65616472 6f6f6d00 00003a8f 02231c08 eadroom...:..#.. + 4a550: 5f485443 5f4d7367 52656376 48616e64 _HTC_MsgRecvHand + 4a560: 6c657200 0000284f 02232008 5f485443 ler...(O.# ._HTC + 4a570: 5f53656e 64446f6e 6548616e 646c6572 _SendDoneHandler + 4a580: 00000028 46022324 085f4854 435f436f ...(F.#$._HTC_Co + 4a590: 6e74726f 6c537663 50726f63 6573734d ntrolSvcProcessM + 4a5a0: 73670000 00392402 2328085f 4854435f sg...9$.#(._HTC_ + 4a5b0: 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces + 4a5c0: 7353656e 64436f6d 706c6574 65000000 sSendComplete... + 4a5d0: 392d0223 2c087052 65736572 76656400 9-.#,.pReserved. + 4a5e0: 000003fe 02233000 07686f73 745f6170 .....#0..host_ap + 4a5f0: 705f6172 65615f73 00040000 3c360877 p_area_s....<6.w + 4a600: 6d695f70 726f746f 636f6c5f 76657200 mi_protocol_ver. + 4a610: 0000160e 02230000 120e0000 3c6d0864 .....#........beaconPen + 4a7d0: 64696e67 436f756e 74000000 16750223 dingCount....u.# + 4a7e0: 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF + 4a7f0: 49470010 00003e69 08487463 48616e64 IG....>i.HtcHand + 4a800: 6c650000 0039fc02 23000850 6f6f6c48 le...9..#..PoolH + 4a810: 616e646c 65000000 361c0223 04084d61 andle...6..#..Ma + 4a820: 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... + 4a830: 01090223 08084d61 78457665 6e744576 ...#..MaxEventEv + 4a840: 74730000 00010902 230c0002 01030000 ts......#....... + 4a850: 3e690400 09574d49 5f434d44 5f48414e >i...WMI_CMD_HAN + 4a860: 444c4552 0000003e 6b075f57 4d495f44 DLER...>k._WMI_D + 4a870: 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... + 4a880: 003ed208 70436d64 48616e64 6c657200 .>..pCmdHandler. + 4a890: 00003e72 02230008 436d6449 44000000 ..>r.#..CmdID... + 4a8a0: 12e30223 0408466c 61677300 000012e3 ...#..Flags..... + 4a8b0: 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT + 4a8c0: 43485f54 41424c45 00100000 3f330870 CH_TABLE....?3.p + 4a8d0: 4e657874 0000003f 33022300 0870436f Next...?3.#..pCo + 4a8e0: 6e746578 74000000 03fe0223 04084e75 ntext......#..Nu + 4a8f0: 6d626572 4f66456e 74726965 73000000 mberOfEntries... + 4a900: 01090223 08087054 61626c65 0000003f ...#..pTable...? + 4a910: 5202230c 00030000 3ed20400 09574d49 R.#.....>....WMI + 4a920: 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 4a930: 00003e87 0300003f 3a040003 00003ed2 ..>....?:.....>. + 4a940: 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE + 4a950: 58540000 0039c00d 574d495f 4556545f XT...9..WMI_EVT_ + 4a960: 434c4153 53000400 003fea19 574d495f CLASS....?..WMI_ + 4a970: 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. + 4a980: ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 4a990: 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM + 4a9a0: 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ + 4a9b0: 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ + 4a9c0: 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI + 4a9d0: 5f455654 5f434c41 53530000 003f7507 _EVT_CLASS...?u. + 4a9e0: 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT + 4a9f0: 000c0000 40480848 74634275 66437478 ....@H.HtcBufCtx + 4aa00: 0000003f 60022300 08457665 6e74436c ...?`.#..EventCl + 4aa10: 61737300 00003fea 02230408 466c6167 ass...?..#..Flag + 4aa20: 73000000 12e30223 08000977 6d695f68 s......#...wmi_h + 4aa30: 616e646c 655f7400 000003fe 09574d49 andle_t......WMI + 4aa40: 5f535643 5f434f4e 46494700 00003e00 _SVC_CONFIG...>. + 4aa50: 03000040 5a040006 00004048 01030000 ...@Z.....@H.... + 4aa60: 40750400 09574d49 5f444953 50415443 @u...WMI_DISPATC + 4aa70: 485f5441 424c4500 00003ed2 03000040 H_TABLE...>....@ + 4aa80: 82040002 01030000 40a10400 06000026 ........@......& + 4aa90: 3a010300 0040aa04 00020103 000040b7 :....@........@. + 4aaa0: 04000600 00010901 03000040 c0040002 ...........@.... + 4aab0: 01030000 40cd0400 06000012 cf010300 ....@........... + 4aac0: 0040d604 00075f77 6d695f73 76635f61 .@...._wmi_svc_a + 4aad0: 70697300 2c000042 1e085f57 4d495f49 pis.,..B.._WMI_I + 4aae0: 6e697400 0000407b 02230008 5f574d49 nit...@{.#.._WMI + 4aaf0: 5f526567 69737465 72446973 70617463 _RegisterDispatc + 4ab00: 68546162 6c650000 0040a302 2304085f hTable...@..#.._ + 4ab10: 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. + 4ab20: 0040b002 2308085f 574d495f 53656e64 .@..#.._WMI_Send + 4ab30: 4576656e 74000000 40b90223 0c085f57 Event...@..#.._W + 4ab40: 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve + 4ab50: 6e747343 6f756e74 00000040 c6022310 ntsCount...@..#. + 4ab60: 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple + 4ab70: 74654861 6e646c65 72000000 392d0223 teHandler...9-.# + 4ab80: 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro + 4ab90: 6c457000 000040c6 02231808 5f574d49 lEp...@..#.._WMI + 4aba0: 5f536875 74646f77 6e000000 40cf0223 _Shutdown...@..# + 4abb0: 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa + 4abc0: 67654861 6e646c65 72000000 39240223 geHandler...9$.# + 4abd0: 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo + 4abe0: 6e6e6563 74000000 40dc0223 24087052 nnect...@..#$.pR + 4abf0: 65736572 76656400 000003fe 02232800 eserved......#(. + 4ac00: 077a7344 6d614465 73630014 000042a0 .zsDmaDesc....B. + 4ac10: 08637472 6c000000 016d0223 00087374 .ctrl....m.#..st + 4ac20: 61747573 00000001 6d022302 08746f74 atus....m.#..tot + 4ac30: 616c4c65 6e000000 016d0223 04086461 alLen....m.#..da + 4ac40: 74615369 7a650000 00016d02 2306086c taSize....m.#..l + 4ac50: 61737441 64647200 000042a0 02230808 astAddr...B..#.. + 4ac60: 64617461 41646472 00000001 9102230c dataAddr......#. + 4ac70: 086e6578 74416464 72000000 42a00223 .nextAddr...B..# + 4ac80: 10000300 00421e04 00030000 421e0400 .....B......B... + 4ac90: 077a7344 6d615175 65756500 08000042 .zsDmaQueue....B + 4aca0: e0086865 61640000 0042a702 23000874 ..head...B..#..t + 4acb0: 65726d69 6e61746f 72000000 42a70223 erminator...B..# + 4acc0: 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. + 4acd0: 10000043 44086865 61640000 0042a702 ...CD.head...B.. + 4ace0: 23000874 65726d69 6e61746f 72000000 #..terminator... + 4acf0: 42a70223 0408786d 69746564 5f627566 B..#..xmited_buf + 4ad00: 5f686561 64000000 142e0223 0808786d _head......#..xm + 4ad10: 69746564 5f627566 5f746169 6c000000 ited_buf_tail... + 4ad20: 142e0223 0c000201 03000043 44040003 ...#.......CD... + 4ad30: 000042ae 04000201 03000043 54040003 ..B........CT... + 4ad40: 000042e0 04000201 03000043 64040002 ..B........Cd... + 4ad50: 01030000 436d0400 02010300 00437604 ....Cm.......Cv. + 4ad60: 00060000 142e0103 0000437f 04000201 ..........C..... + 4ad70: 03000043 8c040006 0000142e 01030000 ...C............ + 4ad80: 43950400 02010300 0043a204 00060000 C........C...... + 4ad90: 01090103 000043ab 04000600 0042a701 ......C......B.. + 4ada0: 03000043 b8040002 01030000 43c50400 ...C........C... + 4adb0: 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. + 4adc0: 40000045 3b085f69 6e697400 00004346 @..E;._init...CF + 4add0: 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que + 4ade0: 75650000 00435602 2304085f 696e6974 ue...CV.#.._init + 4adf0: 5f74785f 71756575 65000000 43660223 _tx_queue...Cf.# + 4ae00: 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que + 4ae10: 75650000 00436f02 230c085f 786d6974 ue...Co.#.._xmit + 4ae20: 5f627566 00000043 78022310 085f666c _buf...Cx.#.._fl + 4ae30: 7573685f 786d6974 00000043 56022314 ush_xmit...CV.#. + 4ae40: 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. + 4ae50: 00004385 02231808 5f726574 75726e5f ..C..#.._return_ + 4ae60: 72656376 5f627566 00000043 8e02231c recv_buf...C..#. + 4ae70: 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu + 4ae80: 66000000 439b0223 20085f73 7761705f f...C..# ._swap_ + 4ae90: 64617461 00000043 a4022324 085f6861 data...C..#$._ha + 4aea0: 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. + 4aeb0: 000043b1 02232808 5f646573 635f6475 ..C..#(._desc_du + 4aec0: 6d700000 00435602 232c085f 6765745f mp...CV.#,._get_ + 4aed0: 7061636b 65740000 0043be02 2330085f packet...C..#0._ + 4aee0: 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. + 4aef0: 0043c702 2334085f 7075745f 7061636b .C..#4._put_pack + 4af00: 65740000 0043c702 23380870 52657365 et...C..#8.pRese + 4af10: 72766564 00000003 fe02233c 00095f41 rved......#<.._A + 4af20: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 4af30: 6f6e5f74 61626c65 5f740000 00307a09 on_table_t...0z. + 4af40: 574d495f 5356435f 41504953 00000040 WMI_SVC_APIS...@ + 4af50: e3175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 4af60: 72656374 696f6e5f 7461626c 6500034c rection_table..L + 4af70: 00004669 08636d6e 6f730000 00453b02 ..Fi.cmnos...E;. + 4af80: 23000864 62670000 0003cb03 23b80308 #..dbg......#... + 4af90: 68696600 00002945 0323c003 08687463 hif...)E.#...htc + 4afa0: 0000003a 960323f8 0308776d 695f7376 ...:..#...wmi_sv + 4afb0: 635f6170 69000000 455d0323 ac040875 c_api...E].#...u + 4afc0: 73626669 666f5f61 70690000 00324e03 sbfifo_api...2N. + 4afd0: 23d80408 6275665f 706f6f6c 00000036 #...buf_pool...6 + 4afe0: f70323e4 04087662 75660000 00145803 ..#...vbuf....X. + 4aff0: 23800508 76646573 63000000 133a0323 #...vdesc....:.# + 4b000: 94050861 6c6c6f63 72616d00 00000930 ...allocram....0 + 4b010: 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. + 4b020: 000043ce 0323b405 08646d61 5f6c6962 ..C..#...dma_lib + 4b030: 0000002b d90323f4 05086869 665f7063 ...+..#...hif_pc + 4b040: 69000000 2e390323 a8060006 000003fe i....9.#........ + 4b050: 01095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi + 4b060: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 4b070: 0000456f 02010201 02010600 00010901 ..Eo............ + 4b080: 07564255 465f5155 45554500 08000046 .VBUF_QUEUE....F + 4b090: ca086865 61640000 00142e02 23000874 ..head......#..t + 4b0a0: 61696c00 0000142e 02230400 075f4849 ail......#..._HI + 4b0b0: 465f5553 425f434f 4e544558 54004400 F_USB_CONTEXT.D. + 4b0c0: 00475908 68696643 62000000 28e10223 .GY.hifCb...(..# + 4b0d0: 0008646e 51000000 42ae0223 0c087570 ..dnQ...B..#..up + 4b0e0: 51000000 42e00223 14086870 646e5100 Q...B..#..hpdnQ. + 4b0f0: 000042ae 02232408 6d70646e 51000000 ..B..#$.mpdnQ... + 4b100: 42ae0223 2c08636d 64517565 75650000 B..#,.cmdQueue.. + 4b110: 00142e02 23340865 76656e74 42756651 ....#4.eventBufQ + 4b120: 00000046 9e022338 08705265 73657276 ...F..#8.pReserv + 4b130: 65640000 0003fe02 23400009 4849465f ed......#@..HIF_ + 4b140: 5553425f 434f4e54 45585400 000046ca USB_CONTEXT...F. + 4b150: 1a675f68 69665553 42437478 00000047 .g_hifUSBCtx...G + 4b160: 59050300 500a5401 06000014 2e010600 Y...P.T......... + 4b170: 00142e01 03000047 86040003 0000478c .......G......G. + 4b180: 04000300 00475904 000f0000 01101500 .....GY......... + 4b190: 0047b410 14000300 0047a704 000f0000 .G.......G...... + 4b1a0: 01101300 0047c810 12000300 0047bb04 .....G.......G.. + 4b1b0: 001b0000 01911b00 00019103 000047d4 ..............G. + 4b1c0: 04000f00 00011016 000047ed 10150003 ..........G..... + 4b1d0: 000047e0 04000201 06000043 4d010600 ..G........CM... + 4b1e0: 00010901 02010201 03000029 45040002 ...........)E... + 4b1f0: 01030000 47fc0400 03000048 0204000f ....G......H.... + 4b200: 00000110 14000048 2a101300 03000048 .......H*......H + 4b210: 1d04000f 00000110 18000048 3e101700 ...........H>... + 4b220: 03000048 31040003 000001a6 04000f00 ...H1........... + 4b230: 00011011 00004859 10100003 0000484c ......HY......HL + 4b240: 04000f00 00011012 0000486d 10110003 ..........Hm.... + 4b250: 00004860 04001c01 27757362 6669666f ..H`....'usbfifo + 4b260: 5f676574 5f636f6d 6d616e64 5f627566 _get_command_buf + 4b270: 00000014 2e039201 20029000 008e3348 ........ .....3H + 4b280: 008e335a 000048b0 1d627566 00000014 ..3Z..H..buf.... + 4b290: 2e001e01 31757362 6669666f 5f726563 ....1usbfifo_rec + 4b2a0: 765f636f 6d6d616e 64000103 92012002 v_command..... . + 4b2b0: 9000008e 335c008e 33920000 49061f01 ....3\..3...I... + 4b2c0: 31627566 00000014 2e01521d 63757272 1buf......R.curr + 4b2d0: 56646573 63000000 13101d75 73624465 Vdesc......usbDe + 4b2e0: 73630000 0042a700 1c014875 73626669 sc...B....Husbfi + 4b2f0: 666f5f67 65745f65 76656e74 5f627566 fo_get_event_buf + 4b300: 00000014 2e039201 20029000 008e3394 ........ .....3. + 4b310: 008e33b2 00004940 1d627566 00000014 ..3...I@.buf.... + 4b320: 2e001e01 58757362 6669666f 5f73656e ....Xusbfifo_sen + 4b330: 645f6576 656e745f 646f6e65 00010392 d_event_done.... + 4b340: 01200290 00008e33 b4008e33 c5000049 . .....3...3...I + 4b350: 7d1f0158 62756600 0000142e 01520020 }..Xbuf......R. + 4b360: 01645f48 49467573 625f696e 69740000 .d_HIFusb_init.. + 4b370: 0028a201 01039201 30029000 008e33c8 .(......0.....3. + 4b380: 008e341b 000049c8 1f016470 436f6e66 ..4...I...dpConf + 4b390: 69670000 0028c401 52217573 62666966 ig...(..R!usbfif + 4b3a0: 6f000000 32290291 50002201 835f4849 o...2)..P.".._HI + 4b3b0: 46757362 5f736875 74646f77 6e000101 Fusb_shutdown... + 4b3c0: 03920120 02900000 8e341c00 8e342100 ... .....4...4!. + 4b3d0: 004a021f 01836861 6e646c65 00000028 .J....handle...( + 4b3e0: a2015200 2201885f 48494675 73625f72 ..R.".._HIFusb_r + 4b3f0: 65676973 7465725f 63616c6c 6261636b egister_callback + 4b400: 00010103 92012002 9000008e 3424008e ...... .....4$.. + 4b410: 34380000 4a561f01 8868616e 646c6500 48..JV...handle. + 4b420: 000028a2 01521f01 8870436f 6e666967 ..(..R...pConfig + 4b430: 00000028 f3015300 2201945f 48494675 ...(..S.".._HIFu + 4b440: 73625f73 74617274 00010103 92012002 sb_start...... . + 4b450: 9000008e 3438008e 34b20000 4a8d1f01 ....48..4...J... + 4b460: 9468616e 646c6500 000028a2 01520023 .handle...(..R.# + 4b470: 01b95f48 49467573 625f6765 745f7265 .._HIFusb_get_re + 4b480: 73657276 65645f68 65616472 6f6f6d00 served_headroom. + 4b490: 00000109 01039201 20029000 008e34b4 ........ .....4. + 4b4a0: 008e34bb 00004ad7 1f01b968 616e646c ..4...J....handl + 4b4b0: 65000000 28a20152 001e01d4 656e6162 e...(..R....enab + 4b4c0: 6c655f72 78000103 92012002 9000008e le_rx..... ..... + 4b4d0: 34bc008e 34e70000 4b071f01 d4706970 4...4...K....pip + 4b4e0: 65000000 01090152 002301e9 6765745f e......R.#..get_ + 4b4f0: 71756575 655f6672 6f6d5f70 69706500 queue_from_pipe. + 4b500: 0000434d 01039201 20029000 008e34e8 ..CM.... .....4. + 4b510: 008e3506 00004b4c 1f01e970 69706500 ..5...KL...pipe. + 4b520: 00000109 01521d71 00000043 4d002401 .....R.q...CM.$. + 4b530: 01075f48 49467573 625f636f 6e666967 .._HIFusb_config + 4b540: 5f706970 65000101 01039201 30029000 _pipe.......0... + 4b550: 008e3508 008e35b3 00004bd3 25010107 ..5...5...K.%... + 4b560: 68616e64 6c650000 0028a201 52250101 handle...(..R%.. + 4b570: 07706970 65000000 01090153 25010107 .pipe......S%... + 4b580: 63726564 6974436f 756e7400 00000109 creditCount..... + 4b590: 01541d68 65616400 00001310 1d690000 .T.head......i.. + 4b5a0: 0001091d 64657363 00000013 101d7100 ....desc......q. + 4b5b0: 0000434d 00260101 4f5f4849 46757362 ..CM.&..O_HIFusb + 4b5c0: 5f73656e 645f6275 66666572 00000001 _send_buffer.... + 4b5d0: 09010101 03920120 02900000 8e35b400 ....... .....5.. + 4b5e0: 8e361200 004c3425 01014f68 616e646c .6...L4%..Ohandl + 4b5f0: 65000000 28a20152 2501014f 70697065 e...(..R%..Opipe + 4b600: 00000001 09015325 01014f62 75660000 ......S%..Obuf.. + 4b610: 00142e01 54002701 01605f48 49467573 ....T.'..`_HIFus + 4b620: 625f7265 7475726e 5f726563 765f6275 b_return_recv_bu + 4b630: 66000101 03920120 02900000 8e361400 f...... .....6.. + 4b640: 8e363e00 004c9b25 01016068 616e646c .6>..L.%..`handl + 4b650: 65000000 28a20152 25010160 70697065 e...(..R%..`pipe + 4b660: 00000001 09015325 01016062 75660000 ......S%..`buf.. + 4b670: 00142e01 541d7100 0000434d 00270101 ....T.q...CM.'.. + 4b680: 725f4849 46757362 5f736574 5f726563 r_HIFusb_set_rec + 4b690: 765f6275 66737a00 01010392 01200290 v_bufsz...... .. + 4b6a0: 00008e36 40008e36 4500004c fc250101 ...6@..6E..L.%.. + 4b6b0: 7268616e 646c6500 000028a2 01522501 rhandle...(..R%. + 4b6c0: 01727069 70650000 00010901 53250101 .rpipe......S%.. + 4b6d0: 72627566 737a0000 00010901 54002701 rbufsz......T.'. + 4b6e0: 01785f48 49467573 625f7061 7573655f .x_HIFusb_pause_ + 4b6f0: 72656376 00010103 92012002 9000008e recv...... ..... + 4b700: 3648008e 364d0000 4d492501 01786861 6H..6M..MI%..xha + 4b710: 6e646c65 00000028 a2015225 01017870 ndle...(..R%..xp + 4b720: 69706500 00000109 01530027 01017d5f ipe......S.'..}_ + 4b730: 48494675 73625f72 6573756d 655f7265 HIFusb_resume_re + 4b740: 63760001 01039201 20029000 008e3650 cv...... .....6P + 4b750: 008e3655 00004d97 2501017d 68616e64 ..6U..M.%..}hand + 4b760: 6c650000 0028a201 52250101 7d706970 le...(..R%..}pip + 4b770: 65000000 01090153 00280101 825f4849 e......S.(..._HI + 4b780: 46757362 5f69735f 70697065 5f737570 Fusb_is_pipe_sup + 4b790: 706f7274 65640000 00010901 01039201 ported.......... + 4b7a0: 20029000 008e3658 008e3668 00004def .....6X..6h..M. + 4b7b0: 25010182 68616e64 6c650000 0028a201 %...handle...(.. + 4b7c0: 52250101 82706970 65000000 01090153 R%...pipe......S + 4b7d0: 00280101 8b5f4849 46757362 5f676574 .(..._HIFusb_get + 4b7e0: 5f6d6178 5f6d7367 5f6c656e 00000001 _max_msg_len.... + 4b7f0: 09010103 92012002 9000008e 3668008e ...... .....6h.. + 4b800: 367a0000 4e452501 018b6861 6e646c65 6z..NE%...handle + 4b810: 00000028 a2015225 01018b70 69706500 ...(..R%...pipe. + 4b820: 00000109 01530024 0102065f 48494675 .....S.$..._HIFu + 4b830: 73625f69 73725f68 616e646c 65720001 sb_isr_handler.. + 4b840: 01010392 01200290 00008e36 7c008e37 ..... .....6|..7 + 4b850: 2900004e 95250102 06680000 0028a201 )..N.%...h...(.. + 4b860: 521d696e 74720000 0001911d 63686563 R.intr......chec + 4b870: 6b000000 01090027 0102515f 48494675 k......'..Q_HIFu + 4b880: 73625f67 65745f64 65666175 6c745f70 sb_get_default_p + 4b890: 69706500 01010392 01200290 00008e37 ipe...... .....7 + 4b8a0: 2c008e37 3b00004f 07250102 5168616e ,..7;..O.%..Qhan + 4b8b0: 646c6500 000028a2 01522501 02517069 dle...(..R%..Qpi + 4b8c0: 70655f75 706c696e 6b000000 12dc0153 pe_uplink......S + 4b8d0: 25010251 70697065 5f646f77 6e6c696e %..Qpipe_downlin + 4b8e0: 6b000000 12dc0154 00270102 59686966 k......T.'..Yhif + 4b8f0: 5f757362 5f6d6f64 756c655f 696e7374 _usb_module_inst + 4b900: 616c6c00 01010392 01200290 00008e37 all...... .....7 + 4b910: 3c008e37 7d00004f 47250102 59617069 <..7}..OG%..Yapi + 4b920: 73000000 48060152 00290102 6d484946 s...H..R.)..mHIF + 4b930: 7573625f 44657363 54726163 6544756d usb_DescTraceDum + 4b940: 70000101 03920120 02900000 8e378000 p...... .....7.. + 4b950: 8e381c00 00000053 0f000200 001be804 .8.....S........ + 4b960: 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 4b970: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 4b980: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 4b990: 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ + 4b9a0: 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ + 4b9b0: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 4b9c0: 69662f75 73622f73 72632f75 73625f61 if/usb/src/usb_a + 4b9d0: 70692e63 002f726f 6f742f57 6f726b73 pi.c./root/Works + 4b9e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 4b9f0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 4ba00: 315f312f 726f6d2f 6869662f 75736200 1_1/rom/hif/usb. + 4ba10: 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 + 4ba20: 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst + 4ba30: 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 + 4ba40: 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. + 4ba50: 00000106 6302756e 7369676e 65642063 ....c.unsigned c + 4ba60: 68617200 07010375 696e7438 5f740000 har....uint8_t.. + 4ba70: 00010002 73686f72 7420756e 7369676e ....short unsign + 4ba80: 65642069 6e740007 02037569 6e743136 ed int....uint16 + 4ba90: 5f740000 00011e04 53657475 705f5061 _t......Setup_Pa + 4baa0: 636b6574 000c0000 01bf0544 69726563 cket.......Direc + 4bab0: 74696f6e 00000001 11022300 05547970 tion......#..Typ + 4bac0: 65000000 01110223 01054f62 6a656374 e......#..Object + 4bad0: 00000001 11022302 05526571 75657374 ......#..Request + 4bae0: 00000001 34022304 0556616c 75650000 ....4.#..Value.. + 4baf0: 00013402 23060549 6e646578 00000001 ..4.#..Index.... + 4bb00: 34022308 054c656e 67746800 00000134 4.#..Length....4 + 4bb10: 02230a00 06010700 0001bf04 0002696e .#............in + 4bb20: 74000504 02636861 72000701 08000001 t....char....... + 4bb30: cf080000 01cf0700 0001dc04 00090000 ................ + 4bb40: 01c80107 000001e8 04000470 72696e74 ...........print + 4bb50: 665f6170 69000800 00022c05 5f707269 f_api.....,._pri + 4bb60: 6e74665f 696e6974 00000001 c1022300 ntf_init......#. + 4bb70: 055f7072 696e7466 00000001 ee022304 ._printf......#. + 4bb80: 00026c6f 6e672075 6e736967 6e656420 ..long unsigned + 4bb90: 696e7400 07040375 696e7433 325f7400 int....uint32_t. + 4bba0: 0000022c 04756172 745f6669 666f0008 ...,.uart_fifo.. + 4bbb0: 0000029a 05737461 72745f69 6e646578 .....start_index + 4bbc0: 00000001 34022300 05656e64 5f696e64 ....4.#..end_ind + 4bbd0: 65780000 00013402 2302056f 76657272 ex....4.#..overr + 4bbe0: 756e5f65 72720000 00024102 23040004 un_err....A.#... + 4bbf0: 75617274 5f617069 00200000 0353055f uart_api. ...S._ + 4bc00: 75617274 5f696e69 74000000 03aa0223 uart_init......# + 4bc10: 00055f75 6172745f 63686172 5f707574 .._uart_char_put + 4bc20: 00000003 b3022304 055f7561 72745f63 ......#.._uart_c + 4bc30: 6861725f 67657400 000003c7 02230805 har_get......#.. + 4bc40: 5f756172 745f7374 725f6f75 74000000 _uart_str_out... + 4bc50: 03d00223 0c055f75 6172745f 7461736b ...#.._uart_task + 4bc60: 00000001 c1022310 055f7561 72745f73 ......#.._uart_s + 4bc70: 74617475 73000000 03aa0223 14055f75 tatus......#.._u + 4bc80: 6172745f 636f6e66 69670000 0003d902 art_config...... + 4bc90: 2318055f 75617274 5f687769 6e697400 #.._uart_hwinit. + 4bca0: 000003e2 02231c00 07000002 9a040004 .....#.......... + 4bcb0: 75617274 5f626c6b 00100000 03a40564 uart_blk.......d + 4bcc0: 65627567 5f6d6f64 65000000 01340223 ebug_mode....4.# + 4bcd0: 00056261 75640000 00013402 2302055f ..baud....4.#.._ + 4bce0: 75617274 00000003 53022304 055f7478 uart....S.#.._tx + 4bcf0: 00000002 4f022308 00090000 02410107 ....O.#......A.. + 4bd00: 000003a4 04000601 07000003 b1040007 ................ + 4bd10: 00000111 04000900 00013401 07000003 ..........4..... + 4bd20: c1040006 01070000 03ce0400 06010700 ................ + 4bd30: 0003d704 00060107 000003e0 04000700 ................ + 4bd40: 0001cf04 00090000 01c80107 000003f0 ................ + 4bd50: 04000444 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST + 4bd60: 52554354 000c0000 04480563 6d645f73 RUCT.....H.cmd_s + 4bd70: 74720000 0003e902 23000568 656c705f tr......#..help_ + 4bd80: 73747200 000003e9 02230405 636d645f str......#..cmd_ + 4bd90: 66756e63 00000003 f6022308 00046462 func......#...db + 4bda0: 675f6170 69000800 00047b05 5f646267 g_api.....{._dbg + 4bdb0: 5f696e69 74000000 01c10223 00055f64 _init......#.._d + 4bdc0: 62675f74 61736b00 000001c1 02230400 bg_task......#.. + 4bdd0: 0a040002 756e7369 676e6564 20696e74 ....unsigned int + 4bde0: 00070409 0000047b 01070000 048e0400 .......{........ + 4bdf0: 0b0b0700 00049c04 00090000 047b0107 .............{.. + 4be00: 000004a4 04000900 0001c801 07000004 ................ + 4be10: b1040004 6d656d5f 61706900 14000005 ....mem_api..... + 4be20: 20055f6d 656d5f69 6e697400 000001c1 ._mem_init..... + 4be30: 02230005 5f6d656d 73657400 00000494 .#.._memset..... + 4be40: 02230405 5f6d656d 63707900 000004aa .#.._memcpy..... + 4be50: 02230805 5f6d656d 6d6f7665 00000004 .#.._memmove.... + 4be60: aa02230c 055f6d65 6d636d70 00000004 ..#.._memcmp.... + 4be70: b7022310 000c7265 67697374 65725f64 ..#...register_d + 4be80: 756d705f 73000001 07000005 20040006 ump_s....... ... + 4be90: 01070000 053a0400 06010700 00054304 .....:........C. + 4bea0: 00090000 01c80107 0000054c 04000d68 ...........L...h + 4beb0: 6f737469 665f7300 04000005 a80e4849 ostif_s.......HI + 4bec0: 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE + 4bed0: 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI + 4bee0: 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. + 4bef0: 040e4849 465f4e4f 4e450005 0003415f ..HIF_NONE....A_ + 4bf00: 484f5354 49460000 00055909 000005a8 HOSTIF....Y..... + 4bf10: 01070000 05b60400 09000001 11010700 ................ + 4bf20: 0005c304 00090000 01340107 000005d0 .........4...... + 4bf30: 0400046d 6973635f 61706900 24000006 ...misc_api.$... + 4bf40: c0055f73 79737465 6d5f7265 73657400 .._system_reset. + 4bf50: 000001c1 02230005 5f6d6163 5f726573 .....#.._mac_res + 4bf60: 65740000 0001c102 2304055f 61737366 et......#.._assf + 4bf70: 61696c00 0000053c 02230805 5f6d6973 ail....<.#.._mis + 4bf80: 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han + 4bf90: 646c6572 00000005 3c02230c 055f7265 dler....<.#.._re + 4bfa0: 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ + 4bfb0: 686f7374 00000005 45022310 055f7461 host....E.#.._ta + 4bfc0: 72676574 5f69645f 67657400 00000552 rget_id_get....R + 4bfd0: 02231405 5f69735f 686f7374 5f707265 .#.._is_host_pre + 4bfe0: 73656e74 00000005 bc022318 055f6b62 sent......#.._kb + 4bff0: 68697400 000005c9 02231c05 5f726f6d hit......#.._rom + 4c000: 5f766572 73696f6e 5f676574 00000005 _version_get.... + 4c010: d6022320 00090000 03e90107 000006c0 ..# ............ + 4c020: 04000900 0003e901 07000006 cd040009 ................ + 4c030: 000001c8 01070000 06da0400 09000001 ................ + 4c040: c8010700 0006e704 00090000 01c80107 ................ + 4c050: 000006f4 04000473 7472696e 675f6170 .......string_ap + 4c060: 69001800 00077a05 5f737472 696e675f i.....z._string_ + 4c070: 696e6974 00000001 c1022300 055f7374 init......#.._st + 4c080: 72637079 00000006 c6022304 055f7374 rcpy......#.._st + 4c090: 726e6370 79000000 06d30223 08055f73 rncpy......#.._s + 4c0a0: 74726c65 6e000000 06e00223 0c055f73 trlen......#.._s + 4c0b0: 7472636d 70000000 06ed0223 10055f73 trcmp......#.._s + 4c0c0: 74726e63 6d700000 0006fa02 2314000f trncmp......#... + 4c0d0: 0000047e 14000007 87100400 035f415f ...~........._A_ + 4c0e0: 54494d45 525f5350 41434500 0000077a TIMER_SPACE....z + 4c0f0: 03415f74 696d6572 5f740000 00078707 .A_timer_t...... + 4c100: 0000079b 04000601 07000007 b1040006 ................ + 4c110: 01070000 07ba0400 03415f48 414e444c .........A_HANDL + 4c120: 45000000 047e0601 03415f54 494d4552 E....~...A_TIMER + 4c130: 5f46554e 43000000 07d10700 0007d304 _FUNC........... + 4c140: 00060107 000007ec 04000474 696d6572 ...........timer + 4c150: 5f617069 00140000 086b055f 74696d65 _api.....k._time + 4c160: 725f696e 69740000 0001c102 2300055f r_init......#.._ + 4c170: 74696d65 725f6172 6d000000 07b30223 timer_arm......# + 4c180: 04055f74 696d6572 5f646973 61726d00 .._timer_disarm. + 4c190: 000007bc 02230805 5f74696d 65725f73 .....#.._timer_s + 4c1a0: 6574666e 00000007 ee02230c 055f7469 etfn......#.._ti + 4c1b0: 6d65725f 72756e00 000001c1 02231000 mer_run......#.. + 4c1c0: 03424f4f 4c45414e 00000001 34090000 .BOOLEAN....4... + 4c1d0: 086b0107 00000878 04000900 00086b01 .k.....x......k. + 4c1e0: 07000008 85040009 0000086b 01070000 ...........k.... + 4c1f0: 08920400 04726f6d 705f6170 69001000 .....romp_api... + 4c200: 00090405 5f726f6d 705f696e 69740000 ...._romp_init.. + 4c210: 0001c102 2300055f 726f6d70 5f646f77 ....#.._romp_dow + 4c220: 6e6c6f61 64000000 087e0223 04055f72 nload....~.#.._r + 4c230: 6f6d705f 696e7374 616c6c00 0000088b omp_install..... + 4c240: 02230805 5f726f6d 705f6465 636f6465 .#.._romp_decode + 4c250: 00000008 9802230c 0004726f 6d5f7061 ......#...rom_pa + 4c260: 7463685f 73740010 00000960 05637263 tch_st.....`.crc + 4c270: 31360000 00013402 2300056c 656e0000 16....4.#..len.. + 4c280: 00013402 2302056c 645f6164 64720000 ..4.#..ld_addr.. + 4c290: 00024102 23040566 756e5f61 64647200 ..A.#..fun_addr. + 4c2a0: 00000241 02230805 7066756e 00000003 ...A.#..pfun.... + 4c2b0: ba02230c 00046565 705f7265 6469725f ..#...eep_redir_ + 4c2c0: 61646472 00040000 0992056f 66667365 addr.......offse + 4c2d0: 74000000 01340223 00057369 7a650000 t....4.#..size.. + 4c2e0: 00013402 23020003 415f5549 4e543332 ..4.#...A_UINT32 + 4c2f0: 00000004 7e090000 047b0107 000009a0 ....~....{...... + 4c300: 04000461 6c6c6f63 72616d5f 61706900 ...allocram_api. + 4c310: 0c00000a 1105636d 6e6f735f 616c6c6f ......cmnos_allo + 4c320: 6372616d 5f696e69 74000000 09a60223 cram_init......# + 4c330: 0005636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 4c340: 00000009 a6022304 05636d6e 6f735f61 ......#..cmnos_a + 4c350: 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... + 4c360: 01c10223 08000601 0700000a 11040003 ...#............ + 4c370: 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. + 4c380: 000a1304 5f746173 6b6c6574 00100000 ...._tasklet.... + 4c390: 0a720566 756e6300 00000a1a 02230005 .r.func......#.. + 4c3a0: 61726700 0000047b 02230405 73746174 arg....{.#..stat + 4c3b0: 65000000 01c80223 08056e65 78740000 e......#..next.. + 4c3c0: 000a7202 230c0007 00000a2e 04000700 ..r.#........... + 4c3d0: 000a2e04 0003415f 7461736b 6c65745f ......A_tasklet_ + 4c3e0: 74000000 0a2e0700 000a8004 00060107 t............... + 4c3f0: 00000a98 04000601 0700000a a1040004 ................ + 4c400: 7461736b 6c65745f 61706900 1400000b tasklet_api..... + 4c410: 36055f74 61736b6c 65745f69 6e697400 6._tasklet_init. + 4c420: 000001c1 02230005 5f746173 6b6c6574 .....#.._tasklet + 4c430: 5f696e69 745f7461 736b0000 000a9a02 _init_task...... + 4c440: 2304055f 7461736b 6c65745f 64697361 #.._tasklet_disa + 4c450: 626c6500 00000aa3 02230805 5f746173 ble......#.._tas + 4c460: 6b6c6574 5f736368 6564756c 65000000 klet_schedule... + 4c470: 0aa30223 0c055f74 61736b6c 65745f72 ...#.._tasklet_r + 4c480: 756e0000 0001c102 23100006 01070000 un......#....... + 4c490: 0b360400 09000009 92010700 000b3f04 .6............?. + 4c4a0: 00060107 00000b4c 04000463 6c6f636b .......L...clock + 4c4b0: 5f617069 00240000 0c2e055f 636c6f63 _api.$....._cloc + 4c4c0: 6b5f696e 69740000 000b3802 2300055f k_init....8.#.._ + 4c4d0: 636c6f63 6b726567 735f696e 69740000 clockregs_init.. + 4c4e0: 0001c102 2304055f 75617274 5f667265 ....#.._uart_fre + 4c4f0: 7175656e 63790000 000b4502 2308055f quency....E.#.._ + 4c500: 64656c61 795f7573 0000000b 4e02230c delay_us....N.#. + 4c510: 055f776c 616e5f62 616e645f 73657400 ._wlan_band_set. + 4c520: 00000b4e 02231005 5f726566 636c6b5f ...N.#.._refclk_ + 4c530: 73706565 645f6765 74000000 0b450223 speed_get....E.# + 4c540: 14055f6d 696c6c69 7365636f 6e647300 .._milliseconds. + 4c550: 00000b45 02231805 5f737973 636c6b5f ...E.#.._sysclk_ + 4c560: 6368616e 67650000 0001c102 231c055f change......#.._ + 4c570: 636c6f63 6b5f7469 636b0000 0001c102 clock_tick...... + 4c580: 23200009 00000241 01070000 0c2e0400 # .....A........ + 4c590: 03415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... + 4c5a0: 02410900 000c3b01 0700000c 4d040006 .A....;.....M... + 4c5b0: 01070000 0c5a0400 06010700 000c6304 .....Z........c. + 4c5c0: 00090000 02410107 00000c6c 04000341 .....A.....l...A + 4c5d0: 5f697372 5f740000 000c7206 01070000 _isr_t....r..... + 4c5e0: 0c860400 09000004 7e010700 000c8f04 ........~....... + 4c5f0: 00060107 00000c9c 04000469 6e74725f ...........intr_ + 4c600: 61706900 2c00000d be055f69 6e74725f api.,....._intr_ + 4c610: 696e6974 00000001 c1022300 055f696e init......#.._in + 4c620: 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... + 4c630: 0c340223 04055f69 6e74725f 64697361 .4.#.._intr_disa + 4c640: 626c6500 00000c53 02230805 5f696e74 ble....S.#.._int + 4c650: 725f7265 73746f72 65000000 0c5c0223 r_restore....\.# + 4c660: 0c055f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu + 4c670: 6d000000 0c650223 10055f69 6e74725f m....e.#.._intr_ + 4c680: 756e6d61 736b5f69 6e756d00 00000c65 unmask_inum....e + 4c690: 02231405 5f696e74 725f6174 74616368 .#.._intr_attach + 4c6a0: 5f697372 0000000c 88022318 055f6765 _isr......#.._ge + 4c6b0: 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 4c6c0: 9502231c 055f7365 745f696e 7472656e ..#.._set_intren + 4c6d0: 61626c65 0000000c 9e022320 055f6765 able......# ._ge + 4c6e0: 745f696e 74727065 6e64696e 67000000 t_intrpending... + 4c6f0: 0c950223 24055f75 6e626c6f 636b5f61 ...#$._unblock_a + 4c700: 6c6c5f69 6e74726c 766c0000 0001c102 ll_intrlvl...... + 4c710: 23280011 0400000d e4057469 6d656f75 #(........timeou + 4c720: 74000000 02410223 00056163 74696f6e t....A.#..action + 4c730: 00000002 41022300 00120800 000dff05 ....A.#......... + 4c740: 636d6400 00000241 02230013 00000dbe cmd....A.#...... + 4c750: 02230400 03545f57 44545f43 4d440000 .#...T_WDT_CMD.. + 4c760: 000de406 01070000 0e0e0400 14040000 ................ + 4c770: 0e640e45 4e554d5f 5744545f 424f4f54 .d.ENUM_WDT_BOOT + 4c780: 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO + 4c790: 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO + 4c7a0: 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW + 4c7b0: 4e5f424f 4f540004 0003545f 424f4f54 N_BOOT....T_BOOT + 4c7c0: 5f545950 45000000 0e170900 000e6401 _TYPE.........d. + 4c7d0: 0700000e 75040004 7764745f 61706900 ....u...wdt_api. + 4c7e0: 1c00000f 19055f77 64745f69 6e697400 ......_wdt_init. + 4c7f0: 000001c1 02230005 5f776474 5f656e61 .....#.._wdt_ena + 4c800: 626c6500 000001c1 02230405 5f776474 ble......#.._wdt + 4c810: 5f646973 61626c65 00000001 c1022308 _disable......#. + 4c820: 055f7764 745f7365 74000000 0e100223 ._wdt_set......# + 4c830: 0c055f77 64745f74 61736b00 000001c1 .._wdt_task..... + 4c840: 02231005 5f776474 5f726573 65740000 .#.._wdt_reset.. + 4c850: 0001c102 2314055f 7764745f 6c617374 ....#.._wdt_last + 4c860: 5f626f6f 74000000 0e7b0223 18001404 _boot....{.#.... + 4c870: 00000f80 0e524554 5f535543 43455353 .....RET_SUCCESS + 4c880: 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. + 4c890: 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. + 4c8a0: 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP + 4c8b0: 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER + 4c8c0: 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO + 4c8d0: 574e0005 0003545f 4545505f 52455400 WN....T_EEP_RET. + 4c8e0: 00000f19 07000001 34040009 00000f80 ........4....... + 4c8f0: 01070000 0f960400 0900000f 80010700 ................ + 4c900: 000fa304 00046565 705f6170 69001000 ......eep_api... + 4c910: 00100c05 5f656570 5f696e69 74000000 ...._eep_init... + 4c920: 01c10223 00055f65 65705f72 65616400 ...#.._eep_read. + 4c930: 00000f9c 02230405 5f656570 5f777269 .....#.._eep_wri + 4c940: 74650000 000f9c02 2308055f 6565705f te......#.._eep_ + 4c950: 69735f65 78697374 0000000f a902230c is_exist......#. + 4c960: 00047573 625f6170 69007000 0012b905 ..usb_api.p..... + 4c970: 5f757362 5f696e69 74000000 01c10223 _usb_init......# + 4c980: 00055f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. + 4c990: 000001c1 02230405 5f757362 5f66775f .....#.._usb_fw_ + 4c9a0: 7461736b 00000001 c1022308 055f7573 task......#.._us + 4c9b0: 625f696e 69745f70 68790000 0001c102 b_init_phy...... + 4c9c0: 230c055f 7573625f 6570305f 73657475 #.._usb_ep0_setu + 4c9d0: 70000000 01c10223 10055f75 73625f65 p......#.._usb_e + 4c9e0: 70305f74 78000000 01c10223 14055f75 p0_tx......#.._u + 4c9f0: 73625f65 70305f72 78000000 01c10223 sb_ep0_rx......# + 4ca00: 18055f75 73625f67 65745f69 6e746572 .._usb_get_inter + 4ca10: 66616365 00000008 8b02231c 055f7573 face......#.._us + 4ca20: 625f7365 745f696e 74657266 61636500 b_set_interface. + 4ca30: 0000088b 02232005 5f757362 5f676574 .....# ._usb_get + 4ca40: 5f636f6e 66696775 72617469 6f6e0000 _configuration.. + 4ca50: 00088b02 2324055f 7573625f 7365745f ....#$._usb_set_ + 4ca60: 636f6e66 69677572 6174696f 6e000000 configuration... + 4ca70: 088b0223 28055f75 73625f73 74616e64 ...#(._usb_stand + 4ca80: 6172645f 636d6400 0000088b 02232c05 ard_cmd......#,. + 4ca90: 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. + 4caa0: 000001c1 02233005 5f757362 5f706f77 .....#0._usb_pow + 4cab0: 65725f6f 66660000 0001c102 2334055f er_off......#4._ + 4cac0: 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. + 4cad0: 0001c102 2338055f 7573625f 67656e5f ....#8._usb_gen_ + 4cae0: 77647400 000001c1 02233c05 5f757362 wdt......#<._usb + 4caf0: 5f6a756d 705f626f 6f740000 0001c102 _jump_boot...... + 4cb00: 2340055f 7573625f 636c725f 66656174 #@._usb_clr_feat + 4cb10: 75726500 0000088b 02234405 5f757362 ure......#D._usb + 4cb20: 5f736574 5f666561 74757265 00000008 _set_feature.... + 4cb30: 8b022348 055f7573 625f7365 745f6164 ..#H._usb_set_ad + 4cb40: 64726573 73000000 088b0223 4c055f75 dress......#L._u + 4cb50: 73625f67 65745f64 65736372 6970746f sb_get_descripto + 4cb60: 72000000 088b0223 50055f75 73625f67 r......#P._usb_g + 4cb70: 65745f73 74617475 73000000 088b0223 et_status......# + 4cb80: 54055f75 73625f73 65747570 5f646573 T._usb_setup_des + 4cb90: 63000000 01c10223 58055f75 73625f72 c......#X._usb_r + 4cba0: 65675f6f 75740000 0001c102 235c055f eg_out......#\._ + 4cbb0: 7573625f 73746174 75735f69 6e000000 usb_status_in... + 4cbc0: 01c10223 60055f75 73625f65 70305f74 ...#`._usb_ep0_t + 4cbd0: 785f6461 74610000 0001c102 2364055f x_data......#d._ + 4cbe0: 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. + 4cbf0: 000001c1 02236805 5f757362 5f636c6b .....#h._usb_clk + 4cc00: 5f696e69 74000000 01c10223 6c00045f _init......#l.._ + 4cc10: 56444553 43002400 00134505 6e657874 VDESC.$...E.next + 4cc20: 5f646573 63000000 13450223 00056275 _desc....E.#..bu + 4cc30: 665f6164 64720000 00135902 23040562 f_addr....Y.#..b + 4cc40: 75665f73 697a6500 00001360 02230805 uf_size....`.#.. + 4cc50: 64617461 5f6f6666 73657400 00001360 data_offset....` + 4cc60: 02230a05 64617461 5f73697a 65000000 .#..data_size... + 4cc70: 13600223 0c05636f 6e74726f 6c000000 .`.#..control... + 4cc80: 13600223 0e056877 5f646573 635f6275 .`.#..hw_desc_bu + 4cc90: 66000000 136e0223 10000700 0012b904 f....n.#........ + 4cca0: 0003415f 55494e54 38000000 01000700 ..A_UINT8....... + 4ccb0: 00134c04 0003415f 55494e54 31360000 ..L...A_UINT16.. + 4ccc0: 00011e0f 0000134c 14000013 7b101300 .......L....{... + 4ccd0: 07000012 b9040003 56444553 43000000 ........VDESC... + 4cce0: 12b90700 00138204 00090000 138d0107 ................ + 4ccf0: 00001394 04000900 00135901 07000013 ..........Y..... + 4cd00: a1040006 01070000 13ae0400 04766465 .............vde + 4cd10: 73635f61 70690014 00001426 055f696e sc_api.....&._in + 4cd20: 69740000 000b4e02 2300055f 616c6c6f it....N.#.._allo + 4cd30: 635f7664 65736300 0000139a 02230405 c_vdesc......#.. + 4cd40: 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... + 4cd50: a7022308 055f7377 61705f76 64657363 ..#.._swap_vdesc + 4cd60: 00000013 b002230c 05705265 73657276 ......#..pReserv + 4cd70: 65640000 00047b02 23100004 5f564255 ed....{.#..._VBU + 4cd80: 46002000 00148605 64657363 5f6c6973 F. .....desc_lis + 4cd90: 74000000 138d0223 00056e65 78745f62 t......#..next_b + 4cda0: 75660000 00148602 23040562 75665f6c uf......#..buf_l + 4cdb0: 656e6774 68000000 13600223 08057265 ength....`.#..re + 4cdc0: 73657276 65640000 00148d02 230a0563 served......#..c + 4cdd0: 74780000 00136e02 230c0007 00001426 tx....n.#......& + 4cde0: 04000f00 00134c02 0000149a 10010007 ......L......... + 4cdf0: 00001426 04000356 42554600 00001426 ...&...VBUF....& + 4ce00: 07000014 a1040009 000014ab 01070000 ................ + 4ce10: 14b20400 09000014 ab010700 0014bf04 ................ + 4ce20: 00060107 000014cc 04000476 6275665f ...........vbuf_ + 4ce30: 61706900 14000015 4a055f69 6e697400 api.....J._init. + 4ce40: 00000b4e 02230005 5f616c6c 6f635f76 ...N.#.._alloc_v + 4ce50: 62756600 000014b8 02230405 5f616c6c buf......#.._all + 4ce60: 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz + 4ce70: 65000000 14c50223 08055f66 7265655f e......#.._free_ + 4ce80: 76627566 00000014 ce02230c 05705265 vbuf......#..pRe + 4ce90: 73657276 65640000 00047b02 23100004 served....{.#... + 4cea0: 5f5f6164 665f6465 76696365 00040000 __adf_device.... + 4ceb0: 156c0564 756d6d79 00000001 c8022300 .l.dummy......#. + 4cec0: 00070000 09920400 045f5f61 64665f64 .........__adf_d + 4ced0: 6d615f6d 6170000c 000015b3 05627566 ma_map.......buf + 4cee0: 00000014 ab022300 0564735f 61646472 ......#..ds_addr + 4cef0: 00000015 6c022304 0564735f 6c656e00 ....l.#..ds_len. + 4cf00: 00001360 02230800 120c0000 15ed055f ...`.#........._ + 4cf10: 5f76615f 73746b00 000003e9 02230005 _va_stk......#.. + 4cf20: 5f5f7661 5f726567 00000003 e9022304 __va_reg......#. + 4cf30: 055f5f76 615f6e64 78000000 01c80223 .__va_ndx......# + 4cf40: 0800035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 4cf50: 61646472 5f740000 00099203 6164665f addr_t......adf_ + 4cf60: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 4cf70: 15ed035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ + 4cf80: 73697a65 5f740000 00099203 6164665f size_t......adf_ + 4cf90: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 4cfa0: 161d045f 5f646d61 5f736567 73000800 ...__dma_segs... + 4cfb0: 00167905 70616464 72000000 16060223 ..y.paddr......# + 4cfc0: 00056c65 6e000000 16360223 0400035f ..len....6.#..._ + 4cfd0: 5f615f75 696e7433 325f7400 00000992 _a_uint32_t..... + 4cfe0: 03615f75 696e7433 325f7400 00001679 .a_uint32_t....y + 4cff0: 0f000016 4d080000 16a81000 00046164 ....M.........ad + 4d000: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info + 4d010: 000c0000 16e1056e 73656773 00000016 .......nsegs.... + 4d020: 8b022300 05646d61 5f736567 73000000 ..#..dma_segs... + 4d030: 169b0223 0400035f 5f615f75 696e7438 ...#...__a_uint8 + 4d040: 5f740000 00134c03 615f7569 6e74385f _t....L.a_uint8_ + 4d050: 74000000 16e10700 0016f204 00045f5f t.............__ + 4d060: 73675f73 65677300 08000017 33057661 sg_segs.....3.va + 4d070: 64647200 00001701 02230005 6c656e00 ddr......#..len. + 4d080: 0000168b 02230400 0f000017 08200000 .....#....... .. + 4d090: 17401003 00046164 665f6f73 5f73676c .@....adf_os_sgl + 4d0a0: 69737400 24000017 73056e73 65677300 ist.$...s.nsegs. + 4d0b0: 0000168b 02230005 73675f73 65677300 .....#..sg_segs. + 4d0c0: 00001733 02230400 12100000 17bc0576 ...3.#.........v + 4d0d0: 656e646f 72000000 168b0223 00056465 endor......#..de + 4d0e0: 76696365 00000016 8b022304 05737562 vice......#..sub + 4d0f0: 76656e64 6f720000 00168b02 23080573 vendor......#..s + 4d100: 75626465 76696365 00000016 8b02230c ubdevice......#. + 4d110: 00026c6f 6e67206c 6f6e6720 756e7369 ..long long unsi + 4d120: 676e6564 20696e74 00070803 415f5549 gned int....A_UI + 4d130: 4e543634 00000017 bc035f5f 615f7569 NT64......__a_ui + 4d140: 6e743634 5f740000 0017d603 615f7569 nt64_t......a_ui + 4d150: 6e743634 5f740000 0017e414 04000018 nt64_t.......... + 4d160: 420e4144 465f4f53 5f524553 4f555243 B.ADF_OS_RESOURC + 4d170: 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF + 4d180: 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP + 4d190: 455f494f 00010003 6164665f 6f735f72 E_IO....adf_os_r + 4d1a0: 65736f75 7263655f 74797065 5f740000 esource_type_t.. + 4d1b0: 00180612 18000018 8c057374 61727400 ..........start. + 4d1c0: 000017f6 02230005 656e6400 000017f6 .....#..end..... + 4d1d0: 02230805 74797065 00000018 42022310 .#..type....B.#. + 4d1e0: 00036164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev + 4d1f0: 5f69645f 74000000 17730700 00188c04 _id_t....s...... + 4d200: 00110400 0018cb05 70636900 000018a5 ........pci..... + 4d210: 02230005 72617700 0000047b 02230000 .#..raw....{.#.. + 4d220: 11100000 18ea0570 63690000 00188c02 .......pci...... + 4d230: 23000572 61770000 00047b02 23000003 #..raw....{.#... + 4d240: 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t + 4d250: 00000004 7b036164 665f6f73 5f726573 ....{.adf_os_res + 4d260: 6f757263 655f7400 0000185e 07000019 ource_t....^.... + 4d270: 00040003 6164665f 6f735f61 74746163 ....adf_os_attac + 4d280: 685f6461 74615f74 00000018 cb070000 h_data_t........ + 4d290: 191e0400 07000015 4a040003 5f5f6164 ........J...__ad + 4d2a0: 665f6f73 5f646576 6963655f 74000000 f_os_device_t... + 4d2b0: 193f0361 64665f6f 735f6465 76696365 .?.adf_os_device + 4d2c0: 5f740000 00194609 000018ea 01070000 _t....F......... + 4d2d0: 19720400 06010700 00197f04 00036164 .r............ad + 4d2e0: 665f6f73 5f706d5f 74000000 047b0601 f_os_pm_t....{.. + 4d2f0: 07000019 99040014 04000019 d90e4144 ..............AD + 4d300: 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC + 4d310: 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T + 4d320: 5950455f 47454e45 52494300 02000361 YPE_GENERIC....a + 4d330: 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t + 4d340: 00000019 a2036164 665f6f73 5f627573 ......adf_os_bus + 4d350: 5f726567 5f646174 615f7400 000018ac _reg_data_t..... + 4d360: 07000001 00040004 5f616466 5f647276 ........_adf_drv + 4d370: 5f696e66 6f002000 001ab605 6472765f _info. .....drv_ + 4d380: 61747461 63680000 00197802 23000564 attach....x.#..d + 4d390: 72765f64 65746163 68000000 19810223 rv_detach......# + 4d3a0: 04056472 765f7375 7370656e 64000000 ..drv_suspend... + 4d3b0: 199b0223 08056472 765f7265 73756d65 ...#..drv_resume + 4d3c0: 00000019 8102230c 05627573 5f747970 ......#..bus_typ + 4d3d0: 65000000 19d90223 10056275 735f6461 e......#..bus_da + 4d3e0: 74610000 0019f002 2314056d 6f645f6e ta......#..mod_n + 4d3f0: 616d6500 00001a0b 02231805 69666e61 ame......#..ifna + 4d400: 6d650000 001a0b02 231c0003 6164665f me......#...adf_ + 4d410: 6f735f68 616e646c 655f7400 0000047b os_handle_t....{ + 4d420: 07000016 e1040006 01060103 5f5f6164 ............__ad + 4d430: 665f6f73 5f73697a 655f7400 0000047e f_os_size_t....~ + 4d440: 14040000 1b050e41 5f46414c 53450000 .......A_FALSE.. + 4d450: 0e415f54 52554500 01000361 5f626f6f .A_TRUE....a_boo + 4d460: 6c5f7400 00001aeb 07000015 73040003 l_t.........s... + 4d470: 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map + 4d480: 5f740000 001b1306 010d6164 665f6f73 _t........adf_os + 4d490: 5f636163 68655f73 796e6300 0400001b _cache_sync..... + 4d4a0: 9d0e4144 465f5359 4e435f50 52455245 ..ADF_SYNC_PRERE + 4d4b0: 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR + 4d4c0: 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN + 4d4d0: 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF + 4d4e0: 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. + 4d4f0: 03000361 64665f6f 735f6361 6368655f ...adf_os_cache_ + 4d500: 73796e63 5f740000 001b3406 01036164 sync_t....4...ad + 4d510: 665f6f73 5f73697a 655f7400 00001ad6 f_os_size_t..... + 4d520: 0900001b b8010361 64665f6f 735f646d .......adf_os_dm + 4d530: 615f6d61 705f7400 00001b1a 0700001b a_map_t......... + 4d540: d1040009 0000047b 01070000 1b1a0400 .......{........ + 4d550: 09000004 7b010601 09000016 06010601 ....{........... + 4d560: 0273686f 72742069 6e740005 0203415f .short int....A_ + 4d570: 494e5431 36000000 1c0b035f 5f615f69 INT16......__a_i + 4d580: 6e743136 5f740000 001c1803 615f696e nt16_t......a_in + 4d590: 7431365f 74000000 1c250273 69676e65 t16_t....%.signe + 4d5a0: 64206368 61720005 0103415f 494e5438 d char....A_INT8 + 4d5b0: 0000001c 45035f5f 615f696e 74385f74 ....E.__a_int8_t + 4d5c0: 0000001c 5403615f 696e7438 5f740000 ....T.a_int8_t.. + 4d5d0: 001c6012 0c00001c d7057375 70706f72 ..`.......suppor + 4d5e0: 74656400 0000168b 02230005 61647665 ted......#..adve + 4d5f0: 7274697a 65640000 00168b02 23040573 rtized......#..s + 4d600: 70656564 0000001c 36022308 05647570 peed....6.#..dup + 4d610: 6c657800 00001c70 02230a05 6175746f lex....p.#..auto + 4d620: 6e656700 000016f2 02230b00 0f000016 neg......#...... + 4d630: f2060000 1ce41005 00046164 665f6e65 ..........adf_ne + 4d640: 745f6574 68616464 72000600 001d0805 t_ethaddr....... + 4d650: 61646472 0000001c d7022300 00035f5f addr......#...__ + 4d660: 615f7569 6e743136 5f740000 00136003 a_uint16_t....`. + 4d670: 615f7569 6e743136 5f740000 001d0812 a_uint16_t...... + 4d680: 0e00001d 6c056574 6865725f 64686f73 ....l.ether_dhos + 4d690: 74000000 1cd70223 00056574 6865725f t......#..ether_ + 4d6a0: 73686f73 74000000 1cd70223 06056574 shost......#..et + 4d6b0: 6865725f 74797065 0000001d 1a02230c her_type......#. + 4d6c0: 00121400 001e2d15 69705f76 65727369 ......-.ip_versi + 4d6d0: 6f6e0000 0016f201 00040223 00156970 on.........#..ip + 4d6e0: 5f686c00 000016f2 01040402 23000569 _hl.........#..i + 4d6f0: 705f746f 73000000 16f20223 01056970 p_tos......#..ip + 4d700: 5f6c656e 0000001d 1a022302 0569705f _len......#..ip_ + 4d710: 69640000 001d1a02 23040569 705f6672 id......#..ip_fr + 4d720: 61675f6f 66660000 001d1a02 23060569 ag_off......#..i + 4d730: 705f7474 6c000000 16f20223 08056970 p_ttl......#..ip + 4d740: 5f70726f 746f0000 0016f202 23090569 _proto......#..i + 4d750: 705f6368 65636b00 00001d1a 02230a05 p_check......#.. + 4d760: 69705f73 61646472 00000016 8b02230c ip_saddr......#. + 4d770: 0569705f 64616464 72000000 168b0223 .ip_daddr......# + 4d780: 10000461 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 4d790: 64720004 00001e7f 05747069 64000000 dr.......tpid... + 4d7a0: 1d1a0223 00157072 696f0000 0016f201 ...#..prio...... + 4d7b0: 00030223 02156366 69000000 16f20103 ...#..cfi....... + 4d7c0: 01022302 15766964 0000001d 1a02040c ..#..vid........ + 4d7d0: 02230200 04616466 5f6e6574 5f766964 .#...adf_net_vid + 4d7e0: 00020000 1eb01572 65730000 0016f201 .......res...... + 4d7f0: 00040223 00157661 6c000000 1d1a0204 ...#..val....... + 4d800: 0c022300 00120c00 001eec05 72785f62 ..#.........rx_b + 4d810: 75667369 7a650000 00168b02 23000572 ufsize......#..r + 4d820: 785f6e64 65736300 0000168b 02230405 x_ndesc......#.. + 4d830: 74785f6e 64657363 00000016 8b022308 tx_ndesc......#. + 4d840: 00120800 001f1205 706f6c6c 65640000 ........polled.. + 4d850: 001b0502 23000570 6f6c6c5f 77740000 ....#..poll_wt.. + 4d860: 00168b02 2304000f 000016f2 4000001f ....#.......@... + 4d870: 1f103f00 12460000 1f470569 665f6e61 ..?..F...G.if_na + 4d880: 6d650000 001f1202 23000564 65765f61 me......#..dev_a + 4d890: 64647200 00001cd7 02234000 14040000 ddr......#@..... + 4d8a0: 1f7e0e41 44465f4f 535f444d 415f4d41 .~.ADF_OS_DMA_MA + 4d8b0: 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O + 4d8c0: 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT + 4d8d0: 00010003 6164665f 6f735f64 6d615f6d ....adf_os_dma_m + 4d8e0: 61736b5f 74000000 1f470461 64665f64 ask_t....G.adf_d + 4d8f0: 6d615f69 6e666f00 0800001f cb05646d ma_info.......dm + 4d900: 615f6d61 736b0000 001f7e02 23000573 a_mask....~.#..s + 4d910: 675f6e73 65677300 0000168b 02230400 g_nsegs......#.. + 4d920: 14040000 20210e41 44465f4e 45545f43 .... !.ADF_NET_C + 4d930: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ + 4d940: 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD + 4d950: 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET + 4d960: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I + 4d970: 50763600 02000361 64665f6e 65745f63 Pv6....adf_net_c + 4d980: 6b73756d 5f747970 655f7400 00001fcb ksum_type_t..... + 4d990: 12080000 20640574 785f636b 73756d00 .... d.tx_cksum. + 4d9a0: 00002021 02230005 72785f63 6b73756d .. !.#..rx_cksum + 4d9b0: 00000020 21022304 00036164 665f6e65 ... !.#...adf_ne + 4d9c0: 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. + 4d9d0: 00203b14 04000020 bd0e4144 465f4e45 . ;.... ..ADF_NE + 4d9e0: 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF + 4d9f0: 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... + 4da00: 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. + 4da10: 02000361 64665f6e 65745f74 736f5f74 ...adf_net_tso_t + 4da20: 7970655f 74000000 207e1210 00002111 ype_t... ~....!. + 4da30: 05636b73 756d5f63 61700000 00206402 .cksum_cap... d. + 4da40: 23000574 736f0000 0020bd02 23080576 #..tso... ..#..v + 4da50: 6c616e5f 73757070 6f727465 64000000 lan_supported... + 4da60: 16f20223 0c001220 000021aa 0574785f ...#... ..!..tx_ + 4da70: 7061636b 65747300 0000168b 02230005 packets......#.. + 4da80: 72785f70 61636b65 74730000 00168b02 rx_packets...... + 4da90: 23040574 785f6279 74657300 0000168b #..tx_bytes..... + 4daa0: 02230805 72785f62 79746573 00000016 .#..rx_bytes.... + 4dab0: 8b02230c 0574785f 64726f70 70656400 ..#..tx_dropped. + 4dac0: 0000168b 02231005 72785f64 726f7070 .....#..rx_dropp + 4dad0: 65640000 00168b02 23140572 785f6572 ed......#..rx_er + 4dae0: 726f7273 00000016 8b022318 0574785f rors......#..tx_ + 4daf0: 6572726f 72730000 00168b02 231c0003 errors......#... + 4db00: 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ + 4db10: 74000000 1ce41600 0021aa03 00000021 t........!.....! + 4db20: cf107f00 17616466 5f6e6574 5f636d64 .....adf_net_cmd + 4db30: 5f6d6361 64647200 03040000 2206056e _mcaddr....."..n + 4db40: 656c656d 00000016 8b022300 056d6361 elem......#..mca + 4db50: 73740000 0021c102 23040003 6164665f st...!..#...adf_ + 4db60: 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf + 4db70: 6f5f7400 00001c7e 03616466 5f6e6574 o_t....~.adf_net + 4db80: 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t + 4db90: 0000001e ec036164 665f6e65 745f636d ......adf_net_cm + 4dba0: 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. + 4dbb0: 00206403 6164665f 6e65745f 636d645f . d.adf_net_cmd_ + 4dbc0: 72696e67 5f696e66 6f5f7400 00001eb0 ring_info_t..... + 4dbd0: 03616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma + 4dbe0: 5f696e66 6f5f7400 00001f95 03616466 _info_t......adf + 4dbf0: 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. + 4dc00: 001d1a03 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 4dc10: 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... + 4dc20: 20d50361 64665f6e 65745f63 6d645f73 ..adf_net_cmd_s + 4dc30: 74617473 5f740000 00211103 6164665f tats_t...!..adf_ + 4dc40: 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t + 4dc50: 00000021 cf0d6164 665f6e65 745f636d ...!..adf_net_cm + 4dc60: 645f6d63 6173745f 63617000 04000023 d_mcast_cap....# + 4dc70: 480e4144 465f4e45 545f4d43 4153545f H.ADF_NET_MCAST_ + 4dc80: 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC + 4dc90: 4153545f 4e4f5453 55500001 00036164 AST_NOTSUP....ad + 4dca0: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 4dcb0: 6361705f 74000000 23001803 04000024 cap_t...#......$ + 4dcc0: 1a056c69 6e6b5f69 6e666f00 00002206 ..link_info...". + 4dcd0: 02230005 706f6c6c 5f696e66 6f000000 .#..poll_info... + 4dce0: 22230223 0005636b 73756d5f 696e666f "#.#..cksum_info + 4dcf0: 00000022 40022300 0572696e 675f696e ..."@.#..ring_in + 4dd00: 666f0000 00225e02 23000564 6d615f69 fo..."^.#..dma_i + 4dd10: 6e666f00 0000227b 02230005 76696400 nfo..."{.#..vid. + 4dd20: 00002297 02230005 6f66666c 6f61645f .."..#..offload_ + 4dd30: 63617000 000022ae 02230005 73746174 cap..."..#..stat + 4dd40: 73000000 22cd0223 00056d63 6173745f s..."..#..mcast_ + 4dd50: 696e666f 00000022 e6022300 056d6361 info..."..#..mca + 4dd60: 73745f63 61700000 00234802 23000014 st_cap...#H.#... + 4dd70: 04000024 710e4144 465f4e42 55465f52 ...$q.ADF_NBUF_R + 4dd80: 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A + 4dd90: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 4dda0: 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R + 4ddb0: 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS + 4ddc0: 41525900 02000361 64665f6e 6275665f ARY....adf_nbuf_ + 4ddd0: 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. + 4dde0: 0000241a 12080000 24b10572 6573756c ..$.....$..resul + 4ddf0: 74000000 24710223 00057661 6c000000 t...$q.#..val... + 4de00: 168b0223 04001208 000024e1 05747970 ...#......$..typ + 4de10: 65000000 20bd0223 00056d73 73000000 e... ..#..mss... + 4de20: 1d1a0223 04056864 725f6f66 66000000 ...#..hdr_off... + 4de30: 16f20223 0600045f 5f616466 5f6e6275 ...#...__adf_nbu + 4de40: 665f7168 65616400 0c000025 20056865 f_qhead....% .he + 4de50: 61640000 0014ab02 23000574 61696c00 ad......#..tail. + 4de60: 000014ab 02230405 716c656e 00000016 .....#..qlen.... + 4de70: 8b022308 00035f5f 6164665f 6e627566 ..#...__adf_nbuf + 4de80: 5f740000 0014ab07 00001701 04000700 _t.............. + 4de90: 00168b04 00060109 0000138d 01090000 ................ + 4dea0: 168b0109 00001701 01090000 17010107 ................ + 4deb0: 0000136e 0400035f 5f616466 5f6e6275 ...n...__adf_nbu + 4dec0: 665f7168 6561645f 74000000 24e1035f f_qhead_t...$.._ + 4ded0: 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ + 4dee0: 74000000 25610700 00257904 00090000 t...%a...%y..... + 4def0: 25200109 00002520 01140400 0026990e % ....% .....&.. + 4df00: 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ + 4df10: 53544154 55535f46 41494c45 4400010e STATUS_FAILED... + 4df20: 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. + 4df30: 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME + 4df40: 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN + 4df50: 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E + 4df60: 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S + 4df70: 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. + 4df80: 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. + 4df90: 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG + 4dfa0: 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD + 4dfb0: 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST + 4dfc0: 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S + 4dfd0: 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A + 4dfe0: 5f535441 5455535f 45494f00 0c000361 _STATUS_EIO....a + 4dff0: 5f737461 7475735f 74000000 25a40900 _status_t...%... + 4e000: 00269901 09000001 c8010601 03616466 .&...........adf + 4e010: 5f6e6275 665f7400 00002520 14040000 _nbuf_t...% .... + 4e020: 26fe0e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO + 4e030: 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS + 4e040: 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE + 4e050: 00010003 6164665f 6f735f64 6d615f64 ....adf_os_dma_d + 4e060: 69725f74 00000026 c7090000 26990106 ir_t...&....&... + 4e070: 01036164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ + 4e080: 696e666f 5f740000 0016a807 0000271c info_t........'. + 4e090: 04000601 06010900 0026b701 09000025 .........&.....% + 4e0a0: 20010601 06010900 0026b701 09000025 ........&.....% + 4e0b0: 20010900 0026b701 09000025 20010900 ....&.....% ... + 4e0c0: 0026b701 06010601 09000016 8b010900 .&.............. + 4e0d0: 00170101 06010601 0900001b b8010900 ................ + 4e0e0: 001b0501 0900001b 05010361 64665f6f ...........adf_o + 4e0f0: 735f7367 6c697374 5f740000 00174007 s_sglist_t....@. + 4e100: 00002795 04000601 06010601 09000017 ..'............. + 4e110: 01010361 64665f6e 6275665f 71756575 ...adf_nbuf_queu + 4e120: 655f7400 00002579 07000027 bd040006 e_t...%y...'.... + 4e130: 01070000 25610400 06010601 06010900 ....%a.......... + 4e140: 0026b701 09000025 20010900 00168b01 .&.....% ....... + 4e150: 09000016 8b010900 001b0501 0900001b ................ + 4e160: 05010900 00202101 09000016 8b010361 ..... !........a + 4e170: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 4e180: 5f740000 00248f07 00002819 04000601 _t...$....(..... + 4e190: 06010361 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ + 4e1a0: 74000000 24b10700 00283d04 00060106 t...$....(=..... + 4e1b0: 01036164 665f6e65 745f6861 6e646c65 ..adf_net_handle + 4e1c0: 5f740000 00047b03 6164665f 6e65745f _t....{.adf_net_ + 4e1d0: 766c616e 6864725f 74000000 1e2d0700 vlanhdr_t....-.. + 4e1e0: 00287204 00090000 26990109 00002699 .(r.....&.....&. + 4e1f0: 01060106 01045f48 49465f43 4f4e4649 ......_HIF_CONFI + 4e200: 47000400 0028c105 64756d6d 79000000 G....(..dummy... + 4e210: 01c80223 00000601 07000028 c1040006 ...#.......(.... + 4e220: 01070000 28ca0400 045f4849 465f4341 ....(...._HIF_CA + 4e230: 4c4c4241 434b000c 0000291f 0573656e LLBACK....)..sen + 4e240: 645f6275 665f646f 6e650000 0028c302 d_buf_done...(.. + 4e250: 23000572 6563765f 62756600 000028cc #..recv_buf...(. + 4e260: 02230405 636f6e74 65787400 0000047b .#..context....{ + 4e270: 02230800 03686966 5f68616e 646c655f .#...hif_handle_ + 4e280: 74000000 047b0348 49465f43 4f4e4649 t....{.HIF_CONFI + 4e290: 47000000 28a00700 00293104 00090000 G...(....)1..... + 4e2a0: 291f0107 00002948 04000601 07000029 ).....)H.......) + 4e2b0: 55040003 4849465f 43414c4c 4241434b U...HIF_CALLBACK + 4e2c0: 00000028 d3070000 295e0400 06010700 ...(....)^...... + 4e2d0: 00297704 00090000 01c80107 00002980 .)w...........). + 4e2e0: 04000601 07000029 8d040009 000001c8 .......)........ + 4e2f0: 01070000 29960400 06010700 0029a304 ....)........).. + 4e300: 00090000 01c80107 000029ac 04000601 ..........)..... + 4e310: 07000029 b9040004 6869665f 61706900 ...)....hif_api. + 4e320: 3800002b 12055f69 6e697400 0000294e 8..+.._init...)N + 4e330: 02230005 5f736875 74646f77 6e000000 .#.._shutdown... + 4e340: 29570223 04055f72 65676973 7465725f )W.#.._register_ + 4e350: 63616c6c 6261636b 00000029 79022308 callback...)y.#. + 4e360: 055f6765 745f746f 74616c5f 63726564 ._get_total_cred + 4e370: 69745f63 6f756e74 00000029 8602230c it_count...)..#. + 4e380: 055f7374 61727400 00002957 02231005 ._start...)W.#.. + 4e390: 5f636f6e 6669675f 70697065 00000029 _config_pipe...) + 4e3a0: 8f022314 055f7365 6e645f62 75666665 ..#.._send_buffe + 4e3b0: 72000000 299c0223 18055f72 65747572 r...)..#.._retur + 4e3c0: 6e5f7265 63765f62 75660000 0029a502 n_recv_buf...).. + 4e3d0: 231c055f 69735f70 6970655f 73757070 #.._is_pipe_supp + 4e3e0: 6f727465 64000000 29b20223 20055f67 orted...)..# ._g + 4e3f0: 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. + 4e400: 0029b202 2324055f 6765745f 72657365 .)..#$._get_rese + 4e410: 72766564 5f686561 64726f6f 6d000000 rved_headroom... + 4e420: 29860223 28055f69 73725f68 616e646c )..#(._isr_handl + 4e430: 65720000 00295702 232c055f 6765745f er...)W.#,._get_ + 4e440: 64656661 756c745f 70697065 00000029 default_pipe...) + 4e450: bb022330 05705265 73657276 65640000 ..#0.pReserved.. + 4e460: 00047b02 2334000d 646d615f 656e6769 ..{.#4..dma_engi + 4e470: 6e650004 00002b9b 0e444d41 5f454e47 ne....+..DMA_ENG + 4e480: 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN + 4e490: 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E + 4e4a0: 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ + 4e4b0: 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA + 4e4c0: 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM + 4e4d0: 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D + 4e4e0: 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... + 4e4f0: 03646d61 5f656e67 696e655f 74000000 .dma_engine_t... + 4e500: 2b120d64 6d615f69 66747970 65000400 +..dma_iftype... + 4e510: 002be80e 444d415f 49465f47 4d414300 .+..DMA_IF_GMAC. + 4e520: 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D + 4e530: 4d415f49 465f5043 49450002 0003646d MA_IF_PCIE....dm + 4e540: 615f6966 74797065 5f740000 002bad09 a_iftype_t...+.. + 4e550: 00001360 01070000 2bfa0400 06010700 ...`....+....... + 4e560: 002c0704 00060107 00002c10 04000900 .,........,..... + 4e570: 00099201 0700002c 19040009 00001360 .......,.......` + 4e580: 01070000 2c260400 09000013 60010700 ....,&......`... + 4e590: 002c3304 00090000 14ab0107 00002c40 .,3...........,@ + 4e5a0: 04000601 0700002c 4d040004 646d615f .......,M...dma_ + 4e5b0: 6c69625f 61706900 3400002d 54057478 lib_api.4..-T.tx + 4e5c0: 5f696e69 74000000 2c000223 00057478 _init...,..#..tx + 4e5d0: 5f737461 72740000 002c0902 23040572 _start...,..#..r + 4e5e0: 785f696e 69740000 002c0002 23080572 x_init...,..#..r + 4e5f0: 785f636f 6e666967 0000002c 1202230c x_config...,..#. + 4e600: 0572785f 73746172 74000000 2c090223 .rx_start...,..# + 4e610: 1005696e 74725f73 74617475 73000000 ..intr_status... + 4e620: 2c1f0223 14056861 72645f78 6d697400 ,..#..hard_xmit. + 4e630: 00002c2c 02231805 666c7573 685f786d ..,,.#..flush_xm + 4e640: 69740000 002c0902 231c0578 6d69745f it...,..#..xmit_ + 4e650: 646f6e65 0000002c 39022320 05726561 done...,9.# .rea + 4e660: 705f786d 69747465 64000000 2c460223 p_xmitted...,F.# + 4e670: 24057265 61705f72 65637600 00002c46 $.reap_recv...,F + 4e680: 02232805 72657475 726e5f72 65637600 .#(.return_recv. + 4e690: 00002c4f 02232c05 72656376 5f706b74 ..,O.#,.recv_pkt + 4e6a0: 0000002c 39022330 00045f5f 7063695f ...,9.#0..__pci_ + 4e6b0: 736f6674 63000c00 002d7205 73770000 softc....-r.sw.. + 4e6c0: 00295e02 23000003 5f5f7063 695f736f .)^.#...__pci_so + 4e6d0: 6674635f 74000000 2d540700 002d7204 ftc_t...-T...-r. + 4e6e0: 00060107 00002d8c 04000900 00134c01 ......-.......L. + 4e6f0: 0700002d 9504000d 6869665f 7063695f ...-....hif_pci_ + 4e700: 70697065 5f747800 0400002d f50e4849 pipe_tx....-..HI + 4e710: 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. + 4e720: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX + 4e730: 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 4e740: 5f54585f 4d415800 02000368 69665f70 _TX_MAX....hif_p + 4e750: 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- + 4e760: a2090000 2b9b0107 00002e0c 04000d68 ....+..........h + 4e770: 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. + 4e780: 00002e92 0e484946 5f504349 5f504950 .....HIF_PCI_PIP + 4e790: 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ + 4e7a0: 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P + 4e7b0: 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI + 4e7c0: 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. + 4e7d0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 4e7e0: 5f4d4158 00040003 6869665f 7063695f _MAX....hif_pci_ + 4e7f0: 70697065 5f72785f 74000000 2e190900 pipe_rx_t....... + 4e800: 002b9b01 0700002e a9040004 6869665f .+..........hif_ + 4e810: 7063695f 61706900 2400002f 87057063 pci_api.$../..pc + 4e820: 695f626f 6f745f69 6e697400 000001c1 i_boot_init..... + 4e830: 02230005 7063695f 696e6974 00000029 .#..pci_init...) + 4e840: 4e022304 05706369 5f726573 65740000 N.#..pci_reset.. + 4e850: 0001c102 23080570 63695f65 6e61626c ....#..pci_enabl + 4e860: 65000000 01c10223 0c057063 695f7265 e......#..pci_re + 4e870: 61705f78 6d697474 65640000 002d8e02 ap_xmitted...-.. + 4e880: 23100570 63695f72 6561705f 72656376 #..pci_reap_recv + 4e890: 0000002d 8e022314 05706369 5f676574 ...-..#..pci_get + 4e8a0: 5f706970 65000000 2d9b0223 18057063 _pipe...-..#..pc + 4e8b0: 695f6765 745f7478 5f656e67 0000002e i_get_tx_eng.... + 4e8c0: 1202231c 05706369 5f676574 5f72785f ..#..pci_get_rx_ + 4e8d0: 656e6700 00002eaf 02232000 04676d61 eng......# ..gma + 4e8e0: 635f6170 69000400 002fae05 676d6163 c_api..../..gmac + 4e8f0: 5f626f6f 745f696e 69740000 0001c102 _boot_init...... + 4e900: 2300000f 00000100 0600002f bb100500 #........../.... + 4e910: 045f5f65 74686864 72000e00 002ff105 .__ethhdr..../.. + 4e920: 64737400 00002fae 02230005 73726300 dst.../..#..src. + 4e930: 00002fae 02230605 65747970 65000000 ../..#..etype... + 4e940: 13600223 0c00045f 5f617468 68647200 .`.#...__athhdr. + 4e950: 04000030 3f157265 73000000 134c0100 ...0?.res....L.. + 4e960: 02022300 1570726f 746f0000 00134c01 ..#..proto....L. + 4e970: 02060223 00057265 735f6c6f 00000013 ...#..res_lo.... + 4e980: 4c022301 05726573 5f686900 00001360 L.#..res_hi....` + 4e990: 02230200 045f5f67 6d61635f 68647200 .#...__gmac_hdr. + 4e9a0: 14000030 7b056574 68000000 2fbb0223 ...0{.eth.../..# + 4e9b0: 00056174 68000000 2ff10223 0e05616c ..ath.../..#..al + 4e9c0: 69676e5f 70616400 00001360 02231200 ign_pad....`.#.. + 4e9d0: 035f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... + 4e9e0: 303f045f 5f676d61 635f736f 66746300 0?.__gmac_softc. + 4e9f0: 24000030 c5056864 72000000 307b0223 $..0..hdr...0{.# + 4ea00: 00056772 616e0000 00136002 23140573 ..gran....`.#..s + 4ea10: 77000000 295e0223 1800045f 415f6f73 w...)^.#..._A_os + 4ea20: 5f6c696e 6b616765 5f636865 636b0008 _linkage_check.. + 4ea30: 000030fe 05766572 73696f6e 00000001 ..0..version.... + 4ea40: c8022300 05746162 6c650000 0001c802 ..#..table...... + 4ea50: 23040007 000030c5 04000900 0001c801 #.....0......... + 4ea60: 07000031 05040007 0000047e 0400175f ...1.......~..._ + 4ea70: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 4ea80: 696f6e5f 7461626c 650001b8 00003255 ion_table.....2U + 4ea90: 0568616c 5f6c696e 6b616765 5f636865 .hal_linkage_che + 4eaa0: 636b0000 00310b02 23000573 74617274 ck...1..#..start + 4eab0: 5f627373 00000031 12022304 05617070 _bss...1..#..app + 4eac0: 5f737461 72740000 0001c102 2308056d _start......#..m + 4ead0: 656d0000 0004be02 230c056d 69736300 em......#..misc. + 4eae0: 000005dd 02232005 7072696e 74660000 .....# .printf.. + 4eaf0: 0001f502 23440575 61727400 0000029a ....#D.uart..... + 4eb00: 02234c05 676d6163 0000002f 8702236c .#L.gmac.../..#l + 4eb10: 05757362 00000010 0c022370 05636c6f .usb......#p.clo + 4eb20: 636b0000 000b5503 23e00105 74696d65 ck....U.#...time + 4eb30: 72000000 07f50323 84020569 6e747200 r......#...intr. + 4eb40: 00000ca5 03239802 05616c6c 6f637261 .....#...allocra + 4eb50: 6d000000 09ad0323 c4020572 6f6d7000 m......#...romp. + 4eb60: 0000089f 0323d002 05776474 5f74696d .....#...wdt_tim + 4eb70: 65720000 000e8203 23e00205 65657000 er......#...eep. + 4eb80: 00000fb0 0323fc02 05737472 696e6700 .....#...string. + 4eb90: 00000701 03238c03 05746173 6b6c6574 .....#...tasklet + 4eba0: 0000000a aa0323a4 0300045f 5553425f ......#...._USB_ + 4ebb0: 4649464f 5f434f4e 46494700 10000032 FIFO_CONFIG....2 + 4ebc0: c8056765 745f636f 6d6d616e 645f6275 ..get_command_bu + 4ebd0: 66000000 14b80223 00057265 63765f63 f......#..recv_c + 4ebe0: 6f6d6d61 6e640000 0014ce02 23040567 ommand......#..g + 4ebf0: 65745f65 76656e74 5f627566 00000014 et_event_buf.... + 4ec00: b8022308 0573656e 645f6576 656e745f ..#..send_event_ + 4ec10: 646f6e65 00000014 ce02230c 00035553 done......#...US + 4ec20: 425f4649 464f5f43 4f4e4649 47000000 B_FIFO_CONFIG... + 4ec30: 32550700 0032c804 00060107 000032e4 2U...2........2. + 4ec40: 04000475 73626669 666f5f61 7069000c ...usbfifo_api.. + 4ec50: 0000333a 055f696e 69740000 0032e602 ..3:._init...2.. + 4ec60: 2300055f 656e6162 6c655f65 76656e74 #.._enable_event + 4ec70: 5f697372 00000001 c1022304 05705265 _isr......#..pRe + 4ec80: 73657276 65640000 00047b02 2308000f served....{.#... + 4ec90: 000016f2 02000033 47100100 045f4854 .......3G...._HT + 4eca0: 435f4652 414d455f 48445200 08000033 C_FRAME_HDR....3 + 4ecb0: b905456e 64706f69 6e744944 00000016 ..EndpointID.... + 4ecc0: f2022300 05466c61 67730000 0016f202 ..#..Flags...... + 4ecd0: 23010550 61796c6f 61644c65 6e000000 #..PayloadLen... + 4ece0: 1d1a0223 0205436f 6e74726f 6c427974 ...#..ControlByt + 4ecf0: 65730000 00333a02 23040548 6f737453 es...3:.#..HostS + 4ed00: 65714e75 6d000000 1d1a0223 06001202 eqNum......#.... + 4ed10: 000033d2 054d6573 73616765 49440000 ..3..MessageID.. + 4ed20: 001d1a02 23000012 08000034 35054d65 ....#......45.Me + 4ed30: 73736167 65494400 00001d1a 02230005 ssageID......#.. + 4ed40: 43726564 6974436f 756e7400 00001d1a CreditCount..... + 4ed50: 02230205 43726564 69745369 7a650000 .#..CreditSize.. + 4ed60: 001d1a02 2304054d 6178456e 64706f69 ....#..MaxEndpoi + 4ed70: 6e747300 000016f2 02230605 5f506164 nts......#.._Pad + 4ed80: 31000000 16f20223 0700120a 000034cc 1......#......4. + 4ed90: 054d6573 73616765 49440000 001d1a02 .MessageID...... + 4eda0: 23000553 65727669 63654944 0000001d #..ServiceID.... + 4edb0: 1a022302 05436f6e 6e656374 696f6e46 ..#..ConnectionF + 4edc0: 6c616773 0000001d 1a022304 05446f77 lags......#..Dow + 4edd0: 6e4c696e 6b506970 65494400 000016f2 nLinkPipeID..... + 4ede0: 02230605 55704c69 6e6b5069 70654944 .#..UpLinkPipeID + 4edf0: 00000016 f2022307 05536572 76696365 ......#..Service + 4ee00: 4d657461 4c656e67 74680000 0016f202 MetaLength...... + 4ee10: 2308055f 50616431 00000016 f2022309 #.._Pad1......#. + 4ee20: 00120a00 00355405 4d657373 61676549 .....5T.MessageI + 4ee30: 44000000 1d1a0223 00055365 72766963 D......#..Servic + 4ee40: 65494400 00001d1a 02230205 53746174 eID......#..Stat + 4ee50: 75730000 0016f202 23040545 6e64706f us......#..Endpo + 4ee60: 696e7449 44000000 16f20223 05054d61 intID......#..Ma + 4ee70: 784d7367 53697a65 0000001d 1a022306 xMsgSize......#. + 4ee80: 05536572 76696365 4d657461 4c656e67 .ServiceMetaLeng + 4ee90: 74680000 0016f202 2308055f 50616431 th......#.._Pad1 + 4eea0: 00000016 f2022309 00120200 00356d05 ......#......5m. + 4eeb0: 4d657373 61676549 44000000 1d1a0223 MessageID......# + 4eec0: 00001204 000035a9 054d6573 73616765 ......5..Message + 4eed0: 49440000 001d1a02 23000550 69706549 ID......#..PipeI + 4eee0: 44000000 16f20223 02054372 65646974 D......#..Credit + 4eef0: 436f756e 74000000 16f20223 03001204 Count......#.... + 4ef00: 000035e0 054d6573 73616765 49440000 ..5..MessageID.. + 4ef10: 001d1a02 23000550 69706549 44000000 ....#..PipeID... + 4ef20: 16f20223 02055374 61747573 00000016 ...#..Status.... + 4ef30: f2022303 00120200 00360705 5265636f ..#......6..Reco + 4ef40: 72644944 00000016 f2022300 054c656e rdID......#..Len + 4ef50: 67746800 000016f2 02230100 12020000 gth......#...... + 4ef60: 36310545 6e64706f 696e7449 44000000 61.EndpointID... + 4ef70: 16f20223 00054372 65646974 73000000 ...#..Credits... + 4ef80: 16f20223 01001204 00003672 05456e64 ...#......6r.End + 4ef90: 706f696e 74494400 000016f2 02230005 pointID......#.. + 4efa0: 43726564 69747300 000016f2 02230105 Credits......#.. + 4efb0: 54677443 72656469 74536571 4e6f0000 TgtCreditSeqNo.. + 4efc0: 001d1a02 2302000f 000016f2 04000036 ....#..........6 + 4efd0: 7f100300 12060000 36bb0550 72655661 ........6..PreVa + 4efe0: 6c696400 000016f2 02230005 4c6f6f6b lid......#..Look + 4eff0: 41686561 64000000 36720223 0105506f Ahead...6r.#..Po + 4f000: 73745661 6c696400 000016f2 02230500 stValid......#.. + 4f010: 03706f6f 6c5f6861 6e646c65 5f740000 .pool_handle_t.. + 4f020: 00047b09 000036bb 01070000 36ce0400 ..{...6.....6... + 4f030: 06010700 0036db04 00140400 0037590e .....6.......7Y. + 4f040: 504f4f4c 5f49445f 4854435f 434f4e54 POOL_ID_HTC_CONT + 4f050: 524f4c00 000e504f 4f4c5f49 445f574d ROL...POOL_ID_WM + 4f060: 495f5356 435f434d 445f5245 504c5900 I_SVC_CMD_REPLY. + 4f070: 010e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV + 4f080: 435f4556 454e5400 020e504f 4f4c5f49 C_EVENT...POOL_I + 4f090: 445f574c 414e5f52 585f4255 4600030e D_WLAN_RX_BUF... + 4f0a0: 504f4f4c 5f49445f 4d415800 0a000342 POOL_ID_MAX....B + 4f0b0: 55465f50 4f4f4c5f 49440000 0036e406 UF_POOL_ID...6.. + 4f0c0: 01070000 376a0400 09000026 b7010700 ....7j.....&.... + 4f0d0: 00377304 00090000 26b70107 00003780 .7s.....&.....7. + 4f0e0: 04000601 07000037 8d040004 6275665f .......7....buf_ + 4f0f0: 706f6f6c 5f617069 001c0000 382f055f pool_api....8/._ + 4f100: 696e6974 00000036 d4022300 055f7368 init...6..#.._sh + 4f110: 7574646f 776e0000 0036dd02 2304055f utdown...6..#.._ + 4f120: 63726561 74655f70 6f6f6c00 0000376c create_pool...7l + 4f130: 02230805 5f616c6c 6f635f62 75660000 .#.._alloc_buf.. + 4f140: 00377902 230c055f 616c6c6f 635f6275 .7y.#.._alloc_bu + 4f150: 665f616c 69676e00 00003786 02231005 f_align...7..#.. + 4f160: 5f667265 655f6275 66000000 378f0223 _free_buf...7..# + 4f170: 14057052 65736572 76656400 0000047b ..pReserved....{ + 4f180: 02231800 045f4854 435f5345 52564943 .#..._HTC_SERVIC + 4f190: 45001c00 00390e05 704e6578 74000000 E....9..pNext... + 4f1a0: 390e0223 00055072 6f636573 73526563 9..#..ProcessRec + 4f1b0: 764d7367 00000039 c3022304 0550726f vMsg...9..#..Pro + 4f1c0: 63657373 53656e64 42756666 6572436f cessSendBufferCo + 4f1d0: 6d706c65 74650000 0039cc02 23080550 mplete...9..#..P + 4f1e0: 726f6365 7373436f 6e6e6563 74000000 rocessConnect... + 4f1f0: 39e00223 0c055365 72766963 65494400 9..#..ServiceID. + 4f200: 00001360 02231005 53657276 69636546 ...`.#..ServiceF + 4f210: 6c616773 00000013 60022312 054d6178 lags....`.#..Max + 4f220: 5376634d 73675369 7a650000 00136002 SvcMsgSize....`. + 4f230: 23140554 7261696c 65725370 63436865 #..TrailerSpcChe + 4f240: 636b4c69 6d697400 00001360 02231605 ckLimit....`.#.. + 4f250: 53657276 69636543 74780000 00047b02 ServiceCtx....{. + 4f260: 23180007 0000382f 04001404 000039ac #.....8/......9. + 4f270: 19454e44 504f494e 545f554e 55534544 .ENDPOINT_UNUSED + 4f280: 00ffffff ff0e454e 44504f49 4e543000 ......ENDPOINT0. + 4f290: 000e454e 44504f49 4e543100 010e454e ..ENDPOINT1...EN + 4f2a0: 44504f49 4e543200 020e454e 44504f49 DPOINT2...ENDPOI + 4f2b0: 4e543300 030e454e 44504f49 4e543400 NT3...ENDPOINT4. + 4f2c0: 040e454e 44504f49 4e543500 050e454e ..ENDPOINT5...EN + 4f2d0: 44504f49 4e543600 060e454e 44504f49 DPOINT6...ENDPOI + 4f2e0: 4e543700 070e454e 44504f49 4e543800 NT7...ENDPOINT8. + 4f2f0: 080e454e 44504f49 4e545f4d 41580016 ..ENDPOINT_MAX.. + 4f300: 00034854 435f454e 44504f49 4e545f49 ..HTC_ENDPOINT_I + 4f310: 44000000 39150601 07000039 c1040006 D...9......9.... + 4f320: 01070000 39ca0400 07000001 c8040009 ....9........... + 4f330: 0000134c 01070000 39da0400 07000038 ...L....9......8 + 4f340: 2f040004 5f485443 5f434f4e 46494700 /..._HTC_CONFIG. + 4f350: 1400003a 5f054372 65646974 53697a65 ...:_.CreditSize + 4f360: 00000001 c8022300 05437265 6469744e ......#..CreditN + 4f370: 756d6265 72000000 01c80223 04054f53 umber......#..OS + 4f380: 48616e64 6c650000 001ab602 23080548 Handle......#..H + 4f390: 49464861 6e646c65 00000029 1f02230c IFHandle...)..#. + 4f3a0: 05506f6f 6c48616e 646c6500 000036bb .PoolHandle...6. + 4f3b0: 02231000 045f4854 435f4255 465f434f .#..._HTC_BUF_CO + 4f3c0: 4e544558 54000200 003a9b05 656e645f NTEXT....:..end_ + 4f3d0: 706f696e 74000000 134c0223 00056874 point....L.#..ht + 4f3e0: 635f666c 61677300 0000134c 02230100 c_flags....L.#.. + 4f3f0: 03687463 5f68616e 646c655f 74000000 .htc_handle_t... + 4f400: 047b0348 54435f53 45545550 5f434f4d .{.HTC_SETUP_COM + 4f410: 504c4554 455f4342 00000001 c1034854 PLETE_CB......HT + 4f420: 435f434f 4e464947 00000039 ee070000 C_CONFIG...9.... + 4f430: 3ac80400 0900003a 9b010700 003adf04 :......:.....:.. + 4f440: 00060107 00003aec 04000348 54435f53 ......:....HTC_S + 4f450: 45525649 43450000 00382f07 00003af5 ERVICE...8/...:. + 4f460: 04000601 0700003b 0d040006 01070000 .......;........ + 4f470: 3b160400 06010700 003b1f04 00090000 ;........;...... + 4f480: 01c80107 00003b28 04000468 74635f61 ......;(...htc_a + 4f490: 70697300 3400003c a5055f48 54435f49 pis.4..<.._HTC_I + 4f4a0: 6e697400 00003ae5 02230005 5f485443 nit...:..#.._HTC + 4f4b0: 5f536875 74646f77 6e000000 3aee0223 _Shutdown...:..# + 4f4c0: 04055f48 54435f52 65676973 74657253 .._HTC_RegisterS + 4f4d0: 65727669 63650000 003b0f02 2308055f ervice...;..#.._ + 4f4e0: 4854435f 52656164 79000000 3aee0223 HTC_Ready...:..# + 4f4f0: 0c055f48 54435f52 65747572 6e427566 .._HTC_ReturnBuf + 4f500: 66657273 0000003b 18022310 055f4854 fers...;..#.._HT + 4f510: 435f5265 7475726e 42756666 6572734c C_ReturnBuffersL + 4f520: 69737400 00003b21 02231405 5f485443 ist...;!.#.._HTC + 4f530: 5f53656e 644d7367 0000003b 18022318 _SendMsg...;..#. + 4f540: 055f4854 435f4765 74526573 65727665 ._HTC_GetReserve + 4f550: 64486561 64726f6f 6d000000 3b2e0223 dHeadroom...;..# + 4f560: 1c055f48 54435f4d 73675265 63764861 .._HTC_MsgRecvHa + 4f570: 6e646c65 72000000 28cc0223 20055f48 ndler...(..# ._H + 4f580: 54435f53 656e6444 6f6e6548 616e646c TC_SendDoneHandl + 4f590: 65720000 0028c302 2324055f 4854435f er...(..#$._HTC_ + 4f5a0: 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces + 4f5b0: 734d7367 00000039 c3022328 055f4854 sMsg...9..#(._HT + 4f5c0: 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc + 4f5d0: 65737353 656e6443 6f6d706c 65746500 essSendComplete. + 4f5e0: 000039cc 02232c05 70526573 65727665 ..9..#,.pReserve + 4f5f0: 64000000 047b0223 30000468 6f73745f d....{.#0..host_ + 4f600: 6170705f 61726561 5f730004 00003cd5 app_area_s....<. + 4f610: 05776d69 5f70726f 746f636f 6c5f7665 .wmi_protocol_ve + 4f620: 72000000 168b0223 0000120e 00003d0c r......#......=. + 4f630: 05647374 4d616300 00001cd7 02230005 .dstMac......#.. + 4f640: 7372634d 61630000 001cd702 23060574 srcMac......#..t + 4f650: 7970654f 724c656e 0000001d 1a02230c ypeOrLen......#. + 4f660: 000f0000 16f20300 003d1910 02001208 .........=...... + 4f670: 00003d69 05647361 70000000 16f20223 ..=i.dsap......# + 4f680: 00057373 61700000 0016f202 23010563 ..ssap......#..c + 4f690: 6e746c00 000016f2 02230205 6f726743 ntl......#..orgC + 4f6a0: 6f646500 00003d0c 02230305 65746865 ode...=..#..ethe + 4f6b0: 72547970 65000000 1d1a0223 06001202 rType......#.... + 4f6c0: 00003d8a 05727373 69000000 1c700223 ..=..rssi....p.# + 4f6d0: 0005696e 666f0000 0016f202 23010012 ..info......#... + 4f6e0: 0400003d b105636f 6d6d616e 64496400 ...=..commandId. + 4f6f0: 00001d1a 02230005 7365714e 6f000000 .....#..seqNo... + 4f700: 1d1a0223 02000f00 0016f201 00003dbe ...#..........=. + 4f710: 10000012 0200003d e5056d73 6753697a .......=..msgSiz + 4f720: 65000000 16f20223 00056d73 67446174 e......#..msgDat + 4f730: 61000000 3db10223 01001208 00003e2c a...=..#......>, + 4f740: 05616464 72657373 4c000000 1d1a0223 .addressL......# + 4f750: 00056164 64726573 73480000 001d1a02 ..addressH...... + 4f760: 23020576 616c7565 4c000000 1d1a0223 #..valueL......# + 4f770: 04057661 6c756548 0000001d 1a022306 ..valueH......#. + 4f780: 0003574d 495f4156 54000000 3de50f00 ..WMI_AVT...=... + 4f790: 003e2c08 00003e46 10000012 0c00003e .>,...>F.......> + 4f7a0: 7d057475 706c654e 756d4c00 00001d1a }.tupleNumL..... + 4f7b0: 02230005 7475706c 654e756d 48000000 .#..tupleNumH... + 4f7c0: 1d1a0223 02056176 74000000 3e390223 ...#..avt...>9.# + 4f7d0: 04001201 00003e9f 05626561 636f6e50 ......>..beaconP + 4f7e0: 656e6469 6e67436f 756e7400 000016f2 endingCount..... + 4f7f0: 02230000 045f574d 495f5356 435f434f .#..._WMI_SVC_CO + 4f800: 4e464947 00100000 3f080548 74634861 NFIG....?..HtcHa + 4f810: 6e646c65 0000003a 9b022300 05506f6f ndle...:..#..Poo + 4f820: 6c48616e 646c6500 000036bb 02230405 lHandle...6..#.. + 4f830: 4d617843 6d645265 706c7945 76747300 MaxCmdReplyEvts. + 4f840: 000001c8 02230805 4d617845 76656e74 .....#..MaxEvent + 4f850: 45767473 00000001 c802230c 00060107 Evts......#..... + 4f860: 00003f08 04000357 4d495f43 4d445f48 ..?....WMI_CMD_H + 4f870: 414e444c 45520000 003f0a04 5f574d49 ANDLER...?.._WMI + 4f880: 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. + 4f890: 0800003f 71057043 6d644861 6e646c65 ...?q.pCmdHandle + 4f8a0: 72000000 3f110223 0005436d 64494400 r...?..#..CmdID. + 4f8b0: 00001360 02230405 466c6167 73000000 ...`.#..Flags... + 4f8c0: 13600223 0600045f 574d495f 44495350 .`.#..._WMI_DISP + 4f8d0: 41544348 5f544142 4c450010 00003fd2 ATCH_TABLE....?. + 4f8e0: 05704e65 78740000 003fd202 23000570 .pNext...?..#..p + 4f8f0: 436f6e74 65787400 0000047b 02230405 Context....{.#.. + 4f900: 4e756d62 65724f66 456e7472 69657300 NumberOfEntries. + 4f910: 000001c8 02230805 70546162 6c650000 .....#..pTable.. + 4f920: 003ff102 230c0007 00003f71 04000357 .?..#.....?q...W + 4f930: 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR + 4f940: 59000000 3f260700 003fd904 00070000 Y...?&...?...... + 4f950: 3f710400 03485443 5f425546 5f434f4e ?q...HTC_BUF_CON + 4f960: 54455854 0000003a 5f0d574d 495f4556 TEXT...:_.WMI_EV + 4f970: 545f434c 41535300 04000040 8919574d T_CLASS....@..WM + 4f980: 495f4556 545f434c 4153535f 4e4f4e45 I_EVT_CLASS_NONE + 4f990: 00ffffff ff0e574d 495f4556 545f434c ......WMI_EVT_CL + 4f9a0: 4153535f 434d445f 4556454e 5400000e ASS_CMD_EVENT... + 4f9b0: 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM + 4f9c0: 445f5245 504c5900 010e574d 495f4556 D_REPLY...WMI_EV + 4f9d0: 545f434c 4153535f 4d415800 02000357 T_CLASS_MAX....W + 4f9e0: 4d495f45 56545f43 4c415353 00000040 MI_EVT_CLASS...@ + 4f9f0: 14045f57 4d495f42 55465f43 4f4e5445 .._WMI_BUF_CONTE + 4fa00: 5854000c 000040e7 05487463 42756643 XT....@..HtcBufC + 4fa10: 74780000 003fff02 23000545 76656e74 tx...?..#..Event + 4fa20: 436c6173 73000000 40890223 0405466c Class...@..#..Fl + 4fa30: 61677300 00001360 02230800 03776d69 ags....`.#...wmi + 4fa40: 5f68616e 646c655f 74000000 047b0357 _handle_t....{.W + 4fa50: 4d495f53 56435f43 4f4e4649 47000000 MI_SVC_CONFIG... + 4fa60: 3e9f0700 0040f904 00090000 40e70107 >....@......@... + 4fa70: 00004114 04000357 4d495f44 49535041 ..A....WMI_DISPA + 4fa80: 5443485f 5441424c 45000000 3f710700 TCH_TABLE...?q.. + 4fa90: 00412104 00060107 00004140 04000900 .A!.......A@.... + 4faa0: 0026b701 07000041 49040006 01070000 .&.....AI....... + 4fab0: 41560400 09000001 c8010700 00415f04 AV...........A_. + 4fac0: 00060107 0000416c 04000900 00134c01 ......Al......L. + 4fad0: 07000041 75040004 5f776d69 5f737663 ...Au..._wmi_svc + 4fae0: 5f617069 73002c00 0042bd05 5f574d49 _apis.,..B.._WMI + 4faf0: 5f496e69 74000000 411a0223 00055f57 _Init...A..#.._W + 4fb00: 4d495f52 65676973 74657244 69737061 MI_RegisterDispa + 4fb10: 74636854 61626c65 00000041 42022304 tchTable...AB.#. + 4fb20: 055f574d 495f416c 6c6f6345 76656e74 ._WMI_AllocEvent + 4fb30: 00000041 4f022308 055f574d 495f5365 ...AO.#.._WMI_Se + 4fb40: 6e644576 656e7400 00004158 02230c05 ndEvent...AX.#.. + 4fb50: 5f574d49 5f476574 50656e64 696e6745 _WMI_GetPendingE + 4fb60: 76656e74 73436f75 6e740000 00416502 ventsCount...Ae. + 4fb70: 2310055f 574d495f 53656e64 436f6d70 #.._WMI_SendComp + 4fb80: 6c657465 48616e64 6c657200 000039cc leteHandler...9. + 4fb90: 02231405 5f574d49 5f476574 436f6e74 .#.._WMI_GetCont + 4fba0: 726f6c45 70000000 41650223 18055f57 rolEp...Ae.#.._W + 4fbb0: 4d495f53 68757464 6f776e00 0000416e MI_Shutdown...An + 4fbc0: 02231c05 5f574d49 5f526563 764d6573 .#.._WMI_RecvMes + 4fbd0: 73616765 48616e64 6c657200 000039c3 sageHandler...9. + 4fbe0: 02232005 5f574d49 5f536572 76696365 .# ._WMI_Service + 4fbf0: 436f6e6e 65637400 0000417b 02232405 Connect...A{.#$. + 4fc00: 70526573 65727665 64000000 047b0223 pReserved....{.# + 4fc10: 2800047a 73446d61 44657363 00140000 (..zsDmaDesc.... + 4fc20: 433f0563 74726c00 0000011e 02230005 C?.ctrl......#.. + 4fc30: 73746174 75730000 00011e02 23020574 status......#..t + 4fc40: 6f74616c 4c656e00 0000011e 02230405 otalLen......#.. + 4fc50: 64617461 53697a65 00000001 1e022306 dataSize......#. + 4fc60: 056c6173 74416464 72000000 433f0223 .lastAddr...C?.# + 4fc70: 08056461 74614164 64720000 00022c02 ..dataAddr....,. + 4fc80: 230c056e 65787441 64647200 0000433f #..nextAddr...C? + 4fc90: 02231000 07000042 bd040007 000042bd .#.....B......B. + 4fca0: 0400047a 73446d61 51756575 65000800 ...zsDmaQueue... + 4fcb0: 00437f05 68656164 00000043 46022300 .C..head...CF.#. + 4fcc0: 05746572 6d696e61 746f7200 00004346 .terminator...CF + 4fcd0: 02230400 047a7354 78446d61 51756575 .#...zsTxDmaQueu + 4fce0: 65001000 0043e305 68656164 00000043 e....C..head...C + 4fcf0: 46022300 05746572 6d696e61 746f7200 F.#..terminator. + 4fd00: 00004346 02230405 786d6974 65645f62 ..CF.#..xmited_b + 4fd10: 75665f68 65616400 000014ab 02230805 uf_head......#.. + 4fd20: 786d6974 65645f62 75665f74 61696c00 xmited_buf_tail. + 4fd30: 000014ab 02230c00 06010700 0043e304 .....#.......C.. + 4fd40: 00070000 434d0400 06010700 0043f304 ....CM.......C.. + 4fd50: 00070000 437f0400 06010700 00440304 ....C........D.. + 4fd60: 00060107 0000440c 04000601 07000044 ......D........D + 4fd70: 15040009 000014ab 01070000 441e0400 ............D... + 4fd80: 06010700 00442b04 00090000 14ab0107 .....D+......... + 4fd90: 00004434 04000601 07000044 41040009 ..D4.......DA... + 4fda0: 000001c8 01070000 444a0400 09000043 ........DJ.....C + 4fdb0: 46010700 00445704 00060107 00004464 F....DW.......Dd + 4fdc0: 04000464 6d615f65 6e67696e 655f6170 ...dma_engine_ap + 4fdd0: 69004000 0045da05 5f696e69 74000000 i.@..E.._init... + 4fde0: 43e50223 00055f69 6e69745f 72785f71 C..#.._init_rx_q + 4fdf0: 75657565 00000043 f5022304 055f696e ueue...C..#.._in + 4fe00: 69745f74 785f7175 65756500 00004405 it_tx_queue...D. + 4fe10: 02230805 5f636f6e 6669675f 72785f71 .#.._config_rx_q + 4fe20: 75657565 00000044 0e02230c 055f786d ueue...D..#.._xm + 4fe30: 69745f62 75660000 00441702 2310055f it_buf...D..#.._ + 4fe40: 666c7573 685f786d 69740000 0043f502 flush_xmit...C.. + 4fe50: 2314055f 72656170 5f726563 765f6275 #.._reap_recv_bu + 4fe60: 66000000 44240223 18055f72 65747572 f...D$.#.._retur + 4fe70: 6e5f7265 63765f62 75660000 00442d02 n_recv_buf...D-. + 4fe80: 231c055f 72656170 5f786d69 7465645f #.._reap_xmited_ + 4fe90: 62756600 0000443a 02232005 5f737761 buf...D:.# ._swa + 4fea0: 705f6461 74610000 00444302 2324055f p_data...DC.#$._ + 4feb0: 6861735f 636f6d70 6c5f7061 636b6574 has_compl_packet + 4fec0: 73000000 44500223 28055f64 6573635f s...DP.#(._desc_ + 4fed0: 64756d70 00000043 f502232c 055f6765 dump...C..#,._ge + 4fee0: 745f7061 636b6574 00000044 5d022330 t_packet...D].#0 + 4fef0: 055f7265 636c6169 6d5f7061 636b6574 ._reclaim_packet + 4ff00: 00000044 66022334 055f7075 745f7061 ...Df.#4._put_pa + 4ff10: 636b6574 00000044 66022338 05705265 cket...Df.#8.pRe + 4ff20: 73657276 65640000 00047b02 233c0003 served....{.#<.. + 4ff30: 5f415f63 6d6e6f73 5f696e64 69726563 _A_cmnos_indirec + 4ff40: 74696f6e 5f746162 6c655f74 00000031 tion_table_t...1 + 4ff50: 1903574d 495f5356 435f4150 49530000 ..WMI_SVC_APIS.. + 4ff60: 00418217 5f415f6d 61677069 655f696e .A.._A_magpie_in + 4ff70: 64697265 6374696f 6e5f7461 626c6500 direction_table. + 4ff80: 034c0000 47080563 6d6e6f73 00000045 .L..G..cmnos...E + 4ff90: da022300 05646267 00000004 480323b8 ..#..dbg....H.#. + 4ffa0: 03056869 66000000 29c20323 c0030568 ..hif...)..#...h + 4ffb0: 74630000 003b3503 23f80305 776d695f tc...;5.#...wmi_ + 4ffc0: 7376635f 61706900 000045fc 0323ac04 svc_api...E..#.. + 4ffd0: 05757362 6669666f 5f617069 00000032 .usbfifo_api...2 + 4ffe0: ed0323d8 04056275 665f706f 6f6c0000 ..#...buf_pool.. + 4fff0: 00379603 23e40405 76627566 00000014 .7..#...vbuf.... + 50000: d5032380 05057664 65736300 000013b7 ..#...vdesc..... + 50010: 03239405 05616c6c 6f637261 6d000000 .#...allocram... + 50020: 09ad0323 a8050564 6d615f65 6e67696e ...#...dma_engin + 50030: 65000000 446d0323 b4050564 6d615f6c e...Dm.#...dma_l + 50040: 69620000 002c5603 23f40505 6869665f ib...,V.#...hif_ + 50050: 70636900 00002eb6 0323a806 00035f41 pci......#...._A + 50060: 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect + 50070: 696f6e5f 7461626c 655f7400 0000460e ion_table_t...F. + 50080: 03536574 75705061 636b6574 00000001 .SetupPacket.... + 50090: 421a436f 6e74726f 6c436d64 00000047 B.ControlCmd...G + 500a0: 2b050300 500a9801 1a757362 4669666f +...P....usbFifo + 500b0: 436f6e66 00000032 c8050300 500aa401 Conf...2....P... + 500c0: 1a667743 6865636b 53756d00 00000241 .fwCheckSum....A + 500d0: 05030050 0ab4010f 00000134 06000047 ...P.......4...G + 500e0: 8f100200 1a557362 53746174 75730000 .....UsbStatus.. + 500f0: 00478205 0300500a f0011a70 75384465 .G....P....pu8De + 50100: 73637269 70746f72 45580000 000f8f05 scriptorEX...... + 50110: 0300500a b8011a75 31365478 5278436f ..P....u16TxRxCo + 50120: 756e7465 72000000 01340503 00500abc unter....4...P.. + 50130: 011a7538 436f6e66 69674465 73637269 ..u8ConfigDescri + 50140: 70746f72 45580000 000f8f05 0300500a ptorEX........P. + 50150: c0011404 00004826 0e414354 5f49444c ......H&.ACT_IDL + 50160: 4500000e 4143545f 444f4e45 00010e41 E...ACT_DONE...A + 50170: 43545f53 54414c4c 00020003 41637469 CT_STALL....Acti + 50180: 6f6e0000 0047fd1a 65557362 43784669 on...G..eUsbCxFi + 50190: 6e697368 41637469 6f6e0000 00482605 nishAction...H&. + 501a0: 0300500a c4011404 0000488d 0e434d44 ..P.......H..CMD + 501b0: 5f564f49 4400000e 434d445f 4745545f _VOID...CMD_GET_ + 501c0: 44455343 52495054 4f520001 0e434d44 DESCRIPTOR...CMD + 501d0: 5f534554 5f444553 43524950 544f5200 _SET_DESCRIPTOR. + 501e0: 02000343 6f6d6d61 6e645479 70650000 ...CommandType.. + 501f0: 0048511a 65557362 4378436f 6d6d616e .HQ.eUsbCxComman + 50200: 64000000 488d0503 00500ac8 011a5573 d...H....P....Us + 50210: 62436869 72704669 6e697368 00000008 bChirpFinish.... + 50220: 6b050300 500acc01 1a753855 7362436f k...P....u8UsbCo + 50230: 6e666967 56616c75 65000000 01340503 nfigValue....4.. + 50240: 00500ace 011a7538 55736249 6e746572 .P....u8UsbInter + 50250: 66616365 56616c75 65000000 01340503 faceValue....4.. + 50260: 00500ad0 011a7538 55736249 6e746572 .P....u8UsbInter + 50270: 66616365 416c7465 726e6174 65536574 faceAlternateSet + 50280: 74696e67 00000001 34050300 500ad201 ting....4...P... + 50290: 1a753136 4669726d 77617265 436f6d70 .u16FirmwareComp + 502a0: 6c657465 00000001 34050300 500ad401 lete....4...P... + 502b0: 1a753855 73624465 76696365 44657363 .u8UsbDeviceDesc + 502c0: 72697074 6f720000 000f8f05 0300500a riptor........P. + 502d0: d8011a75 38537472 696e6730 30446573 ...u8String00Des + 502e0: 63726970 746f7200 00000f8f 05030050 criptor........P + 502f0: 0adc011a 75385374 72696e67 31304465 ....u8String10De + 50300: 73637269 70746f72 0000000f 8f050300 scriptor........ + 50310: 500ae001 1a753853 7472696e 67323044 P....u8String20D + 50320: 65736372 6970746f 72000000 0f8f0503 escriptor....... + 50330: 00500ae4 011a7538 53747269 6e673330 .P....u8String30 + 50340: 44657363 72697074 6f720000 000f8f05 Descriptor...... + 50350: 0300500a e8010700 00011104 00070000 ..P............. + 50360: 02410400 1b000001 34010000 4a1c1002 .A......4...J... + 50370: 001a5465 73745061 746e3000 00004a0f ..TestPatn0...J. + 50380: 05030050 0720011b 00000241 0100004a ...P. .....A...J + 50390: 3f100c00 1a546573 74506174 6e310000 ?....TestPatn1.. + 503a0: 004a3205 03005007 30011b00 00013401 .J2...P.0.....4. + 503b0: 00004a61 1c001b00 00013401 00004a6d ..Ja......4...Jm + 503c0: 1c000700 00024104 000f0000 01cf1500 ......A......... + 503d0: 004a8110 14000700 004a7404 00070000 .J.......Jt..... + 503e0: 0f8f0400 1d000002 2c1d0000 022c0700 ........,....,.. + 503f0: 004a9404 000f0000 01cf2500 004aad10 .J........%..J.. + 50400: 24000700 004aa004 000f0000 01cf2000 $....J........ . + 50410: 004ac110 1f000700 004ab404 000f0000 .J.......J...... + 50420: 01cf0900 004ad510 08000700 004ac804 .....J.......J.. + 50430: 000f0000 01cf1e00 004ae910 1d000700 .........J...... + 50440: 004adc04 001b0000 01340100 004afc1c .J.......4...J.. + 50450: 001b0000 01340100 004b081c 000f0000 .....4...K...... + 50460: 01cf1100 004b1510 10000700 004b0804 .....K.......K.. + 50470: 000f0000 01cf1000 004b2910 0f000700 .........K)..... + 50480: 004b1c04 000f0000 01cf1600 004b3d10 .K...........K=. + 50490: 15000700 004b3004 000f0000 01cf0d00 .....K0......... + 504a0: 004b5110 0c000700 004b4404 000f0000 .KQ......KD..... + 504b0: 01cf0f00 004b6510 0e000700 004b5804 .....Ke......KX. + 504c0: 000f0000 01cf0e00 004b7910 0d000700 .........Ky..... + 504d0: 004b6c04 000f0000 01cf1200 004b8d10 .Kl..........K.. + 504e0: 11000700 004b8004 00070000 32ed0400 .....K......2... + 504f0: 06010700 00100c04 0006011e 01013f76 ..............?v + 50500: 5573625f 65703074 78000103 92012002 Usb_ep0tx..... . + 50510: 9000008e 381c008e 38441e01 01597655 ....8...8D...YvU + 50520: 73625f65 70307278 00010392 01200290 sb_ep0rx..... .. + 50530: 00008e38 44008e38 661f0101 6d765573 ...8D..8f...mvUs + 50540: 62436c72 45507800 01039201 20029000 bClrEPx..... ... + 50550: 008e3868 008e38e9 00004c12 20753865 ..8h..8...L. u8e + 50560: 70000000 01110021 01018662 4765745f p......!...bGet_ + 50570: 73746174 75730000 00086b01 03920120 status....k.... + 50580: 02900000 8e38ec00 8e392000 004c5320 .....8...9 ..LS + 50590: 52656369 7069656e 74537461 7475734c RecipientStatusL + 505a0: 6f770000 00011100 220101a1 62436c65 ow......"...bCle + 505b0: 61725f66 65617475 72650000 00086b01 ar_feature....k. + 505c0: 03920120 02900000 8e392000 8e394321 ... .....9 ..9C! + 505d0: 0101c662 5365745f 66656174 75726500 ...bSet_feature. + 505e0: 0000086b 01039201 20029000 008e3944 ...k.... .....9D + 505f0: 008e3a14 00004cac 20696900 00000134 ..:...L. ii....4 + 50600: 00220102 48625365 745f6164 64726573 ."..HbSet_addres + 50610: 73000000 086b0103 92012002 9000008e s....k.... ..... + 50620: 3a14008e 3a332201 02626247 65745f64 :...:3"..bbGet_d + 50630: 65736372 6970746f 72000000 086b0103 escriptor....k.. + 50640: 92012002 9000008e 3a34008e 3ac32201 .. .....:4..:.". + 50650: 02c96247 65745f63 6f6e6669 67757261 ..bGet_configura + 50660: 74696f6e 00000008 6b010392 01200290 tion....k.... .. + 50670: 00008e3a c4008e3a ea220102 e7625365 ...:...:."...bSe + 50680: 745f636f 6e666967 75726174 696f6e00 t_configuration. + 50690: 0000086b 01039201 20029000 008e3aec ...k.... .....:. + 506a0: 008e3b91 2201032a 62476574 5f696e74 ..;."..*bGet_int + 506b0: 65726661 63650000 00086b01 03920120 erface....k.... + 506c0: 02900000 8e3b9400 8e3bd922 01035f62 .....;...;.".._b + 506d0: 5365745f 696e7465 72666163 65000000 Set_interface... + 506e0: 086b0103 92012002 9000008e 3bdc008e .k.... .....;... + 506f0: 3c691f01 03997655 73624550 30547844 .....Ve + 50840: 6e646f72 436f6d6d 616e6400 01039201 ndorCommand..... + 50850: 20029000 008e3efc 008e3fee 00004f28 .....>...?...O( + 50860: 20746578 745f6164 64720000 000f8f20 text_addr..... + 50870: 66756e63 50747200 000001c1 001f0105 funcPtr......... + 50880: 2a765573 625f6570 30736574 75700001 *vUsb_ep0setup.. + 50890: 03920130 02900000 8e3ff000 8e416600 ...0.....?...Af. + 508a0: 004f7120 69690000 00011120 6b6b6b00 .Oq ii..... kkk. + 508b0: 000001c8 23657030 5f646174 61000000 ....#ep0_data... + 508c0: 02410291 50001e01 05bd6346 55534232 .A..P.....cFUSB2 + 508d0: 3030496e 69740001 03920120 02900000 00Init..... .... + 508e0: 8e416800 8e41e91e 0105ea5f 75736266 .Ah..A....._usbf + 508f0: 69666f5f 656e6162 6c655f65 76656e74 ifo_enable_event + 50900: 5f697372 00010392 01200290 00008e41 _isr..... .....A + 50910: ec008e42 061f0105 ef5f7573 62666966 ...B....._usbfif + 50920: 6f5f696e 69740001 03920120 02900000 o_init..... .... + 50930: 8e420800 8e422000 004ff924 0105ef70 .B...B ..O.$...p + 50940: 436f6e66 69670000 0032dd01 52001f01 Config...2..R... + 50950: 05f77655 73625f52 65675f4f 75740001 ..vUsb_Reg_Out.. + 50960: 03920120 02900000 8e422000 8e428800 ... .....B ..B.. + 50970: 00506720 62756600 000014ab 20726567 .Pg buf..... reg + 50980: 61646472 0000004a 08207573 62666966 addr...J. usbfif + 50990: 6f6c656e 00000001 3420636d 644c656e olen....4 cmdLen + 509a0: 00000001 34206969 00000001 34206570 ....4 ii....4 ep + 509b0: 345f6461 74610000 00024100 1f010636 4_data....A....6 + 509c0: 76557362 5f537461 7475735f 496e0001 vUsb_Status_In.. + 509d0: 03920120 02900000 8e428800 8e432a00 ... .....B...C*. + 509e0: 0050d220 65766e74 62756600 000014ab .P. evntbuf..... + 509f0: 20726567 61646472 0000004a 99205265 regaddr...J. Re + 50a00: 67427566 4c656e00 0000011e 20636f75 gBufLen..... cou + 50a10: 6e740000 00013420 72656d61 696e6465 nt....4 remainde + 50a20: 72000000 0134001e 0106857a 66526573 r....4.....zfRes + 50a30: 65745553 42464946 4f000103 92012002 etUSBFIFO..... . + 50a40: 9000008e 432c008e 433d1e01 06927a66 ....C,..C=....zf + 50a50: 5475726e 4f666650 6f776572 00010392 TurnOffPower.... + 50a60: 01200290 00008e43 40008e43 511f0106 . .....C@..CQ... + 50a70: b37a6647 656e5761 74636844 6f674576 .zfGenWatchDogEv + 50a80: 656e7400 01039201 20029000 008e4354 ent..... .....CT + 50a90: 008e4396 0000514f 20657665 6e740000 ..C...QO event.. + 50aa0: 00024100 1e0106c2 7a664a75 6d70546f ..A.....zfJumpTo + 50ab0: 426f6f74 436f6465 00010392 01200290 BootCode..... .. + 50ac0: 00008e43 98008e43 a01f0106 ca5f7573 ...C...C....._us + 50ad0: 625f726f 6d5f7461 736b0001 03920120 b_rom_task..... + 50ae0: 02900000 8e43a000 8e446600 0051cf20 .....C...Df..Q. + 50af0: 7573625f 696e7465 72727570 745f6c65 usb_interrupt_le + 50b00: 76656c31 00000001 11207573 625f696e vel1..... usb_in + 50b10: 74657272 7570745f 6c657665 6c320000 terrupt_level2.. + 50b20: 00011100 1f010715 5f757362 5f66775f ........_usb_fw_ + 50b30: 7461736b 00010392 01200290 00008e44 task..... .....D + 50b40: 68008e45 94000052 29207573 625f696e h..E...R) usb_in + 50b50: 74657272 7570745f 6c657665 6c310000 terrupt_level1.. + 50b60: 00011120 7573625f 696e7465 72727570 ... usb_interrup + 50b70: 745f6c65 76656c32 00000001 11001f01 t_level2........ + 50b80: 08315f75 73625f63 6c6b5f69 6e697400 .1_usb_clk_init. + 50b90: 01039201 20029000 008e4594 008e4638 .... .....E...F8 + 50ba0: 0000525f 206d426f 6f744d6f 64650000 ..R_ mBootMode.. + 50bb0: 000e6400 2501085d 5f757362 5f696e69 ..d.%..]_usb_ini + 50bc0: 74000101 03920120 02900000 8e463800 t...... .....F8. + 50bd0: 8e470c00 00529320 636f6c64 5f737461 .G...R. cold_sta + 50be0: 72740000 00022c00 250108cd 75736266 rt....,.%...usbf + 50bf0: 69666f5f 6d6f6475 6c655f69 6e737461 ifo_module_insta + 50c00: 6c6c0001 01039201 20029000 008e470c ll...... .....G. + 50c10: 008e471b 000052d3 240108cd 61706973 ..G...R.$...apis + 50c20: 0000004b 94015200 260108d5 636d6e6f ...K..R.&...cmno + 50c30: 735f7573 625f6d6f 64756c65 5f696e73 s_usb_module_ins + 50c40: 74616c6c 00010103 92012002 9000008e tall...... ..... + 50c50: 471c008e 47b92401 08d56170 69730000 G...G.$...apis.. + 50c60: 004b9d01 52000000 000003d7 00020000 .K..R........... + 50c70: 1db50401 2f726f6f 742f576f 726b7370 ..../root/Worksp + 50c80: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 50c90: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 50ca0: 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 50cb0: 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 50cc0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 50cd0: 6d2f6869 662f7573 622f7372 632f7573 m/hif/usb/src/us + 50ce0: 625f7461 626c652e 63002f72 6f6f742f b_table.c./root/ + 50cf0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 50d00: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 50d10: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 50d20: 2f757362 0078742d 78636320 666f7220 /usb.xt-xcc for + 50d30: 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 50d40: 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 50d50: 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 50d60: 61636500 01000275 6e736967 6e656420 ace....unsigned + 50d70: 63686172 00070103 75696e74 385f7400 char....uint8_t. + 50d80: 000000fe 0273686f 72742075 6e736967 .....short unsig + 50d90: 6e656420 696e7400 07020375 696e7431 ned int....uint1 + 50da0: 365f7400 0000011c 04536574 75705f50 6_t......Setup_P + 50db0: 61636b65 74000c00 0001bd05 44697265 acket.......Dire + 50dc0: 6374696f 6e000000 010f0223 00055479 ction......#..Ty + 50dd0: 70650000 00010f02 2301054f 626a6563 pe......#..Objec + 50de0: 74000000 010f0223 02055265 71756573 t......#..Reques + 50df0: 74000000 01320223 04055661 6c756500 t....2.#..Value. + 50e00: 00000132 02230605 496e6465 78000000 ...2.#..Index... + 50e10: 01320223 08054c65 6e677468 00000001 .2.#..Length.... + 50e20: 3202230a 00060000 01320100 0001c907 2.#......2...... + 50e30: 00080000 01bd0600 00013201 000001da ..........2..... + 50e40: 07000955 73624465 76696365 44657363 ...UsbDeviceDesc + 50e50: 72697074 6f720000 0001c905 03004e01 riptor........N. + 50e60: 00010600 00013201 00000206 07000800 ......2......... + 50e70: 0001fa06 00000132 01000002 17070009 .......2........ + 50e80: 75384853 436f6e66 69674465 73637269 u8HSConfigDescri + 50e90: 70746f72 30310000 00020605 03004e01 ptor01........N. + 50ea0: 20010600 00013201 00000246 07000800 .....2....F.... + 50eb0: 00023a06 00000132 01000002 57070009 ..:....2....W... + 50ec0: 75384653 436f6e66 69674465 73637269 u8FSConfigDescri + 50ed0: 70746f72 30310000 00024605 03004e01 ptor01....F...N. + 50ee0: 60010600 00013201 00000287 0a040009 `.....2......... + 50ef0: 75384465 76696365 5175616c 69666965 u8DeviceQualifie + 50f00: 72446573 63726970 746f7245 58000000 rDescriptorEX... + 50f10: 027a0503 00500770 01060000 01320100 .z...P.p.....2.. + 50f20: 0002be0a 1d000975 384f7468 65725370 .......u8OtherSp + 50f30: 65656443 6f6e6669 67446573 63726970 eedConfigDescrip + 50f40: 746f7245 58000000 02b10503 00500780 torEX........P.. + 50f50: 01060000 01320100 0002f507 00080000 .....2.......... + 50f60: 02e90600 00013201 00000306 07000953 ......2........S + 50f70: 7472696e 67303044 65736372 6970746f tring00Descripto + 50f80: 72000000 02f50503 004e01a0 01060000 r........N...... + 50f90: 01320100 00033107 00080000 03250600 .2....1......%.. + 50fa0: 00013201 00000342 07000953 7472696e ..2....B...Strin + 50fb0: 67313044 65736372 6970746f 72000000 g10Descriptor... + 50fc0: 03310503 004e01b0 01060000 01320100 .1...N.......2.. + 50fd0: 00036d07 00080000 03610600 00013201 ..m......a....2. + 50fe0: 0000037e 07000953 7472696e 67323044 ...~...String20D + 50ff0: 65736372 6970746f 72000000 036d0503 escriptor....m.. + 51000: 004e01c0 01060000 01320100 0003a907 .N.......2...... + 51010: 00080000 039d0600 00013201 000003ba ..........2..... + 51020: 07000953 7472696e 67333044 65736372 ...String30Descr + 51030: 6970746f 72000000 03a90503 004e01e0 iptor........N.. + 51040: 01000000 00499200 0200001e 1a04012f .....I........./ + 51050: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 51060: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 51070: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 51080: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 51090: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 510a0: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 510b0: 2f757362 2f737263 2f757362 5f666966 /usb/src/usb_fif + 510c0: 6f2e6300 2f726f6f 742f576f 726b7370 o.c./root/Worksp + 510d0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 510e0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 510f0: 5f312f72 6f6d2f68 69662f75 73620078 _1/rom/hif/usb.x + 51100: 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 + 51110: 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr + 51120: 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - + 51130: 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... + 51140: 0001249e 02756e73 69676e65 64206368 ..$..unsigned ch + 51150: 61720007 01037569 6e74385f 74000000 ar....uint8_t... + 51160: 01010273 686f7274 20756e73 69676e65 ...short unsigne + 51170: 6420696e 74000702 0375696e 7431365f d int....uint16_ + 51180: 74000000 011f0453 65747570 5f506163 t......Setup_Pac + 51190: 6b657400 0c000001 c0054469 72656374 ket.......Direct + 511a0: 696f6e00 00000112 02230005 54797065 ion......#..Type + 511b0: 00000001 12022301 054f626a 65637400 ......#..Object. + 511c0: 00000112 02230205 52657175 65737400 .....#..Request. + 511d0: 00000135 02230405 56616c75 65000000 ...5.#..Value... + 511e0: 01350223 0605496e 64657800 00000135 .5.#..Index....5 + 511f0: 02230805 4c656e67 74680000 00013502 .#..Length....5. + 51200: 230a0006 01070000 01c00400 02696e74 #............int + 51210: 00050402 63686172 00070108 000001d0 ....char........ + 51220: 08000001 d0070000 01dd0400 09000001 ................ + 51230: c9010700 0001e904 00047072 696e7466 ..........printf + 51240: 5f617069 00080000 022d055f 7072696e _api.....-._prin + 51250: 74665f69 6e697400 000001c2 02230005 tf_init......#.. + 51260: 5f707269 6e746600 000001ef 02230400 _printf......#.. + 51270: 026c6f6e 6720756e 7369676e 65642069 .long unsigned i + 51280: 6e740007 04037569 6e743332 5f740000 nt....uint32_t.. + 51290: 00022d04 75617274 5f666966 6f000800 ..-.uart_fifo... + 512a0: 00029b05 73746172 745f696e 64657800 ....start_index. + 512b0: 00000135 02230005 656e645f 696e6465 ...5.#..end_inde + 512c0: 78000000 01350223 02056f76 65727275 x....5.#..overru + 512d0: 6e5f6572 72000000 02420223 04000475 n_err....B.#...u + 512e0: 6172745f 61706900 20000003 54055f75 art_api. ...T._u + 512f0: 6172745f 696e6974 00000003 ab022300 art_init......#. + 51300: 055f7561 72745f63 6861725f 70757400 ._uart_char_put. + 51310: 000003b4 02230405 5f756172 745f6368 .....#.._uart_ch + 51320: 61725f67 65740000 0003c802 2308055f ar_get......#.._ + 51330: 75617274 5f737472 5f6f7574 00000003 uart_str_out.... + 51340: d102230c 055f7561 72745f74 61736b00 ..#.._uart_task. + 51350: 000001c2 02231005 5f756172 745f7374 .....#.._uart_st + 51360: 61747573 00000003 ab022314 055f7561 atus......#.._ua + 51370: 72745f63 6f6e6669 67000000 03da0223 rt_config......# + 51380: 18055f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 51390: 0003e302 231c0007 0000029b 04000475 ....#..........u + 513a0: 6172745f 626c6b00 10000003 a5056465 art_blk.......de + 513b0: 6275675f 6d6f6465 00000001 35022300 bug_mode....5.#. + 513c0: 05626175 64000000 01350223 02055f75 .baud....5.#.._u + 513d0: 61727400 00000354 02230405 5f747800 art....T.#.._tx. + 513e0: 00000250 02230800 09000002 42010700 ...P.#......B... + 513f0: 0003a504 00060107 000003b2 04000700 ................ + 51400: 00011204 00090000 01350107 000003c2 .........5...... + 51410: 04000601 07000003 cf040006 01070000 ................ + 51420: 03d80400 06010700 0003e104 00070000 ................ + 51430: 01d00400 09000001 c9010700 0003f104 ................ + 51440: 00044442 5f434f4d 4d414e44 5f535452 ..DB_COMMAND_STR + 51450: 55435400 0c000004 4905636d 645f7374 UCT.....I.cmd_st + 51460: 72000000 03ea0223 00056865 6c705f73 r......#..help_s + 51470: 74720000 0003ea02 23040563 6d645f66 tr......#..cmd_f + 51480: 756e6300 000003f7 02230800 04646267 unc......#...dbg + 51490: 5f617069 00080000 047c055f 6462675f _api.....|._dbg_ + 514a0: 696e6974 00000001 c2022300 055f6462 init......#.._db + 514b0: 675f7461 736b0000 0001c202 2304000a g_task......#... + 514c0: 04000275 6e736967 6e656420 696e7400 ...unsigned int. + 514d0: 07040900 00047c01 07000004 8f04000b ......|......... + 514e0: 0b070000 049d0400 09000004 7c010700 ............|... + 514f0: 0004a504 00090000 01c90107 000004b2 ................ + 51500: 0400046d 656d5f61 70690014 00000521 ...mem_api.....! + 51510: 055f6d65 6d5f696e 69740000 0001c202 ._mem_init...... + 51520: 2300055f 6d656d73 65740000 00049502 #.._memset...... + 51530: 2304055f 6d656d63 70790000 0004ab02 #.._memcpy...... + 51540: 2308055f 6d656d6d 6f766500 000004ab #.._memmove..... + 51550: 02230c05 5f6d656d 636d7000 000004b8 .#.._memcmp..... + 51560: 02231000 0c726567 69737465 725f6475 .#...register_du + 51570: 6d705f73 00000107 00000521 04000601 mp_s.......!.... + 51580: 07000005 3b040006 01070000 05440400 ....;........D.. + 51590: 09000001 c9010700 00054d04 000d686f ..........M...ho + 515a0: 73746966 5f730004 000005a9 0e484946 stif_s.......HIF + 515b0: 5f555342 00000e48 49465f50 43494500 _USB...HIF_PCIE. + 515c0: 010e4849 465f474d 41430002 0e484946 ..HIF_GMAC...HIF + 515d0: 5f504349 00030e48 49465f4e 554d0004 _PCI...HIF_NUM.. + 515e0: 0e484946 5f4e4f4e 45000500 03415f48 .HIF_NONE....A_H + 515f0: 4f535449 46000000 055a0900 0005a901 OSTIF....Z...... + 51600: 07000005 b7040009 00000112 01070000 ................ + 51610: 05c40400 09000001 35010700 0005d104 ........5....... + 51620: 00046d69 73635f61 70690024 000006c1 ..misc_api.$.... + 51630: 055f7379 7374656d 5f726573 65740000 ._system_reset.. + 51640: 0001c202 2300055f 6d61635f 72657365 ....#.._mac_rese + 51650: 74000000 01c20223 04055f61 73736661 t......#.._assfa + 51660: 696c0000 00053d02 2308055f 6d697361 il....=.#.._misa + 51670: 6c69676e 65645f6c 6f61645f 68616e64 ligned_load_hand + 51680: 6c657200 0000053d 02230c05 5f726570 ler....=.#.._rep + 51690: 6f72745f 6661696c 7572655f 746f5f68 ort_failure_to_h + 516a0: 6f737400 00000546 02231005 5f746172 ost....F.#.._tar + 516b0: 6765745f 69645f67 65740000 00055302 get_id_get....S. + 516c0: 2314055f 69735f68 6f73745f 70726573 #.._is_host_pres + 516d0: 656e7400 000005bd 02231805 5f6b6268 ent......#.._kbh + 516e0: 69740000 0005ca02 231c055f 726f6d5f it......#.._rom_ + 516f0: 76657273 696f6e5f 67657400 000005d7 version_get..... + 51700: 02232000 09000003 ea010700 0006c104 .# ............. + 51710: 00090000 03ea0107 000006ce 04000900 ................ + 51720: 0001c901 07000006 db040009 000001c9 ................ + 51730: 01070000 06e80400 09000001 c9010700 ................ + 51740: 0006f504 00047374 72696e67 5f617069 ......string_api + 51750: 00180000 077b055f 73747269 6e675f69 .....{._string_i + 51760: 6e697400 000001c2 02230005 5f737472 nit......#.._str + 51770: 63707900 000006c7 02230405 5f737472 cpy......#.._str + 51780: 6e637079 00000006 d4022308 055f7374 ncpy......#.._st + 51790: 726c656e 00000006 e102230c 055f7374 rlen......#.._st + 517a0: 72636d70 00000006 ee022310 055f7374 rcmp......#.._st + 517b0: 726e636d 70000000 06fb0223 14000f00 rncmp......#.... + 517c0: 00047f14 00000788 10040003 5f415f54 ............_A_T + 517d0: 494d4552 5f535041 43450000 00077b03 IMER_SPACE....{. + 517e0: 415f7469 6d65725f 74000000 07880700 A_timer_t....... + 517f0: 00079c04 00060107 000007b2 04000601 ................ + 51800: 07000007 bb040003 415f4841 4e444c45 ........A_HANDLE + 51810: 00000004 7f060103 415f5449 4d45525f ........A_TIMER_ + 51820: 46554e43 00000007 d2070000 07d40400 FUNC............ + 51830: 06010700 0007ed04 00047469 6d65725f ..........timer_ + 51840: 61706900 14000008 6c055f74 696d6572 api.....l._timer + 51850: 5f696e69 74000000 01c20223 00055f74 _init......#.._t + 51860: 696d6572 5f61726d 00000007 b4022304 imer_arm......#. + 51870: 055f7469 6d65725f 64697361 726d0000 ._timer_disarm.. + 51880: 0007bd02 2308055f 74696d65 725f7365 ....#.._timer_se + 51890: 74666e00 000007ef 02230c05 5f74696d tfn......#.._tim + 518a0: 65725f72 756e0000 0001c202 23100003 er_run......#... + 518b0: 424f4f4c 45414e00 00000135 09000008 BOOLEAN....5.... + 518c0: 6c010700 00087904 00090000 086c0107 l.....y......l.. + 518d0: 00000886 04000900 00086c01 07000008 ..........l..... + 518e0: 93040004 726f6d70 5f617069 00100000 ....romp_api.... + 518f0: 0905055f 726f6d70 5f696e69 74000000 ..._romp_init... + 51900: 01c20223 00055f72 6f6d705f 646f776e ...#.._romp_down + 51910: 6c6f6164 00000008 7f022304 055f726f load......#.._ro + 51920: 6d705f69 6e737461 6c6c0000 00088c02 mp_install...... + 51930: 2308055f 726f6d70 5f646563 6f646500 #.._romp_decode. + 51940: 00000899 02230c00 04726f6d 5f706174 .....#...rom_pat + 51950: 63685f73 74001000 00096105 63726331 ch_st.....a.crc1 + 51960: 36000000 01350223 00056c65 6e000000 6....5.#..len... + 51970: 01350223 02056c64 5f616464 72000000 .5.#..ld_addr... + 51980: 02420223 04056675 6e5f6164 64720000 .B.#..fun_addr.. + 51990: 00024202 23080570 66756e00 000003bb ..B.#..pfun..... + 519a0: 02230c00 04656570 5f726564 69725f61 .#...eep_redir_a + 519b0: 64647200 04000009 93056f66 66736574 ddr.......offset + 519c0: 00000001 35022300 0573697a 65000000 ....5.#..size... + 519d0: 01350223 02000341 5f55494e 54333200 .5.#...A_UINT32. + 519e0: 0000047f 09000004 7c010700 0009a104 ........|....... + 519f0: 0004616c 6c6f6372 616d5f61 7069000c ..allocram_api.. + 51a00: 00000a12 05636d6e 6f735f61 6c6c6f63 .....cmnos_alloc + 51a10: 72616d5f 696e6974 00000009 a7022300 ram_init......#. + 51a20: 05636d6e 6f735f61 6c6c6f63 72616d00 .cmnos_allocram. + 51a30: 000009a7 02230405 636d6e6f 735f616c .....#..cmnos_al + 51a40: 6c6f6372 616d5f64 65627567 00000001 locram_debug.... + 51a50: c2022308 00060107 00000a12 04000341 ..#............A + 51a60: 5f544153 4b4c4554 5f46554e 43000000 _TASKLET_FUNC... + 51a70: 0a14045f 7461736b 6c657400 1000000a ..._tasklet..... + 51a80: 73056675 6e630000 000a1b02 23000561 s.func......#..a + 51a90: 72670000 00047c02 23040573 74617465 rg....|.#..state + 51aa0: 00000001 c9022308 056e6578 74000000 ......#..next... + 51ab0: 0a730223 0c000700 000a2f04 00070000 .s.#....../..... + 51ac0: 0a2f0400 03415f74 61736b6c 65745f74 ./...A_tasklet_t + 51ad0: 0000000a 2f070000 0a810400 06010700 ..../........... + 51ae0: 000a9904 00060107 00000aa2 04000474 ...............t + 51af0: 61736b6c 65745f61 70690014 00000b37 asklet_api.....7 + 51b00: 055f7461 736b6c65 745f696e 69740000 ._tasklet_init.. + 51b10: 0001c202 2300055f 7461736b 6c65745f ....#.._tasklet_ + 51b20: 696e6974 5f746173 6b000000 0a9b0223 init_task......# + 51b30: 04055f74 61736b6c 65745f64 69736162 .._tasklet_disab + 51b40: 6c650000 000aa402 2308055f 7461736b le......#.._task + 51b50: 6c65745f 73636865 64756c65 0000000a let_schedule.... + 51b60: a402230c 055f7461 736b6c65 745f7275 ..#.._tasklet_ru + 51b70: 6e000000 01c20223 10000601 0700000b n......#........ + 51b80: 37040009 00000993 01070000 0b400400 7............@.. + 51b90: 06010700 000b4d04 0004636c 6f636b5f ......M...clock_ + 51ba0: 61706900 2400000c 2f055f63 6c6f636b api.$.../._clock + 51bb0: 5f696e69 74000000 0b390223 00055f63 _init....9.#.._c + 51bc0: 6c6f636b 72656773 5f696e69 74000000 lockregs_init... + 51bd0: 01c20223 04055f75 6172745f 66726571 ...#.._uart_freq + 51be0: 75656e63 79000000 0b460223 08055f64 uency....F.#.._d + 51bf0: 656c6179 5f757300 00000b4f 02230c05 elay_us....O.#.. + 51c00: 5f776c61 6e5f6261 6e645f73 65740000 _wlan_band_set.. + 51c10: 000b4f02 2310055f 72656663 6c6b5f73 ..O.#.._refclk_s + 51c20: 70656564 5f676574 0000000b 46022314 peed_get....F.#. + 51c30: 055f6d69 6c6c6973 65636f6e 64730000 ._milliseconds.. + 51c40: 000b4602 2318055f 73797363 6c6b5f63 ..F.#.._sysclk_c + 51c50: 68616e67 65000000 01c20223 1c055f63 hange......#.._c + 51c60: 6c6f636b 5f746963 6b000000 01c20223 lock_tick......# + 51c70: 20000900 00024201 0700000c 2f040003 .....B...../... + 51c80: 415f6f6c 645f696e 74725f74 00000002 A_old_intr_t.... + 51c90: 42090000 0c3c0107 00000c4e 04000601 B....<.....N.... + 51ca0: 0700000c 5b040006 01070000 0c640400 ....[........d.. + 51cb0: 09000002 42010700 000c6d04 0003415f ....B.....m...A_ + 51cc0: 6973725f 74000000 0c730601 0700000c isr_t....s...... + 51cd0: 87040009 0000047f 01070000 0c900400 ................ + 51ce0: 06010700 000c9d04 0004696e 74725f61 ..........intr_a + 51cf0: 7069002c 00000dbf 055f696e 74725f69 pi.,....._intr_i + 51d00: 6e697400 000001c2 02230005 5f696e74 nit......#.._int + 51d10: 725f696e 766f6b65 5f697372 0000000c r_invoke_isr.... + 51d20: 35022304 055f696e 74725f64 69736162 5.#.._intr_disab + 51d30: 6c650000 000c5402 2308055f 696e7472 le....T.#.._intr + 51d40: 5f726573 746f7265 0000000c 5d02230c _restore....].#. + 51d50: 055f696e 74725f6d 61736b5f 696e756d ._intr_mask_inum + 51d60: 0000000c 66022310 055f696e 74725f75 ....f.#.._intr_u + 51d70: 6e6d6173 6b5f696e 756d0000 000c6602 nmask_inum....f. + 51d80: 2314055f 696e7472 5f617474 6163685f #.._intr_attach_ + 51d90: 69737200 00000c89 02231805 5f676574 isr......#.._get + 51da0: 5f696e74 72656e61 626c6500 00000c96 _intrenable..... + 51db0: 02231c05 5f736574 5f696e74 72656e61 .#.._set_intrena + 51dc0: 626c6500 00000c9f 02232005 5f676574 ble......# ._get + 51dd0: 5f696e74 7270656e 64696e67 0000000c _intrpending.... + 51de0: 96022324 055f756e 626c6f63 6b5f616c ..#$._unblock_al + 51df0: 6c5f696e 74726c76 6c000000 01c20223 l_intrlvl......# + 51e00: 28001104 00000de5 0574696d 656f7574 (........timeout + 51e10: 00000002 42022300 05616374 696f6e00 ....B.#..action. + 51e20: 00000242 02230000 12080000 0e000563 ...B.#.........c + 51e30: 6d640000 00024202 23001300 000dbf02 md....B.#....... + 51e40: 23040003 545f5744 545f434d 44000000 #...T_WDT_CMD... + 51e50: 0de50601 0700000e 0f040014 0400000e ................ + 51e60: 650e454e 554d5f57 44545f42 4f4f5400 e.ENUM_WDT_BOOT. + 51e70: 010e454e 554d5f43 4f4c445f 424f4f54 ..ENUM_COLD_BOOT + 51e80: 00020e45 4e554d5f 53555350 5f424f4f ...ENUM_SUSP_BOO + 51e90: 5400030e 454e554d 5f554e4b 4e4f574e T...ENUM_UNKNOWN + 51ea0: 5f424f4f 54000400 03545f42 4f4f545f _BOOT....T_BOOT_ + 51eb0: 54595045 0000000e 18090000 0e650107 TYPE.........e.. + 51ec0: 00000e76 04000477 64745f61 7069001c ...v...wdt_api.. + 51ed0: 00000f1a 055f7764 745f696e 69740000 ....._wdt_init.. + 51ee0: 0001c202 2300055f 7764745f 656e6162 ....#.._wdt_enab + 51ef0: 6c650000 0001c202 2304055f 7764745f le......#.._wdt_ + 51f00: 64697361 626c6500 000001c2 02230805 disable......#.. + 51f10: 5f776474 5f736574 0000000e 1102230c _wdt_set......#. + 51f20: 055f7764 745f7461 736b0000 0001c202 ._wdt_task...... + 51f30: 2310055f 7764745f 72657365 74000000 #.._wdt_reset... + 51f40: 01c20223 14055f77 64745f6c 6173745f ...#.._wdt_last_ + 51f50: 626f6f74 0000000e 7c022318 00140400 boot....|.#..... + 51f60: 000f810e 5245545f 53554343 45535300 ....RET_SUCCESS. + 51f70: 000e5245 545f4e4f 545f494e 49540001 ..RET_NOT_INIT.. + 51f80: 0e524554 5f4e4f54 5f455849 53540002 .RET_NOT_EXIST.. + 51f90: 0e524554 5f454550 5f434f52 52555054 .RET_EEP_CORRUPT + 51fa0: 00030e52 45545f45 45505f4f 56455246 ...RET_EEP_OVERF + 51fb0: 4c4f5700 040e5245 545f554e 4b4e4f57 LOW...RET_UNKNOW + 51fc0: 4e000500 03545f45 45505f52 45540000 N....T_EEP_RET.. + 51fd0: 000f1a07 00000135 04000900 000f8101 .......5........ + 51fe0: 0700000f 97040009 00000f81 01070000 ................ + 51ff0: 0fa40400 04656570 5f617069 00100000 .....eep_api.... + 52000: 100d055f 6565705f 696e6974 00000001 ..._eep_init.... + 52010: c2022300 055f6565 705f7265 61640000 ..#.._eep_read.. + 52020: 000f9d02 2304055f 6565705f 77726974 ....#.._eep_writ + 52030: 65000000 0f9d0223 08055f65 65705f69 e......#.._eep_i + 52040: 735f6578 69737400 00000faa 02230c00 s_exist......#.. + 52050: 04757362 5f617069 00700000 12ba055f .usb_api.p....._ + 52060: 7573625f 696e6974 00000001 c2022300 usb_init......#. + 52070: 055f7573 625f726f 6d5f7461 736b0000 ._usb_rom_task.. + 52080: 0001c202 2304055f 7573625f 66775f74 ....#.._usb_fw_t + 52090: 61736b00 000001c2 02230805 5f757362 ask......#.._usb + 520a0: 5f696e69 745f7068 79000000 01c20223 _init_phy......# + 520b0: 0c055f75 73625f65 70305f73 65747570 .._usb_ep0_setup + 520c0: 00000001 c2022310 055f7573 625f6570 ......#.._usb_ep + 520d0: 305f7478 00000001 c2022314 055f7573 0_tx......#.._us + 520e0: 625f6570 305f7278 00000001 c2022318 b_ep0_rx......#. + 520f0: 055f7573 625f6765 745f696e 74657266 ._usb_get_interf + 52100: 61636500 0000088c 02231c05 5f757362 ace......#.._usb + 52110: 5f736574 5f696e74 65726661 63650000 _set_interface.. + 52120: 00088c02 2320055f 7573625f 6765745f ....# ._usb_get_ + 52130: 636f6e66 69677572 6174696f 6e000000 configuration... + 52140: 088c0223 24055f75 73625f73 65745f63 ...#$._usb_set_c + 52150: 6f6e6669 67757261 74696f6e 00000008 onfiguration.... + 52160: 8c022328 055f7573 625f7374 616e6461 ..#(._usb_standa + 52170: 72645f63 6d640000 00088c02 232c055f rd_cmd......#,._ + 52180: 7573625f 76656e64 6f725f63 6d640000 usb_vendor_cmd.. + 52190: 0001c202 2330055f 7573625f 706f7765 ....#0._usb_powe + 521a0: 725f6f66 66000000 01c20223 34055f75 r_off......#4._u + 521b0: 73625f72 65736574 5f666966 6f000000 sb_reset_fifo... + 521c0: 01c20223 38055f75 73625f67 656e5f77 ...#8._usb_gen_w + 521d0: 64740000 0001c202 233c055f 7573625f dt......#<._usb_ + 521e0: 6a756d70 5f626f6f 74000000 01c20223 jump_boot......# + 521f0: 40055f75 73625f63 6c725f66 65617475 @._usb_clr_featu + 52200: 72650000 00088c02 2344055f 7573625f re......#D._usb_ + 52210: 7365745f 66656174 75726500 0000088c set_feature..... + 52220: 02234805 5f757362 5f736574 5f616464 .#H._usb_set_add + 52230: 72657373 00000008 8c02234c 055f7573 ress......#L._us + 52240: 625f6765 745f6465 73637269 70746f72 b_get_descriptor + 52250: 00000008 8c022350 055f7573 625f6765 ......#P._usb_ge + 52260: 745f7374 61747573 00000008 8c022354 t_status......#T + 52270: 055f7573 625f7365 7475705f 64657363 ._usb_setup_desc + 52280: 00000001 c2022358 055f7573 625f7265 ......#X._usb_re + 52290: 675f6f75 74000000 01c20223 5c055f75 g_out......#\._u + 522a0: 73625f73 74617475 735f696e 00000001 sb_status_in.... + 522b0: c2022360 055f7573 625f6570 305f7478 ..#`._usb_ep0_tx + 522c0: 5f646174 61000000 01c20223 64055f75 _data......#d._u + 522d0: 73625f65 70305f72 785f6461 74610000 sb_ep0_rx_data.. + 522e0: 0001c202 2368055f 7573625f 636c6b5f ....#h._usb_clk_ + 522f0: 696e6974 00000001 c202236c 00045f56 init......#l.._V + 52300: 44455343 00240000 1346056e 6578745f DESC.$...F.next_ + 52310: 64657363 00000013 46022300 05627566 desc....F.#..buf + 52320: 5f616464 72000000 135a0223 04056275 _addr....Z.#..bu + 52330: 665f7369 7a650000 00136102 23080564 f_size....a.#..d + 52340: 6174615f 6f666673 65740000 00136102 ata_offset....a. + 52350: 230a0564 6174615f 73697a65 00000013 #..data_size.... + 52360: 6102230c 05636f6e 74726f6c 00000013 a.#..control.... + 52370: 6102230e 0568775f 64657363 5f627566 a.#..hw_desc_buf + 52380: 00000013 6f022310 00070000 12ba0400 ....o.#......... + 52390: 03415f55 494e5438 00000001 01070000 .A_UINT8........ + 523a0: 134d0400 03415f55 494e5431 36000000 .M...A_UINT16... + 523b0: 011f0f00 00134d14 0000137c 10130007 ......M....|.... + 523c0: 000012ba 04000356 44455343 00000012 .......VDESC.... + 523d0: ba070000 13830400 09000013 8e010700 ................ + 523e0: 00139504 00090000 135a0107 000013a2 .........Z...... + 523f0: 04000601 07000013 af040004 76646573 ............vdes + 52400: 635f6170 69001400 00142705 5f696e69 c_api.....'._ini + 52410: 74000000 0b4f0223 00055f61 6c6c6f63 t....O.#.._alloc + 52420: 5f766465 73630000 00139b02 2304055f _vdesc......#.._ + 52430: 6765745f 68775f64 65736300 000013a8 get_hw_desc..... + 52440: 02230805 5f737761 705f7664 65736300 .#.._swap_vdesc. + 52450: 000013b1 02230c05 70526573 65727665 .....#..pReserve + 52460: 64000000 047c0223 1000045f 56425546 d....|.#..._VBUF + 52470: 00200000 14870564 6573635f 6c697374 . .....desc_list + 52480: 00000013 8e022300 056e6578 745f6275 ......#..next_bu + 52490: 66000000 14870223 04056275 665f6c65 f......#..buf_le + 524a0: 6e677468 00000013 61022308 05726573 ngth....a.#..res + 524b0: 65727665 64000000 148e0223 0a056374 erved......#..ct + 524c0: 78000000 136f0223 0c000700 00142704 x....o.#......'. + 524d0: 000f0000 134d0200 00149b10 01000700 .....M.......... + 524e0: 00142704 00035642 55460000 00142707 ..'...VBUF....'. + 524f0: 000014a2 04000900 0014ac01 07000014 ................ + 52500: b3040009 000014ac 01070000 14c00400 ................ + 52510: 06010700 0014cd04 00047662 75665f61 ..........vbuf_a + 52520: 70690014 0000154b 055f696e 69740000 pi.....K._init.. + 52530: 000b4f02 2300055f 616c6c6f 635f7662 ..O.#.._alloc_vb + 52540: 75660000 0014b902 2304055f 616c6c6f uf......#.._allo + 52550: 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size + 52560: 00000014 c6022308 055f6672 65655f76 ......#.._free_v + 52570: 62756600 000014cf 02230c05 70526573 buf......#..pRes + 52580: 65727665 64000000 047c0223 1000045f erved....|.#..._ + 52590: 5f616466 5f646576 69636500 04000015 _adf_device..... + 525a0: 6d056475 6d6d7900 000001c9 02230000 m.dummy......#.. + 525b0: 07000009 93040004 5f5f6164 665f646d ........__adf_dm + 525c0: 615f6d61 70000c00 0015b405 62756600 a_map.......buf. + 525d0: 000014ac 02230005 64735f61 64647200 .....#..ds_addr. + 525e0: 0000156d 02230405 64735f6c 656e0000 ...m.#..ds_len.. + 525f0: 00136102 23080012 0c000015 ee055f5f ..a.#.........__ + 52600: 76615f73 746b0000 0003ea02 2300055f va_stk......#.._ + 52610: 5f76615f 72656700 000003ea 02230405 _va_reg......#.. + 52620: 5f5f7661 5f6e6478 00000001 c9022308 __va_ndx......#. + 52630: 00035f5f 6164665f 6f735f64 6d615f61 ..__adf_os_dma_a + 52640: 6464725f 74000000 09930361 64665f6f ddr_t......adf_o + 52650: 735f646d 615f6164 64725f74 00000015 s_dma_addr_t.... + 52660: ee035f5f 6164665f 6f735f64 6d615f73 ..__adf_os_dma_s + 52670: 697a655f 74000000 09930361 64665f6f ize_t......adf_o + 52680: 735f646d 615f7369 7a655f74 00000016 s_dma_size_t.... + 52690: 1e045f5f 646d615f 73656773 00080000 ..__dma_segs.... + 526a0: 167a0570 61646472 00000016 07022300 .z.paddr......#. + 526b0: 056c656e 00000016 37022304 00035f5f .len....7.#...__ + 526c0: 615f7569 6e743332 5f740000 00099303 a_uint32_t...... + 526d0: 615f7569 6e743332 5f740000 00167a0f a_uint32_t....z. + 526e0: 0000164e 08000016 a9100000 04616466 ...N.........adf + 526f0: 5f6f735f 646d616d 61705f69 6e666f00 _os_dmamap_info. + 52700: 0c000016 e2056e73 65677300 0000168c ......nsegs..... + 52710: 02230005 646d615f 73656773 00000016 .#..dma_segs.... + 52720: 9c022304 00035f5f 615f7569 6e74385f ..#...__a_uint8_ + 52730: 74000000 134d0361 5f75696e 74385f74 t....M.a_uint8_t + 52740: 00000016 e2070000 16f30400 045f5f73 .............__s + 52750: 675f7365 67730008 00001734 05766164 g_segs.....4.vad + 52760: 64720000 00170202 2300056c 656e0000 dr......#..len.. + 52770: 00168c02 2304000f 00001709 20000017 ....#....... ... + 52780: 41100300 04616466 5f6f735f 73676c69 A....adf_os_sgli + 52790: 73740024 00001774 056e7365 67730000 st.$...t.nsegs.. + 527a0: 00168c02 23000573 675f7365 67730000 ....#..sg_segs.. + 527b0: 00173402 23040012 10000017 bd057665 ..4.#.........ve + 527c0: 6e646f72 00000016 8c022300 05646576 ndor......#..dev + 527d0: 69636500 0000168c 02230405 73756276 ice......#..subv + 527e0: 656e646f 72000000 168c0223 08057375 endor......#..su + 527f0: 62646576 69636500 0000168c 02230c00 bdevice......#.. + 52800: 026c6f6e 67206c6f 6e672075 6e736967 .long long unsig + 52810: 6e656420 696e7400 07080341 5f55494e ned int....A_UIN + 52820: 54363400 000017bd 035f5f61 5f75696e T64......__a_uin + 52830: 7436345f 74000000 17d70361 5f75696e t64_t......a_uin + 52840: 7436345f 74000000 17e51404 00001843 t64_t..........C + 52850: 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 52860: 5f545950 455f4d45 4d00000e 4144465f _TYPE_MEM...ADF_ + 52870: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 52880: 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re + 52890: 736f7572 63655f74 7970655f 74000000 source_type_t... + 528a0: 18071218 0000188d 05737461 72740000 .........start.. + 528b0: 0017f702 23000565 6e640000 0017f702 ....#..end...... + 528c0: 23080574 79706500 00001843 02231000 #..type....C.#.. + 528d0: 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 528e0: 69645f74 00000017 74070000 188d0400 id_t....t....... + 528f0: 11040000 18cc0570 63690000 0018a602 .......pci...... + 52900: 23000572 61770000 00047c02 23000011 #..raw....|.#... + 52910: 10000018 eb057063 69000000 188d0223 ......pci......# + 52920: 00057261 77000000 047c0223 00000361 ..raw....|.#...a + 52930: 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 52940: 0000047c 03616466 5f6f735f 7265736f ...|.adf_os_reso + 52950: 75726365 5f740000 00185f07 00001901 urce_t...._..... + 52960: 04000361 64665f6f 735f6174 74616368 ...adf_os_attach + 52970: 5f646174 615f7400 000018cc 07000019 _data_t......... + 52980: 1f040007 0000154b 0400035f 5f616466 .......K...__adf + 52990: 5f6f735f 64657669 63655f74 00000019 _os_device_t.... + 529a0: 40036164 665f6f73 5f646576 6963655f @.adf_os_device_ + 529b0: 74000000 19470900 0018eb01 07000019 t....G.......... + 529c0: 73040006 01070000 19800400 03616466 s............adf + 529d0: 5f6f735f 706d5f74 00000004 7c060107 _os_pm_t....|... + 529e0: 0000199a 04001404 000019da 0e414446 .............ADF + 529f0: 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 52a00: 00010e41 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 52a10: 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad + 52a20: 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 52a30: 000019a3 03616466 5f6f735f 6275735f .....adf_os_bus_ + 52a40: 7265675f 64617461 5f740000 0018ad07 reg_data_t...... + 52a50: 00000101 0400045f 6164665f 6472765f ......._adf_drv_ + 52a60: 696e666f 00200000 1ab70564 72765f61 info. .....drv_a + 52a70: 74746163 68000000 19790223 00056472 ttach....y.#..dr + 52a80: 765f6465 74616368 00000019 82022304 v_detach......#. + 52a90: 05647276 5f737573 70656e64 00000019 .drv_suspend.... + 52aa0: 9c022308 05647276 5f726573 756d6500 ..#..drv_resume. + 52ab0: 00001982 02230c05 6275735f 74797065 .....#..bus_type + 52ac0: 00000019 da022310 05627573 5f646174 ......#..bus_dat + 52ad0: 61000000 19f10223 14056d6f 645f6e61 a......#..mod_na + 52ae0: 6d650000 001a0c02 23180569 666e616d me......#..ifnam + 52af0: 65000000 1a0c0223 1c000361 64665f6f e......#...adf_o + 52b00: 735f6861 6e646c65 5f740000 00047c07 s_handle_t....|. + 52b10: 000016e2 04000601 0601035f 5f616466 ...........__adf + 52b20: 5f6f735f 73697a65 5f740000 00047f14 _os_size_t...... + 52b30: 0400001b 060e415f 46414c53 4500000e ......A_FALSE... + 52b40: 415f5452 55450001 0003615f 626f6f6c A_TRUE....a_bool + 52b50: 5f740000 001aec07 00001574 0400035f _t.........t..._ + 52b60: 5f616466 5f6f735f 646d615f 6d61705f _adf_os_dma_map_ + 52b70: 74000000 1b140601 0d616466 5f6f735f t........adf_os_ + 52b80: 63616368 655f7379 6e630004 00001b9e cache_sync...... + 52b90: 0e414446 5f53594e 435f5052 45524541 .ADF_SYNC_PREREA + 52ba0: 4400000e 4144465f 53594e43 5f505245 D...ADF_SYNC_PRE + 52bb0: 57524954 4500020e 4144465f 53594e43 WRITE...ADF_SYNC + 52bc0: 5f504f53 54524541 4400010e 4144465f _POSTREAD...ADF_ + 52bd0: 53594e43 5f504f53 54575249 54450003 SYNC_POSTWRITE.. + 52be0: 00036164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 52bf0: 796e635f 74000000 1b350601 03616466 ync_t....5...adf + 52c00: 5f6f735f 73697a65 5f740000 001ad709 _os_size_t...... + 52c10: 00001bb9 01036164 665f6f73 5f646d61 ......adf_os_dma + 52c20: 5f6d6170 5f740000 001b1b07 00001bd2 _map_t.......... + 52c30: 04000900 00047c01 0700001b 1b040009 ......|......... + 52c40: 0000047c 01060109 00001607 01060102 ...|............ + 52c50: 73686f72 7420696e 74000502 03415f49 short int....A_I + 52c60: 4e543136 0000001c 0c035f5f 615f696e NT16......__a_in + 52c70: 7431365f 74000000 1c190361 5f696e74 t16_t......a_int + 52c80: 31365f74 0000001c 26027369 676e6564 16_t....&.signed + 52c90: 20636861 72000501 03415f49 4e543800 char....A_INT8. + 52ca0: 00001c46 035f5f61 5f696e74 385f7400 ...F.__a_int8_t. + 52cb0: 00001c55 03615f69 6e74385f 74000000 ...U.a_int8_t... + 52cc0: 1c61120c 00001cd8 05737570 706f7274 .a.......support + 52cd0: 65640000 00168c02 23000561 64766572 ed......#..adver + 52ce0: 74697a65 64000000 168c0223 04057370 tized......#..sp + 52cf0: 65656400 00001c37 02230805 6475706c eed....7.#..dupl + 52d00: 65780000 001c7102 230a0561 75746f6e ex....q.#..auton + 52d10: 65670000 0016f302 230b000f 000016f3 eg......#....... + 52d20: 0600001c e5100500 04616466 5f6e6574 .........adf_net + 52d30: 5f657468 61646472 00060000 1d090561 _ethaddr.......a + 52d40: 64647200 00001cd8 02230000 035f5f61 ddr......#...__a + 52d50: 5f75696e 7431365f 74000000 13610361 _uint16_t....a.a + 52d60: 5f75696e 7431365f 74000000 1d09120e _uint16_t....... + 52d70: 00001d6d 05657468 65725f64 686f7374 ...m.ether_dhost + 52d80: 0000001c d8022300 05657468 65725f73 ......#..ether_s + 52d90: 686f7374 0000001c d8022306 05657468 host......#..eth + 52da0: 65725f74 79706500 00001d1b 02230c00 er_type......#.. + 52db0: 12140000 1e2e1569 705f7665 7273696f .......ip_versio + 52dc0: 6e000000 16f30100 04022300 1569705f n.........#..ip_ + 52dd0: 686c0000 0016f301 04040223 00056970 hl.........#..ip + 52de0: 5f746f73 00000016 f3022301 0569705f _tos......#..ip_ + 52df0: 6c656e00 00001d1b 02230205 69705f69 len......#..ip_i + 52e00: 64000000 1d1b0223 04056970 5f667261 d......#..ip_fra + 52e10: 675f6f66 66000000 1d1b0223 06056970 g_off......#..ip + 52e20: 5f74746c 00000016 f3022308 0569705f _ttl......#..ip_ + 52e30: 70726f74 6f000000 16f30223 09056970 proto......#..ip + 52e40: 5f636865 636b0000 001d1b02 230a0569 _check......#..i + 52e50: 705f7361 64647200 0000168c 02230c05 p_saddr......#.. + 52e60: 69705f64 61646472 00000016 8c022310 ip_daddr......#. + 52e70: 00046164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd + 52e80: 72000400 001e8005 74706964 0000001d r.......tpid.... + 52e90: 1b022300 15707269 6f000000 16f30100 ..#..prio....... + 52ea0: 03022302 15636669 00000016 f3010301 ..#..cfi........ + 52eb0: 02230215 76696400 00001d1b 02040c02 .#..vid......... + 52ec0: 23020004 6164665f 6e65745f 76696400 #...adf_net_vid. + 52ed0: 0200001e b1157265 73000000 16f30100 ......res....... + 52ee0: 04022300 1576616c 0000001d 1b02040c ..#..val........ + 52ef0: 02230000 120c0000 1eed0572 785f6275 .#.........rx_bu + 52f00: 6673697a 65000000 168c0223 00057278 fsize......#..rx + 52f10: 5f6e6465 73630000 00168c02 23040574 _ndesc......#..t + 52f20: 785f6e64 65736300 0000168c 02230800 x_ndesc......#.. + 52f30: 12080000 1f130570 6f6c6c65 64000000 .......polled... + 52f40: 1b060223 0005706f 6c6c5f77 74000000 ...#..poll_wt... + 52f50: 168c0223 04000f00 0016f340 00001f20 ...#.......@... + 52f60: 103f0012 4600001f 48056966 5f6e616d .?..F...H.if_nam + 52f70: 65000000 1f130223 00056465 765f6164 e......#..dev_ad + 52f80: 64720000 001cd802 23400014 0400001f dr......#@...... + 52f90: 7f0e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 52fa0: 4b5f3332 42495400 000e4144 465f4f53 K_32BIT...ADF_OS + 52fb0: 5f444d41 5f4d4153 4b5f3634 42495400 _DMA_MASK_64BIT. + 52fc0: 01000361 64665f6f 735f646d 615f6d61 ...adf_os_dma_ma + 52fd0: 736b5f74 0000001f 48046164 665f646d sk_t....H.adf_dm + 52fe0: 615f696e 666f0008 00001fcc 05646d61 a_info.......dma + 52ff0: 5f6d6173 6b000000 1f7f0223 00057367 _mask......#..sg + 53000: 5f6e7365 67730000 00168c02 23040014 _nsegs......#... + 53010: 04000020 220e4144 465f4e45 545f434b ... ".ADF_NET_CK + 53020: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N + 53030: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP + 53040: 5f495076 3400010e 4144465f 4e45545f _IPv4...ADF_NET_ + 53050: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP + 53060: 76360002 00036164 665f6e65 745f636b v6....adf_net_ck + 53070: 73756d5f 74797065 5f740000 001fcc12 sum_type_t...... + 53080: 08000020 65057478 5f636b73 756d0000 ... e.tx_cksum.. + 53090: 00202202 23000572 785f636b 73756d00 . ".#..rx_cksum. + 530a0: 00002022 02230400 03616466 5f6e6574 .. ".#...adf_net + 530b0: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 530c0: 203c1404 000020be 0e414446 5f4e4554 <.... ..ADF_NET + 530d0: 5f54534f 5f4e4f4e 4500000e 4144465f _TSO_NONE...ADF_ + 530e0: 4e45545f 54534f5f 49505634 00010e41 NET_TSO_IPV4...A + 530f0: 44465f4e 45545f54 534f5f41 4c4c0002 DF_NET_TSO_ALL.. + 53100: 00036164 665f6e65 745f7473 6f5f7479 ..adf_net_tso_ty + 53110: 70655f74 00000020 7f121000 00211205 pe_t... .....!.. + 53120: 636b7375 6d5f6361 70000000 20650223 cksum_cap... e.# + 53130: 00057473 6f000000 20be0223 0805766c ..tso... ..#..vl + 53140: 616e5f73 7570706f 72746564 00000016 an_supported.... + 53150: f302230c 00122000 0021ab05 74785f70 ..#... ..!..tx_p + 53160: 61636b65 74730000 00168c02 23000572 ackets......#..r + 53170: 785f7061 636b6574 73000000 168c0223 x_packets......# + 53180: 04057478 5f627974 65730000 00168c02 ..tx_bytes...... + 53190: 23080572 785f6279 74657300 0000168c #..rx_bytes..... + 531a0: 02230c05 74785f64 726f7070 65640000 .#..tx_dropped.. + 531b0: 00168c02 23100572 785f6472 6f707065 ....#..rx_droppe + 531c0: 64000000 168c0223 14057278 5f657272 d......#..rx_err + 531d0: 6f727300 0000168c 02231805 74785f65 ors......#..tx_e + 531e0: 72726f72 73000000 168c0223 1c000361 rrors......#...a + 531f0: 64665f6e 65745f65 74686164 64725f74 df_net_ethaddr_t + 53200: 0000001c e5160000 21ab0300 000021d0 ........!.....!. + 53210: 107f0017 6164665f 6e65745f 636d645f ....adf_net_cmd_ + 53220: 6d636164 64720003 04000022 07056e65 mcaddr....."..ne + 53230: 6c656d00 0000168c 02230005 6d636173 lem......#..mcas + 53240: 74000000 21c20223 04000361 64665f6e t...!..#...adf_n + 53250: 65745f63 6d645f6c 696e6b5f 696e666f et_cmd_link_info + 53260: 5f740000 001c7f03 6164665f 6e65745f _t......adf_net_ + 53270: 636d645f 706f6c6c 5f696e66 6f5f7400 cmd_poll_info_t. + 53280: 00001eed 03616466 5f6e6574 5f636d64 .....adf_net_cmd + 53290: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... + 532a0: 20650361 64665f6e 65745f63 6d645f72 e.adf_net_cmd_r + 532b0: 696e675f 696e666f 5f740000 001eb103 ing_info_t...... + 532c0: 6164665f 6e65745f 636d645f 646d615f adf_net_cmd_dma_ + 532d0: 696e666f 5f740000 001f9603 6164665f info_t......adf_ + 532e0: 6e65745f 636d645f 7669645f 74000000 net_cmd_vid_t... + 532f0: 1d1b0361 64665f6e 65745f63 6d645f6f ...adf_net_cmd_o + 53300: 66666c6f 61645f63 61705f74 00000020 ffload_cap_t... + 53310: d6036164 665f6e65 745f636d 645f7374 ..adf_net_cmd_st + 53320: 6174735f 74000000 21120361 64665f6e ats_t...!..adf_n + 53330: 65745f63 6d645f6d 63616464 725f7400 et_cmd_mcaddr_t. + 53340: 000021d0 0d616466 5f6e6574 5f636d64 ..!..adf_net_cmd + 53350: 5f6d6361 73745f63 61700004 00002349 _mcast_cap....#I + 53360: 0e414446 5f4e4554 5f4d4341 53545f53 .ADF_NET_MCAST_S + 53370: 55500000 0e414446 5f4e4554 5f4d4341 UP...ADF_NET_MCA + 53380: 53545f4e 4f545355 50000100 03616466 ST_NOTSUP....adf + 53390: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 533a0: 61705f74 00000023 01180304 0000241b ap_t...#......$. + 533b0: 056c696e 6b5f696e 666f0000 00220702 .link_info...".. + 533c0: 23000570 6f6c6c5f 696e666f 00000022 #..poll_info..." + 533d0: 24022300 05636b73 756d5f69 6e666f00 $.#..cksum_info. + 533e0: 00002241 02230005 72696e67 5f696e66 .."A.#..ring_inf + 533f0: 6f000000 225f0223 0005646d 615f696e o..."_.#..dma_in + 53400: 666f0000 00227c02 23000576 69640000 fo..."|.#..vid.. + 53410: 00229802 2300056f 66666c6f 61645f63 ."..#..offload_c + 53420: 61700000 0022af02 23000573 74617473 ap..."..#..stats + 53430: 00000022 ce022300 056d6361 73745f69 ..."..#..mcast_i + 53440: 6e666f00 000022e7 02230005 6d636173 nfo..."..#..mcas + 53450: 745f6361 70000000 23490223 00001404 t_cap...#I.#.... + 53460: 00002472 0e414446 5f4e4255 465f5258 ..$r.ADF_NBUF_RX + 53470: 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD + 53480: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 53490: 48570001 0e414446 5f4e4255 465f5258 HW...ADF_NBUF_RX + 534a0: 5f434b53 554d5f55 4e4e4543 45535341 _CKSUM_UNNECESSA + 534b0: 52590002 00036164 665f6e62 75665f72 RY....adf_nbuf_r + 534c0: 785f636b 73756d5f 74797065 5f740000 x_cksum_type_t.. + 534d0: 00241b12 08000024 b2057265 73756c74 .$.....$..result + 534e0: 00000024 72022300 0576616c 00000016 ...$r.#..val.... + 534f0: 8c022304 00120800 0024e205 74797065 ..#......$..type + 53500: 00000020 be022300 056d7373 0000001d ... ..#..mss.... + 53510: 1b022304 05686472 5f6f6666 00000016 ..#..hdr_off.... + 53520: f3022306 00045f5f 6164665f 6e627566 ..#...__adf_nbuf + 53530: 5f716865 6164000c 00002521 05686561 _qhead....%!.hea + 53540: 64000000 14ac0223 00057461 696c0000 d......#..tail.. + 53550: 0014ac02 23040571 6c656e00 0000168c ....#..qlen..... + 53560: 02230800 035f5f61 64665f6e 6275665f .#...__adf_nbuf_ + 53570: 74000000 14ac0700 00170204 00070000 t............... + 53580: 168c0400 06010900 00138e01 09000016 ................ + 53590: 8c010900 00170201 09000017 02010700 ................ + 535a0: 00136f04 00035f5f 6164665f 6e627566 ..o...__adf_nbuf + 535b0: 5f716865 61645f74 00000024 e2035f5f _qhead_t...$..__ + 535c0: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t + 535d0: 00000025 62070000 257a0400 09000025 ...%b...%z.....% + 535e0: 21010900 00252101 14040000 269a0e41 !....%!.....&..A + 535f0: 5f535441 5455535f 4f4b0000 0e415f53 _STATUS_OK...A_S + 53600: 54415455 535f4641 494c4544 00010e41 TATUS_FAILED...A + 53610: 5f535441 5455535f 454e4f45 4e540002 _STATUS_ENOENT.. + 53620: 0e415f53 54415455 535f454e 4f4d454d .A_STATUS_ENOMEM + 53630: 00030e41 5f535441 5455535f 45494e56 ...A_STATUS_EINV + 53640: 414c0004 0e415f53 54415455 535f4549 AL...A_STATUS_EI + 53650: 4e50524f 47524553 5300050e 415f5354 NPROGRESS...A_ST + 53660: 41545553 5f454e4f 54535550 5000060e ATUS_ENOTSUPP... + 53670: 415f5354 41545553 5f454255 53590007 A_STATUS_EBUSY.. + 53680: 0e415f53 54415455 535f4532 42494700 .A_STATUS_E2BIG. + 53690: 080e415f 53544154 55535f45 41444452 ..A_STATUS_EADDR + 536a0: 4e4f5441 5641494c 00090e41 5f535441 NOTAVAIL...A_STA + 536b0: 5455535f 454e5849 4f000a0e 415f5354 TUS_ENXIO...A_ST + 536c0: 41545553 5f454641 554c5400 0b0e415f ATUS_EFAULT...A_ + 536d0: 53544154 55535f45 494f000c 0003615f STATUS_EIO....a_ + 536e0: 73746174 75735f74 00000025 a5090000 status_t...%.... + 536f0: 269a0109 000001c9 01060103 6164665f &...........adf_ + 53700: 6e627566 5f740000 00252114 04000026 nbuf_t...%!....& + 53710: ff0e4144 465f4f53 5f444d41 5f544f5f ..ADF_OS_DMA_TO_ + 53720: 44455649 43450000 0e414446 5f4f535f DEVICE...ADF_OS_ + 53730: 444d415f 46524f4d 5f444556 49434500 DMA_FROM_DEVICE. + 53740: 01000361 64665f6f 735f646d 615f6469 ...adf_os_dma_di + 53750: 725f7400 000026c8 09000026 9a010601 r_t...&....&.... + 53760: 03616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i + 53770: 6e666f5f 74000000 16a90700 00271d04 nfo_t........'.. + 53780: 00060106 01090000 26b80109 00002521 ........&.....%! + 53790: 01060106 01090000 26b80109 00002521 ........&.....%! + 537a0: 01090000 26b80109 00002521 01090000 ....&.....%!.... + 537b0: 26b80106 01060109 0000168c 01090000 &............... + 537c0: 17020106 01060109 00001bb9 01090000 ................ + 537d0: 1b060109 00001b06 01036164 665f6f73 ..........adf_os + 537e0: 5f73676c 6973745f 74000000 17410700 _sglist_t....A.. + 537f0: 00279604 00060106 01060109 00001702 .'.............. + 53800: 01036164 665f6e62 75665f71 75657565 ..adf_nbuf_queue + 53810: 5f740000 00257a07 000027be 04000601 _t...%z...'..... + 53820: 07000025 62040006 01060106 01090000 ...%b........... + 53830: 26b80109 00002521 01090000 168c0109 &.....%!........ + 53840: 0000168c 01090000 1b060109 00001b06 ................ + 53850: 01090000 20220109 0000168c 01036164 .... "........ad + 53860: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 53870: 74000000 24900700 00281a04 00060106 t...$....(...... + 53880: 01036164 665f6e62 75665f74 736f5f74 ..adf_nbuf_tso_t + 53890: 00000024 b2070000 283e0400 06010601 ...$....(>...... + 538a0: 03616466 5f6e6574 5f68616e 646c655f .adf_net_handle_ + 538b0: 74000000 047c0361 64665f6e 65745f76 t....|.adf_net_v + 538c0: 6c616e68 64725f74 0000001e 2e070000 lanhdr_t........ + 538d0: 28730400 09000026 9a010900 00269a01 (s.....&.....&.. + 538e0: 06010601 045f4849 465f434f 4e464947 ....._HIF_CONFIG + 538f0: 00040000 28c20564 756d6d79 00000001 ....(..dummy.... + 53900: c9022300 00060107 000028c2 04000601 ..#.......(..... + 53910: 07000028 cb040004 5f484946 5f43414c ...(...._HIF_CAL + 53920: 4c424143 4b000c00 00292005 73656e64 LBACK....) .send + 53930: 5f627566 5f646f6e 65000000 28c40223 _buf_done...(..# + 53940: 00057265 63765f62 75660000 0028cd02 ..recv_buf...(.. + 53950: 23040563 6f6e7465 78740000 00047c02 #..context....|. + 53960: 23080003 6869665f 68616e64 6c655f74 #...hif_handle_t + 53970: 00000004 7c034849 465f434f 4e464947 ....|.HIF_CONFIG + 53980: 00000028 a1070000 29320400 09000029 ...(....)2.....) + 53990: 20010700 00294904 00060107 00002956 ....)I.......)V + 539a0: 04000348 49465f43 414c4c42 41434b00 ...HIF_CALLBACK. + 539b0: 000028d4 07000029 5f040006 01070000 ..(....)_....... + 539c0: 29780400 09000001 c9010700 00298104 )x...........).. + 539d0: 00060107 0000298e 04000900 0001c901 ......)......... + 539e0: 07000029 97040006 01070000 29a40400 ...)........)... + 539f0: 09000001 c9010700 0029ad04 00060107 .........)...... + 53a00: 000029ba 04000468 69665f61 70690038 ..)....hif_api.8 + 53a10: 00002b13 055f696e 69740000 00294f02 ..+.._init...)O. + 53a20: 2300055f 73687574 646f776e 00000029 #.._shutdown...) + 53a30: 58022304 055f7265 67697374 65725f63 X.#.._register_c + 53a40: 616c6c62 61636b00 0000297a 02230805 allback...)z.#.. + 53a50: 5f676574 5f746f74 616c5f63 72656469 _get_total_credi + 53a60: 745f636f 756e7400 00002987 02230c05 t_count...)..#.. + 53a70: 5f737461 72740000 00295802 2310055f _start...)X.#.._ + 53a80: 636f6e66 69675f70 69706500 00002990 config_pipe...). + 53a90: 02231405 5f73656e 645f6275 66666572 .#.._send_buffer + 53aa0: 00000029 9d022318 055f7265 7475726e ...)..#.._return + 53ab0: 5f726563 765f6275 66000000 29a60223 _recv_buf...)..# + 53ac0: 1c055f69 735f7069 70655f73 7570706f .._is_pipe_suppo + 53ad0: 72746564 00000029 b3022320 055f6765 rted...)..# ._ge + 53ae0: 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... + 53af0: 29b30223 24055f67 65745f72 65736572 )..#$._get_reser + 53b00: 7665645f 68656164 726f6f6d 00000029 ved_headroom...) + 53b10: 87022328 055f6973 725f6861 6e646c65 ..#(._isr_handle + 53b20: 72000000 29580223 2c055f67 65745f64 r...)X.#,._get_d + 53b30: 65666175 6c745f70 69706500 000029bc efault_pipe...). + 53b40: 02233005 70526573 65727665 64000000 .#0.pReserved... + 53b50: 047c0223 34000d64 6d615f65 6e67696e .|.#4..dma_engin + 53b60: 65000400 002b9c0e 444d415f 454e4749 e....+..DMA_ENGI + 53b70: 4e455f52 58300000 0e444d41 5f454e47 NE_RX0...DMA_ENG + 53b80: 494e455f 52583100 010e444d 415f454e INE_RX1...DMA_EN + 53b90: 47494e45 5f525832 00020e44 4d415f45 GINE_RX2...DMA_E + 53ba0: 4e47494e 455f5258 3300030e 444d415f NGINE_RX3...DMA_ + 53bb0: 454e4749 4e455f54 58300004 0e444d41 ENGINE_TX0...DMA + 53bc0: 5f454e47 494e455f 54583100 050e444d _ENGINE_TX1...DM + 53bd0: 415f454e 47494e45 5f4d4158 00060003 A_ENGINE_MAX.... + 53be0: 646d615f 656e6769 6e655f74 0000002b dma_engine_t...+ + 53bf0: 130d646d 615f6966 74797065 00040000 ..dma_iftype.... + 53c00: 2be90e44 4d415f49 465f474d 41430000 +..DMA_IF_GMAC.. + 53c10: 0e444d41 5f49465f 50434900 010e444d .DMA_IF_PCI...DM + 53c20: 415f4946 5f504349 45000200 03646d61 A_IF_PCIE....dma + 53c30: 5f696674 7970655f 74000000 2bae0900 _iftype_t...+... + 53c40: 00136101 0700002b fb040006 01070000 ..a....+........ + 53c50: 2c080400 06010700 002c1104 00090000 ,........,...... + 53c60: 09930107 00002c1a 04000900 00136101 ......,.......a. + 53c70: 0700002c 27040009 00001361 01070000 ...,'......a.... + 53c80: 2c340400 09000014 ac010700 002c4104 ,4...........,A. + 53c90: 00060107 00002c4e 04000464 6d615f6c ......,N...dma_l + 53ca0: 69625f61 70690034 00002d55 0574785f ib_api.4..-U.tx_ + 53cb0: 696e6974 0000002c 01022300 0574785f init...,..#..tx_ + 53cc0: 73746172 74000000 2c0a0223 04057278 start...,..#..rx + 53cd0: 5f696e69 74000000 2c010223 08057278 _init...,..#..rx + 53ce0: 5f636f6e 66696700 00002c13 02230c05 _config...,..#.. + 53cf0: 72785f73 74617274 0000002c 0a022310 rx_start...,..#. + 53d00: 05696e74 725f7374 61747573 0000002c .intr_status..., + 53d10: 20022314 05686172 645f786d 69740000 .#..hard_xmit.. + 53d20: 002c2d02 23180566 6c757368 5f786d69 .,-.#..flush_xmi + 53d30: 74000000 2c0a0223 1c05786d 69745f64 t...,..#..xmit_d + 53d40: 6f6e6500 00002c3a 02232005 72656170 one...,:.# .reap + 53d50: 5f786d69 74746564 0000002c 47022324 _xmitted...,G.#$ + 53d60: 05726561 705f7265 63760000 002c4702 .reap_recv...,G. + 53d70: 23280572 65747572 6e5f7265 63760000 #(.return_recv.. + 53d80: 002c5002 232c0572 6563765f 706b7400 .,P.#,.recv_pkt. + 53d90: 00002c3a 02233000 045f5f70 63695f73 ..,:.#0..__pci_s + 53da0: 6f667463 000c0000 2d730573 77000000 oftc....-s.sw... + 53db0: 295f0223 0000035f 5f706369 5f736f66 )_.#...__pci_sof + 53dc0: 74635f74 0000002d 55070000 2d730400 tc_t...-U...-s.. + 53dd0: 06010700 002d8d04 00090000 134d0107 .....-.......M.. + 53de0: 00002d96 04000d68 69665f70 63695f70 ..-....hif_pci_p + 53df0: 6970655f 74780004 00002df6 0e484946 ipe_tx....-..HIF + 53e00: 5f504349 5f504950 455f5458 3000000e _PCI_PIPE_TX0... + 53e10: 4849465f 5043495f 50495045 5f545831 HIF_PCI_PIPE_TX1 + 53e20: 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 53e30: 54585f4d 41580002 00036869 665f7063 TX_MAX....hif_pc + 53e40: 695f7069 70655f74 785f7400 00002da3 i_pipe_tx_t...-. + 53e50: 0900002b 9c010700 002e0d04 000d6869 ...+..........hi + 53e60: 665f7063 695f7069 70655f72 78000400 f_pci_pipe_rx... + 53e70: 002e930e 4849465f 5043495f 50495045 ....HIF_PCI_PIPE + 53e80: 5f525830 00000e48 49465f50 43495f50 _RX0...HIF_PCI_P + 53e90: 4950455f 52583100 010e4849 465f5043 IPE_RX1...HIF_PC + 53ea0: 495f5049 50455f52 58320002 0e484946 I_PIPE_RX2...HIF + 53eb0: 5f504349 5f504950 455f5258 3300030e _PCI_PIPE_RX3... + 53ec0: 4849465f 5043495f 50495045 5f52585f HIF_PCI_PIPE_RX_ + 53ed0: 4d415800 04000368 69665f70 63695f70 MAX....hif_pci_p + 53ee0: 6970655f 72785f74 0000002e 1a090000 ipe_rx_t........ + 53ef0: 2b9c0107 00002eaa 04000468 69665f70 +..........hif_p + 53f00: 63695f61 70690024 00002f88 05706369 ci_api.$../..pci + 53f10: 5f626f6f 745f696e 69740000 0001c202 _boot_init...... + 53f20: 23000570 63695f69 6e697400 0000294f #..pci_init...)O + 53f30: 02230405 7063695f 72657365 74000000 .#..pci_reset... + 53f40: 01c20223 08057063 695f656e 61626c65 ...#..pci_enable + 53f50: 00000001 c202230c 05706369 5f726561 ......#..pci_rea + 53f60: 705f786d 69747465 64000000 2d8f0223 p_xmitted...-..# + 53f70: 10057063 695f7265 61705f72 65637600 ..pci_reap_recv. + 53f80: 00002d8f 02231405 7063695f 6765745f ..-..#..pci_get_ + 53f90: 70697065 0000002d 9c022318 05706369 pipe...-..#..pci + 53fa0: 5f676574 5f74785f 656e6700 00002e13 _get_tx_eng..... + 53fb0: 02231c05 7063695f 6765745f 72785f65 .#..pci_get_rx_e + 53fc0: 6e670000 002eb002 23200004 676d6163 ng......# ..gmac + 53fd0: 5f617069 00040000 2faf0567 6d61635f _api..../..gmac_ + 53fe0: 626f6f74 5f696e69 74000000 01c20223 boot_init......# + 53ff0: 00000f00 00010106 00002fbc 10050004 ........../..... + 54000: 5f5f6574 68686472 000e0000 2ff20564 __ethhdr..../..d + 54010: 73740000 002faf02 23000573 72630000 st.../..#..src.. + 54020: 002faf02 23060565 74797065 00000013 ./..#..etype.... + 54030: 6102230c 00045f5f 61746868 64720004 a.#...__athhdr.. + 54040: 00003040 15726573 00000013 4d010002 ..0@.res....M... + 54050: 02230015 70726f74 6f000000 134d0102 .#..proto....M.. + 54060: 06022300 05726573 5f6c6f00 0000134d ..#..res_lo....M + 54070: 02230105 7265735f 68690000 00136102 .#..res_hi....a. + 54080: 23020004 5f5f676d 61635f68 64720014 #...__gmac_hdr.. + 54090: 0000307c 05657468 0000002f bc022300 ..0|.eth.../..#. + 540a0: 05617468 0000002f f202230e 05616c69 .ath.../..#..ali + 540b0: 676e5f70 61640000 00136102 23120003 gn_pad....a.#... + 540c0: 5f5f676d 61635f68 64725f74 00000030 __gmac_hdr_t...0 + 540d0: 40045f5f 676d6163 5f736f66 74630024 @.__gmac_softc.$ + 540e0: 000030c6 05686472 00000030 7c022300 ..0..hdr...0|.#. + 540f0: 05677261 6e000000 13610223 14057377 .gran....a.#..sw + 54100: 00000029 5f022318 00045f41 5f6f735f ...)_.#..._A_os_ + 54110: 6c696e6b 6167655f 63686563 6b000800 linkage_check... + 54120: 0030ff05 76657273 696f6e00 000001c9 .0..version..... + 54130: 02230005 7461626c 65000000 01c90223 .#..table......# + 54140: 04000700 0030c604 00090000 01c90107 .....0.......... + 54150: 00003106 04000700 00047f04 00175f41 ..1..........._A + 54160: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti + 54170: 6f6e5f74 61626c65 0001b800 00325605 on_table.....2V. + 54180: 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec + 54190: 6b000000 310c0223 00057374 6172745f k...1..#..start_ + 541a0: 62737300 00003113 02230405 6170705f bss...1..#..app_ + 541b0: 73746172 74000000 01c20223 08056d65 start......#..me + 541c0: 6d000000 04bf0223 0c056d69 73630000 m......#..misc.. + 541d0: 0005de02 23200570 72696e74 66000000 ....# .printf... + 541e0: 01f60223 44057561 72740000 00029b02 ...#D.uart...... + 541f0: 234c0567 6d616300 00002f88 02236c05 #L.gmac.../..#l. + 54200: 75736200 0000100d 02237005 636c6f63 usb......#p.cloc + 54210: 6b000000 0b560323 e0010574 696d6572 k....V.#...timer + 54220: 00000007 f6032384 0205696e 74720000 ......#...intr.. + 54230: 000ca603 23980205 616c6c6f 6372616d ....#...allocram + 54240: 00000009 ae0323c4 0205726f 6d700000 ......#...romp.. + 54250: 0008a003 23d00205 7764745f 74696d65 ....#...wdt_time + 54260: 72000000 0e830323 e0020565 65700000 r......#...eep.. + 54270: 000fb103 23fc0205 73747269 6e670000 ....#...string.. + 54280: 00070203 238c0305 7461736b 6c657400 ....#...tasklet. + 54290: 00000aab 0323a403 00045f55 53425f46 .....#...._USB_F + 542a0: 49464f5f 434f4e46 49470010 000032c9 IFO_CONFIG....2. + 542b0: 05676574 5f636f6d 6d616e64 5f627566 .get_command_buf + 542c0: 00000014 b9022300 05726563 765f636f ......#..recv_co + 542d0: 6d6d616e 64000000 14cf0223 04056765 mmand......#..ge + 542e0: 745f6576 656e745f 62756600 000014b9 t_event_buf..... + 542f0: 02230805 73656e64 5f657665 6e745f64 .#..send_event_d + 54300: 6f6e6500 000014cf 02230c00 03555342 one......#...USB + 54310: 5f464946 4f5f434f 4e464947 00000032 _FIFO_CONFIG...2 + 54320: 56070000 32c90400 06010700 0032e504 V...2........2.. + 54330: 00047573 62666966 6f5f6170 69000c00 ..usbfifo_api... + 54340: 00333b05 5f696e69 74000000 32e70223 .3;._init...2..# + 54350: 00055f65 6e61626c 655f6576 656e745f .._enable_event_ + 54360: 69737200 000001c2 02230405 70526573 isr......#..pRes + 54370: 65727665 64000000 047c0223 08000f00 erved....|.#.... + 54380: 0016f302 00003348 10010004 5f485443 ......3H...._HTC + 54390: 5f465241 4d455f48 44520008 000033ba _FRAME_HDR....3. + 543a0: 05456e64 706f696e 74494400 000016f3 .EndpointID..... + 543b0: 02230005 466c6167 73000000 16f30223 .#..Flags......# + 543c0: 01055061 796c6f61 644c656e 0000001d ..PayloadLen.... + 543d0: 1b022302 05436f6e 74726f6c 42797465 ..#..ControlByte + 543e0: 73000000 333b0223 0405486f 73745365 s...3;.#..HostSe + 543f0: 714e756d 0000001d 1b022306 00120200 qNum......#..... + 54400: 0033d305 4d657373 61676549 44000000 .3..MessageID... + 54410: 1d1b0223 00001208 00003436 054d6573 ...#......46.Mes + 54420: 73616765 49440000 001d1b02 23000543 sageID......#..C + 54430: 72656469 74436f75 6e740000 001d1b02 reditCount...... + 54440: 23020543 72656469 7453697a 65000000 #..CreditSize... + 54450: 1d1b0223 04054d61 78456e64 706f696e ...#..MaxEndpoin + 54460: 74730000 0016f302 2306055f 50616431 ts......#.._Pad1 + 54470: 00000016 f3022307 00120a00 0034cd05 ......#......4.. + 54480: 4d657373 61676549 44000000 1d1b0223 MessageID......# + 54490: 00055365 72766963 65494400 00001d1b ..ServiceID..... + 544a0: 02230205 436f6e6e 65637469 6f6e466c .#..ConnectionFl + 544b0: 61677300 00001d1b 02230405 446f776e ags......#..Down + 544c0: 4c696e6b 50697065 49440000 0016f302 LinkPipeID...... + 544d0: 23060555 704c696e 6b506970 65494400 #..UpLinkPipeID. + 544e0: 000016f3 02230705 53657276 6963654d .....#..ServiceM + 544f0: 6574614c 656e6774 68000000 16f30223 etaLength......# + 54500: 08055f50 61643100 000016f3 02230900 .._Pad1......#.. + 54510: 120a0000 3555054d 65737361 67654944 ....5U.MessageID + 54520: 0000001d 1b022300 05536572 76696365 ......#..Service + 54530: 49440000 001d1b02 23020553 74617475 ID......#..Statu + 54540: 73000000 16f30223 0405456e 64706f69 s......#..Endpoi + 54550: 6e744944 00000016 f3022305 054d6178 ntID......#..Max + 54560: 4d736753 697a6500 00001d1b 02230605 MsgSize......#.. + 54570: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 54580: 68000000 16f30223 08055f50 61643100 h......#.._Pad1. + 54590: 000016f3 02230900 12020000 356e054d .....#......5n.M + 545a0: 65737361 67654944 0000001d 1b022300 essageID......#. + 545b0: 00120400 0035aa05 4d657373 61676549 .....5..MessageI + 545c0: 44000000 1d1b0223 00055069 70654944 D......#..PipeID + 545d0: 00000016 f3022302 05437265 64697443 ......#..CreditC + 545e0: 6f756e74 00000016 f3022303 00120400 ount......#..... + 545f0: 0035e105 4d657373 61676549 44000000 .5..MessageID... + 54600: 1d1b0223 00055069 70654944 00000016 ...#..PipeID.... + 54610: f3022302 05537461 74757300 000016f3 ..#..Status..... + 54620: 02230300 12020000 36080552 65636f72 .#......6..Recor + 54630: 64494400 000016f3 02230005 4c656e67 dID......#..Leng + 54640: 74680000 0016f302 23010012 02000036 th......#......6 + 54650: 3205456e 64706f69 6e744944 00000016 2.EndpointID.... + 54660: f3022300 05437265 64697473 00000016 ..#..Credits.... + 54670: f3022301 00120400 00367305 456e6470 ..#......6s.Endp + 54680: 6f696e74 49440000 0016f302 23000543 ointID......#..C + 54690: 72656469 74730000 0016f302 23010554 redits......#..T + 546a0: 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... + 546b0: 1d1b0223 02000f00 0016f304 00003680 ...#..........6. + 546c0: 10030012 06000036 bc055072 6556616c .......6..PreVal + 546d0: 69640000 0016f302 2300054c 6f6f6b41 id......#..LookA + 546e0: 68656164 00000036 73022301 05506f73 head...6s.#..Pos + 546f0: 7456616c 69640000 0016f302 23050003 tValid......#... + 54700: 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... + 54710: 047c0900 0036bc01 07000036 cf040006 .|...6.....6.... + 54720: 01070000 36dc0400 14040000 375a0e50 ....6.......7Z.P + 54730: 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR + 54740: 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI + 54750: 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. + 54760: 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC + 54770: 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID + 54780: 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P + 54790: 4f4f4c5f 49445f4d 4158000a 00034255 OOL_ID_MAX....BU + 547a0: 465f504f 4f4c5f49 44000000 36e50601 F_POOL_ID...6... + 547b0: 07000037 6b040009 000026b8 01070000 ...7k.....&..... + 547c0: 37740400 09000026 b8010700 00378104 7t.....&.....7.. + 547d0: 00060107 0000378e 04000462 75665f70 ......7....buf_p + 547e0: 6f6f6c5f 61706900 1c000038 30055f69 ool_api....80._i + 547f0: 6e697400 000036d5 02230005 5f736875 nit...6..#.._shu + 54800: 74646f77 6e000000 36de0223 04055f63 tdown...6..#.._c + 54810: 72656174 655f706f 6f6c0000 00376d02 reate_pool...7m. + 54820: 2308055f 616c6c6f 635f6275 66000000 #.._alloc_buf... + 54830: 377a0223 0c055f61 6c6c6f63 5f627566 7z.#.._alloc_buf + 54840: 5f616c69 676e0000 00378702 2310055f _align...7..#.._ + 54850: 66726565 5f627566 00000037 90022314 free_buf...7..#. + 54860: 05705265 73657276 65640000 00047c02 .pReserved....|. + 54870: 23180004 5f485443 5f534552 56494345 #..._HTC_SERVICE + 54880: 001c0000 390f0570 4e657874 00000039 ....9..pNext...9 + 54890: 0f022300 0550726f 63657373 52656376 ..#..ProcessRecv + 548a0: 4d736700 000039c4 02230405 50726f63 Msg...9..#..Proc + 548b0: 65737353 656e6442 75666665 72436f6d essSendBufferCom + 548c0: 706c6574 65000000 39cd0223 08055072 plete...9..#..Pr + 548d0: 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 + 548e0: e102230c 05536572 76696365 49440000 ..#..ServiceID.. + 548f0: 00136102 23100553 65727669 6365466c ..a.#..ServiceFl + 54900: 61677300 00001361 02231205 4d617853 ags....a.#..MaxS + 54910: 76634d73 6753697a 65000000 13610223 vcMsgSize....a.# + 54920: 14055472 61696c65 72537063 43686563 ..TrailerSpcChec + 54930: 6b4c696d 69740000 00136102 23160553 kLimit....a.#..S + 54940: 65727669 63654374 78000000 047c0223 erviceCtx....|.# + 54950: 18000700 00383004 00140400 0039ad19 .....80......9.. + 54960: 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. + 54970: ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. + 54980: 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END + 54990: 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN + 549a0: 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. + 549b0: 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END + 549c0: 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN + 549d0: 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. + 549e0: 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... + 549f0: 03485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID + 54a00: 00000039 16060107 000039c2 04000601 ...9......9..... + 54a10: 07000039 cb040007 000001c9 04000900 ...9............ + 54a20: 00134d01 07000039 db040007 00003830 ..M....9......80 + 54a30: 0400045f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. + 54a40: 00003a60 05437265 64697453 697a6500 ..:`.CreditSize. + 54a50: 000001c9 02230005 43726564 69744e75 .....#..CreditNu + 54a60: 6d626572 00000001 c9022304 054f5348 mber......#..OSH + 54a70: 616e646c 65000000 1ab70223 08054849 andle......#..HI + 54a80: 4648616e 646c6500 00002920 02230c05 FHandle...) .#.. + 54a90: 506f6f6c 48616e64 6c650000 0036bc02 PoolHandle...6.. + 54aa0: 23100004 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON + 54ab0: 54455854 00020000 3a9c0565 6e645f70 TEXT....:..end_p + 54ac0: 6f696e74 00000013 4d022300 05687463 oint....M.#..htc + 54ad0: 5f666c61 67730000 00134d02 23010003 _flags....M.#... + 54ae0: 6874635f 68616e64 6c655f74 00000004 htc_handle_t.... + 54af0: 7c034854 435f5345 5455505f 434f4d50 |.HTC_SETUP_COMP + 54b00: 4c455445 5f434200 000001c2 03485443 LETE_CB......HTC + 54b10: 5f434f4e 46494700 000039ef 0700003a _CONFIG...9....: + 54b20: c9040009 00003a9c 01070000 3ae00400 ......:.....:... + 54b30: 06010700 003aed04 00034854 435f5345 .....:....HTC_SE + 54b40: 52564943 45000000 38300700 003af604 RVICE...80...:.. + 54b50: 00060107 00003b0e 04000601 0700003b ......;........; + 54b60: 17040006 01070000 3b200400 09000001 ........; ...... + 54b70: c9010700 003b2904 00046874 635f6170 .....;)...htc_ap + 54b80: 69730034 00003ca6 055f4854 435f496e is.4..<.._HTC_In + 54b90: 69740000 003ae602 2300055f 4854435f it...:..#.._HTC_ + 54ba0: 53687574 646f776e 0000003a ef022304 Shutdown...:..#. + 54bb0: 055f4854 435f5265 67697374 65725365 ._HTC_RegisterSe + 54bc0: 72766963 65000000 3b100223 08055f48 rvice...;..#.._H + 54bd0: 54435f52 65616479 0000003a ef02230c TC_Ready...:..#. + 54be0: 055f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff + 54bf0: 65727300 00003b19 02231005 5f485443 ers...;..#.._HTC + 54c00: 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi + 54c10: 73740000 003b2202 2314055f 4854435f st...;".#.._HTC_ + 54c20: 53656e64 4d736700 00003b19 02231805 SendMsg...;..#.. + 54c30: 5f485443 5f476574 52657365 72766564 _HTC_GetReserved + 54c40: 48656164 726f6f6d 0000003b 2f02231c Headroom...;/.#. + 54c50: 055f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan + 54c60: 646c6572 00000028 cd022320 055f4854 dler...(..# ._HT + 54c70: 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle + 54c80: 72000000 28c40223 24055f48 54435f43 r...(..#$._HTC_C + 54c90: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess + 54ca0: 4d736700 000039c4 02232805 5f485443 Msg...9..#(._HTC + 54cb0: 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce + 54cc0: 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. + 54cd0: 0039cd02 232c0570 52657365 72766564 .9..#,.pReserved + 54ce0: 00000004 7c022330 0004686f 73745f61 ....|.#0..host_a + 54cf0: 70705f61 7265615f 73000400 003cd605 pp_area_s....<.. + 54d00: 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver + 54d10: 00000016 8c022300 00120e00 003d0d05 ......#......=.. + 54d20: 6473744d 61630000 001cd802 23000573 dstMac......#..s + 54d30: 72634d61 63000000 1cd80223 06057479 rcMac......#..ty + 54d40: 70654f72 4c656e00 00001d1b 02230c00 peOrLen......#.. + 54d50: 0f000016 f3030000 3d1a1002 00120800 ........=....... + 54d60: 003d6a05 64736170 00000016 f3022300 .=j.dsap......#. + 54d70: 05737361 70000000 16f30223 0105636e .ssap......#..cn + 54d80: 746c0000 0016f302 2302056f 7267436f tl......#..orgCo + 54d90: 64650000 003d0d02 23030565 74686572 de...=..#..ether + 54da0: 54797065 0000001d 1b022306 00120200 Type......#..... + 54db0: 003d8b05 72737369 0000001c 71022300 .=..rssi....q.#. + 54dc0: 05696e66 6f000000 16f30223 01001204 .info......#.... + 54dd0: 00003db2 05636f6d 6d616e64 49640000 ..=..commandId.. + 54de0: 001d1b02 23000573 65714e6f 0000001d ....#..seqNo.... + 54df0: 1b022302 000f0000 16f30100 003dbf10 ..#..........=.. + 54e00: 00001202 00003de6 056d7367 53697a65 ......=..msgSize + 54e10: 00000016 f3022300 056d7367 44617461 ......#..msgData + 54e20: 0000003d b2022301 00120800 003e2d05 ...=..#......>-. + 54e30: 61646472 6573734c 0000001d 1b022300 addressL......#. + 54e40: 05616464 72657373 48000000 1d1b0223 .addressH......# + 54e50: 02057661 6c75654c 0000001d 1b022304 ..valueL......#. + 54e60: 0576616c 75654800 00001d1b 02230600 .valueH......#.. + 54e70: 03574d49 5f415654 0000003d e60f0000 .WMI_AVT...=.... + 54e80: 3e2d0800 003e4710 0000120c 00003e7e >-...>G.......>~ + 54e90: 05747570 6c654e75 6d4c0000 001d1b02 .tupleNumL...... + 54ea0: 23000574 75706c65 4e756d48 0000001d #..tupleNumH.... + 54eb0: 1b022302 05617674 0000003e 3a022304 ..#..avt...>:.#. + 54ec0: 00120100 003ea005 62656163 6f6e5065 .....>..beaconPe + 54ed0: 6e64696e 67436f75 6e740000 0016f302 ndingCount...... + 54ee0: 23000004 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON + 54ef0: 46494700 1000003f 09054874 6348616e FIG....?..HtcHan + 54f00: 646c6500 00003a9c 02230005 506f6f6c dle...:..#..Pool + 54f10: 48616e64 6c650000 0036bc02 2304054d Handle...6..#..M + 54f20: 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. + 54f30: 0001c902 2308054d 61784576 656e7445 ....#..MaxEventE + 54f40: 76747300 000001c9 02230c00 06010700 vts......#...... + 54f50: 003f0904 0003574d 495f434d 445f4841 .?....WMI_CMD_HA + 54f60: 4e444c45 52000000 3f0b045f 574d495f NDLER...?.._WMI_ + 54f70: 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. + 54f80: 00003f72 0570436d 6448616e 646c6572 ..?r.pCmdHandler + 54f90: 0000003f 12022300 05436d64 49440000 ...?..#..CmdID.. + 54fa0: 00136102 23040546 6c616773 00000013 ..a.#..Flags.... + 54fb0: 61022306 00045f57 4d495f44 49535041 a.#..._WMI_DISPA + 54fc0: 5443485f 5441424c 45001000 003fd305 TCH_TABLE....?.. + 54fd0: 704e6578 74000000 3fd30223 00057043 pNext...?..#..pC + 54fe0: 6f6e7465 78740000 00047c02 2304054e ontext....|.#..N + 54ff0: 756d6265 724f6645 6e747269 65730000 umberOfEntries.. + 55000: 0001c902 23080570 5461626c 65000000 ....#..pTable... + 55010: 3ff20223 0c000700 003f7204 0003574d ?..#.....?r...WM + 55020: 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY + 55030: 0000003f 27070000 3fda0400 0700003f ...?'...?......? + 55040: 72040003 4854435f 4255465f 434f4e54 r...HTC_BUF_CONT + 55050: 45585400 00003a60 0d574d49 5f455654 EXT...:`.WMI_EVT + 55060: 5f434c41 53530004 0000408a 19574d49 _CLASS....@..WMI + 55070: 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. + 55080: ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA + 55090: 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W + 550a0: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 550b0: 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT + 550c0: 5f434c41 53535f4d 41580002 0003574d _CLASS_MAX....WM + 550d0: 495f4556 545f434c 41535300 00004015 I_EVT_CLASS...@. + 550e0: 045f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX + 550f0: 54000c00 0040e805 48746342 75664374 T....@..HtcBufCt + 55100: 78000000 40000223 00054576 656e7443 x...@..#..EventC + 55110: 6c617373 00000040 8a022304 05466c61 lass...@..#..Fla + 55120: 67730000 00136102 23080003 776d695f gs....a.#...wmi_ + 55130: 68616e64 6c655f74 00000004 7c03574d handle_t....|.WM + 55140: 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> + 55150: a0070000 40fa0400 09000040 e8010700 ....@......@.... + 55160: 00411504 0003574d 495f4449 53504154 .A....WMI_DISPAT + 55170: 43485f54 41424c45 0000003f 72070000 CH_TABLE...?r... + 55180: 41220400 06010700 00414104 00090000 A".......AA..... + 55190: 26b80107 0000414a 04000601 07000041 &.....AJ.......A + 551a0: 57040009 000001c9 01070000 41600400 W...........A`.. + 551b0: 06010700 00416d04 00090000 134d0107 .....Am......M.. + 551c0: 00004176 0400045f 776d695f 7376635f ..Av..._wmi_svc_ + 551d0: 61706973 002c0000 42be055f 574d495f apis.,..B.._WMI_ + 551e0: 496e6974 00000041 1b022300 055f574d Init...A..#.._WM + 551f0: 495f5265 67697374 65724469 73706174 I_RegisterDispat + 55200: 63685461 626c6500 00004143 02230405 chTable...AC.#.. + 55210: 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. + 55220: 00004150 02230805 5f574d49 5f53656e ..AP.#.._WMI_Sen + 55230: 64457665 6e740000 00415902 230c055f dEvent...AY.#.._ + 55240: 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv + 55250: 656e7473 436f756e 74000000 41660223 entsCount...Af.# + 55260: 10055f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl + 55270: 65746548 616e646c 65720000 0039cd02 eteHandler...9.. + 55280: 2314055f 574d495f 47657443 6f6e7472 #.._WMI_GetContr + 55290: 6f6c4570 00000041 66022318 055f574d olEp...Af.#.._WM + 552a0: 495f5368 7574646f 776e0000 00416f02 I_Shutdown...Ao. + 552b0: 231c055f 574d495f 52656376 4d657373 #.._WMI_RecvMess + 552c0: 61676548 616e646c 65720000 0039c402 ageHandler...9.. + 552d0: 2320055f 574d495f 53657276 69636543 # ._WMI_ServiceC + 552e0: 6f6e6e65 63740000 00417c02 23240570 onnect...A|.#$.p + 552f0: 52657365 72766564 00000004 7c022328 Reserved....|.#( + 55300: 00047a73 446d6144 65736300 14000043 ..zsDmaDesc....C + 55310: 40056374 726c0000 00011f02 23000573 @.ctrl......#..s + 55320: 74617475 73000000 011f0223 0205746f tatus......#..to + 55330: 74616c4c 656e0000 00011f02 23040564 talLen......#..d + 55340: 61746153 697a6500 0000011f 02230605 ataSize......#.. + 55350: 6c617374 41646472 00000043 40022308 lastAddr...C@.#. + 55360: 05646174 61416464 72000000 022d0223 .dataAddr....-.# + 55370: 0c056e65 78744164 64720000 00434002 ..nextAddr...C@. + 55380: 23100007 000042be 04000700 0042be04 #.....B......B.. + 55390: 00047a73 446d6151 75657565 00080000 ..zsDmaQueue.... + 553a0: 43800568 65616400 00004347 02230005 C..head...CG.#.. + 553b0: 7465726d 696e6174 6f720000 00434702 terminator...CG. + 553c0: 23040004 7a735478 446d6151 75657565 #...zsTxDmaQueue + 553d0: 00100000 43e40568 65616400 00004347 ....C..head...CG + 553e0: 02230005 7465726d 696e6174 6f720000 .#..terminator.. + 553f0: 00434702 23040578 6d697465 645f6275 .CG.#..xmited_bu + 55400: 665f6865 61640000 0014ac02 23080578 f_head......#..x + 55410: 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. + 55420: 0014ac02 230c0006 01070000 43e40400 ....#.......C... + 55430: 07000043 4e040006 01070000 43f40400 ...CN.......C... + 55440: 07000043 80040006 01070000 44040400 ...C........D... + 55450: 06010700 00440d04 00060107 00004416 .....D........D. + 55460: 04000900 0014ac01 07000044 1f040006 ...........D.... + 55470: 01070000 442c0400 09000014 ac010700 ....D,.......... + 55480: 00443504 00060107 00004442 04000900 .D5.......DB.... + 55490: 0001c901 07000044 4b040009 00004347 .......DK.....CG + 554a0: 01070000 44580400 06010700 00446504 ....DX.......De. + 554b0: 0004646d 615f656e 67696e65 5f617069 ..dma_engine_api + 554c0: 00400000 45db055f 696e6974 00000043 .@..E.._init...C + 554d0: e6022300 055f696e 69745f72 785f7175 ..#.._init_rx_qu + 554e0: 65756500 000043f6 02230405 5f696e69 eue...C..#.._ini + 554f0: 745f7478 5f717565 75650000 00440602 t_tx_queue...D.. + 55500: 2308055f 636f6e66 69675f72 785f7175 #.._config_rx_qu + 55510: 65756500 0000440f 02230c05 5f786d69 eue...D..#.._xmi + 55520: 745f6275 66000000 44180223 10055f66 t_buf...D..#.._f + 55530: 6c757368 5f786d69 74000000 43f60223 lush_xmit...C..# + 55540: 14055f72 6561705f 72656376 5f627566 .._reap_recv_buf + 55550: 00000044 25022318 055f7265 7475726e ...D%.#.._return + 55560: 5f726563 765f6275 66000000 442e0223 _recv_buf...D..# + 55570: 1c055f72 6561705f 786d6974 65645f62 .._reap_xmited_b + 55580: 75660000 00443b02 2320055f 73776170 uf...D;.# ._swap + 55590: 5f646174 61000000 44440223 24055f68 _data...DD.#$._h + 555a0: 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets + 555b0: 00000044 51022328 055f6465 73635f64 ...DQ.#(._desc_d + 555c0: 756d7000 000043f6 02232c05 5f676574 ump...C..#,._get + 555d0: 5f706163 6b657400 0000445e 02233005 _packet...D^.#0. + 555e0: 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. + 555f0: 00004467 02233405 5f707574 5f706163 ..Dg.#4._put_pac + 55600: 6b657400 00004467 02233805 70526573 ket...Dg.#8.pRes + 55610: 65727665 64000000 047c0223 3c00035f erved....|.#<.._ + 55620: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect + 55630: 696f6e5f 7461626c 655f7400 0000311a ion_table_t...1. + 55640: 03574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... + 55650: 4183175f 415f6d61 67706965 5f696e64 A.._A_magpie_ind + 55660: 69726563 74696f6e 5f746162 6c650003 irection_table.. + 55670: 4c000047 0905636d 6e6f7300 000045db L..G..cmnos...E. + 55680: 02230005 64626700 00000449 0323b803 .#..dbg....I.#.. + 55690: 05686966 00000029 c30323c0 03056874 .hif...)..#...ht + 556a0: 63000000 3b360323 f8030577 6d695f73 c...;6.#...wmi_s + 556b0: 76635f61 70690000 0045fd03 23ac0405 vc_api...E..#... + 556c0: 75736266 69666f5f 61706900 000032ee usbfifo_api...2. + 556d0: 0323d804 05627566 5f706f6f 6c000000 .#...buf_pool... + 556e0: 37970323 e4040576 62756600 000014d6 7..#...vbuf..... + 556f0: 03238005 05766465 73630000 0013b803 .#...vdesc...... + 55700: 23940505 616c6c6f 6372616d 00000009 #...allocram.... + 55710: ae0323a8 0505646d 615f656e 67696e65 ..#...dma_engine + 55720: 00000044 6e0323b4 0505646d 615f6c69 ...Dn.#...dma_li + 55730: 62000000 2c570323 f4050568 69665f70 b...,W.#...hif_p + 55740: 63690000 002eb703 23a80600 035f415f ci......#...._A_ + 55750: 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 55760: 6f6e5f74 61626c65 5f740000 00460f06 on_table_t...F.. + 55770: 01070000 01120400 06011a01 146d5573 .............mUs + 55780: 6245504d 61700001 01039201 20029000 bEPMap...... ... + 55790: 008e47bc 008e47d8 0000477d 1b011445 ..G...G...G}...E + 557a0: 506e0000 00011201 521b0114 4d415000 Pn......R...MAP. + 557b0: 00000112 01531c72 65670000 00011200 .....S.reg...... + 557c0: 1a01246d 55736246 49464f4d 61700001 ..$mUsbFIFOMap.. + 557d0: 01039201 20029000 008e47d8 008e47f6 .... .....G...G. + 557e0: 000047c7 1b012446 49464f6e 00000001 ..G...$FIFOn.... + 557f0: 1201521b 01244d41 50000000 01120153 ..R..$MAP......S + 55800: 1c726567 00000001 12001a01 366d5573 .reg........6mUs + 55810: 62464946 4f436f6e 66696700 01010392 bFIFOConfig..... + 55820: 01200290 00008e47 f8008e48 16000048 . .....G...H...H + 55830: 141b0136 4649464f 6e000000 01120152 ...6FIFOn......R + 55840: 1b013663 66670000 00011201 531c7265 ..6cfg......S.re + 55850: 67000000 0112001a 01456d55 73624550 g........EmUsbEP + 55860: 4d785074 537a4869 67680001 01039201 MxPtSzHigh...... + 55870: 20029000 008e4818 008e483f 0000486f .....H...H?..Ho + 55880: 1b014545 506e0000 00011201 521b0145 ..EEPn......R..E + 55890: 64697200 00000112 01531b01 4573697a dir......S..Esiz + 558a0: 65000000 01350154 1c726567 00000001 e....5.T.reg.... + 558b0: 12001a01 526d5573 6245504d 78507453 ....RmUsbEPMxPtS + 558c0: 7a4c6f77 00010103 92012002 9000008e zLow...... ..... + 558d0: 4840008e 48640000 48c91b01 5245506e H@..Hd..H...REPn + 558e0: 00000001 1201521b 01526469 72000000 ......R..Rdir... + 558f0: 01120153 1b015273 697a6500 00000135 ...S..Rsize....5 + 55900: 01541c72 65670000 00011200 1a015e6d .T.reg........^m + 55910: 55736245 50696e48 69676842 616e6453 UsbEPinHighBandS + 55920: 65740001 01039201 20029000 008e4864 et...... .....Hd + 55930: 008e48ae 00004931 1b015e45 506e0000 ..H...I1..^EPn.. + 55940: 00011201 521b015e 64697200 00000112 ....R..^dir..... + 55950: 01531b01 5e73697a 65000000 01350154 .S..^size....5.T + 55960: 1c726567 00000001 121c7265 67320000 .reg......reg2.. + 55970: 00011200 1a018f76 55736246 49464f5f .......vUsbFIFO_ + 55980: 45507843 66675f48 53000101 03920120 EPxCfg_HS...... + 55990: 02900000 8e48b000 8e4a4000 0049641c .....H...J@..Id. + 559a0: 69000000 01c9001d 01013476 55736246 i.........4vUsbF + 559b0: 49464f5f 45507843 66675f46 53000101 IFO_EPxCfg_FS... + 559c0: 03920120 02900000 8e4a4000 8e4b6f1c ... .....J@..Ko. + 559d0: 69000000 01c90000 0000004d 26000200 i..........M&... + 559e0: 001f5c04 012f726f 6f742f57 6f726b73 ..\../root/Works + 559f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 55a00: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 55a10: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 55a20: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 55a30: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 55a40: 6f6d2f68 69662f64 6d615f6c 69622f2f om/hif/dma_lib// + 55a50: 646d615f 6c69622e 63002f72 6f6f742f dma_lib.c./root/ + 55a60: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 55a70: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 55a80: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 55a90: 2f646d61 5f6c6962 0078742d 78636320 /dma_lib.xt-xcc + 55aa0: 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a + 55ab0: 6c69676e 5f696e73 74727563 74696f6e lign_instruction + 55ac0: 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP + 55ad0: 543a7370 61636500 01000001 34c7025f T:space.....4.._ + 55ae0: 56444553 43002400 00019103 6e657874 VDESC.$.....next + 55af0: 5f646573 63000000 01910223 00036275 _desc......#..bu + 55b00: 665f6164 64720000 0001b602 23040362 f_addr......#..b + 55b10: 75665f73 697a6500 000001d3 02230803 uf_size......#.. + 55b20: 64617461 5f6f6666 73657400 000001d3 data_offset..... + 55b30: 02230a03 64617461 5f73697a 65000000 .#..data_size... + 55b40: 01d30223 0c03636f 6e74726f 6c000000 ...#..control... + 55b50: 01d30223 0e036877 5f646573 635f6275 ...#..hw_desc_bu + 55b60: 66000000 01e10223 10000400 00010504 f......#........ + 55b70: 0005756e 7369676e 65642063 68617200 ..unsigned char. + 55b80: 07010641 5f55494e 54380000 00019804 ...A_UINT8...... + 55b90: 000001a9 04000573 686f7274 20756e73 .......short uns + 55ba0: 69676e65 6420696e 74000702 06415f55 igned int....A_U + 55bb0: 494e5431 36000000 01bd0700 0001a914 INT16........... + 55bc0: 000001ee 08130004 00000105 04000569 ...............i + 55bd0: 6e740005 04090104 000001fc 04000656 nt.............V + 55be0: 44455343 00000001 05040000 02050400 DESC............ + 55bf0: 0a000002 10010400 00021704 000a0000 ................ + 55c00: 01b60104 00000224 04000901 04000002 .......$........ + 55c10: 3104000b 04000276 64657363 5f617069 1......vdesc_api + 55c20: 00140000 02ac035f 696e6974 00000001 ......._init.... + 55c30: fe022300 035f616c 6c6f635f 76646573 ..#.._alloc_vdes + 55c40: 63000000 021d0223 04035f67 65745f68 c......#.._get_h + 55c50: 775f6465 73630000 00022a02 2308035f w_desc....*.#.._ + 55c60: 73776170 5f766465 73630000 00023302 swap_vdesc....3. + 55c70: 230c0370 52657365 72766564 00000002 #..pReserved.... + 55c80: 3a022310 00025f56 42554600 20000003 :.#..._VBUF. ... + 55c90: 0c036465 73635f6c 69737400 00000210 ..desc_list..... + 55ca0: 02230003 6e657874 5f627566 00000003 .#..next_buf.... + 55cb0: 0c022304 03627566 5f6c656e 67746800 ..#..buf_length. + 55cc0: 000001d3 02230803 72657365 72766564 .....#..reserved + 55cd0: 00000003 1302230a 03637478 00000001 ......#..ctx.... + 55ce0: e102230c 00040000 02ac0400 07000001 ..#............. + 55cf0: a9020000 03200801 00040000 02ac0400 ..... .......... + 55d00: 06564255 46000000 02ac0400 00032704 .VBUF.........'. + 55d10: 000a0000 03310104 00000338 04000a00 .....1.....8.... + 55d20: 00033101 04000003 45040009 01040000 ..1.....E....... + 55d30: 03520400 02766275 665f6170 69001400 .R...vbuf_api... + 55d40: 0003d003 5f696e69 74000000 01fe0223 ...._init......# + 55d50: 00035f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... + 55d60: 033e0223 04035f61 6c6c6f63 5f766275 .>.#.._alloc_vbu + 55d70: 665f7769 74685f73 697a6500 0000034b f_with_size....K + 55d80: 02230803 5f667265 655f7662 75660000 .#.._free_vbuf.. + 55d90: 00035402 230c0370 52657365 72766564 ..T.#..pReserved + 55da0: 00000002 3a022310 00027a73 446d6144 ....:.#...zsDmaD + 55db0: 65736300 14000004 52036374 726c0000 esc.....R.ctrl.. + 55dc0: 0001bd02 23000373 74617475 73000000 ....#..status... + 55dd0: 01bd0223 0203746f 74616c4c 656e0000 ...#..totalLen.. + 55de0: 0001bd02 23040364 61746153 697a6500 ....#..dataSize. + 55df0: 000001bd 02230603 6c617374 41646472 .....#..lastAddr + 55e00: 00000004 52022308 03646174 61416464 ....R.#..dataAdd + 55e10: 72000000 04590223 0c036e65 78744164 r....Y.#..nextAd + 55e20: 64720000 00045202 23100004 000003d0 dr....R.#....... + 55e30: 0400056c 6f6e6720 756e7369 676e6564 ...long unsigned + 55e40: 20696e74 00070404 000003d0 0400027a int...........z + 55e50: 73446d61 51756575 65000800 0004a703 sDmaQueue....... + 55e60: 68656164 00000004 6e022300 03746572 head....n.#..ter + 55e70: 6d696e61 746f7200 0000046e 02230400 minator....n.#.. + 55e80: 027a7354 78446d61 51756575 65001000 .zsTxDmaQueue... + 55e90: 00050b03 68656164 00000004 6e022300 ....head....n.#. + 55ea0: 03746572 6d696e61 746f7200 0000046e .terminator....n + 55eb0: 02230403 786d6974 65645f62 75665f68 .#..xmited_buf_h + 55ec0: 65616400 00000331 02230803 786d6974 ead....1.#..xmit + 55ed0: 65645f62 75665f74 61696c00 00000331 ed_buf_tail....1 + 55ee0: 02230c00 09010400 00050b04 00040000 .#.............. + 55ef0: 04750400 09010400 00051b04 00040000 .u.............. + 55f00: 04a70400 09010400 00052b04 00090104 ..........+..... + 55f10: 00000534 04000901 04000005 3d04000a ...4........=... + 55f20: 00000331 01040000 05460400 09010400 ...1.....F...... + 55f30: 00055304 000a0000 03310104 0000055c ..S......1.....\ + 55f40: 04000901 04000005 6904000a 000001f5 ........i....... + 55f50: 01040000 05720400 0a000004 6e010400 .....r......n... + 55f60: 00057f04 00090104 0000058c 04000264 ...............d + 55f70: 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. + 55f80: 00070203 5f696e69 74000000 050d0223 ...._init......# + 55f90: 00035f69 6e69745f 72785f71 75657565 .._init_rx_queue + 55fa0: 00000005 1d022304 035f696e 69745f74 ......#.._init_t + 55fb0: 785f7175 65756500 0000052d 02230803 x_queue....-.#.. + 55fc0: 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue + 55fd0: 00000005 3602230c 035f786d 69745f62 ....6.#.._xmit_b + 55fe0: 75660000 00053f02 2310035f 666c7573 uf....?.#.._flus + 55ff0: 685f786d 69740000 00051d02 2314035f h_xmit......#.._ + 56000: 72656170 5f726563 765f6275 66000000 reap_recv_buf... + 56010: 054c0223 18035f72 65747572 6e5f7265 .L.#.._return_re + 56020: 63765f62 75660000 00055502 231c035f cv_buf....U.#.._ + 56030: 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. + 56040: 00000562 02232003 5f737761 705f6461 ...b.# ._swap_da + 56050: 74610000 00056b02 2324035f 6861735f ta....k.#$._has_ + 56060: 636f6d70 6c5f7061 636b6574 73000000 compl_packets... + 56070: 05780223 28035f64 6573635f 64756d70 .x.#(._desc_dump + 56080: 00000005 1d02232c 035f6765 745f7061 ......#,._get_pa + 56090: 636b6574 00000005 85022330 035f7265 cket......#0._re + 560a0: 636c6169 6d5f7061 636b6574 00000005 claim_packet.... + 560b0: 8e022334 035f7075 745f7061 636b6574 ..#4._put_packet + 560c0: 00000005 8e022338 03705265 73657276 ......#8.pReserv + 560d0: 65640000 00023a02 233c0009 01040000 ed....:.#<...... + 560e0: 07020400 05636861 72000701 0c000007 .....char....... + 560f0: 0b0c0000 070b0400 00071804 000a0000 ................ + 56100: 01f50104 00000724 04000270 72696e74 .......$...print + 56110: 665f6170 69000800 00076803 5f707269 f_api.....h._pri + 56120: 6e74665f 696e6974 00000007 04022300 ntf_init......#. + 56130: 035f7072 696e7466 00000007 2a022304 ._printf....*.#. + 56140: 00067569 6e743136 5f740000 0001bd06 ..uint16_t...... + 56150: 75696e74 33325f74 00000004 59027561 uint32_t....Y.ua + 56160: 72745f66 69666f00 08000007 cf037374 rt_fifo.......st + 56170: 6172745f 696e6465 78000000 07680223 art_index....h.# + 56180: 0003656e 645f696e 64657800 00000768 ..end_index....h + 56190: 02230203 6f766572 72756e5f 65727200 .#..overrun_err. + 561a0: 00000776 02230400 02756172 745f6170 ...v.#...uart_ap + 561b0: 69002000 00088803 5f756172 745f696e i. ....._uart_in + 561c0: 69740000 0008df02 2300035f 75617274 it......#.._uart + 561d0: 5f636861 725f7075 74000000 08f50223 _char_put......# + 561e0: 04035f75 6172745f 63686172 5f676574 .._uart_char_get + 561f0: 00000009 09022308 035f7561 72745f73 ......#.._uart_s + 56200: 74725f6f 75740000 00091202 230c035f tr_out......#.._ + 56210: 75617274 5f746173 6b000000 07040223 uart_task......# + 56220: 10035f75 6172745f 73746174 75730000 .._uart_status.. + 56230: 0008df02 2314035f 75617274 5f636f6e ....#.._uart_con + 56240: 66696700 0000091b 02231803 5f756172 fig......#.._uar + 56250: 745f6877 696e6974 00000009 2402231c t_hwinit....$.#. + 56260: 00040000 07cf0400 02756172 745f626c .........uart_bl + 56270: 6b001000 0008d903 64656275 675f6d6f k.......debug_mo + 56280: 64650000 00076802 23000362 61756400 de....h.#..baud. + 56290: 00000768 02230203 5f756172 74000000 ...h.#.._uart... + 562a0: 08880223 04035f74 78000000 07840223 ...#.._tx......# + 562b0: 08000a00 00077601 04000008 d9040006 ......v......... + 562c0: 75696e74 385f7400 00000198 09010400 uint8_t......... + 562d0: 0008f304 00040000 08e60400 0a000007 ................ + 562e0: 68010400 00090304 00090104 00000910 h............... + 562f0: 04000901 04000009 19040009 01040000 ................ + 56300: 09220400 04000007 0b04000a 000001f5 .".............. + 56310: 01040000 09320400 0244425f 434f4d4d .....2...DB_COMM + 56320: 414e445f 53545255 4354000c 0000098a AND_STRUCT...... + 56330: 03636d64 5f737472 00000009 2b022300 .cmd_str....+.#. + 56340: 0368656c 705f7374 72000000 092b0223 .help_str....+.# + 56350: 0403636d 645f6675 6e630000 00093802 ..cmd_func....8. + 56360: 23080002 6462675f 61706900 08000009 #...dbg_api..... + 56370: bd035f64 62675f69 6e697400 00000704 .._dbg_init..... + 56380: 02230003 5f646267 5f746173 6b000000 .#.._dbg_task... + 56390: 07040223 04000575 6e736967 6e656420 ...#...unsigned + 563a0: 696e7400 07040a00 00023a01 04000009 int.......:..... + 563b0: cd04000d 0d040000 09db0400 0a000002 ................ + 563c0: 3a010400 0009e304 000a0000 01f50104 :............... + 563d0: 000009f0 0400026d 656d5f61 70690014 .......mem_api.. + 563e0: 00000a5f 035f6d65 6d5f696e 69740000 ..._._mem_init.. + 563f0: 00070402 2300035f 6d656d73 65740000 ....#.._memset.. + 56400: 0009d302 2304035f 6d656d63 70790000 ....#.._memcpy.. + 56410: 0009e902 2308035f 6d656d6d 6f766500 ....#.._memmove. + 56420: 000009e9 02230c03 5f6d656d 636d7000 .....#.._memcmp. + 56430: 000009f6 02231000 0e726567 69737465 .....#...registe + 56440: 725f6475 6d705f73 00000104 00000a5f r_dump_s......._ + 56450: 04000901 0400000a 79040009 01040000 ........y....... + 56460: 0a820400 0a000001 f5010400 000a8b04 ................ + 56470: 000f686f 73746966 5f730004 00000ae7 ..hostif_s...... + 56480: 10484946 5f555342 00001048 49465f50 .HIF_USB...HIF_P + 56490: 43494500 01104849 465f474d 41430002 CIE...HIF_GMAC.. + 564a0: 10484946 5f504349 00031048 49465f4e .HIF_PCI...HIF_N + 564b0: 554d0004 10484946 5f4e4f4e 45000500 UM...HIF_NONE... + 564c0: 06415f48 4f535449 46000000 0a980a00 .A_HOSTIF....... + 564d0: 000ae701 0400000a f504000a 000008e6 ................ + 564e0: 01040000 0b020400 0a000007 68010400 ............h... + 564f0: 000b0f04 00026d69 73635f61 70690024 ......misc_api.$ + 56500: 00000bff 035f7379 7374656d 5f726573 ....._system_res + 56510: 65740000 00070402 2300035f 6d61635f et......#.._mac_ + 56520: 72657365 74000000 07040223 04035f61 reset......#.._a + 56530: 73736661 696c0000 000a7b02 2308035f ssfail....{.#.._ + 56540: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ + 56550: 68616e64 6c657200 00000a7b 02230c03 handler....{.#.. + 56560: 5f726570 6f72745f 6661696c 7572655f _report_failure_ + 56570: 746f5f68 6f737400 00000a84 02231003 to_host......#.. + 56580: 5f746172 6765745f 69645f67 65740000 _target_id_get.. + 56590: 000a9102 2314035f 69735f68 6f73745f ....#.._is_host_ + 565a0: 70726573 656e7400 00000afb 02231803 present......#.. + 565b0: 5f6b6268 69740000 000b0802 231c035f _kbhit......#.._ + 565c0: 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. + 565d0: 00000b15 02232000 0a000009 2b010400 .....# .....+... + 565e0: 000bff04 000a0000 092b0104 00000c0c .........+...... + 565f0: 04000a00 0001f501 0400000c 1904000a ................ + 56600: 000001f5 01040000 0c260400 0a000001 .........&...... + 56610: f5010400 000c3304 00027374 72696e67 ......3...string + 56620: 5f617069 00180000 0cb9035f 73747269 _api......._stri + 56630: 6e675f69 6e697400 00000704 02230003 ng_init......#.. + 56640: 5f737472 63707900 00000c05 02230403 _strcpy......#.. + 56650: 5f737472 6e637079 0000000c 12022308 _strncpy......#. + 56660: 035f7374 726c656e 0000000c 1f02230c ._strlen......#. + 56670: 035f7374 72636d70 0000000c 2c022310 ._strcmp....,.#. + 56680: 035f7374 726e636d 70000000 0c390223 ._strncmp....9.# + 56690: 14000700 0009bd14 00000cc6 08040006 ................ + 566a0: 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. + 566b0: 000cb906 415f7469 6d65725f 74000000 ....A_timer_t... + 566c0: 0cc60400 000cda04 00090104 00000cf0 ................ + 566d0: 04000901 0400000c f9040006 415f4841 ............A_HA + 566e0: 4e444c45 00000009 bd090106 415f5449 NDLE........A_TI + 566f0: 4d45525f 46554e43 0000000d 10040000 MER_FUNC........ + 56700: 0d120400 09010400 000d2b04 00027469 ..........+...ti + 56710: 6d65725f 61706900 1400000d aa035f74 mer_api......._t + 56720: 696d6572 5f696e69 74000000 07040223 imer_init......# + 56730: 00035f74 696d6572 5f61726d 0000000c .._timer_arm.... + 56740: f2022304 035f7469 6d65725f 64697361 ..#.._timer_disa + 56750: 726d0000 000cfb02 2308035f 74696d65 rm......#.._time + 56760: 725f7365 74666e00 00000d2d 02230c03 r_setfn....-.#.. + 56770: 5f74696d 65725f72 756e0000 00070402 _timer_run...... + 56780: 23100006 424f4f4c 45414e00 00000768 #...BOOLEAN....h + 56790: 0a00000d aa010400 000db704 000a0000 ................ + 567a0: 0daa0104 00000dc4 04000a00 000daa01 ................ + 567b0: 0400000d d1040002 726f6d70 5f617069 ........romp_api + 567c0: 00100000 0e43035f 726f6d70 5f696e69 .....C._romp_ini + 567d0: 74000000 07040223 00035f72 6f6d705f t......#.._romp_ + 567e0: 646f776e 6c6f6164 0000000d bd022304 download......#. + 567f0: 035f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. + 56800: 000dca02 2308035f 726f6d70 5f646563 ....#.._romp_dec + 56810: 6f646500 00000dd7 02230c00 02726f6d ode......#...rom + 56820: 5f706174 63685f73 74001000 000e9f03 _patch_st....... + 56830: 63726331 36000000 07680223 00036c65 crc16....h.#..le + 56840: 6e000000 07680223 02036c64 5f616464 n....h.#..ld_add + 56850: 72000000 07760223 04036675 6e5f6164 r....v.#..fun_ad + 56860: 64720000 00077602 23080370 66756e00 dr....v.#..pfun. + 56870: 000008fc 02230c00 02656570 5f726564 .....#...eep_red + 56880: 69725f61 64647200 0400000e d1036f66 ir_addr.......of + 56890: 66736574 00000007 68022300 0373697a fset....h.#..siz + 568a0: 65000000 07680223 02000641 5f55494e e....h.#...A_UIN + 568b0: 54333200 000009bd 0a000002 3a010400 T32.........:... + 568c0: 000edf04 0002616c 6c6f6372 616d5f61 ......allocram_a + 568d0: 7069000c 00000f50 03636d6e 6f735f61 pi.....P.cmnos_a + 568e0: 6c6c6f63 72616d5f 696e6974 0000000e llocram_init.... + 568f0: e5022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc + 56900: 72616d00 00000ee5 02230403 636d6e6f ram......#..cmno + 56910: 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug + 56920: 00000007 04022308 00090104 00000f50 ......#........P + 56930: 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN + 56940: 43000000 0f52025f 7461736b 6c657400 C....R._tasklet. + 56950: 1000000f b1036675 6e630000 000f5902 ......func....Y. + 56960: 23000361 72670000 00023a02 23040373 #..arg....:.#..s + 56970: 74617465 00000001 f5022308 036e6578 tate......#..nex + 56980: 74000000 0fb10223 0c000400 000f6d04 t......#......m. + 56990: 00040000 0f6d0400 06415f74 61736b6c .....m...A_taskl + 569a0: 65745f74 0000000f 6d040000 0fbf0400 et_t....m....... + 569b0: 09010400 000fd704 00090104 00000fe0 ................ + 569c0: 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. + 569d0: 00001075 035f7461 736b6c65 745f696e ...u._tasklet_in + 569e0: 69740000 00070402 2300035f 7461736b it......#.._task + 569f0: 6c65745f 696e6974 5f746173 6b000000 let_init_task... + 56a00: 0fd90223 04035f74 61736b6c 65745f64 ...#.._tasklet_d + 56a10: 69736162 6c650000 000fe202 2308035f isable......#.._ + 56a20: 7461736b 6c65745f 73636865 64756c65 tasklet_schedule + 56a30: 0000000f e202230c 035f7461 736b6c65 ......#.._taskle + 56a40: 745f7275 6e000000 07040223 10000901 t_run......#.... + 56a50: 04000010 7504000a 00000ed1 01040000 ....u........... + 56a60: 107e0400 02636c6f 636b5f61 70690024 .~...clock_api.$ + 56a70: 00001164 035f636c 6f636b5f 696e6974 ...d._clock_init + 56a80: 00000010 77022300 035f636c 6f636b72 ....w.#.._clockr + 56a90: 6567735f 696e6974 00000007 04022304 egs_init......#. + 56aa0: 035f7561 72745f66 72657175 656e6379 ._uart_frequency + 56ab0: 00000010 84022308 035f6465 6c61795f ......#.._delay_ + 56ac0: 75730000 0001fe02 230c035f 776c616e us......#.._wlan + 56ad0: 5f62616e 645f7365 74000000 01fe0223 _band_set......# + 56ae0: 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ + 56af0: 67657400 00001084 02231403 5f6d696c get......#.._mil + 56b00: 6c697365 636f6e64 73000000 10840223 liseconds......# + 56b10: 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change + 56b20: 00000007 0402231c 035f636c 6f636b5f ......#.._clock_ + 56b30: 7469636b 00000007 04022320 000a0000 tick......# .... + 56b40: 07760104 00001164 04000641 5f6f6c64 .v.....d...A_old + 56b50: 5f696e74 725f7400 00000776 0a000011 _intr_t....v.... + 56b60: 71010400 00118304 00090104 00001190 q............... + 56b70: 04000901 04000011 9904000a 00000776 ...............v + 56b80: 01040000 11a20400 06415f69 73725f74 .........A_isr_t + 56b90: 00000011 a8090104 000011bc 04000a00 ................ + 56ba0: 0009bd01 04000011 c5040009 01040000 ................ + 56bb0: 11d20400 02696e74 725f6170 69002c00 .....intr_api.,. + 56bc0: 0012f403 5f696e74 725f696e 69740000 ...._intr_init.. + 56bd0: 00070402 2300035f 696e7472 5f696e76 ....#.._intr_inv + 56be0: 6f6b655f 69737200 0000116a 02230403 oke_isr....j.#.. + 56bf0: 5f696e74 725f6469 7361626c 65000000 _intr_disable... + 56c00: 11890223 08035f69 6e74725f 72657374 ...#.._intr_rest + 56c10: 6f726500 00001192 02230c03 5f696e74 ore......#.._int + 56c20: 725f6d61 736b5f69 6e756d00 0000119b r_mask_inum..... + 56c30: 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask + 56c40: 5f696e75 6d000000 119b0223 14035f69 _inum......#.._i + 56c50: 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. + 56c60: 0011be02 2318035f 6765745f 696e7472 ....#.._get_intr + 56c70: 656e6162 6c650000 0011cb02 231c035f enable......#.._ + 56c80: 7365745f 696e7472 656e6162 6c650000 set_intrenable.. + 56c90: 0011d402 2320035f 6765745f 696e7472 ....# ._get_intr + 56ca0: 70656e64 696e6700 000011cb 02232403 pending......#$. + 56cb0: 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int + 56cc0: 726c766c 00000007 04022328 00110400 rlvl......#(.... + 56cd0: 00131a03 74696d65 6f757400 00000776 ....timeout....v + 56ce0: 02230003 61637469 6f6e0000 00077602 .#..action....v. + 56cf0: 23000012 08000013 3503636d 64000000 #.......5.cmd... + 56d00: 07760223 00130000 12f40223 04000654 .v.#.......#...T + 56d10: 5f574454 5f434d44 00000013 1a090104 _WDT_CMD........ + 56d20: 00001344 04001404 0000139a 10454e55 ...D.........ENU + 56d30: 4d5f5744 545f424f 4f540001 10454e55 M_WDT_BOOT...ENU + 56d40: 4d5f434f 4c445f42 4f4f5400 0210454e M_COLD_BOOT...EN + 56d50: 554d5f53 5553505f 424f4f54 00031045 UM_SUSP_BOOT...E + 56d60: 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT + 56d70: 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. + 56d80: 0000134d 0a000013 9a010400 0013ab04 ...M............ + 56d90: 00027764 745f6170 69001c00 00144f03 ..wdt_api.....O. + 56da0: 5f776474 5f696e69 74000000 07040223 _wdt_init......# + 56db0: 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... + 56dc0: 07040223 04035f77 64745f64 69736162 ...#.._wdt_disab + 56dd0: 6c650000 00070402 2308035f 7764745f le......#.._wdt_ + 56de0: 73657400 00001346 02230c03 5f776474 set....F.#.._wdt + 56df0: 5f746173 6b000000 07040223 10035f77 _task......#.._w + 56e00: 64745f72 65736574 00000007 04022314 dt_reset......#. + 56e10: 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. + 56e20: 000013b1 02231800 14040000 14b61052 .....#.........R + 56e30: 45545f53 55434345 53530000 10524554 ET_SUCCESS...RET + 56e40: 5f4e4f54 5f494e49 54000110 5245545f _NOT_INIT...RET_ + 56e50: 4e4f545f 45584953 54000210 5245545f NOT_EXIST...RET_ + 56e60: 4545505f 434f5252 55505400 03105245 EEP_CORRUPT...RE + 56e70: 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. + 56e80: 10524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... + 56e90: 545f4545 505f5245 54000000 144f0400 T_EEP_RET....O.. + 56ea0: 00076804 000a0000 14b60104 000014cc ..h............. + 56eb0: 04000a00 0014b601 04000014 d9040002 ................ + 56ec0: 6565705f 61706900 10000015 42035f65 eep_api.....B._e + 56ed0: 65705f69 6e697400 00000704 02230003 ep_init......#.. + 56ee0: 5f656570 5f726561 64000000 14d20223 _eep_read......# + 56ef0: 04035f65 65705f77 72697465 00000014 .._eep_write.... + 56f00: d2022308 035f6565 705f6973 5f657869 ..#.._eep_is_exi + 56f10: 73740000 0014df02 230c0002 7573625f st......#...usb_ + 56f20: 61706900 70000017 ef035f75 73625f69 api.p....._usb_i + 56f30: 6e697400 00000704 02230003 5f757362 nit......#.._usb + 56f40: 5f726f6d 5f746173 6b000000 07040223 _rom_task......# + 56f50: 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. + 56f60: 00070402 2308035f 7573625f 696e6974 ....#.._usb_init + 56f70: 5f706879 00000007 0402230c 035f7573 _phy......#.._us + 56f80: 625f6570 305f7365 74757000 00000704 b_ep0_setup..... + 56f90: 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. + 56fa0: 00000704 02231403 5f757362 5f657030 .....#.._usb_ep0 + 56fb0: 5f727800 00000704 02231803 5f757362 _rx......#.._usb + 56fc0: 5f676574 5f696e74 65726661 63650000 _get_interface.. + 56fd0: 000dca02 231c035f 7573625f 7365745f ....#.._usb_set_ + 56fe0: 696e7465 72666163 65000000 0dca0223 interface......# + 56ff0: 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi + 57000: 67757261 74696f6e 0000000d ca022324 guration......#$ + 57010: 035f7573 625f7365 745f636f 6e666967 ._usb_set_config + 57020: 75726174 696f6e00 00000dca 02232803 uration......#(. + 57030: 5f757362 5f737461 6e646172 645f636d _usb_standard_cm + 57040: 64000000 0dca0223 2c035f75 73625f76 d......#,._usb_v + 57050: 656e646f 725f636d 64000000 07040223 endor_cmd......# + 57060: 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off + 57070: 00000007 04022334 035f7573 625f7265 ......#4._usb_re + 57080: 7365745f 6669666f 00000007 04022338 set_fifo......#8 + 57090: 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... + 570a0: 07040223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ + 570b0: 626f6f74 00000007 04022340 035f7573 boot......#@._us + 570c0: 625f636c 725f6665 61747572 65000000 b_clr_feature... + 570d0: 0dca0223 44035f75 73625f73 65745f66 ...#D._usb_set_f + 570e0: 65617475 72650000 000dca02 2348035f eature......#H._ + 570f0: 7573625f 7365745f 61646472 65737300 usb_set_address. + 57100: 00000dca 02234c03 5f757362 5f676574 .....#L._usb_get + 57110: 5f646573 63726970 746f7200 00000dca _descriptor..... + 57120: 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta + 57130: 74757300 00000dca 02235403 5f757362 tus......#T._usb + 57140: 5f736574 75705f64 65736300 00000704 _setup_desc..... + 57150: 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out + 57160: 00000007 0402235c 035f7573 625f7374 ......#\._usb_st + 57170: 61747573 5f696e00 00000704 02236003 atus_in......#`. + 57180: 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data + 57190: 00000007 04022364 035f7573 625f6570 ......#d._usb_ep + 571a0: 305f7278 5f646174 61000000 07040223 0_rx_data......# + 571b0: 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. + 571c0: 00000704 02236c00 025f5f61 64665f64 .....#l..__adf_d + 571d0: 65766963 65000400 00181103 64756d6d evice.......dumm + 571e0: 79000000 01f50223 00000400 000ed104 y......#........ + 571f0: 00025f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 57200: 0c000018 58036275 66000000 03310223 ....X.buf....1.# + 57210: 00036473 5f616464 72000000 18110223 ..ds_addr......# + 57220: 04036473 5f6c656e 00000001 d3022308 ..ds_len......#. + 57230: 00120c00 00189203 5f5f7661 5f73746b ........__va_stk + 57240: 00000009 2b022300 035f5f76 615f7265 ....+.#..__va_re + 57250: 67000000 092b0223 04035f5f 76615f6e g....+.#..__va_n + 57260: 64780000 0001f502 23080006 5f5f6164 dx......#...__ad + 57270: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 57280: 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ + 57290: 61646472 5f740000 00189206 5f5f6164 addr_t......__ad + 572a0: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 572b0: 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ + 572c0: 73697a65 5f740000 0018c202 5f5f646d size_t......__dm + 572d0: 615f7365 67730008 0000191e 03706164 a_segs.......pad + 572e0: 64720000 0018ab02 2300036c 656e0000 dr......#..len.. + 572f0: 0018db02 23040006 5f5f615f 75696e74 ....#...__a_uint + 57300: 33325f74 0000000e d106615f 75696e74 32_t......a_uint + 57310: 33325f74 00000019 1e070000 18f20800 32_t............ + 57320: 00194d08 00000261 64665f6f 735f646d ..M....adf_os_dm + 57330: 616d6170 5f696e66 6f000c00 00198603 amap_info....... + 57340: 6e736567 73000000 19300223 0003646d nsegs....0.#..dm + 57350: 615f7365 67730000 00194002 23040006 a_segs....@.#... + 57360: 5f5f615f 75696e74 385f7400 000001a9 __a_uint8_t..... + 57370: 06615f75 696e7438 5f740000 00198604 .a_uint8_t...... + 57380: 00001997 0400025f 5f73675f 73656773 .......__sg_segs + 57390: 00080000 19d80376 61646472 00000019 .......vaddr.... + 573a0: a6022300 036c656e 00000019 30022304 ..#..len....0.#. + 573b0: 00070000 19ad2000 0019e508 03000261 ...... ........a + 573c0: 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 573d0: 1a18036e 73656773 00000019 30022300 ...nsegs....0.#. + 573e0: 0373675f 73656773 00000019 d8022304 .sg_segs......#. + 573f0: 00121000 001a6103 76656e64 6f720000 ......a.vendor.. + 57400: 00193002 23000364 65766963 65000000 ..0.#..device... + 57410: 19300223 04037375 6276656e 646f7200 .0.#..subvendor. + 57420: 00001930 02230803 73756264 65766963 ...0.#..subdevic + 57430: 65000000 19300223 0c00056c 6f6e6720 e....0.#...long + 57440: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 57450: 74000708 06415f55 494e5436 34000000 t....A_UINT64... + 57460: 1a61065f 5f615f75 696e7436 345f7400 .a.__a_uint64_t. + 57470: 00001a7b 06615f75 696e7436 345f7400 ...{.a_uint64_t. + 57480: 00001a89 14040000 1ae71041 44465f4f ...........ADF_O + 57490: 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 574a0: 4d454d00 00104144 465f4f53 5f524553 MEM...ADF_OS_RES + 574b0: 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... + 574c0: 06616466 5f6f735f 7265736f 75726365 .adf_os_resource + 574d0: 5f747970 655f7400 00001aab 12180000 _type_t......... + 574e0: 1b310373 74617274 0000001a 9b022300 .1.start......#. + 574f0: 03656e64 0000001a 9b022308 03747970 .end......#..typ + 57500: 65000000 1ae70223 10000661 64665f6f e......#...adf_o + 57510: 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. + 57520: 001a1804 00001b31 04001104 00001b70 .......1.......p + 57530: 03706369 0000001b 4a022300 03726177 .pci....J.#..raw + 57540: 00000002 3a022300 00111000 001b8f03 ....:.#......... + 57550: 70636900 00001b31 02230003 72617700 pci....1.#..raw. + 57560: 0000023a 02230000 06616466 5f647276 ...:.#...adf_drv + 57570: 5f68616e 646c655f 74000000 023a0661 _handle_t....:.a + 57580: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 57590: 0000001b 03040000 1ba50400 06616466 .............adf + 575a0: 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ + 575b0: 74000000 1b700400 001bc304 00040000 t....p.......... + 575c0: 17ef0400 065f5f61 64665f6f 735f6465 .....__adf_os_de + 575d0: 76696365 5f740000 001be406 6164665f vice_t......adf_ + 575e0: 6f735f64 65766963 655f7400 00001beb os_device_t..... + 575f0: 0a00001b 8f010400 001c1704 00090104 ................ + 57600: 00001c24 04000661 64665f6f 735f706d ...$...adf_os_pm + 57610: 5f740000 00023a09 01040000 1c3e0400 _t....:......>.. + 57620: 14040000 1c7e1041 44465f4f 535f4255 .....~.ADF_OS_BU + 57630: 535f5459 50455f50 43490001 10414446 S_TYPE_PCI...ADF + 57640: 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN + 57650: 45524943 00020006 6164665f 6f735f62 ERIC....adf_os_b + 57660: 75735f74 7970655f 74000000 1c470661 us_type_t....G.a + 57670: 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da + 57680: 74615f74 0000001b 51040000 01980400 ta_t....Q....... + 57690: 025f6164 665f6472 765f696e 666f0020 ._adf_drv_info. + 576a0: 00001d5b 03647276 5f617474 61636800 ...[.drv_attach. + 576b0: 00001c1d 02230003 6472765f 64657461 .....#..drv_deta + 576c0: 63680000 001c2602 23040364 72765f73 ch....&.#..drv_s + 576d0: 75737065 6e640000 001c4002 23080364 uspend....@.#..d + 576e0: 72765f72 6573756d 65000000 1c260223 rv_resume....&.# + 576f0: 0c036275 735f7479 70650000 001c7e02 ..bus_type....~. + 57700: 23100362 75735f64 61746100 00001c95 #..bus_data..... + 57710: 02231403 6d6f645f 6e616d65 0000001c .#..mod_name.... + 57720: b0022318 0369666e 616d6500 00001cb0 ..#..ifname..... + 57730: 02231c00 06616466 5f6f735f 68616e64 .#...adf_os_hand + 57740: 6c655f74 00000002 3a040000 19860400 le_t....:....... + 57750: 09010901 065f5f61 64665f6f 735f7369 .....__adf_os_si + 57760: 7a655f74 00000009 bd140400 001daa10 ze_t............ + 57770: 415f4641 4c534500 0010415f 54525545 A_FALSE...A_TRUE + 57780: 00010006 615f626f 6f6c5f74 0000001d ....a_bool_t.... + 57790: 90040000 18180400 065f5f61 64665f6f .........__adf_o + 577a0: 735f646d 615f6d61 705f7400 00001db8 s_dma_map_t..... + 577b0: 09010f61 64665f6f 735f6361 6368655f ...adf_os_cache_ + 577c0: 73796e63 00040000 1e421041 44465f53 sync.....B.ADF_S + 577d0: 594e435f 50524552 45414400 00104144 YNC_PREREAD...AD + 577e0: 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. + 577f0: 02104144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR + 57800: 45414400 01104144 465f5359 4e435f50 EAD...ADF_SYNC_P + 57810: 4f535457 52495445 00030006 6164665f OSTWRITE....adf_ + 57820: 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. + 57830: 00001dd9 09010661 64665f6f 735f7369 .......adf_os_si + 57840: 7a655f74 0000001d 7b0a0000 1e5d0106 ze_t....{....].. + 57850: 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 57860: 0000001d bf040000 1e760400 0a000002 .........v...... + 57870: 3a010400 001dbf04 000a0000 023a0109 :............:.. + 57880: 010a0000 18ab0109 01057368 6f727420 ..........short + 57890: 696e7400 05020641 5f494e54 31360000 int....A_INT16.. + 578a0: 001eb006 5f5f615f 696e7431 365f7400 ....__a_int16_t. + 578b0: 00001ebd 06615f69 6e743136 5f740000 .....a_int16_t.. + 578c0: 001eca05 7369676e 65642063 68617200 ....signed char. + 578d0: 05010641 5f494e54 38000000 1eea065f ...A_INT8......_ + 578e0: 5f615f69 6e74385f 74000000 1ef90661 _a_int8_t......a + 578f0: 5f696e74 385f7400 00001f05 120c0000 _int8_t......... + 57900: 1f7c0373 7570706f 72746564 00000019 .|.supported.... + 57910: 30022300 03616476 65727469 7a656400 0.#..advertized. + 57920: 00001930 02230403 73706565 64000000 ...0.#..speed... + 57930: 1edb0223 08036475 706c6578 0000001f ...#..duplex.... + 57940: 1502230a 03617574 6f6e6567 00000019 ..#..autoneg.... + 57950: 9702230b 00070000 19970600 001f8908 ..#............. + 57960: 05000261 64665f6e 65745f65 74686164 ...adf_net_ethad + 57970: 64720006 00001fad 03616464 72000000 dr.......addr... + 57980: 1f7c0223 0000065f 5f615f75 696e7431 .|.#...__a_uint1 + 57990: 365f7400 000001d3 06615f75 696e7431 6_t......a_uint1 + 579a0: 365f7400 00001fad 120e0000 20110365 6_t......... ..e + 579b0: 74686572 5f64686f 73740000 001f7c02 ther_dhost....|. + 579c0: 23000365 74686572 5f73686f 73740000 #..ether_shost.. + 579d0: 001f7c02 23060365 74686572 5f747970 ..|.#..ether_typ + 579e0: 65000000 1fbf0223 0c001214 000020d2 e......#...... . + 579f0: 1569705f 76657273 696f6e00 00001997 .ip_version..... + 57a00: 01000402 23001569 705f686c 00000019 ....#..ip_hl.... + 57a10: 97010404 02230003 69705f74 6f730000 .....#..ip_tos.. + 57a20: 00199702 23010369 705f6c65 6e000000 ....#..ip_len... + 57a30: 1fbf0223 02036970 5f696400 00001fbf ...#..ip_id..... + 57a40: 02230403 69705f66 7261675f 6f666600 .#..ip_frag_off. + 57a50: 00001fbf 02230603 69705f74 746c0000 .....#..ip_ttl.. + 57a60: 00199702 23080369 705f7072 6f746f00 ....#..ip_proto. + 57a70: 00001997 02230903 69705f63 6865636b .....#..ip_check + 57a80: 0000001f bf02230a 0369705f 73616464 ......#..ip_sadd + 57a90: 72000000 19300223 0c036970 5f646164 r....0.#..ip_dad + 57aa0: 64720000 00193002 23100002 6164665f dr....0.#...adf_ + 57ab0: 6e65745f 766c616e 68647200 04000021 net_vlanhdr....! + 57ac0: 24037470 69640000 001fbf02 23001570 $.tpid......#..p + 57ad0: 72696f00 00001997 01000302 23021563 rio.........#..c + 57ae0: 66690000 00199701 03010223 02157669 fi.........#..vi + 57af0: 64000000 1fbf0204 0c022302 00026164 d.........#...ad + 57b00: 665f6e65 745f7669 64000200 00215515 f_net_vid....!U. + 57b10: 72657300 00001997 01000402 23001576 res.........#..v + 57b20: 616c0000 001fbf02 040c0223 0000120c al.........#.... + 57b30: 00002191 0372785f 62756673 697a6500 ..!..rx_bufsize. + 57b40: 00001930 02230003 72785f6e 64657363 ...0.#..rx_ndesc + 57b50: 00000019 30022304 0374785f 6e646573 ....0.#..tx_ndes + 57b60: 63000000 19300223 08001208 000021b7 c....0.#......!. + 57b70: 03706f6c 6c656400 00001daa 02230003 .polled......#.. + 57b80: 706f6c6c 5f777400 00001930 02230400 poll_wt....0.#.. + 57b90: 07000019 97400000 21c4083f 00124600 .....@..!..?..F. + 57ba0: 0021ec03 69665f6e 616d6500 000021b7 .!..if_name...!. + 57bb0: 02230003 6465765f 61646472 0000001f .#..dev_addr.... + 57bc0: 7c022340 00140400 00222310 4144465f |.#@....."#.ADF_ + 57bd0: 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI + 57be0: 54000010 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M + 57bf0: 41534b5f 36344249 54000100 06616466 ASK_64BIT....adf + 57c00: 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. + 57c10: 0021ec02 6164665f 646d615f 696e666f .!..adf_dma_info + 57c20: 00080000 22700364 6d615f6d 61736b00 ...."p.dma_mask. + 57c30: 00002223 02230003 73675f6e 73656773 .."#.#..sg_nsegs + 57c40: 00000019 30022304 00140400 0022c610 ....0.#......".. + 57c50: 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO + 57c60: 4e450000 10414446 5f4e4554 5f434b53 NE...ADF_NET_CKS + 57c70: 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. + 57c80: 01104144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 57c90: 5443505f 5544505f 49507636 00020006 TCP_UDP_IPv6.... + 57ca0: 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty + 57cb0: 70655f74 00000022 70120800 00230903 pe_t..."p....#.. + 57cc0: 74785f63 6b73756d 00000022 c6022300 tx_cksum..."..#. + 57cd0: 0372785f 636b7375 6d000000 22c60223 .rx_cksum..."..# + 57ce0: 04000661 64665f6e 65745f63 6b73756d ...adf_net_cksum + 57cf0: 5f696e66 6f5f7400 000022e0 14040000 _info_t..."..... + 57d00: 23621041 44465f4e 45545f54 534f5f4e #b.ADF_NET_TSO_N + 57d10: 4f4e4500 00104144 465f4e45 545f5453 ONE...ADF_NET_TS + 57d20: 4f5f4950 56340001 10414446 5f4e4554 O_IPV4...ADF_NET + 57d30: 5f54534f 5f414c4c 00020006 6164665f _TSO_ALL....adf_ + 57d40: 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. + 57d50: 00232312 10000023 b603636b 73756d5f .##....#..cksum_ + 57d60: 63617000 00002309 02230003 74736f00 cap...#..#..tso. + 57d70: 00002362 02230803 766c616e 5f737570 ..#b.#..vlan_sup + 57d80: 706f7274 65640000 00199702 230c0012 ported......#... + 57d90: 20000024 4f037478 5f706163 6b657473 ..$O.tx_packets + 57da0: 00000019 30022300 0372785f 7061636b ....0.#..rx_pack + 57db0: 65747300 00001930 02230403 74785f62 ets....0.#..tx_b + 57dc0: 79746573 00000019 30022308 0372785f ytes....0.#..rx_ + 57dd0: 62797465 73000000 19300223 0c037478 bytes....0.#..tx + 57de0: 5f64726f 70706564 00000019 30022310 _dropped....0.#. + 57df0: 0372785f 64726f70 70656400 00001930 .rx_dropped....0 + 57e00: 02231403 72785f65 72726f72 73000000 .#..rx_errors... + 57e10: 19300223 18037478 5f657272 6f727300 .0.#..tx_errors. + 57e20: 00001930 02231c00 06616466 5f6e6574 ...0.#...adf_net + 57e30: 5f657468 61646472 5f740000 001f8916 _ethaddr_t...... + 57e40: 0000244f 03000000 2474087f 00176164 ..$O....$t....ad + 57e50: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 57e60: 00030400 0024ab03 6e656c65 6d000000 .....$..nelem... + 57e70: 19300223 00036d63 61737400 00002466 .0.#..mcast...$f + 57e80: 02230400 06616466 5f6e6574 5f636d64 .#...adf_net_cmd + 57e90: 5f6c696e 6b5f696e 666f5f74 0000001f _link_info_t.... + 57ea0: 23066164 665f6e65 745f636d 645f706f #.adf_net_cmd_po + 57eb0: 6c6c5f69 6e666f5f 74000000 21910661 ll_info_t...!..a + 57ec0: 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum + 57ed0: 5f696e66 6f5f7400 00002309 06616466 _info_t...#..adf + 57ee0: 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in + 57ef0: 666f5f74 00000021 55066164 665f6e65 fo_t...!U.adf_ne + 57f00: 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t + 57f10: 00000022 3a066164 665f6e65 745f636d ...":.adf_net_cm + 57f20: 645f7669 645f7400 00001fbf 06616466 d_vid_t......adf + 57f30: 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload + 57f40: 5f636170 5f740000 00237a06 6164665f _cap_t...#z.adf_ + 57f50: 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. + 57f60: 000023b6 06616466 5f6e6574 5f636d64 ..#..adf_net_cmd + 57f70: 5f6d6361 6464725f 74000000 24740f61 _mcaddr_t...$t.a + 57f80: 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast + 57f90: 5f636170 00040000 25ed1041 44465f4e _cap....%..ADF_N + 57fa0: 45545f4d 43415354 5f535550 00001041 ET_MCAST_SUP...A + 57fb0: 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT + 57fc0: 53555000 01000661 64665f6e 65745f63 SUP....adf_net_c + 57fd0: 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. + 57fe0: 0025a518 03040000 26bf036c 696e6b5f .%......&..link_ + 57ff0: 696e666f 00000024 ab022300 03706f6c info...$..#..pol + 58000: 6c5f696e 666f0000 0024c802 23000363 l_info...$..#..c + 58010: 6b73756d 5f696e66 6f000000 24e50223 ksum_info...$..# + 58020: 00037269 6e675f69 6e666f00 00002503 ..ring_info...%. + 58030: 02230003 646d615f 696e666f 00000025 .#..dma_info...% + 58040: 20022300 03766964 00000025 3c022300 .#..vid...%<.#. + 58050: 036f6666 6c6f6164 5f636170 00000025 .offload_cap...% + 58060: 53022300 03737461 74730000 00257202 S.#..stats...%r. + 58070: 2300036d 63617374 5f696e66 6f000000 #..mcast_info... + 58080: 258b0223 00036d63 6173745f 63617000 %..#..mcast_cap. + 58090: 000025ed 02230000 14040000 27161041 ..%..#......'..A + 580a0: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 580b0: 5f4e4f4e 45000010 4144465f 4e425546 _NONE...ADF_NBUF + 580c0: 5f52585f 434b5355 4d5f4857 00011041 _RX_CKSUM_HW...A + 580d0: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 580e0: 5f554e4e 45434553 53415259 00020006 _UNNECESSARY.... + 580f0: 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu + 58100: 6d5f7479 70655f74 00000026 bf120800 m_type_t...&.... + 58110: 00275603 72657375 6c740000 00271602 .'V.result...'.. + 58120: 23000376 616c0000 00193002 23040012 #..val....0.#... + 58130: 08000027 86037479 70650000 00236202 ...'..type...#b. + 58140: 2300036d 73730000 001fbf02 23040368 #..mss......#..h + 58150: 64725f6f 66660000 00199702 23060002 dr_off......#... + 58160: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 58170: 000c0000 27c50368 65616400 00000331 ....'..head....1 + 58180: 02230003 7461696c 00000003 31022304 .#..tail....1.#. + 58190: 03716c65 6e000000 19300223 0800065f .qlen....0.#..._ + 581a0: 5f616466 5f6e6275 665f7400 00000331 _adf_nbuf_t....1 + 581b0: 04000019 a6040004 00001930 04000901 ...........0.... + 581c0: 0a000002 10010a00 00193001 0a000019 ..........0..... + 581d0: a6010a00 0019a601 04000001 e1040006 ................ + 581e0: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 581f0: 5f740000 00278606 5f5f6164 665f6e62 _t...'..__adf_nb + 58200: 75665f71 75657565 5f740000 00280604 uf_queue_t...(.. + 58210: 0000281e 04000a00 0027c501 0a000027 ..(......'.....' + 58220: c5011404 0000293e 10415f53 54415455 ......)>.A_STATU + 58230: 535f4f4b 00001041 5f535441 5455535f S_OK...A_STATUS_ + 58240: 4641494c 45440001 10415f53 54415455 FAILED...A_STATU + 58250: 535f454e 4f454e54 00021041 5f535441 S_ENOENT...A_STA + 58260: 5455535f 454e4f4d 454d0003 10415f53 TUS_ENOMEM...A_S + 58270: 54415455 535f4549 4e56414c 00041041 TATUS_EINVAL...A + 58280: 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR + 58290: 45535300 0510415f 53544154 55535f45 ESS...A_STATUS_E + 582a0: 4e4f5453 55505000 0610415f 53544154 NOTSUPP...A_STAT + 582b0: 55535f45 42555359 00071041 5f535441 US_EBUSY...A_STA + 582c0: 5455535f 45324249 47000810 415f5354 TUS_E2BIG...A_ST + 582d0: 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA + 582e0: 494c0009 10415f53 54415455 535f454e IL...A_STATUS_EN + 582f0: 58494f00 0a10415f 53544154 55535f45 XIO...A_STATUS_E + 58300: 4641554c 54000b10 415f5354 41545553 FAULT...A_STATUS + 58310: 5f45494f 000c0006 615f7374 61747573 _EIO....a_status + 58320: 5f740000 0028490a 0000293e 010a0000 _t...(I...)>.... + 58330: 01f50109 01066164 665f6e62 75665f74 ......adf_nbuf_t + 58340: 00000027 c5140400 0029a310 4144465f ...'.....)..ADF_ + 58350: 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE + 58360: 00001041 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR + 58370: 4f4d5f44 45564943 45000100 06616466 OM_DEVICE....adf + 58380: 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... + 58390: 296c0a00 00293e01 09010661 64665f6f )l...)>....adf_o + 583a0: 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. + 583b0: 0000194d 04000029 c1040009 0109010a ...M...)........ + 583c0: 0000295c 010a0000 27c50109 0109010a ..)\....'....... + 583d0: 0000295c 010a0000 27c5010a 0000295c ..)\....'.....)\ + 583e0: 010a0000 27c5010a 0000295c 01090109 ....'.....)\.... + 583f0: 010a0000 1930010a 000019a6 01090109 .....0.......... + 58400: 010a0000 1e5d010a 00001daa 010a0000 .....].......... + 58410: 1daa0106 6164665f 6f735f73 676c6973 ....adf_os_sglis + 58420: 745f7400 000019e5 0400002a 3a040009 t_t........*:... + 58430: 01090109 010a0000 19a60106 6164665f ............adf_ + 58440: 6e627566 5f717565 75655f74 00000028 nbuf_queue_t...( + 58450: 1e040000 2a620400 09010400 00280604 ....*b.......(.. + 58460: 00090109 0109010a 0000295c 010a0000 ..........)\.... + 58470: 27c5010a 00001930 010a0000 1930010a '......0.....0.. + 58480: 00001daa 010a0000 1daa010a 000022c6 ..............". + 58490: 010a0000 19300106 6164665f 6e627566 .....0..adf_nbuf + 584a0: 5f72785f 636b7375 6d5f7400 00002734 _rx_cksum_t...'4 + 584b0: 0400002a be040009 01090106 6164665f ...*........adf_ + 584c0: 6e627566 5f74736f 5f740000 00275604 nbuf_tso_t...'V. + 584d0: 00002ae2 04000901 09010661 64665f6e ..*........adf_n + 584e0: 65745f68 616e646c 655f7400 0000023a et_handle_t....: + 584f0: 06616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 58500: 5f740000 0020d204 00002b17 04000a00 _t... ....+..... + 58510: 00293e01 0a000029 3e010901 0901025f .)>....)>......_ + 58520: 4849465f 434f4e46 49470004 00002b66 HIF_CONFIG....+f + 58530: 0364756d 6d790000 0001f502 23000009 .dummy......#... + 58540: 01040000 2b660400 09010400 002b6f04 ....+f.......+o. + 58550: 00025f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. + 58560: 0c00002b c4037365 6e645f62 75665f64 ...+..send_buf_d + 58570: 6f6e6500 00002b68 02230003 72656376 one...+h.#..recv + 58580: 5f627566 0000002b 71022304 03636f6e _buf...+q.#..con + 58590: 74657874 00000002 3a022308 00066869 text....:.#...hi + 585a0: 665f6861 6e646c65 5f740000 00023a06 f_handle_t....:. + 585b0: 4849465f 434f4e46 49470000 002b4504 HIF_CONFIG...+E. + 585c0: 00002bd6 04000a00 002bc401 0400002b ..+......+.....+ + 585d0: ed040009 01040000 2bfa0400 06484946 ........+....HIF + 585e0: 5f43414c 4c424143 4b000000 2b780400 _CALLBACK...+x.. + 585f0: 002c0304 00090104 00002c1c 04000a00 .,........,..... + 58600: 0001f501 0400002c 25040009 01040000 .......,%....... + 58610: 2c320400 0a000001 f5010400 002c3b04 ,2...........,;. + 58620: 00090104 00002c48 04000a00 0001f501 ......,H........ + 58630: 0400002c 51040009 01040000 2c5e0400 ...,Q.......,^.. + 58640: 02686966 5f617069 00380000 2db7035f .hif_api.8..-.._ + 58650: 696e6974 0000002b f3022300 035f7368 init...+..#.._sh + 58660: 7574646f 776e0000 002bfc02 2304035f utdown...+..#.._ + 58670: 72656769 73746572 5f63616c 6c626163 register_callbac + 58680: 6b000000 2c1e0223 08035f67 65745f74 k...,..#.._get_t + 58690: 6f74616c 5f637265 6469745f 636f756e otal_credit_coun + 586a0: 74000000 2c2b0223 0c035f73 74617274 t...,+.#.._start + 586b0: 0000002b fc022310 035f636f 6e666967 ...+..#.._config + 586c0: 5f706970 65000000 2c340223 14035f73 _pipe...,4.#.._s + 586d0: 656e645f 62756666 65720000 002c4102 end_buffer...,A. + 586e0: 2318035f 72657475 726e5f72 6563765f #.._return_recv_ + 586f0: 62756600 00002c4a 02231c03 5f69735f buf...,J.#.._is_ + 58700: 70697065 5f737570 706f7274 65640000 pipe_supported.. + 58710: 002c5702 2320035f 6765745f 6d61785f .,W.# ._get_max_ + 58720: 6d73675f 6c656e00 00002c57 02232403 msg_len...,W.#$. + 58730: 5f676574 5f726573 65727665 645f6865 _get_reserved_he + 58740: 6164726f 6f6d0000 002c2b02 2328035f adroom...,+.#(._ + 58750: 6973725f 68616e64 6c657200 00002bfc isr_handler...+. + 58760: 02232c03 5f676574 5f646566 61756c74 .#,._get_default + 58770: 5f706970 65000000 2c600223 30037052 _pipe...,`.#0.pR + 58780: 65736572 76656400 0000023a 02233400 eserved....:.#4. + 58790: 0f646d61 5f656e67 696e6500 0400002e .dma_engine..... + 587a0: 4010444d 415f454e 47494e45 5f525830 @.DMA_ENGINE_RX0 + 587b0: 00001044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 587c0: 31000110 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R + 587d0: 58320002 10444d41 5f454e47 494e455f X2...DMA_ENGINE_ + 587e0: 52583300 0310444d 415f454e 47494e45 RX3...DMA_ENGINE + 587f0: 5f545830 00041044 4d415f45 4e47494e _TX0...DMA_ENGIN + 58800: 455f5458 31000510 444d415f 454e4749 E_TX1...DMA_ENGI + 58810: 4e455f4d 41580006 0006646d 615f656e NE_MAX....dma_en + 58820: 67696e65 5f740000 002db70f 646d615f gine_t...-..dma_ + 58830: 69667479 70650004 00002e8d 10444d41 iftype.......DMA + 58840: 5f49465f 474d4143 00001044 4d415f49 _IF_GMAC...DMA_I + 58850: 465f5043 49000110 444d415f 49465f50 F_PCI...DMA_IF_P + 58860: 43494500 02000664 6d615f69 66747970 CIE....dma_iftyp + 58870: 655f7400 00002e52 0a000001 d3010400 e_t....R........ + 58880: 002e9f04 00090104 00002eac 04000901 ................ + 58890: 0400002e b504000a 00000ed1 01040000 ................ + 588a0: 2ebe0400 0a000001 d3010400 002ecb04 ................ + 588b0: 000a0000 01d30104 00002ed8 04000a00 ................ + 588c0: 00033101 0400002e e5040009 01040000 ..1............. + 588d0: 2ef20400 02646d61 5f6c6962 5f617069 .....dma_lib_api + 588e0: 00340000 2ff90374 785f696e 69740000 .4../..tx_init.. + 588f0: 002ea502 23000374 785f7374 61727400 ....#..tx_start. + 58900: 00002eae 02230403 72785f69 6e697400 .....#..rx_init. + 58910: 00002ea5 02230803 72785f63 6f6e6669 .....#..rx_confi + 58920: 67000000 2eb70223 0c037278 5f737461 g......#..rx_sta + 58930: 72740000 002eae02 23100369 6e74725f rt......#..intr_ + 58940: 73746174 75730000 002ec402 23140368 status......#..h + 58950: 6172645f 786d6974 0000002e d1022318 ard_xmit......#. + 58960: 03666c75 73685f78 6d697400 00002eae .flush_xmit..... + 58970: 02231c03 786d6974 5f646f6e 65000000 .#..xmit_done... + 58980: 2ede0223 20037265 61705f78 6d697474 ...# .reap_xmitt + 58990: 65640000 002eeb02 23240372 6561705f ed......#$.reap_ + 589a0: 72656376 0000002e eb022328 03726574 recv......#(.ret + 589b0: 75726e5f 72656376 0000002e f402232c urn_recv......#, + 589c0: 03726563 765f706b 74000000 2ede0223 .recv_pkt......# + 589d0: 3000025f 5f706369 5f736f66 7463000c 0..__pci_softc.. + 589e0: 00003017 03737700 00002c03 02230000 ..0..sw...,..#.. + 589f0: 065f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. + 58a00: 002ff904 00003017 04000901 04000030 ./....0........0 + 58a10: 3104000a 000001a9 01040000 303a0400 1...........0:.. + 58a20: 0f686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx + 58a30: 00040000 309a1048 49465f50 43495f50 ....0..HIF_PCI_P + 58a40: 4950455f 54583000 00104849 465f5043 IPE_TX0...HIF_PC + 58a50: 495f5049 50455f54 58310001 10484946 I_PIPE_TX1...HIF + 58a60: 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX + 58a70: 00020006 6869665f 7063695f 70697065 ....hif_pci_pipe + 58a80: 5f74785f 74000000 30470a00 002e4001 _tx_t...0G....@. + 58a90: 04000030 b104000f 6869665f 7063695f ...0....hif_pci_ + 58aa0: 70697065 5f727800 04000031 37104849 pipe_rx....17.HI + 58ab0: 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. + 58ac0: 10484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 58ad0: 31000110 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 58ae0: 5f525832 00021048 49465f50 43495f50 _RX2...HIF_PCI_P + 58af0: 4950455f 52583300 03104849 465f5043 IPE_RX3...HIF_PC + 58b00: 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... + 58b10: 06686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 58b20: 5f740000 0030be0a 00002e40 01040000 _t...0.....@.... + 58b30: 314e0400 02686966 5f706369 5f617069 1N...hif_pci_api + 58b40: 00240000 322c0370 63695f62 6f6f745f .$..2,.pci_boot_ + 58b50: 696e6974 00000007 04022300 03706369 init......#..pci + 58b60: 5f696e69 74000000 2bf30223 04037063 _init...+..#..pc + 58b70: 695f7265 73657400 00000704 02230803 i_reset......#.. + 58b80: 7063695f 656e6162 6c650000 00070402 pci_enable...... + 58b90: 230c0370 63695f72 6561705f 786d6974 #..pci_reap_xmit + 58ba0: 74656400 00003033 02231003 7063695f ted...03.#..pci_ + 58bb0: 72656170 5f726563 76000000 30330223 reap_recv...03.# + 58bc0: 14037063 695f6765 745f7069 70650000 ..pci_get_pipe.. + 58bd0: 00304002 23180370 63695f67 65745f74 .0@.#..pci_get_t + 58be0: 785f656e 67000000 30b70223 1c037063 x_eng...0..#..pc + 58bf0: 695f6765 745f7278 5f656e67 00000031 i_get_rx_eng...1 + 58c00: 54022320 0002676d 61635f61 70690004 T.# ..gmac_api.. + 58c10: 00003253 03676d61 635f626f 6f745f69 ..2S.gmac_boot_i + 58c20: 6e697400 00000704 02230000 07000001 nit......#...... + 58c30: 98060000 32600805 00025f5f 65746868 ....2`....__ethh + 58c40: 6472000e 00003296 03647374 00000032 dr....2..dst...2 + 58c50: 53022300 03737263 00000032 53022306 S.#..src...2S.#. + 58c60: 03657479 70650000 0001d302 230c0002 .etype......#... + 58c70: 5f5f6174 68686472 00040000 32e41572 __athhdr....2..r + 58c80: 65730000 0001a901 00020223 00157072 es.........#..pr + 58c90: 6f746f00 000001a9 01020602 23000372 oto.........#..r + 58ca0: 65735f6c 6f000000 01a90223 01037265 es_lo......#..re + 58cb0: 735f6869 00000001 d3022302 00025f5f s_hi......#...__ + 58cc0: 676d6163 5f686472 00140000 33200365 gmac_hdr....3 .e + 58cd0: 74680000 00326002 23000361 74680000 th...2`.#..ath.. + 58ce0: 00329602 230e0361 6c69676e 5f706164 .2..#..align_pad + 58cf0: 00000001 d3022312 00065f5f 676d6163 ......#...__gmac + 58d00: 5f686472 5f740000 0032e402 5f5f676d _hdr_t...2..__gm + 58d10: 61635f73 6f667463 00240000 336a0368 ac_softc.$..3j.h + 58d20: 64720000 00332002 23000367 72616e00 dr...3 .#..gran. + 58d30: 000001d3 02231403 73770000 002c0302 .....#..sw...,.. + 58d40: 2318000e 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag + 58d50: 655f6368 65636b00 00010400 00336a04 e_check......3j. + 58d60: 000a0000 01f50104 00003388 04000400 ..........3..... + 58d70: 0009bd04 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 58d80: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 58d90: 0001b800 0034d803 68616c5f 6c696e6b .....4..hal_link + 58da0: 6167655f 63686563 6b000000 338e0223 age_check...3..# + 58db0: 00037374 6172745f 62737300 00003395 ..start_bss...3. + 58dc0: 02230403 6170705f 73746172 74000000 .#..app_start... + 58dd0: 07040223 08036d65 6d000000 09fd0223 ...#..mem......# + 58de0: 0c036d69 73630000 000b1c02 23200370 ..misc......# .p + 58df0: 72696e74 66000000 07310223 44037561 rintf....1.#D.ua + 58e00: 72740000 0007cf02 234c0367 6d616300 rt......#L.gmac. + 58e10: 0000322c 02236c03 75736200 00001542 ..2,.#l.usb....B + 58e20: 02237003 636c6f63 6b000000 108b0323 .#p.clock......# + 58e30: e0010374 696d6572 0000000d 34032384 ...timer....4.#. + 58e40: 0203696e 74720000 0011db03 23980203 ..intr......#... + 58e50: 616c6c6f 6372616d 0000000e ec0323c4 allocram......#. + 58e60: 0203726f 6d700000 000dde03 23d00203 ..romp......#... + 58e70: 7764745f 74696d65 72000000 13b80323 wdt_timer......# + 58e80: e0020365 65700000 0014e603 23fc0203 ...eep......#... + 58e90: 73747269 6e670000 000c4003 238c0303 string....@.#... + 58ea0: 7461736b 6c657400 00000fe9 0323a403 tasklet......#.. + 58eb0: 00025f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 58ec0: 49470010 0000354b 03676574 5f636f6d IG....5K.get_com + 58ed0: 6d616e64 5f627566 00000003 3e022300 mand_buf....>.#. + 58ee0: 03726563 765f636f 6d6d616e 64000000 .recv_command... + 58ef0: 03540223 04036765 745f6576 656e745f .T.#..get_event_ + 58f00: 62756600 0000033e 02230803 73656e64 buf....>.#..send + 58f10: 5f657665 6e745f64 6f6e6500 00000354 _event_done....T + 58f20: 02230c00 06555342 5f464946 4f5f434f .#...USB_FIFO_CO + 58f30: 4e464947 00000034 d8040000 354b0400 NFIG...4....5K.. + 58f40: 09010400 00356704 00027573 62666966 .....5g...usbfif + 58f50: 6f5f6170 69000c00 0035bd03 5f696e69 o_api....5.._ini + 58f60: 74000000 35690223 00035f65 6e61626c t...5i.#.._enabl + 58f70: 655f6576 656e745f 69737200 00000704 e_event_isr..... + 58f80: 02230403 70526573 65727665 64000000 .#..pReserved... + 58f90: 023a0223 08000700 00199702 000035ca .:.#..........5. + 58fa0: 08010002 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 58fb0: 44520008 0000363c 03456e64 706f696e DR....6<.Endpoin + 58fc0: 74494400 00001997 02230003 466c6167 tID......#..Flag + 58fd0: 73000000 19970223 01035061 796c6f61 s......#..Payloa + 58fe0: 644c656e 0000001f bf022302 03436f6e dLen......#..Con + 58ff0: 74726f6c 42797465 73000000 35bd0223 trolBytes...5..# + 59000: 0403486f 73745365 714e756d 0000001f ..HostSeqNum.... + 59010: bf022306 00120200 00365503 4d657373 ..#......6U.Mess + 59020: 61676549 44000000 1fbf0223 00001208 ageID......#.... + 59030: 000036b8 034d6573 73616765 49440000 ..6..MessageID.. + 59040: 001fbf02 23000343 72656469 74436f75 ....#..CreditCou + 59050: 6e740000 001fbf02 23020343 72656469 nt......#..Credi + 59060: 7453697a 65000000 1fbf0223 04034d61 tSize......#..Ma + 59070: 78456e64 706f696e 74730000 00199702 xEndpoints...... + 59080: 2306035f 50616431 00000019 97022307 #.._Pad1......#. + 59090: 00120a00 00374f03 4d657373 61676549 .....7O.MessageI + 590a0: 44000000 1fbf0223 00035365 72766963 D......#..Servic + 590b0: 65494400 00001fbf 02230203 436f6e6e eID......#..Conn + 590c0: 65637469 6f6e466c 61677300 00001fbf ectionFlags..... + 590d0: 02230403 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 590e0: 49440000 00199702 23060355 704c696e ID......#..UpLin + 590f0: 6b506970 65494400 00001997 02230703 kPipeID......#.. + 59100: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 59110: 68000000 19970223 08035f50 61643100 h......#.._Pad1. + 59120: 00001997 02230900 120a0000 37d7034d .....#......7..M + 59130: 65737361 67654944 0000001f bf022300 essageID......#. + 59140: 03536572 76696365 49440000 001fbf02 .ServiceID...... + 59150: 23020353 74617475 73000000 19970223 #..Status......# + 59160: 0403456e 64706f69 6e744944 00000019 ..EndpointID.... + 59170: 97022305 034d6178 4d736753 697a6500 ..#..MaxMsgSize. + 59180: 00001fbf 02230603 53657276 6963654d .....#..ServiceM + 59190: 6574614c 656e6774 68000000 19970223 etaLength......# + 591a0: 08035f50 61643100 00001997 02230900 .._Pad1......#.. + 591b0: 12020000 37f0034d 65737361 67654944 ....7..MessageID + 591c0: 0000001f bf022300 00120400 00382c03 ......#......8,. + 591d0: 4d657373 61676549 44000000 1fbf0223 MessageID......# + 591e0: 00035069 70654944 00000019 97022302 ..PipeID......#. + 591f0: 03437265 64697443 6f756e74 00000019 .CreditCount.... + 59200: 97022303 00120400 00386303 4d657373 ..#......8c.Mess + 59210: 61676549 44000000 1fbf0223 00035069 ageID......#..Pi + 59220: 70654944 00000019 97022302 03537461 peID......#..Sta + 59230: 74757300 00001997 02230300 12020000 tus......#...... + 59240: 388a0352 65636f72 64494400 00001997 8..RecordID..... + 59250: 02230003 4c656e67 74680000 00199702 .#..Length...... + 59260: 23010012 02000038 b403456e 64706f69 #......8..Endpoi + 59270: 6e744944 00000019 97022300 03437265 ntID......#..Cre + 59280: 64697473 00000019 97022301 00120400 dits......#..... + 59290: 0038f503 456e6470 6f696e74 49440000 .8..EndpointID.. + 592a0: 00199702 23000343 72656469 74730000 ....#..Credits.. + 592b0: 00199702 23010354 67744372 65646974 ....#..TgtCredit + 592c0: 5365714e 6f000000 1fbf0223 02000700 SeqNo......#.... + 592d0: 00199704 00003902 08030012 06000039 ......9........9 + 592e0: 3e035072 6556616c 69640000 00199702 >.PreValid...... + 592f0: 2300034c 6f6f6b41 68656164 00000038 #..LookAhead...8 + 59300: f5022301 03506f73 7456616c 69640000 ..#..PostValid.. + 59310: 00199702 23050006 706f6f6c 5f68616e ....#...pool_han + 59320: 646c655f 74000000 023a0a00 00393e01 dle_t....:...9>. + 59330: 04000039 51040009 01040000 395e0400 ...9Q.......9^.. + 59340: 14040000 39dc1050 4f4f4c5f 49445f48 ....9..POOL_ID_H + 59350: 54435f43 4f4e5452 4f4c0000 10504f4f TC_CONTROL...POO + 59360: 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 59370: 5f524550 4c590001 10504f4f 4c5f4944 _REPLY...POOL_ID + 59380: 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 59390: 10504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 593a0: 5f425546 00031050 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 593b0: 4158000a 00064255 465f504f 4f4c5f49 AX....BUF_POOL_I + 593c0: 44000000 39670901 04000039 ed04000a D...9g.....9.... + 593d0: 0000295c 01040000 39f60400 0a000029 ..)\....9......) + 593e0: 5c010400 003a0304 00090104 00003a10 \....:........:. + 593f0: 04000262 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 59400: 1c00003a b2035f69 6e697400 00003957 ...:.._init...9W + 59410: 02230003 5f736875 74646f77 6e000000 .#.._shutdown... + 59420: 39600223 04035f63 72656174 655f706f 9`.#.._create_po + 59430: 6f6c0000 0039ef02 2308035f 616c6c6f ol...9..#.._allo + 59440: 635f6275 66000000 39fc0223 0c035f61 c_buf...9..#.._a + 59450: 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 59460: 003a0902 2310035f 66726565 5f627566 .:..#.._free_buf + 59470: 0000003a 12022314 03705265 73657276 ...:..#..pReserv + 59480: 65640000 00023a02 23180002 5f485443 ed....:.#..._HTC + 59490: 5f534552 56494345 001c0000 3b910370 _SERVICE....;..p + 594a0: 4e657874 0000003b 91022300 0350726f Next...;..#..Pro + 594b0: 63657373 52656376 4d736700 00003c46 cessRecvMsg....#..._HTC + 596c0: 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 596d0: 3d1e0365 6e645f70 6f696e74 00000001 =..end_point.... + 596e0: a9022300 03687463 5f666c61 67730000 ..#..htc_flags.. + 596f0: 0001a902 23010006 6874635f 68616e64 ....#...htc_hand + 59700: 6c655f74 00000002 3a064854 435f5345 le_t....:.HTC_SE + 59710: 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 59720: 00000704 06485443 5f434f4e 46494700 .....HTC_CONFIG. + 59730: 00003c71 0400003d 4b04000a 00003d1e ...#..MaxCmdRep + 59b40: 6c794576 74730000 0001f502 2308034d lyEvts......#..M + 59b50: 61784576 656e7445 76747300 000001f5 axEventEvts..... + 59b60: 02230c00 09010400 00418b04 0006574d .#.......A....WM + 59b70: 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 59b80: 418d025f 574d495f 44495350 41544348 A.._WMI_DISPATCH + 59b90: 5f454e54 52590008 000041f4 0370436d _ENTRY....A..pCm + 59ba0: 6448616e 646c6572 00000041 94022300 dHandler...A..#. + 59bb0: 03436d64 49440000 0001d302 23040346 .CmdID......#..F + 59bc0: 6c616773 00000001 d3022306 00025f57 lags......#..._W + 59bd0: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 59be0: 45001000 00425503 704e6578 74000000 E....BU.pNext... + 59bf0: 42550223 00037043 6f6e7465 78740000 BU.#..pContext.. + 59c00: 00023a02 2304034e 756d6265 724f6645 ..:.#..NumberOfE + 59c10: 6e747269 65730000 0001f502 23080370 ntries......#..p + 59c20: 5461626c 65000000 42740223 0c000400 Table...Bt.#.... + 59c30: 0041f404 0006574d 495f4449 53504154 .A....WMI_DISPAT + 59c40: 43485f45 4e545259 00000041 a9040000 CH_ENTRY...A.... + 59c50: 425c0400 04000041 f4040006 4854435f B\.....A....HTC_ + 59c60: 4255465f 434f4e54 45585400 00003ce2 BUF_CONTEXT...<. + 59c70: 0f574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 59c80: 0000430c 19574d49 5f455654 5f434c41 ..C..WMI_EVT_CLA + 59c90: 53535f4e 4f4e4500 ffffffff 10574d49 SS_NONE......WMI + 59ca0: 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 59cb0: 56454e54 00001057 4d495f45 56545f43 VENT...WMI_EVT_C + 59cc0: 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 59cd0: 10574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 59ce0: 41580002 0006574d 495f4556 545f434c AX....WMI_EVT_CL + 59cf0: 41535300 00004297 025f574d 495f4255 ASS...B.._WMI_BU + 59d00: 465f434f 4e544558 54000c00 00436a03 F_CONTEXT....Cj. + 59d10: 48746342 75664374 78000000 42820223 HtcBufCtx...B..# + 59d20: 00034576 656e7443 6c617373 00000043 ..EventClass...C + 59d30: 0c022304 03466c61 67730000 0001d302 ..#..Flags...... + 59d40: 23080006 776d695f 68616e64 6c655f74 #...wmi_handle_t + 59d50: 00000002 3a06574d 495f5356 435f434f ....:.WMI_SVC_CO + 59d60: 4e464947 00000041 22040000 437c0400 NFIG...A"...C|.. + 59d70: 0a000043 6a010400 00439704 0006574d ...Cj....C....WM + 59d80: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 59d90: 00000041 f4040000 43a40400 09010400 ...A....C....... + 59da0: 0043c304 000a0000 295c0104 000043cc .C......)\....C. + 59db0: 04000901 04000043 d904000a 000001f5 .......C........ + 59dc0: 01040000 43e20400 09010400 0043ef04 ....C........C.. + 59dd0: 000a0000 01a90104 000043f8 0400025f ..........C...._ + 59de0: 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 59df0: 4540035f 574d495f 496e6974 00000043 E@._WMI_Init...C + 59e00: 9d022300 035f574d 495f5265 67697374 ..#.._WMI_Regist + 59e10: 65724469 73706174 63685461 626c6500 erDispatchTable. + 59e20: 000043c5 02230403 5f574d49 5f416c6c ..C..#.._WMI_All + 59e30: 6f634576 656e7400 000043d2 02230803 ocEvent...C..#.. + 59e40: 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 59e50: 0043db02 230c035f 574d495f 47657450 .C..#.._WMI_GetP + 59e60: 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 59e70: 74000000 43e80223 10035f57 4d495f53 t...C..#.._WMI_S + 59e80: 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 59e90: 65720000 003c4f02 2314035f 574d495f er....#.._enable + 5d970: 5f657665 6e745f69 73720000 0003c402 _event_isr...... + 5d980: 23040370 52657365 72766564 00000002 #..pReserved.... + 5d990: 2c022308 00070000 166c0200 00329f08 ,.#......l...2.. + 5d9a0: 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 5d9b0: 52000800 00331103 456e6470 6f696e74 R....3..Endpoint + 5d9c0: 49440000 00166c02 23000346 6c616773 ID....l.#..Flags + 5d9d0: 00000016 6c022301 03506179 6c6f6164 ....l.#..Payload + 5d9e0: 4c656e00 00001c94 02230203 436f6e74 Len......#..Cont + 5d9f0: 726f6c42 79746573 00000032 92022304 rolBytes...2..#. + 5da00: 03486f73 74536571 4e756d00 00001c94 .HostSeqNum..... + 5da10: 02230600 12020000 332a034d 65737361 .#......3*.Messa + 5da20: 67654944 0000001c 94022300 00120800 geID......#..... + 5da30: 00338d03 4d657373 61676549 44000000 .3..MessageID... + 5da40: 1c940223 00034372 65646974 436f756e ...#..CreditCoun + 5da50: 74000000 1c940223 02034372 65646974 t......#..Credit + 5da60: 53697a65 0000001c 94022304 034d6178 Size......#..Max + 5da70: 456e6470 6f696e74 73000000 166c0223 Endpoints....l.# + 5da80: 06035f50 61643100 0000166c 02230700 .._Pad1....l.#.. + 5da90: 120a0000 3424034d 65737361 67654944 ....4$.MessageID + 5daa0: 0000001c 94022300 03536572 76696365 ......#..Service + 5dab0: 49440000 001c9402 23020343 6f6e6e65 ID......#..Conne + 5dac0: 6374696f 6e466c61 67730000 001c9402 ctionFlags...... + 5dad0: 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 5dae0: 44000000 166c0223 06035570 4c696e6b D....l.#..UpLink + 5daf0: 50697065 49440000 00166c02 23070353 PipeID....l.#..S + 5db00: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 5db10: 00000016 6c022308 035f5061 64310000 ....l.#.._Pad1.. + 5db20: 00166c02 23090012 0a000034 ac034d65 ..l.#......4..Me + 5db30: 73736167 65494400 00001c94 02230003 ssageID......#.. + 5db40: 53657276 69636549 44000000 1c940223 ServiceID......# + 5db50: 02035374 61747573 00000016 6c022304 ..Status....l.#. + 5db60: 03456e64 706f696e 74494400 0000166c .EndpointID....l + 5db70: 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 5db80: 001c9402 23060353 65727669 63654d65 ....#..ServiceMe + 5db90: 74614c65 6e677468 00000016 6c022308 taLength....l.#. + 5dba0: 035f5061 64310000 00166c02 23090012 ._Pad1....l.#... + 5dbb0: 02000034 c5034d65 73736167 65494400 ...4..MessageID. + 5dbc0: 00001c94 02230000 12040000 3501034d .....#......5..M + 5dbd0: 65737361 67654944 0000001c 94022300 essageID......#. + 5dbe0: 03506970 65494400 0000166c 02230203 .PipeID....l.#.. + 5dbf0: 43726564 6974436f 756e7400 0000166c CreditCount....l + 5dc00: 02230300 12040000 3538034d 65737361 .#......58.Messa + 5dc10: 67654944 0000001c 94022300 03506970 geID......#..Pip + 5dc20: 65494400 0000166c 02230203 53746174 eID....l.#..Stat + 5dc30: 75730000 00166c02 23030012 02000035 us....l.#......5 + 5dc40: 5f035265 636f7264 49440000 00166c02 _.RecordID....l. + 5dc50: 2300034c 656e6774 68000000 166c0223 #..Length....l.# + 5dc60: 01001202 00003589 03456e64 706f696e ......5..Endpoin + 5dc70: 74494400 0000166c 02230003 43726564 tID....l.#..Cred + 5dc80: 69747300 0000166c 02230100 12040000 its....l.#...... + 5dc90: 35ca0345 6e64706f 696e7449 44000000 5..EndpointID... + 5dca0: 166c0223 00034372 65646974 73000000 .l.#..Credits... + 5dcb0: 166c0223 01035467 74437265 64697453 .l.#..TgtCreditS + 5dcc0: 65714e6f 0000001c 94022302 00070000 eqNo......#..... + 5dcd0: 166c0400 0035d708 03001206 00003613 .l...5........6. + 5dce0: 03507265 56616c69 64000000 166c0223 .PreValid....l.# + 5dcf0: 00034c6f 6f6b4168 65616400 000035ca ..LookAhead...5. + 5dd00: 02230103 506f7374 56616c69 64000000 .#..PostValid... + 5dd10: 166c0223 05000670 6f6f6c5f 68616e64 .l.#...pool_hand + 5dd20: 6c655f74 00000002 2c0a0000 36130104 le_t....,...6... + 5dd30: 00003626 04000901 04000036 33040014 ..6&.......63... + 5dd40: 04000036 b110504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 5dd50: 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL + 5dd60: 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 5dd70: 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ + 5dd80: 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... + 5dd90: 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 5dda0: 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 5ddb0: 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 5ddc0: 00000036 3c090104 000036c2 04000a00 ...6<.....6..... + 5ddd0: 00263101 04000036 cb04000a 00002631 .&1....6......&1 + 5dde0: 01040000 36d80400 09010400 0036e504 ....6........6.. + 5ddf0: 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 5de00: 00003787 035f696e 69740000 00362c02 ..7.._init...6,. + 5de10: 2300035f 73687574 646f776e 00000036 #.._shutdown...6 + 5de20: 35022304 035f6372 65617465 5f706f6f 5.#.._create_poo + 5de30: 6c000000 36c40223 08035f61 6c6c6f63 l...6..#.._alloc + 5de40: 5f627566 00000036 d102230c 035f616c _buf...6..#.._al + 5de50: 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 5de60: 36de0223 10035f66 7265655f 62756600 6..#.._free_buf. + 5de70: 000036e7 02231403 70526573 65727665 ..6..#..pReserve + 5de80: 64000000 022c0223 1800025f 4854435f d....,.#..._HTC_ + 5de90: 53455256 49434500 1c000038 6603704e SERVICE....8f.pN + 5dea0: 65787400 00003866 02230003 50726f63 ext...8f.#..Proc + 5deb0: 65737352 6563764d 73670000 00391b02 essRecvMsg...9.. + 5dec0: 23040350 726f6365 73735365 6e644275 #..ProcessSendBu + 5ded0: 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 5dee0: 24022308 0350726f 63657373 436f6e6e $.#..ProcessConn + 5def0: 65637400 00003938 02230c03 53657276 ect...98.#..Serv + 5df00: 69636549 44000000 01c50223 10035365 iceID......#..Se + 5df10: 72766963 65466c61 67730000 0001c502 rviceFlags...... + 5df20: 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 5df30: 00000001 c5022314 03547261 696c6572 ......#..Trailer + 5df40: 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 5df50: 01c50223 16035365 72766963 65437478 ...#..ServiceCtx + 5df60: 00000002 2c022318 00040000 37870400 ....,.#.....7... + 5df70: 14040000 39041945 4e44504f 494e545f ....9..ENDPOINT_ + 5df80: 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP + 5df90: 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT + 5dfa0: 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... + 5dfb0: 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP + 5dfc0: 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT + 5dfd0: 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... + 5dfe0: 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP + 5dff0: 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT + 5e000: 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP + 5e010: 4f494e54 5f494400 0000386d 09010400 OINT_ID...8m.... + 5e020: 00391904 00090104 00003922 04000400 .9........9".... + 5e030: 0001e704 000a0000 019b0104 00003932 ..............92 + 5e040: 04000400 00378704 00025f48 54435f43 .....7...._HTC_C + 5e050: 4f4e4649 47001400 0039b703 43726564 ONFIG....9..Cred + 5e060: 69745369 7a650000 0001e702 23000343 itSize......#..C + 5e070: 72656469 744e756d 62657200 000001e7 reditNumber..... + 5e080: 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... + 5e090: 30022308 03484946 48616e64 6c650000 0.#..HIFHandle.. + 5e0a0: 00289902 230c0350 6f6f6c48 616e646c .(..#..PoolHandl + 5e0b0: 65000000 36130223 1000025f 4854435f e...6..#..._HTC_ + 5e0c0: 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 + 5e0d0: f303656e 645f706f 696e7400 0000019b ..end_point..... + 5e0e0: 02230003 6874635f 666c6167 73000000 .#..htc_flags... + 5e0f0: 019b0223 01000668 74635f68 616e646c ...#...htc_handl + 5e100: 655f7400 0000022c 06485443 5f534554 e_t....,.HTC_SET + 5e110: 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 5e120: 0003c406 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 5e130: 00394604 00003a20 04000a00 0039f301 .9F...: .....9.. + 5e140: 0400003a 37040009 01040000 3a440400 ...:7.......:D.. + 5e150: 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 5e160: 87040000 3a4d0400 09010400 003a6504 ....:M.......:e. + 5e170: 00090104 00003a6e 04000901 0400003a ......:n.......: + 5e180: 7704000a 000001e7 01040000 3a800400 w...........:... + 5e190: 02687463 5f617069 73003400 003bfd03 .htc_apis.4..;.. + 5e1a0: 5f485443 5f496e69 74000000 3a3d0223 _HTC_Init...:=.# + 5e1b0: 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 5e1c0: 00003a46 02230403 5f485443 5f526567 ..:F.#.._HTC_Reg + 5e1d0: 69737465 72536572 76696365 0000003a isterService...: + 5e1e0: 67022308 035f4854 435f5265 61647900 g.#.._HTC_Ready. + 5e1f0: 00003a46 02230c03 5f485443 5f526574 ..:F.#.._HTC_Ret + 5e200: 75726e42 75666665 72730000 003a7002 urnBuffers...:p. + 5e210: 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 5e220: 66666572 734c6973 74000000 3a790223 ffersList...:y.# + 5e230: 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 5e240: 003a7002 2318035f 4854435f 47657452 .:p.#.._HTC_GetR + 5e250: 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 5e260: 00003a86 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg + 5e270: 52656376 48616e64 6c657200 00002846 RecvHandler...(F + 5e280: 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 5e290: 6548616e 646c6572 00000028 3d022324 eHandler...(=.#$ + 5e2a0: 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 5e2b0: 50726f63 6573734d 73670000 00391b02 ProcessMsg...9.. + 5e2c0: 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 5e2d0: 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 5e2e0: 706c6574 65000000 39240223 2c037052 plete...9$.#,.pR + 5e2f0: 65736572 76656400 0000022c 02233000 eserved....,.#0. + 5e300: 02686f73 745f6170 705f6172 65615f73 .host_app_area_s + 5e310: 00040000 3c2d0377 6d695f70 726f746f ....<-.wmi_proto + 5e320: 636f6c5f 76657200 00001605 02230000 col_ver......#.. + 5e330: 120e0000 3c640364 73744d61 63000000 ....` + 5e510: 03487463 48616e64 6c650000 0039f302 .HtcHandle...9.. + 5e520: 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... + 5e530: 36130223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl + 5e540: 79457674 73000000 01e70223 08034d61 yEvts......#..Ma + 5e550: 78457665 6e744576 74730000 0001e702 xEventEvts...... + 5e560: 230c0009 01040000 3e600400 06574d49 #.......>`...WMI + 5e570: 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 5e580: 62025f57 4d495f44 49535041 5443485f b._WMI_DISPATCH_ + 5e590: 454e5452 59000800 003ec903 70436d64 ENTRY....>..pCmd + 5e5a0: 48616e64 6c657200 00003e69 02230003 Handler...>i.#.. + 5e5b0: 436d6449 44000000 01c50223 0403466c CmdID......#..Fl + 5e5c0: 61677300 000001c5 02230600 025f574d ags......#..._WM + 5e5d0: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 5e5e0: 00100000 3f2a0370 4e657874 0000003f ....?*.pNext...? + 5e5f0: 2a022300 0370436f 6e746578 74000000 *.#..pContext... + 5e600: 022c0223 04034e75 6d626572 4f66456e .,.#..NumberOfEn + 5e610: 74726965 73000000 01e70223 08037054 tries......#..pT + 5e620: 61626c65 0000003f 4902230c 00040000 able...?I.#..... + 5e630: 3ec90400 06574d49 5f444953 50415443 >....WMI_DISPATC + 5e640: 485f454e 54525900 00003e7e 0400003f H_ENTRY...>~...? + 5e650: 31040004 00003ec9 04000648 54435f42 1.....>....HTC_B + 5e660: 55465f43 4f4e5445 58540000 0039b70f UF_CONTEXT...9.. + 5e670: 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 5e680: 003fe119 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 5e690: 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ + 5e6a0: 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 5e6b0: 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL + 5e6c0: 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... + 5e6d0: 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 5e6e0: 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 5e6f0: 53530000 003f6c02 5f574d49 5f425546 SS...?l._WMI_BUF + 5e700: 5f434f4e 54455854 000c0000 403f0348 _CONTEXT....@?.H + 5e710: 74634275 66437478 0000003f 57022300 tcBufCtx...?W.#. + 5e720: 03457665 6e74436c 61737300 00003fe1 .EventClass...?. + 5e730: 02230403 466c6167 73000000 01c50223 .#..Flags......# + 5e740: 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 5e750: 0000022c 06574d49 5f535643 5f434f4e ...,.WMI_SVC_CON + 5e760: 46494700 00003df7 04000040 5104000a FIG...=....@Q... + 5e770: 0000403f 01040000 406c0400 06574d49 ..@?....@l...WMI + 5e780: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 5e790: 00003ec9 04000040 79040009 01040000 ..>....@y....... + 5e7a0: 40980400 0a000026 31010400 0040a104 @......&1....@.. + 5e7b0: 00090104 000040ae 04000a00 0001e701 ......@......... + 5e7c0: 04000040 b7040009 01040000 40c40400 ...@........@... + 5e7d0: 0a000001 9b010400 0040cd04 00025f77 .........@...._w + 5e7e0: 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 5e7f0: 15035f57 4d495f49 6e697400 00004072 .._WMI_Init...@r + 5e800: 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe + 5e810: 72446973 70617463 68546162 6c650000 rDispatchTable.. + 5e820: 00409a02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo + 5e830: 63457665 6e740000 0040a702 2308035f cEvent...@..#.._ + 5e840: 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 5e850: 40b00223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe + 5e860: 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 5e870: 00000040 bd022310 035f574d 495f5365 ...@..#.._WMI_Se + 5e880: 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 5e890: 72000000 39240223 14035f57 4d495f47 r...9$.#.._WMI_G + 5e8a0: 6574436f 6e74726f 6c457000 000040bd etControlEp...@. + 5e8b0: 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 5e8c0: 6e000000 40c60223 1c035f57 4d495f52 n...@..#.._WMI_R + 5e8d0: 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 5e8e0: 72000000 391b0223 20035f57 4d495f53 r...9..# ._WMI_S + 5e8f0: 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 5e900: 40d30223 24037052 65736572 76656400 @..#$.pReserved. + 5e910: 0000022c 02232800 027a7344 6d614465 ...,.#(..zsDmaDe + 5e920: 73630014 00004297 03637472 6c000000 sc....B..ctrl... + 5e930: 01af0223 00037374 61747573 00000001 ...#..status.... + 5e940: af022302 03746f74 616c4c65 6e000000 ..#..totalLen... + 5e950: 01af0223 04036461 74615369 7a650000 ...#..dataSize.. + 5e960: 0001af02 2306036c 61737441 64647200 ....#..lastAddr. + 5e970: 00004297 02230803 64617461 41646472 ..B..#..dataAddr + 5e980: 00000004 3602230c 036e6578 74416464 ....6.#..nextAdd + 5e990: 72000000 42970223 10000400 00421504 r...B..#.....B.. + 5e9a0: 00040000 42150400 027a7344 6d615175 ....B....zsDmaQu + 5e9b0: 65756500 08000042 d7036865 61640000 eue....B..head.. + 5e9c0: 00429e02 23000374 65726d69 6e61746f .B..#..terminato + 5e9d0: 72000000 429e0223 0400027a 73547844 r...B..#...zsTxD + 5e9e0: 6d615175 65756500 10000043 3b036865 maQueue....C;.he + 5e9f0: 61640000 00429e02 23000374 65726d69 ad...B..#..termi + 5ea00: 6e61746f 72000000 429e0223 0403786d nator...B..#..xm + 5ea10: 69746564 5f627566 5f686561 64000000 ited_buf_head... + 5ea20: 03230223 0803786d 69746564 5f627566 .#.#..xmited_buf + 5ea30: 5f746169 6c000000 03230223 0c000901 _tail....#.#.... + 5ea40: 04000043 3b040004 000042a5 04000901 ...C;.....B..... + 5ea50: 04000043 4b040004 000042d7 04000901 ...CK.....B..... + 5ea60: 04000043 5b040009 01040000 43640400 ...C[.......Cd.. + 5ea70: 09010400 00436d04 000a0000 03230104 .....Cm......#.. + 5ea80: 00004376 04000901 04000043 8304000a ..Cv.......C.... + 5ea90: 00000323 01040000 438c0400 09010400 ...#....C....... + 5eaa0: 00439904 000a0000 01e70104 000043a2 .C............C. + 5eab0: 04000a00 00429e01 04000043 af040009 .....B.....C.... + 5eac0: 01040000 43bc0400 02646d61 5f656e67 ....C....dma_eng + 5ead0: 696e655f 61706900 40000045 32035f69 ine_api.@..E2._i + 5eae0: 6e697400 0000433d 02230003 5f696e69 nit...C=.#.._ini + 5eaf0: 745f7278 5f717565 75650000 00434d02 t_rx_queue...CM. + 5eb00: 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu + 5eb10: 65000000 435d0223 08035f63 6f6e6669 e...C].#.._confi + 5eb20: 675f7278 5f717565 75650000 00436602 g_rx_queue...Cf. + 5eb30: 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C + 5eb40: 6f022310 035f666c 7573685f 786d6974 o.#.._flush_xmit + 5eb50: 00000043 4d022314 035f7265 61705f72 ...CM.#.._reap_r + 5eb60: 6563765f 62756600 0000437c 02231803 ecv_buf...C|.#.. + 5eb70: 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 5eb80: 00000043 8502231c 035f7265 61705f78 ...C..#.._reap_x + 5eb90: 6d697465 645f6275 66000000 43920223 mited_buf...C..# + 5eba0: 20035f73 7761705f 64617461 00000043 ._swap_data...C + 5ebb0: 9b022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ + 5ebc0: 7061636b 65747300 000043a8 02232803 packets...C..#(. + 5ebd0: 5f646573 635f6475 6d700000 00434d02 _desc_dump...CM. + 5ebe0: 232c035f 6765745f 7061636b 65740000 #,._get_packet.. + 5ebf0: 0043b502 2330035f 7265636c 61696d5f .C..#0._reclaim_ + 5ec00: 7061636b 65740000 0043be02 2334035f packet...C..#4._ + 5ec10: 7075745f 7061636b 65740000 0043be02 put_packet...C.. + 5ec20: 23380370 52657365 72766564 00000002 #8.pReserved.... + 5ec30: 2c02233c 00065f41 5f636d6e 6f735f69 ,.#<.._A_cmnos_i + 5ec40: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 5ec50: 5f740000 00307106 574d495f 5356435f _t...0q.WMI_SVC_ + 5ec60: 41504953 00000040 da175f41 5f6d6167 APIS...@.._A_mag + 5ec70: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 5ec80: 7461626c 6500034c 00004660 03636d6e table..L..F`.cmn + 5ec90: 6f730000 00453202 23000364 62670000 os...E2.#..dbg.. + 5eca0: 00065f03 23b80303 68696600 0000293c .._.#...hif...)< + 5ecb0: 0323c003 03687463 0000003a 8d0323f8 .#...htc...:..#. + 5ecc0: 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 5ecd0: 45540323 ac040375 73626669 666f5f61 ET.#...usbfifo_a + 5ece0: 70690000 00324503 23d80403 6275665f pi...2E.#...buf_ + 5ecf0: 706f6f6c 00000036 ee0323e4 04037662 pool...6..#...vb + 5ed00: 75660000 00034d03 23800503 76646573 uf....M.#...vdes + 5ed10: 63000000 022f0323 94050361 6c6c6f63 c..../.#...alloc + 5ed20: 72616d00 00000bc1 0323a805 03646d61 ram......#...dma + 5ed30: 5f656e67 696e6500 000043c5 0323b405 _engine...C..#.. + 5ed40: 03646d61 5f6c6962 0000002b d00323f4 .dma_lib...+..#. + 5ed50: 05036869 665f7063 69000000 2e300323 ..hif_pci....0.# + 5ed60: a8060002 56425546 5f434f4e 54455854 ....VBUF_CONTEXT + 5ed70: 000c0000 46ad0366 7265655f 6275665f ....F..free_buf_ + 5ed80: 68656164 00000003 23022300 036e5662 head....#.#..nVb + 5ed90: 75664e75 6d000000 01e70223 04037052 ufNum......#..pR + 5eda0: 65736572 76656400 0000022c 02230800 eserved....,.#.. + 5edb0: 1a675f76 62756643 74780000 00466005 .g_vbufCtx...F`. + 5edc0: 0300500a f801065f 415f6d61 67706965 ..P...._A_magpie + 5edd0: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 5ede0: 6c655f74 00000045 66040000 034d0400 le_t...Ef....M.. + 5edf0: 09011b01 1d5f7662 75665f69 6e697400 ....._vbuf_init. + 5ee00: 01010392 01200290 00008e4d b4008e4d ..... .....M...M + 5ee10: ef000047 321c011d 6e427566 00000001 ...G2...nBuf.... + 5ee20: e701521d 76627566 00000003 231d6900 ..R.vbuf....#.i. + 5ee30: 000001e7 001e0138 5f766275 665f616c .......8_vbuf_al + 5ee40: 6c6f635f 76627566 00000003 23010103 loc_vbuf....#... + 5ee50: 92012002 9000008e 4df0008e 4e0e0000 .. .....M...N... + 5ee60: 476e1d61 6c6c6f63 42756600 00000323 Gn.allocBuf....# + 5ee70: 001b0148 5f766275 665f6672 65655f76 ...H_vbuf_free_v + 5ee80: 62756600 01010392 01200290 00008e4e buf...... .....N + 5ee90: 10008e4e 24000047 a41c0148 62756600 ...N$..G...Hbuf. + 5eea0: 00000323 0152001f 01547662 75665f6d ...#.R...Tvbuf_m + 5eeb0: 6f64756c 655f696e 7374616c 6c000101 odule_install... + 5eec0: 03920120 02900000 8e4e2400 8e4e381c ... .....N$..N8. + 5eed0: 01546170 69730000 0046e601 52000000 .Tapis...F..R... + 5eee0: 0000483f 00020000 227c0401 2f726f6f ..H?...."|../roo + 5eef0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5ef00: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5ef10: 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 5ef20: 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 5ef30: 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 5ef40: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 5ef50: 7372632f 76646573 632e6300 2f726f6f src/vdesc.c./roo + 5ef60: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5ef70: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5ef80: 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + 5ef90: 64657363 0078742d 78636320 666f7220 desc.xt-xcc for + 5efa0: 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 5efb0: 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 5efc0: 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 5efd0: 61636500 01000001 520b025f 56444553 ace.....R.._VDES + 5efe0: 43002400 00018603 6e657874 5f646573 C.$.....next_des + 5eff0: 63000000 01860223 00036275 665f6164 c......#..buf_ad + 5f000: 64720000 0001ab02 23040362 75665f73 dr......#..buf_s + 5f010: 697a6500 000001c8 02230803 64617461 ize......#..data + 5f020: 5f6f6666 73657400 000001c8 02230a03 _offset......#.. + 5f030: 64617461 5f73697a 65000000 01c80223 data_size......# + 5f040: 0c03636f 6e74726f 6c000000 01c80223 ..control......# + 5f050: 0e036877 5f646573 635f6275 66000000 ..hw_desc_buf... + 5f060: 01d60223 10000400 0000fa04 0005756e ...#..........un + 5f070: 7369676e 65642063 68617200 07010641 signed char....A + 5f080: 5f55494e 54380000 00018d04 0000019e _UINT8.......... + 5f090: 04000573 686f7274 20756e73 69676e65 ...short unsigne + 5f0a0: 6420696e 74000702 06415f55 494e5431 d int....A_UINT1 + 5f0b0: 36000000 01b20700 00019e14 000001e3 6............... + 5f0c0: 08130004 000000fa 04000569 6e740005 ...........int.. + 5f0d0: 04090104 000001f1 04000656 44455343 ...........VDESC + 5f0e0: 00000000 fa040000 01fa0400 0a000002 ................ + 5f0f0: 05010400 00020c04 000a0000 01ab0104 ................ + 5f100: 00000219 04000901 04000002 2604000b ............&... + 5f110: 04000276 64657363 5f617069 00140000 ...vdesc_api.... + 5f120: 02a1035f 696e6974 00000001 f3022300 ..._init......#. + 5f130: 035f616c 6c6f635f 76646573 63000000 ._alloc_vdesc... + 5f140: 02120223 04035f67 65745f68 775f6465 ...#.._get_hw_de + 5f150: 73630000 00021f02 2308035f 73776170 sc......#.._swap + 5f160: 5f766465 73630000 00022802 230c0370 _vdesc....(.#..p + 5f170: 52657365 72766564 00000002 2f022310 Reserved..../.#. + 5f180: 00090104 000002a1 04000563 68617200 ...........char. + 5f190: 07010c00 0002aa0c 000002aa 04000002 ................ + 5f1a0: b704000a 000001ea 01040000 02c30400 ................ + 5f1b0: 02707269 6e74665f 61706900 08000003 .printf_api..... + 5f1c0: 07035f70 72696e74 665f696e 69740000 .._printf_init.. + 5f1d0: 0002a302 2300035f 7072696e 74660000 ....#.._printf.. + 5f1e0: 0002c902 23040006 75696e74 31365f74 ....#...uint16_t + 5f1f0: 00000001 b2056c6f 6e672075 6e736967 ......long unsig + 5f200: 6e656420 696e7400 07040675 696e7433 ned int....uint3 + 5f210: 325f7400 00000315 02756172 745f6669 2_t......uart_fi + 5f220: 666f0008 00000383 03737461 72745f69 fo.......start_i + 5f230: 6e646578 00000003 07022300 03656e64 ndex......#..end + 5f240: 5f696e64 65780000 00030702 2302036f _index......#..o + 5f250: 76657272 756e5f65 72720000 00032a02 verrun_err....*. + 5f260: 23040002 75617274 5f617069 00200000 #...uart_api. .. + 5f270: 043c035f 75617274 5f696e69 74000000 .<._uart_init... + 5f280: 04930223 00035f75 6172745f 63686172 ...#.._uart_char + 5f290: 5f707574 00000004 a9022304 035f7561 _put......#.._ua + 5f2a0: 72745f63 6861725f 67657400 000004bd rt_char_get..... + 5f2b0: 02230803 5f756172 745f7374 725f6f75 .#.._uart_str_ou + 5f2c0: 74000000 04c60223 0c035f75 6172745f t......#.._uart_ + 5f2d0: 7461736b 00000002 a3022310 035f7561 task......#.._ua + 5f2e0: 72745f73 74617475 73000000 04930223 rt_status......# + 5f2f0: 14035f75 6172745f 636f6e66 69670000 .._uart_config.. + 5f300: 0004cf02 2318035f 75617274 5f687769 ....#.._uart_hwi + 5f310: 6e697400 000004d8 02231c00 04000003 nit......#...... + 5f320: 83040002 75617274 5f626c6b 00100000 ....uart_blk.... + 5f330: 048d0364 65627567 5f6d6f64 65000000 ...debug_mode... + 5f340: 03070223 00036261 75640000 00030702 ...#..baud...... + 5f350: 2302035f 75617274 00000004 3c022304 #.._uart....<.#. + 5f360: 035f7478 00000003 38022308 000a0000 ._tx....8.#..... + 5f370: 032a0104 0000048d 04000675 696e7438 .*.........uint8 + 5f380: 5f740000 00018d09 01040000 04a70400 _t.............. + 5f390: 04000004 9a04000a 00000307 01040000 ................ + 5f3a0: 04b70400 09010400 0004c404 00090104 ................ + 5f3b0: 000004cd 04000901 04000004 d6040004 ................ + 5f3c0: 000002aa 04000a00 0001ea01 04000004 ................ + 5f3d0: e6040002 44425f43 4f4d4d41 4e445f53 ....DB_COMMAND_S + 5f3e0: 54525543 54000c00 00053e03 636d645f TRUCT.....>.cmd_ + 5f3f0: 73747200 000004df 02230003 68656c70 str......#..help + 5f400: 5f737472 00000004 df022304 03636d64 _str......#..cmd + 5f410: 5f66756e 63000000 04ec0223 08000264 _func......#...d + 5f420: 62675f61 70690008 00000571 035f6462 bg_api.....q._db + 5f430: 675f696e 69740000 0002a302 2300035f g_init......#.._ + 5f440: 6462675f 7461736b 00000002 a3022304 dbg_task......#. + 5f450: 0005756e 7369676e 65642069 6e740007 ..unsigned int.. + 5f460: 040a0000 022f0104 00000581 04000d0d ...../.......... + 5f470: 04000005 8f04000a 0000022f 01040000 .........../.... + 5f480: 05970400 0a000001 ea010400 0005a404 ................ + 5f490: 00026d65 6d5f6170 69001400 00061303 ..mem_api....... + 5f4a0: 5f6d656d 5f696e69 74000000 02a30223 _mem_init......# + 5f4b0: 00035f6d 656d7365 74000000 05870223 .._memset......# + 5f4c0: 04035f6d 656d6370 79000000 059d0223 .._memcpy......# + 5f4d0: 08035f6d 656d6d6f 76650000 00059d02 .._memmove...... + 5f4e0: 230c035f 6d656d63 6d700000 0005aa02 #.._memcmp...... + 5f4f0: 2310000e 72656769 73746572 5f64756d #...register_dum + 5f500: 705f7300 00010400 00061304 00090104 p_s............. + 5f510: 0000062d 04000901 04000006 3604000a ...-........6... + 5f520: 000001ea 01040000 063f0400 0f686f73 .........?...hos + 5f530: 7469665f 73000400 00069b10 4849465f tif_s.......HIF_ + 5f540: 55534200 00104849 465f5043 49450001 USB...HIF_PCIE.. + 5f550: 10484946 5f474d41 43000210 4849465f .HIF_GMAC...HIF_ + 5f560: 50434900 03104849 465f4e55 4d000410 PCI...HIF_NUM... + 5f570: 4849465f 4e4f4e45 00050006 415f484f HIF_NONE....A_HO + 5f580: 53544946 00000006 4c0a0000 069b0104 STIF....L....... + 5f590: 000006a9 04000a00 00049a01 04000006 ................ + 5f5a0: b604000a 00000307 01040000 06c30400 ................ + 5f5b0: 026d6973 635f6170 69002400 0007b303 .misc_api.$..... + 5f5c0: 5f737973 74656d5f 72657365 74000000 _system_reset... + 5f5d0: 02a30223 00035f6d 61635f72 65736574 ...#.._mac_reset + 5f5e0: 00000002 a3022304 035f6173 73666169 ......#.._assfai + 5f5f0: 6c000000 062f0223 08035f6d 6973616c l..../.#.._misal + 5f600: 69676e65 645f6c6f 61645f68 616e646c igned_load_handl + 5f610: 65720000 00062f02 230c035f 7265706f er..../.#.._repo + 5f620: 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho + 5f630: 73740000 00063802 2310035f 74617267 st....8.#.._targ + 5f640: 65745f69 645f6765 74000000 06450223 et_id_get....E.# + 5f650: 14035f69 735f686f 73745f70 72657365 .._is_host_prese + 5f660: 6e740000 0006af02 2318035f 6b626869 nt......#.._kbhi + 5f670: 74000000 06bc0223 1c035f72 6f6d5f76 t......#.._rom_v + 5f680: 65727369 6f6e5f67 65740000 0006c902 ersion_get...... + 5f690: 2320000a 000004df 01040000 07b30400 # .............. + 5f6a0: 0a000004 df010400 0007c004 000a0000 ................ + 5f6b0: 01ea0104 000007cd 04000a00 0001ea01 ................ + 5f6c0: 04000007 da04000a 000001ea 01040000 ................ + 5f6d0: 07e70400 02737472 696e675f 61706900 .....string_api. + 5f6e0: 18000008 6d035f73 7472696e 675f696e ....m._string_in + 5f6f0: 69740000 0002a302 2300035f 73747263 it......#.._strc + 5f700: 70790000 0007b902 2304035f 7374726e py......#.._strn + 5f710: 63707900 000007c6 02230803 5f737472 cpy......#.._str + 5f720: 6c656e00 000007d3 02230c03 5f737472 len......#.._str + 5f730: 636d7000 000007e0 02231003 5f737472 cmp......#.._str + 5f740: 6e636d70 00000007 ed022314 00070000 ncmp......#..... + 5f750: 05711400 00087a08 0400065f 415f5449 .q....z...._A_TI + 5f760: 4d45525f 53504143 45000000 086d0641 MER_SPACE....m.A + 5f770: 5f74696d 65725f74 00000008 7a040000 _timer_t....z... + 5f780: 088e0400 09010400 0008a404 00090104 ................ + 5f790: 000008ad 04000641 5f48414e 444c4500 .......A_HANDLE. + 5f7a0: 00000571 09010641 5f54494d 45525f46 ...q...A_TIMER_F + 5f7b0: 554e4300 000008c4 04000008 c6040009 UNC............. + 5f7c0: 01040000 08df0400 0274696d 65725f61 .........timer_a + 5f7d0: 70690014 0000095e 035f7469 6d65725f pi.....^._timer_ + 5f7e0: 696e6974 00000002 a3022300 035f7469 init......#.._ti + 5f7f0: 6d65725f 61726d00 000008a6 02230403 mer_arm......#.. + 5f800: 5f74696d 65725f64 69736172 6d000000 _timer_disarm... + 5f810: 08af0223 08035f74 696d6572 5f736574 ...#.._timer_set + 5f820: 666e0000 0008e102 230c035f 74696d65 fn......#.._time + 5f830: 725f7275 6e000000 02a30223 10000642 r_run......#...B + 5f840: 4f4f4c45 414e0000 0003070a 0000095e OOLEAN.........^ + 5f850: 01040000 096b0400 0a000009 5e010400 .....k......^... + 5f860: 00097804 000a0000 095e0104 00000985 ..x......^...... + 5f870: 04000272 6f6d705f 61706900 10000009 ...romp_api..... + 5f880: f7035f72 6f6d705f 696e6974 00000002 .._romp_init.... + 5f890: a3022300 035f726f 6d705f64 6f776e6c ..#.._romp_downl + 5f8a0: 6f616400 00000971 02230403 5f726f6d oad....q.#.._rom + 5f8b0: 705f696e 7374616c 6c000000 097e0223 p_install....~.# + 5f8c0: 08035f72 6f6d705f 6465636f 64650000 .._romp_decode.. + 5f8d0: 00098b02 230c0002 726f6d5f 70617463 ....#...rom_patc + 5f8e0: 685f7374 00100000 0a530363 72633136 h_st.....S.crc16 + 5f8f0: 00000003 07022300 036c656e 00000003 ......#..len.... + 5f900: 07022302 036c645f 61646472 00000003 ..#..ld_addr.... + 5f910: 2a022304 0366756e 5f616464 72000000 *.#..fun_addr... + 5f920: 032a0223 08037066 756e0000 0004b002 .*.#..pfun...... + 5f930: 230c0002 6565705f 72656469 725f6164 #...eep_redir_ad + 5f940: 64720004 00000a85 036f6666 73657400 dr.......offset. + 5f950: 00000307 02230003 73697a65 00000003 .....#..size.... + 5f960: 07022302 0006415f 55494e54 33320000 ..#...A_UINT32.. + 5f970: 0005710a 0000022f 01040000 0a930400 ..q..../........ + 5f980: 02616c6c 6f637261 6d5f6170 69000c00 .allocram_api... + 5f990: 000b0403 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr + 5f9a0: 616d5f69 6e697400 00000a99 02230003 am_init......#.. + 5f9b0: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. + 5f9c0: 000a9902 23040363 6d6e6f73 5f616c6c ....#..cmnos_all + 5f9d0: 6f637261 6d5f6465 62756700 000002a3 ocram_debug..... + 5f9e0: 02230800 09010400 000b0404 0006415f .#............A_ + 5f9f0: 5441534b 4c45545f 46554e43 0000000b TASKLET_FUNC.... + 5fa00: 06025f74 61736b6c 65740010 00000b65 .._tasklet.....e + 5fa10: 0366756e 63000000 0b0d0223 00036172 .func......#..ar + 5fa20: 67000000 022f0223 04037374 61746500 g..../.#..state. + 5fa30: 000001ea 02230803 6e657874 0000000b .....#..next.... + 5fa40: 6502230c 00040000 0b210400 0400000b e.#......!...... + 5fa50: 21040006 415f7461 736b6c65 745f7400 !...A_tasklet_t. + 5fa60: 00000b21 0400000b 73040009 01040000 ...!....s....... + 5fa70: 0b8b0400 09010400 000b9404 00027461 ..............ta + 5fa80: 736b6c65 745f6170 69001400 000c2903 sklet_api.....). + 5fa90: 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... + 5faa0: 02a30223 00035f74 61736b6c 65745f69 ...#.._tasklet_i + 5fab0: 6e69745f 7461736b 0000000b 8d022304 nit_task......#. + 5fac0: 035f7461 736b6c65 745f6469 7361626c ._tasklet_disabl + 5fad0: 65000000 0b960223 08035f74 61736b6c e......#.._taskl + 5fae0: 65745f73 63686564 756c6500 00000b96 et_schedule..... + 5faf0: 02230c03 5f746173 6b6c6574 5f72756e .#.._tasklet_run + 5fb00: 00000002 a3022310 00090104 00000c29 ......#........) + 5fb10: 04000a00 000a8501 0400000c 32040002 ............2... + 5fb20: 636c6f63 6b5f6170 69002400 000d1803 clock_api.$..... + 5fb30: 5f636c6f 636b5f69 6e697400 00000c2b _clock_init....+ + 5fb40: 02230003 5f636c6f 636b7265 67735f69 .#.._clockregs_i + 5fb50: 6e697400 000002a3 02230403 5f756172 nit......#.._uar + 5fb60: 745f6672 65717565 6e637900 00000c38 t_frequency....8 + 5fb70: 02230803 5f64656c 61795f75 73000000 .#.._delay_us... + 5fb80: 01f30223 0c035f77 6c616e5f 62616e64 ...#.._wlan_band + 5fb90: 5f736574 00000001 f3022310 035f7265 _set......#.._re + 5fba0: 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 5fbb0: 000c3802 2314035f 6d696c6c 69736563 ..8.#.._millisec + 5fbc0: 6f6e6473 0000000c 38022318 035f7379 onds....8.#.._sy + 5fbd0: 73636c6b 5f636861 6e676500 000002a3 sclk_change..... + 5fbe0: 02231c03 5f636c6f 636b5f74 69636b00 .#.._clock_tick. + 5fbf0: 000002a3 02232000 0a000003 2a010400 .....# .....*... + 5fc00: 000d1804 0006415f 6f6c645f 696e7472 ......A_old_intr + 5fc10: 5f740000 00032a0a 00000d25 01040000 _t....*....%.... + 5fc20: 0d370400 09010400 000d4404 00090104 .7........D..... + 5fc30: 00000d4d 04000a00 00032a01 0400000d ...M......*..... + 5fc40: 56040006 415f6973 725f7400 00000d5c V...A_isr_t....\ + 5fc50: 09010400 000d7004 000a0000 05710104 ......p......q.. + 5fc60: 00000d79 04000901 0400000d 86040002 ...y............ + 5fc70: 696e7472 5f617069 002c0000 0ea8035f intr_api.,....._ + 5fc80: 696e7472 5f696e69 74000000 02a30223 intr_init......# + 5fc90: 00035f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i + 5fca0: 73720000 000d1e02 2304035f 696e7472 sr......#.._intr + 5fcb0: 5f646973 61626c65 0000000d 3d022308 _disable....=.#. + 5fcc0: 035f696e 74725f72 6573746f 72650000 ._intr_restore.. + 5fcd0: 000d4602 230c035f 696e7472 5f6d6173 ..F.#.._intr_mas + 5fce0: 6b5f696e 756d0000 000d4f02 2310035f k_inum....O.#.._ + 5fcf0: 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum + 5fd00: 0000000d 4f022314 035f696e 74725f61 ....O.#.._intr_a + 5fd10: 74746163 685f6973 72000000 0d720223 ttach_isr....r.# + 5fd20: 18035f67 65745f69 6e747265 6e61626c .._get_intrenabl + 5fd30: 65000000 0d7f0223 1c035f73 65745f69 e......#.._set_i + 5fd40: 6e747265 6e61626c 65000000 0d880223 ntrenable......# + 5fd50: 20035f67 65745f69 6e747270 656e6469 ._get_intrpendi + 5fd60: 6e670000 000d7f02 2324035f 756e626c ng......#$._unbl + 5fd70: 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. + 5fd80: 000002a3 02232800 11040000 0ece0374 .....#(........t + 5fd90: 696d656f 75740000 00032a02 23000361 imeout....*.#..a + 5fda0: 6374696f 6e000000 032a0223 00001208 ction....*.#.... + 5fdb0: 00000ee9 03636d64 00000003 2a022300 .....cmd....*.#. + 5fdc0: 1300000e a8022304 0006545f 5744545f ......#...T_WDT_ + 5fdd0: 434d4400 00000ece 09010400 000ef804 CMD............. + 5fde0: 00140400 000f4e10 454e554d 5f574454 ......N.ENUM_WDT + 5fdf0: 5f424f4f 54000110 454e554d 5f434f4c _BOOT...ENUM_COL + 5fe00: 445f424f 4f540002 10454e55 4d5f5355 D_BOOT...ENUM_SU + 5fe10: 53505f42 4f4f5400 0310454e 554d5f55 SP_BOOT...ENUM_U + 5fe20: 4e4b4e4f 574e5f42 4f4f5400 04000654 NKNOWN_BOOT....T + 5fe30: 5f424f4f 545f5459 50450000 000f010a _BOOT_TYPE...... + 5fe40: 00000f4e 01040000 0f5f0400 02776474 ...N....._...wdt + 5fe50: 5f617069 001c0000 1003035f 7764745f _api......._wdt_ + 5fe60: 696e6974 00000002 a3022300 035f7764 init......#.._wd + 5fe70: 745f656e 61626c65 00000002 a3022304 t_enable......#. + 5fe80: 035f7764 745f6469 7361626c 65000000 ._wdt_disable... + 5fe90: 02a30223 08035f77 64745f73 65740000 ...#.._wdt_set.. + 5fea0: 000efa02 230c035f 7764745f 7461736b ....#.._wdt_task + 5feb0: 00000002 a3022310 035f7764 745f7265 ......#.._wdt_re + 5fec0: 73657400 000002a3 02231403 5f776474 set......#.._wdt + 5fed0: 5f6c6173 745f626f 6f740000 000f6502 _last_boot....e. + 5fee0: 23180014 04000010 6a105245 545f5355 #.......j.RET_SU + 5fef0: 43434553 53000010 5245545f 4e4f545f CCESS...RET_NOT_ + 5ff00: 494e4954 00011052 45545f4e 4f545f45 INIT...RET_NOT_E + 5ff10: 58495354 00021052 45545f45 45505f43 XIST...RET_EEP_C + 5ff20: 4f525255 50540003 10524554 5f454550 ORRUPT...RET_EEP + 5ff30: 5f4f5645 52464c4f 57000410 5245545f _OVERFLOW...RET_ + 5ff40: 554e4b4e 4f574e00 05000654 5f454550 UNKNOWN....T_EEP + 5ff50: 5f524554 00000010 03040000 03070400 _RET............ + 5ff60: 0a000010 6a010400 00108004 000a0000 ....j........... + 5ff70: 106a0104 0000108d 04000265 65705f61 .j.........eep_a + 5ff80: 70690010 000010f6 035f6565 705f696e pi......._eep_in + 5ff90: 69740000 0002a302 2300035f 6565705f it......#.._eep_ + 5ffa0: 72656164 00000010 86022304 035f6565 read......#.._ee + 5ffb0: 705f7772 69746500 00001086 02230803 p_write......#.. + 5ffc0: 5f656570 5f69735f 65786973 74000000 _eep_is_exist... + 5ffd0: 10930223 0c000275 73625f61 70690070 ...#...usb_api.p + 5ffe0: 000013a3 035f7573 625f696e 69740000 ....._usb_init.. + 5fff0: 0002a302 2300035f 7573625f 726f6d5f ....#.._usb_rom_ + 60000: 7461736b 00000002 a3022304 035f7573 task......#.._us + 60010: 625f6677 5f746173 6b000000 02a30223 b_fw_task......# + 60020: 08035f75 73625f69 6e69745f 70687900 .._usb_init_phy. + 60030: 000002a3 02230c03 5f757362 5f657030 .....#.._usb_ep0 + 60040: 5f736574 75700000 0002a302 2310035f _setup......#.._ + 60050: 7573625f 6570305f 74780000 0002a302 usb_ep0_tx...... + 60060: 2314035f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. + 60070: 0002a302 2318035f 7573625f 6765745f ....#.._usb_get_ + 60080: 696e7465 72666163 65000000 097e0223 interface....~.# + 60090: 1c035f75 73625f73 65745f69 6e746572 .._usb_set_inter + 600a0: 66616365 00000009 7e022320 035f7573 face....~.# ._us + 600b0: 625f6765 745f636f 6e666967 75726174 b_get_configurat + 600c0: 696f6e00 0000097e 02232403 5f757362 ion....~.#$._usb + 600d0: 5f736574 5f636f6e 66696775 72617469 _set_configurati + 600e0: 6f6e0000 00097e02 2328035f 7573625f on....~.#(._usb_ + 600f0: 7374616e 64617264 5f636d64 00000009 standard_cmd.... + 60100: 7e02232c 035f7573 625f7665 6e646f72 ~.#,._usb_vendor + 60110: 5f636d64 00000002 a3022330 035f7573 _cmd......#0._us + 60120: 625f706f 7765725f 6f666600 000002a3 b_power_off..... + 60130: 02233403 5f757362 5f726573 65745f66 .#4._usb_reset_f + 60140: 69666f00 000002a3 02233803 5f757362 ifo......#8._usb + 60150: 5f67656e 5f776474 00000002 a302233c _gen_wdt......#< + 60160: 035f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. + 60170: 000002a3 02234003 5f757362 5f636c72 .....#@._usb_clr + 60180: 5f666561 74757265 00000009 7e022344 _feature....~.#D + 60190: 035f7573 625f7365 745f6665 61747572 ._usb_set_featur + 601a0: 65000000 097e0223 48035f75 73625f73 e....~.#H._usb_s + 601b0: 65745f61 64647265 73730000 00097e02 et_address....~. + 601c0: 234c035f 7573625f 6765745f 64657363 #L._usb_get_desc + 601d0: 72697074 6f720000 00097e02 2350035f riptor....~.#P._ + 601e0: 7573625f 6765745f 73746174 75730000 usb_get_status.. + 601f0: 00097e02 2354035f 7573625f 73657475 ..~.#T._usb_setu + 60200: 705f6465 73630000 0002a302 2358035f p_desc......#X._ + 60210: 7573625f 7265675f 6f757400 000002a3 usb_reg_out..... + 60220: 02235c03 5f757362 5f737461 7475735f .#\._usb_status_ + 60230: 696e0000 0002a302 2360035f 7573625f in......#`._usb_ + 60240: 6570305f 74785f64 61746100 000002a3 ep0_tx_data..... + 60250: 02236403 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ + 60260: 64617461 00000002 a3022368 035f7573 data......#h._us + 60270: 625f636c 6b5f696e 69740000 0002a302 b_clk_init...... + 60280: 236c0002 5f564255 46002000 00140303 #l.._VBUF. ..... + 60290: 64657363 5f6c6973 74000000 02050223 desc_list......# + 602a0: 00036e65 78745f62 75660000 00140302 ..next_buf...... + 602b0: 23040362 75665f6c 656e6774 68000000 #..buf_length... + 602c0: 01c80223 08037265 73657276 65640000 ...#..reserved.. + 602d0: 00140a02 230a0363 74780000 0001d602 ....#..ctx...... + 602e0: 230c0004 000013a3 04000700 00019e02 #............... + 602f0: 00001417 08010004 000013a3 04000656 ...............V + 60300: 42554600 000013a3 04000014 1e04000a BUF............. + 60310: 00001428 01040000 142f0400 0a000014 ...(...../...... + 60320: 28010400 00143c04 00090104 00001449 (.....<........I + 60330: 04000276 6275665f 61706900 14000014 ...vbuf_api..... + 60340: c7035f69 6e697400 000001f3 02230003 .._init......#.. + 60350: 5f616c6c 6f635f76 62756600 00001435 _alloc_vbuf....5 + 60360: 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 60370: 77697468 5f73697a 65000000 14420223 with_size....B.# + 60380: 08035f66 7265655f 76627566 00000014 .._free_vbuf.... + 60390: 4b02230c 03705265 73657276 65640000 K.#..pReserved.. + 603a0: 00022f02 23100002 5f5f6164 665f6465 ../.#...__adf_de + 603b0: 76696365 00040000 14e90364 756d6d79 vice.......dummy + 603c0: 00000001 ea022300 00040000 0a850400 ......#......... + 603d0: 025f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 603e0: 00001530 03627566 00000014 28022300 ...0.buf....(.#. + 603f0: 0364735f 61646472 00000014 e9022304 .ds_addr......#. + 60400: 0364735f 6c656e00 000001c8 02230800 .ds_len......#.. + 60410: 120c0000 156a035f 5f76615f 73746b00 .....j.__va_stk. + 60420: 000004df 02230003 5f5f7661 5f726567 .....#..__va_reg + 60430: 00000004 df022304 035f5f76 615f6e64 ......#..__va_nd + 60440: 78000000 01ea0223 0800065f 5f616466 x......#...__adf + 60450: 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 60460: 000a8506 6164665f 6f735f64 6d615f61 ....adf_os_dma_a + 60470: 6464725f 74000000 156a065f 5f616466 ddr_t....j.__adf + 60480: 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 60490: 000a8506 6164665f 6f735f64 6d615f73 ....adf_os_dma_s + 604a0: 697a655f 74000000 159a025f 5f646d61 ize_t......__dma + 604b0: 5f736567 73000800 0015f603 70616464 _segs.......padd + 604c0: 72000000 15830223 00036c65 6e000000 r......#..len... + 604d0: 15b30223 0400065f 5f615f75 696e7433 ...#...__a_uint3 + 604e0: 325f7400 00000a85 06615f75 696e7433 2_t......a_uint3 + 604f0: 325f7400 000015f6 07000015 ca080000 2_t............. + 60500: 16250800 00026164 665f6f73 5f646d61 .%....adf_os_dma + 60510: 6d61705f 696e666f 000c0000 165e036e map_info.....^.n + 60520: 73656773 00000016 08022300 03646d61 segs......#..dma + 60530: 5f736567 73000000 16180223 0400065f _segs......#..._ + 60540: 5f615f75 696e7438 5f740000 00019e06 _a_uint8_t...... + 60550: 615f7569 6e74385f 74000000 165e0400 a_uint8_t....^.. + 60560: 00166f04 00025f5f 73675f73 65677300 ..o...__sg_segs. + 60570: 08000016 b0037661 64647200 0000167e ......vaddr....~ + 60580: 02230003 6c656e00 00001608 02230400 .#..len......#.. + 60590: 07000016 85200000 16bd0803 00026164 ..... ........ad + 605a0: 665f6f73 5f73676c 69737400 24000016 f_os_sglist.$... + 605b0: f0036e73 65677300 00001608 02230003 ..nsegs......#.. + 605c0: 73675f73 65677300 000016b0 02230400 sg_segs......#.. + 605d0: 12100000 17390376 656e646f 72000000 .....9.vendor... + 605e0: 16080223 00036465 76696365 00000016 ...#..device.... + 605f0: 08022304 03737562 76656e64 6f720000 ..#..subvendor.. + 60600: 00160802 23080373 75626465 76696365 ....#..subdevice + 60610: 00000016 0802230c 00056c6f 6e67206c ......#...long l + 60620: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 60630: 00070806 415f5549 4e543634 00000017 ....A_UINT64.... + 60640: 39065f5f 615f7569 6e743634 5f740000 9.__a_uint64_t.. + 60650: 00175306 615f7569 6e743634 5f740000 ..S.a_uint64_t.. + 60660: 00176114 04000017 bf104144 465f4f53 ..a.......ADF_OS + 60670: 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 60680: 454d0000 10414446 5f4f535f 5245534f EM...ADF_OS_RESO + 60690: 55524345 5f545950 455f494f 00010006 URCE_TYPE_IO.... + 606a0: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 606b0: 74797065 5f740000 00178312 18000018 type_t.......... + 606c0: 09037374 61727400 00001773 02230003 ..start....s.#.. + 606d0: 656e6400 00001773 02230803 74797065 end....s.#..type + 606e0: 00000017 bf022310 00066164 665f6f73 ......#...adf_os + 606f0: 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 60700: 16f00400 00180904 00110400 00184803 ..............H. + 60710: 70636900 00001822 02230003 72617700 pci....".#..raw. + 60720: 0000022f 02230000 11100000 18670370 .../.#.......g.p + 60730: 63690000 00180902 23000372 61770000 ci......#..raw.. + 60740: 00022f02 23000006 6164665f 6472765f ../.#...adf_drv_ + 60750: 68616e64 6c655f74 00000002 2f066164 handle_t..../.ad + 60760: 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 60770: 000017db 04000018 7d040006 6164665f ........}...adf_ + 60780: 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 60790: 00000018 48040000 189b0400 04000014 ....H........... + 607a0: c7040006 5f5f6164 665f6f73 5f646576 ....__adf_os_dev + 607b0: 6963655f 74000000 18bc0661 64665f6f ice_t......adf_o + 607c0: 735f6465 76696365 5f740000 0018c30a s_device_t...... + 607d0: 00001867 01040000 18ef0400 09010400 ...g............ + 607e0: 0018fc04 00066164 665f6f73 5f706d5f ......adf_os_pm_ + 607f0: 74000000 022f0901 04000019 16040014 t..../.......... + 60800: 04000019 56104144 465f4f53 5f425553 ....V.ADF_OS_BUS + 60810: 5f545950 455f5043 49000110 4144465f _TYPE_PCI...ADF_ + 60820: 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 60830: 52494300 02000661 64665f6f 735f6275 RIC....adf_os_bu + 60840: 735f7479 70655f74 00000019 1f066164 s_type_t......ad + 60850: 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 60860: 615f7400 00001829 04000001 8d040002 a_t....)........ + 60870: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 60880: 001a3303 6472765f 61747461 63680000 ..3.drv_attach.. + 60890: 0018f502 23000364 72765f64 65746163 ....#..drv_detac + 608a0: 68000000 18fe0223 04036472 765f7375 h......#..drv_su + 608b0: 7370656e 64000000 19180223 08036472 spend......#..dr + 608c0: 765f7265 73756d65 00000018 fe02230c v_resume......#. + 608d0: 03627573 5f747970 65000000 19560223 .bus_type....V.# + 608e0: 10036275 735f6461 74610000 00196d02 ..bus_data....m. + 608f0: 2314036d 6f645f6e 616d6500 00001988 #..mod_name..... + 60900: 02231803 69666e61 6d650000 00198802 .#..ifname...... + 60910: 231c0006 6164665f 6f735f68 616e646c #...adf_os_handl + 60920: 655f7400 0000022f 04000016 5e040009 e_t..../....^... + 60930: 01090106 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 60940: 655f7400 00000571 14040000 1a821041 e_t....q.......A + 60950: 5f46414c 53450000 10415f54 52554500 _FALSE...A_TRUE. + 60960: 01000661 5f626f6f 6c5f7400 00001a68 ...a_bool_t....h + 60970: 04000014 f0040006 5f5f6164 665f6f73 ........__adf_os + 60980: 5f646d61 5f6d6170 5f740000 001a9009 _dma_map_t...... + 60990: 010f6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 609a0: 796e6300 0400001b 1a104144 465f5359 ync.......ADF_SY + 609b0: 4e435f50 52455245 41440000 10414446 NC_PREREAD...ADF + 609c0: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 609d0: 10414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 609e0: 41440001 10414446 5f53594e 435f504f AD...ADF_SYNC_PO + 609f0: 53545752 49544500 03000661 64665f6f STWRITE....adf_o + 60a00: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 60a10: 001ab109 01066164 665f6f73 5f73697a ......adf_os_siz + 60a20: 655f7400 00001a53 0a00001b 35010661 e_t....S....5..a + 60a30: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 60a40: 00001a97 0400001b 4e04000a 0000022f ........N....../ + 60a50: 01040000 1a970400 0a000002 2f010901 ............/... + 60a60: 0a000015 83010901 0573686f 72742069 .........short i + 60a70: 6e740005 0206415f 494e5431 36000000 nt....A_INT16... + 60a80: 1b88065f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 60a90: 001b9506 615f696e 7431365f 74000000 ....a_int16_t... + 60aa0: 1ba20573 69676e65 64206368 61720005 ...signed char.. + 60ab0: 0106415f 494e5438 0000001b c2065f5f ..A_INT8......__ + 60ac0: 615f696e 74385f74 0000001b d106615f a_int8_t......a_ + 60ad0: 696e7438 5f740000 001bdd12 0c00001c int8_t.......... + 60ae0: 54037375 70706f72 74656400 00001608 T.supported..... + 60af0: 02230003 61647665 7274697a 65640000 .#..advertized.. + 60b00: 00160802 23040373 70656564 0000001b ....#..speed.... + 60b10: b3022308 03647570 6c657800 00001bed ..#..duplex..... + 60b20: 02230a03 6175746f 6e656700 0000166f .#..autoneg....o + 60b30: 02230b00 07000016 6f060000 1c610805 .#......o....a.. + 60b40: 00026164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 60b50: 72000600 001c8503 61646472 0000001c r.......addr.... + 60b60: 54022300 00065f5f 615f7569 6e743136 T.#...__a_uint16 + 60b70: 5f740000 0001c806 615f7569 6e743136 _t......a_uint16 + 60b80: 5f740000 001c8512 0e00001c e9036574 _t............et + 60b90: 6865725f 64686f73 74000000 1c540223 her_dhost....T.# + 60ba0: 00036574 6865725f 73686f73 74000000 ..ether_shost... + 60bb0: 1c540223 06036574 6865725f 74797065 .T.#..ether_type + 60bc0: 0000001c 9702230c 00121400 001daa15 ......#......... + 60bd0: 69705f76 65727369 6f6e0000 00166f01 ip_version....o. + 60be0: 00040223 00156970 5f686c00 0000166f ...#..ip_hl....o + 60bf0: 01040402 23000369 705f746f 73000000 ....#..ip_tos... + 60c00: 166f0223 01036970 5f6c656e 0000001c .o.#..ip_len.... + 60c10: 97022302 0369705f 69640000 001c9702 ..#..ip_id...... + 60c20: 23040369 705f6672 61675f6f 66660000 #..ip_frag_off.. + 60c30: 001c9702 23060369 705f7474 6c000000 ....#..ip_ttl... + 60c40: 166f0223 08036970 5f70726f 746f0000 .o.#..ip_proto.. + 60c50: 00166f02 23090369 705f6368 65636b00 ..o.#..ip_check. + 60c60: 00001c97 02230a03 69705f73 61646472 .....#..ip_saddr + 60c70: 00000016 0802230c 0369705f 64616464 ......#..ip_dadd + 60c80: 72000000 16080223 10000261 64665f6e r......#...adf_n + 60c90: 65745f76 6c616e68 64720004 00001dfc et_vlanhdr...... + 60ca0: 03747069 64000000 1c970223 00157072 .tpid......#..pr + 60cb0: 696f0000 00166f01 00030223 02156366 io....o....#..cf + 60cc0: 69000000 166f0103 01022302 15766964 i....o....#..vid + 60cd0: 0000001c 9702040c 02230200 02616466 .........#...adf + 60ce0: 5f6e6574 5f766964 00020000 1e2d1572 _net_vid.....-.r + 60cf0: 65730000 00166f01 00040223 00157661 es....o....#..va + 60d00: 6c000000 1c970204 0c022300 00120c00 l.........#..... + 60d10: 001e6903 72785f62 75667369 7a650000 ..i.rx_bufsize.. + 60d20: 00160802 23000372 785f6e64 65736300 ....#..rx_ndesc. + 60d30: 00001608 02230403 74785f6e 64657363 .....#..tx_ndesc + 60d40: 00000016 08022308 00120800 001e8f03 ......#......... + 60d50: 706f6c6c 65640000 001a8202 23000370 polled......#..p + 60d60: 6f6c6c5f 77740000 00160802 23040007 oll_wt......#... + 60d70: 0000166f 4000001e 9c083f00 12460000 ...o@.....?..F.. + 60d80: 1ec40369 665f6e61 6d650000 001e8f02 ...if_name...... + 60d90: 23000364 65765f61 64647200 00001c54 #..dev_addr....T + 60da0: 02234000 14040000 1efb1041 44465f4f .#@........ADF_O + 60db0: 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 60dc0: 00001041 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 60dd0: 534b5f36 34424954 00010006 6164665f SK_64BIT....adf_ + 60de0: 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 60df0: 1ec40261 64665f64 6d615f69 6e666f00 ...adf_dma_info. + 60e00: 0800001f 4803646d 615f6d61 736b0000 ....H.dma_mask.. + 60e10: 001efb02 23000373 675f6e73 65677300 ....#..sg_nsegs. + 60e20: 00001608 02230400 14040000 1f9e1041 .....#.........A + 60e30: 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 60e40: 45000010 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 60e50: 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 60e60: 10414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 60e70: 43505f55 44505f49 50763600 02000661 CP_UDP_IPv6....a + 60e80: 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 60e90: 655f7400 00001f48 12080000 1fe10374 e_t....H.......t + 60ea0: 785f636b 73756d00 00001f9e 02230003 x_cksum......#.. + 60eb0: 72785f63 6b73756d 0000001f 9e022304 rx_cksum......#. + 60ec0: 00066164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 60ed0: 696e666f 5f740000 001fb814 04000020 info_t......... + 60ee0: 3a104144 465f4e45 545f5453 4f5f4e4f :.ADF_NET_TSO_NO + 60ef0: 4e450000 10414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 60f00: 5f495056 34000110 4144465f 4e45545f _IPV4...ADF_NET_ + 60f10: 54534f5f 414c4c00 02000661 64665f6e TSO_ALL....adf_n + 60f20: 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 60f30: 1ffb1210 0000208e 03636b73 756d5f63 ...... ..cksum_c + 60f40: 61700000 001fe102 23000374 736f0000 ap......#..tso.. + 60f50: 00203a02 23080376 6c616e5f 73757070 . :.#..vlan_supp + 60f60: 6f727465 64000000 166f0223 0c001220 orted....o.#... + 60f70: 00002127 0374785f 7061636b 65747300 ..!'.tx_packets. + 60f80: 00001608 02230003 72785f70 61636b65 .....#..rx_packe + 60f90: 74730000 00160802 23040374 785f6279 ts......#..tx_by + 60fa0: 74657300 00001608 02230803 72785f62 tes......#..rx_b + 60fb0: 79746573 00000016 0802230c 0374785f ytes......#..tx_ + 60fc0: 64726f70 70656400 00001608 02231003 dropped......#.. + 60fd0: 72785f64 726f7070 65640000 00160802 rx_dropped...... + 60fe0: 23140372 785f6572 726f7273 00000016 #..rx_errors.... + 60ff0: 08022318 0374785f 6572726f 72730000 ..#..tx_errors.. + 61000: 00160802 231c0006 6164665f 6e65745f ....#...adf_net_ + 61010: 65746861 6464725f 74000000 1c611600 ethaddr_t....a.. + 61020: 00212703 00000021 4c087f00 17616466 .!'....!L....adf + 61030: 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 61040: 03040000 2183036e 656c656d 00000016 ....!..nelem.... + 61050: 08022300 036d6361 73740000 00213e02 ..#..mcast...!>. + 61060: 23040006 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 61070: 6c696e6b 5f696e66 6f5f7400 00001bfb link_info_t..... + 61080: 06616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 61090: 6c5f696e 666f5f74 0000001e 69066164 l_info_t....i.ad + 610a0: 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 610b0: 696e666f 5f740000 001fe106 6164665f info_t......adf_ + 610c0: 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 610d0: 6f5f7400 00001e2d 06616466 5f6e6574 o_t....-.adf_net + 610e0: 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 610f0: 00001f12 06616466 5f6e6574 5f636d64 .....adf_net_cmd + 61100: 5f766964 5f740000 001c9706 6164665f _vid_t......adf_ + 61110: 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 61120: 6361705f 74000000 20520661 64665f6e cap_t... R.adf_n + 61130: 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 61140: 00208e06 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 61150: 6d636164 64725f74 00000021 4c0f6164 mcaddr_t...!L.ad + 61160: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 61170: 63617000 04000022 c5104144 465f4e45 cap...."..ADF_NE + 61180: 545f4d43 4153545f 53555000 00104144 T_MCAST_SUP...AD + 61190: 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 611a0: 55500001 00066164 665f6e65 745f636d UP....adf_net_cm + 611b0: 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 611c0: 227d1803 04000023 97036c69 6e6b5f69 "}.....#..link_i + 611d0: 6e666f00 00002183 02230003 706f6c6c nfo...!..#..poll + 611e0: 5f696e66 6f000000 21a00223 0003636b _info...!..#..ck + 611f0: 73756d5f 696e666f 00000021 bd022300 sum_info...!..#. + 61200: 0372696e 675f696e 666f0000 0021db02 .ring_info...!.. + 61210: 23000364 6d615f69 6e666f00 000021f8 #..dma_info...!. + 61220: 02230003 76696400 00002214 02230003 .#..vid..."..#.. + 61230: 6f66666c 6f61645f 63617000 0000222b offload_cap..."+ + 61240: 02230003 73746174 73000000 224a0223 .#..stats..."J.# + 61250: 00036d63 6173745f 696e666f 00000022 ..mcast_info..." + 61260: 63022300 036d6361 73745f63 61700000 c.#..mcast_cap.. + 61270: 0022c502 23000014 04000023 ee104144 ."..#......#..AD + 61280: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 61290: 4e4f4e45 00001041 44465f4e 4255465f NONE...ADF_NBUF_ + 612a0: 52585f43 4b53554d 5f485700 01104144 RX_CKSUM_HW...AD + 612b0: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 612c0: 554e4e45 43455353 41525900 02000661 UNNECESSARY....a + 612d0: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 612e0: 5f747970 655f7400 00002397 12080000 _type_t...#..... + 612f0: 242e0372 6573756c 74000000 23ee0223 $..result...#..# + 61300: 00037661 6c000000 16080223 04001208 ..val......#.... + 61310: 0000245e 03747970 65000000 203a0223 ..$^.type... :.# + 61320: 00036d73 73000000 1c970223 04036864 ..mss......#..hd + 61330: 725f6f66 66000000 166f0223 0600025f r_off....o.#..._ + 61340: 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 61350: 0c000024 9d036865 61640000 00142802 ...$..head....(. + 61360: 23000374 61696c00 00001428 02230403 #..tail....(.#.. + 61370: 716c656e 00000016 08022308 00065f5f qlen......#...__ + 61380: 6164665f 6e627566 5f740000 00142804 adf_nbuf_t....(. + 61390: 0000167e 04000400 00160804 0009010a ...~............ + 613a0: 00000205 010a0000 1608010a 0000167e ...............~ + 613b0: 010a0000 167e0104 000001d6 0400065f .....~........._ + 613c0: 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 613d0: 74000000 245e065f 5f616466 5f6e6275 t...$^.__adf_nbu + 613e0: 665f7175 6575655f 74000000 24de0400 f_queue_t...$... + 613f0: 0024f604 000a0000 249d010a 0000249d .$......$.....$. + 61400: 01140400 00261610 415f5354 41545553 .....&..A_STATUS + 61410: 5f4f4b00 0010415f 53544154 55535f46 _OK...A_STATUS_F + 61420: 41494c45 44000110 415f5354 41545553 AILED...A_STATUS + 61430: 5f454e4f 454e5400 0210415f 53544154 _ENOENT...A_STAT + 61440: 55535f45 4e4f4d45 4d000310 415f5354 US_ENOMEM...A_ST + 61450: 41545553 5f45494e 56414c00 0410415f ATUS_EINVAL...A_ + 61460: 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 61470: 53530005 10415f53 54415455 535f454e SS...A_STATUS_EN + 61480: 4f545355 50500006 10415f53 54415455 OTSUPP...A_STATU + 61490: 535f4542 55535900 0710415f 53544154 S_EBUSY...A_STAT + 614a0: 55535f45 32424947 00081041 5f535441 US_E2BIG...A_STA + 614b0: 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 614c0: 4c000910 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 614d0: 494f000a 10415f53 54415455 535f4546 IO...A_STATUS_EF + 614e0: 41554c54 000b1041 5f535441 5455535f AULT...A_STATUS_ + 614f0: 45494f00 0c000661 5f737461 7475735f EIO....a_status_ + 61500: 74000000 25210a00 00261601 0a000001 t...%!...&...... + 61510: ea010901 06616466 5f6e6275 665f7400 .....adf_nbuf_t. + 61520: 0000249d 14040000 267b1041 44465f4f ..$.....&{.ADF_O + 61530: 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 61540: 00104144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 61550: 4d5f4445 56494345 00010006 6164665f M_DEVICE....adf_ + 61560: 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 61570: 440a0000 26160109 01066164 665f6f73 D...&.....adf_os + 61580: 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 61590: 00162504 00002699 04000901 09010a00 ..%...&......... + 615a0: 00263401 0a000024 9d010901 09010a00 .&4....$........ + 615b0: 00263401 0a000024 9d010a00 00263401 .&4....$.....&4. + 615c0: 0a000024 9d010a00 00263401 09010901 ...$.....&4..... + 615d0: 0a000016 08010a00 00167e01 09010901 ..........~..... + 615e0: 0a00001b 35010a00 001a8201 0a00001a ....5........... + 615f0: 82010661 64665f6f 735f7367 6c697374 ...adf_os_sglist + 61600: 5f740000 0016bd04 00002712 04000901 _t........'..... + 61610: 09010901 0a000016 7e010661 64665f6e ........~..adf_n + 61620: 6275665f 71756575 655f7400 000024f6 buf_queue_t...$. + 61630: 04000027 3a040009 01040000 24de0400 ...':.......$... + 61640: 09010901 09010a00 00263401 0a000024 .........&4....$ + 61650: 9d010a00 00160801 0a000016 08010a00 ................ + 61660: 001a8201 0a00001a 82010a00 001f9e01 ................ + 61670: 0a000016 08010661 64665f6e 6275665f .......adf_nbuf_ + 61680: 72785f63 6b73756d 5f740000 00240c04 rx_cksum_t...$.. + 61690: 00002796 04000901 09010661 64665f6e ..'........adf_n + 616a0: 6275665f 74736f5f 74000000 242e0400 buf_tso_t...$... + 616b0: 0027ba04 00090109 01066164 665f6e65 .'........adf_ne + 616c0: 745f6861 6e646c65 5f740000 00022f06 t_handle_t..../. + 616d0: 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 616e0: 74000000 1daa0400 0027ef04 000a0000 t........'...... + 616f0: 2616010a 00002616 01090109 01025f48 &.....&......._H + 61700: 49465f43 4f4e4649 47000400 00283e03 IF_CONFIG....(>. + 61710: 64756d6d 79000000 01ea0223 00000901 dummy......#.... + 61720: 04000028 3e040009 01040000 28470400 ...(>.......(G.. + 61730: 025f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 61740: 0000289c 0373656e 645f6275 665f646f ..(..send_buf_do + 61750: 6e650000 00284002 23000372 6563765f ne...(@.#..recv_ + 61760: 62756600 00002849 02230403 636f6e74 buf...(I.#..cont + 61770: 65787400 0000022f 02230800 06686966 ext..../.#...hif + 61780: 5f68616e 646c655f 74000000 022f0648 _handle_t..../.H + 61790: 49465f43 4f4e4649 47000000 281d0400 IF_CONFIG...(... + 617a0: 0028ae04 000a0000 289c0104 000028c5 .(......(.....(. + 617b0: 04000901 04000028 d2040006 4849465f .......(....HIF_ + 617c0: 43414c4c 4241434b 00000028 50040000 CALLBACK...(P... + 617d0: 28db0400 09010400 0028f404 000a0000 (........(...... + 617e0: 01ea0104 000028fd 04000901 04000029 ......(........) + 617f0: 0a04000a 000001ea 01040000 29130400 ............)... + 61800: 09010400 00292004 000a0000 01ea0104 .....) ......... + 61810: 00002929 04000901 04000029 36040002 ..)).......)6... + 61820: 6869665f 61706900 3800002a 8f035f69 hif_api.8..*.._i + 61830: 6e697400 000028cb 02230003 5f736875 nit...(..#.._shu + 61840: 74646f77 6e000000 28d40223 04035f72 tdown...(..#.._r + 61850: 65676973 7465725f 63616c6c 6261636b egister_callback + 61860: 00000028 f6022308 035f6765 745f746f ...(..#.._get_to + 61870: 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 61880: 00000029 0302230c 035f7374 61727400 ...)..#.._start. + 61890: 000028d4 02231003 5f636f6e 6669675f ..(..#.._config_ + 618a0: 70697065 00000029 0c022314 035f7365 pipe...)..#.._se + 618b0: 6e645f62 75666665 72000000 29190223 nd_buffer...)..# + 618c0: 18035f72 65747572 6e5f7265 63765f62 .._return_recv_b + 618d0: 75660000 00292202 231c035f 69735f70 uf...)".#.._is_p + 618e0: 6970655f 73757070 6f727465 64000000 ipe_supported... + 618f0: 292f0223 20035f67 65745f6d 61785f6d )/.# ._get_max_m + 61900: 73675f6c 656e0000 00292f02 2324035f sg_len...)/.#$._ + 61910: 6765745f 72657365 72766564 5f686561 get_reserved_hea + 61920: 64726f6f 6d000000 29030223 28035f69 droom...)..#(._i + 61930: 73725f68 616e646c 65720000 0028d402 sr_handler...(.. + 61940: 232c035f 6765745f 64656661 756c745f #,._get_default_ + 61950: 70697065 00000029 38022330 03705265 pipe...)8.#0.pRe + 61960: 73657276 65640000 00022f02 2334000f served..../.#4.. + 61970: 646d615f 656e6769 6e650004 00002b18 dma_engine....+. + 61980: 10444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 61990: 0010444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 619a0: 00011044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 619b0: 32000210 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 619c0: 58330003 10444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 619d0: 54583000 0410444d 415f454e 47494e45 TX0...DMA_ENGINE + 619e0: 5f545831 00051044 4d415f45 4e47494e _TX1...DMA_ENGIN + 619f0: 455f4d41 58000600 06646d61 5f656e67 E_MAX....dma_eng + 61a00: 696e655f 74000000 2a8f0f64 6d615f69 ine_t...*..dma_i + 61a10: 66747970 65000400 002b6510 444d415f ftype....+e.DMA_ + 61a20: 49465f47 4d414300 0010444d 415f4946 IF_GMAC...DMA_IF + 61a30: 5f504349 00011044 4d415f49 465f5043 _PCI...DMA_IF_PC + 61a40: 49450002 0006646d 615f6966 74797065 IE....dma_iftype + 61a50: 5f740000 002b2a0a 000001c8 01040000 _t...+*......... + 61a60: 2b770400 09010400 002b8404 00090104 +w.......+...... + 61a70: 00002b8d 04000a00 000a8501 0400002b ..+............+ + 61a80: 9604000a 000001c8 01040000 2ba30400 ............+... + 61a90: 0a000001 c8010400 002bb004 000a0000 .........+...... + 61aa0: 14280104 00002bbd 04000901 0400002b .(....+........+ + 61ab0: ca040002 646d615f 6c69625f 61706900 ....dma_lib_api. + 61ac0: 3400002c d1037478 5f696e69 74000000 4..,..tx_init... + 61ad0: 2b7d0223 00037478 5f737461 72740000 +}.#..tx_start.. + 61ae0: 002b8602 23040372 785f696e 69740000 .+..#..rx_init.. + 61af0: 002b7d02 23080372 785f636f 6e666967 .+}.#..rx_config + 61b00: 0000002b 8f02230c 0372785f 73746172 ...+..#..rx_star + 61b10: 74000000 2b860223 1003696e 74725f73 t...+..#..intr_s + 61b20: 74617475 73000000 2b9c0223 14036861 tatus...+..#..ha + 61b30: 72645f78 6d697400 00002ba9 02231803 rd_xmit...+..#.. + 61b40: 666c7573 685f786d 69740000 002b8602 flush_xmit...+.. + 61b50: 231c0378 6d69745f 646f6e65 0000002b #..xmit_done...+ + 61b60: b6022320 03726561 705f786d 69747465 ..# .reap_xmitte + 61b70: 64000000 2bc30223 24037265 61705f72 d...+..#$.reap_r + 61b80: 65637600 00002bc3 02232803 72657475 ecv...+..#(.retu + 61b90: 726e5f72 65637600 00002bcc 02232c03 rn_recv...+..#,. + 61ba0: 72656376 5f706b74 0000002b b6022330 recv_pkt...+..#0 + 61bb0: 00025f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 61bc0: 002cef03 73770000 0028db02 23000006 .,..sw...(..#... + 61bd0: 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 61be0: 2cd10400 002cef04 00090104 00002d09 ,....,........-. + 61bf0: 04000a00 00019e01 0400002d 1204000f ...........-.... + 61c00: 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 61c10: 0400002d 72104849 465f5043 495f5049 ...-r.HIF_PCI_PI + 61c20: 50455f54 58300000 10484946 5f504349 PE_TX0...HIF_PCI + 61c30: 5f504950 455f5458 31000110 4849465f _PIPE_TX1...HIF_ + 61c40: 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 61c50: 02000668 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 61c60: 74785f74 0000002d 1f0a0000 2b180104 tx_t...-....+... + 61c70: 00002d89 04000f68 69665f70 63695f70 ..-....hif_pci_p + 61c80: 6970655f 72780004 00002e0f 10484946 ipe_rx.......HIF + 61c90: 5f504349 5f504950 455f5258 30000010 _PCI_PIPE_RX0... + 61ca0: 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 61cb0: 00011048 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 61cc0: 52583200 02104849 465f5043 495f5049 RX2...HIF_PCI_PI + 61cd0: 50455f52 58330003 10484946 5f504349 PE_RX3...HIF_PCI + 61ce0: 5f504950 455f5258 5f4d4158 00040006 _PIPE_RX_MAX.... + 61cf0: 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 61d00: 74000000 2d960a00 002b1801 0400002e t...-....+...... + 61d10: 26040002 6869665f 7063695f 61706900 &...hif_pci_api. + 61d20: 2400002f 04037063 695f626f 6f745f69 $../..pci_boot_i + 61d30: 6e697400 000002a3 02230003 7063695f nit......#..pci_ + 61d40: 696e6974 00000028 cb022304 03706369 init...(..#..pci + 61d50: 5f726573 65740000 0002a302 23080370 _reset......#..p + 61d60: 63695f65 6e61626c 65000000 02a30223 ci_enable......# + 61d70: 0c037063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 61d80: 65640000 002d0b02 23100370 63695f72 ed...-..#..pci_r + 61d90: 6561705f 72656376 0000002d 0b022314 eap_recv...-..#. + 61da0: 03706369 5f676574 5f706970 65000000 .pci_get_pipe... + 61db0: 2d180223 18037063 695f6765 745f7478 -..#..pci_get_tx + 61dc0: 5f656e67 0000002d 8f02231c 03706369 _eng...-..#..pci + 61dd0: 5f676574 5f72785f 656e6700 00002e2c _get_rx_eng...., + 61de0: 02232000 02676d61 635f6170 69000400 .# ..gmac_api... + 61df0: 002f2b03 676d6163 5f626f6f 745f696e ./+.gmac_boot_in + 61e00: 69740000 0002a302 23000007 0000018d it......#....... + 61e10: 0600002f 38080500 025f5f65 74686864 .../8....__ethhd + 61e20: 72000e00 002f6e03 64737400 00002f2b r..../n.dst.../+ + 61e30: 02230003 73726300 00002f2b 02230603 .#..src.../+.#.. + 61e40: 65747970 65000000 01c80223 0c00025f etype......#..._ + 61e50: 5f617468 68647200 0400002f bc157265 _athhdr..../..re + 61e60: 73000000 019e0100 02022300 1570726f s.........#..pro + 61e70: 746f0000 00019e01 02060223 00037265 to.........#..re + 61e80: 735f6c6f 00000001 9e022301 03726573 s_lo......#..res + 61e90: 5f686900 000001c8 02230200 025f5f67 _hi......#...__g + 61ea0: 6d61635f 68647200 1400002f f8036574 mac_hdr..../..et + 61eb0: 68000000 2f380223 00036174 68000000 h.../8.#..ath... + 61ec0: 2f6e0223 0e03616c 69676e5f 70616400 /n.#..align_pad. + 61ed0: 000001c8 02231200 065f5f67 6d61635f .....#...__gmac_ + 61ee0: 6864725f 74000000 2fbc025f 5f676d61 hdr_t.../..__gma + 61ef0: 635f736f 66746300 24000030 42036864 c_softc.$..0B.hd + 61f00: 72000000 2ff80223 00036772 616e0000 r.../..#..gran.. + 61f10: 0001c802 23140373 77000000 28db0223 ....#..sw...(..# + 61f20: 18000e5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 61f30: 5f636865 636b0000 01040000 30420400 _check......0B.. + 61f40: 0a000001 ea010400 00306004 00040000 .........0`..... + 61f50: 05710400 175f415f 636d6e6f 735f696e .q..._A_cmnos_in + 61f60: 64697265 6374696f 6e5f7461 626c6500 direction_table. + 61f70: 01b80000 31b00368 616c5f6c 696e6b61 ....1..hal_linka + 61f80: 67655f63 6865636b 00000030 66022300 ge_check...0f.#. + 61f90: 03737461 72745f62 73730000 00306d02 .start_bss...0m. + 61fa0: 23040361 70705f73 74617274 00000002 #..app_start.... + 61fb0: a3022308 036d656d 00000005 b102230c ..#..mem......#. + 61fc0: 036d6973 63000000 06d00223 20037072 .misc......# .pr + 61fd0: 696e7466 00000002 d0022344 03756172 intf......#D.uar + 61fe0: 74000000 03830223 4c03676d 61630000 t......#L.gmac.. + 61ff0: 002f0402 236c0375 73620000 0010f602 ./..#l.usb...... + 62000: 23700363 6c6f636b 0000000c 3f0323e0 #p.clock....?.#. + 62010: 01037469 6d657200 000008e8 03238402 ..timer......#.. + 62020: 03696e74 72000000 0d8f0323 98020361 .intr......#...a + 62030: 6c6c6f63 72616d00 00000aa0 0323c402 llocram......#.. + 62040: 03726f6d 70000000 09920323 d0020377 .romp......#...w + 62050: 64745f74 696d6572 0000000f 6c0323e0 dt_timer....l.#. + 62060: 02036565 70000000 109a0323 fc020373 ..eep......#...s + 62070: 7472696e 67000000 07f40323 8c030374 tring......#...t + 62080: 61736b6c 65740000 000b9d03 23a40300 asklet......#... + 62090: 025f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI + 620a0: 47001000 00322303 6765745f 636f6d6d G....2#.get_comm + 620b0: 616e645f 62756600 00001435 02230003 and_buf....5.#.. + 620c0: 72656376 5f636f6d 6d616e64 00000014 recv_command.... + 620d0: 4b022304 03676574 5f657665 6e745f62 K.#..get_event_b + 620e0: 75660000 00143502 23080373 656e645f uf....5.#..send_ + 620f0: 6576656e 745f646f 6e650000 00144b02 event_done....K. + 62100: 230c0006 5553425f 4649464f 5f434f4e #...USB_FIFO_CON + 62110: 46494700 000031b0 04000032 23040009 FIG...1....2#... + 62120: 01040000 323f0400 02757362 6669666f ....2?...usbfifo + 62130: 5f617069 000c0000 3295035f 696e6974 _api....2.._init + 62140: 00000032 41022300 035f656e 61626c65 ...2A.#.._enable + 62150: 5f657665 6e745f69 73720000 0002a302 _event_isr...... + 62160: 23040370 52657365 72766564 00000002 #..pReserved.... + 62170: 2f022308 00070000 166f0200 0032a208 /.#......o...2.. + 62180: 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 62190: 52000800 00331403 456e6470 6f696e74 R....3..Endpoint + 621a0: 49440000 00166f02 23000346 6c616773 ID....o.#..Flags + 621b0: 00000016 6f022301 03506179 6c6f6164 ....o.#..Payload + 621c0: 4c656e00 00001c97 02230203 436f6e74 Len......#..Cont + 621d0: 726f6c42 79746573 00000032 95022304 rolBytes...2..#. + 621e0: 03486f73 74536571 4e756d00 00001c97 .HostSeqNum..... + 621f0: 02230600 12020000 332d034d 65737361 .#......3-.Messa + 62200: 67654944 0000001c 97022300 00120800 geID......#..... + 62210: 00339003 4d657373 61676549 44000000 .3..MessageID... + 62220: 1c970223 00034372 65646974 436f756e ...#..CreditCoun + 62230: 74000000 1c970223 02034372 65646974 t......#..Credit + 62240: 53697a65 0000001c 97022304 034d6178 Size......#..Max + 62250: 456e6470 6f696e74 73000000 166f0223 Endpoints....o.# + 62260: 06035f50 61643100 0000166f 02230700 .._Pad1....o.#.. + 62270: 120a0000 3427034d 65737361 67654944 ....4'.MessageID + 62280: 0000001c 97022300 03536572 76696365 ......#..Service + 62290: 49440000 001c9702 23020343 6f6e6e65 ID......#..Conne + 622a0: 6374696f 6e466c61 67730000 001c9702 ctionFlags...... + 622b0: 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 622c0: 44000000 166f0223 06035570 4c696e6b D....o.#..UpLink + 622d0: 50697065 49440000 00166f02 23070353 PipeID....o.#..S + 622e0: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 622f0: 00000016 6f022308 035f5061 64310000 ....o.#.._Pad1.. + 62300: 00166f02 23090012 0a000034 af034d65 ..o.#......4..Me + 62310: 73736167 65494400 00001c97 02230003 ssageID......#.. + 62320: 53657276 69636549 44000000 1c970223 ServiceID......# + 62330: 02035374 61747573 00000016 6f022304 ..Status....o.#. + 62340: 03456e64 706f696e 74494400 0000166f .EndpointID....o + 62350: 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 62360: 001c9702 23060353 65727669 63654d65 ....#..ServiceMe + 62370: 74614c65 6e677468 00000016 6f022308 taLength....o.#. + 62380: 035f5061 64310000 00166f02 23090012 ._Pad1....o.#... + 62390: 02000034 c8034d65 73736167 65494400 ...4..MessageID. + 623a0: 00001c97 02230000 12040000 3504034d .....#......5..M + 623b0: 65737361 67654944 0000001c 97022300 essageID......#. + 623c0: 03506970 65494400 0000166f 02230203 .PipeID....o.#.. + 623d0: 43726564 6974436f 756e7400 0000166f CreditCount....o + 623e0: 02230300 12040000 353b034d 65737361 .#......5;.Messa + 623f0: 67654944 0000001c 97022300 03506970 geID......#..Pip + 62400: 65494400 0000166f 02230203 53746174 eID....o.#..Stat + 62410: 75730000 00166f02 23030012 02000035 us....o.#......5 + 62420: 62035265 636f7264 49440000 00166f02 b.RecordID....o. + 62430: 2300034c 656e6774 68000000 166f0223 #..Length....o.# + 62440: 01001202 0000358c 03456e64 706f696e ......5..Endpoin + 62450: 74494400 0000166f 02230003 43726564 tID....o.#..Cred + 62460: 69747300 0000166f 02230100 12040000 its....o.#...... + 62470: 35cd0345 6e64706f 696e7449 44000000 5..EndpointID... + 62480: 166f0223 00034372 65646974 73000000 .o.#..Credits... + 62490: 166f0223 01035467 74437265 64697453 .o.#..TgtCreditS + 624a0: 65714e6f 0000001c 97022302 00070000 eqNo......#..... + 624b0: 166f0400 0035da08 03001206 00003616 .o...5........6. + 624c0: 03507265 56616c69 64000000 166f0223 .PreValid....o.# + 624d0: 00034c6f 6f6b4168 65616400 000035cd ..LookAhead...5. + 624e0: 02230103 506f7374 56616c69 64000000 .#..PostValid... + 624f0: 166f0223 05000670 6f6f6c5f 68616e64 .o.#...pool_hand + 62500: 6c655f74 00000002 2f0a0000 36160104 le_t..../...6... + 62510: 00003629 04000901 04000036 36040014 ..6).......66... + 62520: 04000036 b410504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 62530: 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL + 62540: 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 62550: 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ + 62560: 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... + 62570: 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 62580: 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 62590: 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 625a0: 00000036 3f090104 000036c5 04000a00 ...6?.....6..... + 625b0: 00263401 04000036 ce04000a 00002634 .&4....6......&4 + 625c0: 01040000 36db0400 09010400 0036e804 ....6........6.. + 625d0: 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 625e0: 0000378a 035f696e 69740000 00362f02 ..7.._init...6/. + 625f0: 2300035f 73687574 646f776e 00000036 #.._shutdown...6 + 62600: 38022304 035f6372 65617465 5f706f6f 8.#.._create_poo + 62610: 6c000000 36c70223 08035f61 6c6c6f63 l...6..#.._alloc + 62620: 5f627566 00000036 d402230c 035f616c _buf...6..#.._al + 62630: 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 62640: 36e10223 10035f66 7265655f 62756600 6..#.._free_buf. + 62650: 000036ea 02231403 70526573 65727665 ..6..#..pReserve + 62660: 64000000 022f0223 1800025f 4854435f d..../.#..._HTC_ + 62670: 53455256 49434500 1c000038 6903704e SERVICE....8i.pN + 62680: 65787400 00003869 02230003 50726f63 ext...8i.#..Proc + 62690: 65737352 6563764d 73670000 00391e02 essRecvMsg...9.. + 626a0: 23040350 726f6365 73735365 6e644275 #..ProcessSendBu + 626b0: 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 626c0: 27022308 0350726f 63657373 436f6e6e '.#..ProcessConn + 626d0: 65637400 0000393b 02230c03 53657276 ect...9;.#..Serv + 626e0: 69636549 44000000 01c80223 10035365 iceID......#..Se + 626f0: 72766963 65466c61 67730000 0001c802 rviceFlags...... + 62700: 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 62710: 00000001 c8022314 03547261 696c6572 ......#..Trailer + 62720: 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 62730: 01c80223 16035365 72766963 65437478 ...#..ServiceCtx + 62740: 00000002 2f022318 00040000 378a0400 ..../.#.....7... + 62750: 14040000 39071945 4e44504f 494e545f ....9..ENDPOINT_ + 62760: 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP + 62770: 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT + 62780: 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... + 62790: 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP + 627a0: 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT + 627b0: 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... + 627c0: 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP + 627d0: 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT + 627e0: 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP + 627f0: 4f494e54 5f494400 00003870 09010400 OINT_ID...8p.... + 62800: 00391c04 00090104 00003925 04000400 .9........9%.... + 62810: 0001ea04 000a0000 019e0104 00003935 ..............95 + 62820: 04000400 00378a04 00025f48 54435f43 .....7...._HTC_C + 62830: 4f4e4649 47001400 0039ba03 43726564 ONFIG....9..Cred + 62840: 69745369 7a650000 0001ea02 23000343 itSize......#..C + 62850: 72656469 744e756d 62657200 000001ea reditNumber..... + 62860: 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... + 62870: 33022308 03484946 48616e64 6c650000 3.#..HIFHandle.. + 62880: 00289c02 230c0350 6f6f6c48 616e646c .(..#..PoolHandl + 62890: 65000000 36160223 1000025f 4854435f e...6..#..._HTC_ + 628a0: 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 + 628b0: f603656e 645f706f 696e7400 0000019e ..end_point..... + 628c0: 02230003 6874635f 666c6167 73000000 .#..htc_flags... + 628d0: 019e0223 01000668 74635f68 616e646c ...#...htc_handl + 628e0: 655f7400 0000022f 06485443 5f534554 e_t..../.HTC_SET + 628f0: 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 62900: 0002a306 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 62910: 00394904 00003a23 04000a00 0039f601 .9I...:#.....9.. + 62920: 0400003a 3a040009 01040000 3a470400 ...::.......:G.. + 62930: 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 62940: 8a040000 3a500400 09010400 003a6804 ....:P.......:h. + 62950: 00090104 00003a71 04000901 0400003a ......:q.......: + 62960: 7a04000a 000001ea 01040000 3a830400 z...........:... + 62970: 02687463 5f617069 73003400 003c0003 .htc_apis.4..<.. + 62980: 5f485443 5f496e69 74000000 3a400223 _HTC_Init...:@.# + 62990: 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 629a0: 00003a49 02230403 5f485443 5f526567 ..:I.#.._HTC_Reg + 629b0: 69737465 72536572 76696365 0000003a isterService...: + 629c0: 6a022308 035f4854 435f5265 61647900 j.#.._HTC_Ready. + 629d0: 00003a49 02230c03 5f485443 5f526574 ..:I.#.._HTC_Ret + 629e0: 75726e42 75666665 72730000 003a7302 urnBuffers...:s. + 629f0: 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 62a00: 66666572 734c6973 74000000 3a7c0223 ffersList...:|.# + 62a10: 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 62a20: 003a7302 2318035f 4854435f 47657452 .:s.#.._HTC_GetR + 62a30: 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 62a40: 00003a89 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg + 62a50: 52656376 48616e64 6c657200 00002849 RecvHandler...(I + 62a60: 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 62a70: 6548616e 646c6572 00000028 40022324 eHandler...(@.#$ + 62a80: 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 62a90: 50726f63 6573734d 73670000 00391e02 ProcessMsg...9.. + 62aa0: 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 62ab0: 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 62ac0: 706c6574 65000000 39270223 2c037052 plete...9'.#,.pR + 62ad0: 65736572 76656400 0000022f 02233000 eserved..../.#0. + 62ae0: 02686f73 745f6170 705f6172 65615f73 .host_app_area_s + 62af0: 00040000 3c300377 6d695f70 726f746f ....<0.wmi_proto + 62b00: 636f6c5f 76657200 00001608 02230000 col_ver......#.. + 62b10: 120e0000 3c670364 73744d61 63000000 ....c + 62cf0: 03487463 48616e64 6c650000 0039f602 .HtcHandle...9.. + 62d00: 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... + 62d10: 36160223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl + 62d20: 79457674 73000000 01ea0223 08034d61 yEvts......#..Ma + 62d30: 78457665 6e744576 74730000 0001ea02 xEventEvts...... + 62d40: 230c0009 01040000 3e630400 06574d49 #.......>c...WMI + 62d50: 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 62d60: 65025f57 4d495f44 49535041 5443485f e._WMI_DISPATCH_ + 62d70: 454e5452 59000800 003ecc03 70436d64 ENTRY....>..pCmd + 62d80: 48616e64 6c657200 00003e6c 02230003 Handler...>l.#.. + 62d90: 436d6449 44000000 01c80223 0403466c CmdID......#..Fl + 62da0: 61677300 000001c8 02230600 025f574d ags......#..._WM + 62db0: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 62dc0: 00100000 3f2d0370 4e657874 0000003f ....?-.pNext...? + 62dd0: 2d022300 0370436f 6e746578 74000000 -.#..pContext... + 62de0: 022f0223 04034e75 6d626572 4f66456e ./.#..NumberOfEn + 62df0: 74726965 73000000 01ea0223 08037054 tries......#..pT + 62e00: 61626c65 0000003f 4c02230c 00040000 able...?L.#..... + 62e10: 3ecc0400 06574d49 5f444953 50415443 >....WMI_DISPATC + 62e20: 485f454e 54525900 00003e81 0400003f H_ENTRY...>....? + 62e30: 34040004 00003ecc 04000648 54435f42 4.....>....HTC_B + 62e40: 55465f43 4f4e5445 58540000 0039ba0f UF_CONTEXT...9.. + 62e50: 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 62e60: 003fe419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 62e70: 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ + 62e80: 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 62e90: 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL + 62ea0: 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... + 62eb0: 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 62ec0: 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 62ed0: 53530000 003f6f02 5f574d49 5f425546 SS...?o._WMI_BUF + 62ee0: 5f434f4e 54455854 000c0000 40420348 _CONTEXT....@B.H + 62ef0: 74634275 66437478 0000003f 5a022300 tcBufCtx...?Z.#. + 62f00: 03457665 6e74436c 61737300 00003fe4 .EventClass...?. + 62f10: 02230403 466c6167 73000000 01c80223 .#..Flags......# + 62f20: 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 62f30: 0000022f 06574d49 5f535643 5f434f4e .../.WMI_SVC_CON + 62f40: 46494700 00003dfa 04000040 5404000a FIG...=....@T... + 62f50: 00004042 01040000 406f0400 06574d49 ..@B....@o...WMI + 62f60: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 62f70: 00003ecc 04000040 7c040009 01040000 ..>....@|....... + 62f80: 409b0400 0a000026 34010400 0040a404 @......&4....@.. + 62f90: 00090104 000040b1 04000a00 0001ea01 ......@......... + 62fa0: 04000040 ba040009 01040000 40c70400 ...@........@... + 62fb0: 0a000001 9e010400 0040d004 00025f77 .........@...._w + 62fc0: 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 62fd0: 18035f57 4d495f49 6e697400 00004075 .._WMI_Init...@u + 62fe0: 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe + 62ff0: 72446973 70617463 68546162 6c650000 rDispatchTable.. + 63000: 00409d02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo + 63010: 63457665 6e740000 0040aa02 2308035f cEvent...@..#.._ + 63020: 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 63030: 40b30223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe + 63040: 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 63050: 00000040 c0022310 035f574d 495f5365 ...@..#.._WMI_Se + 63060: 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 63070: 72000000 39270223 14035f57 4d495f47 r...9'.#.._WMI_G + 63080: 6574436f 6e74726f 6c457000 000040c0 etControlEp...@. + 63090: 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 630a0: 6e000000 40c90223 1c035f57 4d495f52 n...@..#.._WMI_R + 630b0: 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 630c0: 72000000 391e0223 20035f57 4d495f53 r...9..# ._WMI_S + 630d0: 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 630e0: 40d60223 24037052 65736572 76656400 @..#$.pReserved. + 630f0: 0000022f 02232800 027a7344 6d614465 .../.#(..zsDmaDe + 63100: 73630014 0000429a 03637472 6c000000 sc....B..ctrl... + 63110: 01b20223 00037374 61747573 00000001 ...#..status.... + 63120: b2022302 03746f74 616c4c65 6e000000 ..#..totalLen... + 63130: 01b20223 04036461 74615369 7a650000 ...#..dataSize.. + 63140: 0001b202 2306036c 61737441 64647200 ....#..lastAddr. + 63150: 0000429a 02230803 64617461 41646472 ..B..#..dataAddr + 63160: 00000003 1502230c 036e6578 74416464 ......#..nextAdd + 63170: 72000000 429a0223 10000400 00421804 r...B..#.....B.. + 63180: 00040000 42180400 027a7344 6d615175 ....B....zsDmaQu + 63190: 65756500 08000042 da036865 61640000 eue....B..head.. + 631a0: 0042a102 23000374 65726d69 6e61746f .B..#..terminato + 631b0: 72000000 42a10223 0400027a 73547844 r...B..#...zsTxD + 631c0: 6d615175 65756500 10000043 3e036865 maQueue....C>.he + 631d0: 61640000 0042a102 23000374 65726d69 ad...B..#..termi + 631e0: 6e61746f 72000000 42a10223 0403786d nator...B..#..xm + 631f0: 69746564 5f627566 5f686561 64000000 ited_buf_head... + 63200: 14280223 0803786d 69746564 5f627566 .(.#..xmited_buf + 63210: 5f746169 6c000000 14280223 0c000901 _tail....(.#.... + 63220: 04000043 3e040004 000042a8 04000901 ...C>.....B..... + 63230: 04000043 4e040004 000042da 04000901 ...CN.....B..... + 63240: 04000043 5e040009 01040000 43670400 ...C^.......Cg.. + 63250: 09010400 00437004 000a0000 14280104 .....Cp......(.. + 63260: 00004379 04000901 04000043 8604000a ..Cy.......C.... + 63270: 00001428 01040000 438f0400 09010400 ...(....C....... + 63280: 00439c04 000a0000 01ea0104 000043a5 .C............C. + 63290: 04000a00 0042a101 04000043 b2040009 .....B.....C.... + 632a0: 01040000 43bf0400 02646d61 5f656e67 ....C....dma_eng + 632b0: 696e655f 61706900 40000045 35035f69 ine_api.@..E5._i + 632c0: 6e697400 00004340 02230003 5f696e69 nit...C@.#.._ini + 632d0: 745f7278 5f717565 75650000 00435002 t_rx_queue...CP. + 632e0: 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu + 632f0: 65000000 43600223 08035f63 6f6e6669 e...C`.#.._confi + 63300: 675f7278 5f717565 75650000 00436902 g_rx_queue...Ci. + 63310: 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C + 63320: 72022310 035f666c 7573685f 786d6974 r.#.._flush_xmit + 63330: 00000043 50022314 035f7265 61705f72 ...CP.#.._reap_r + 63340: 6563765f 62756600 0000437f 02231803 ecv_buf...C..#.. + 63350: 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 63360: 00000043 8802231c 035f7265 61705f78 ...C..#.._reap_x + 63370: 6d697465 645f6275 66000000 43950223 mited_buf...C..# + 63380: 20035f73 7761705f 64617461 00000043 ._swap_data...C + 63390: 9e022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ + 633a0: 7061636b 65747300 000043ab 02232803 packets...C..#(. + 633b0: 5f646573 635f6475 6d700000 00435002 _desc_dump...CP. + 633c0: 232c035f 6765745f 7061636b 65740000 #,._get_packet.. + 633d0: 0043b802 2330035f 7265636c 61696d5f .C..#0._reclaim_ + 633e0: 7061636b 65740000 0043c102 2334035f packet...C..#4._ + 633f0: 7075745f 7061636b 65740000 0043c102 put_packet...C.. + 63400: 23380370 52657365 72766564 00000002 #8.pReserved.... + 63410: 2f02233c 00065f41 5f636d6e 6f735f69 /.#<.._A_cmnos_i + 63420: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 63430: 5f740000 00307406 574d495f 5356435f _t...0t.WMI_SVC_ + 63440: 41504953 00000040 dd175f41 5f6d6167 APIS...@.._A_mag + 63450: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 63460: 7461626c 6500034c 00004663 03636d6e table..L..Fc.cmn + 63470: 6f730000 00453502 23000364 62670000 os...E5.#..dbg.. + 63480: 00053e03 23b80303 68696600 0000293f ..>.#...hif...)? + 63490: 0323c003 03687463 0000003a 900323f8 .#...htc...:..#. + 634a0: 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 634b0: 45570323 ac040375 73626669 666f5f61 EW.#...usbfifo_a + 634c0: 70690000 00324803 23d80403 6275665f pi...2H.#...buf_ + 634d0: 706f6f6c 00000036 f10323e4 04037662 pool...6..#...vb + 634e0: 75660000 00145203 23800503 76646573 uf....R.#...vdes + 634f0: 63000000 02320323 94050361 6c6c6f63 c....2.#...alloc + 63500: 72616d00 00000aa0 0323a805 03646d61 ram......#...dma + 63510: 5f656e67 696e6500 000043c8 0323b405 _engine...C..#.. + 63520: 03646d61 5f6c6962 0000002b d30323f4 .dma_lib...+..#. + 63530: 05036869 665f7063 69000000 2e330323 ..hif_pci....3.# + 63540: a8060002 56444553 435f434f 4e544558 ....VDESC_CONTEX + 63550: 54000800 0046a203 66726565 5f766465 T....F..free_vde + 63560: 73635f68 65616400 00000205 02230003 sc_head......#.. + 63570: 70526573 65727665 64000000 022f0223 pReserved..../.# + 63580: 04001a67 5f766465 73634374 78000000 ...g_vdescCtx... + 63590: 46630503 00500b04 01065f41 5f6d6167 Fc...P...._A_mag + 635a0: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 635b0: 7461626c 655f7400 00004569 04000002 table_t...Ei.... + 635c0: 32040009 01040000 020c0400 1b01225f 2............."_ + 635d0: 76646573 635f696e 69740001 01039201 vdesc_init...... + 635e0: 20029000 008e4e38 008e4e73 00004732 .....N8..Ns..G2 + 635f0: 1c01226e 44657363 00000001 ea01521d .."nDesc......R. + 63600: 76646573 63000000 02051d69 00000001 vdesc......i.... + 63610: ea001e01 865f7664 6573635f 616c6c6f ....._vdesc_allo + 63620: 635f6465 73630000 00020501 03920120 c_desc......... + 63630: 02900000 8e4e7400 8e4e8c00 00476f1d .....Nt..N...Go. + 63640: 616c6c6f 63446573 63000000 0205001f allocDesc....... + 63650: 01a15f76 64657363 5f676574 5f68775f .._vdesc_get_hw_ + 63660: 64657363 00000001 ab010103 92012002 desc.......... . + 63670: 9000008e 4e8c008e 4e940000 47ad1c01 ....N...N...G... + 63680: a1646573 63000000 02050152 001b01b1 .desc......R.... + 63690: 5f766465 73635f73 7761705f 76646573 _vdesc_swap_vdes + 636a0: 63000101 03920120 02900000 8e4e9400 c...... .....N.. + 636b0: 8e4ed100 0048091c 01b16465 73740000 .N...H....dest.. + 636c0: 00020501 521c01b1 73726300 00000205 ....R...src..... + 636d0: 01531d74 6d700000 000a851d 746d7041 .S.tmp......tmpA + 636e0: 64647200 000001ab 002001cd 76646573 ddr...... ..vdes + 636f0: 635f6d6f 64756c65 5f696e73 74616c6c c_module_install + 63700: 00010103 92012002 9000008e 4ed4008e ...... .....N... + 63710: 4eed1c01 cd617069 73000000 46dc0152 N....apis...F..R + 63720: 00000000 00589c00 02000023 ff04012f .....X.....#.../ + 63730: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 63740: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 63750: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 63760: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 63770: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 63780: 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + 63790: 2f737263 2f687463 2e63002f 726f6f74 /src/htc.c./root + 637a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 637b0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 637c0: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 637d0: 63007874 2d786363 20666f72 20372e31 c.xt-xcc for 7.1 + 637e0: 2e30202d 4f50543a 616c6967 6e5f696e .0 -OPT:align_in + 637f0: 73747275 6374696f 6e733d33 32202d4f structions=32 -O + 63800: 32202d67 33202d4f 50543a73 70616365 2 -g3 -OPT:space + 63810: 00010000 01607102 01030000 00f40400 .....`q......... + 63820: 04696e74 00050404 63686172 00070105 .int....char.... + 63830: 00000104 05000001 04030000 01110400 ................ + 63840: 06000000 fd010300 00011d04 00077072 ..............pr + 63850: 696e7466 5f617069 00080000 0161085f intf_api.....a._ + 63860: 7072696e 74665f69 6e697400 000000f6 printf_init..... + 63870: 02230008 5f707269 6e746600 00000123 .#.._printf....# + 63880: 02230400 0473686f 72742075 6e736967 .#...short unsig + 63890: 6e656420 696e7400 07020975 696e7431 ned int....uint1 + 638a0: 365f7400 00000161 046c6f6e 6720756e 6_t....a.long un + 638b0: 7369676e 65642069 6e740007 04097569 signed int....ui + 638c0: 6e743332 5f740000 00018507 75617274 nt32_t......uart + 638d0: 5f666966 6f000800 0001f308 73746172 _fifo.......star + 638e0: 745f696e 64657800 00000177 02230008 t_index....w.#.. + 638f0: 656e645f 696e6465 78000000 01770223 end_index....w.# + 63900: 02086f76 65727275 6e5f6572 72000000 ..overrun_err... + 63910: 019a0223 04000775 6172745f 61706900 ...#...uart_api. + 63920: 20000002 ac085f75 6172745f 696e6974 ....._uart_init + 63930: 00000003 03022300 085f7561 72745f63 ......#.._uart_c + 63940: 6861725f 70757400 0000032a 02230408 har_put....*.#.. + 63950: 5f756172 745f6368 61725f67 65740000 _uart_char_get.. + 63960: 00033e02 2308085f 75617274 5f737472 ..>.#.._uart_str + 63970: 5f6f7574 00000003 4702230c 085f7561 _out....G.#.._ua + 63980: 72745f74 61736b00 000000f6 02231008 rt_task......#.. + 63990: 5f756172 745f7374 61747573 00000003 _uart_status.... + 639a0: 03022314 085f7561 72745f63 6f6e6669 ..#.._uart_confi + 639b0: 67000000 03500223 18085f75 6172745f g....P.#.._uart_ + 639c0: 6877696e 69740000 00035902 231c0003 hwinit....Y.#... + 639d0: 000001f3 04000775 6172745f 626c6b00 .......uart_blk. + 639e0: 10000002 fd086465 6275675f 6d6f6465 ......debug_mode + 639f0: 00000001 77022300 08626175 64000000 ....w.#..baud... + 63a00: 01770223 02085f75 61727400 000002ac .w.#.._uart..... + 63a10: 02230408 5f747800 000001a8 02230800 .#.._tx......#.. + 63a20: 06000001 9a010300 0002fd04 0004756e ..............un + 63a30: 7369676e 65642063 68617200 07010975 signed char....u + 63a40: 696e7438 5f740000 00030a02 01030000 int8_t.......... + 63a50: 03280400 03000003 1b040006 00000177 .(.............w + 63a60: 01030000 03380400 02010300 00034504 .....8........E. + 63a70: 00020103 0000034e 04000201 03000003 .......N........ + 63a80: 57040003 00000104 04000600 0000fd01 W............... + 63a90: 03000003 67040007 44425f43 4f4d4d41 ....g...DB_COMMA + 63aa0: 4e445f53 54525543 54000c00 0003bf08 ND_STRUCT....... + 63ab0: 636d645f 73747200 00000360 02230008 cmd_str....`.#.. + 63ac0: 68656c70 5f737472 00000003 60022304 help_str....`.#. + 63ad0: 08636d64 5f66756e 63000000 036d0223 .cmd_func....m.# + 63ae0: 08000764 62675f61 70690008 000003f2 ...dbg_api...... + 63af0: 085f6462 675f696e 69740000 0000f602 ._dbg_init...... + 63b00: 2300085f 6462675f 7461736b 00000000 #.._dbg_task.... + 63b10: f6022304 000a0400 04756e73 69676e65 ..#......unsigne + 63b20: 6420696e 74000704 06000003 f2010300 d int........... + 63b30: 00040504 000b0b03 00000413 04000600 ................ + 63b40: 0003f201 03000004 1b040006 000000fd ................ + 63b50: 01030000 04280400 076d656d 5f617069 .....(...mem_api + 63b60: 00140000 0497085f 6d656d5f 696e6974 ......._mem_init + 63b70: 00000000 f6022300 085f6d65 6d736574 ......#.._memset + 63b80: 00000004 0b022304 085f6d65 6d637079 ......#.._memcpy + 63b90: 00000004 21022308 085f6d65 6d6d6f76 ....!.#.._memmov + 63ba0: 65000000 04210223 0c085f6d 656d636d e....!.#.._memcm + 63bb0: 70000000 042e0223 10000c72 65676973 p......#...regis + 63bc0: 7465725f 64756d70 5f730000 01030000 ter_dump_s...... + 63bd0: 04970400 02010300 0004b104 00020103 ................ + 63be0: 000004ba 04000600 0000fd01 03000004 ................ + 63bf0: c304000d 686f7374 69665f73 00040000 ....hostif_s.... + 63c00: 051f0e48 49465f55 53420000 0e484946 ...HIF_USB...HIF + 63c10: 5f504349 4500010e 4849465f 474d4143 _PCIE...HIF_GMAC + 63c20: 00020e48 49465f50 43490003 0e484946 ...HIF_PCI...HIF + 63c30: 5f4e554d 00040e48 49465f4e 4f4e4500 _NUM...HIF_NONE. + 63c40: 05000941 5f484f53 54494600 000004d0 ...A_HOSTIF..... + 63c50: 06000005 1f010300 00052d04 00060000 ..........-..... + 63c60: 031b0103 0000053a 04000600 00017701 .......:......w. + 63c70: 03000005 47040007 6d697363 5f617069 ....G...misc_api + 63c80: 00240000 0637085f 73797374 656d5f72 .$...7._system_r + 63c90: 65736574 00000000 f6022300 085f6d61 eset......#.._ma + 63ca0: 635f7265 73657400 000000f6 02230408 c_reset......#.. + 63cb0: 5f617373 6661696c 00000004 b3022308 _assfail......#. + 63cc0: 085f6d69 73616c69 676e6564 5f6c6f61 ._misaligned_loa + 63cd0: 645f6861 6e646c65 72000000 04b30223 d_handler......# + 63ce0: 0c085f72 65706f72 745f6661 696c7572 .._report_failur + 63cf0: 655f746f 5f686f73 74000000 04bc0223 e_to_host......# + 63d00: 10085f74 61726765 745f6964 5f676574 .._target_id_get + 63d10: 00000004 c9022314 085f6973 5f686f73 ......#.._is_hos + 63d20: 745f7072 6573656e 74000000 05330223 t_present....3.# + 63d30: 18085f6b 62686974 00000005 4002231c .._kbhit....@.#. + 63d40: 085f726f 6d5f7665 7273696f 6e5f6765 ._rom_version_ge + 63d50: 74000000 054d0223 20000600 00036001 t....M.# .....`. + 63d60: 03000006 37040006 00000360 01030000 ....7......`.... + 63d70: 06440400 06000000 fd010300 00065104 .D............Q. + 63d80: 00060000 00fd0103 0000065e 04000600 ...........^.... + 63d90: 0000fd01 03000006 6b040007 73747269 ........k...stri + 63da0: 6e675f61 70690018 000006f1 085f7374 ng_api......._st + 63db0: 72696e67 5f696e69 74000000 00f60223 ring_init......# + 63dc0: 00085f73 74726370 79000000 063d0223 .._strcpy....=.# + 63dd0: 04085f73 74726e63 70790000 00064a02 .._strncpy....J. + 63de0: 2308085f 7374726c 656e0000 00065702 #.._strlen....W. + 63df0: 230c085f 73747263 6d700000 00066402 #.._strcmp....d. + 63e00: 2310085f 7374726e 636d7000 00000671 #.._strncmp....q + 63e10: 02231400 0f000003 f5140000 06fe1004 .#.............. + 63e20: 00095f41 5f54494d 45525f53 50414345 .._A_TIMER_SPACE + 63e30: 00000006 f109415f 74696d65 725f7400 ......A_timer_t. + 63e40: 000006fe 03000007 12040002 01030000 ................ + 63e50: 07280400 02010300 00073104 0009415f .(........1...A_ + 63e60: 48414e44 4c450000 0003f502 0109415f HANDLE........A_ + 63e70: 54494d45 525f4655 4e430000 00074803 TIMER_FUNC....H. + 63e80: 0000074a 04000201 03000007 63040007 ...J........c... + 63e90: 74696d65 725f6170 69001400 0007e208 timer_api....... + 63ea0: 5f74696d 65725f69 6e697400 000000f6 _timer_init..... + 63eb0: 02230008 5f74696d 65725f61 726d0000 .#.._timer_arm.. + 63ec0: 00072a02 2304085f 74696d65 725f6469 ..*.#.._timer_di + 63ed0: 7361726d 00000007 33022308 085f7469 sarm....3.#.._ti + 63ee0: 6d65725f 73657466 6e000000 07650223 mer_setfn....e.# + 63ef0: 0c085f74 696d6572 5f72756e 00000000 .._timer_run.... + 63f00: f6022310 0009424f 4f4c4541 4e000000 ..#...BOOLEAN... + 63f10: 01770600 0007e201 03000007 ef040006 .w.............. + 63f20: 000007e2 01030000 07fc0400 06000007 ................ + 63f30: e2010300 00080904 0007726f 6d705f61 ..........romp_a + 63f40: 70690010 0000087b 085f726f 6d705f69 pi.....{._romp_i + 63f50: 6e697400 000000f6 02230008 5f726f6d nit......#.._rom + 63f60: 705f646f 776e6c6f 61640000 0007f502 p_download...... + 63f70: 2304085f 726f6d70 5f696e73 74616c6c #.._romp_install + 63f80: 00000008 02022308 085f726f 6d705f64 ......#.._romp_d + 63f90: 65636f64 65000000 080f0223 0c000772 ecode......#...r + 63fa0: 6f6d5f70 61746368 5f737400 10000008 om_patch_st..... + 63fb0: d7086372 63313600 00000177 02230008 ..crc16....w.#.. + 63fc0: 6c656e00 00000177 02230208 6c645f61 len....w.#..ld_a + 63fd0: 64647200 0000019a 02230408 66756e5f ddr......#..fun_ + 63fe0: 61646472 00000001 9a022308 08706675 addr......#..pfu + 63ff0: 6e000000 03310223 0c000765 65705f72 n....1.#...eep_r + 64000: 65646972 5f616464 72000400 00090908 edir_addr....... + 64010: 6f666673 65740000 00017702 23000873 offset....w.#..s + 64020: 697a6500 00000177 02230200 09415f55 ize....w.#...A_U + 64030: 494e5433 32000000 03f50600 0003f201 INT32........... + 64040: 03000009 17040007 616c6c6f 6372616d ........allocram + 64050: 5f617069 000c0000 09880863 6d6e6f73 _api.......cmnos + 64060: 5f616c6c 6f637261 6d5f696e 69740000 _allocram_init.. + 64070: 00091d02 23000863 6d6e6f73 5f616c6c ....#..cmnos_all + 64080: 6f637261 6d000000 091d0223 0408636d ocram......#..cm + 64090: 6e6f735f 616c6c6f 6372616d 5f646562 nos_allocram_deb + 640a0: 75670000 0000f602 23080002 01030000 ug......#....... + 640b0: 09880400 09415f54 41534b4c 45545f46 .....A_TASKLET_F + 640c0: 554e4300 0000098a 075f7461 736b6c65 UNC......_taskle + 640d0: 74001000 0009e908 66756e63 00000009 t.......func.... + 640e0: 91022300 08617267 00000003 f2022304 ..#..arg......#. + 640f0: 08737461 74650000 0000fd02 2308086e .state......#..n + 64100: 65787400 000009e9 02230c00 03000009 ext......#...... + 64110: a5040003 000009a5 04000941 5f746173 ...........A_tas + 64120: 6b6c6574 5f740000 0009a503 000009f7 klet_t.......... + 64130: 04000201 0300000a 0f040002 01030000 ................ + 64140: 0a180400 07746173 6b6c6574 5f617069 .....tasklet_api + 64150: 00140000 0aad085f 7461736b 6c65745f ......._tasklet_ + 64160: 696e6974 00000000 f6022300 085f7461 init......#.._ta + 64170: 736b6c65 745f696e 69745f74 61736b00 sklet_init_task. + 64180: 00000a11 02230408 5f746173 6b6c6574 .....#.._tasklet + 64190: 5f646973 61626c65 0000000a 1a022308 _disable......#. + 641a0: 085f7461 736b6c65 745f7363 68656475 ._tasklet_schedu + 641b0: 6c650000 000a1a02 230c085f 7461736b le......#.._task + 641c0: 6c65745f 72756e00 000000f6 02231000 let_run......#.. + 641d0: 02010300 000aad04 00060000 09090103 ................ + 641e0: 00000ab6 04000201 0300000a c3040007 ................ + 641f0: 636c6f63 6b5f6170 69002400 000ba508 clock_api.$..... + 64200: 5f636c6f 636b5f69 6e697400 00000aaf _clock_init..... + 64210: 02230008 5f636c6f 636b7265 67735f69 .#.._clockregs_i + 64220: 6e697400 000000f6 02230408 5f756172 nit......#.._uar + 64230: 745f6672 65717565 6e637900 00000abc t_frequency..... + 64240: 02230808 5f64656c 61795f75 73000000 .#.._delay_us... + 64250: 0ac50223 0c085f77 6c616e5f 62616e64 ...#.._wlan_band + 64260: 5f736574 0000000a c5022310 085f7265 _set......#.._re + 64270: 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. + 64280: 000abc02 2314085f 6d696c6c 69736563 ....#.._millisec + 64290: 6f6e6473 0000000a bc022318 085f7379 onds......#.._sy + 642a0: 73636c6b 5f636861 6e676500 000000f6 sclk_change..... + 642b0: 02231c08 5f636c6f 636b5f74 69636b00 .#.._clock_tick. + 642c0: 000000f6 02232000 06000001 9a010300 .....# ......... + 642d0: 000ba504 0009415f 6f6c645f 696e7472 ......A_old_intr + 642e0: 5f740000 00019a06 00000bb2 01030000 _t.............. + 642f0: 0bc40400 02010300 000bd104 00020103 ................ + 64300: 00000bda 04000600 00019a01 0300000b ................ + 64310: e3040009 415f6973 725f7400 00000be9 ....A_isr_t..... + 64320: 02010300 000bfd04 00060000 03f50103 ................ + 64330: 00000c06 04000201 0300000c 13040007 ................ + 64340: 696e7472 5f617069 002c0000 0d35085f intr_api.,...5._ + 64350: 696e7472 5f696e69 74000000 00f60223 intr_init......# + 64360: 00085f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i + 64370: 73720000 000bab02 2304085f 696e7472 sr......#.._intr + 64380: 5f646973 61626c65 0000000b ca022308 _disable......#. + 64390: 085f696e 74725f72 6573746f 72650000 ._intr_restore.. + 643a0: 000bd302 230c085f 696e7472 5f6d6173 ....#.._intr_mas + 643b0: 6b5f696e 756d0000 000bdc02 2310085f k_inum......#.._ + 643c0: 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum + 643d0: 0000000b dc022314 085f696e 74725f61 ......#.._intr_a + 643e0: 74746163 685f6973 72000000 0bff0223 ttach_isr......# + 643f0: 18085f67 65745f69 6e747265 6e61626c .._get_intrenabl + 64400: 65000000 0c0c0223 1c085f73 65745f69 e......#.._set_i + 64410: 6e747265 6e61626c 65000000 0c150223 ntrenable......# + 64420: 20085f67 65745f69 6e747270 656e6469 ._get_intrpendi + 64430: 6e670000 000c0c02 2324085f 756e626c ng......#$._unbl + 64440: 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. + 64450: 000000f6 02232800 11040000 0d5b0874 .....#(......[.t + 64460: 696d656f 75740000 00019a02 23000861 imeout......#..a + 64470: 6374696f 6e000000 019a0223 00001208 ction......#.... + 64480: 00000d76 08636d64 00000001 9a022300 ...v.cmd......#. + 64490: 1300000d 35022304 0009545f 5744545f ....5.#...T_WDT_ + 644a0: 434d4400 00000d5b 02010300 000d8504 CMD....[........ + 644b0: 00140400 000ddb0e 454e554d 5f574454 ........ENUM_WDT + 644c0: 5f424f4f 5400010e 454e554d 5f434f4c _BOOT...ENUM_COL + 644d0: 445f424f 4f540002 0e454e55 4d5f5355 D_BOOT...ENUM_SU + 644e0: 53505f42 4f4f5400 030e454e 554d5f55 SP_BOOT...ENUM_U + 644f0: 4e4b4e4f 574e5f42 4f4f5400 04000954 NKNOWN_BOOT....T + 64500: 5f424f4f 545f5459 50450000 000d8e06 _BOOT_TYPE...... + 64510: 00000ddb 01030000 0dec0400 07776474 .............wdt + 64520: 5f617069 001c0000 0e90085f 7764745f _api......._wdt_ + 64530: 696e6974 00000000 f6022300 085f7764 init......#.._wd + 64540: 745f656e 61626c65 00000000 f6022304 t_enable......#. + 64550: 085f7764 745f6469 7361626c 65000000 ._wdt_disable... + 64560: 00f60223 08085f77 64745f73 65740000 ...#.._wdt_set.. + 64570: 000d8702 230c085f 7764745f 7461736b ....#.._wdt_task + 64580: 00000000 f6022310 085f7764 745f7265 ......#.._wdt_re + 64590: 73657400 000000f6 02231408 5f776474 set......#.._wdt + 645a0: 5f6c6173 745f626f 6f740000 000df202 _last_boot...... + 645b0: 23180014 0400000e f70e5245 545f5355 #.........RET_SU + 645c0: 43434553 5300000e 5245545f 4e4f545f CCESS...RET_NOT_ + 645d0: 494e4954 00010e52 45545f4e 4f545f45 INIT...RET_NOT_E + 645e0: 58495354 00020e52 45545f45 45505f43 XIST...RET_EEP_C + 645f0: 4f525255 50540003 0e524554 5f454550 ORRUPT...RET_EEP + 64600: 5f4f5645 52464c4f 5700040e 5245545f _OVERFLOW...RET_ + 64610: 554e4b4e 4f574e00 05000954 5f454550 UNKNOWN....T_EEP + 64620: 5f524554 0000000e 90030000 01770400 _RET.........w.. + 64630: 0600000e f7010300 000f0d04 00060000 ................ + 64640: 0ef70103 00000f1a 04000765 65705f61 ...........eep_a + 64650: 70690010 00000f83 085f6565 705f696e pi......._eep_in + 64660: 69740000 0000f602 2300085f 6565705f it......#.._eep_ + 64670: 72656164 0000000f 13022304 085f6565 read......#.._ee + 64680: 705f7772 69746500 00000f13 02230808 p_write......#.. + 64690: 5f656570 5f69735f 65786973 74000000 _eep_is_exist... + 646a0: 0f200223 0c000775 73625f61 70690070 . .#...usb_api.p + 646b0: 00001230 085f7573 625f696e 69740000 ...0._usb_init.. + 646c0: 0000f602 2300085f 7573625f 726f6d5f ....#.._usb_rom_ + 646d0: 7461736b 00000000 f6022304 085f7573 task......#.._us + 646e0: 625f6677 5f746173 6b000000 00f60223 b_fw_task......# + 646f0: 08085f75 73625f69 6e69745f 70687900 .._usb_init_phy. + 64700: 000000f6 02230c08 5f757362 5f657030 .....#.._usb_ep0 + 64710: 5f736574 75700000 0000f602 2310085f _setup......#.._ + 64720: 7573625f 6570305f 74780000 0000f602 usb_ep0_tx...... + 64730: 2314085f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. + 64740: 0000f602 2318085f 7573625f 6765745f ....#.._usb_get_ + 64750: 696e7465 72666163 65000000 08020223 interface......# + 64760: 1c085f75 73625f73 65745f69 6e746572 .._usb_set_inter + 64770: 66616365 00000008 02022320 085f7573 face......# ._us + 64780: 625f6765 745f636f 6e666967 75726174 b_get_configurat + 64790: 696f6e00 00000802 02232408 5f757362 ion......#$._usb + 647a0: 5f736574 5f636f6e 66696775 72617469 _set_configurati + 647b0: 6f6e0000 00080202 2328085f 7573625f on......#(._usb_ + 647c0: 7374616e 64617264 5f636d64 00000008 standard_cmd.... + 647d0: 0202232c 085f7573 625f7665 6e646f72 ..#,._usb_vendor + 647e0: 5f636d64 00000000 f6022330 085f7573 _cmd......#0._us + 647f0: 625f706f 7765725f 6f666600 000000f6 b_power_off..... + 64800: 02233408 5f757362 5f726573 65745f66 .#4._usb_reset_f + 64810: 69666f00 000000f6 02233808 5f757362 ifo......#8._usb + 64820: 5f67656e 5f776474 00000000 f602233c _gen_wdt......#< + 64830: 085f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. + 64840: 000000f6 02234008 5f757362 5f636c72 .....#@._usb_clr + 64850: 5f666561 74757265 00000008 02022344 _feature......#D + 64860: 085f7573 625f7365 745f6665 61747572 ._usb_set_featur + 64870: 65000000 08020223 48085f75 73625f73 e......#H._usb_s + 64880: 65745f61 64647265 73730000 00080202 et_address...... + 64890: 234c085f 7573625f 6765745f 64657363 #L._usb_get_desc + 648a0: 72697074 6f720000 00080202 2350085f riptor......#P._ + 648b0: 7573625f 6765745f 73746174 75730000 usb_get_status.. + 648c0: 00080202 2354085f 7573625f 73657475 ....#T._usb_setu + 648d0: 705f6465 73630000 0000f602 2358085f p_desc......#X._ + 648e0: 7573625f 7265675f 6f757400 000000f6 usb_reg_out..... + 648f0: 02235c08 5f757362 5f737461 7475735f .#\._usb_status_ + 64900: 696e0000 0000f602 2360085f 7573625f in......#`._usb_ + 64910: 6570305f 74785f64 61746100 000000f6 ep0_tx_data..... + 64920: 02236408 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ + 64930: 64617461 00000000 f6022368 085f7573 data......#h._us + 64940: 625f636c 6b5f696e 69740000 0000f602 b_clk_init...... + 64950: 236c0007 5f564445 53430024 000012bc #l.._VDESC.$.... + 64960: 086e6578 745f6465 73630000 0012bc02 .next_desc...... + 64970: 23000862 75665f61 64647200 000012d0 #..buf_addr..... + 64980: 02230408 6275665f 73697a65 00000012 .#..buf_size.... + 64990: d7022308 08646174 615f6f66 66736574 ..#..data_offset + 649a0: 00000012 d702230a 08646174 615f7369 ......#..data_si + 649b0: 7a650000 0012d702 230c0863 6f6e7472 ze......#..contr + 649c0: 6f6c0000 0012d702 230e0868 775f6465 ol......#..hw_de + 649d0: 73635f62 75660000 0012e502 23100003 sc_buf......#... + 649e0: 00001230 04000941 5f55494e 54380000 ...0...A_UINT8.. + 649f0: 00030a03 000012c3 04000941 5f55494e ...........A_UIN + 64a00: 54313600 00000161 0f000012 c3140000 T16....a........ + 64a10: 12f21013 00030000 12300400 09564445 .........0...VDE + 64a20: 53430000 00123003 000012f9 04000600 SC....0......... + 64a30: 00130401 03000013 0b040006 000012d0 ................ + 64a40: 01030000 13180400 02010300 00132504 ..............%. + 64a50: 00077664 6573635f 61706900 14000013 ..vdesc_api..... + 64a60: 9d085f69 6e697400 00000ac5 02230008 .._init......#.. + 64a70: 5f616c6c 6f635f76 64657363 00000013 _alloc_vdesc.... + 64a80: 11022304 085f6765 745f6877 5f646573 ..#.._get_hw_des + 64a90: 63000000 131e0223 08085f73 7761705f c......#.._swap_ + 64aa0: 76646573 63000000 13270223 0c087052 vdesc....'.#..pR + 64ab0: 65736572 76656400 000003f2 02231000 eserved......#.. + 64ac0: 075f5642 55460020 000013fd 08646573 ._VBUF. .....des + 64ad0: 635f6c69 73740000 00130402 2300086e c_list......#..n + 64ae0: 6578745f 62756600 000013fd 02230408 ext_buf......#.. + 64af0: 6275665f 6c656e67 74680000 0012d702 buf_length...... + 64b00: 23080872 65736572 76656400 00001404 #..reserved..... + 64b10: 02230a08 63747800 000012e5 02230c00 .#..ctx......#.. + 64b20: 03000013 9d04000f 000012c3 02000014 ................ + 64b30: 11100100 03000013 9d040009 56425546 ............VBUF + 64b40: 00000013 9d030000 14180400 06000014 ................ + 64b50: 22010300 00142904 00060000 14220103 ".....)......".. + 64b60: 00001436 04000201 03000014 43040007 ...6........C... + 64b70: 76627566 5f617069 00140000 14c1085f vbuf_api......._ + 64b80: 696e6974 0000000a c5022300 085f616c init......#.._al + 64b90: 6c6f635f 76627566 00000014 2f022304 loc_vbuf..../.#. + 64ba0: 085f616c 6c6f635f 76627566 5f776974 ._alloc_vbuf_wit + 64bb0: 685f7369 7a650000 00143c02 2308085f h_size....<.#.._ + 64bc0: 66726565 5f766275 66000000 14450223 free_vbuf....E.# + 64bd0: 0c087052 65736572 76656400 000003f2 ..pReserved..... + 64be0: 02231000 075f5f61 64665f64 65766963 .#...__adf_devic + 64bf0: 65000400 0014e308 64756d6d 79000000 e.......dummy... + 64c00: 00fd0223 00000300 00090904 00075f5f ...#..........__ + 64c10: 6164665f 646d615f 6d617000 0c000015 adf_dma_map..... + 64c20: 2a086275 66000000 14220223 00086473 *.buf....".#..ds + 64c30: 5f616464 72000000 14e30223 04086473 _addr......#..ds + 64c40: 5f6c656e 00000012 d7022308 00120c00 _len......#..... + 64c50: 00156408 5f5f7661 5f73746b 00000003 ..d.__va_stk.... + 64c60: 60022300 085f5f76 615f7265 67000000 `.#..__va_reg... + 64c70: 03600223 04085f5f 76615f6e 64780000 .`.#..__va_ndx.. + 64c80: 0000fd02 23080009 5f5f6164 665f6f73 ....#...__adf_os + 64c90: 5f646d61 5f616464 725f7400 00000909 _dma_addr_t..... + 64ca0: 09616466 5f6f735f 646d615f 61646472 .adf_os_dma_addr + 64cb0: 5f740000 00156409 5f5f6164 665f6f73 _t....d.__adf_os + 64cc0: 5f646d61 5f73697a 655f7400 00000909 _dma_size_t..... + 64cd0: 09616466 5f6f735f 646d615f 73697a65 .adf_os_dma_size + 64ce0: 5f740000 00159407 5f5f646d 615f7365 _t......__dma_se + 64cf0: 67730008 000015f0 08706164 64720000 gs.......paddr.. + 64d00: 00157d02 2300086c 656e0000 0015ad02 ..}.#..len...... + 64d10: 23040009 5f5f615f 75696e74 33325f74 #...__a_uint32_t + 64d20: 00000009 0909615f 75696e74 33325f74 ......a_uint32_t + 64d30: 00000015 f00f0000 15c40800 00161f10 ................ + 64d40: 00000761 64665f6f 735f646d 616d6170 ...adf_os_dmamap + 64d50: 5f696e66 6f000c00 00165808 6e736567 _info.....X.nseg + 64d60: 73000000 16020223 0008646d 615f7365 s......#..dma_se + 64d70: 67730000 00161202 23040009 5f5f615f gs......#...__a_ + 64d80: 75696e74 385f7400 000012c3 09615f75 uint8_t......a_u + 64d90: 696e7438 5f740000 00165803 00001669 int8_t....X....i + 64da0: 0400075f 5f73675f 73656773 00080000 ...__sg_segs.... + 64db0: 16aa0876 61646472 00000016 78022300 ...vaddr....x.#. + 64dc0: 086c656e 00000016 02022304 000f0000 .len......#..... + 64dd0: 167f2000 0016b710 03000761 64665f6f .. ........adf_o + 64de0: 735f7367 6c697374 00240000 16ea086e s_sglist.$.....n + 64df0: 73656773 00000016 02022300 0873675f segs......#..sg_ + 64e00: 73656773 00000016 aa022304 00121000 segs......#..... + 64e10: 00173308 76656e64 6f720000 00160202 ..3.vendor...... + 64e20: 23000864 65766963 65000000 16020223 #..device......# + 64e30: 04087375 6276656e 646f7200 00001602 ..subvendor..... + 64e40: 02230808 73756264 65766963 65000000 .#..subdevice... + 64e50: 16020223 0c00046c 6f6e6720 6c6f6e67 ...#...long long + 64e60: 20756e73 69676e65 6420696e 74000708 unsigned int... + 64e70: 09415f55 494e5436 34000000 1733095f .A_UINT64....3._ + 64e80: 5f615f75 696e7436 345f7400 0000174d _a_uint64_t....M + 64e90: 09615f75 696e7436 345f7400 0000175b .a_uint64_t....[ + 64ea0: 14040000 17b90e41 44465f4f 535f5245 .......ADF_OS_RE + 64eb0: 534f5552 43455f54 5950455f 4d454d00 SOURCE_TYPE_MEM. + 64ec0: 000e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC + 64ed0: 455f5459 50455f49 4f000100 09616466 E_TYPE_IO....adf + 64ee0: 5f6f735f 7265736f 75726365 5f747970 _os_resource_typ + 64ef0: 655f7400 0000177d 12180000 18030873 e_t....}.......s + 64f00: 74617274 00000017 6d022300 08656e64 tart....m.#..end + 64f10: 00000017 6d022308 08747970 65000000 ....m.#..type... + 64f20: 17b90223 10000961 64665f6f 735f7063 ...#...adf_os_pc + 64f30: 695f6465 765f6964 5f740000 0016ea03 i_dev_id_t...... + 64f40: 00001803 04001104 00001842 08706369 ...........B.pci + 64f50: 00000018 1c022300 08726177 00000003 ......#..raw.... + 64f60: f2022300 00111000 00186108 70636900 ..#.......a.pci. + 64f70: 00001803 02230008 72617700 000003f2 .....#..raw..... + 64f80: 02230000 09616466 5f647276 5f68616e .#...adf_drv_han + 64f90: 646c655f 74000000 03f20961 64665f6f dle_t......adf_o + 64fa0: 735f7265 736f7572 63655f74 00000017 s_resource_t.... + 64fb0: d5030000 18770400 09616466 5f6f735f .....w...adf_os_ + 64fc0: 61747461 63685f64 6174615f 74000000 attach_data_t... + 64fd0: 18420300 00189504 00030000 14c10400 .B.............. + 64fe0: 095f5f61 64665f6f 735f6465 76696365 .__adf_os_device + 64ff0: 5f740000 0018b609 6164665f 6f735f64 _t......adf_os_d + 65000: 65766963 655f7400 000018bd 06000018 evice_t......... + 65010: 61010300 0018e904 00020103 000018f6 a............... + 65020: 04000961 64665f6f 735f706d 5f740000 ...adf_os_pm_t.. + 65030: 0003f202 01030000 19100400 14040000 ................ + 65040: 19500e41 44465f4f 535f4255 535f5459 .P.ADF_OS_BUS_TY + 65050: 50455f50 43490001 0e414446 5f4f535f PE_PCI...ADF_OS_ + 65060: 4255535f 54595045 5f47454e 45524943 BUS_TYPE_GENERIC + 65070: 00020009 6164665f 6f735f62 75735f74 ....adf_os_bus_t + 65080: 7970655f 74000000 19190961 64665f6f ype_t......adf_o + 65090: 735f6275 735f7265 675f6461 74615f74 s_bus_reg_data_t + 650a0: 00000018 23030000 030a0400 075f6164 ....#........_ad + 650b0: 665f6472 765f696e 666f0020 00001a2d f_drv_info. ...- + 650c0: 08647276 5f617474 61636800 000018ef .drv_attach..... + 650d0: 02230008 6472765f 64657461 63680000 .#..drv_detach.. + 650e0: 0018f802 23040864 72765f73 75737065 ....#..drv_suspe + 650f0: 6e640000 00191202 23080864 72765f72 nd......#..drv_r + 65100: 6573756d 65000000 18f80223 0c086275 esume......#..bu + 65110: 735f7479 70650000 00195002 23100862 s_type....P.#..b + 65120: 75735f64 61746100 00001967 02231408 us_data....g.#.. + 65130: 6d6f645f 6e616d65 00000019 82022318 mod_name......#. + 65140: 0869666e 616d6500 00001982 02231c00 .ifname......#.. + 65150: 09616466 5f6f735f 68616e64 6c655f74 .adf_os_handle_t + 65160: 00000003 f2030000 16580400 02010201 .........X...... + 65170: 095f5f61 64665f6f 735f7369 7a655f74 .__adf_os_size_t + 65180: 00000003 f5140400 001a7c0e 415f4641 ..........|.A_FA + 65190: 4c534500 000e415f 54525545 00010009 LSE...A_TRUE.... + 651a0: 615f626f 6f6c5f74 0000001a 62030000 a_bool_t....b... + 651b0: 14ea0400 095f5f61 64665f6f 735f646d .....__adf_os_dm + 651c0: 615f6d61 705f7400 00001a8a 02010d61 a_map_t........a + 651d0: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync + 651e0: 00040000 1b140e41 44465f53 594e435f .......ADF_SYNC_ + 651f0: 50524552 45414400 000e4144 465f5359 PREREAD...ADF_SY + 65200: 4e435f50 52455752 49544500 020e4144 NC_PREWRITE...AD + 65210: 465f5359 4e435f50 4f535452 45414400 F_SYNC_POSTREAD. + 65220: 010e4144 465f5359 4e435f50 4f535457 ..ADF_SYNC_POSTW + 65230: 52495445 00030009 6164665f 6f735f63 RITE....adf_os_c + 65240: 61636865 5f73796e 635f7400 00001aab ache_sync_t..... + 65250: 02010961 64665f6f 735f7369 7a655f74 ...adf_os_size_t + 65260: 0000001a 4d060000 1b2f0109 6164665f ....M..../..adf_ + 65270: 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... + 65280: 91030000 1b480400 06000003 f2010300 .....H.......... + 65290: 001a9104 00060000 03f20102 01060000 ................ + 652a0: 157d0102 01047368 6f727420 696e7400 .}....short int. + 652b0: 05020941 5f494e54 31360000 001b8209 ...A_INT16...... + 652c0: 5f5f615f 696e7431 365f7400 00001b8f __a_int16_t..... + 652d0: 09615f69 6e743136 5f740000 001b9c04 .a_int16_t...... + 652e0: 7369676e 65642063 68617200 05010941 signed char....A + 652f0: 5f494e54 38000000 1bbc095f 5f615f69 _INT8......__a_i + 65300: 6e74385f 74000000 1bcb0961 5f696e74 nt8_t......a_int + 65310: 385f7400 00001bd7 120c0000 1c4e0873 8_t..........N.s + 65320: 7570706f 72746564 00000016 02022300 upported......#. + 65330: 08616476 65727469 7a656400 00001602 .advertized..... + 65340: 02230408 73706565 64000000 1bad0223 .#..speed......# + 65350: 08086475 706c6578 0000001b e702230a ..duplex......#. + 65360: 08617574 6f6e6567 00000016 6902230b .autoneg....i.#. + 65370: 000f0000 16690600 001c5b10 05000761 .....i....[....a + 65380: 64665f6e 65745f65 74686164 64720006 df_net_ethaddr.. + 65390: 00001c7f 08616464 72000000 1c4e0223 .....addr....N.# + 653a0: 0000095f 5f615f75 696e7431 365f7400 ...__a_uint16_t. + 653b0: 000012d7 09615f75 696e7431 365f7400 .....a_uint16_t. + 653c0: 00001c7f 120e0000 1ce30865 74686572 ...........ether + 653d0: 5f64686f 73740000 001c4e02 23000865 _dhost....N.#..e + 653e0: 74686572 5f73686f 73740000 001c4e02 ther_shost....N. + 653f0: 23060865 74686572 5f747970 65000000 #..ether_type... + 65400: 1c910223 0c001214 00001da4 1569705f ...#.........ip_ + 65410: 76657273 696f6e00 00001669 01000402 version....i.... + 65420: 23001569 705f686c 00000016 69010404 #..ip_hl....i... + 65430: 02230008 69705f74 6f730000 00166902 .#..ip_tos....i. + 65440: 23010869 705f6c65 6e000000 1c910223 #..ip_len......# + 65450: 02086970 5f696400 00001c91 02230408 ..ip_id......#.. + 65460: 69705f66 7261675f 6f666600 00001c91 ip_frag_off..... + 65470: 02230608 69705f74 746c0000 00166902 .#..ip_ttl....i. + 65480: 23080869 705f7072 6f746f00 00001669 #..ip_proto....i + 65490: 02230908 69705f63 6865636b 0000001c .#..ip_check.... + 654a0: 9102230a 0869705f 73616464 72000000 ..#..ip_saddr... + 654b0: 16020223 0c086970 5f646164 64720000 ...#..ip_daddr.. + 654c0: 00160202 23100007 6164665f 6e65745f ....#...adf_net_ + 654d0: 766c616e 68647200 0400001d f6087470 vlanhdr.......tp + 654e0: 69640000 001c9102 23001570 72696f00 id......#..prio. + 654f0: 00001669 01000302 23021563 66690000 ...i....#..cfi.. + 65500: 00166901 03010223 02157669 64000000 ..i....#..vid... + 65510: 1c910204 0c022302 00076164 665f6e65 ......#...adf_ne + 65520: 745f7669 64000200 001e2715 72657300 t_vid.....'.res. + 65530: 00001669 01000402 23001576 616c0000 ...i....#..val.. + 65540: 001c9102 040c0223 0000120c 00001e63 .......#.......c + 65550: 0872785f 62756673 697a6500 00001602 .rx_bufsize..... + 65560: 02230008 72785f6e 64657363 00000016 .#..rx_ndesc.... + 65570: 02022304 0874785f 6e646573 63000000 ..#..tx_ndesc... + 65580: 16020223 08001208 00001e89 08706f6c ...#.........pol + 65590: 6c656400 00001a7c 02230008 706f6c6c led....|.#..poll + 655a0: 5f777400 00001602 02230400 0f000016 _wt......#...... + 655b0: 69400000 1e96103f 00124600 001ebe08 i@.....?..F..... + 655c0: 69665f6e 616d6500 00001e89 02230008 if_name......#.. + 655d0: 6465765f 61646472 0000001c 4e022340 dev_addr....N.#@ + 655e0: 00140400 001ef50e 4144465f 4f535f44 ........ADF_OS_D + 655f0: 4d415f4d 41534b5f 33324249 5400000e MA_MASK_32BIT... + 65600: 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ + 65610: 36344249 54000100 09616466 5f6f735f 64BIT....adf_os_ + 65620: 646d615f 6d61736b 5f740000 001ebe07 dma_mask_t...... + 65630: 6164665f 646d615f 696e666f 00080000 adf_dma_info.... + 65640: 1f420864 6d615f6d 61736b00 00001ef5 .B.dma_mask..... + 65650: 02230008 73675f6e 73656773 00000016 .#..sg_nsegs.... + 65660: 02022304 00140400 001f980e 4144465f ..#.........ADF_ + 65670: 4e45545f 434b5355 4d5f4e4f 4e450000 NET_CKSUM_NONE.. + 65680: 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 65690: 43505f55 44505f49 50763400 010e4144 CP_UDP_IPv4...AD + 656a0: 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ + 656b0: 5544505f 49507636 00020009 6164665f UDP_IPv6....adf_ + 656c0: 6e65745f 636b7375 6d5f7479 70655f74 net_cksum_type_t + 656d0: 0000001f 42120800 001fdb08 74785f63 ....B.......tx_c + 656e0: 6b73756d 0000001f 98022300 0872785f ksum......#..rx_ + 656f0: 636b7375 6d000000 1f980223 04000961 cksum......#...a + 65700: 64665f6e 65745f63 6b73756d 5f696e66 df_net_cksum_inf + 65710: 6f5f7400 00001fb2 14040000 20340e41 o_t......... 4.A + 65720: 44465f4e 45545f54 534f5f4e 4f4e4500 DF_NET_TSO_NONE. + 65730: 000e4144 465f4e45 545f5453 4f5f4950 ..ADF_NET_TSO_IP + 65740: 56340001 0e414446 5f4e4554 5f54534f V4...ADF_NET_TSO + 65750: 5f414c4c 00020009 6164665f 6e65745f _ALL....adf_net_ + 65760: 74736f5f 74797065 5f740000 001ff512 tso_type_t...... + 65770: 10000020 8808636b 73756d5f 63617000 ... ..cksum_cap. + 65780: 00001fdb 02230008 74736f00 00002034 .....#..tso... 4 + 65790: 02230808 766c616e 5f737570 706f7274 .#..vlan_support + 657a0: 65640000 00166902 230c0012 20000021 ed....i.#... ..! + 657b0: 21087478 5f706163 6b657473 00000016 !.tx_packets.... + 657c0: 02022300 0872785f 7061636b 65747300 ..#..rx_packets. + 657d0: 00001602 02230408 74785f62 79746573 .....#..tx_bytes + 657e0: 00000016 02022308 0872785f 62797465 ......#..rx_byte + 657f0: 73000000 16020223 0c087478 5f64726f s......#..tx_dro + 65800: 70706564 00000016 02022310 0872785f pped......#..rx_ + 65810: 64726f70 70656400 00001602 02231408 dropped......#.. + 65820: 72785f65 72726f72 73000000 16020223 rx_errors......# + 65830: 18087478 5f657272 6f727300 00001602 ..tx_errors..... + 65840: 02231c00 09616466 5f6e6574 5f657468 .#...adf_net_eth + 65850: 61646472 5f740000 001c5b16 00002121 addr_t....[...!! + 65860: 03000000 2146107f 00176164 665f6e65 ....!F....adf_ne + 65870: 745f636d 645f6d63 61646472 00030400 t_cmd_mcaddr.... + 65880: 00217d08 6e656c65 6d000000 16020223 .!}.nelem......# + 65890: 00086d63 61737400 00002138 02230400 ..mcast...!8.#.. + 658a0: 09616466 5f6e6574 5f636d64 5f6c696e .adf_net_cmd_lin + 658b0: 6b5f696e 666f5f74 0000001b f5096164 k_info_t......ad + 658c0: 665f6e65 745f636d 645f706f 6c6c5f69 f_net_cmd_poll_i + 658d0: 6e666f5f 74000000 1e630961 64665f6e nfo_t....c.adf_n + 658e0: 65745f63 6d645f63 6b73756d 5f696e66 et_cmd_cksum_inf + 658f0: 6f5f7400 00001fdb 09616466 5f6e6574 o_t......adf_net + 65900: 5f636d64 5f72696e 675f696e 666f5f74 _cmd_ring_info_t + 65910: 0000001e 27096164 665f6e65 745f636d ....'.adf_net_cm + 65920: 645f646d 615f696e 666f5f74 0000001f d_dma_info_t.... + 65930: 0c096164 665f6e65 745f636d 645f7669 ..adf_net_cmd_vi + 65940: 645f7400 00001c91 09616466 5f6e6574 d_t......adf_net + 65950: 5f636d64 5f6f6666 6c6f6164 5f636170 _cmd_offload_cap + 65960: 5f740000 00204c09 6164665f 6e65745f _t... L.adf_net_ + 65970: 636d645f 73746174 735f7400 00002088 cmd_stats_t... . + 65980: 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 65990: 6464725f 74000000 21460d61 64665f6e ddr_t...!F.adf_n + 659a0: 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap + 659b0: 00040000 22bf0e41 44465f4e 45545f4d ...."..ADF_NET_M + 659c0: 43415354 5f535550 00000e41 44465f4e CAST_SUP...ADF_N + 659d0: 45545f4d 43415354 5f4e4f54 53555000 ET_MCAST_NOTSUP. + 659e0: 01000961 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m + 659f0: 63617374 5f636170 5f740000 00227718 cast_cap_t..."w. + 65a00: 03040000 2391086c 696e6b5f 696e666f ....#..link_info + 65a10: 00000021 7d022300 08706f6c 6c5f696e ...!}.#..poll_in + 65a20: 666f0000 00219a02 23000863 6b73756d fo...!..#..cksum + 65a30: 5f696e66 6f000000 21b70223 00087269 _info...!..#..ri + 65a40: 6e675f69 6e666f00 000021d5 02230008 ng_info...!..#.. + 65a50: 646d615f 696e666f 00000021 f2022300 dma_info...!..#. + 65a60: 08766964 00000022 0e022300 086f6666 .vid..."..#..off + 65a70: 6c6f6164 5f636170 00000022 25022300 load_cap..."%.#. + 65a80: 08737461 74730000 00224402 2300086d .stats..."D.#..m + 65a90: 63617374 5f696e66 6f000000 225d0223 cast_info..."].# + 65aa0: 00086d63 6173745f 63617000 000022bf ..mcast_cap...". + 65ab0: 02230000 14040000 23e80e41 44465f4e .#......#..ADF_N + 65ac0: 4255465f 52585f43 4b53554d 5f4e4f4e BUF_RX_CKSUM_NON + 65ad0: 4500000e 4144465f 4e425546 5f52585f E...ADF_NBUF_RX_ + 65ae0: 434b5355 4d5f4857 00010e41 44465f4e CKSUM_HW...ADF_N + 65af0: 4255465f 52585f43 4b53554d 5f554e4e BUF_RX_CKSUM_UNN + 65b00: 45434553 53415259 00020009 6164665f ECESSARY....adf_ + 65b10: 6e627566 5f72785f 636b7375 6d5f7479 nbuf_rx_cksum_ty + 65b20: 70655f74 00000023 91120800 00242808 pe_t...#.....$(. + 65b30: 72657375 6c740000 0023e802 23000876 result...#..#..v + 65b40: 616c0000 00160202 23040012 08000024 al......#......$ + 65b50: 58087479 70650000 00203402 2300086d X.type... 4.#..m + 65b60: 73730000 001c9102 23040868 64725f6f ss......#..hdr_o + 65b70: 66660000 00166902 23060007 5f5f6164 ff....i.#...__ad + 65b80: 665f6e62 75665f71 68656164 000c0000 f_nbuf_qhead.... + 65b90: 24970868 65616400 00001422 02230008 $..head....".#.. + 65ba0: 7461696c 00000014 22022304 08716c65 tail....".#..qle + 65bb0: 6e000000 16020223 0800095f 5f616466 n......#...__adf + 65bc0: 5f6e6275 665f7400 00001422 03000016 _nbuf_t....".... + 65bd0: 78040003 00001602 04000201 06000013 x............... + 65be0: 04010600 00160201 06000016 78010600 ............x... + 65bf0: 00167801 03000012 e5040009 5f5f6164 ..x.........__ad + 65c00: 665f6e62 75665f71 68656164 5f740000 f_nbuf_qhead_t.. + 65c10: 00245809 5f5f6164 665f6e62 75665f71 .$X.__adf_nbuf_q + 65c20: 75657565 5f740000 0024d803 000024f0 ueue_t...$....$. + 65c30: 04000600 00249701 06000024 97011404 .....$.....$.... + 65c40: 00002610 0e415f53 54415455 535f4f4b ..&..A_STATUS_OK + 65c50: 00000e41 5f535441 5455535f 4641494c ...A_STATUS_FAIL + 65c60: 45440001 0e415f53 54415455 535f454e ED...A_STATUS_EN + 65c70: 4f454e54 00020e41 5f535441 5455535f OENT...A_STATUS_ + 65c80: 454e4f4d 454d0003 0e415f53 54415455 ENOMEM...A_STATU + 65c90: 535f4549 4e56414c 00040e41 5f535441 S_EINVAL...A_STA + 65ca0: 5455535f 45494e50 524f4752 45535300 TUS_EINPROGRESS. + 65cb0: 050e415f 53544154 55535f45 4e4f5453 ..A_STATUS_ENOTS + 65cc0: 55505000 060e415f 53544154 55535f45 UPP...A_STATUS_E + 65cd0: 42555359 00070e41 5f535441 5455535f BUSY...A_STATUS_ + 65ce0: 45324249 4700080e 415f5354 41545553 E2BIG...A_STATUS + 65cf0: 5f454144 44524e4f 54415641 494c0009 _EADDRNOTAVAIL.. + 65d00: 0e415f53 54415455 535f454e 58494f00 .A_STATUS_ENXIO. + 65d10: 0a0e415f 53544154 55535f45 4641554c ..A_STATUS_EFAUL + 65d20: 54000b0e 415f5354 41545553 5f45494f T...A_STATUS_EIO + 65d30: 000c0009 615f7374 61747573 5f740000 ....a_status_t.. + 65d40: 00251b06 00002610 01060000 00fd0102 .%....&......... + 65d50: 01096164 665f6e62 75665f74 00000024 ..adf_nbuf_t...$ + 65d60: 97140400 0026750e 4144465f 4f535f44 .....&u.ADF_OS_D + 65d70: 4d415f54 4f5f4445 56494345 00000e41 MA_TO_DEVICE...A + 65d80: 44465f4f 535f444d 415f4652 4f4d5f44 DF_OS_DMA_FROM_D + 65d90: 45564943 45000100 09616466 5f6f735f EVICE....adf_os_ + 65da0: 646d615f 6469725f 74000000 263e0600 dma_dir_t...&>.. + 65db0: 00261001 02010961 64665f6f 735f646d .&.....adf_os_dm + 65dc0: 616d6170 5f696e66 6f5f7400 0000161f amap_info_t..... + 65dd0: 03000026 93040002 01020106 0000262e ...&..........&. + 65de0: 01060000 24970102 01020106 0000262e ....$.........&. + 65df0: 01060000 24970106 0000262e 01060000 ....$.....&..... + 65e00: 24970106 0000262e 01020102 01060000 $.....&......... + 65e10: 16020106 00001678 01020102 01060000 .......x........ + 65e20: 1b2f0106 00001a7c 01060000 1a7c0109 ./.....|.....|.. + 65e30: 6164665f 6f735f73 676c6973 745f7400 adf_os_sglist_t. + 65e40: 000016b7 03000027 0c040002 01020102 .......'........ + 65e50: 01060000 16780109 6164665f 6e627566 .....x..adf_nbuf + 65e60: 5f717565 75655f74 00000024 f0030000 _queue_t...$.... + 65e70: 27340400 02010300 0024d804 00020102 '4.......$...... + 65e80: 01020106 0000262e 01060000 24970106 ......&.....$... + 65e90: 00001602 01060000 16020106 00001a7c ...............| + 65ea0: 01060000 1a7c0106 00001f98 01060000 .....|.......... + 65eb0: 16020109 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ + 65ec0: 636b7375 6d5f7400 00002406 03000027 cksum_t...$....' + 65ed0: 90040002 01020109 6164665f 6e627566 ........adf_nbuf + 65ee0: 5f74736f 5f740000 00242803 000027b4 _tso_t...$(...'. + 65ef0: 04000201 02010961 64665f6e 65745f68 .......adf_net_h + 65f00: 616e646c 655f7400 000003f2 09616466 andle_t......adf + 65f10: 5f6e6574 5f766c61 6e686472 5f740000 _net_vlanhdr_t.. + 65f20: 001da403 000027e9 04000600 00261001 ......'......&.. + 65f30: 06000026 10010201 0201075f 4849465f ...&......._HIF_ + 65f40: 434f4e46 49470004 00002838 0864756d CONFIG....(8.dum + 65f50: 6d790000 0000fd02 23000002 01030000 my......#....... + 65f60: 28380400 02010300 00284104 00075f48 (8.......(A..._H + 65f70: 49465f43 414c4c42 41434b00 0c000028 IF_CALLBACK....( + 65f80: 96087365 6e645f62 75665f64 6f6e6500 ..send_buf_done. + 65f90: 0000283a 02230008 72656376 5f627566 ..(:.#..recv_buf + 65fa0: 00000028 43022304 08636f6e 74657874 ...(C.#..context + 65fb0: 00000003 f2022308 00096869 665f6861 ......#...hif_ha + 65fc0: 6e646c65 5f740000 0003f209 4849465f ndle_t......HIF_ + 65fd0: 434f4e46 49470000 00281703 000028a8 CONFIG...(....(. + 65fe0: 04000600 00289601 03000028 bf040002 .....(.....(.... + 65ff0: 01030000 28cc0400 09484946 5f43414c ....(....HIF_CAL + 66000: 4c424143 4b000000 284a0300 0028d504 LBACK...(J...(.. + 66010: 00020103 000028ee 04000600 0000fd01 ......(......... + 66020: 03000028 f7040002 01030000 29040400 ...(........)... + 66030: 06000000 fd010300 00290d04 00020103 .........)...... + 66040: 0000291a 04000600 0000fd01 03000029 ..)............) + 66050: 23040002 01030000 29300400 07686966 #.......)0...hif + 66060: 5f617069 00380000 2a89085f 696e6974 _api.8..*.._init + 66070: 00000028 c5022300 085f7368 7574646f ...(..#.._shutdo + 66080: 776e0000 0028ce02 2304085f 72656769 wn...(..#.._regi + 66090: 73746572 5f63616c 6c626163 6b000000 ster_callback... + 660a0: 28f00223 08085f67 65745f74 6f74616c (..#.._get_total + 660b0: 5f637265 6469745f 636f756e 74000000 _credit_count... + 660c0: 28fd0223 0c085f73 74617274 00000028 (..#.._start...( + 660d0: ce022310 085f636f 6e666967 5f706970 ..#.._config_pip + 660e0: 65000000 29060223 14085f73 656e645f e...)..#.._send_ + 660f0: 62756666 65720000 00291302 2318085f buffer...)..#.._ + 66100: 72657475 726e5f72 6563765f 62756600 return_recv_buf. + 66110: 0000291c 02231c08 5f69735f 70697065 ..)..#.._is_pipe + 66120: 5f737570 706f7274 65640000 00292902 _supported...)). + 66130: 2320085f 6765745f 6d61785f 6d73675f # ._get_max_msg_ + 66140: 6c656e00 00002929 02232408 5f676574 len...)).#$._get + 66150: 5f726573 65727665 645f6865 6164726f _reserved_headro + 66160: 6f6d0000 0028fd02 2328085f 6973725f om...(..#(._isr_ + 66170: 68616e64 6c657200 000028ce 02232c08 handler...(..#,. + 66180: 5f676574 5f646566 61756c74 5f706970 _get_default_pip + 66190: 65000000 29320223 30087052 65736572 e...)2.#0.pReser + 661a0: 76656400 000003f2 02233400 0d646d61 ved......#4..dma + 661b0: 5f656e67 696e6500 0400002b 120e444d _engine....+..DM + 661c0: 415f454e 47494e45 5f525830 00000e44 A_ENGINE_RX0...D + 661d0: 4d415f45 4e47494e 455f5258 3100010e MA_ENGINE_RX1... + 661e0: 444d415f 454e4749 4e455f52 58320002 DMA_ENGINE_RX2.. + 661f0: 0e444d41 5f454e47 494e455f 52583300 .DMA_ENGINE_RX3. + 66200: 030e444d 415f454e 47494e45 5f545830 ..DMA_ENGINE_TX0 + 66210: 00040e44 4d415f45 4e47494e 455f5458 ...DMA_ENGINE_TX + 66220: 3100050e 444d415f 454e4749 4e455f4d 1...DMA_ENGINE_M + 66230: 41580006 0009646d 615f656e 67696e65 AX....dma_engine + 66240: 5f740000 002a890d 646d615f 69667479 _t...*..dma_ifty + 66250: 70650004 00002b5f 0e444d41 5f49465f pe....+_.DMA_IF_ + 66260: 474d4143 00000e44 4d415f49 465f5043 GMAC...DMA_IF_PC + 66270: 4900010e 444d415f 49465f50 43494500 I...DMA_IF_PCIE. + 66280: 02000964 6d615f69 66747970 655f7400 ...dma_iftype_t. + 66290: 00002b24 06000012 d7010300 002b7104 ..+$.........+q. + 662a0: 00020103 00002b7e 04000201 0300002b ......+~.......+ + 662b0: 87040006 00000909 01030000 2b900400 ............+... + 662c0: 06000012 d7010300 002b9d04 00060000 .........+...... + 662d0: 12d70103 00002baa 04000600 00142201 ......+.......". + 662e0: 0300002b b7040002 01030000 2bc40400 ...+........+... + 662f0: 07646d61 5f6c6962 5f617069 00340000 .dma_lib_api.4.. + 66300: 2ccb0874 785f696e 69740000 002b7702 ,..tx_init...+w. + 66310: 23000874 785f7374 61727400 00002b80 #..tx_start...+. + 66320: 02230408 72785f69 6e697400 00002b77 .#..rx_init...+w + 66330: 02230808 72785f63 6f6e6669 67000000 .#..rx_config... + 66340: 2b890223 0c087278 5f737461 72740000 +..#..rx_start.. + 66350: 002b8002 23100869 6e74725f 73746174 .+..#..intr_stat + 66360: 75730000 002b9602 23140868 6172645f us...+..#..hard_ + 66370: 786d6974 0000002b a3022318 08666c75 xmit...+..#..flu + 66380: 73685f78 6d697400 00002b80 02231c08 sh_xmit...+..#.. + 66390: 786d6974 5f646f6e 65000000 2bb00223 xmit_done...+..# + 663a0: 20087265 61705f78 6d697474 65640000 .reap_xmitted.. + 663b0: 002bbd02 23240872 6561705f 72656376 .+..#$.reap_recv + 663c0: 0000002b bd022328 08726574 75726e5f ...+..#(.return_ + 663d0: 72656376 0000002b c602232c 08726563 recv...+..#,.rec + 663e0: 765f706b 74000000 2bb00223 3000075f v_pkt...+..#0.._ + 663f0: 5f706369 5f736f66 7463000c 00002ce9 _pci_softc....,. + 66400: 08737700 000028d5 02230000 095f5f70 .sw...(..#...__p + 66410: 63695f73 6f667463 5f740000 002ccb03 ci_softc_t...,.. + 66420: 00002ce9 04000201 0300002d 03040006 ..,........-.... + 66430: 000012c3 01030000 2d0c0400 0d686966 ........-....hif + 66440: 5f706369 5f706970 655f7478 00040000 _pci_pipe_tx.... + 66450: 2d6c0e48 49465f50 43495f50 4950455f -l.HIF_PCI_PIPE_ + 66460: 54583000 000e4849 465f5043 495f5049 TX0...HIF_PCI_PI + 66470: 50455f54 58310001 0e484946 5f504349 PE_TX1...HIF_PCI + 66480: 5f504950 455f5458 5f4d4158 00020009 _PIPE_TX_MAX.... + 66490: 6869665f 7063695f 70697065 5f74785f hif_pci_pipe_tx_ + 664a0: 74000000 2d190600 002b1201 0300002d t...-....+.....- + 664b0: 8304000d 6869665f 7063695f 70697065 ....hif_pci_pipe + 664c0: 5f727800 0400002e 090e4849 465f5043 _rx.......HIF_PC + 664d0: 495f5049 50455f52 58300000 0e484946 I_PIPE_RX0...HIF + 664e0: 5f504349 5f504950 455f5258 3100010e _PCI_PIPE_RX1... + 664f0: 4849465f 5043495f 50495045 5f525832 HIF_PCI_PIPE_RX2 + 66500: 00020e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 66510: 52583300 030e4849 465f5043 495f5049 RX3...HIF_PCI_PI + 66520: 50455f52 585f4d41 58000400 09686966 PE_RX_MAX....hif + 66530: 5f706369 5f706970 655f7278 5f740000 _pci_pipe_rx_t.. + 66540: 002d9006 00002b12 01030000 2e200400 .-....+...... .. + 66550: 07686966 5f706369 5f617069 00240000 .hif_pci_api.$.. + 66560: 2efe0870 63695f62 6f6f745f 696e6974 ...pci_boot_init + 66570: 00000000 f6022300 08706369 5f696e69 ......#..pci_ini + 66580: 74000000 28c50223 04087063 695f7265 t...(..#..pci_re + 66590: 73657400 000000f6 02230808 7063695f set......#..pci_ + 665a0: 656e6162 6c650000 0000f602 230c0870 enable......#..p + 665b0: 63695f72 6561705f 786d6974 74656400 ci_reap_xmitted. + 665c0: 00002d05 02231008 7063695f 72656170 ..-..#..pci_reap + 665d0: 5f726563 76000000 2d050223 14087063 _recv...-..#..pc + 665e0: 695f6765 745f7069 70650000 002d1202 i_get_pipe...-.. + 665f0: 23180870 63695f67 65745f74 785f656e #..pci_get_tx_en + 66600: 67000000 2d890223 1c087063 695f6765 g...-..#..pci_ge + 66610: 745f7278 5f656e67 0000002e 26022320 t_rx_eng....&.# + 66620: 0007676d 61635f61 70690004 00002f25 ..gmac_api..../% + 66630: 08676d61 635f626f 6f745f69 6e697400 .gmac_boot_init. + 66640: 000000f6 02230000 0f000003 0a060000 .....#.......... + 66650: 2f321005 00075f5f 65746868 6472000e /2....__ethhdr.. + 66660: 00002f68 08647374 0000002f 25022300 ../h.dst.../%.#. + 66670: 08737263 0000002f 25022306 08657479 .src.../%.#..ety + 66680: 70650000 0012d702 230c0007 5f5f6174 pe......#...__at + 66690: 68686472 00040000 2fb61572 65730000 hhdr..../..res.. + 666a0: 0012c301 00020223 00157072 6f746f00 .......#..proto. + 666b0: 000012c3 01020602 23000872 65735f6c ........#..res_l + 666c0: 6f000000 12c30223 01087265 735f6869 o......#..res_hi + 666d0: 00000012 d7022302 00075f5f 676d6163 ......#...__gmac + 666e0: 5f686472 00140000 2ff20865 74680000 _hdr..../..eth.. + 666f0: 002f3202 23000861 74680000 002f6802 ./2.#..ath.../h. + 66700: 230e0861 6c69676e 5f706164 00000012 #..align_pad.... + 66710: d7022312 00095f5f 676d6163 5f686472 ..#...__gmac_hdr + 66720: 5f740000 002fb607 5f5f676d 61635f73 _t.../..__gmac_s + 66730: 6f667463 00240000 303c0868 64720000 oftc.$..0<.hdr.. + 66740: 002ff202 23000867 72616e00 000012d7 ./..#..gran..... + 66750: 02231408 73770000 0028d502 2318000c .#..sw...(..#... + 66760: 5f415f6f 735f6c69 6e6b6167 655f6368 _A_os_linkage_ch + 66770: 65636b00 00010300 00303c04 00060000 eck......0<..... + 66780: 00fd0103 0000305a 04000300 0003f504 ......0Z........ + 66790: 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir + 667a0: 65637469 6f6e5f74 61626c65 0001b800 ection_table.... + 667b0: 0031aa08 68616c5f 6c696e6b 6167655f .1..hal_linkage_ + 667c0: 63686563 6b000000 30600223 00087374 check...0`.#..st + 667d0: 6172745f 62737300 00003067 02230408 art_bss...0g.#.. + 667e0: 6170705f 73746172 74000000 00f60223 app_start......# + 667f0: 08086d65 6d000000 04350223 0c086d69 ..mem....5.#..mi + 66800: 73630000 00055402 23200870 72696e74 sc....T.# .print + 66810: 66000000 012a0223 44087561 72740000 f....*.#D.uart.. + 66820: 0001f302 234c0867 6d616300 00002efe ....#L.gmac..... + 66830: 02236c08 75736200 00000f83 02237008 .#l.usb......#p. + 66840: 636c6f63 6b000000 0acc0323 e0010874 clock......#...t + 66850: 696d6572 00000007 6c032384 0208696e imer....l.#...in + 66860: 74720000 000c1c03 23980208 616c6c6f tr......#...allo + 66870: 6372616d 00000009 240323c4 0208726f cram....$.#...ro + 66880: 6d700000 00081603 23d00208 7764745f mp......#...wdt_ + 66890: 74696d65 72000000 0df90323 e0020865 timer......#...e + 668a0: 65700000 000f2703 23fc0208 73747269 ep....'.#...stri + 668b0: 6e670000 00067803 238c0308 7461736b ng....x.#...task + 668c0: 6c657400 00000a21 0323a403 00075f55 let....!.#...._U + 668d0: 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. + 668e0: 0000321d 08676574 5f636f6d 6d616e64 ..2..get_command + 668f0: 5f627566 00000014 2f022300 08726563 _buf..../.#..rec + 66900: 765f636f 6d6d616e 64000000 14450223 v_command....E.# + 66910: 04086765 745f6576 656e745f 62756600 ..get_event_buf. + 66920: 0000142f 02230808 73656e64 5f657665 .../.#..send_eve + 66930: 6e745f64 6f6e6500 00001445 02230c00 nt_done....E.#.. + 66940: 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG + 66950: 00000031 aa030000 321d0400 02010300 ...1....2....... + 66960: 00323904 00077573 62666966 6f5f6170 .29...usbfifo_ap + 66970: 69000c00 00328f08 5f696e69 74000000 i....2.._init... + 66980: 323b0223 00085f65 6e61626c 655f6576 2;.#.._enable_ev + 66990: 656e745f 69737200 000000f6 02230408 ent_isr......#.. + 669a0: 70526573 65727665 64000000 03f20223 pReserved......# + 669b0: 08000f00 00166902 0000329c 10010007 ......i...2..... + 669c0: 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. + 669d0: 0000330e 08456e64 706f696e 74494400 ..3..EndpointID. + 669e0: 00001669 02230008 466c6167 73000000 ...i.#..Flags... + 669f0: 16690223 01085061 796c6f61 644c656e .i.#..PayloadLen + 66a00: 0000001c 91022302 08436f6e 74726f6c ......#..Control + 66a10: 42797465 73000000 328f0223 0408486f Bytes...2..#..Ho + 66a20: 73745365 714e756d 0000001c 91022306 stSeqNum......#. + 66a30: 00120200 00332708 4d657373 61676549 .....3'.MessageI + 66a40: 44000000 1c910223 00001208 0000338a D......#......3. + 66a50: 084d6573 73616765 49440000 001c9102 .MessageID...... + 66a60: 23000843 72656469 74436f75 6e740000 #..CreditCount.. + 66a70: 001c9102 23020843 72656469 7453697a ....#..CreditSiz + 66a80: 65000000 1c910223 04084d61 78456e64 e......#..MaxEnd + 66a90: 706f696e 74730000 00166902 2306085f points....i.#.._ + 66aa0: 50616431 00000016 69022307 00120a00 Pad1....i.#..... + 66ab0: 00342108 4d657373 61676549 44000000 .4!.MessageID... + 66ac0: 1c910223 00085365 72766963 65494400 ...#..ServiceID. + 66ad0: 00001c91 02230208 436f6e6e 65637469 .....#..Connecti + 66ae0: 6f6e466c 61677300 00001c91 02230408 onFlags......#.. + 66af0: 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. + 66b00: 00166902 23060855 704c696e 6b506970 ..i.#..UpLinkPip + 66b10: 65494400 00001669 02230708 53657276 eID....i.#..Serv + 66b20: 6963654d 6574614c 656e6774 68000000 iceMetaLength... + 66b30: 16690223 08085f50 61643100 00001669 .i.#.._Pad1....i + 66b40: 02230900 120a0000 34a9084d 65737361 .#......4..Messa + 66b50: 67654944 0000001c 91022300 08536572 geID......#..Ser + 66b60: 76696365 49440000 001c9102 23020853 viceID......#..S + 66b70: 74617475 73000000 16690223 0408456e tatus....i.#..En + 66b80: 64706f69 6e744944 00000016 69022305 dpointID....i.#. + 66b90: 084d6178 4d736753 697a6500 00001c91 .MaxMsgSize..... + 66ba0: 02230608 53657276 6963654d 6574614c .#..ServiceMetaL + 66bb0: 656e6774 68000000 16690223 08085f50 ength....i.#.._P + 66bc0: 61643100 00001669 02230900 12020000 ad1....i.#...... + 66bd0: 34c2084d 65737361 67654944 0000001c 4..MessageID.... + 66be0: 91022300 00120400 0034fe08 4d657373 ..#......4..Mess + 66bf0: 61676549 44000000 1c910223 00085069 ageID......#..Pi + 66c00: 70654944 00000016 69022302 08437265 peID....i.#..Cre + 66c10: 64697443 6f756e74 00000016 69022303 ditCount....i.#. + 66c20: 00120400 00353508 4d657373 61676549 .....55.MessageI + 66c30: 44000000 1c910223 00085069 70654944 D......#..PipeID + 66c40: 00000016 69022302 08537461 74757300 ....i.#..Status. + 66c50: 00001669 02230300 12020000 355c0852 ...i.#......5\.R + 66c60: 65636f72 64494400 00001669 02230008 ecordID....i.#.. + 66c70: 4c656e67 74680000 00166902 23010012 Length....i.#... + 66c80: 02000035 8608456e 64706f69 6e744944 ...5..EndpointID + 66c90: 00000016 69022300 08437265 64697473 ....i.#..Credits + 66ca0: 00000016 69022301 00120400 0035c708 ....i.#......5.. + 66cb0: 456e6470 6f696e74 49440000 00166902 EndpointID....i. + 66cc0: 23000843 72656469 74730000 00166902 #..Credits....i. + 66cd0: 23010854 67744372 65646974 5365714e #..TgtCreditSeqN + 66ce0: 6f000000 1c910223 02000f00 00166904 o......#......i. + 66cf0: 000035d4 10030012 06000036 10085072 ..5........6..Pr + 66d00: 6556616c 69640000 00166902 2300084c eValid....i.#..L + 66d10: 6f6f6b41 68656164 00000035 c7022301 ookAhead...5..#. + 66d20: 08506f73 7456616c 69640000 00166902 .PostValid....i. + 66d30: 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ + 66d40: 74000000 03f20600 00361001 03000036 t........6.....6 + 66d50: 23040002 01030000 36300400 14040000 #.......60...... + 66d60: 36ae0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C + 66d70: 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID + 66d80: 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP + 66d90: 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI + 66da0: 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO + 66db0: 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF + 66dc0: 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. + 66dd0: 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... + 66de0: 36390201 03000036 bf040006 0000262e 69.....6......&. + 66df0: 01030000 36c80400 06000026 2e010300 ....6......&.... + 66e00: 0036d504 00020103 000036e2 04000762 .6........6....b + 66e10: 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 + 66e20: 84085f69 6e697400 00003629 02230008 .._init...6).#.. + 66e30: 5f736875 74646f77 6e000000 36320223 _shutdown...62.# + 66e40: 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. + 66e50: 0036c102 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu + 66e60: 66000000 36ce0223 0c085f61 6c6c6f63 f...6..#.._alloc + 66e70: 5f627566 5f616c69 676e0000 0036db02 _buf_align...6.. + 66e80: 2310085f 66726565 5f627566 00000036 #.._free_buf...6 + 66e90: e4022314 08705265 73657276 65640000 ..#..pReserved.. + 66ea0: 0003f202 23180007 5f485443 5f534552 ....#..._HTC_SER + 66eb0: 56494345 001c0000 38630870 4e657874 VICE....8c.pNext + 66ec0: 00000038 63022300 0850726f 63657373 ...8c.#..Process + 66ed0: 52656376 4d736700 00003918 02230408 RecvMsg...9..#.. + 66ee0: 50726f63 65737353 656e6442 75666665 ProcessSendBuffe + 66ef0: 72436f6d 706c6574 65000000 39210223 rComplete...9!.# + 66f00: 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect + 66f10: 00000039 3502230c 08536572 76696365 ...95.#..Service + 66f20: 49440000 0012d702 23100853 65727669 ID......#..Servi + 66f30: 6365466c 61677300 000012d7 02231208 ceFlags......#.. + 66f40: 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... + 66f50: 12d70223 14085472 61696c65 72537063 ...#..TrailerSpc + 66f60: 43686563 6b4c696d 69740000 0012d702 CheckLimit...... + 66f70: 23160853 65727669 63654374 78000000 #..ServiceCtx... + 66f80: 03f20223 18000300 00378404 00140400 ...#.....7...... + 66f90: 00390119 454e4450 4f494e54 5f554e55 .9..ENDPOINT_UNU + 66fa0: 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN + 66fb0: 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. + 66fc0: 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END + 66fd0: 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN + 66fe0: 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. + 66ff0: 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END + 67000: 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN + 67010: 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA + 67020: 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN + 67030: 545f4944 00000038 6a020103 00003916 T_ID...8j.....9. + 67040: 04000201 03000039 1f040003 000000fd .......9........ + 67050: 04000600 0012c301 03000039 2f040003 ...........9/... + 67060: 00003784 0400075f 4854435f 434f4e46 ..7...._HTC_CONF + 67070: 49470014 000039b4 08437265 64697453 IG....9..CreditS + 67080: 697a6500 000000fd 02230008 43726564 ize......#..Cred + 67090: 69744e75 6d626572 00000000 fd022304 itNumber......#. + 670a0: 084f5348 616e646c 65000000 1a2d0223 .OSHandle....-.# + 670b0: 08084849 4648616e 646c6500 00002896 ..HIFHandle...(. + 670c0: 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 670d0: 00361002 23100007 5f485443 5f425546 .6..#..._HTC_BUF + 670e0: 5f434f4e 54455854 00020000 39f00865 _CONTEXT....9..e + 670f0: 6e645f70 6f696e74 00000012 c3022300 nd_point......#. + 67100: 08687463 5f666c61 67730000 0012c302 .htc_flags...... + 67110: 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t + 67120: 00000003 f2094854 435f5345 5455505f ......HTC_SETUP_ + 67130: 434f4d50 4c455445 5f434200 000000f6 COMPLETE_CB..... + 67140: 09485443 5f434f4e 46494700 00003943 .HTC_CONFIG...9C + 67150: 0300003a 1d040006 000039f0 01030000 ...:......9..... + 67160: 3a340400 02010300 003a4104 00094854 :4.......:A...HT + 67170: 435f5345 52564943 45000000 37840300 C_SERVICE...7... + 67180: 003a4a04 00020103 00003a62 04000201 .:J.......:b.... + 67190: 0300003a 6b040002 01030000 3a740400 ...:k.......:t.. + 671a0: 06000000 fd010300 003a7d04 00076874 .........:}...ht + 671b0: 635f6170 69730034 00003bfa 085f4854 c_apis.4..;.._HT + 671c0: 435f496e 69740000 003a3a02 2300085f C_Init...::.#.._ + 671d0: 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: + 671e0: 43022304 085f4854 435f5265 67697374 C.#.._HTC_Regist + 671f0: 65725365 72766963 65000000 3a640223 erService...:d.# + 67200: 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: + 67210: 4302230c 085f4854 435f5265 7475726e C.#.._HTC_Return + 67220: 42756666 65727300 00003a6d 02231008 Buffers...:m.#.. + 67230: 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe + 67240: 72734c69 73740000 003a7602 2314085f rsList...:v.#.._ + 67250: 4854435f 53656e64 4d736700 00003a6d HTC_SendMsg...:m + 67260: 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese + 67270: 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: + 67280: 8302231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec + 67290: 7648616e 646c6572 00000028 43022320 vHandler...(C.# + 672a0: 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa + 672b0: 6e646c65 72000000 283a0223 24085f48 ndler...(:.#$._H + 672c0: 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro + 672d0: 63657373 4d736700 00003918 02232808 cessMsg...9..#(. + 672e0: 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP + 672f0: 726f6365 73735365 6e64436f 6d706c65 rocessSendComple + 67300: 74650000 00392102 232c0870 52657365 te...9!.#,.pRese + 67310: 72766564 00000003 f2022330 0007686f rved......#0..ho + 67320: 73745f61 70705f61 7265615f 73000400 st_app_area_s... + 67330: 003c2a08 776d695f 70726f74 6f636f6c .<*.wmi_protocol + 67340: 5f766572 00000016 02022300 00120e00 _ver......#..... + 67350: 003c6108 6473744d 61630000 001c4e02 .].Ht + 67530: 6348616e 646c6500 000039f0 02230008 cHandle...9..#.. + 67540: 506f6f6c 48616e64 6c650000 00361002 PoolHandle...6.. + 67550: 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv + 67560: 74730000 0000fd02 2308084d 61784576 ts......#..MaxEv + 67570: 656e7445 76747300 000000fd 02230c00 entEvts......#.. + 67580: 02010300 003e5d04 0009574d 495f434d .....>]...WMI_CM + 67590: 445f4841 4e444c45 52000000 3e5f075f D_HANDLER...>_._ + 675a0: 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT + 675b0: 52590008 00003ec6 0870436d 6448616e RY....>..pCmdHan + 675c0: 646c6572 0000003e 66022300 08436d64 dler...>f.#..Cmd + 675d0: 49440000 0012d702 23040846 6c616773 ID......#..Flags + 675e0: 00000012 d7022306 00075f57 4d495f44 ......#..._WMI_D + 675f0: 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... + 67600: 003f2708 704e6578 74000000 3f270223 .?'.pNext...?'.# + 67610: 00087043 6f6e7465 78740000 0003f202 ..pContext...... + 67620: 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri + 67630: 65730000 0000fd02 23080870 5461626c es......#..pTabl + 67640: 65000000 3f460223 0c000300 003ec604 e...?F.#.....>.. + 67650: 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E + 67660: 4e545259 0000003e 7b030000 3f2e0400 NTRY...>{...?... + 67670: 0300003e c6040009 4854435f 4255465f ...>....HTC_BUF_ + 67680: 434f4e54 45585400 000039b4 0d574d49 CONTEXT...9..WMI + 67690: 5f455654 5f434c41 53530004 00003fde _EVT_CLASS....?. + 676a0: 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N + 676b0: 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT + 676c0: 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT + 676d0: 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 676e0: 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI + 676f0: 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. + 67700: 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. + 67710: 00003f69 075f574d 495f4255 465f434f ..?i._WMI_BUF_CO + 67720: 4e544558 54000c00 00403c08 48746342 NTEXT....@<.HtcB + 67730: 75664374 78000000 3f540223 00084576 ufCtx...?T.#..Ev + 67740: 656e7443 6c617373 0000003f de022304 entClass...?..#. + 67750: 08466c61 67730000 0012d702 23080009 .Flags......#... + 67760: 776d695f 68616e64 6c655f74 00000003 wmi_handle_t.... + 67770: f209574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG + 67780: 0000003d f4030000 404e0400 06000040 ...=....@N.....@ + 67790: 3c010300 00406904 0009574d 495f4449 <....@i...WMI_DI + 677a0: 53504154 43485f54 41424c45 0000003e SPATCH_TABLE...> + 677b0: c6030000 40760400 02010300 00409504 ....@v.......@.. + 677c0: 00060000 262e0103 0000409e 04000201 ....&.....@..... + 677d0: 03000040 ab040006 000000fd 01030000 ...@............ + 677e0: 40b40400 02010300 0040c104 00060000 @........@...... + 677f0: 12c30103 000040ca 0400075f 776d695f ......@...._wmi_ + 67800: 7376635f 61706973 002c0000 4212085f svc_apis.,..B.._ + 67810: 574d495f 496e6974 00000040 6f022300 WMI_Init...@o.#. + 67820: 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi + 67830: 73706174 63685461 626c6500 00004097 spatchTable...@. + 67840: 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv + 67850: 656e7400 000040a4 02230808 5f574d49 ent...@..#.._WMI + 67860: 5f53656e 64457665 6e740000 0040ad02 _SendEvent...@.. + 67870: 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi + 67880: 6e674576 656e7473 436f756e 74000000 ngEventsCount... + 67890: 40ba0223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC + 678a0: 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. + 678b0: 00392102 2314085f 574d495f 47657443 .9!.#.._WMI_GetC + 678c0: 6f6e7472 6f6c4570 00000040 ba022318 ontrolEp...@..#. + 678d0: 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. + 678e0: 0040c302 231c085f 574d495f 52656376 .@..#.._WMI_Recv + 678f0: 4d657373 61676548 616e646c 65720000 MessageHandler.. + 67900: 00391802 2320085f 574d495f 53657276 .9..# ._WMI_Serv + 67910: 69636543 6f6e6e65 63740000 0040d002 iceConnect...@.. + 67920: 23240870 52657365 72766564 00000003 #$.pReserved.... + 67930: f2022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. + 67940: 14000042 94086374 726c0000 00016102 ...B..ctrl....a. + 67950: 23000873 74617475 73000000 01610223 #..status....a.# + 67960: 0208746f 74616c4c 656e0000 00016102 ..totalLen....a. + 67970: 23040864 61746153 697a6500 00000161 #..dataSize....a + 67980: 02230608 6c617374 41646472 00000042 .#..lastAddr...B + 67990: 94022308 08646174 61416464 72000000 ..#..dataAddr... + 679a0: 01850223 0c086e65 78744164 64720000 ...#..nextAddr.. + 679b0: 00429402 23100003 00004212 04000300 .B..#.....B..... + 679c0: 00421204 00077a73 446d6151 75657565 .B....zsDmaQueue + 679d0: 00080000 42d40868 65616400 0000429b ....B..head...B. + 679e0: 02230008 7465726d 696e6174 6f720000 .#..terminator.. + 679f0: 00429b02 23040007 7a735478 446d6151 .B..#...zsTxDmaQ + 67a00: 75657565 00100000 43380868 65616400 ueue....C8.head. + 67a10: 0000429b 02230008 7465726d 696e6174 ..B..#..terminat + 67a20: 6f720000 00429b02 23040878 6d697465 or...B..#..xmite + 67a30: 645f6275 665f6865 61640000 00142202 d_buf_head....". + 67a40: 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta + 67a50: 696c0000 00142202 230c0002 01030000 il....".#....... + 67a60: 43380400 03000042 a2040002 01030000 C8.....B........ + 67a70: 43480400 03000042 d4040002 01030000 CH.....B........ + 67a80: 43580400 02010300 00436104 00020103 CX.......Ca..... + 67a90: 0000436a 04000600 00142201 03000043 ..Cj......"....C + 67aa0: 73040002 01030000 43800400 06000014 s.......C....... + 67ab0: 22010300 00438904 00020103 00004396 "....C........C. + 67ac0: 04000600 0000fd01 03000043 9f040006 ...........C.... + 67ad0: 0000429b 01030000 43ac0400 02010300 ..B.....C....... + 67ae0: 0043b904 0007646d 615f656e 67696e65 .C....dma_engine + 67af0: 5f617069 00400000 452f085f 696e6974 _api.@..E/._init + 67b00: 00000043 3a022300 085f696e 69745f72 ...C:.#.._init_r + 67b10: 785f7175 65756500 0000434a 02230408 x_queue...CJ.#.. + 67b20: 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. + 67b30: 00435a02 2308085f 636f6e66 69675f72 .CZ.#.._config_r + 67b40: 785f7175 65756500 00004363 02230c08 x_queue...Cc.#.. + 67b50: 5f786d69 745f6275 66000000 436c0223 _xmit_buf...Cl.# + 67b60: 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... + 67b70: 434a0223 14085f72 6561705f 72656376 CJ.#.._reap_recv + 67b80: 5f627566 00000043 79022318 085f7265 _buf...Cy.#.._re + 67b90: 7475726e 5f726563 765f6275 66000000 turn_recv_buf... + 67ba0: 43820223 1c085f72 6561705f 786d6974 C..#.._reap_xmit + 67bb0: 65645f62 75660000 00438f02 2320085f ed_buf...C..# ._ + 67bc0: 73776170 5f646174 61000000 43980223 swap_data...C..# + 67bd0: 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac + 67be0: 6b657473 00000043 a5022328 085f6465 kets...C..#(._de + 67bf0: 73635f64 756d7000 0000434a 02232c08 sc_dump...CJ.#,. + 67c00: 5f676574 5f706163 6b657400 000043b2 _get_packet...C. + 67c10: 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac + 67c20: 6b657400 000043bb 02233408 5f707574 ket...C..#4._put + 67c30: 5f706163 6b657400 000043bb 02233808 _packet...C..#8. + 67c40: 70526573 65727665 64000000 03f20223 pReserved......# + 67c50: 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi + 67c60: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. + 67c70: 0000306e 09574d49 5f535643 5f415049 ..0n.WMI_SVC_API + 67c80: 53000000 40d7175f 415f6d61 67706965 S...@.._A_magpie + 67c90: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 67ca0: 6c650003 4c000046 5d08636d 6e6f7300 le..L..F].cmnos. + 67cb0: 0000452f 02230008 64626700 000003bf ..E/.#..dbg..... + 67cc0: 0323b803 08686966 00000029 390323c0 .#...hif...)9.#. + 67cd0: 03086874 63000000 3a8a0323 f8030877 ..htc...:..#...w + 67ce0: 6d695f73 76635f61 70690000 00455103 mi_svc_api...EQ. + 67cf0: 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. + 67d00: 00003242 0323d804 08627566 5f706f6f ..2B.#...buf_poo + 67d10: 6c000000 36eb0323 e4040876 62756600 l...6..#...vbuf. + 67d20: 0000144c 03238005 08766465 73630000 ...L.#...vdesc.. + 67d30: 00132e03 23940508 616c6c6f 6372616d ....#...allocram + 67d40: 00000009 240323a8 0508646d 615f656e ....$.#...dma_en + 67d50: 67696e65 00000043 c20323b4 0508646d gine...C..#...dm + 67d60: 615f6c69 62000000 2bcd0323 f4050868 a_lib...+..#...h + 67d70: 69665f70 63690000 002e2d03 23a80600 if_pci....-.#... + 67d80: 06000003 f201095f 415f6d61 67706965 ......._A_magpie + 67d90: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 67da0: 6c655f74 00000045 63020102 01020106 le_t...Ec....... + 67db0: 000000fd 01075f48 54435f45 4e44504f ......_HTC_ENDPO + 67dc0: 494e5400 1c000047 91084372 65646974 INT....G..Credit + 67dd0: 73546f52 65747572 6e000000 1b8f0223 sToReturn......# + 67de0: 00087053 65727669 63650000 003a5b02 ..pService...:[. + 67df0: 23040843 72656469 74526574 75726e54 #..CreditReturnT + 67e00: 68726573 68686f6c 64000000 1b8f0223 hreshhold......# + 67e10: 08084372 65646974 73436f6e 73756d65 ..CreditsConsume + 67e20: 64000000 1b8f0223 0a08436f 6e6e6563 d......#..Connec + 67e30: 74696f6e 466c6167 73000000 12d70223 tionFlags......# + 67e40: 0c085065 6e64696e 67437265 64697452 ..PendingCreditR + 67e50: 65706f72 74730000 0000fd02 23100844 eports......#..D + 67e60: 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... + 67e70: 12c30223 14085570 4c696e6b 50697065 ...#..UpLinkPipe + 67e80: 49440000 0012c302 2315084c 61737453 ID......#..LastS + 67e90: 65714e75 6d000000 12d70223 16084e65 eqNum......#..Ne + 67ea0: 78744372 65646974 53657100 000012d7 xtCreditSeq..... + 67eb0: 02231800 09485443 5f454e44 504f494e .#...HTC_ENDPOIN + 67ec0: 54000000 46921600 00479102 68000047 T...F....G..h..G + 67ed0: b1101500 175f4854 435f434f 4e544558 ....._HTC_CONTEX + 67ee0: 540002cc 000049ab 084f5348 616e646c T.....I..OSHandl + 67ef0: 65000000 1a2d0223 0008456e 64706f69 e....-.#..Endpoi + 67f00: 6e747300 000047a3 02230408 4570486f nts...G..#..EpHo + 67f10: 73744e65 65647343 72656469 744d6170 stNeedsCreditMap + 67f20: 00000009 090323ec 04084570 43726564 ......#...EpCred + 67f30: 69745065 6e64696e 674d6170 00000009 itPendingMap.... + 67f40: 090323f0 04084570 52656376 50617573 ..#...EpRecvPaus + 67f50: 65644d61 70000000 09090323 f4040843 edMap......#...C + 67f60: 75727265 6e744570 496e6465 78000000 urrentEpIndex... + 67f70: 39010323 f8040848 5443436f 6e74726f 9..#...HTCContro + 67f80: 6c536572 76696365 0000003a 4a0323fc lService...:J.#. + 67f90: 04087053 65727669 63654c69 73740000 ..pServiceList.. + 67fa0: 003a5b03 23980508 52656376 42756666 .:[.#...RecvBuff + 67fb0: 65725369 7a650000 0000fd03 239c0508 erSize......#... + 67fc0: 53746174 65466c61 67730000 00090903 StateFlags...... + 67fd0: 23a00508 53657475 70436f6d 706c6574 #...SetupComplet + 67fe0: 65436200 00003a02 0323a405 08546f74 eCb...:..#...Tot + 67ff0: 616c4372 65646974 73000000 00fd0323 alCredits......# + 68000: a8050854 6f74616c 43726564 69747341 ...TotalCreditsA + 68010: 73736967 6e656400 000000fd 0323ac05 ssigned......#.. + 68020: 084e756d 42756666 65727346 6f724372 .NumBuffersForCr + 68030: 65646974 52707473 00000000 fd0323b0 editRpts......#. + 68040: 05084374 726c4275 66666572 416c6c6f ..CtrlBufferAllo + 68050: 6353697a 65000000 00fd0323 b4050870 cSize......#...p + 68060: 4374726c 42756666 65720000 0012d003 CtrlBuffer...... + 68070: 23b80508 4d617845 7050656e 64696e67 #...MaxEpPending + 68080: 43726564 69745270 74730000 0000fd03 CreditRpts...... + 68090: 23bc0508 68696648 616e646c 65000000 #...hifHandle... + 680a0: 28960323 c0050850 6f6f6c48 616e646c (..#...PoolHandl + 680b0: 65000000 36100323 c4050870 52657365 e...6..#...pRese + 680c0: 72766564 00000003 f20323c8 05000948 rved......#....H + 680d0: 54435f43 4f4e5445 58540000 0047b103 TC_CONTEXT...G.. + 680e0: 000049ab 04000201 03000047 a3040006 ..I........G.... + 680f0: 0000262e 01094854 435f5245 4144595f ..&...HTC_READY_ + 68100: 4d534700 00003327 03000049 d2040009 MSG...3'...I.... + 68110: 415f424f 4f4c0000 0000fd02 01030000 A_BOOL.......... + 68120: 47910400 02010300 003f5404 00094854 G........?T...HT + 68130: 435f4652 414d455f 48445200 0000329c C_FRAME_HDR...2. + 68140: 0300004a 0a040009 4854435f 5245434f ...J....HTC_RECO + 68150: 52445f48 44520000 00353503 00004a24 RD_HDR...55...J$ + 68160: 04000948 54435f43 52454449 545f5245 ...HTC_CREDIT_RE + 68170: 504f5254 5f315f31 00000035 86030000 PORT_1_1...5.... + 68180: 4a3f0400 02010201 0300003a 8a040002 J?.........:.... + 68190: 01020109 4854435f 434f4e4e 4543545f ....HTC_CONNECT_ + 681a0: 53455256 4943455f 4d534700 0000338a SERVICE_MSG...3. + 681b0: 0300004a 70040002 01094854 435f434f ...Jp.....HTC_CO + 681c0: 4e4e4543 545f5345 52564943 455f5245 NNECT_SERVICE_RE + 681d0: 53504f4e 53455f4d 53470000 00342103 SPONSE_MSG...4!. + 681e0: 00004a96 04000948 54435f43 4f4e4649 ..J....HTC_CONFI + 681f0: 475f5049 50455f4d 53470000 0034c203 G_PIPE_MSG...4.. + 68200: 00004ac3 04000201 09485443 5f434f4e ..J......HTC_CON + 68210: 4649475f 50495045 5f524553 504f4e53 FIG_PIPE_RESPONS + 68220: 455f4d53 47000000 34fe0300 004ae504 E_MSG...4....J.. + 68230: 00094854 435f554e 4b4e4f57 4e5f4d53 ..HTC_UNKNOWN_MS + 68240: 47000000 330e0300 004b0e04 0002011a G...3....K...... + 68250: 24013a61 64665f6e 6275665f 7461696c $.:adf_nbuf_tail + 68260: 726f6f6d 00000016 02010103 92012002 room.......... . + 68270: 9000008e 4ef0008e 4f110000 4b6a1b24 ....N...O...Kj.$ + 68280: 013a6275 66000000 262e0152 001a2401 .:buf...&..R..$. + 68290: 4a616466 5f6e6275 665f7075 73685f68 Jadf_nbuf_push_h + 682a0: 65616400 00001678 01010392 01200290 ead....x..... .. + 682b0: 00008e4f 14008e4f 3d00004b b81b2401 ...O...O=..K..$. + 682c0: 4a627566 00000026 2e01521b 24014a73 Jbuf...&..R.$.Js + 682d0: 697a6500 00001b2f 0153001a 24015a61 ize..../.S..$.Za + 682e0: 64665f6e 6275665f 7075745f 7461696c df_nbuf_put_tail + 682f0: 00000016 78010103 92012002 9000008e ....x..... ..... + 68300: 4f40008e 4f6b0000 4c051b24 015a6275 O@..Ok..L..$.Zbu + 68310: 66000000 262e0152 1b24015a 73697a65 f...&..R.$.Zsize + 68320: 0000001b 2f015300 1a24016a 6164665f ..../.S..$.jadf_ + 68330: 6e627566 5f70756c 6c5f6865 61640000 nbuf_pull_head.. + 68340: 00167801 01039201 20029000 008e4f6c ..x..... .....Ol + 68350: 008e4f96 00004c53 1b24016a 62756600 ..O...LS.$.jbuf. + 68360: 0000262e 01521b24 016a7369 7a650000 ..&..R.$.jsize.. + 68370: 001b2f01 53001a24 01886164 665f6e62 ../.S..$..adf_nb + 68380: 75665f6c 656e0000 001b2f01 01039201 uf_len..../..... + 68390: 20029000 008e4f98 008e4fa0 00004c8c .....O...O...L. + 683a0: 1b240188 62756600 0000262e 0152001c .$..buf...&..R.. + 683b0: 2401b561 64665f6e 6275665f 7065656b $..adf_nbuf_peek + 683c0: 5f686561 64657200 01010392 01200290 _header...... .. + 683d0: 00008e4f a0008e4f b500004c e61b2401 ...O...O...L..$. + 683e0: b5627566 00000026 2e01521b 2401b561 .buf...&..R.$..a + 683f0: 64647200 000024a9 01531b24 01b56c65 ddr...$..S.$..le + 68400: 6e000000 24b00154 001a2401 c5616466 n...$..T..$..adf + 68410: 5f6e6275 665f6765 745f7072 69760000 _nbuf_get_priv.. + 68420: 00167801 01039201 20029000 008e4fb8 ..x..... .....O. + 68430: 008e4fbf 00004d24 1b2401c5 62756600 ..O...M$.$..buf. + 68440: 0000262e 0152001d 01504854 435f4173 ..&..R...PHTC_As + 68450: 73656d62 6c654275 66666572 73000101 sembleBuffers... + 68460: 03920120 02900000 8e4fc000 8e4fd700 ... .....O...O.. + 68470: 004d7c1e 01507048 54430000 0049bc01 .M|..PpHTC...I.. + 68480: 521e0150 436f756e 74000000 00fd0153 R..PCount......S + 68490: 1e015053 697a6500 000000fd 0154001f ..PSize......T.. + 684a0: 01575f48 54435f49 6e697400 000039f0 .W_HTC_Init...9. + 684b0: 01010103 92013002 9000008e 4fd8008e ......0.....O... + 684c0: 50b80000 4df71e01 57536574 7570436f P...M...WSetupCo + 684d0: 6d706c65 74650000 003a0201 521e0157 mplete...:..R..W + 684e0: 70436f6e 66696700 00003a2d 01532070 pConfig...:-.S p + 684f0: 48544300 000049bc 21686966 4342436f HTC...I.!hifCBCo + 68500: 6e666967 00000028 d5029150 20686f73 nfig...(...P hos + 68510: 745f6966 00000005 1f002201 b25f4854 t_if......".._HT + 68520: 435f5368 7574646f 776e0001 01010392 C_Shutdown...... + 68530: 01200290 00008e50 b8008e50 bd00004e . .....P...P...N + 68540: 3c1e01b2 68746348 616e646c 65000000 <...htcHandle... + 68550: 39f00152 20704854 43000000 49bc001d 9..R pHTC...I... + 68560: 01b95f48 54435f52 65676973 74657253 .._HTC_RegisterS + 68570: 65727669 63650001 01039201 20029000 ervice...... ... + 68580: 008e50c0 008e50cd 00004e99 1e01b968 ..P...P...N....h + 68590: 74634861 6e646c65 00000039 f001521e tcHandle...9..R. + 685a0: 01b97053 65727669 63650000 003a5b01 ..pService...:[. + 685b0: 53207048 54430000 0049bc00 1d01c25f S pHTC...I....._ + 685c0: 4854435f 52656164 79000101 03920120 HTC_Ready...... + 685d0: 02900000 8e50d000 8e512200 004efd1e .....P...Q"..N.. + 685e0: 01c26874 6348616e 646c6500 000039f0 ..htcHandle...9. + 685f0: 01522070 48544300 000049bc 20704275 .R pHTC...I. pBu + 68600: 66666572 00000026 2e206164 64720000 ffer...&. addr.. + 68610: 00167820 70526561 64790000 0049e500 ..x pReady...I.. + 68620: 1d01de52 65747572 6e427566 66657273 ...ReturnBuffers + 68630: 00010103 92012002 9000008e 5124008e ...... .....Q$.. + 68640: 51a10000 4f9c1e01 de687463 48616e64 Q...O....htcHand + 68650: 6c650000 0039f001 521e01de 456e6470 le...9..R...Endp + 68660: 6f696e74 49440000 00390101 531e01de ointID...9..S... + 68670: 70427566 66657273 00000026 2e01541e pBuffers...&..T. + 68680: 01de7365 6e644372 65646974 466c6167 ..sendCreditFlag + 68690: 00000049 ec015520 70485443 00000049 ...I..U pHTC...I + 686a0: bc206570 43726564 69744d61 736b0000 . epCreditMask.. + 686b0: 00090920 6e627566 73000000 1b8f0023 ... nbufs......# + 686c0: 0101085f 4854435f 52657475 726e4275 ..._HTC_ReturnBu + 686d0: 66666572 734c6973 74000101 01049201 ffersList....... + 686e0: c0000290 00008e51 a4008e51 db000050 .......Q...Q...P + 686f0: 2d1b0101 08687463 48616e64 6c650000 -....htcHandle.. + 68700: 0039f001 521b0101 08456e64 706f696e .9..R....Endpoin + 68710: 74494400 00003901 01531b01 01086275 tID...9..S....bu + 68720: 66486561 64000000 27340154 20704854 fHead...'4.T pHT + 68730: 43000000 49bc206e 65746275 66000000 C...I. netbuf... + 68740: 262e2074 6d704e62 75660000 00262e00 &. tmpNbuf...&.. + 68750: 2401011b 5f485443 5f526574 75726e42 $..._HTC_ReturnB + 68760: 75666665 72730001 01039201 20029000 uffers...... ... + 68770: 008e51dc 008e51ec 00005096 1b01011b ..Q...Q...P..... + 68780: 68746348 616e646c 65000000 39f00152 htcHandle...9..R + 68790: 1b01011b 456e6470 6f696e74 49440000 ....EndpointID.. + 687a0: 00390101 531b0101 1b704275 66666572 .9..S....pBuffer + 687b0: 73000000 262e0154 00230101 205f4854 s...&..T.#.. _HT + 687c0: 435f5365 6e644d73 67000101 01049201 C_SendMsg....... + 687d0: c0000290 00008e51 ec008e53 46000051 .......Q...SF..Q + 687e0: b91b0101 20687463 48616e64 6c650000 .... htcHandle.. + 687f0: 0039f001 521b0101 20456e64 706f696e .9..R... Endpoin + 68800: 74494400 00003901 01531b01 01207042 tID...9..S... pB + 68810: 75666665 72730000 00262e01 54207048 uffers...&..T pH + 68820: 54430000 0049bc20 63747800 00004a03 TC...I. ctx...J. + 68830: 20746f74 737a0000 0000fd20 70485443 totsz..... pHTC + 68840: 48647200 00004a1d 20706970 654d6178 Hdr...J. pipeMax + 68850: 4c656e00 000000fd 20726f6f 6d466f72 Len..... roomFor + 68860: 50697065 4d61784c 656e0000 00090920 PipeMaxLen..... + 68870: 726f6f6d 00000000 fd20746f 74616c52 room..... totalR + 68880: 65706f72 74427974 65730000 0000fd20 eportBytes..... + 68890: 63726564 69747350 656e6469 6e674d61 creditsPendingMa + 688a0: 70000000 09092070 52656348 64720000 p..... pRecHdr.. + 688b0: 004a3820 69000000 00fd2063 6f6d7061 .J8 i..... compa + 688c0: 72654d61 736b0000 00090920 70437265 reMask..... pCre + 688d0: 64697452 70740000 004a5a00 240101ab ditRpt...JZ.$... + 688e0: 5f485443 5f506175 73655265 63760001 _HTC_PauseRecv.. + 688f0: 01039201 20029000 008e5348 008e534d .... .....SH..SM + 68900: 000051f7 1b0101ab 456e6470 6f696e74 ..Q.....Endpoint + 68910: 49440000 00390101 52002401 01b65f48 ID...9..R.$..._H + 68920: 54435f52 6573756d 65526563 76000101 TC_ResumeRecv... + 68930: 03920120 02900000 8e535000 8e535500 ... .....SP..SU. + 68940: 0052361b 0101b645 6e64706f 696e7449 .R6....EndpointI + 68950: 44000000 39010152 00250101 d45f4854 D...9..R.%..._HT + 68960: 435f4765 74526573 65727665 64486561 C_GetReservedHea + 68970: 64726f6f 6d000000 00fd0101 03920120 droom.......... + 68980: 02900000 8e535800 8e536b00 00528b1b .....SX..Sk..R.. + 68990: 0101d468 74634861 6e646c65 00000039 ...htcHandle...9 + 689a0: f0015220 70485443 00000049 bc002401 ..R pHTC...I..$. + 689b0: 01db6874 635f6d6f 64756c65 5f696e73 ..htc_module_ins + 689c0: 74616c6c 00010103 92012002 9000008e tall...... ..... + 689d0: 536c008e 53ad0000 52c81b01 01db7041 Sl..S...R.....pA + 689e0: 50497300 00004a65 01520024 0101f648 PIs...Je.R.$...H + 689f0: 54434672 65654d73 67427566 66657200 TCFreeMsgBuffer. + 68a00: 01010392 01200290 00008e53 b0008e53 ..... .....S...S + 68a10: c5000053 101b0101 f6704854 43000000 ...S.....pHTC... + 68a20: 49bc0152 1b0101f6 62756600 0000262e I..R....buf...&. + 68a30: 01530025 0101fd48 5443416c 6c6f634d .S.%...HTCAllocM + 68a40: 73674275 66666572 00000026 2e010103 sgBuffer...&.... + 68a50: 92012002 9000008e 53c8008e 53e70000 .. .....S...S... + 68a60: 534f1b01 01fd7048 54430000 0049bc01 SO....pHTC...I.. + 68a70: 52002401 02024854 43436865 636b416e R.$...HTCCheckAn + 68a80: 6453656e 64437265 64697452 65706f72 dSendCreditRepor + 68a90: 74000101 03920120 02900000 8e53e800 t...... .....S.. + 68aa0: 8e544600 0053e11b 01020270 48544300 .TF..S.....pHTC. + 68ab0: 000049bc 01521b01 02024570 4d61736b ..I..R....EpMask + 68ac0: 00000009 0901531b 01020270 456e6470 ......S....pEndp + 68ad0: 6f696e74 00000049 fa01541b 01020245 oint...I..T....E + 68ae0: 69640000 00390101 55207043 72656442 id...9..U pCredB + 68af0: 75666665 72000000 262e2063 74780000 uffer...&. ctx.. + 68b00: 004a0300 24010244 48544350 726f6365 .J..$..DHTCProce + 68b10: 7373436f 6e6e6563 744d7367 00010103 ssConnectMsg.... + 68b20: 92013002 9000008e 5448008e 55400000 ..0.....TH..U@.. + 68b30: 54b21b01 02447048 54430000 0049bc01 T....DpHTC...I.. + 68b40: 521b0102 44704d73 67000000 4a8d0153 R...DpMsg...J..S + 68b50: 20705365 72766963 65000000 3a5b2063 pService...:[ c + 68b60: 6f6e6e65 63745374 61747573 00000012 onnectStatus.... + 68b70: c3216d65 74614461 74614f75 744c656e .!metaDataOutLen + 68b80: 00000000 fd029150 20736572 76696365 .......P service + 68b90: 49640000 0012d720 70427566 66657200 Id..... pBuffer. + 68ba0: 0000262e 20705273 704d7367 0000004a ..&. pRspMsg...J + 68bb0: bc20704d 65746144 61746149 4e000000 . pMetaDataIN... + 68bc0: 12d02070 4d657461 44617461 4f757400 .. pMetaDataOut. + 68bd0: 000012d0 00240102 9c485443 50726f63 .....$...HTCProc + 68be0: 65737343 6f6e6669 67506970 654d7367 essConfigPipeMsg + 68bf0: 00010103 92012002 9000008e 5540008e ...... .....U@.. + 68c00: 55b50000 551c1b01 029c7048 54430000 U...U.....pHTC.. + 68c10: 0049bc01 521b0102 9c704d73 67000000 .I..R....pMsg... + 68c20: 4adc0153 20704275 66666572 00000026 J..S pBuffer...& + 68c30: 2e207052 73704d73 67000000 4b070024 . pRspMsg...K..$ + 68c40: 0102c748 5443436f 6e74726f 6c537663 ...HTCControlSvc + 68c50: 50726f63 6573734d 73670001 01039201 ProcessMsg...... + 68c60: 30029000 008e55b8 008e563e 000055dc 0.....U...V>..U. + 68c70: 1b0102c7 456e6470 6f696e74 49440000 ....EndpointID.. + 68c80: 00390101 521b0102 c7686472 5f627566 .9..R....hdr_buf + 68c90: 00000026 2e01531b 0102c770 42756666 ...&..S....pBuff + 68ca0: 65727300 0000262e 01541b01 02c76172 ers...&..T....ar + 68cb0: 67000000 03f20155 20736574 7570436f g......U setupCo + 68cc0: 6d706c65 74650000 0049ec20 70485443 mplete...I. pHTC + 68cd0: 00000049 bc21616e 62646174 61000000 ...I.!anbdata... + 68ce0: 16780291 5021616e 626c656e 00000016 .x..P!anblen.... + 68cf0: 02029154 20704d73 67000000 4b230024 ...T pMsg...K#.$ + 68d00: 01030448 5443436f 6e74726f 6c537663 ...HTCControlSvc + 68d10: 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl + 68d20: 65746500 01010392 01200290 00008e56 ete...... .....V + 68d30: 40008e56 7f000056 771b0103 04456e64 @..V...Vw....End + 68d40: 706f696e 74494400 00003901 01521b01 pointID...9..R.. + 68d50: 03047042 75666665 72730000 00262e01 ..pBuffers...&.. + 68d60: 531b0103 04617267 00000003 f2015420 S....arg......T + 68d70: 70485443 00000049 bc206374 78000000 pHTC...I. ctx... + 68d80: 4a032063 72656469 74527074 456e6470 J. creditRptEndp + 68d90: 6f696e74 00000039 01002401 03344854 oint...9..$..4HT + 68da0: 4353656e 64446f6e 6548616e 646c6572 CSendDoneHandler + 68db0: 00010103 92012002 9000008e 5680008e ...... .....V... + 68dc0: 56a70000 56e81b01 03346275 66000000 V...V....4buf... + 68dd0: 262e0152 1b010334 636f6e74 65787400 &..R...4context. + 68de0: 000003f2 01532070 48544300 000049bc .....S pHTC...I. + 68df0: 20637478 0000004a 03206375 7272656e ctx...J. curren + 68e00: 745f6569 64000000 12c30024 01038141 t_eid......$...A + 68e10: 646a7573 74437265 64697454 68726573 djustCreditThres + 68e20: 686f6c64 00010103 92012002 9000008e hold...... ..... + 68e30: 56a8008e 56d50000 57441b01 03817045 V...V...WD....pE + 68e40: 6e64706f 696e7400 000049fa 01522063 ndpoint...I..R c + 68e50: 72656469 74734f75 74737461 6e64696e reditsOutstandin + 68e60: 67000000 1b8f0024 01039a52 65646973 g......$...Redis + 68e70: 74726962 75746543 72656469 74000101 tributeCredit... + 68e80: 03920120 02900000 8e56d800 8e56dd00 ... .....V...V.. + 68e90: 0057921b 01039a62 75660000 00262e01 .W.....buf...&.. + 68ea0: 521b0103 9a746f50 69706549 64000000 R....toPipeId... + 68eb0: 00fd0153 00260103 a0485443 4d736752 ...S.&...HTCMsgR + 68ec0: 65637648 616e646c 65720001 01049201 ecvHandler...... + 68ed0: c0000290 00008e56 e0008e57 df1b0103 .......V...W.... + 68ee0: a0686472 5f627566 00000026 2e01521b .hdr_buf...&..R. + 68ef0: 0103a062 75666665 72000000 262e0153 ...buffer...&..S + 68f00: 1b0103a0 636f6e74 65787400 000003f2 ....context..... + 68f10: 01542070 48544300 000049bc 20736571 .T pHTC...I. seq + 68f20: 64696666 00000016 0220486f 73745365 diff..... HostSe + 68f30: 714e756d 00000016 0220746d 705f6e62 qNum..... tmp_nb + 68f40: 75660000 00262e21 616e6264 61746100 uf...&.!anbdata. + 68f50: 00001678 02914021 616e626c 656e0000 ...x..@!anblen.. + 68f60: 00160202 91442070 48544348 64720000 .....D pHTCHdr.. + 68f70: 004a1d20 746f7473 7a000000 12d72065 .J. totsz..... e + 68f80: 69640000 0000fd20 70456e64 706f696e id..... pEndpoin + 68f90: 74000000 49fa2065 69644d61 736b0000 t...I. eidMask.. + 68fa0: 00090920 6c656e00 00001602 20507265 ... len..... Pre + 68fb0: 73656e74 63726564 69747300 00001602 sentcredits..... + 68fc0: 00000000 004c2b00 02000026 1b04012f .....L+....&.../ + 68fd0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 68fe0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 68ff0: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 69000: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 69010: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 69020: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 69030: 5f656e67 696e652f 7372632f 646d615f _engine/src/dma_ + 69040: 656e6769 6e652e63 002f726f 6f742f57 engine.c./root/W + 69050: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 69060: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 69070: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 69080: 656e6769 6e650078 742d7863 6320666f engine.xt-xcc fo + 69090: 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali + 690a0: 676e5f69 6e737472 75637469 6f6e733d gn_instructions= + 690b0: 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: + 690c0: 73706163 65000100 00017880 025f5644 space.....x.._VD + 690d0: 45534300 24000001 95036e65 78745f64 ESC.$.....next_d + 690e0: 65736300 00000195 02230003 6275665f esc......#..buf_ + 690f0: 61646472 00000001 ba022304 03627566 addr......#..buf + 69100: 5f73697a 65000000 01d70223 08036461 _size......#..da + 69110: 74615f6f 66667365 74000000 01d70223 ta_offset......# + 69120: 0a036461 74615f73 697a6500 000001d7 ..data_size..... + 69130: 02230c03 636f6e74 726f6c00 000001d7 .#..control..... + 69140: 02230e03 68775f64 6573635f 62756600 .#..hw_desc_buf. + 69150: 000001e5 02231000 04000001 09040005 .....#.......... + 69160: 756e7369 676e6564 20636861 72000701 unsigned char... + 69170: 06415f55 494e5438 00000001 9c040000 .A_UINT8........ + 69180: 01ad0400 0573686f 72742075 6e736967 .....short unsig + 69190: 6e656420 696e7400 07020641 5f55494e ned int....A_UIN + 691a0: 54313600 000001c1 07000001 ad140000 T16............. + 691b0: 01f20813 00040000 01090400 05696e74 .............int + 691c0: 00050409 01040000 02000400 06564445 .............VDE + 691d0: 53430000 00010904 00000209 04000a00 SC.............. + 691e0: 00021401 04000002 1b04000a 000001ba ................ + 691f0: 01040000 02280400 09010400 00023504 .....(........5. + 69200: 000b0400 02766465 73635f61 70690014 .....vdesc_api.. + 69210: 000002b0 035f696e 69740000 00020202 ....._init...... + 69220: 2300035f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 69230: 00000221 02230403 5f676574 5f68775f ...!.#.._get_hw_ + 69240: 64657363 00000002 2e022308 035f7377 desc......#.._sw + 69250: 61705f76 64657363 00000002 3702230c ap_vdesc....7.#. + 69260: 03705265 73657276 65640000 00023e02 .pReserved....>. + 69270: 23100002 5f564255 46002000 00031003 #..._VBUF. ..... + 69280: 64657363 5f6c6973 74000000 02140223 desc_list......# + 69290: 00036e65 78745f62 75660000 00031002 ..next_buf...... + 692a0: 23040362 75665f6c 656e6774 68000000 #..buf_length... + 692b0: 01d70223 08037265 73657276 65640000 ...#..reserved.. + 692c0: 00031702 230a0363 74780000 0001e502 ....#..ctx...... + 692d0: 230c0004 000002b0 04000700 0001ad02 #............... + 692e0: 00000324 08010004 000002b0 04000656 ...$...........V + 692f0: 42554600 000002b0 04000003 2b04000a BUF.........+... + 69300: 00000335 01040000 033c0400 0a000003 ...5.....<...... + 69310: 35010400 00034904 00090104 00000356 5.....I........V + 69320: 04000276 6275665f 61706900 14000003 ...vbuf_api..... + 69330: d4035f69 6e697400 00000202 02230003 .._init......#.. + 69340: 5f616c6c 6f635f76 62756600 00000342 _alloc_vbuf....B + 69350: 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 69360: 77697468 5f73697a 65000000 034f0223 with_size....O.# + 69370: 08035f66 7265655f 76627566 00000003 .._free_vbuf.... + 69380: 5802230c 03705265 73657276 65640000 X.#..pReserved.. + 69390: 00023e02 23100002 7a73446d 61446573 ..>.#...zsDmaDes + 693a0: 63001400 00045603 6374726c 00000001 c.....V.ctrl.... + 693b0: c1022300 03737461 74757300 000001c1 ..#..status..... + 693c0: 02230203 746f7461 6c4c656e 00000001 .#..totalLen.... + 693d0: c1022304 03646174 6153697a 65000000 ..#..dataSize... + 693e0: 01c10223 06036c61 73744164 64720000 ...#..lastAddr.. + 693f0: 00045602 23080364 61746141 64647200 ..V.#..dataAddr. + 69400: 0000045d 02230c03 6e657874 41646472 ...].#..nextAddr + 69410: 00000004 56022310 00040000 03d40400 ....V.#......... + 69420: 056c6f6e 6720756e 7369676e 65642069 .long unsigned i + 69430: 6e740007 04040000 03d40400 027a7344 nt...........zsD + 69440: 6d615175 65756500 08000004 ab036865 maQueue.......he + 69450: 61640000 00047202 23000374 65726d69 ad....r.#..termi + 69460: 6e61746f 72000000 04720223 0400027a nator....r.#...z + 69470: 73547844 6d615175 65756500 10000005 sTxDmaQueue..... + 69480: 0f036865 61640000 00047202 23000374 ..head....r.#..t + 69490: 65726d69 6e61746f 72000000 04720223 erminator....r.# + 694a0: 0403786d 69746564 5f627566 5f686561 ..xmited_buf_hea + 694b0: 64000000 03350223 0803786d 69746564 d....5.#..xmited + 694c0: 5f627566 5f746169 6c000000 03350223 _buf_tail....5.# + 694d0: 0c000901 04000005 0f040004 00000479 ...............y + 694e0: 04000901 04000005 1f040004 000004ab ................ + 694f0: 04000901 04000005 2f040009 01040000 ......../....... + 69500: 05380400 09010400 00054104 000a0000 .8........A..... + 69510: 03350104 0000054a 04000901 04000005 .5.....J........ + 69520: 5704000a 00000335 01040000 05600400 W......5.....`.. + 69530: 09010400 00056d04 000a0000 01f90104 ......m......... + 69540: 00000576 04000a00 00047201 04000005 ...v......r..... + 69550: 83040009 01040000 05900400 02646d61 .............dma + 69560: 5f656e67 696e655f 61706900 40000007 _engine_api.@... + 69570: 06035f69 6e697400 00000511 02230003 .._init......#.. + 69580: 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. + 69590: 00052102 2304035f 696e6974 5f74785f ..!.#.._init_tx_ + 695a0: 71756575 65000000 05310223 08035f63 queue....1.#.._c + 695b0: 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. + 695c0: 00053a02 230c035f 786d6974 5f627566 ..:.#.._xmit_buf + 695d0: 00000005 43022310 035f666c 7573685f ....C.#.._flush_ + 695e0: 786d6974 00000005 21022314 035f7265 xmit....!.#.._re + 695f0: 61705f72 6563765f 62756600 00000550 ap_recv_buf....P + 69600: 02231803 5f726574 75726e5f 72656376 .#.._return_recv + 69610: 5f627566 00000005 5902231c 035f7265 _buf....Y.#.._re + 69620: 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... + 69630: 05660223 20035f73 7761705f 64617461 .f.# ._swap_data + 69640: 00000005 6f022324 035f6861 735f636f ....o.#$._has_co + 69650: 6d706c5f 7061636b 65747300 0000057c mpl_packets....| + 69660: 02232803 5f646573 635f6475 6d700000 .#(._desc_dump.. + 69670: 00052102 232c035f 6765745f 7061636b ..!.#,._get_pack + 69680: 65740000 00058902 2330035f 7265636c et......#0._recl + 69690: 61696d5f 7061636b 65740000 00059202 aim_packet...... + 696a0: 2334035f 7075745f 7061636b 65740000 #4._put_packet.. + 696b0: 00059202 23380370 52657365 72766564 ....#8.pReserved + 696c0: 00000002 3e02233c 00090104 00000706 ....>.#<........ + 696d0: 04000563 68617200 07010c00 00070f0c ...char......... + 696e0: 0000070f 04000007 1c04000a 000001f9 ................ + 696f0: 01040000 07280400 02707269 6e74665f .....(...printf_ + 69700: 61706900 08000007 6c035f70 72696e74 api.....l._print + 69710: 665f696e 69740000 00070802 2300035f f_init......#.._ + 69720: 7072696e 74660000 00072e02 23040006 printf......#... + 69730: 75696e74 31365f74 00000001 c1067569 uint16_t......ui + 69740: 6e743332 5f740000 00045d02 75617274 nt32_t....].uart + 69750: 5f666966 6f000800 0007d303 73746172 _fifo.......star + 69760: 745f696e 64657800 0000076c 02230003 t_index....l.#.. + 69770: 656e645f 696e6465 78000000 076c0223 end_index....l.# + 69780: 02036f76 65727275 6e5f6572 72000000 ..overrun_err... + 69790: 077a0223 04000275 6172745f 61706900 .z.#...uart_api. + 697a0: 20000008 8c035f75 6172745f 696e6974 ....._uart_init + 697b0: 00000008 e3022300 035f7561 72745f63 ......#.._uart_c + 697c0: 6861725f 70757400 000008f9 02230403 har_put......#.. + 697d0: 5f756172 745f6368 61725f67 65740000 _uart_char_get.. + 697e0: 00090d02 2308035f 75617274 5f737472 ....#.._uart_str + 697f0: 5f6f7574 00000009 1602230c 035f7561 _out......#.._ua + 69800: 72745f74 61736b00 00000708 02231003 rt_task......#.. + 69810: 5f756172 745f7374 61747573 00000008 _uart_status.... + 69820: e3022314 035f7561 72745f63 6f6e6669 ..#.._uart_confi + 69830: 67000000 091f0223 18035f75 6172745f g......#.._uart_ + 69840: 6877696e 69740000 00092802 231c0004 hwinit....(.#... + 69850: 000007d3 04000275 6172745f 626c6b00 .......uart_blk. + 69860: 10000008 dd036465 6275675f 6d6f6465 ......debug_mode + 69870: 00000007 6c022300 03626175 64000000 ....l.#..baud... + 69880: 076c0223 02035f75 61727400 0000088c .l.#.._uart..... + 69890: 02230403 5f747800 00000788 02230800 .#.._tx......#.. + 698a0: 0a000007 7a010400 0008dd04 00067569 ....z.........ui + 698b0: 6e74385f 74000000 019c0901 04000008 nt8_t........... + 698c0: f7040004 000008ea 04000a00 00076c01 ..............l. + 698d0: 04000009 07040009 01040000 09140400 ................ + 698e0: 09010400 00091d04 00090104 00000926 ...............& + 698f0: 04000400 00070f04 000a0000 01f90104 ................ + 69900: 00000936 04000244 425f434f 4d4d414e ...6...DB_COMMAN + 69910: 445f5354 52554354 000c0000 098e0363 D_STRUCT.......c + 69920: 6d645f73 74720000 00092f02 23000368 md_str..../.#..h + 69930: 656c705f 73747200 0000092f 02230403 elp_str..../.#.. + 69940: 636d645f 66756e63 00000009 3c022308 cmd_func....<.#. + 69950: 00026462 675f6170 69000800 0009c103 ..dbg_api....... + 69960: 5f646267 5f696e69 74000000 07080223 _dbg_init......# + 69970: 00035f64 62675f74 61736b00 00000708 .._dbg_task..... + 69980: 02230400 05756e73 69676e65 6420696e .#...unsigned in + 69990: 74000704 0a000002 3e010400 0009d104 t.......>....... + 699a0: 000d0d04 000009df 04000a00 00023e01 ..............>. + 699b0: 04000009 e704000a 000001f9 01040000 ................ + 699c0: 09f40400 026d656d 5f617069 00140000 .....mem_api.... + 699d0: 0a63035f 6d656d5f 696e6974 00000007 .c._mem_init.... + 699e0: 08022300 035f6d65 6d736574 00000009 ..#.._memset.... + 699f0: d7022304 035f6d65 6d637079 00000009 ..#.._memcpy.... + 69a00: ed022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... + 69a10: 09ed0223 0c035f6d 656d636d 70000000 ...#.._memcmp... + 69a20: 09fa0223 10000e72 65676973 7465725f ...#...register_ + 69a30: 64756d70 5f730000 01040000 0a630400 dump_s.......c.. + 69a40: 09010400 000a7d04 00090104 00000a86 ......}......... + 69a50: 04000a00 0001f901 0400000a 8f04000f ................ + 69a60: 686f7374 69665f73 00040000 0aeb1048 hostif_s.......H + 69a70: 49465f55 53420000 10484946 5f504349 IF_USB...HIF_PCI + 69a80: 45000110 4849465f 474d4143 00021048 E...HIF_GMAC...H + 69a90: 49465f50 43490003 10484946 5f4e554d IF_PCI...HIF_NUM + 69aa0: 00041048 49465f4e 4f4e4500 05000641 ...HIF_NONE....A + 69ab0: 5f484f53 54494600 00000a9c 0a00000a _HOSTIF......... + 69ac0: eb010400 000af904 000a0000 08ea0104 ................ + 69ad0: 00000b06 04000a00 00076c01 0400000b ..........l..... + 69ae0: 13040002 6d697363 5f617069 00240000 ....misc_api.$.. + 69af0: 0c03035f 73797374 656d5f72 65736574 ..._system_reset + 69b00: 00000007 08022300 035f6d61 635f7265 ......#.._mac_re + 69b10: 73657400 00000708 02230403 5f617373 set......#.._ass + 69b20: 6661696c 0000000a 7f022308 035f6d69 fail......#.._mi + 69b30: 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha + 69b40: 6e646c65 72000000 0a7f0223 0c035f72 ndler......#.._r + 69b50: 65706f72 745f6661 696c7572 655f746f eport_failure_to + 69b60: 5f686f73 74000000 0a880223 10035f74 _host......#.._t + 69b70: 61726765 745f6964 5f676574 0000000a arget_id_get.... + 69b80: 95022314 035f6973 5f686f73 745f7072 ..#.._is_host_pr + 69b90: 6573656e 74000000 0aff0223 18035f6b esent......#.._k + 69ba0: 62686974 0000000b 0c02231c 035f726f bhit......#.._ro + 69bb0: 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... + 69bc0: 0b190223 20000a00 00092f01 0400000c ...# ...../..... + 69bd0: 0304000a 0000092f 01040000 0c100400 ......./........ + 69be0: 0a000001 f9010400 000c1d04 000a0000 ................ + 69bf0: 01f90104 00000c2a 04000a00 0001f901 .......*........ + 69c00: 0400000c 37040002 73747269 6e675f61 ....7...string_a + 69c10: 70690018 00000cbd 035f7374 72696e67 pi......._string + 69c20: 5f696e69 74000000 07080223 00035f73 _init......#.._s + 69c30: 74726370 79000000 0c090223 04035f73 trcpy......#.._s + 69c40: 74726e63 70790000 000c1602 2308035f trncpy......#.._ + 69c50: 7374726c 656e0000 000c2302 230c035f strlen....#.#.._ + 69c60: 73747263 6d700000 000c3002 2310035f strcmp....0.#.._ + 69c70: 7374726e 636d7000 00000c3d 02231400 strncmp....=.#.. + 69c80: 07000009 c1140000 0cca0804 00065f41 .............._A + 69c90: 5f54494d 45525f53 50414345 0000000c _TIMER_SPACE.... + 69ca0: bd06415f 74696d65 725f7400 00000cca ..A_timer_t..... + 69cb0: 0400000c de040009 01040000 0cf40400 ................ + 69cc0: 09010400 000cfd04 0006415f 48414e44 ..........A_HAND + 69cd0: 4c450000 0009c109 0106415f 54494d45 LE........A_TIME + 69ce0: 525f4655 4e430000 000d1404 00000d16 R_FUNC.......... + 69cf0: 04000901 0400000d 2f040002 74696d65 ......../...time + 69d00: 725f6170 69001400 000dae03 5f74696d r_api......._tim + 69d10: 65725f69 6e697400 00000708 02230003 er_init......#.. + 69d20: 5f74696d 65725f61 726d0000 000cf602 _timer_arm...... + 69d30: 2304035f 74696d65 725f6469 7361726d #.._timer_disarm + 69d40: 0000000c ff022308 035f7469 6d65725f ......#.._timer_ + 69d50: 73657466 6e000000 0d310223 0c035f74 setfn....1.#.._t + 69d60: 696d6572 5f72756e 00000007 08022310 imer_run......#. + 69d70: 0006424f 4f4c4541 4e000000 076c0a00 ..BOOLEAN....l.. + 69d80: 000dae01 0400000d bb04000a 00000dae ................ + 69d90: 01040000 0dc80400 0a00000d ae010400 ................ + 69da0: 000dd504 0002726f 6d705f61 70690010 ......romp_api.. + 69db0: 00000e47 035f726f 6d705f69 6e697400 ...G._romp_init. + 69dc0: 00000708 02230003 5f726f6d 705f646f .....#.._romp_do + 69dd0: 776e6c6f 61640000 000dc102 2304035f wnload......#.._ + 69de0: 726f6d70 5f696e73 74616c6c 0000000d romp_install.... + 69df0: ce022308 035f726f 6d705f64 65636f64 ..#.._romp_decod + 69e00: 65000000 0ddb0223 0c000272 6f6d5f70 e......#...rom_p + 69e10: 61746368 5f737400 1000000e a3036372 atch_st.......cr + 69e20: 63313600 0000076c 02230003 6c656e00 c16....l.#..len. + 69e30: 0000076c 02230203 6c645f61 64647200 ...l.#..ld_addr. + 69e40: 0000077a 02230403 66756e5f 61646472 ...z.#..fun_addr + 69e50: 00000007 7a022308 03706675 6e000000 ....z.#..pfun... + 69e60: 09000223 0c000265 65705f72 65646972 ...#...eep_redir + 69e70: 5f616464 72000400 000ed503 6f666673 _addr.......offs + 69e80: 65740000 00076c02 23000373 697a6500 et....l.#..size. + 69e90: 0000076c 02230200 06415f55 494e5433 ...l.#...A_UINT3 + 69ea0: 32000000 09c10a00 00023e01 0400000e 2.........>..... + 69eb0: e3040002 616c6c6f 6372616d 5f617069 ....allocram_api + 69ec0: 000c0000 0f540363 6d6e6f73 5f616c6c .....T.cmnos_all + 69ed0: 6f637261 6d5f696e 69740000 000ee902 ocram_init...... + 69ee0: 23000363 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra + 69ef0: 6d000000 0ee90223 0403636d 6e6f735f m......#..cmnos_ + 69f00: 616c6c6f 6372616d 5f646562 75670000 allocram_debug.. + 69f10: 00070802 23080009 01040000 0f540400 ....#........T.. + 69f20: 06415f54 41534b4c 45545f46 554e4300 .A_TASKLET_FUNC. + 69f30: 00000f56 025f7461 736b6c65 74001000 ...V._tasklet... + 69f40: 000fb503 66756e63 0000000f 5d022300 ....func....].#. + 69f50: 03617267 00000002 3e022304 03737461 .arg....>.#..sta + 69f60: 74650000 0001f902 2308036e 65787400 te......#..next. + 69f70: 00000fb5 02230c00 0400000f 71040004 .....#......q... + 69f80: 00000f71 04000641 5f746173 6b6c6574 ...q...A_tasklet + 69f90: 5f740000 000f7104 00000fc3 04000901 _t....q......... + 69fa0: 0400000f db040009 01040000 0fe40400 ................ + 69fb0: 02746173 6b6c6574 5f617069 00140000 .tasklet_api.... + 69fc0: 1079035f 7461736b 6c65745f 696e6974 .y._tasklet_init + 69fd0: 00000007 08022300 035f7461 736b6c65 ......#.._taskle + 69fe0: 745f696e 69745f74 61736b00 00000fdd t_init_task..... + 69ff0: 02230403 5f746173 6b6c6574 5f646973 .#.._tasklet_dis + 6a000: 61626c65 0000000f e6022308 035f7461 able......#.._ta + 6a010: 736b6c65 745f7363 68656475 6c650000 sklet_schedule.. + 6a020: 000fe602 230c035f 7461736b 6c65745f ....#.._tasklet_ + 6a030: 72756e00 00000708 02231000 09010400 run......#...... + 6a040: 00107904 000a0000 0ed50104 00001082 ..y............. + 6a050: 04000263 6c6f636b 5f617069 00240000 ...clock_api.$.. + 6a060: 1168035f 636c6f63 6b5f696e 69740000 .h._clock_init.. + 6a070: 00107b02 2300035f 636c6f63 6b726567 ..{.#.._clockreg + 6a080: 735f696e 69740000 00070802 2304035f s_init......#.._ + 6a090: 75617274 5f667265 7175656e 63790000 uart_frequency.. + 6a0a0: 00108802 2308035f 64656c61 795f7573 ....#.._delay_us + 6a0b0: 00000002 0202230c 035f776c 616e5f62 ......#.._wlan_b + 6a0c0: 616e645f 73657400 00000202 02231003 and_set......#.. + 6a0d0: 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 6a0e0: 74000000 10880223 14035f6d 696c6c69 t......#.._milli + 6a0f0: 7365636f 6e647300 00001088 02231803 seconds......#.. + 6a100: 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 6a110: 00070802 231c035f 636c6f63 6b5f7469 ....#.._clock_ti + 6a120: 636b0000 00070802 2320000a 0000077a ck......# .....z + 6a130: 01040000 11680400 06415f6f 6c645f69 .....h...A_old_i + 6a140: 6e74725f 74000000 077a0a00 00117501 ntr_t....z....u. + 6a150: 04000011 87040009 01040000 11940400 ................ + 6a160: 09010400 00119d04 000a0000 077a0104 .............z.. + 6a170: 000011a6 04000641 5f697372 5f740000 .......A_isr_t.. + 6a180: 0011ac09 01040000 11c00400 0a000009 ................ + 6a190: c1010400 0011c904 00090104 000011d6 ................ + 6a1a0: 04000269 6e74725f 61706900 2c000012 ...intr_api.,... + 6a1b0: f8035f69 6e74725f 696e6974 00000007 .._intr_init.... + 6a1c0: 08022300 035f696e 74725f69 6e766f6b ..#.._intr_invok + 6a1d0: 655f6973 72000000 116e0223 04035f69 e_isr....n.#.._i + 6a1e0: 6e74725f 64697361 626c6500 0000118d ntr_disable..... + 6a1f0: 02230803 5f696e74 725f7265 73746f72 .#.._intr_restor + 6a200: 65000000 11960223 0c035f69 6e74725f e......#.._intr_ + 6a210: 6d61736b 5f696e75 6d000000 119f0223 mask_inum......# + 6a220: 10035f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 6a230: 6e756d00 0000119f 02231403 5f696e74 num......#.._int + 6a240: 725f6174 74616368 5f697372 00000011 r_attach_isr.... + 6a250: c2022318 035f6765 745f696e 7472656e ..#.._get_intren + 6a260: 61626c65 00000011 cf02231c 035f7365 able......#.._se + 6a270: 745f696e 7472656e 61626c65 00000011 t_intrenable.... + 6a280: d8022320 035f6765 745f696e 74727065 ..# ._get_intrpe + 6a290: 6e64696e 67000000 11cf0223 24035f75 nding......#$._u + 6a2a0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 6a2b0: 766c0000 00070802 23280011 04000013 vl......#(...... + 6a2c0: 1e037469 6d656f75 74000000 077a0223 ..timeout....z.# + 6a2d0: 00036163 74696f6e 00000007 7a022300 ..action....z.#. + 6a2e0: 00120800 00133903 636d6400 0000077a ......9.cmd....z + 6a2f0: 02230013 000012f8 02230400 06545f57 .#.......#...T_W + 6a300: 44545f43 4d440000 00131e09 01040000 DT_CMD.......... + 6a310: 13480400 14040000 139e1045 4e554d5f .H.........ENUM_ + 6a320: 5744545f 424f4f54 00011045 4e554d5f WDT_BOOT...ENUM_ + 6a330: 434f4c44 5f424f4f 54000210 454e554d COLD_BOOT...ENUM + 6a340: 5f535553 505f424f 4f540003 10454e55 _SUSP_BOOT...ENU + 6a350: 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 6a360: 0006545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 6a370: 13510a00 00139e01 04000013 af040002 .Q.............. + 6a380: 7764745f 61706900 1c000014 53035f77 wdt_api.....S._w + 6a390: 64745f69 6e697400 00000708 02230003 dt_init......#.. + 6a3a0: 5f776474 5f656e61 626c6500 00000708 _wdt_enable..... + 6a3b0: 02230403 5f776474 5f646973 61626c65 .#.._wdt_disable + 6a3c0: 00000007 08022308 035f7764 745f7365 ......#.._wdt_se + 6a3d0: 74000000 134a0223 0c035f77 64745f74 t....J.#.._wdt_t + 6a3e0: 61736b00 00000708 02231003 5f776474 ask......#.._wdt + 6a3f0: 5f726573 65740000 00070802 2314035f _reset......#.._ + 6a400: 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 6a410: 13b50223 18001404 000014ba 10524554 ...#.........RET + 6a420: 5f535543 43455353 00001052 45545f4e _SUCCESS...RET_N + 6a430: 4f545f49 4e495400 01105245 545f4e4f OT_INIT...RET_NO + 6a440: 545f4558 49535400 02105245 545f4545 T_EXIST...RET_EE + 6a450: 505f434f 52525550 54000310 5245545f P_CORRUPT...RET_ + 6a460: 4545505f 4f564552 464c4f57 00041052 EEP_OVERFLOW...R + 6a470: 45545f55 4e4b4e4f 574e0005 0006545f ET_UNKNOWN....T_ + 6a480: 4545505f 52455400 00001453 04000007 EEP_RET....S.... + 6a490: 6c04000a 000014ba 01040000 14d00400 l............... + 6a4a0: 0a000014 ba010400 0014dd04 00026565 ..............ee + 6a4b0: 705f6170 69001000 00154603 5f656570 p_api.....F._eep + 6a4c0: 5f696e69 74000000 07080223 00035f65 _init......#.._e + 6a4d0: 65705f72 65616400 000014d6 02230403 ep_read......#.. + 6a4e0: 5f656570 5f777269 74650000 0014d602 _eep_write...... + 6a4f0: 2308035f 6565705f 69735f65 78697374 #.._eep_is_exist + 6a500: 00000014 e302230c 00027573 625f6170 ......#...usb_ap + 6a510: 69007000 0017f303 5f757362 5f696e69 i.p....._usb_ini + 6a520: 74000000 07080223 00035f75 73625f72 t......#.._usb_r + 6a530: 6f6d5f74 61736b00 00000708 02230403 om_task......#.. + 6a540: 5f757362 5f66775f 7461736b 00000007 _usb_fw_task.... + 6a550: 08022308 035f7573 625f696e 69745f70 ..#.._usb_init_p + 6a560: 68790000 00070802 230c035f 7573625f hy......#.._usb_ + 6a570: 6570305f 73657475 70000000 07080223 ep0_setup......# + 6a580: 10035f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 6a590: 07080223 14035f75 73625f65 70305f72 ...#.._usb_ep0_r + 6a5a0: 78000000 07080223 18035f75 73625f67 x......#.._usb_g + 6a5b0: 65745f69 6e746572 66616365 0000000d et_interface.... + 6a5c0: ce02231c 035f7573 625f7365 745f696e ..#.._usb_set_in + 6a5d0: 74657266 61636500 00000dce 02232003 terface......# . + 6a5e0: 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 6a5f0: 72617469 6f6e0000 000dce02 2324035f ration......#$._ + 6a600: 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 6a610: 6174696f 6e000000 0dce0223 28035f75 ation......#(._u + 6a620: 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 6a630: 00000dce 02232c03 5f757362 5f76656e .....#,._usb_ven + 6a640: 646f725f 636d6400 00000708 02233003 dor_cmd......#0. + 6a650: 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 6a660: 00070802 2334035f 7573625f 72657365 ....#4._usb_rese + 6a670: 745f6669 666f0000 00070802 2338035f t_fifo......#8._ + 6a680: 7573625f 67656e5f 77647400 00000708 usb_gen_wdt..... + 6a690: 02233c03 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 6a6a0: 6f740000 00070802 2340035f 7573625f ot......#@._usb_ + 6a6b0: 636c725f 66656174 75726500 00000dce clr_feature..... + 6a6c0: 02234403 5f757362 5f736574 5f666561 .#D._usb_set_fea + 6a6d0: 74757265 0000000d ce022348 035f7573 ture......#H._us + 6a6e0: 625f7365 745f6164 64726573 73000000 b_set_address... + 6a6f0: 0dce0223 4c035f75 73625f67 65745f64 ...#L._usb_get_d + 6a700: 65736372 6970746f 72000000 0dce0223 escriptor......# + 6a710: 50035f75 73625f67 65745f73 74617475 P._usb_get_statu + 6a720: 73000000 0dce0223 54035f75 73625f73 s......#T._usb_s + 6a730: 65747570 5f646573 63000000 07080223 etup_desc......# + 6a740: 58035f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 6a750: 00070802 235c035f 7573625f 73746174 ....#\._usb_stat + 6a760: 75735f69 6e000000 07080223 60035f75 us_in......#`._u + 6a770: 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 6a780: 00070802 2364035f 7573625f 6570305f ....#d._usb_ep0_ + 6a790: 72785f64 61746100 00000708 02236803 rx_data......#h. + 6a7a0: 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 6a7b0: 07080223 6c00025f 5f616466 5f646576 ...#l..__adf_dev + 6a7c0: 69636500 04000018 15036475 6d6d7900 ice.......dummy. + 6a7d0: 000001f9 02230000 0400000e d5040002 .....#.......... + 6a7e0: 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... + 6a7f0: 00185c03 62756600 00000335 02230003 ..\.buf....5.#.. + 6a800: 64735f61 64647200 00001815 02230403 ds_addr......#.. + 6a810: 64735f6c 656e0000 0001d702 23080012 ds_len......#... + 6a820: 0c000018 96035f5f 76615f73 746b0000 ......__va_stk.. + 6a830: 00092f02 2300035f 5f76615f 72656700 ../.#..__va_reg. + 6a840: 0000092f 02230403 5f5f7661 5f6e6478 .../.#..__va_ndx + 6a850: 00000001 f9022308 00065f5f 6164665f ......#...__adf_ + 6a860: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... + 6a870: 0ed50661 64665f6f 735f646d 615f6164 ...adf_os_dma_ad + 6a880: 64725f74 00000018 96065f5f 6164665f dr_t......__adf_ + 6a890: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... + 6a8a0: 0ed50661 64665f6f 735f646d 615f7369 ...adf_os_dma_si + 6a8b0: 7a655f74 00000018 c6025f5f 646d615f ze_t......__dma_ + 6a8c0: 73656773 00080000 19220370 61646472 segs.....".paddr + 6a8d0: 00000018 af022300 036c656e 00000018 ......#..len.... + 6a8e0: df022304 00065f5f 615f7569 6e743332 ..#...__a_uint32 + 6a8f0: 5f740000 000ed506 615f7569 6e743332 _t......a_uint32 + 6a900: 5f740000 00192207 000018f6 08000019 _t...."......... + 6a910: 51080000 02616466 5f6f735f 646d616d Q....adf_os_dmam + 6a920: 61705f69 6e666f00 0c000019 8a036e73 ap_info.......ns + 6a930: 65677300 00001934 02230003 646d615f egs....4.#..dma_ + 6a940: 73656773 00000019 44022304 00065f5f segs....D.#...__ + 6a950: 615f7569 6e74385f 74000000 01ad0661 a_uint8_t......a + 6a960: 5f75696e 74385f74 00000019 8a040000 _uint8_t........ + 6a970: 199b0400 025f5f73 675f7365 67730008 .....__sg_segs.. + 6a980: 000019dc 03766164 64720000 0019aa02 .....vaddr...... + 6a990: 2300036c 656e0000 00193402 23040007 #..len....4.#... + 6a9a0: 000019b1 20000019 e9080300 02616466 .... ........adf + 6a9b0: 5f6f735f 73676c69 73740024 00001a1c _os_sglist.$.... + 6a9c0: 036e7365 67730000 00193402 23000373 .nsegs....4.#..s + 6a9d0: 675f7365 67730000 0019dc02 23040012 g_segs......#... + 6a9e0: 1000001a 65037665 6e646f72 00000019 ....e.vendor.... + 6a9f0: 34022300 03646576 69636500 00001934 4.#..device....4 + 6aa00: 02230403 73756276 656e646f 72000000 .#..subvendor... + 6aa10: 19340223 08037375 62646576 69636500 .4.#..subdevice. + 6aa20: 00001934 02230c00 056c6f6e 67206c6f ...4.#...long lo + 6aa30: 6e672075 6e736967 6e656420 696e7400 ng unsigned int. + 6aa40: 07080641 5f55494e 54363400 00001a65 ...A_UINT64....e + 6aa50: 065f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... + 6aa60: 1a7f0661 5f75696e 7436345f 74000000 ...a_uint64_t... + 6aa70: 1a8d1404 00001aeb 10414446 5f4f535f .........ADF_OS_ + 6aa80: 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME + 6aa90: 4d000010 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU + 6aaa0: 5243455f 54595045 5f494f00 01000661 RCE_TYPE_IO....a + 6aab0: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 6aac0: 7970655f 74000000 1aaf1218 00001b35 ype_t..........5 + 6aad0: 03737461 72740000 001a9f02 23000365 .start......#..e + 6aae0: 6e640000 001a9f02 23080374 79706500 nd......#..type. + 6aaf0: 00001aeb 02231000 06616466 5f6f735f .....#...adf_os_ + 6ab00: 7063695f 6465765f 69645f74 0000001a pci_dev_id_t.... + 6ab10: 1c040000 1b350400 11040000 1b740370 .....5.......t.p + 6ab20: 63690000 001b4e02 23000372 61770000 ci....N.#..raw.. + 6ab30: 00023e02 23000011 1000001b 93037063 ..>.#.........pc + 6ab40: 69000000 1b350223 00037261 77000000 i....5.#..raw... + 6ab50: 023e0223 00000661 64665f64 72765f68 .>.#...adf_drv_h + 6ab60: 616e646c 655f7400 0000023e 06616466 andle_t....>.adf + 6ab70: 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. + 6ab80: 001b0704 00001ba9 04000661 64665f6f ...........adf_o + 6ab90: 735f6174 74616368 5f646174 615f7400 s_attach_data_t. + 6aba0: 00001b74 0400001b c7040004 000017f3 ...t............ + 6abb0: 0400065f 5f616466 5f6f735f 64657669 ...__adf_os_devi + 6abc0: 63655f74 0000001b e8066164 665f6f73 ce_t......adf_os + 6abd0: 5f646576 6963655f 74000000 1bef0a00 _device_t....... + 6abe0: 001b9301 0400001c 1b040009 01040000 ................ + 6abf0: 1c280400 06616466 5f6f735f 706d5f74 .(...adf_os_pm_t + 6ac00: 00000002 3e090104 00001c42 04001404 ....>......B.... + 6ac10: 00001c82 10414446 5f4f535f 4255535f .....ADF_OS_BUS_ + 6ac20: 54595045 5f504349 00011041 44465f4f TYPE_PCI...ADF_O + 6ac30: 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER + 6ac40: 49430002 00066164 665f6f73 5f627573 IC....adf_os_bus + 6ac50: 5f747970 655f7400 00001c4b 06616466 _type_t....K.adf + 6ac60: 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data + 6ac70: 5f740000 001b5504 0000019c 0400025f _t....U........_ + 6ac80: 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. + 6ac90: 1d5f0364 72765f61 74746163 68000000 ._.drv_attach... + 6aca0: 1c210223 00036472 765f6465 74616368 .!.#..drv_detach + 6acb0: 0000001c 2a022304 03647276 5f737573 ....*.#..drv_sus + 6acc0: 70656e64 0000001c 44022308 03647276 pend....D.#..drv + 6acd0: 5f726573 756d6500 00001c2a 02230c03 _resume....*.#.. + 6ace0: 6275735f 74797065 0000001c 82022310 bus_type......#. + 6acf0: 03627573 5f646174 61000000 1c990223 .bus_data......# + 6ad00: 14036d6f 645f6e61 6d650000 001cb402 ..mod_name...... + 6ad10: 23180369 666e616d 65000000 1cb40223 #..ifname......# + 6ad20: 1c000661 64665f6f 735f6861 6e646c65 ...adf_os_handle + 6ad30: 5f740000 00023e04 0000198a 04000901 _t....>......... + 6ad40: 0901065f 5f616466 5f6f735f 73697a65 ...__adf_os_size + 6ad50: 5f740000 0009c114 0400001d ae10415f _t............A_ + 6ad60: 46414c53 45000010 415f5452 55450001 FALSE...A_TRUE.. + 6ad70: 0006615f 626f6f6c 5f740000 001d9404 ..a_bool_t...... + 6ad80: 0000181c 0400065f 5f616466 5f6f735f .......__adf_os_ + 6ad90: 646d615f 6d61705f 74000000 1dbc0901 dma_map_t....... + 6ada0: 0f616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy + 6adb0: 6e630004 00001e46 10414446 5f53594e nc.....F.ADF_SYN + 6adc0: 435f5052 45524541 44000010 4144465f C_PREREAD...ADF_ + 6add0: 53594e43 5f505245 57524954 45000210 SYNC_PREWRITE... + 6ade0: 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA + 6adf0: 44000110 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS + 6ae00: 54575249 54450003 00066164 665f6f73 TWRITE....adf_os + 6ae10: 5f636163 68655f73 796e635f 74000000 _cache_sync_t... + 6ae20: 1ddd0901 06616466 5f6f735f 73697a65 .....adf_os_size + 6ae30: 5f740000 001d7f0a 00001e61 01066164 _t.........a..ad + 6ae40: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. + 6ae50: 001dc304 00001e7a 04000a00 00023e01 .......z......>. + 6ae60: 0400001d c304000a 0000023e 0109010a ...........>.... + 6ae70: 000018af 01090105 73686f72 7420696e ........short in + 6ae80: 74000502 06415f49 4e543136 0000001e t....A_INT16.... + 6ae90: b4065f5f 615f696e 7431365f 74000000 ..__a_int16_t... + 6aea0: 1ec10661 5f696e74 31365f74 0000001e ...a_int16_t.... + 6aeb0: ce057369 676e6564 20636861 72000501 ..signed char... + 6aec0: 06415f49 4e543800 00001eee 065f5f61 .A_INT8......__a + 6aed0: 5f696e74 385f7400 00001efd 06615f69 _int8_t......a_i + 6aee0: 6e74385f 74000000 1f09120c 00001f80 nt8_t........... + 6aef0: 03737570 706f7274 65640000 00193402 .supported....4. + 6af00: 23000361 64766572 74697a65 64000000 #..advertized... + 6af10: 19340223 04037370 65656400 00001edf .4.#..speed..... + 6af20: 02230803 6475706c 65780000 001f1902 .#..duplex...... + 6af30: 230a0361 75746f6e 65670000 00199b02 #..autoneg...... + 6af40: 230b0007 0000199b 0600001f 8d080500 #............... + 6af50: 02616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr + 6af60: 00060000 1fb10361 64647200 00001f80 .......addr..... + 6af70: 02230000 065f5f61 5f75696e 7431365f .#...__a_uint16_ + 6af80: 74000000 01d70661 5f75696e 7431365f t......a_uint16_ + 6af90: 74000000 1fb1120e 00002015 03657468 t......... ..eth + 6afa0: 65725f64 686f7374 0000001f 80022300 er_dhost......#. + 6afb0: 03657468 65725f73 686f7374 0000001f .ether_shost.... + 6afc0: 80022306 03657468 65725f74 79706500 ..#..ether_type. + 6afd0: 00001fc3 02230c00 12140000 20d61569 .....#...... ..i + 6afe0: 705f7665 7273696f 6e000000 199b0100 p_version....... + 6aff0: 04022300 1569705f 686c0000 00199b01 ..#..ip_hl...... + 6b000: 04040223 00036970 5f746f73 00000019 ...#..ip_tos.... + 6b010: 9b022301 0369705f 6c656e00 00001fc3 ..#..ip_len..... + 6b020: 02230203 69705f69 64000000 1fc30223 .#..ip_id......# + 6b030: 04036970 5f667261 675f6f66 66000000 ..ip_frag_off... + 6b040: 1fc30223 06036970 5f74746c 00000019 ...#..ip_ttl.... + 6b050: 9b022308 0369705f 70726f74 6f000000 ..#..ip_proto... + 6b060: 199b0223 09036970 5f636865 636b0000 ...#..ip_check.. + 6b070: 001fc302 230a0369 705f7361 64647200 ....#..ip_saddr. + 6b080: 00001934 02230c03 69705f64 61646472 ...4.#..ip_daddr + 6b090: 00000019 34022310 00026164 665f6e65 ....4.#...adf_ne + 6b0a0: 745f766c 616e6864 72000400 00212803 t_vlanhdr....!(. + 6b0b0: 74706964 0000001f c3022300 15707269 tpid......#..pri + 6b0c0: 6f000000 199b0100 03022302 15636669 o.........#..cfi + 6b0d0: 00000019 9b010301 02230215 76696400 .........#..vid. + 6b0e0: 00001fc3 02040c02 23020002 6164665f ........#...adf_ + 6b0f0: 6e65745f 76696400 02000021 59157265 net_vid....!Y.re + 6b100: 73000000 199b0100 04022300 1576616c s.........#..val + 6b110: 0000001f c302040c 02230000 120c0000 .........#...... + 6b120: 21950372 785f6275 6673697a 65000000 !..rx_bufsize... + 6b130: 19340223 00037278 5f6e6465 73630000 .4.#..rx_ndesc.. + 6b140: 00193402 23040374 785f6e64 65736300 ..4.#..tx_ndesc. + 6b150: 00001934 02230800 12080000 21bb0370 ...4.#......!..p + 6b160: 6f6c6c65 64000000 1dae0223 0003706f olled......#..po + 6b170: 6c6c5f77 74000000 19340223 04000700 ll_wt....4.#.... + 6b180: 00199b40 000021c8 083f0012 46000021 ...@..!..?..F..! + 6b190: f0036966 5f6e616d 65000000 21bb0223 ..if_name...!..# + 6b1a0: 00036465 765f6164 64720000 001f8002 ..dev_addr...... + 6b1b0: 23400014 04000022 27104144 465f4f53 #@....."'.ADF_OS + 6b1c0: 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. + 6b1d0: 00104144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS + 6b1e0: 4b5f3634 42495400 01000661 64665f6f K_64BIT....adf_o + 6b1f0: 735f646d 615f6d61 736b5f74 00000021 s_dma_mask_t...! + 6b200: f0026164 665f646d 615f696e 666f0008 ..adf_dma_info.. + 6b210: 00002274 03646d61 5f6d6173 6b000000 .."t.dma_mask... + 6b220: 22270223 00037367 5f6e7365 67730000 "'.#..sg_nsegs.. + 6b230: 00193402 23040014 04000022 ca104144 ..4.#......"..AD + 6b240: 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE + 6b250: 00001041 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM + 6b260: 5f544350 5f554450 5f495076 34000110 _TCP_UDP_IPv4... + 6b270: 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC + 6b280: 505f5544 505f4950 76360002 00066164 P_UDP_IPv6....ad + 6b290: 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type + 6b2a0: 5f740000 00227412 08000023 0d037478 _t..."t....#..tx + 6b2b0: 5f636b73 756d0000 0022ca02 23000372 _cksum..."..#..r + 6b2c0: 785f636b 73756d00 000022ca 02230400 x_cksum..."..#.. + 6b2d0: 06616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i + 6b2e0: 6e666f5f 74000000 22e41404 00002366 nfo_t...".....#f + 6b2f0: 10414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON + 6b300: 45000010 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ + 6b310: 49505634 00011041 44465f4e 45545f54 IPV4...ADF_NET_T + 6b320: 534f5f41 4c4c0002 00066164 665f6e65 SO_ALL....adf_ne + 6b330: 745f7473 6f5f7479 70655f74 00000023 t_tso_type_t...# + 6b340: 27121000 0023ba03 636b7375 6d5f6361 '....#..cksum_ca + 6b350: 70000000 230d0223 00037473 6f000000 p...#..#..tso... + 6b360: 23660223 0803766c 616e5f73 7570706f #f.#..vlan_suppo + 6b370: 72746564 00000019 9b02230c 00122000 rted......#... . + 6b380: 00245303 74785f70 61636b65 74730000 .$S.tx_packets.. + 6b390: 00193402 23000372 785f7061 636b6574 ..4.#..rx_packet + 6b3a0: 73000000 19340223 04037478 5f627974 s....4.#..tx_byt + 6b3b0: 65730000 00193402 23080372 785f6279 es....4.#..rx_by + 6b3c0: 74657300 00001934 02230c03 74785f64 tes....4.#..tx_d + 6b3d0: 726f7070 65640000 00193402 23100372 ropped....4.#..r + 6b3e0: 785f6472 6f707065 64000000 19340223 x_dropped....4.# + 6b3f0: 14037278 5f657272 6f727300 00001934 ..rx_errors....4 + 6b400: 02231803 74785f65 72726f72 73000000 .#..tx_errors... + 6b410: 19340223 1c000661 64665f6e 65745f65 .4.#...adf_net_e + 6b420: 74686164 64725f74 0000001f 8d160000 thaddr_t........ + 6b430: 24530300 00002478 087f0017 6164665f $S....$x....adf_ + 6b440: 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. + 6b450: 04000024 af036e65 6c656d00 00001934 ...$..nelem....4 + 6b460: 02230003 6d636173 74000000 246a0223 .#..mcast...$j.# + 6b470: 04000661 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l + 6b480: 696e6b5f 696e666f 5f740000 001f2706 ink_info_t....'. + 6b490: 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll + 6b4a0: 5f696e66 6f5f7400 00002195 06616466 _info_t...!..adf + 6b4b0: 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i + 6b4c0: 6e666f5f 74000000 230d0661 64665f6e nfo_t...#..adf_n + 6b4d0: 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info + 6b4e0: 5f740000 00215906 6164665f 6e65745f _t...!Y.adf_net_ + 6b4f0: 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. + 6b500: 00223e06 6164665f 6e65745f 636d645f .">.adf_net_cmd_ + 6b510: 7669645f 74000000 1fc30661 64665f6e vid_t......adf_n + 6b520: 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c + 6b530: 61705f74 00000023 7e066164 665f6e65 ap_t...#~.adf_ne + 6b540: 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... + 6b550: 23ba0661 64665f6e 65745f63 6d645f6d #..adf_net_cmd_m + 6b560: 63616464 725f7400 00002478 0f616466 caddr_t...$x.adf + 6b570: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c + 6b580: 61700004 000025f1 10414446 5f4e4554 ap....%..ADF_NET + 6b590: 5f4d4341 53545f53 55500000 10414446 _MCAST_SUP...ADF + 6b5a0: 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU + 6b5b0: 50000100 06616466 5f6e6574 5f636d64 P....adf_net_cmd + 6b5c0: 5f6d6361 73745f63 61705f74 00000025 _mcast_cap_t...% + 6b5d0: a9180304 000026c3 036c696e 6b5f696e ......&..link_in + 6b5e0: 666f0000 0024af02 23000370 6f6c6c5f fo...$..#..poll_ + 6b5f0: 696e666f 00000024 cc022300 03636b73 info...$..#..cks + 6b600: 756d5f69 6e666f00 000024e9 02230003 um_info...$..#.. + 6b610: 72696e67 5f696e66 6f000000 25070223 ring_info...%..# + 6b620: 0003646d 615f696e 666f0000 00252402 ..dma_info...%$. + 6b630: 23000376 69640000 00254002 2300036f #..vid...%@.#..o + 6b640: 66666c6f 61645f63 61700000 00255702 ffload_cap...%W. + 6b650: 23000373 74617473 00000025 76022300 #..stats...%v.#. + 6b660: 036d6361 73745f69 6e666f00 0000258f .mcast_info...%. + 6b670: 02230003 6d636173 745f6361 70000000 .#..mcast_cap... + 6b680: 25f10223 00001404 0000271a 10414446 %..#......'..ADF + 6b690: 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N + 6b6a0: 4f4e4500 00104144 465f4e42 55465f52 ONE...ADF_NBUF_R + 6b6b0: 585f434b 53554d5f 48570001 10414446 X_CKSUM_HW...ADF + 6b6c0: 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U + 6b6d0: 4e4e4543 45535341 52590002 00066164 NNECESSARY....ad + 6b6e0: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ + 6b6f0: 74797065 5f740000 0026c312 08000027 type_t...&.....' + 6b700: 5a037265 73756c74 00000027 1a022300 Z.result...'..#. + 6b710: 0376616c 00000019 34022304 00120800 .val....4.#..... + 6b720: 00278a03 74797065 00000023 66022300 .'..type...#f.#. + 6b730: 036d7373 0000001f c3022304 03686472 .mss......#..hdr + 6b740: 5f6f6666 00000019 9b022306 00025f5f _off......#...__ + 6b750: 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. + 6b760: 000027c9 03686561 64000000 03350223 ..'..head....5.# + 6b770: 00037461 696c0000 00033502 23040371 ..tail....5.#..q + 6b780: 6c656e00 00001934 02230800 065f5f61 len....4.#...__a + 6b790: 64665f6e 6275665f 74000000 03350400 df_nbuf_t....5.. + 6b7a0: 0019aa04 00040000 19340400 09010a00 .........4...... + 6b7b0: 00021401 0a000019 34010a00 0019aa01 ........4....... + 6b7c0: 0a000019 aa010400 0001e504 00065f5f ..............__ + 6b7d0: 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t + 6b7e0: 00000027 8a065f5f 6164665f 6e627566 ...'..__adf_nbuf + 6b7f0: 5f717565 75655f74 00000028 0a040000 _queue_t...(.... + 6b800: 28220400 0a000027 c9010a00 0027c901 (".....'.....'.. + 6b810: 14040000 29421041 5f535441 5455535f ....)B.A_STATUS_ + 6b820: 4f4b0000 10415f53 54415455 535f4641 OK...A_STATUS_FA + 6b830: 494c4544 00011041 5f535441 5455535f ILED...A_STATUS_ + 6b840: 454e4f45 4e540002 10415f53 54415455 ENOENT...A_STATU + 6b850: 535f454e 4f4d454d 00031041 5f535441 S_ENOMEM...A_STA + 6b860: 5455535f 45494e56 414c0004 10415f53 TUS_EINVAL...A_S + 6b870: 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES + 6b880: 53000510 415f5354 41545553 5f454e4f S...A_STATUS_ENO + 6b890: 54535550 50000610 415f5354 41545553 TSUPP...A_STATUS + 6b8a0: 5f454255 53590007 10415f53 54415455 _EBUSY...A_STATU + 6b8b0: 535f4532 42494700 0810415f 53544154 S_E2BIG...A_STAT + 6b8c0: 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL + 6b8d0: 00091041 5f535441 5455535f 454e5849 ...A_STATUS_ENXI + 6b8e0: 4f000a10 415f5354 41545553 5f454641 O...A_STATUS_EFA + 6b8f0: 554c5400 0b10415f 53544154 55535f45 ULT...A_STATUS_E + 6b900: 494f000c 0006615f 73746174 75735f74 IO....a_status_t + 6b910: 00000028 4d0a0000 2942010a 000001f9 ...(M...)B...... + 6b920: 01090106 6164665f 6e627566 5f740000 ....adf_nbuf_t.. + 6b930: 0027c914 04000029 a7104144 465f4f53 .'.....)..ADF_OS + 6b940: 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. + 6b950: 10414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM + 6b960: 5f444556 49434500 01000661 64665f6f _DEVICE....adf_o + 6b970: 735f646d 615f6469 725f7400 00002970 s_dma_dir_t...)p + 6b980: 0a000029 42010901 06616466 5f6f735f ...)B....adf_os_ + 6b990: 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... + 6b9a0: 19510400 0029c504 00090109 010a0000 .Q...).......... + 6b9b0: 2960010a 000027c9 01090109 010a0000 )`....'......... + 6b9c0: 2960010a 000027c9 010a0000 2960010a )`....'.....)`.. + 6b9d0: 000027c9 010a0000 29600109 0109010a ..'.....)`...... + 6b9e0: 00001934 010a0000 19aa0109 0109010a ...4............ + 6b9f0: 00001e61 010a0000 1dae010a 00001dae ...a............ + 6ba00: 01066164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ + 6ba10: 74000000 19e90400 002a3e04 00090109 t........*>..... + 6ba20: 0109010a 000019aa 01066164 665f6e62 ..........adf_nb + 6ba30: 75665f71 75657565 5f740000 00282204 uf_queue_t...(". + 6ba40: 00002a66 04000901 04000028 0a040009 ..*f.......(.... + 6ba50: 01090109 010a0000 2960010a 000027c9 ........)`....'. + 6ba60: 010a0000 1934010a 00001934 010a0000 .....4.....4.... + 6ba70: 1dae010a 00001dae 010a0000 22ca010a ............"... + 6ba80: 00001934 01066164 665f6e62 75665f72 ...4..adf_nbuf_r + 6ba90: 785f636b 73756d5f 74000000 27380400 x_cksum_t...'8.. + 6baa0: 002ac204 00090109 01066164 665f6e62 .*........adf_nb + 6bab0: 75665f74 736f5f74 00000027 5a040000 uf_tso_t...'Z... + 6bac0: 2ae60400 09010901 06616466 5f6e6574 *........adf_net + 6bad0: 5f68616e 646c655f 74000000 023e0661 _handle_t....>.a + 6bae0: 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t + 6baf0: 00000020 d6040000 2b1b0400 0a000029 ... ....+......) + 6bb00: 42010a00 00294201 09010901 025f4849 B....)B......_HI + 6bb10: 465f434f 4e464947 00040000 2b6a0364 F_CONFIG....+j.d + 6bb20: 756d6d79 00000001 f9022300 00090104 ummy......#..... + 6bb30: 00002b6a 04000901 0400002b 73040002 ..+j.......+s... + 6bb40: 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... + 6bb50: 002bc803 73656e64 5f627566 5f646f6e .+..send_buf_don + 6bb60: 65000000 2b6c0223 00037265 63765f62 e...+l.#..recv_b + 6bb70: 75660000 002b7502 23040363 6f6e7465 uf...+u.#..conte + 6bb80: 78740000 00023e02 23080006 6869665f xt....>.#...hif_ + 6bb90: 68616e64 6c655f74 00000002 3e064849 handle_t....>.HI + 6bba0: 465f434f 4e464947 0000002b 49040000 F_CONFIG...+I... + 6bbb0: 2bda0400 0a00002b c8010400 002bf104 +......+.....+.. + 6bbc0: 00090104 00002bfe 04000648 49465f43 ......+....HIF_C + 6bbd0: 414c4c42 41434b00 00002b7c 0400002c ALLBACK...+|..., + 6bbe0: 07040009 01040000 2c200400 0a000001 ........, ...... + 6bbf0: f9010400 002c2904 00090104 00002c36 .....,).......,6 + 6bc00: 04000a00 0001f901 0400002c 3f040009 ...........,?... + 6bc10: 01040000 2c4c0400 0a000001 f9010400 ....,L.......... + 6bc20: 002c5504 00090104 00002c62 04000268 .,U.......,b...h + 6bc30: 69665f61 70690038 00002dbb 035f696e if_api.8..-.._in + 6bc40: 69740000 002bf702 2300035f 73687574 it...+..#.._shut + 6bc50: 646f776e 0000002c 00022304 035f7265 down...,..#.._re + 6bc60: 67697374 65725f63 616c6c62 61636b00 gister_callback. + 6bc70: 00002c22 02230803 5f676574 5f746f74 ..,".#.._get_tot + 6bc80: 616c5f63 72656469 745f636f 756e7400 al_credit_count. + 6bc90: 00002c2f 02230c03 5f737461 72740000 ..,/.#.._start.. + 6bca0: 002c0002 2310035f 636f6e66 69675f70 .,..#.._config_p + 6bcb0: 69706500 00002c38 02231403 5f73656e ipe...,8.#.._sen + 6bcc0: 645f6275 66666572 0000002c 45022318 d_buffer...,E.#. + 6bcd0: 035f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 6bce0: 66000000 2c4e0223 1c035f69 735f7069 f...,N.#.._is_pi + 6bcf0: 70655f73 7570706f 72746564 0000002c pe_supported..., + 6bd00: 5b022320 035f6765 745f6d61 785f6d73 [.# ._get_max_ms + 6bd10: 675f6c65 6e000000 2c5b0223 24035f67 g_len...,[.#$._g + 6bd20: 65745f72 65736572 7665645f 68656164 et_reserved_head + 6bd30: 726f6f6d 0000002c 2f022328 035f6973 room...,/.#(._is + 6bd40: 725f6861 6e646c65 72000000 2c000223 r_handler...,..# + 6bd50: 2c035f67 65745f64 65666175 6c745f70 ,._get_default_p + 6bd60: 69706500 00002c64 02233003 70526573 ipe...,d.#0.pRes + 6bd70: 65727665 64000000 023e0223 34000f64 erved....>.#4..d + 6bd80: 6d615f65 6e67696e 65000400 002e4410 ma_engine.....D. + 6bd90: 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. + 6bda0: 10444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. + 6bdb0: 0110444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 + 6bdc0: 00021044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 6bdd0: 33000310 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T + 6bde0: 58300004 10444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 6bdf0: 54583100 0510444d 415f454e 47494e45 TX1...DMA_ENGINE + 6be00: 5f4d4158 00060006 646d615f 656e6769 _MAX....dma_engi + 6be10: 6e655f74 0000002d bb0f646d 615f6966 ne_t...-..dma_if + 6be20: 74797065 00040000 2e911044 4d415f49 type.......DMA_I + 6be30: 465f474d 41430000 10444d41 5f49465f F_GMAC...DMA_IF_ + 6be40: 50434900 0110444d 415f4946 5f504349 PCI...DMA_IF_PCI + 6be50: 45000200 06646d61 5f696674 7970655f E....dma_iftype_ + 6be60: 74000000 2e560a00 0001d701 0400002e t....V.......... + 6be70: a3040009 01040000 2eb00400 09010400 ................ + 6be80: 002eb904 000a0000 0ed50104 00002ec2 ................ + 6be90: 04000a00 0001d701 0400002e cf04000a ................ + 6bea0: 000001d7 01040000 2edc0400 0a000003 ................ + 6beb0: 35010400 002ee904 00090104 00002ef6 5............... + 6bec0: 04000264 6d615f6c 69625f61 70690034 ...dma_lib_api.4 + 6bed0: 00002ffd 0374785f 696e6974 0000002e ../..tx_init.... + 6bee0: a9022300 0374785f 73746172 74000000 ..#..tx_start... + 6bef0: 2eb20223 04037278 5f696e69 74000000 ...#..rx_init... + 6bf00: 2ea90223 08037278 5f636f6e 66696700 ...#..rx_config. + 6bf10: 00002ebb 02230c03 72785f73 74617274 .....#..rx_start + 6bf20: 0000002e b2022310 03696e74 725f7374 ......#..intr_st + 6bf30: 61747573 0000002e c8022314 03686172 atus......#..har + 6bf40: 645f786d 69740000 002ed502 23180366 d_xmit......#..f + 6bf50: 6c757368 5f786d69 74000000 2eb20223 lush_xmit......# + 6bf60: 1c03786d 69745f64 6f6e6500 00002ee2 ..xmit_done..... + 6bf70: 02232003 72656170 5f786d69 74746564 .# .reap_xmitted + 6bf80: 0000002e ef022324 03726561 705f7265 ......#$.reap_re + 6bf90: 63760000 002eef02 23280372 65747572 cv......#(.retur + 6bfa0: 6e5f7265 63760000 002ef802 232c0372 n_recv......#,.r + 6bfb0: 6563765f 706b7400 00002ee2 02233000 ecv_pkt......#0. + 6bfc0: 025f5f70 63695f73 6f667463 000c0000 .__pci_softc.... + 6bfd0: 301b0373 77000000 2c070223 0000065f 0..sw...,..#..._ + 6bfe0: 5f706369 5f736f66 74635f74 0000002f _pci_softc_t.../ + 6bff0: fd040000 301b0400 09010400 00303504 ....0........05. + 6c000: 000a0000 01ad0104 0000303e 04000f68 ..........0>...h + 6c010: 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. + 6c020: 0000309e 10484946 5f504349 5f504950 ..0..HIF_PCI_PIP + 6c030: 455f5458 30000010 4849465f 5043495f E_TX0...HIF_PCI_ + 6c040: 50495045 5f545831 00011048 49465f50 PIPE_TX1...HIF_P + 6c050: 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. + 6c060: 00066869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t + 6c070: 785f7400 0000304b 0a00002e 44010400 x_t...0K....D... + 6c080: 0030b504 000f6869 665f7063 695f7069 .0....hif_pci_pi + 6c090: 70655f72 78000400 00313b10 4849465f pe_rx....1;.HIF_ + 6c0a0: 5043495f 50495045 5f525830 00001048 PCI_PIPE_RX0...H + 6c0b0: 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. + 6c0c0: 01104849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R + 6c0d0: 58320002 10484946 5f504349 5f504950 X2...HIF_PCI_PIP + 6c0e0: 455f5258 33000310 4849465f 5043495f E_RX3...HIF_PCI_ + 6c0f0: 50495045 5f52585f 4d415800 04000668 PIPE_RX_MAX....h + 6c100: 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t + 6c110: 00000030 c20a0000 2e440104 00003152 ...0.....D....1R + 6c120: 04000268 69665f70 63695f61 70690024 ...hif_pci_api.$ + 6c130: 00003230 03706369 5f626f6f 745f696e ..20.pci_boot_in + 6c140: 69740000 00070802 23000370 63695f69 it......#..pci_i + 6c150: 6e697400 00002bf7 02230403 7063695f nit...+..#..pci_ + 6c160: 72657365 74000000 07080223 08037063 reset......#..pc + 6c170: 695f656e 61626c65 00000007 0802230c i_enable......#. + 6c180: 03706369 5f726561 705f786d 69747465 .pci_reap_xmitte + 6c190: 64000000 30370223 10037063 695f7265 d...07.#..pci_re + 6c1a0: 61705f72 65637600 00003037 02231403 ap_recv...07.#.. + 6c1b0: 7063695f 6765745f 70697065 00000030 pci_get_pipe...0 + 6c1c0: 44022318 03706369 5f676574 5f74785f D.#..pci_get_tx_ + 6c1d0: 656e6700 000030bb 02231c03 7063695f eng...0..#..pci_ + 6c1e0: 6765745f 72785f65 6e670000 00315802 get_rx_eng...1X. + 6c1f0: 23200002 676d6163 5f617069 00040000 # ..gmac_api.... + 6c200: 32570367 6d61635f 626f6f74 5f696e69 2W.gmac_boot_ini + 6c210: 74000000 07080223 00000700 00019c06 t......#........ + 6c220: 00003264 08050002 5f5f6574 68686472 ..2d....__ethhdr + 6c230: 000e0000 329a0364 73740000 00325702 ....2..dst...2W. + 6c240: 23000373 72630000 00325702 23060365 #..src...2W.#..e + 6c250: 74797065 00000001 d702230c 00025f5f type......#...__ + 6c260: 61746868 64720004 000032e8 15726573 athhdr....2..res + 6c270: 00000001 ad010002 02230015 70726f74 .........#..prot + 6c280: 6f000000 01ad0102 06022300 03726573 o.........#..res + 6c290: 5f6c6f00 000001ad 02230103 7265735f _lo......#..res_ + 6c2a0: 68690000 0001d702 23020002 5f5f676d hi......#...__gm + 6c2b0: 61635f68 64720014 00003324 03657468 ac_hdr....3$.eth + 6c2c0: 00000032 64022300 03617468 00000032 ...2d.#..ath...2 + 6c2d0: 9a02230e 03616c69 676e5f70 61640000 ..#..align_pad.. + 6c2e0: 0001d702 23120006 5f5f676d 61635f68 ....#...__gmac_h + 6c2f0: 64725f74 00000032 e8025f5f 676d6163 dr_t...2..__gmac + 6c300: 5f736f66 74630024 0000336e 03686472 _softc.$..3n.hdr + 6c310: 00000033 24022300 03677261 6e000000 ...3$.#..gran... + 6c320: 01d70223 14037377 0000002c 07022318 ...#..sw...,..#. + 6c330: 000e5f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ + 6c340: 63686563 6b000001 04000033 6e04000a check......3n... + 6c350: 000001f9 01040000 338c0400 04000009 ........3....... + 6c360: c1040017 5f415f63 6d6e6f73 5f696e64 ...._A_cmnos_ind + 6c370: 69726563 74696f6e 5f746162 6c650001 irection_table.. + 6c380: b8000034 dc036861 6c5f6c69 6e6b6167 ...4..hal_linkag + 6c390: 655f6368 65636b00 00003392 02230003 e_check...3..#.. + 6c3a0: 73746172 745f6273 73000000 33990223 start_bss...3..# + 6c3b0: 04036170 705f7374 61727400 00000708 ..app_start..... + 6c3c0: 02230803 6d656d00 00000a01 02230c03 .#..mem......#.. + 6c3d0: 6d697363 0000000b 20022320 03707269 misc.... .# .pri + 6c3e0: 6e746600 00000735 02234403 75617274 ntf....5.#D.uart + 6c3f0: 00000007 d302234c 03676d61 63000000 ......#L.gmac... + 6c400: 32300223 6c037573 62000000 15460223 20.#l.usb....F.# + 6c410: 7003636c 6f636b00 0000108f 0323e001 p.clock......#.. + 6c420: 0374696d 65720000 000d3803 23840203 .timer....8.#... + 6c430: 696e7472 00000011 df032398 0203616c intr......#...al + 6c440: 6c6f6372 616d0000 000ef003 23c40203 locram......#... + 6c450: 726f6d70 0000000d e20323d0 02037764 romp......#...wd + 6c460: 745f7469 6d657200 000013bc 0323e002 t_timer......#.. + 6c470: 03656570 00000014 ea0323fc 02037374 .eep......#...st + 6c480: 72696e67 0000000c 4403238c 03037461 ring....D.#...ta + 6c490: 736b6c65 74000000 0fed0323 a4030002 sklet......#.... + 6c4a0: 5f555342 5f464946 4f5f434f 4e464947 _USB_FIFO_CONFIG + 6c4b0: 00100000 354f0367 65745f63 6f6d6d61 ....5O.get_comma + 6c4c0: 6e645f62 75660000 00034202 23000372 nd_buf....B.#..r + 6c4d0: 6563765f 636f6d6d 616e6400 00000358 ecv_command....X + 6c4e0: 02230403 6765745f 6576656e 745f6275 .#..get_event_bu + 6c4f0: 66000000 03420223 08037365 6e645f65 f....B.#..send_e + 6c500: 76656e74 5f646f6e 65000000 03580223 vent_done....X.# + 6c510: 0c000655 53425f46 49464f5f 434f4e46 ...USB_FIFO_CONF + 6c520: 49470000 0034dc04 0000354f 04000901 IG...4....5O.... + 6c530: 04000035 6b040002 75736266 69666f5f ...5k...usbfifo_ + 6c540: 61706900 0c000035 c1035f69 6e697400 api....5.._init. + 6c550: 0000356d 02230003 5f656e61 626c655f ..5m.#.._enable_ + 6c560: 6576656e 745f6973 72000000 07080223 event_isr......# + 6c570: 04037052 65736572 76656400 0000023e ..pReserved....> + 6c580: 02230800 07000019 9b020000 35ce0801 .#..........5... + 6c590: 00025f48 54435f46 52414d45 5f484452 .._HTC_FRAME_HDR + 6c5a0: 00080000 36400345 6e64706f 696e7449 ....6@.EndpointI + 6c5b0: 44000000 199b0223 0003466c 61677300 D......#..Flags. + 6c5c0: 0000199b 02230103 5061796c 6f61644c .....#..PayloadL + 6c5d0: 656e0000 001fc302 23020343 6f6e7472 en......#..Contr + 6c5e0: 6f6c4279 74657300 000035c1 02230403 olBytes...5..#.. + 6c5f0: 486f7374 5365714e 756d0000 001fc302 HostSeqNum...... + 6c600: 23060012 02000036 59034d65 73736167 #......6Y.Messag + 6c610: 65494400 00001fc3 02230000 12080000 eID......#...... + 6c620: 36bc034d 65737361 67654944 0000001f 6..MessageID.... + 6c630: c3022300 03437265 64697443 6f756e74 ..#..CreditCount + 6c640: 0000001f c3022302 03437265 64697453 ......#..CreditS + 6c650: 697a6500 00001fc3 02230403 4d617845 ize......#..MaxE + 6c660: 6e64706f 696e7473 00000019 9b022306 ndpoints......#. + 6c670: 035f5061 64310000 00199b02 23070012 ._Pad1......#... + 6c680: 0a000037 53034d65 73736167 65494400 ...7S.MessageID. + 6c690: 00001fc3 02230003 53657276 69636549 .....#..ServiceI + 6c6a0: 44000000 1fc30223 0203436f 6e6e6563 D......#..Connec + 6c6b0: 74696f6e 466c6167 73000000 1fc30223 tionFlags......# + 6c6c0: 0403446f 776e4c69 6e6b5069 70654944 ..DownLinkPipeID + 6c6d0: 00000019 9b022306 0355704c 696e6b50 ......#..UpLinkP + 6c6e0: 69706549 44000000 199b0223 07035365 ipeID......#..Se + 6c6f0: 72766963 654d6574 614c656e 67746800 rviceMetaLength. + 6c700: 0000199b 02230803 5f506164 31000000 .....#.._Pad1... + 6c710: 199b0223 0900120a 000037db 034d6573 ...#......7..Mes + 6c720: 73616765 49440000 001fc302 23000353 sageID......#..S + 6c730: 65727669 63654944 0000001f c3022302 erviceID......#. + 6c740: 03537461 74757300 0000199b 02230403 .Status......#.. + 6c750: 456e6470 6f696e74 49440000 00199b02 EndpointID...... + 6c760: 2305034d 61784d73 6753697a 65000000 #..MaxMsgSize... + 6c770: 1fc30223 06035365 72766963 654d6574 ...#..ServiceMet + 6c780: 614c656e 67746800 0000199b 02230803 aLength......#.. + 6c790: 5f506164 31000000 199b0223 09001202 _Pad1......#.... + 6c7a0: 000037f4 034d6573 73616765 49440000 ..7..MessageID.. + 6c7b0: 001fc302 23000012 04000038 30034d65 ....#......80.Me + 6c7c0: 73736167 65494400 00001fc3 02230003 ssageID......#.. + 6c7d0: 50697065 49440000 00199b02 23020343 PipeID......#..C + 6c7e0: 72656469 74436f75 6e740000 00199b02 reditCount...... + 6c7f0: 23030012 04000038 67034d65 73736167 #......8g.Messag + 6c800: 65494400 00001fc3 02230003 50697065 eID......#..Pipe + 6c810: 49440000 00199b02 23020353 74617475 ID......#..Statu + 6c820: 73000000 199b0223 03001202 0000388e s......#......8. + 6c830: 03526563 6f726449 44000000 199b0223 .RecordID......# + 6c840: 00034c65 6e677468 00000019 9b022301 ..Length......#. + 6c850: 00120200 0038b803 456e6470 6f696e74 .....8..Endpoint + 6c860: 49440000 00199b02 23000343 72656469 ID......#..Credi + 6c870: 74730000 00199b02 23010012 04000038 ts......#......8 + 6c880: f903456e 64706f69 6e744944 00000019 ..EndpointID.... + 6c890: 9b022300 03437265 64697473 00000019 ..#..Credits.... + 6c8a0: 9b022301 03546774 43726564 69745365 ..#..TgtCreditSe + 6c8b0: 714e6f00 00001fc3 02230200 07000019 qNo......#...... + 6c8c0: 9b040000 39060803 00120600 00394203 ....9........9B. + 6c8d0: 50726556 616c6964 00000019 9b022300 PreValid......#. + 6c8e0: 034c6f6f 6b416865 61640000 0038f902 .LookAhead...8.. + 6c8f0: 23010350 6f737456 616c6964 00000019 #..PostValid.... + 6c900: 9b022305 0006706f 6f6c5f68 616e646c ..#...pool_handl + 6c910: 655f7400 0000023e 0a000039 42010400 e_t....>...9B... + 6c920: 00395504 00090104 00003962 04001404 .9U.......9b.... + 6c930: 000039e0 10504f4f 4c5f4944 5f485443 ..9..POOL_ID_HTC + 6c940: 5f434f4e 54524f4c 00001050 4f4f4c5f _CONTROL...POOL_ + 6c950: 49445f57 4d495f53 56435f43 4d445f52 ID_WMI_SVC_CMD_R + 6c960: 45504c59 00011050 4f4f4c5f 49445f57 EPLY...POOL_ID_W + 6c970: 4d495f53 56435f45 56454e54 00021050 MI_SVC_EVENT...P + 6c980: 4f4f4c5f 49445f57 4c414e5f 52585f42 OOL_ID_WLAN_RX_B + 6c990: 55460003 10504f4f 4c5f4944 5f4d4158 UF...POOL_ID_MAX + 6c9a0: 000a0006 4255465f 504f4f4c 5f494400 ....BUF_POOL_ID. + 6c9b0: 0000396b 09010400 0039f104 000a0000 ..9k.....9...... + 6c9c0: 29600104 000039fa 04000a00 00296001 )`....9......)`. + 6c9d0: 0400003a 07040009 01040000 3a140400 ...:........:... + 6c9e0: 02627566 5f706f6f 6c5f6170 69001c00 .buf_pool_api... + 6c9f0: 003ab603 5f696e69 74000000 395b0223 .:.._init...9[.# + 6ca00: 00035f73 68757464 6f776e00 00003964 .._shutdown...9d + 6ca10: 02230403 5f637265 6174655f 706f6f6c .#.._create_pool + 6ca20: 00000039 f3022308 035f616c 6c6f635f ...9..#.._alloc_ + 6ca30: 62756600 00003a00 02230c03 5f616c6c buf...:..#.._all + 6ca40: 6f635f62 75665f61 6c69676e 0000003a oc_buf_align...: + 6ca50: 0d022310 035f6672 65655f62 75660000 ..#.._free_buf.. + 6ca60: 003a1602 23140370 52657365 72766564 .:..#..pReserved + 6ca70: 00000002 3e022318 00025f48 54435f53 ....>.#..._HTC_S + 6ca80: 45525649 4345001c 00003b95 03704e65 ERVICE....;..pNe + 6ca90: 78740000 003b9502 23000350 726f6365 xt...;..#..Proce + 6caa0: 73735265 63764d73 67000000 3c4a0223 ssRecvMsg....#.....:.... + 6cb60: 0400003c 3319454e 44504f49 4e545f55 ...<3.ENDPOINT_U + 6cb70: 4e555345 4400ffff ffff1045 4e44504f NUSED......ENDPO + 6cb80: 494e5430 00001045 4e44504f 494e5431 INT0...ENDPOINT1 + 6cb90: 00011045 4e44504f 494e5432 00021045 ...ENDPOINT2...E + 6cba0: 4e44504f 494e5433 00031045 4e44504f NDPOINT3...ENDPO + 6cbb0: 494e5434 00041045 4e44504f 494e5435 INT4...ENDPOINT5 + 6cbc0: 00051045 4e44504f 494e5436 00061045 ...ENDPOINT6...E + 6cbd0: 4e44504f 494e5437 00071045 4e44504f NDPOINT7...ENDPO + 6cbe0: 494e5438 00081045 4e44504f 494e545f INT8...ENDPOINT_ + 6cbf0: 4d415800 16000648 54435f45 4e44504f MAX....HTC_ENDPO + 6cc00: 494e545f 49440000 003b9c09 01040000 INT_ID...;...... + 6cc10: 3c480400 09010400 003c5104 00040000 .#0.. + 6cef0: 686f7374 5f617070 5f617265 615f7300 host_app_area_s. + 6cf00: 0400003f 5c03776d 695f7072 6f746f63 ...?\.wmi_protoc + 6cf10: 6f6c5f76 65720000 00193402 23000012 ol_ver....4.#... + 6cf20: 0e00003f 93036473 744d6163 0000001f ...?..dstMac.... + 6cf30: 80022300 03737263 4d616300 00001f80 ..#..srcMac..... + 6cf40: 02230603 74797065 4f724c65 6e000000 .#..typeOrLen... + 6cf50: 1fc30223 0c000700 00199b03 00003fa0 ...#..........?. + 6cf60: 08020012 0800003f f0036473 61700000 .......?..dsap.. + 6cf70: 00199b02 23000373 73617000 0000199b ....#..ssap..... + 6cf80: 02230103 636e746c 00000019 9b022302 .#..cntl......#. + 6cf90: 036f7267 436f6465 0000003f 93022303 .orgCode...?..#. + 6cfa0: 03657468 65725479 70650000 001fc302 .etherType...... + 6cfb0: 23060012 02000040 11037273 73690000 #......@..rssi.. + 6cfc0: 001f1902 23000369 6e666f00 0000199b ....#..info..... + 6cfd0: 02230100 12040000 40380363 6f6d6d61 .#......@8.comma + 6cfe0: 6e644964 0000001f c3022300 03736571 ndId......#..seq + 6cff0: 4e6f0000 001fc302 23020007 0000199b No......#....... + 6d000: 01000040 45080000 12020000 406c036d ...@E.......@l.m + 6d010: 73675369 7a650000 00199b02 2300036d sgSize......#..m + 6d020: 73674461 74610000 00403802 23010012 sgData...@8.#... + 6d030: 08000040 b3036164 64726573 734c0000 ...@..addressL.. + 6d040: 001fc302 23000361 64647265 73734800 ....#..addressH. + 6d050: 00001fc3 02230203 76616c75 654c0000 .....#..valueL.. + 6d060: 001fc302 23040376 616c7565 48000000 ....#..valueH... + 6d070: 1fc30223 06000657 4d495f41 56540000 ...#...WMI_AVT.. + 6d080: 00406c07 000040b3 08000040 cd080000 .@l...@....@.... + 6d090: 120c0000 41040374 75706c65 4e756d4c ....A..tupleNumL + 6d0a0: 0000001f c3022300 03747570 6c654e75 ......#..tupleNu + 6d0b0: 6d480000 001fc302 23020361 76740000 mH......#..avt.. + 6d0c0: 0040c002 23040012 01000041 26036265 .@..#......A&.be + 6d0d0: 61636f6e 50656e64 696e6743 6f756e74 aconPendingCount + 6d0e0: 00000019 9b022300 00025f57 4d495f53 ......#..._WMI_S + 6d0f0: 56435f43 4f4e4649 47001000 00418f03 VC_CONFIG....A.. + 6d100: 48746348 616e646c 65000000 3d220223 HtcHandle...=".# + 6d110: 0003506f 6f6c4861 6e646c65 00000039 ..PoolHandle...9 + 6d120: 42022304 034d6178 436d6452 65706c79 B.#..MaxCmdReply + 6d130: 45767473 00000001 f9022308 034d6178 Evts......#..Max + 6d140: 4576656e 74457674 73000000 01f90223 EventEvts......# + 6d150: 0c000901 04000041 8f040006 574d495f .......A....WMI_ + 6d160: 434d445f 48414e44 4c455200 00004191 CMD_HANDLER...A. + 6d170: 025f574d 495f4449 53504154 43485f45 ._WMI_DISPATCH_E + 6d180: 4e545259 00080000 41f80370 436d6448 NTRY....A..pCmdH + 6d190: 616e646c 65720000 00419802 23000343 andler...A..#..C + 6d1a0: 6d644944 00000001 d7022304 03466c61 mdID......#..Fla + 6d1b0: 67730000 0001d702 23060002 5f574d49 gs......#..._WMI + 6d1c0: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 6d1d0: 10000042 5903704e 65787400 00004259 ...BY.pNext...BY + 6d1e0: 02230003 70436f6e 74657874 00000002 .#..pContext.... + 6d1f0: 3e022304 034e756d 6265724f 66456e74 >.#..NumberOfEnt + 6d200: 72696573 00000001 f9022308 03705461 ries......#..pTa + 6d210: 626c6500 00004278 02230c00 04000041 ble...Bx.#.....A + 6d220: f8040006 574d495f 44495350 41544348 ....WMI_DISPATCH + 6d230: 5f454e54 52590000 0041ad04 00004260 _ENTRY...A....B` + 6d240: 04000400 0041f804 00064854 435f4255 .....A....HTC_BU + 6d250: 465f434f 4e544558 54000000 3ce60f57 F_CONTEXT...<..W + 6d260: 4d495f45 56545f43 4c415353 00040000 MI_EVT_CLASS.... + 6d270: 43101957 4d495f45 56545f43 4c415353 C..WMI_EVT_CLASS + 6d280: 5f4e4f4e 4500ffff ffff1057 4d495f45 _NONE......WMI_E + 6d290: 56545f43 4c415353 5f434d44 5f455645 VT_CLASS_CMD_EVE + 6d2a0: 4e540000 10574d49 5f455654 5f434c41 NT...WMI_EVT_CLA + 6d2b0: 53535f43 4d445f52 45504c59 00011057 SS_CMD_REPLY...W + 6d2c0: 4d495f45 56545f43 4c415353 5f4d4158 MI_EVT_CLASS_MAX + 6d2d0: 00020006 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS + 6d2e0: 53000000 429b025f 574d495f 4255465f S...B.._WMI_BUF_ + 6d2f0: 434f4e54 45585400 0c000043 6e034874 CONTEXT....Cn.Ht + 6d300: 63427566 43747800 00004286 02230003 cBufCtx...B..#.. + 6d310: 4576656e 74436c61 73730000 00431002 EventClass...C.. + 6d320: 23040346 6c616773 00000001 d7022308 #..Flags......#. + 6d330: 0006776d 695f6861 6e646c65 5f740000 ..wmi_handle_t.. + 6d340: 00023e06 574d495f 5356435f 434f4e46 ..>.WMI_SVC_CONF + 6d350: 49470000 00412604 00004380 04000a00 IG...A&...C..... + 6d360: 00436e01 04000043 9b040006 574d495f .Cn....C....WMI_ + 6d370: 44495350 41544348 5f544142 4c450000 DISPATCH_TABLE.. + 6d380: 0041f804 000043a8 04000901 04000043 .A....C........C + 6d390: c704000a 00002960 01040000 43d00400 ......)`....C... + 6d3a0: 09010400 0043dd04 000a0000 01f90104 .....C.......... + 6d3b0: 000043e6 04000901 04000043 f304000a ..C........C.... + 6d3c0: 000001ad 01040000 43fc0400 025f776d ........C...._wm + 6d3d0: 695f7376 635f6170 6973002c 00004544 i_svc_apis.,..ED + 6d3e0: 035f574d 495f496e 69740000 0043a102 ._WMI_Init...C.. + 6d3f0: 2300035f 574d495f 52656769 73746572 #.._WMI_Register + 6d400: 44697370 61746368 5461626c 65000000 DispatchTable... + 6d410: 43c90223 04035f57 4d495f41 6c6c6f63 C..#.._WMI_Alloc + 6d420: 4576656e 74000000 43d60223 08035f57 Event...C..#.._W + 6d430: 4d495f53 656e6445 76656e74 00000043 MI_SendEvent...C + 6d440: df02230c 035f574d 495f4765 7450656e ..#.._WMI_GetPen + 6d450: 64696e67 4576656e 7473436f 756e7400 dingEventsCount. + 6d460: 000043ec 02231003 5f574d49 5f53656e ..C..#.._WMI_Sen + 6d470: 64436f6d 706c6574 6548616e 646c6572 dCompleteHandler + 6d480: 0000003c 53022314 035f574d 495f4765 ....#(.._A_cmnos + 6d510: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 6d520: 6c655f74 00000033 a006574d 495f5356 le_t...3..WMI_SV + 6d530: 435f4150 49530000 00440917 5f415f6d C_APIS...D.._A_m + 6d540: 61677069 655f696e 64697265 6374696f agpie_indirectio + 6d550: 6e5f7461 626c6500 034c0000 46720363 n_table..L..Fr.c + 6d560: 6d6e6f73 00000045 44022300 03646267 mnos...ED.#..dbg + 6d570: 00000009 8e0323b8 03036869 66000000 ......#...hif... + 6d580: 2c6b0323 c0030368 74630000 003dbc03 ,k.#...htc...=.. + 6d590: 23f80303 776d695f 7376635f 61706900 #...wmi_svc_api. + 6d5a0: 00004566 0323ac04 03757362 6669666f ..Ef.#...usbfifo + 6d5b0: 5f617069 00000035 740323d8 04036275 _api...5t.#...bu + 6d5c0: 665f706f 6f6c0000 003a1d03 23e40403 f_pool...:..#... + 6d5d0: 76627566 00000003 5f032380 05037664 vbuf...._.#...vd + 6d5e0: 65736300 00000241 03239405 03616c6c esc....A.#...all + 6d5f0: 6f637261 6d000000 0ef00323 a8050364 ocram......#...d + 6d600: 6d615f65 6e67696e 65000000 05990323 ma_engine......# + 6d610: b4050364 6d615f6c 69620000 002eff03 ...dma_lib...... + 6d620: 23f40503 6869665f 70636900 0000315f #...hif_pci...1_ + 6d630: 0323a806 000a0000 023e0106 5f415f6d .#.......>.._A_m + 6d640: 61677069 655f696e 64697265 6374696f agpie_indirectio + 6d650: 6e5f7461 626c655f 74000000 45780901 n_table_t...Ex.. + 6d660: 09010901 0a000001 f9010901 09010700 ................ + 6d670: 00070f0b 000046b8 080a0004 000046ab ......F.......F. + 6d680: 04000700 00070f0d 000046cc 080c0004 ..........F..... + 6d690: 000046bf 04000700 00070f06 000046e0 ..F...........F. + 6d6a0: 08050004 000046d3 04000700 00070f03 ......F......... + 6d6b0: 000046f4 08020004 000046e7 04000400 ..F.......F..... + 6d6c0: 00059904 00090104 0000050f 04001a01 ................ + 6d6d0: 325f444d 41656e67 696e655f 696e6974 2_DMAengine_init + 6d6e0: 00010392 01200290 00008e57 e0008e57 ..... .....W...W + 6d6f0: e51b0137 5f444d41 656e6769 6e655f69 ...7_DMAengine_i + 6d700: 6e69745f 72785f71 75657565 00010101 nit_rx_queue.... + 6d710: 03920120 02900000 8e57e800 8e582800 ... .....W...X(. + 6d720: 0047831c 01377100 00000518 01521d64 .G...7q......R.d + 6d730: 65736300 00000214 1d757362 44657363 esc......usbDesc + 6d740: 00000004 72001e01 455f444d 41656e67 ....r...E_DMAeng + 6d750: 696e655f 696e6974 5f74785f 71756575 ine_init_tx_queu + 6d760: 65000101 03920120 02900000 8e582800 e...... .....X(. + 6d770: 8e583800 0047c01c 01457100 00000528 .X8..G...Eq....( + 6d780: 0152001f 014e7377 61704461 74610001 .R...NswapData.. + 6d790: 03920120 02900000 8e583800 8e588300 ... .....X8..X.. + 6d7a0: 00481a1c 014e7573 62446573 63000000 .H...NusbDesc... + 6d7b0: 04720152 1d6c656e 00000001 f91d6461 .r.R.len......da + 6d7c0: 74614164 64720000 0018151d 69000000 taAddr......i... + 6d7d0: 01f91d64 61746100 00000ed5 001e0162 ...data........b + 6d7e0: 5f444d41 656e6769 6e655f72 65747572 _DMAengine_retur + 6d7f0: 6e5f7265 63765f62 75660001 01039201 n_recv_buf...... + 6d800: 20029000 008e5884 008e589b 00004866 .....X...X...Hf + 6d810: 1c016271 00000005 1801521c 01626275 ..bq......R..bbu + 6d820: 66000000 03350153 0020016b 636f6e66 f....5.S. .kconf + 6d830: 69675f71 75657565 00010103 92012002 ig_queue...... . + 6d840: 9000008e 589c008e 59140000 48e61c01 ....X...Y...H... + 6d850: 6b710000 00051801 521c016b 64657363 kq......R..kdesc + 6d860: 5f6c6973 74000000 02140153 1d707265 _list......S.pre + 6d870: 76557362 44657363 00000004 721d7468 vUsbDesc....r.th + 6d880: 65446573 63000000 02141d75 73624465 eDesc......usbDe + 6d890: 73630000 0004721d 68656164 55736244 sc....r.headUsbD + 6d8a0: 65736300 00000472 001b018f 5f444d41 esc....r...._DMA + 6d8b0: 656e6769 6e655f63 6f6e6669 675f7278 engine_config_rx + 6d8c0: 5f717565 75650001 01010392 01300290 _queue.......0.. + 6d8d0: 00008e59 14008e59 6b000049 651c018f ...Y...Yk..Ie... + 6d8e0: 71000000 05180152 1c018f6e 756d5f64 q......R...num_d + 6d8f0: 65736300 000001f9 01531c01 8f627566 esc......S...buf + 6d900: 5f73697a 65000000 01f90154 1d686561 _size......T.hea + 6d910: 64000000 02141d69 00000001 f91d6465 d......i......de + 6d920: 73630000 00021400 1b01b05f 444d4165 sc........._DMAe + 6d930: 6e67696e 655f786d 69745f62 75660001 ngine_xmit_buf.. + 6d940: 01010392 01200290 00008e59 6c008e5a ..... .....Yl..Z + 6d950: 2a000049 e91c01b0 71000000 05280152 *..I....q....(.R + 6d960: 1c01b062 75660000 00033501 531d7072 ...buf....5.S.pr + 6d970: 65765573 62446573 63000000 04721d63 evUsbDesc....r.c + 6d980: 75727256 64657363 00000002 141d7573 urrVdesc......us + 6d990: 62446573 63000000 04721d68 65616455 bDesc....r.headU + 6d9a0: 73624465 73630000 00047200 1e01ea5f sbDesc....r...._ + 6d9b0: 444d4165 6e67696e 655f666c 7573685f DMAengine_flush_ + 6d9c0: 786d6974 00010103 92012002 9000008e xmit...... ..... + 6d9d0: 5a2c008e 5a310000 4a231c01 ea710000 Z,..Z1..J#...q.. + 6d9e0: 00051801 52002101 ee5f444d 41656e67 ....R.!.._DMAeng + 6d9f0: 696e655f 6861735f 636f6d70 6c5f7061 ine_has_compl_pa + 6da00: 636b6574 73000000 01f90101 03920120 ckets.......... + 6da10: 02900000 8e5a3400 8e5a5200 004a7c1c .....Z4..ZR..J|. + 6da20: 01ee7100 00000518 01521d68 61735f63 ..q......R.has_c + 6da30: 6f6d706c 5f706b74 73000000 01f90021 ompl_pkts......! + 6da40: 01fa5f44 4d41656e 67696e65 5f726561 .._DMAengine_rea + 6da50: 705f7265 63765f62 75660000 00033501 p_recv_buf....5. + 6da60: 01039201 20029000 008e5a54 008e5a83 .... .....ZT..Z. + 6da70: 00004ad0 1c01fa71 00000005 1801521d ..J....q......R. + 6da80: 64657363 00000004 721d6275 66000000 desc....r.buf... + 6da90: 03350022 0101115f 444d4165 6e67696e .5."..._DMAengin + 6daa0: 655f7265 61705f78 6d697465 645f6275 e_reap_xmited_bu + 6dab0: 66000000 03350101 03920120 02900000 f....5..... .... + 6dac0: 8e5a8400 8e5aba00 004b2c23 01011171 .Z...Z...K,#...q + 6dad0: 00000005 2801521d 64657363 00000004 ....(.R.desc.... + 6dae0: 721d7365 6e744275 66000000 03350024 r.sentBuf....5.$ + 6daf0: 01012a5f 444d4165 6e67696e 655f6465 ..*_DMAengine_de + 6db00: 73635f64 756d7000 01010392 01200290 sc_dump...... .. + 6db10: 00008e5a bc008e5b 1a00004b 7b230101 ...Z...[...K{#.. + 6db20: 2a710000 00051801 521d6900 0000045d *q......R.i....] + 6db30: 1d746d70 44657363 00000004 72002401 .tmpDesc....r.$. + 6db40: 014e646d 615f656e 67696e65 5f6d6f64 .Ndma_engine_mod + 6db50: 756c655f 696e7374 616c6c00 01010392 ule_install..... + 6db60: 01200290 00008e5b 1c008e5b 6c00004b . .....[...[l..K + 6db70: be230101 4e617069 73000000 46fb0152 .#..Napis...F..R + 6db80: 00250101 6572656c 696e6b55 53424465 .%..erelinkUSBDe + 6db90: 7363546f 56646573 63000103 92012002 scToVdesc..... . + 6dba0: 9000008e 5b6c008e 5bb32301 01656275 ....[l..[.#..ebu + 6dbb0: 66000000 03350152 23010165 64657363 f....5.R#..edesc + 6dbc0: 00000004 7201531d 70726576 56646573 ....r.S.prevVdes + 6dbd0: 63000000 02141d63 75727244 65736300 c......currDesc. + 6dbe0: 00000472 1d766465 73630000 00021400 ...r.vdesc...... + 6dbf0: 00000000 50a40002 0000281b 04012f72 ....P.....(.../r + 6dc00: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 6dc10: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 6dc20: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 6dc30: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 6dc40: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 6dc50: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 6dc60: 656e6769 6e652f73 72632f64 6573632e engine/src/desc. + 6dc70: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 6dc80: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 6dc90: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 6dca0: 2f726f6d 2f646d61 5f656e67 696e6500 /rom/dma_engine. + 6dcb0: 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 + 6dcc0: 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst + 6dcd0: 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 + 6dce0: 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. + 6dcf0: 0000018a 4f02756e 7369676e 65642069 ....O.unsigned i + 6dd00: 6e740007 04037769 6e745f74 00000001 nt....wint_t.... + 6dd10: 0302756e 7369676e 65642063 68617200 ..unsigned char. + 6dd20: 07010400 00011f04 0000013d 05030006 ...........=.... + 6dd30: 04000001 61075f5f 77636800 00000113 ....a.__wch..... + 6dd40: 02230007 5f5f7763 68620000 00013002 .#..__wchb....0. + 6dd50: 23000002 696e7400 05040808 0000018f #...int......... + 6dd60: 075f5f63 6f756e74 00000001 61022300 .__count....a.#. + 6dd70: 075f5f76 616c7565 00000001 3d022304 .__value....=.#. + 6dd80: 00095f42 6967696e 74001800 0001ed07 .._Bigint....... + 6dd90: 5f6e6578 74000000 01ed0223 00075f6b _next......#.._k + 6dda0: 00000001 61022304 075f6d61 78776473 ....a.#.._maxwds + 6ddb0: 00000001 61022308 075f7369 676e0000 ....a.#.._sign.. + 6ddc0: 00016102 230c075f 77647300 00000161 ..a.#.._wds....a + 6ddd0: 02231007 5f780000 00021602 2314000a .#.._x......#... + 6dde0: 0000018f 0400026c 6f6e6720 756e7369 .......long unsi + 6ddf0: 676e6564 20696e74 00070403 5f5f554c gned int....__UL + 6de00: 6f6e6700 000001f4 04000002 09040000 ong............. + 6de10: 02230500 000a0000 018f0400 095f5f74 .#...........__t + 6de20: 6d002400 0002d607 5f5f746d 5f736563 m.$.....__tm_sec + 6de30: 00000001 61022300 075f5f74 6d5f6d69 ....a.#..__tm_mi + 6de40: 6e000000 01610223 04075f5f 746d5f68 n....a.#..__tm_h + 6de50: 6f757200 00000161 02230807 5f5f746d our....a.#..__tm + 6de60: 5f6d6461 79000000 01610223 0c075f5f _mday....a.#..__ + 6de70: 746d5f6d 6f6e0000 00016102 2310075f tm_mon....a.#.._ + 6de80: 5f746d5f 79656172 00000001 61022314 _tm_year....a.#. + 6de90: 075f5f74 6d5f7764 61790000 00016102 .__tm_wday....a. + 6dea0: 2318075f 5f746d5f 79646179 00000001 #..__tm_yday.... + 6deb0: 6102231c 075f5f74 6d5f6973 64737400 a.#..__tm_isdst. + 6dec0: 00000161 02232000 0b040004 000002d6 ...a.# ......... + 6ded0: 80000002 e6051f00 0c5f6f6e 5f657869 ........._on_exi + 6dee0: 745f6172 67730001 08000003 44075f66 t_args......D._f + 6def0: 6e617267 73000000 02d90223 00075f64 nargs......#.._d + 6df00: 736f5f68 616e646c 65000000 02d90323 so_handle......# + 6df10: 8001075f 666e7479 70657300 00000209 ..._fntypes..... + 6df20: 03238002 075f6973 5f637861 00000002 .#..._is_cxa.... + 6df30: 09032384 02000c5f 61746578 69740001 ..#...._atexit.. + 6df40: 90000003 93075f6e 65787400 00000393 ......_next..... + 6df50: 02230007 5f696e64 00000001 61022304 .#.._ind....a.#. + 6df60: 075f666e 73000000 03a30223 08075f6f ._fns......#.._o + 6df70: 6e5f6578 69745f61 72677300 000002e6 n_exit_args..... + 6df80: 03238801 000a0000 03440400 0d010a00 .#.......D...... + 6df90: 00039a04 00040000 039c8000 0003b005 ................ + 6dfa0: 1f000a00 00034404 000a0000 011f0400 ......D......... + 6dfb0: 095f5f73 62756600 08000003 e8075f62 .__sbuf......._b + 6dfc0: 61736500 000003b7 02230007 5f73697a ase......#.._siz + 6dfd0: 65000000 01610223 04000273 686f7274 e....a.#...short + 6dfe0: 20696e74 00050202 63686172 0007010a int....char.... + 6dff0: 000003f5 04000e00 00016101 0a000004 ..........a..... + 6e000: 0404000f 000003f5 0f000003 f50a0000 ................ + 6e010: 04160400 0e000001 61010a00 00042204 ........a.....". + 6e020: 00026c6f 6e672069 6e740005 04035f66 ..long int...._f + 6e030: 706f735f 74000000 042f0e00 00043b01 pos_t..../....;. + 6e040: 0a000004 4804000e 00000161 010a0000 ....H......a.... + 6e050: 04550400 04000001 1f030000 046f0502 .U...........o.. + 6e060: 00040000 011f0100 00047c05 00000c5f ..........|...._ + 6e070: 7265656e 74000400 00000603 075f6572 reent........_er + 6e080: 726e6f00 00000161 02230007 5f737464 rno....a.#.._std + 6e090: 696e0000 0007b702 2304075f 7374646f in......#.._stdo + 6e0a0: 75740000 0007b702 2308075f 73746465 ut......#.._stde + 6e0b0: 72720000 0007b702 230c075f 696e6300 rr......#.._inc. + 6e0c0: 00000161 02231007 5f656d65 7267656e ...a.#.._emergen + 6e0d0: 63790000 000a6602 2314075f 63757272 cy....f.#.._curr + 6e0e0: 656e745f 63617465 676f7279 00000001 ent_category.... + 6e0f0: 61022330 075f6375 7272656e 745f6c6f a.#0._current_lo + 6e100: 63616c65 00000004 1b022334 075f5f73 cale......#4.__s + 6e110: 64696469 6e697400 00000161 02233807 didinit....a.#8. + 6e120: 5f5f636c 65616e75 70000000 0a750223 __cleanup....u.# + 6e130: 3c075f72 6573756c 74000000 02230223 <._result....#.# + 6e140: 40075f72 6573756c 745f6b00 00000161 @._result_k....a + 6e150: 02234407 5f703573 00000002 23022348 .#D._p5s....#.#H + 6e160: 075f6672 65656c69 73740000 000a7c02 ._freelist....|. + 6e170: 234c075f 6376746c 656e0000 00016102 #L._cvtlen....a. + 6e180: 2350075f 63767462 75660000 0003fd02 #P._cvtbuf...... + 6e190: 2354075f 6e657700 00000a40 02235807 #T._new....@.#X. + 6e1a0: 5f617465 78697400 000003b0 0323c802 _atexit......#.. + 6e1b0: 075f6174 65786974 30000000 03440323 ._atexit0....D.# + 6e1c0: cc02075f 7369675f 66756e63 0000000a ..._sig_func.... + 6e1d0: 8c0323dc 05075f5f 73676c75 65000000 ..#...__sglue... + 6e1e0: 076c0323 e005075f 5f736600 00000a93 .l.#...__sf..... + 6e1f0: 0323ec05 000a0000 047c0400 035f4c4f .#.......|..._LO + 6e200: 434b5f52 45435552 53495645 5f540000 CK_RECURSIVE_T.. + 6e210: 00016103 5f666c6f 636b5f74 00000006 ..a._flock_t.... + 6e220: 0a095f5f 7346494c 45005c00 00076c07 ..__sFILE.\...l. + 6e230: 5f700000 0003b702 2300075f 72000000 _p......#.._r... + 6e240: 01610223 04075f77 00000001 61022308 .a.#.._w....a.#. + 6e250: 075f666c 61677300 000003e8 02230c07 ._flags......#.. + 6e260: 5f66696c 65000000 03e80223 0e075f62 _file......#.._b + 6e270: 66000000 03be0223 10075f6c 62667369 f......#.._lbfsi + 6e280: 7a650000 00016102 2318075f 636f6f6b ze....a.#.._cook + 6e290: 69650000 0002d602 231c075f 72656164 ie......#.._read + 6e2a0: 00000004 0a022320 075f7772 69746500 ......# ._write. + 6e2b0: 00000428 02232407 5f736565 6b000000 ...(.#$._seek... + 6e2c0: 044e0223 28075f63 6c6f7365 00000004 .N.#(._close.... + 6e2d0: 5b02232c 075f7562 00000003 be022330 [.#,._ub......#0 + 6e2e0: 075f7570 00000003 b7022338 075f7572 ._up......#8._ur + 6e2f0: 00000001 6102233c 075f7562 75660000 ....a.#<._ubuf.. + 6e300: 00046202 2340075f 6e627566 00000004 ..b.#@._nbuf.... + 6e310: 6f022343 075f6c62 00000003 be022344 o.#C._lb......#D + 6e320: 075f626c 6b73697a 65000000 01610223 ._blksize....a.# + 6e330: 4c075f6f 66667365 74000000 01610223 L._offset....a.# + 6e340: 50075f64 61746100 00000603 02235407 P._data......#T. + 6e350: 5f6c6f63 6b000000 06210223 5800095f _lock....!.#X.._ + 6e360: 676c7565 000c0000 07a4075f 6e657874 glue......._next + 6e370: 00000007 a4022300 075f6e69 6f627300 ......#.._niobs. + 6e380: 00000161 02230407 5f696f62 73000000 ...a.#.._iobs... + 6e390: 07b70223 08000a00 00076c04 00035f5f ...#......l...__ + 6e3a0: 46494c45 00000006 2f0a0000 07ab0400 FILE..../....... + 6e3b0: 0a000007 6c040002 73686f72 7420756e ....l...short un + 6e3c0: 7369676e 65642069 6e740007 02040000 signed int...... + 6e3d0: 07c50600 0007e805 0200095f 72616e64 ..........._rand + 6e3e0: 3438000e 00000820 075f7365 65640000 48..... ._seed.. + 6e3f0: 0007db02 2300075f 6d756c74 00000007 ....#.._mult.... + 6e400: db022306 075f6164 64000000 07c50223 ..#.._add......# + 6e410: 0c000400 0003f51a 0000082d 05190002 ...........-.... + 6e420: 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign + 6e430: 65642069 6e740007 08035f6d 62737461 ed int...._mbsta + 6e440: 74655f74 00000001 68040000 03f50800 te_t....h....... + 6e450: 00086405 07000400 0003f518 00000871 ..d............q + 6e460: 05170008 d0000009 ff075f75 6e757365 .........._unuse + 6e470: 645f7261 6e640000 00010302 2300075f d_rand......#.._ + 6e480: 73747274 6f6b5f6c 61737400 000003fd strtok_last..... + 6e490: 02230407 5f617363 74696d65 5f627566 .#.._asctime_buf + 6e4a0: 00000008 20022308 075f6c6f 63616c74 .... .#.._localt + 6e4b0: 696d655f 62756600 0000022a 02232407 ime_buf....*.#$. + 6e4c0: 5f67616d 6d615f73 69676e67 616d0000 _gamma_signgam.. + 6e4d0: 00016102 2348075f 72616e64 5f6e6578 ..a.#H._rand_nex + 6e4e0: 74000000 082d0223 50075f72 34380000 t....-.#P._r48.. + 6e4f0: 0007e802 2358075f 6d626c65 6e5f7374 ....#X._mblen_st + 6e500: 61746500 00000847 02236807 5f6d6274 ate....G.#h._mbt + 6e510: 6f77635f 73746174 65000000 08470223 owc_state....G.# + 6e520: 70075f77 63746f6d 625f7374 61746500 p._wctomb_state. + 6e530: 00000847 02237807 5f6c3634 615f6275 ...G.#x._l64a_bu + 6e540: 66000000 08570323 8001075f 7369676e f....W.#..._sign + 6e550: 616c5f62 75660000 00086403 23880107 al_buf....d.#... + 6e560: 5f676574 64617465 5f657272 00000001 _getdate_err.... + 6e570: 610323a0 01075f6d 62726c65 6e5f7374 a.#..._mbrlen_st + 6e580: 61746500 00000847 0323a401 075f6d62 ate....G.#..._mb + 6e590: 72746f77 635f7374 61746500 00000847 rtowc_state....G + 6e5a0: 0323ac01 075f6d62 7372746f 7763735f .#..._mbsrtowcs_ + 6e5b0: 73746174 65000000 08470323 b401075f state....G.#..._ + 6e5c0: 77637274 6f6d625f 73746174 65000000 wcrtomb_state... + 6e5d0: 08470323 bc01075f 77637372 746f6d62 .G.#..._wcsrtomb + 6e5e0: 735f7374 61746500 00000847 0323c401 s_state....G.#.. + 6e5f0: 00040000 03b77800 000a0c05 1d000400 ......x......... + 6e600: 00010378 00000a19 051d0008 f000000a ...x............ + 6e610: 40075f6e 65787466 00000009 ff022300 @._nextf......#. + 6e620: 075f6e6d 616c6c6f 63000000 0a0c0223 ._nmalloc......# + 6e630: 780006f0 00000a66 075f7265 656e7400 x......f._reent. + 6e640: 00000871 02230007 5f756e75 73656400 ...q.#.._unused. + 6e650: 00000a19 02230000 04000003 f5190000 .....#.......... + 6e660: 0a730518 000d010a 00000a73 04000a00 .s.........s.... + 6e670: 00022304 000d010a 00000a83 04000a00 ..#............. + 6e680: 000a8504 00100000 07ab0114 00000aa1 ................ + 6e690: 0502000e 00000161 010a0000 0aa10400 .......a........ + 6e6a0: 09707269 6e74665f 61706900 0800000a .printf_api..... + 6e6b0: e5075f70 72696e74 665f696e 69740000 .._printf_init.. + 6e6c0: 00039c02 2300075f 7072696e 74660000 ....#.._printf.. + 6e6d0: 000aa702 23040003 75696e74 31365f74 ....#...uint16_t + 6e6e0: 00000007 c5037569 6e743332 5f740000 ......uint32_t.. + 6e6f0: 0001f409 75617274 5f666966 6f000800 ....uart_fifo... + 6e700: 000b4c07 73746172 745f696e 64657800 ..L.start_index. + 6e710: 00000ae5 02230007 656e645f 696e6465 .....#..end_inde + 6e720: 78000000 0ae50223 02076f76 65727275 x......#..overru + 6e730: 6e5f6572 72000000 0af30223 04000975 n_err......#...u + 6e740: 6172745f 61706900 2000000c 05075f75 art_api. ....._u + 6e750: 6172745f 696e6974 0000000c 5c022300 art_init....\.#. + 6e760: 075f7561 72745f63 6861725f 70757400 ._uart_char_put. + 6e770: 00000c72 02230407 5f756172 745f6368 ...r.#.._uart_ch + 6e780: 61725f67 65740000 000c8602 2308075f ar_get......#.._ + 6e790: 75617274 5f737472 5f6f7574 0000000c uart_str_out.... + 6e7a0: 8f02230c 075f7561 72745f74 61736b00 ..#.._uart_task. + 6e7b0: 0000039c 02231007 5f756172 745f7374 .....#.._uart_st + 6e7c0: 61747573 0000000c 5c022314 075f7561 atus....\.#.._ua + 6e7d0: 72745f63 6f6e6669 67000000 0c980223 rt_config......# + 6e7e0: 18075f75 6172745f 6877696e 69740000 .._uart_hwinit.. + 6e7f0: 000ca102 231c000a 00000b4c 04000975 ....#......L...u + 6e800: 6172745f 626c6b00 1000000c 56076465 art_blk.....V.de + 6e810: 6275675f 6d6f6465 0000000a e5022300 bug_mode......#. + 6e820: 07626175 64000000 0ae50223 02075f75 .baud......#.._u + 6e830: 61727400 00000c05 02230407 5f747800 art......#.._tx. + 6e840: 00000b01 02230800 0e00000a f3010a00 .....#.......... + 6e850: 000c5604 00037569 6e74385f 74000000 ..V...uint8_t... + 6e860: 011f0d01 0a00000c 7004000a 00000c63 ........p......c + 6e870: 04000e00 000ae501 0a00000c 8004000d ................ + 6e880: 010a0000 0c8d0400 0d010a00 000c9604 ................ + 6e890: 000d010a 00000c9f 04000e00 00016101 ..............a. + 6e8a0: 0a00000c a8040009 44425f43 4f4d4d41 ........DB_COMMA + 6e8b0: 4e445f53 54525543 54000c00 000d0007 ND_STRUCT....... + 6e8c0: 636d645f 73747200 000003fd 02230007 cmd_str......#.. + 6e8d0: 68656c70 5f737472 00000003 fd022304 help_str......#. + 6e8e0: 07636d64 5f66756e 63000000 0cae0223 .cmd_func......# + 6e8f0: 08000964 62675f61 70690008 00000d33 ...dbg_api.....3 + 6e900: 075f6462 675f696e 69740000 00039c02 ._dbg_init...... + 6e910: 2300075f 6462675f 7461736b 00000003 #.._dbg_task.... + 6e920: 9c022304 000e0000 02d6010a 00000d33 ..#............3 + 6e930: 04001111 0a00000d 4104000e 000002d6 ........A....... + 6e940: 010a0000 0d490400 0e000001 61010a00 .....I......a... + 6e950: 000d5604 00096d65 6d5f6170 69001400 ..V...mem_api... + 6e960: 000dc507 5f6d656d 5f696e69 74000000 ...._mem_init... + 6e970: 039c0223 00075f6d 656d7365 74000000 ...#.._memset... + 6e980: 0d390223 04075f6d 656d6370 79000000 .9.#.._memcpy... + 6e990: 0d4f0223 08075f6d 656d6d6f 76650000 .O.#.._memmove.. + 6e9a0: 000d4f02 230c075f 6d656d63 6d700000 ..O.#.._memcmp.. + 6e9b0: 000d5c02 23100012 72656769 73746572 ..\.#...register + 6e9c0: 5f64756d 705f7300 00010a00 000dc504 _dump_s......... + 6e9d0: 000d010a 00000ddf 04000d01 0a00000d ................ + 6e9e0: e804000e 00000161 010a0000 0df10400 .......a........ + 6e9f0: 13686f73 7469665f 73000400 000e4d14 .hostif_s.....M. + 6ea00: 4849465f 55534200 00144849 465f5043 HIF_USB...HIF_PC + 6ea10: 49450001 14484946 5f474d41 43000214 IE...HIF_GMAC... + 6ea20: 4849465f 50434900 03144849 465f4e55 HIF_PCI...HIF_NU + 6ea30: 4d000414 4849465f 4e4f4e45 00050003 M...HIF_NONE.... + 6ea40: 415f484f 53544946 0000000d fe0e0000 A_HOSTIF........ + 6ea50: 0e4d010a 00000e5b 04000e00 000c6301 .M.....[......c. + 6ea60: 0a00000e 6804000e 00000ae5 010a0000 ....h........... + 6ea70: 0e750400 096d6973 635f6170 69002400 .u...misc_api.$. + 6ea80: 000f6507 5f737973 74656d5f 72657365 ..e._system_rese + 6ea90: 74000000 039c0223 00075f6d 61635f72 t......#.._mac_r + 6eaa0: 65736574 00000003 9c022304 075f6173 eset......#.._as + 6eab0: 73666169 6c000000 0de10223 08075f6d sfail......#.._m + 6eac0: 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h + 6ead0: 616e646c 65720000 000de102 230c075f andler......#.._ + 6eae0: 7265706f 72745f66 61696c75 72655f74 report_failure_t + 6eaf0: 6f5f686f 73740000 000dea02 2310075f o_host......#.._ + 6eb00: 74617267 65745f69 645f6765 74000000 target_id_get... + 6eb10: 0df70223 14075f69 735f686f 73745f70 ...#.._is_host_p + 6eb20: 72657365 6e740000 000e6102 2318075f resent....a.#.._ + 6eb30: 6b626869 74000000 0e6e0223 1c075f72 kbhit....n.#.._r + 6eb40: 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. + 6eb50: 000e7b02 2320000e 000003fd 010a0000 ..{.# .......... + 6eb60: 0f650400 0e000003 fd010a00 000f7204 .e............r. + 6eb70: 000e0000 0161010a 00000f7f 04000e00 .....a.......... + 6eb80: 00016101 0a00000f 8c04000e 00000161 ..a............a + 6eb90: 010a0000 0f990400 09737472 696e675f .........string_ + 6eba0: 61706900 18000010 1f075f73 7472696e api......._strin + 6ebb0: 675f696e 69740000 00039c02 2300075f g_init......#.._ + 6ebc0: 73747263 70790000 000f6b02 2304075f strcpy....k.#.._ + 6ebd0: 7374726e 63707900 00000f78 02230807 strncpy....x.#.. + 6ebe0: 5f737472 6c656e00 00000f85 02230c07 _strlen......#.. + 6ebf0: 5f737472 636d7000 00000f92 02231007 _strcmp......#.. + 6ec00: 5f737472 6e636d70 0000000f 9f022314 _strncmp......#. + 6ec10: 00040000 01031400 00102c05 0400035f ..........,...._ + 6ec20: 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... + 6ec30: 101f0341 5f74696d 65725f74 00000010 ...A_timer_t.... + 6ec40: 2c0a0000 10400400 0d010a00 00105604 ,....@........V. + 6ec50: 000d010a 0000105f 04000341 5f48414e ......._...A_HAN + 6ec60: 444c4500 00000103 0d010341 5f54494d DLE........A_TIM + 6ec70: 45525f46 554e4300 00001076 0a000010 ER_FUNC....v.... + 6ec80: 7804000d 010a0000 10910400 0974696d x............tim + 6ec90: 65725f61 70690014 00001110 075f7469 er_api......._ti + 6eca0: 6d65725f 696e6974 00000003 9c022300 mer_init......#. + 6ecb0: 075f7469 6d65725f 61726d00 00001058 ._timer_arm....X + 6ecc0: 02230407 5f74696d 65725f64 69736172 .#.._timer_disar + 6ecd0: 6d000000 10610223 08075f74 696d6572 m....a.#.._timer + 6ece0: 5f736574 666e0000 00109302 230c075f _setfn......#.._ + 6ecf0: 74696d65 725f7275 6e000000 039c0223 timer_run......# + 6ed00: 10000342 4f4f4c45 414e0000 000ae50e ...BOOLEAN...... + 6ed10: 00001110 010a0000 111d0400 0e000011 ................ + 6ed20: 10010a00 00112a04 000e0000 1110010a ......*......... + 6ed30: 00001137 04000972 6f6d705f 61706900 ...7...romp_api. + 6ed40: 10000011 a9075f72 6f6d705f 696e6974 ......_romp_init + 6ed50: 00000003 9c022300 075f726f 6d705f64 ......#.._romp_d + 6ed60: 6f776e6c 6f616400 00001123 02230407 ownload....#.#.. + 6ed70: 5f726f6d 705f696e 7374616c 6c000000 _romp_install... + 6ed80: 11300223 08075f72 6f6d705f 6465636f .0.#.._romp_deco + 6ed90: 64650000 00113d02 230c0009 726f6d5f de....=.#...rom_ + 6eda0: 70617463 685f7374 00100000 12050763 patch_st.......c + 6edb0: 72633136 0000000a e5022300 076c656e rc16......#..len + 6edc0: 0000000a e5022302 076c645f 61646472 ......#..ld_addr + 6edd0: 0000000a f3022304 0766756e 5f616464 ......#..fun_add + 6ede0: 72000000 0af30223 08077066 756e0000 r......#..pfun.. + 6edf0: 000c7902 230c0009 6565705f 72656469 ..y.#...eep_redi + 6ee00: 725f6164 64720004 00001237 076f6666 r_addr.....7.off + 6ee10: 73657400 00000ae5 02230007 73697a65 set......#..size + 6ee20: 0000000a e5022302 0003415f 55494e54 ......#...A_UINT + 6ee30: 33320000 0001030e 000002d6 010a0000 32.............. + 6ee40: 12450400 09616c6c 6f637261 6d5f6170 .E...allocram_ap + 6ee50: 69000c00 0012b607 636d6e6f 735f616c i.......cmnos_al + 6ee60: 6c6f6372 616d5f69 6e697400 0000124b locram_init....K + 6ee70: 02230007 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr + 6ee80: 616d0000 00124b02 23040763 6d6e6f73 am....K.#..cmnos + 6ee90: 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. + 6eea0: 0000039c 02230800 0d010a00 0012b604 .....#.......... + 6eeb0: 0003415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC + 6eec0: 00000012 b8095f74 61736b6c 65740010 ......_tasklet.. + 6eed0: 00001317 0766756e 63000000 12bf0223 .....func......# + 6eee0: 00076172 67000000 02d60223 04077374 ..arg......#..st + 6eef0: 61746500 00000161 02230807 6e657874 ate....a.#..next + 6ef00: 00000013 1702230c 000a0000 12d30400 ......#......... + 6ef10: 0a000012 d3040003 415f7461 736b6c65 ........A_taskle + 6ef20: 745f7400 000012d3 0a000013 2504000d t_t.........%... + 6ef30: 010a0000 133d0400 0d010a00 00134604 .....=........F. + 6ef40: 00097461 736b6c65 745f6170 69001400 ..tasklet_api... + 6ef50: 0013db07 5f746173 6b6c6574 5f696e69 ...._tasklet_ini + 6ef60: 74000000 039c0223 00075f74 61736b6c t......#.._taskl + 6ef70: 65745f69 6e69745f 7461736b 00000013 et_init_task.... + 6ef80: 3f022304 075f7461 736b6c65 745f6469 ?.#.._tasklet_di + 6ef90: 7361626c 65000000 13480223 08075f74 sable....H.#.._t + 6efa0: 61736b6c 65745f73 63686564 756c6500 asklet_schedule. + 6efb0: 00001348 02230c07 5f746173 6b6c6574 ...H.#.._tasklet + 6efc0: 5f72756e 00000003 9c022310 000d010a _run......#..... + 6efd0: 000013db 04000e00 00123701 0a000013 ..........7..... + 6efe0: e4040009 636c6f63 6b5f6170 69002400 ....clock_api.$. + 6eff0: 0014ca07 5f636c6f 636b5f69 6e697400 ...._clock_init. + 6f000: 000013dd 02230007 5f636c6f 636b7265 .....#.._clockre + 6f010: 67735f69 6e697400 0000039c 02230407 gs_init......#.. + 6f020: 5f756172 745f6672 65717565 6e637900 _uart_frequency. + 6f030: 000013ea 02230807 5f64656c 61795f75 .....#.._delay_u + 6f040: 73000000 0a850223 0c075f77 6c616e5f s......#.._wlan_ + 6f050: 62616e64 5f736574 0000000a 85022310 band_set......#. + 6f060: 075f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g + 6f070: 65740000 0013ea02 2314075f 6d696c6c et......#.._mill + 6f080: 69736563 6f6e6473 00000013 ea022318 iseconds......#. + 6f090: 075f7379 73636c6b 5f636861 6e676500 ._sysclk_change. + 6f0a0: 0000039c 02231c07 5f636c6f 636b5f74 .....#.._clock_t + 6f0b0: 69636b00 0000039c 02232000 0e00000a ick......# ..... + 6f0c0: f3010a00 0014ca04 0003415f 6f6c645f ..........A_old_ + 6f0d0: 696e7472 5f740000 000af30e 000014d7 intr_t.......... + 6f0e0: 010a0000 14e90400 0d010a00 0014f604 ................ + 6f0f0: 000d010a 000014ff 04000e00 000af301 ................ + 6f100: 0a000015 08040003 415f6973 725f7400 ........A_isr_t. + 6f110: 0000150e 0d010a00 00152204 000e0000 .........."..... + 6f120: 0103010a 0000152b 04000d01 0a000015 .......+........ + 6f130: 38040009 696e7472 5f617069 002c0000 8...intr_api.,.. + 6f140: 165a075f 696e7472 5f696e69 74000000 .Z._intr_init... + 6f150: 039c0223 00075f69 6e74725f 696e766f ...#.._intr_invo + 6f160: 6b655f69 73720000 0014d002 2304075f ke_isr......#.._ + 6f170: 696e7472 5f646973 61626c65 00000014 intr_disable.... + 6f180: ef022308 075f696e 74725f72 6573746f ..#.._intr_resto + 6f190: 72650000 0014f802 230c075f 696e7472 re......#.._intr + 6f1a0: 5f6d6173 6b5f696e 756d0000 00150102 _mask_inum...... + 6f1b0: 2310075f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ + 6f1c0: 696e756d 00000015 01022314 075f696e inum......#.._in + 6f1d0: 74725f61 74746163 685f6973 72000000 tr_attach_isr... + 6f1e0: 15240223 18075f67 65745f69 6e747265 .$.#.._get_intre + 6f1f0: 6e61626c 65000000 15310223 1c075f73 nable....1.#.._s + 6f200: 65745f69 6e747265 6e61626c 65000000 et_intrenable... + 6f210: 153a0223 20075f67 65745f69 6e747270 .:.# ._get_intrp + 6f220: 656e6469 6e670000 00153102 2324075f ending....1.#$._ + 6f230: 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr + 6f240: 6c766c00 0000039c 02232800 06040000 lvl......#(..... + 6f250: 16800774 696d656f 75740000 000af302 ...timeout...... + 6f260: 23000761 6374696f 6e000000 0af30223 #..action......# + 6f270: 00000808 0000169b 07636d64 0000000a .........cmd.... + 6f280: f3022300 15000016 5a022304 0003545f ..#.....Z.#...T_ + 6f290: 5744545f 434d4400 00001680 0d010a00 WDT_CMD......... + 6f2a0: 0016aa04 00160400 00170014 454e554d ............ENUM + 6f2b0: 5f574454 5f424f4f 54000114 454e554d _WDT_BOOT...ENUM + 6f2c0: 5f434f4c 445f424f 4f540002 14454e55 _COLD_BOOT...ENU + 6f2d0: 4d5f5355 53505f42 4f4f5400 0314454e M_SUSP_BOOT...EN + 6f2e0: 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. + 6f2f0: 04000354 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. + 6f300: 0016b30e 00001700 010a0000 17110400 ................ + 6f310: 09776474 5f617069 001c0000 17b5075f .wdt_api......._ + 6f320: 7764745f 696e6974 00000003 9c022300 wdt_init......#. + 6f330: 075f7764 745f656e 61626c65 00000003 ._wdt_enable.... + 6f340: 9c022304 075f7764 745f6469 7361626c ..#.._wdt_disabl + 6f350: 65000000 039c0223 08075f77 64745f73 e......#.._wdt_s + 6f360: 65740000 0016ac02 230c075f 7764745f et......#.._wdt_ + 6f370: 7461736b 00000003 9c022310 075f7764 task......#.._wd + 6f380: 745f7265 73657400 0000039c 02231407 t_reset......#.. + 6f390: 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 6f3a0: 00171702 23180016 04000018 1c145245 ....#.........RE + 6f3b0: 545f5355 43434553 53000014 5245545f T_SUCCESS...RET_ + 6f3c0: 4e4f545f 494e4954 00011452 45545f4e NOT_INIT...RET_N + 6f3d0: 4f545f45 58495354 00021452 45545f45 OT_EXIST...RET_E + 6f3e0: 45505f43 4f525255 50540003 14524554 EP_CORRUPT...RET + 6f3f0: 5f454550 5f4f5645 52464c4f 57000414 _EEP_OVERFLOW... + 6f400: 5245545f 554e4b4e 4f574e00 05000354 RET_UNKNOWN....T + 6f410: 5f454550 5f524554 00000017 b50a0000 _EEP_RET........ + 6f420: 0ae50400 0e000018 1c010a00 00183204 ..............2. + 6f430: 000e0000 181c010a 0000183f 04000965 ...........?...e + 6f440: 65705f61 70690010 000018a8 075f6565 ep_api......._ee + 6f450: 705f696e 69740000 00039c02 2300075f p_init......#.._ + 6f460: 6565705f 72656164 00000018 38022304 eep_read....8.#. + 6f470: 075f6565 705f7772 69746500 00001838 ._eep_write....8 + 6f480: 02230807 5f656570 5f69735f 65786973 .#.._eep_is_exis + 6f490: 74000000 18450223 0c000975 73625f61 t....E.#...usb_a + 6f4a0: 70690070 00001b55 075f7573 625f696e pi.p...U._usb_in + 6f4b0: 69740000 00039c02 2300075f 7573625f it......#.._usb_ + 6f4c0: 726f6d5f 7461736b 00000003 9c022304 rom_task......#. + 6f4d0: 075f7573 625f6677 5f746173 6b000000 ._usb_fw_task... + 6f4e0: 039c0223 08075f75 73625f69 6e69745f ...#.._usb_init_ + 6f4f0: 70687900 0000039c 02230c07 5f757362 phy......#.._usb + 6f500: 5f657030 5f736574 75700000 00039c02 _ep0_setup...... + 6f510: 2310075f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. + 6f520: 00039c02 2314075f 7573625f 6570305f ....#.._usb_ep0_ + 6f530: 72780000 00039c02 2318075f 7573625f rx......#.._usb_ + 6f540: 6765745f 696e7465 72666163 65000000 get_interface... + 6f550: 11300223 1c075f75 73625f73 65745f69 .0.#.._usb_set_i + 6f560: 6e746572 66616365 00000011 30022320 nterface....0.# + 6f570: 075f7573 625f6765 745f636f 6e666967 ._usb_get_config + 6f580: 75726174 696f6e00 00001130 02232407 uration....0.#$. + 6f590: 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu + 6f5a0: 72617469 6f6e0000 00113002 2328075f ration....0.#(._ + 6f5b0: 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd + 6f5c0: 00000011 3002232c 075f7573 625f7665 ....0.#,._usb_ve + 6f5d0: 6e646f72 5f636d64 00000003 9c022330 ndor_cmd......#0 + 6f5e0: 075f7573 625f706f 7765725f 6f666600 ._usb_power_off. + 6f5f0: 0000039c 02233407 5f757362 5f726573 .....#4._usb_res + 6f600: 65745f66 69666f00 0000039c 02233807 et_fifo......#8. + 6f610: 5f757362 5f67656e 5f776474 00000003 _usb_gen_wdt.... + 6f620: 9c02233c 075f7573 625f6a75 6d705f62 ..#<._usb_jump_b + 6f630: 6f6f7400 0000039c 02234007 5f757362 oot......#@._usb + 6f640: 5f636c72 5f666561 74757265 00000011 _clr_feature.... + 6f650: 30022344 075f7573 625f7365 745f6665 0.#D._usb_set_fe + 6f660: 61747572 65000000 11300223 48075f75 ature....0.#H._u + 6f670: 73625f73 65745f61 64647265 73730000 sb_set_address.. + 6f680: 00113002 234c075f 7573625f 6765745f ..0.#L._usb_get_ + 6f690: 64657363 72697074 6f720000 00113002 descriptor....0. + 6f6a0: 2350075f 7573625f 6765745f 73746174 #P._usb_get_stat + 6f6b0: 75730000 00113002 2354075f 7573625f us....0.#T._usb_ + 6f6c0: 73657475 705f6465 73630000 00039c02 setup_desc...... + 6f6d0: 2358075f 7573625f 7265675f 6f757400 #X._usb_reg_out. + 6f6e0: 0000039c 02235c07 5f757362 5f737461 .....#\._usb_sta + 6f6f0: 7475735f 696e0000 00039c02 2360075f tus_in......#`._ + 6f700: 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. + 6f710: 0000039c 02236407 5f757362 5f657030 .....#d._usb_ep0 + 6f720: 5f72785f 64617461 00000003 9c022368 _rx_data......#h + 6f730: 075f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. + 6f740: 00039c02 236c0009 5f564445 53430024 ....#l.._VDESC.$ + 6f750: 00001be1 076e6578 745f6465 73630000 .....next_desc.. + 6f760: 001be102 23000762 75665f61 64647200 ....#..buf_addr. + 6f770: 00001bf5 02230407 6275665f 73697a65 .....#..buf_size + 6f780: 0000001b fc022308 07646174 615f6f66 ......#..data_of + 6f790: 66736574 0000001b fc02230a 07646174 fset......#..dat + 6f7a0: 615f7369 7a650000 001bfc02 230c0763 a_size......#..c + 6f7b0: 6f6e7472 6f6c0000 001bfc02 230e0768 ontrol......#..h + 6f7c0: 775f6465 73635f62 75660000 001c0a02 w_desc_buf...... + 6f7d0: 2310000a 00001b55 04000341 5f55494e #......U...A_UIN + 6f7e0: 54380000 00011f0a 00001be8 04000341 T8.............A + 6f7f0: 5f55494e 54313600 000007c5 0400001b _UINT16......... + 6f800: e8140000 1c170513 000a0000 1b550400 .............U.. + 6f810: 03564445 53430000 001b550a 00001c1e .VDESC....U..... + 6f820: 04000e00 001c2901 0a00001c 3004000e ......).....0... + 6f830: 00001bf5 010a0000 1c3d0400 0d010a00 .........=...... + 6f840: 001c4a04 00097664 6573635f 61706900 ..J...vdesc_api. + 6f850: 1400001c c2075f69 6e697400 00000a85 ......_init..... + 6f860: 02230007 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc + 6f870: 0000001c 36022304 075f6765 745f6877 ....6.#.._get_hw + 6f880: 5f646573 63000000 1c430223 08075f73 _desc....C.#.._s + 6f890: 7761705f 76646573 63000000 1c4c0223 wap_vdesc....L.# + 6f8a0: 0c077052 65736572 76656400 000002d6 ..pReserved..... + 6f8b0: 02231000 095f5642 55460020 00001d22 .#..._VBUF. ..." + 6f8c0: 07646573 635f6c69 73740000 001c2902 .desc_list....). + 6f8d0: 2300076e 6578745f 62756600 00001d22 #..next_buf...." + 6f8e0: 02230407 6275665f 6c656e67 74680000 .#..buf_length.. + 6f8f0: 001bfc02 23080772 65736572 76656400 ....#..reserved. + 6f900: 00001d29 02230a07 63747800 00001c0a ...).#..ctx..... + 6f910: 02230c00 0a00001c c2040004 00001be8 .#.............. + 6f920: 0200001d 36050100 0a00001c c2040003 ....6........... + 6f930: 56425546 0000001c c20a0000 1d3d0400 VBUF.........=.. + 6f940: 0e00001d 47010a00 001d4e04 000e0000 ....G.....N..... + 6f950: 1d47010a 00001d5b 04000d01 0a00001d .G.....[........ + 6f960: 68040009 76627566 5f617069 00140000 h...vbuf_api.... + 6f970: 1de6075f 696e6974 0000000a 85022300 ..._init......#. + 6f980: 075f616c 6c6f635f 76627566 0000001d ._alloc_vbuf.... + 6f990: 54022304 075f616c 6c6f635f 76627566 T.#.._alloc_vbuf + 6f9a0: 5f776974 685f7369 7a650000 001d6102 _with_size....a. + 6f9b0: 2308075f 66726565 5f766275 66000000 #.._free_vbuf... + 6f9c0: 1d6a0223 0c077052 65736572 76656400 .j.#..pReserved. + 6f9d0: 000002d6 02231000 095f5f61 64665f64 .....#...__adf_d + 6f9e0: 65766963 65000400 001e0807 64756d6d evice.......dumm + 6f9f0: 79000000 01610223 00000a00 00123704 y....a.#......7. + 6fa00: 00095f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 6fa10: 0c00001e 4f076275 66000000 1d470223 ....O.buf....G.# + 6fa20: 00076473 5f616464 72000000 1e080223 ..ds_addr......# + 6fa30: 04076473 5f6c656e 0000001b fc022308 ..ds_len......#. + 6fa40: 00080c00 001e8907 5f5f7661 5f73746b ........__va_stk + 6fa50: 00000003 fd022300 075f5f76 615f7265 ......#..__va_re + 6fa60: 67000000 03fd0223 04075f5f 76615f6e g......#..__va_n + 6fa70: 64780000 00016102 23080003 5f5f6164 dx....a.#...__ad + 6fa80: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 6fa90: 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ + 6faa0: 61646472 5f740000 001e8903 5f5f6164 addr_t......__ad + 6fab0: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 6fac0: 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ + 6fad0: 73697a65 5f740000 001eb909 5f5f646d size_t......__dm + 6fae0: 615f7365 67730008 00001f15 07706164 a_segs.......pad + 6faf0: 64720000 001ea202 2300076c 656e0000 dr......#..len.. + 6fb00: 001ed202 23040003 5f5f615f 75696e74 ....#...__a_uint + 6fb10: 33325f74 00000012 3703615f 75696e74 32_t....7.a_uint + 6fb20: 33325f74 0000001f 15040000 1ee90800 32_t............ + 6fb30: 001f4405 00000961 64665f6f 735f646d ..D....adf_os_dm + 6fb40: 616d6170 5f696e66 6f000c00 001f7d07 amap_info.....}. + 6fb50: 6e736567 73000000 1f270223 0007646d nsegs....'.#..dm + 6fb60: 615f7365 67730000 001f3702 23040003 a_segs....7.#... + 6fb70: 5f5f615f 75696e74 385f7400 00001be8 __a_uint8_t..... + 6fb80: 03615f75 696e7438 5f740000 001f7d0a .a_uint8_t....}. + 6fb90: 00001f8e 0400095f 5f73675f 73656773 .......__sg_segs + 6fba0: 00080000 1fcf0776 61646472 0000001f .......vaddr.... + 6fbb0: 9d022300 076c656e 0000001f 27022304 ..#..len....'.#. + 6fbc0: 00040000 1fa42000 001fdc05 03000961 ...... ........a + 6fbd0: 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 6fbe0: 200f076e 73656773 0000001f 27022300 ..nsegs....'.#. + 6fbf0: 0773675f 73656773 0000001f cf022304 .sg_segs......#. + 6fc00: 00081000 00205807 76656e64 6f720000 ..... X.vendor.. + 6fc10: 001f2702 23000764 65766963 65000000 ..'.#..device... + 6fc20: 1f270223 04077375 6276656e 646f7200 .'.#..subvendor. + 6fc30: 00001f27 02230807 73756264 65766963 ...'.#..subdevic + 6fc40: 65000000 1f270223 0c000341 5f55494e e....'.#...A_UIN + 6fc50: 54363400 0000082d 035f5f61 5f75696e T64....-.__a_uin + 6fc60: 7436345f 74000000 20580361 5f75696e t64_t... X.a_uin + 6fc70: 7436345f 74000000 20661604 000020c4 t64_t... f.... . + 6fc80: 14414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE + 6fc90: 5f545950 455f4d45 4d000014 4144465f _TYPE_MEM...ADF_ + 6fca0: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE + 6fcb0: 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re + 6fcc0: 736f7572 63655f74 7970655f 74000000 source_type_t... + 6fcd0: 20880818 0000210e 07737461 72740000 .....!..start.. + 6fce0: 00207802 23000765 6e640000 00207802 . x.#..end... x. + 6fcf0: 23080774 79706500 000020c4 02231000 #..type... ..#.. + 6fd00: 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ + 6fd10: 69645f74 00000020 0f0a0000 210e0400 id_t... ....!... + 6fd20: 06040000 214d0770 63690000 00212702 ....!M.pci...!'. + 6fd30: 23000772 61770000 0002d602 23000006 #..raw......#... + 6fd40: 10000021 6c077063 69000000 210e0223 ...!l.pci...!..# + 6fd50: 00077261 77000000 02d60223 00000361 ..raw......#...a + 6fd60: 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. + 6fd70: 000002d6 03616466 5f6f735f 7265736f .....adf_os_reso + 6fd80: 75726365 5f740000 0020e00a 00002182 urce_t... ....!. + 6fd90: 04000361 64665f6f 735f6174 74616368 ...adf_os_attach + 6fda0: 5f646174 615f7400 0000214d 0a000021 _data_t...!M...! + 6fdb0: a004000a 00001de6 0400035f 5f616466 ...........__adf + 6fdc0: 5f6f735f 64657669 63655f74 00000021 _os_device_t...! + 6fdd0: c1036164 665f6f73 5f646576 6963655f ..adf_os_device_ + 6fde0: 74000000 21c80e00 00216c01 0a000021 t...!....!l....! + 6fdf0: f404000d 010a0000 22010400 03616466 ........"....adf + 6fe00: 5f6f735f 706d5f74 00000002 d60d010a _os_pm_t........ + 6fe10: 0000221b 04001604 0000225b 14414446 .."......."[.ADF + 6fe20: 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI + 6fe30: 00011441 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY + 6fe40: 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad + 6fe50: 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. + 6fe60: 00002224 03616466 5f6f735f 6275735f .."$.adf_os_bus_ + 6fe70: 7265675f 64617461 5f740000 00212e09 reg_data_t...!.. + 6fe80: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 6fe90: 00233107 6472765f 61747461 63680000 .#1.drv_attach.. + 6fea0: 0021fa02 23000764 72765f64 65746163 .!..#..drv_detac + 6feb0: 68000000 22030223 04076472 765f7375 h..."..#..drv_su + 6fec0: 7370656e 64000000 221d0223 08076472 spend..."..#..dr + 6fed0: 765f7265 73756d65 00000022 0302230c v_resume..."..#. + 6fee0: 07627573 5f747970 65000000 225b0223 .bus_type..."[.# + 6fef0: 10076275 735f6461 74610000 00227202 ..bus_data..."r. + 6ff00: 2314076d 6f645f6e 616d6500 000003b7 #..mod_name..... + 6ff10: 02231807 69666e61 6d650000 0003b702 .#..ifname...... + 6ff20: 231c0003 6164665f 6f735f68 616e646c #...adf_os_handl + 6ff30: 655f7400 000002d6 0a00001f 7d04000d e_t.........}... + 6ff40: 010d0103 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 6ff50: 655f7400 00000103 16040000 23801441 e_t.........#..A + 6ff60: 5f46414c 53450000 14415f54 52554500 _FALSE...A_TRUE. + 6ff70: 01000361 5f626f6f 6c5f7400 00002366 ...a_bool_t...#f + 6ff80: 0a00001e 0f040003 5f5f6164 665f6f73 ........__adf_os + 6ff90: 5f646d61 5f6d6170 5f740000 00238e0d _dma_map_t...#.. + 6ffa0: 01136164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 6ffb0: 796e6300 04000024 18144144 465f5359 ync....$..ADF_SY + 6ffc0: 4e435f50 52455245 41440000 14414446 NC_PREREAD...ADF + 6ffd0: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 6ffe0: 14414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 6fff0: 41440001 14414446 5f53594e 435f504f AD...ADF_SYNC_PO + 70000: 53545752 49544500 03000361 64665f6f STWRITE....adf_o + 70010: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 70020: 0023af0d 01036164 665f6f73 5f73697a .#....adf_os_siz + 70030: 655f7400 00002351 0e000024 33010361 e_t...#Q...$3..a + 70040: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 70050: 00002395 0a000024 4c04000e 000002d6 ..#....$L....... + 70060: 010a0000 23950400 0e000002 d6010d01 ....#........... + 70070: 0e00001e a2010d01 03415f49 4e543136 .........A_INT16 + 70080: 00000003 e8035f5f 615f696e 7431365f ......__a_int16_ + 70090: 74000000 24860361 5f696e74 31365f74 t...$..a_int16_t + 700a0: 00000024 93027369 676e6564 20636861 ...$..signed cha + 700b0: 72000501 03415f49 4e543800 000024b3 r....A_INT8...$. + 700c0: 035f5f61 5f696e74 385f7400 000024c2 .__a_int8_t...$. + 700d0: 03615f69 6e74385f 74000000 24ce080c .a_int8_t...$... + 700e0: 00002545 07737570 706f7274 65640000 ..%E.supported.. + 700f0: 001f2702 23000761 64766572 74697a65 ..'.#..advertize + 70100: 64000000 1f270223 04077370 65656400 d....'.#..speed. + 70110: 000024a4 02230807 6475706c 65780000 ..$..#..duplex.. + 70120: 0024de02 230a0761 75746f6e 65670000 .$..#..autoneg.. + 70130: 001f8e02 230b0004 00001f8e 06000025 ....#..........% + 70140: 52050500 09616466 5f6e6574 5f657468 R....adf_net_eth + 70150: 61646472 00060000 25760761 64647200 addr....%v.addr. + 70160: 00002545 02230000 035f5f61 5f75696e ..%E.#...__a_uin + 70170: 7431365f 74000000 1bfc0361 5f75696e t16_t......a_uin + 70180: 7431365f 74000000 2576080e 000025da t16_t...%v....%. + 70190: 07657468 65725f64 686f7374 00000025 .ether_dhost...% + 701a0: 45022300 07657468 65725f73 686f7374 E.#..ether_shost + 701b0: 00000025 45022306 07657468 65725f74 ...%E.#..ether_t + 701c0: 79706500 00002588 02230c00 08140000 ype...%..#...... + 701d0: 269b1769 705f7665 7273696f 6e000000 &..ip_version... + 701e0: 1f8e0100 04022300 1769705f 686c0000 ......#..ip_hl.. + 701f0: 001f8e01 04040223 00076970 5f746f73 .......#..ip_tos + 70200: 0000001f 8e022301 0769705f 6c656e00 ......#..ip_len. + 70210: 00002588 02230207 69705f69 64000000 ..%..#..ip_id... + 70220: 25880223 04076970 5f667261 675f6f66 %..#..ip_frag_of + 70230: 66000000 25880223 06076970 5f74746c f...%..#..ip_ttl + 70240: 0000001f 8e022308 0769705f 70726f74 ......#..ip_prot + 70250: 6f000000 1f8e0223 09076970 5f636865 o......#..ip_che + 70260: 636b0000 00258802 230a0769 705f7361 ck...%..#..ip_sa + 70270: 64647200 00001f27 02230c07 69705f64 ddr....'.#..ip_d + 70280: 61646472 0000001f 27022310 00096164 addr....'.#...ad + 70290: 665f6e65 745f766c 616e6864 72000400 f_net_vlanhdr... + 702a0: 0026ed07 74706964 00000025 88022300 .&..tpid...%..#. + 702b0: 17707269 6f000000 1f8e0100 03022302 .prio.........#. + 702c0: 17636669 0000001f 8e010301 02230217 .cfi.........#.. + 702d0: 76696400 00002588 02040c02 23020009 vid...%.....#... + 702e0: 6164665f 6e65745f 76696400 02000027 adf_net_vid....' + 702f0: 1e177265 73000000 1f8e0100 04022300 ..res.........#. + 70300: 1776616c 00000025 8802040c 02230000 .val...%.....#.. + 70310: 080c0000 275a0772 785f6275 6673697a ....'Z.rx_bufsiz + 70320: 65000000 1f270223 00077278 5f6e6465 e....'.#..rx_nde + 70330: 73630000 001f2702 23040774 785f6e64 sc....'.#..tx_nd + 70340: 65736300 00001f27 02230800 08080000 esc....'.#...... + 70350: 27800770 6f6c6c65 64000000 23800223 '..polled...#..# + 70360: 0007706f 6c6c5f77 74000000 1f270223 ..poll_wt....'.# + 70370: 04000400 001f8e40 0000278d 053f0008 .......@..'..?.. + 70380: 46000027 b5076966 5f6e616d 65000000 F..'..if_name... + 70390: 27800223 00076465 765f6164 64720000 '..#..dev_addr.. + 703a0: 00254502 23400016 04000027 ec144144 .%E.#@.....'..AD + 703b0: 465f4f53 5f444d41 5f4d4153 4b5f3332 F_OS_DMA_MASK_32 + 703c0: 42495400 00144144 465f4f53 5f444d41 BIT...ADF_OS_DMA + 703d0: 5f4d4153 4b5f3634 42495400 01000361 _MASK_64BIT....a + 703e0: 64665f6f 735f646d 615f6d61 736b5f74 df_os_dma_mask_t + 703f0: 00000027 b5096164 665f646d 615f696e ...'..adf_dma_in + 70400: 666f0008 00002839 07646d61 5f6d6173 fo....(9.dma_mas + 70410: 6b000000 27ec0223 00077367 5f6e7365 k...'..#..sg_nse + 70420: 67730000 001f2702 23040016 04000028 gs....'.#......( + 70430: 8f144144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 70440: 4e4f4e45 00001441 44465f4e 45545f43 NONE...ADF_NET_C + 70450: 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv + 70460: 34000114 4144465f 4e45545f 434b5355 4...ADF_NET_CKSU + 70470: 4d5f5443 505f5544 505f4950 76360002 M_TCP_UDP_IPv6.. + 70480: 00036164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 70490: 74797065 5f740000 00283908 08000028 type_t...(9....( + 704a0: d2077478 5f636b73 756d0000 00288f02 ..tx_cksum...(.. + 704b0: 23000772 785f636b 73756d00 0000288f #..rx_cksum...(. + 704c0: 02230400 03616466 5f6e6574 5f636b73 .#...adf_net_cks + 704d0: 756d5f69 6e666f5f 74000000 28a91604 um_info_t...(... + 704e0: 0000292b 14414446 5f4e4554 5f54534f ..)+.ADF_NET_TSO + 704f0: 5f4e4f4e 45000014 4144465f 4e45545f _NONE...ADF_NET_ + 70500: 54534f5f 49505634 00011441 44465f4e TSO_IPV4...ADF_N + 70510: 45545f54 534f5f41 4c4c0002 00036164 ET_TSO_ALL....ad + 70520: 665f6e65 745f7473 6f5f7479 70655f74 f_net_tso_type_t + 70530: 00000028 ec081000 00297f07 636b7375 ...(.....)..cksu + 70540: 6d5f6361 70000000 28d20223 00077473 m_cap...(..#..ts + 70550: 6f000000 292b0223 0807766c 616e5f73 o...)+.#..vlan_s + 70560: 7570706f 72746564 0000001f 8e02230c upported......#. + 70570: 00082000 002a1807 74785f70 61636b65 .. ..*..tx_packe + 70580: 74730000 001f2702 23000772 785f7061 ts....'.#..rx_pa + 70590: 636b6574 73000000 1f270223 04077478 ckets....'.#..tx + 705a0: 5f627974 65730000 001f2702 23080772 _bytes....'.#..r + 705b0: 785f6279 74657300 00001f27 02230c07 x_bytes....'.#.. + 705c0: 74785f64 726f7070 65640000 001f2702 tx_dropped....'. + 705d0: 23100772 785f6472 6f707065 64000000 #..rx_dropped... + 705e0: 1f270223 14077278 5f657272 6f727300 .'.#..rx_errors. + 705f0: 00001f27 02231807 74785f65 72726f72 ...'.#..tx_error + 70600: 73000000 1f270223 1c000361 64665f6e s....'.#...adf_n + 70610: 65745f65 74686164 64725f74 00000025 et_ethaddr_t...% + 70620: 52100000 2a180300 00002a3d 057f000c R...*.....*=.... + 70630: 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad + 70640: 64720003 0400002a 74076e65 6c656d00 dr.....*t.nelem. + 70650: 00001f27 02230007 6d636173 74000000 ...'.#..mcast... + 70660: 2a2f0223 04000361 64665f6e 65745f63 */.#...adf_net_c + 70670: 6d645f6c 696e6b5f 696e666f 5f740000 md_link_info_t.. + 70680: 0024ec03 6164665f 6e65745f 636d645f .$..adf_net_cmd_ + 70690: 706f6c6c 5f696e66 6f5f7400 0000275a poll_info_t...'Z + 706a0: 03616466 5f6e6574 5f636d64 5f636b73 .adf_net_cmd_cks + 706b0: 756d5f69 6e666f5f 74000000 28d20361 um_info_t...(..a + 706c0: 64665f6e 65745f63 6d645f72 696e675f df_net_cmd_ring_ + 706d0: 696e666f 5f740000 00271e03 6164665f info_t...'..adf_ + 706e0: 6e65745f 636d645f 646d615f 696e666f net_cmd_dma_info + 706f0: 5f740000 00280303 6164665f 6e65745f _t...(..adf_net_ + 70700: 636d645f 7669645f 74000000 25880361 cmd_vid_t...%..a + 70710: 64665f6e 65745f63 6d645f6f 66666c6f df_net_cmd_offlo + 70720: 61645f63 61705f74 00000029 43036164 ad_cap_t...)C.ad + 70730: 665f6e65 745f636d 645f7374 6174735f f_net_cmd_stats_ + 70740: 74000000 297f0361 64665f6e 65745f63 t...)..adf_net_c + 70750: 6d645f6d 63616464 725f7400 00002a3d md_mcaddr_t...*= + 70760: 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca + 70770: 73745f63 61700004 00002bb6 14414446 st_cap....+..ADF + 70780: 5f4e4554 5f4d4341 53545f53 55500000 _NET_MCAST_SUP.. + 70790: 14414446 5f4e4554 5f4d4341 53545f4e .ADF_NET_MCAST_N + 707a0: 4f545355 50000100 03616466 5f6e6574 OTSUP....adf_net + 707b0: 5f636d64 5f6d6361 73745f63 61705f74 _cmd_mcast_cap_t + 707c0: 0000002b 6e180304 00002c88 076c696e ...+n.....,..lin + 707d0: 6b5f696e 666f0000 002a7402 23000770 k_info...*t.#..p + 707e0: 6f6c6c5f 696e666f 0000002a 91022300 oll_info...*..#. + 707f0: 07636b73 756d5f69 6e666f00 00002aae .cksum_info...*. + 70800: 02230007 72696e67 5f696e66 6f000000 .#..ring_info... + 70810: 2acc0223 0007646d 615f696e 666f0000 *..#..dma_info.. + 70820: 002ae902 23000776 69640000 002b0502 .*..#..vid...+.. + 70830: 2300076f 66666c6f 61645f63 61700000 #..offload_cap.. + 70840: 002b1c02 23000773 74617473 0000002b .+..#..stats...+ + 70850: 3b022300 076d6361 73745f69 6e666f00 ;.#..mcast_info. + 70860: 00002b54 02230007 6d636173 745f6361 ..+T.#..mcast_ca + 70870: 70000000 2bb60223 00001604 00002cdf p...+..#......,. + 70880: 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 70890: 554d5f4e 4f4e4500 00144144 465f4e42 UM_NONE...ADF_NB + 708a0: 55465f52 585f434b 53554d5f 48570001 UF_RX_CKSUM_HW.. + 708b0: 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS + 708c0: 554d5f55 4e4e4543 45535341 52590002 UM_UNNECESSARY.. + 708d0: 00036164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck + 708e0: 73756d5f 74797065 5f740000 002c8808 sum_type_t...,.. + 708f0: 0800002d 1f077265 73756c74 0000002c ...-..result..., + 70900: df022300 0776616c 0000001f 27022304 ..#..val....'.#. + 70910: 00080800 002d4f07 74797065 00000029 .....-O.type...) + 70920: 2b022300 076d7373 00000025 88022304 +.#..mss...%..#. + 70930: 07686472 5f6f6666 0000001f 8e022306 .hdr_off......#. + 70940: 00095f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe + 70950: 6164000c 00002d8e 07686561 64000000 ad....-..head... + 70960: 1d470223 00077461 696c0000 001d4702 .G.#..tail....G. + 70970: 23040771 6c656e00 00001f27 02230800 #..qlen....'.#.. + 70980: 035f5f61 64665f6e 6275665f 74000000 .__adf_nbuf_t... + 70990: 1d470a00 001f9d04 000a0000 1f270400 .G...........'.. + 709a0: 0d010e00 001c2901 0e00001f 27010e00 ......).....'... + 709b0: 001f9d01 0e00001f 9d010a00 001c0a04 ................ + 709c0: 00035f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe + 709d0: 61645f74 0000002d 4f035f5f 6164665f ad_t...-O.__adf_ + 709e0: 6e627566 5f717565 75655f74 0000002d nbuf_queue_t...- + 709f0: cf0a0000 2de70400 0e00002d 8e010e00 ....-......-.... + 70a00: 002d8e01 16040000 2f071441 5f535441 .-....../..A_STA + 70a10: 5455535f 4f4b0000 14415f53 54415455 TUS_OK...A_STATU + 70a20: 535f4641 494c4544 00011441 5f535441 S_FAILED...A_STA + 70a30: 5455535f 454e4f45 4e540002 14415f53 TUS_ENOENT...A_S + 70a40: 54415455 535f454e 4f4d454d 00031441 TATUS_ENOMEM...A + 70a50: 5f535441 5455535f 45494e56 414c0004 _STATUS_EINVAL.. + 70a60: 14415f53 54415455 535f4549 4e50524f .A_STATUS_EINPRO + 70a70: 47524553 53000514 415f5354 41545553 GRESS...A_STATUS + 70a80: 5f454e4f 54535550 50000614 415f5354 _ENOTSUPP...A_ST + 70a90: 41545553 5f454255 53590007 14415f53 ATUS_EBUSY...A_S + 70aa0: 54415455 535f4532 42494700 0814415f TATUS_E2BIG...A_ + 70ab0: 53544154 55535f45 41444452 4e4f5441 STATUS_EADDRNOTA + 70ac0: 5641494c 00091441 5f535441 5455535f VAIL...A_STATUS_ + 70ad0: 454e5849 4f000a14 415f5354 41545553 ENXIO...A_STATUS + 70ae0: 5f454641 554c5400 0b14415f 53544154 _EFAULT...A_STAT + 70af0: 55535f45 494f000c 0003615f 73746174 US_EIO....a_stat + 70b00: 75735f74 0000002e 120e0000 2f07010e us_t......../... + 70b10: 00000161 010d0103 6164665f 6e627566 ...a....adf_nbuf + 70b20: 5f740000 002d8e16 0400002f 6c144144 _t...-...../l.AD + 70b30: 465f4f53 5f444d41 5f544f5f 44455649 F_OS_DMA_TO_DEVI + 70b40: 43450000 14414446 5f4f535f 444d415f CE...ADF_OS_DMA_ + 70b50: 46524f4d 5f444556 49434500 01000361 FROM_DEVICE....a + 70b60: 64665f6f 735f646d 615f6469 725f7400 df_os_dma_dir_t. + 70b70: 00002f35 0e00002f 07010d01 03616466 ../5.../.....adf + 70b80: 5f6f735f 646d616d 61705f69 6e666f5f _os_dmamap_info_ + 70b90: 74000000 1f440a00 002f8a04 000d010d t....D.../...... + 70ba0: 010e0000 2f25010e 00002d8e 010d010d ..../%....-..... + 70bb0: 010e0000 2f25010e 00002d8e 010e0000 ..../%....-..... + 70bc0: 2f25010e 00002d8e 010e0000 2f25010d /%....-...../%.. + 70bd0: 010d010e 00001f27 010e0000 1f9d010d .......'........ + 70be0: 010d010e 00002433 010e0000 2380010e ......$3....#... + 70bf0: 00002380 01036164 665f6f73 5f73676c ..#...adf_os_sgl + 70c00: 6973745f 74000000 1fdc0a00 00300304 ist_t........0.. + 70c10: 000d010d 010d010e 00001f9d 01036164 ..............ad + 70c20: 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. + 70c30: 002de70a 0000302b 04000d01 0a00002d .-....0+.......- + 70c40: cf04000d 010d010d 010e0000 2f25010e ............/%.. + 70c50: 00002d8e 010e0000 1f27010e 00001f27 ..-......'.....' + 70c60: 010e0000 2380010e 00002380 010e0000 ....#.....#..... + 70c70: 288f010e 00001f27 01036164 665f6e62 (......'..adf_nb + 70c80: 75665f72 785f636b 73756d5f 74000000 uf_rx_cksum_t... + 70c90: 2cfd0a00 00308704 000d010d 01036164 ,....0........ad + 70ca0: 665f6e62 75665f74 736f5f74 0000002d f_nbuf_tso_t...- + 70cb0: 1f0a0000 30ab0400 0d010d01 03616466 ....0........adf + 70cc0: 5f6e6574 5f68616e 646c655f 74000000 _net_handle_t... + 70cd0: 02d60361 64665f6e 65745f76 6c616e68 ...adf_net_vlanh + 70ce0: 64725f74 00000026 9b0a0000 30e00400 dr_t...&....0... + 70cf0: 0e00002f 07010e00 002f0701 0d010d01 .../...../...... + 70d00: 095f4849 465f434f 4e464947 00040000 ._HIF_CONFIG.... + 70d10: 312f0764 756d6d79 00000001 61022300 1/.dummy....a.#. + 70d20: 000d010a 0000312f 04000d01 0a000031 ......1/.......1 + 70d30: 38040009 5f484946 5f43414c 4c424143 8..._HIF_CALLBAC + 70d40: 4b000c00 00318d07 73656e64 5f627566 K....1..send_buf + 70d50: 5f646f6e 65000000 31310223 00077265 _done...11.#..re + 70d60: 63765f62 75660000 00313a02 23040763 cv_buf...1:.#..c + 70d70: 6f6e7465 78740000 0002d602 23080003 ontext......#... + 70d80: 6869665f 68616e64 6c655f74 00000002 hif_handle_t.... + 70d90: d6034849 465f434f 4e464947 00000031 ..HIF_CONFIG...1 + 70da0: 0e0a0000 319f0400 0e000031 8d010a00 ....1......1.... + 70db0: 0031b604 000d010a 000031c3 04000348 .1........1....H + 70dc0: 49465f43 414c4c42 41434b00 00003141 IF_CALLBACK...1A + 70dd0: 0a000031 cc04000d 010a0000 31e50400 ...1........1... + 70de0: 0e000001 61010a00 0031ee04 000d010a ....a....1...... + 70df0: 000031fb 04000e00 00016101 0a000032 ..1.......a....2 + 70e00: 0404000d 010a0000 32110400 0e000001 ........2....... + 70e10: 61010a00 00321a04 000d010a 00003227 a....2........2' + 70e20: 04000968 69665f61 70690038 00003380 ...hif_api.8..3. + 70e30: 075f696e 69740000 0031bc02 2300075f ._init...1..#.._ + 70e40: 73687574 646f776e 00000031 c5022304 shutdown...1..#. + 70e50: 075f7265 67697374 65725f63 616c6c62 ._register_callb + 70e60: 61636b00 000031e7 02230807 5f676574 ack...1..#.._get + 70e70: 5f746f74 616c5f63 72656469 745f636f _total_credit_co + 70e80: 756e7400 000031f4 02230c07 5f737461 unt...1..#.._sta + 70e90: 72740000 0031c502 2310075f 636f6e66 rt...1..#.._conf + 70ea0: 69675f70 69706500 000031fd 02231407 ig_pipe...1..#.. + 70eb0: 5f73656e 645f6275 66666572 00000032 _send_buffer...2 + 70ec0: 0a022318 075f7265 7475726e 5f726563 ..#.._return_rec + 70ed0: 765f6275 66000000 32130223 1c075f69 v_buf...2..#.._i + 70ee0: 735f7069 70655f73 7570706f 72746564 s_pipe_supported + 70ef0: 00000032 20022320 075f6765 745f6d61 ...2 .# ._get_ma + 70f00: 785f6d73 675f6c65 6e000000 32200223 x_msg_len...2 .# + 70f10: 24075f67 65745f72 65736572 7665645f $._get_reserved_ + 70f20: 68656164 726f6f6d 00000031 f4022328 headroom...1..#( + 70f30: 075f6973 725f6861 6e646c65 72000000 ._isr_handler... + 70f40: 31c50223 2c075f67 65745f64 65666175 1..#,._get_defau + 70f50: 6c745f70 69706500 00003229 02233007 lt_pipe...2).#0. + 70f60: 70526573 65727665 64000000 02d60223 pReserved......# + 70f70: 34001364 6d615f65 6e67696e 65000400 4..dma_engine... + 70f80: 00340914 444d415f 454e4749 4e455f52 .4..DMA_ENGINE_R + 70f90: 58300000 14444d41 5f454e47 494e455f X0...DMA_ENGINE_ + 70fa0: 52583100 0114444d 415f454e 47494e45 RX1...DMA_ENGINE + 70fb0: 5f525832 00021444 4d415f45 4e47494e _RX2...DMA_ENGIN + 70fc0: 455f5258 33000314 444d415f 454e4749 E_RX3...DMA_ENGI + 70fd0: 4e455f54 58300004 14444d41 5f454e47 NE_TX0...DMA_ENG + 70fe0: 494e455f 54583100 0514444d 415f454e INE_TX1...DMA_EN + 70ff0: 47494e45 5f4d4158 00060003 646d615f GINE_MAX....dma_ + 71000: 656e6769 6e655f74 00000033 8013646d engine_t...3..dm + 71010: 615f6966 74797065 00040000 34561444 a_iftype....4V.D + 71020: 4d415f49 465f474d 41430000 14444d41 MA_IF_GMAC...DMA + 71030: 5f49465f 50434900 0114444d 415f4946 _IF_PCI...DMA_IF + 71040: 5f504349 45000200 03646d61 5f696674 _PCIE....dma_ift + 71050: 7970655f 74000000 341b0e00 001bfc01 ype_t...4....... + 71060: 0a000034 6804000d 010a0000 34750400 ...4h.......4u.. + 71070: 0d010a00 00347e04 000e0000 1237010a .....4~......7.. + 71080: 00003487 04000e00 001bfc01 0a000034 ..4............4 + 71090: 9404000e 00001bfc 010a0000 34a10400 ............4... + 710a0: 0e00001d 47010a00 0034ae04 000d010a ....G....4...... + 710b0: 000034bb 04000964 6d615f6c 69625f61 ..4....dma_lib_a + 710c0: 70690034 000035c2 0774785f 696e6974 pi.4..5..tx_init + 710d0: 00000034 6e022300 0774785f 73746172 ...4n.#..tx_star + 710e0: 74000000 34770223 04077278 5f696e69 t...4w.#..rx_ini + 710f0: 74000000 346e0223 08077278 5f636f6e t...4n.#..rx_con + 71100: 66696700 00003480 02230c07 72785f73 fig...4..#..rx_s + 71110: 74617274 00000034 77022310 07696e74 tart...4w.#..int + 71120: 725f7374 61747573 00000034 8d022314 r_status...4..#. + 71130: 07686172 645f786d 69740000 00349a02 .hard_xmit...4.. + 71140: 23180766 6c757368 5f786d69 74000000 #..flush_xmit... + 71150: 34770223 1c07786d 69745f64 6f6e6500 4w.#..xmit_done. + 71160: 000034a7 02232007 72656170 5f786d69 ..4..# .reap_xmi + 71170: 74746564 00000034 b4022324 07726561 tted...4..#$.rea + 71180: 705f7265 63760000 0034b402 23280772 p_recv...4..#(.r + 71190: 65747572 6e5f7265 63760000 0034bd02 eturn_recv...4.. + 711a0: 232c0772 6563765f 706b7400 000034a7 #,.recv_pkt...4. + 711b0: 02233000 095f5f70 63695f73 6f667463 .#0..__pci_softc + 711c0: 000c0000 35e00773 77000000 31cc0223 ....5..sw...1..# + 711d0: 0000035f 5f706369 5f736f66 74635f74 ...__pci_softc_t + 711e0: 00000035 c20a0000 35e00400 0d010a00 ...5....5....... + 711f0: 0035fa04 000e0000 1be8010a 00003603 .5............6. + 71200: 04001368 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 71210: 74780004 00003663 14484946 5f504349 tx....6c.HIF_PCI + 71220: 5f504950 455f5458 30000014 4849465f _PIPE_TX0...HIF_ + 71230: 5043495f 50495045 5f545831 00011448 PCI_PIPE_TX1...H + 71240: 49465f50 43495f50 4950455f 54585f4d IF_PCI_PIPE_TX_M + 71250: 41580002 00036869 665f7063 695f7069 AX....hif_pci_pi + 71260: 70655f74 785f7400 00003610 0e000034 pe_tx_t...6....4 + 71270: 09010a00 00367a04 00136869 665f7063 .....6z...hif_pc + 71280: 695f7069 70655f72 78000400 00370014 i_pipe_rx....7.. + 71290: 4849465f 5043495f 50495045 5f525830 HIF_PCI_PIPE_RX0 + 712a0: 00001448 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 712b0: 52583100 01144849 465f5043 495f5049 RX1...HIF_PCI_PI + 712c0: 50455f52 58320002 14484946 5f504349 PE_RX2...HIF_PCI + 712d0: 5f504950 455f5258 33000314 4849465f _PIPE_RX3...HIF_ + 712e0: 5043495f 50495045 5f52585f 4d415800 PCI_PIPE_RX_MAX. + 712f0: 04000368 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 71300: 72785f74 00000036 870e0000 3409010a rx_t...6....4... + 71310: 00003717 04000968 69665f70 63695f61 ..7....hif_pci_a + 71320: 70690024 000037f5 07706369 5f626f6f pi.$..7..pci_boo + 71330: 745f696e 69740000 00039c02 23000770 t_init......#..p + 71340: 63695f69 6e697400 000031bc 02230407 ci_init...1..#.. + 71350: 7063695f 72657365 74000000 039c0223 pci_reset......# + 71360: 08077063 695f656e 61626c65 00000003 ..pci_enable.... + 71370: 9c02230c 07706369 5f726561 705f786d ..#..pci_reap_xm + 71380: 69747465 64000000 35fc0223 10077063 itted...5..#..pc + 71390: 695f7265 61705f72 65637600 000035fc i_reap_recv...5. + 713a0: 02231407 7063695f 6765745f 70697065 .#..pci_get_pipe + 713b0: 00000036 09022318 07706369 5f676574 ...6..#..pci_get + 713c0: 5f74785f 656e6700 00003680 02231c07 _tx_eng...6..#.. + 713d0: 7063695f 6765745f 72785f65 6e670000 pci_get_rx_eng.. + 713e0: 00371d02 23200009 676d6163 5f617069 .7..# ..gmac_api + 713f0: 00040000 381c0767 6d61635f 626f6f74 ....8..gmac_boot + 71400: 5f696e69 74000000 039c0223 00000400 _init......#.... + 71410: 00011f06 00003829 05050009 5f5f6574 ......8)....__et + 71420: 68686472 000e0000 385f0764 73740000 hhdr....8_.dst.. + 71430: 00381c02 23000773 72630000 00381c02 .8..#..src...8.. + 71440: 23060765 74797065 0000001b fc02230c #..etype......#. + 71450: 00095f5f 61746868 64720004 000038ad ..__athhdr....8. + 71460: 17726573 0000001b e8010002 02230017 .res.........#.. + 71470: 70726f74 6f000000 1be80102 06022300 proto.........#. + 71480: 07726573 5f6c6f00 00001be8 02230107 .res_lo......#.. + 71490: 7265735f 68690000 001bfc02 23020009 res_hi......#... + 714a0: 5f5f676d 61635f68 64720014 000038e9 __gmac_hdr....8. + 714b0: 07657468 00000038 29022300 07617468 .eth...8).#..ath + 714c0: 00000038 5f02230e 07616c69 676e5f70 ...8_.#..align_p + 714d0: 61640000 001bfc02 23120003 5f5f676d ad......#...__gm + 714e0: 61635f68 64725f74 00000038 ad095f5f ac_hdr_t...8..__ + 714f0: 676d6163 5f736f66 74630024 00003933 gmac_softc.$..93 + 71500: 07686472 00000038 e9022300 07677261 .hdr...8..#..gra + 71510: 6e000000 1bfc0223 14077377 00000031 n......#..sw...1 + 71520: cc022318 00125f41 5f6f735f 6c696e6b ..#..._A_os_link + 71530: 6167655f 63686563 6b000001 0a000039 age_check......9 + 71540: 3304000e 00000161 010a0000 39510400 3......a....9Q.. + 71550: 0a000001 0304000c 5f415f63 6d6e6f73 ........_A_cmnos + 71560: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 71570: 6c650001 b800003a a1076861 6c5f6c69 le.....:..hal_li + 71580: 6e6b6167 655f6368 65636b00 00003957 nkage_check...9W + 71590: 02230007 73746172 745f6273 73000000 .#..start_bss... + 715a0: 395e0223 04076170 705f7374 61727400 9^.#..app_start. + 715b0: 0000039c 02230807 6d656d00 00000d63 .....#..mem....c + 715c0: 02230c07 6d697363 0000000e 82022320 .#..misc......# + 715d0: 07707269 6e746600 00000aae 02234407 .printf......#D. + 715e0: 75617274 0000000b 4c02234c 07676d61 uart....L.#L.gma + 715f0: 63000000 37f50223 6c077573 62000000 c...7..#l.usb... + 71600: 18a80223 7007636c 6f636b00 000013f1 ...#p.clock..... + 71610: 0323e001 0774696d 65720000 00109a03 .#...timer...... + 71620: 23840207 696e7472 00000015 41032398 #...intr....A.#. + 71630: 0207616c 6c6f6372 616d0000 00125203 ..allocram....R. + 71640: 23c40207 726f6d70 00000011 440323d0 #...romp....D.#. + 71650: 02077764 745f7469 6d657200 0000171e ..wdt_timer..... + 71660: 0323e002 07656570 00000018 4c0323fc .#...eep....L.#. + 71670: 02077374 72696e67 0000000f a603238c ..string......#. + 71680: 03077461 736b6c65 74000000 134f0323 ..tasklet....O.# + 71690: a4030009 5f555342 5f464946 4f5f434f ...._USB_FIFO_CO + 716a0: 4e464947 00100000 3b140767 65745f63 NFIG....;..get_c + 716b0: 6f6d6d61 6e645f62 75660000 001d5402 ommand_buf....T. + 716c0: 23000772 6563765f 636f6d6d 616e6400 #..recv_command. + 716d0: 00001d6a 02230407 6765745f 6576656e ...j.#..get_even + 716e0: 745f6275 66000000 1d540223 08077365 t_buf....T.#..se + 716f0: 6e645f65 76656e74 5f646f6e 65000000 nd_event_done... + 71700: 1d6a0223 0c000355 53425f46 49464f5f .j.#...USB_FIFO_ + 71710: 434f4e46 49470000 003aa10a 00003b14 CONFIG...:....;. + 71720: 04000d01 0a00003b 30040009 75736266 .......;0...usbf + 71730: 69666f5f 61706900 0c00003b 86075f69 ifo_api....;.._i + 71740: 6e697400 00003b32 02230007 5f656e61 nit...;2.#.._ena + 71750: 626c655f 6576656e 745f6973 72000000 ble_event_isr... + 71760: 039c0223 04077052 65736572 76656400 ...#..pReserved. + 71770: 000002d6 02230800 0400001f 8e020000 .....#.......... + 71780: 3b930501 00095f48 54435f46 52414d45 ;....._HTC_FRAME + 71790: 5f484452 00080000 3c050745 6e64706f _HDR....<..Endpo + 717a0: 696e7449 44000000 1f8e0223 0007466c intID......#..Fl + 717b0: 61677300 00001f8e 02230107 5061796c ags......#..Payl + 717c0: 6f61644c 656e0000 00258802 23020743 oadLen...%..#..C + 717d0: 6f6e7472 6f6c4279 74657300 00003b86 ontrolBytes...;. + 717e0: 02230407 486f7374 5365714e 756d0000 .#..HostSeqNum.. + 717f0: 00258802 23060008 0200003c 1e074d65 .%..#......<..Me + 71800: 73736167 65494400 00002588 02230000 ssageID...%..#.. + 71810: 08080000 3c81074d 65737361 67654944 ....<..MessageID + 71820: 00000025 88022300 07437265 64697443 ...%..#..CreditC + 71830: 6f756e74 00000025 88022302 07437265 ount...%..#..Cre + 71840: 64697453 697a6500 00002588 02230407 ditSize...%..#.. + 71850: 4d617845 6e64706f 696e7473 0000001f MaxEndpoints.... + 71860: 8e022306 075f5061 64310000 001f8e02 ..#.._Pad1...... + 71870: 23070008 0a00003d 18074d65 73736167 #......=..Messag + 71880: 65494400 00002588 02230007 53657276 eID...%..#..Serv + 71890: 69636549 44000000 25880223 0207436f iceID...%..#..Co + 718a0: 6e6e6563 74696f6e 466c6167 73000000 nnectionFlags... + 718b0: 25880223 0407446f 776e4c69 6e6b5069 %..#..DownLinkPi + 718c0: 70654944 0000001f 8e022306 0755704c peID......#..UpL + 718d0: 696e6b50 69706549 44000000 1f8e0223 inkPipeID......# + 718e0: 07075365 72766963 654d6574 614c656e ..ServiceMetaLen + 718f0: 67746800 00001f8e 02230807 5f506164 gth......#.._Pad + 71900: 31000000 1f8e0223 0900080a 00003da0 1......#......=. + 71910: 074d6573 73616765 49440000 00258802 .MessageID...%.. + 71920: 23000753 65727669 63654944 00000025 #..ServiceID...% + 71930: 88022302 07537461 74757300 00001f8e ..#..Status..... + 71940: 02230407 456e6470 6f696e74 49440000 .#..EndpointID.. + 71950: 001f8e02 2305074d 61784d73 6753697a ....#..MaxMsgSiz + 71960: 65000000 25880223 06075365 72766963 e...%..#..Servic + 71970: 654d6574 614c656e 67746800 00001f8e eMetaLength..... + 71980: 02230807 5f506164 31000000 1f8e0223 .#.._Pad1......# + 71990: 09000802 00003db9 074d6573 73616765 ......=..Message + 719a0: 49440000 00258802 23000008 0400003d ID...%..#......= + 719b0: f5074d65 73736167 65494400 00002588 ..MessageID...%. + 719c0: 02230007 50697065 49440000 001f8e02 .#..PipeID...... + 719d0: 23020743 72656469 74436f75 6e740000 #..CreditCount.. + 719e0: 001f8e02 23030008 0400003e 2c074d65 ....#......>,.Me + 719f0: 73736167 65494400 00002588 02230007 ssageID...%..#.. + 71a00: 50697065 49440000 001f8e02 23020753 PipeID......#..S + 71a10: 74617475 73000000 1f8e0223 03000802 tatus......#.... + 71a20: 00003e53 07526563 6f726449 44000000 ..>S.RecordID... + 71a30: 1f8e0223 00074c65 6e677468 0000001f ...#..Length.... + 71a40: 8e022301 00080200 003e7d07 456e6470 ..#......>}.Endp + 71a50: 6f696e74 49440000 001f8e02 23000743 ointID......#..C + 71a60: 72656469 74730000 001f8e02 23010008 redits......#... + 71a70: 0400003e be07456e 64706f69 6e744944 ...>..EndpointID + 71a80: 0000001f 8e022300 07437265 64697473 ......#..Credits + 71a90: 0000001f 8e022301 07546774 43726564 ......#..TgtCred + 71aa0: 69745365 714e6f00 00002588 02230200 itSeqNo...%..#.. + 71ab0: 0400001f 8e040000 3ecb0503 00080600 ........>....... + 71ac0: 003f0707 50726556 616c6964 0000001f .?..PreValid.... + 71ad0: 8e022300 074c6f6f 6b416865 61640000 ..#..LookAhead.. + 71ae0: 003ebe02 23010750 6f737456 616c6964 .>..#..PostValid + 71af0: 0000001f 8e022305 0003706f 6f6c5f68 ......#...pool_h + 71b00: 616e646c 655f7400 000002d6 0e00003f andle_t........? + 71b10: 07010a00 003f1a04 000d010a 00003f27 .....?........?' + 71b20: 04001604 00003fa5 14504f4f 4c5f4944 ......?..POOL_ID + 71b30: 5f485443 5f434f4e 54524f4c 00001450 _HTC_CONTROL...P + 71b40: 4f4f4c5f 49445f57 4d495f53 56435f43 OOL_ID_WMI_SVC_C + 71b50: 4d445f52 45504c59 00011450 4f4f4c5f MD_REPLY...POOL_ + 71b60: 49445f57 4d495f53 56435f45 56454e54 ID_WMI_SVC_EVENT + 71b70: 00021450 4f4f4c5f 49445f57 4c414e5f ...POOL_ID_WLAN_ + 71b80: 52585f42 55460003 14504f4f 4c5f4944 RX_BUF...POOL_ID + 71b90: 5f4d4158 000a0003 4255465f 504f4f4c _MAX....BUF_POOL + 71ba0: 5f494400 00003f30 0d010a00 003fb604 _ID...?0.....?.. + 71bb0: 000e0000 2f25010a 00003fbf 04000e00 ..../%....?..... + 71bc0: 002f2501 0a00003f cc04000d 010a0000 ./%....?........ + 71bd0: 3fd90400 09627566 5f706f6f 6c5f6170 ?....buf_pool_ap + 71be0: 69001c00 00407b07 5f696e69 74000000 i....@{._init... + 71bf0: 3f200223 00075f73 68757464 6f776e00 ? .#.._shutdown. + 71c00: 00003f29 02230407 5f637265 6174655f ..?).#.._create_ + 71c10: 706f6f6c 0000003f b8022308 075f616c pool...?..#.._al + 71c20: 6c6f635f 62756600 00003fc5 02230c07 loc_buf...?..#.. + 71c30: 5f616c6c 6f635f62 75665f61 6c69676e _alloc_buf_align + 71c40: 0000003f d2022310 075f6672 65655f62 ...?..#.._free_b + 71c50: 75660000 003fdb02 23140770 52657365 uf...?..#..pRese + 71c60: 72766564 00000002 d6022318 00095f48 rved......#..._H + 71c70: 54435f53 45525649 4345001c 0000415a TC_SERVICE....AZ + 71c80: 07704e65 78740000 00415a02 23000750 .pNext...AZ.#..P + 71c90: 726f6365 73735265 63764d73 67000000 rocessRecvMsg... + 71ca0: 420f0223 04075072 6f636573 7353656e B..#..ProcessSen + 71cb0: 64427566 66657243 6f6d706c 65746500 dBufferComplete. + 71cc0: 00004218 02230807 50726f63 65737343 ..B..#..ProcessC + 71cd0: 6f6e6e65 63740000 00422c02 230c0753 onnect...B,.#..S + 71ce0: 65727669 63654944 0000001b fc022310 erviceID......#. + 71cf0: 07536572 76696365 466c6167 73000000 .ServiceFlags... + 71d00: 1bfc0223 12074d61 78537663 4d736753 ...#..MaxSvcMsgS + 71d10: 697a6500 00001bfc 02231407 54726169 ize......#..Trai + 71d20: 6c657253 70634368 65636b4c 696d6974 lerSpcCheckLimit + 71d30: 0000001b fc022316 07536572 76696365 ......#..Service + 71d40: 43747800 000002d6 02231800 0a000040 Ctx......#.....@ + 71d50: 7b040016 04000041 f819454e 44504f49 {......A..ENDPOI + 71d60: 4e545f55 4e555345 4400ffff ffff1445 NT_UNUSED......E + 71d70: 4e44504f 494e5430 00001445 4e44504f NDPOINT0...ENDPO + 71d80: 494e5431 00011445 4e44504f 494e5432 INT1...ENDPOINT2 + 71d90: 00021445 4e44504f 494e5433 00031445 ...ENDPOINT3...E + 71da0: 4e44504f 494e5434 00041445 4e44504f NDPOINT4...ENDPO + 71db0: 494e5435 00051445 4e44504f 494e5436 INT5...ENDPOINT6 + 71dc0: 00061445 4e44504f 494e5437 00071445 ...ENDPOINT7...E + 71dd0: 4e44504f 494e5438 00081445 4e44504f NDPOINT8...ENDPO + 71de0: 494e545f 4d415800 16000348 54435f45 INT_MAX....HTC_E + 71df0: 4e44504f 494e545f 49440000 0041610d NDPOINT_ID...Aa. + 71e00: 010a0000 420d0400 0d010a00 00421604 ....B........B.. + 71e10: 000a0000 01610400 0e00001b e8010a00 .....a.......... + 71e20: 00422604 000a0000 407b0400 095f4854 .B&.....@{..._HT + 71e30: 435f434f 4e464947 00140000 42ab0743 C_CONFIG....B..C + 71e40: 72656469 7453697a 65000000 01610223 reditSize....a.# + 71e50: 00074372 65646974 4e756d62 65720000 ..CreditNumber.. + 71e60: 00016102 2304074f 5348616e 646c6500 ..a.#..OSHandle. + 71e70: 00002331 02230807 48494648 616e646c ..#1.#..HIFHandl + 71e80: 65000000 318d0223 0c07506f 6f6c4861 e...1..#..PoolHa + 71e90: 6e646c65 0000003f 07022310 00095f48 ndle...?..#..._H + 71ea0: 54435f42 55465f43 4f4e5445 58540002 TC_BUF_CONTEXT.. + 71eb0: 000042e7 07656e64 5f706f69 6e740000 ..B..end_point.. + 71ec0: 001be802 23000768 74635f66 6c616773 ....#..htc_flags + 71ed0: 0000001b e8022301 00036874 635f6861 ......#...htc_ha + 71ee0: 6e646c65 5f740000 0002d603 4854435f ndle_t......HTC_ + 71ef0: 53455455 505f434f 4d504c45 54455f43 SETUP_COMPLETE_C + 71f00: 42000000 039c0348 54435f43 4f4e4649 B......HTC_CONFI + 71f10: 47000000 423a0a00 00431404 000e0000 G...B:...C...... + 71f20: 42e7010a 0000432b 04000d01 0a000043 B.....C+.......C + 71f30: 38040003 4854435f 53455256 49434500 8...HTC_SERVICE. + 71f40: 0000407b 0a000043 4104000d 010a0000 ..@{...CA....... + 71f50: 43590400 0d010a00 00436204 000d010a CY.......Cb..... + 71f60: 0000436b 04000e00 00016101 0a000043 ..Ck......a....C + 71f70: 74040009 6874635f 61706973 00340000 t...htc_apis.4.. + 71f80: 44f1075f 4854435f 496e6974 00000043 D.._HTC_Init...C + 71f90: 31022300 075f4854 435f5368 7574646f 1.#.._HTC_Shutdo + 71fa0: 776e0000 00433a02 2304075f 4854435f wn...C:.#.._HTC_ + 71fb0: 52656769 73746572 53657276 69636500 RegisterService. + 71fc0: 0000435b 02230807 5f485443 5f526561 ..C[.#.._HTC_Rea + 71fd0: 64790000 00433a02 230c075f 4854435f dy...C:.#.._HTC_ + 71fe0: 52657475 726e4275 66666572 73000000 ReturnBuffers... + 71ff0: 43640223 10075f48 54435f52 65747572 Cd.#.._HTC_Retur + 72000: 6e427566 66657273 4c697374 00000043 nBuffersList...C + 72010: 6d022314 075f4854 435f5365 6e644d73 m.#.._HTC_SendMs + 72020: 67000000 43640223 18075f48 54435f47 g...Cd.#.._HTC_G + 72030: 65745265 73657276 65644865 6164726f etReservedHeadro + 72040: 6f6d0000 00437a02 231c075f 4854435f om...Cz.#.._HTC_ + 72050: 4d736752 65637648 616e646c 65720000 MsgRecvHandler.. + 72060: 00313a02 2320075f 4854435f 53656e64 .1:.# ._HTC_Send + 72070: 446f6e65 48616e64 6c657200 00003131 DoneHandler...11 + 72080: 02232407 5f485443 5f436f6e 74726f6c .#$._HTC_Control + 72090: 53766350 726f6365 73734d73 67000000 SvcProcessMsg... + 720a0: 420f0223 28075f48 54435f43 6f6e7472 B..#(._HTC_Contr + 720b0: 6f6c5376 6350726f 63657373 53656e64 olSvcProcessSend + 720c0: 436f6d70 6c657465 00000042 1802232c Complete...B..#, + 720d0: 07705265 73657276 65640000 0002d602 .pReserved...... + 720e0: 23300009 686f7374 5f617070 5f617265 #0..host_app_are + 720f0: 615f7300 04000045 2107776d 695f7072 a_s....E!.wmi_pr + 72100: 6f746f63 6f6c5f76 65720000 001f2702 otocol_ver....'. + 72110: 23000008 0e000045 58076473 744d6163 #......EX.dstMac + 72120: 00000025 45022300 07737263 4d616300 ...%E.#..srcMac. + 72130: 00002545 02230607 74797065 4f724c65 ..%E.#..typeOrLe + 72140: 6e000000 25880223 0c000400 001f8e03 n...%..#........ + 72150: 00004565 05020008 08000045 b5076473 ..Ee.......E..ds + 72160: 61700000 001f8e02 23000773 73617000 ap......#..ssap. + 72170: 00001f8e 02230107 636e746c 0000001f .....#..cntl.... + 72180: 8e022302 076f7267 436f6465 00000045 ..#..orgCode...E + 72190: 58022303 07657468 65725479 70650000 X.#..etherType.. + 721a0: 00258802 23060008 02000045 d6077273 .%..#......E..rs + 721b0: 73690000 0024de02 23000769 6e666f00 si...$..#..info. + 721c0: 00001f8e 02230100 08040000 45fd0763 .....#......E..c + 721d0: 6f6d6d61 6e644964 00000025 88022300 ommandId...%..#. + 721e0: 07736571 4e6f0000 00258802 23020004 .seqNo...%..#... + 721f0: 00001f8e 01000046 0a050000 08020000 .......F........ + 72200: 4631076d 73675369 7a650000 001f8e02 F1.msgSize...... + 72210: 2300076d 73674461 74610000 0045fd02 #..msgData...E.. + 72220: 23010008 08000046 78076164 64726573 #......Fx.addres + 72230: 734c0000 00258802 23000761 64647265 sL...%..#..addre + 72240: 73734800 00002588 02230207 76616c75 ssH...%..#..valu + 72250: 654c0000 00258802 23040776 616c7565 eL...%..#..value + 72260: 48000000 25880223 06000357 4d495f41 H...%..#...WMI_A + 72270: 56540000 00463104 00004678 08000046 VT...F1...Fx...F + 72280: 92050000 080c0000 46c90774 75706c65 ........F..tuple + 72290: 4e756d4c 00000025 88022300 07747570 NumL...%..#..tup + 722a0: 6c654e75 6d480000 00258802 23020761 leNumH...%..#..a + 722b0: 76740000 00468502 23040008 01000046 vt...F..#......F + 722c0: eb076265 61636f6e 50656e64 696e6743 ..beaconPendingC + 722d0: 6f756e74 0000001f 8e022300 00095f57 ount......#..._W + 722e0: 4d495f53 56435f43 4f4e4649 47001000 MI_SVC_CONFIG... + 722f0: 00475407 48746348 616e646c 65000000 .GT.HtcHandle... + 72300: 42e70223 0007506f 6f6c4861 6e646c65 B..#..PoolHandle + 72310: 0000003f 07022304 074d6178 436d6452 ...?..#..MaxCmdR + 72320: 65706c79 45767473 00000001 61022308 eplyEvts....a.#. + 72330: 074d6178 4576656e 74457674 73000000 .MaxEventEvts... + 72340: 01610223 0c000d01 0a000047 54040003 .a.#.......GT... + 72350: 574d495f 434d445f 48414e44 4c455200 WMI_CMD_HANDLER. + 72360: 00004756 095f574d 495f4449 53504154 ..GV._WMI_DISPAT + 72370: 43485f45 4e545259 00080000 47bd0770 CH_ENTRY....G..p + 72380: 436d6448 616e646c 65720000 00475d02 CmdHandler...G]. + 72390: 23000743 6d644944 0000001b fc022304 #..CmdID......#. + 723a0: 07466c61 67730000 001bfc02 23060009 .Flags......#... + 723b0: 5f574d49 5f444953 50415443 485f5441 _WMI_DISPATCH_TA + 723c0: 424c4500 10000048 1e07704e 65787400 BLE....H..pNext. + 723d0: 0000481e 02230007 70436f6e 74657874 ..H..#..pContext + 723e0: 00000002 d6022304 074e756d 6265724f ......#..NumberO + 723f0: 66456e74 72696573 00000001 61022308 fEntries....a.#. + 72400: 07705461 626c6500 0000483d 02230c00 .pTable...H=.#.. + 72410: 0a000047 bd040003 574d495f 44495350 ...G....WMI_DISP + 72420: 41544348 5f454e54 52590000 0047720a ATCH_ENTRY...Gr. + 72430: 00004825 04000a00 0047bd04 00034854 ..H%.....G....HT + 72440: 435f4255 465f434f 4e544558 54000000 C_BUF_CONTEXT... + 72450: 42ab1357 4d495f45 56545f43 4c415353 B..WMI_EVT_CLASS + 72460: 00040000 48d51957 4d495f45 56545f43 ....H..WMI_EVT_C + 72470: 4c415353 5f4e4f4e 4500ffff ffff1457 LASS_NONE......W + 72480: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD + 72490: 5f455645 4e540000 14574d49 5f455654 _EVENT...WMI_EVT + 724a0: 5f434c41 53535f43 4d445f52 45504c59 _CLASS_CMD_REPLY + 724b0: 00011457 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS + 724c0: 5f4d4158 00020003 574d495f 4556545f _MAX....WMI_EVT_ + 724d0: 434c4153 53000000 4860095f 574d495f CLASS...H`._WMI_ + 724e0: 4255465f 434f4e54 45585400 0c000049 BUF_CONTEXT....I + 724f0: 33074874 63427566 43747800 0000484b 3.HtcBufCtx...HK + 72500: 02230007 4576656e 74436c61 73730000 .#..EventClass.. + 72510: 0048d502 23040746 6c616773 0000001b .H..#..Flags.... + 72520: fc022308 0003776d 695f6861 6e646c65 ..#...wmi_handle + 72530: 5f740000 0002d603 574d495f 5356435f _t......WMI_SVC_ + 72540: 434f4e46 49470000 0046eb0a 00004945 CONFIG...F....IE + 72550: 04000e00 00493301 0a000049 60040003 .....I3....I`... + 72560: 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB + 72570: 4c450000 0047bd0a 0000496d 04000d01 LE...G....Im.... + 72580: 0a000049 8c04000e 00002f25 010a0000 ...I....../%.... + 72590: 49950400 0d010a00 0049a204 000e0000 I........I...... + 725a0: 0161010a 000049ab 04000d01 0a000049 .a....I........I + 725b0: b804000e 00001be8 010a0000 49c10400 ............I... + 725c0: 095f776d 695f7376 635f6170 6973002c ._wmi_svc_apis., + 725d0: 00004b09 075f574d 495f496e 69740000 ..K.._WMI_Init.. + 725e0: 00496602 2300075f 574d495f 52656769 .If.#.._WMI_Regi + 725f0: 73746572 44697370 61746368 5461626c sterDispatchTabl + 72600: 65000000 498e0223 04075f57 4d495f41 e...I..#.._WMI_A + 72610: 6c6c6f63 4576656e 74000000 499b0223 llocEvent...I..# + 72620: 08075f57 4d495f53 656e6445 76656e74 .._WMI_SendEvent + 72630: 00000049 a402230c 075f574d 495f4765 ...I..#.._WMI_Ge + 72640: 7450656e 64696e67 4576656e 7473436f tPendingEventsCo + 72650: 756e7400 000049b1 02231007 5f574d49 unt...I..#.._WMI + 72660: 5f53656e 64436f6d 706c6574 6548616e _SendCompleteHan + 72670: 646c6572 00000042 18022314 075f574d dler...B..#.._WM + 72680: 495f4765 74436f6e 74726f6c 45700000 I_GetControlEp.. + 72690: 0049b102 2318075f 574d495f 53687574 .I..#.._WMI_Shut + 726a0: 646f776e 00000049 ba02231c 075f574d down...I..#.._WM + 726b0: 495f5265 63764d65 73736167 6548616e I_RecvMessageHan + 726c0: 646c6572 00000042 0f022320 075f574d dler...B..# ._WM + 726d0: 495f5365 72766963 65436f6e 6e656374 I_ServiceConnect + 726e0: 00000049 c7022324 07705265 73657276 ...I..#$.pReserv + 726f0: 65640000 0002d602 23280009 7a73446d ed......#(..zsDm + 72700: 61446573 63001400 004b8b07 6374726c aDesc....K..ctrl + 72710: 00000007 c5022300 07737461 74757300 ......#..status. + 72720: 000007c5 02230207 746f7461 6c4c656e .....#..totalLen + 72730: 00000007 c5022304 07646174 6153697a ......#..dataSiz + 72740: 65000000 07c50223 06076c61 73744164 e......#..lastAd + 72750: 64720000 004b8b02 23080764 61746141 dr...K..#..dataA + 72760: 64647200 000001f4 02230c07 6e657874 ddr......#..next + 72770: 41646472 0000004b 8b022310 000a0000 Addr...K..#..... + 72780: 4b090400 0a00004b 09040009 7a73446d K......K....zsDm + 72790: 61517565 75650008 00004bcb 07686561 aQueue....K..hea + 727a0: 64000000 4b920223 00077465 726d696e d...K..#..termin + 727b0: 61746f72 0000004b 92022304 00097a73 ator...K..#...zs + 727c0: 5478446d 61517565 75650010 00004c2f TxDmaQueue....L/ + 727d0: 07686561 64000000 4b920223 00077465 .head...K..#..te + 727e0: 726d696e 61746f72 0000004b 92022304 rminator...K..#. + 727f0: 07786d69 7465645f 6275665f 68656164 .xmited_buf_head + 72800: 0000001d 47022308 07786d69 7465645f ....G.#..xmited_ + 72810: 6275665f 7461696c 0000001d 4702230c buf_tail....G.#. + 72820: 000d010a 00004c2f 04000a00 004b9904 ......L/.....K.. + 72830: 000d010a 00004c3f 04000a00 004bcb04 ......L?.....K.. + 72840: 000d010a 00004c4f 04000d01 0a00004c ......LO.......L + 72850: 5804000d 010a0000 4c610400 0e00001d X.......La...... + 72860: 47010a00 004c6a04 000d010a 00004c77 G....Lj.......Lw + 72870: 04000e00 001d4701 0a00004c 8004000d ......G....L.... + 72880: 010a0000 4c8d0400 0e000001 61010a00 ....L.......a... + 72890: 004c9604 000e0000 4b92010a 00004ca3 .L......K.....L. + 728a0: 04000d01 0a00004c b0040009 646d615f .......L....dma_ + 728b0: 656e6769 6e655f61 70690040 00004e26 engine_api.@..N& + 728c0: 075f696e 69740000 004c3102 2300075f ._init...L1.#.._ + 728d0: 696e6974 5f72785f 71756575 65000000 init_rx_queue... + 728e0: 4c410223 04075f69 6e69745f 74785f71 LA.#.._init_tx_q + 728f0: 75657565 0000004c 51022308 075f636f ueue...LQ.#.._co + 72900: 6e666967 5f72785f 71756575 65000000 nfig_rx_queue... + 72910: 4c5a0223 0c075f78 6d69745f 62756600 LZ.#.._xmit_buf. + 72920: 00004c63 02231007 5f666c75 73685f78 ..Lc.#.._flush_x + 72930: 6d697400 00004c41 02231407 5f726561 mit...LA.#.._rea + 72940: 705f7265 63765f62 75660000 004c7002 p_recv_buf...Lp. + 72950: 2318075f 72657475 726e5f72 6563765f #.._return_recv_ + 72960: 62756600 00004c79 02231c07 5f726561 buf...Ly.#.._rea + 72970: 705f786d 69746564 5f627566 0000004c p_xmited_buf...L + 72980: 86022320 075f7377 61705f64 61746100 ..# ._swap_data. + 72990: 00004c8f 02232407 5f686173 5f636f6d ..L..#$._has_com + 729a0: 706c5f70 61636b65 74730000 004c9c02 pl_packets...L.. + 729b0: 2328075f 64657363 5f64756d 70000000 #(._desc_dump... + 729c0: 4c410223 2c075f67 65745f70 61636b65 LA.#,._get_packe + 729d0: 74000000 4ca90223 30075f72 65636c61 t...L..#0._recla + 729e0: 696d5f70 61636b65 74000000 4cb20223 im_packet...L..# + 729f0: 34075f70 75745f70 61636b65 74000000 4._put_packet... + 72a00: 4cb20223 38077052 65736572 76656400 L..#8.pReserved. + 72a10: 000002d6 02233c00 035f415f 636d6e6f .....#<.._A_cmno + 72a20: 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta + 72a30: 626c655f 74000000 39650357 4d495f53 ble_t...9e.WMI_S + 72a40: 56435f41 50495300 000049ce 0c5f415f VC_APIS...I.._A_ + 72a50: 6d616770 69655f69 6e646972 65637469 magpie_indirecti + 72a60: 6f6e5f74 61626c65 00034c00 004f5407 on_table..L..OT. + 72a70: 636d6e6f 73000000 4e260223 00076462 cmnos...N&.#..db + 72a80: 67000000 0d000323 b8030768 69660000 g......#...hif.. + 72a90: 00323003 23c00307 68746300 00004381 .20.#...htc...C. + 72aa0: 0323f803 07776d69 5f737663 5f617069 .#...wmi_svc_api + 72ab0: 0000004e 480323ac 04077573 62666966 ...NH.#...usbfif + 72ac0: 6f5f6170 69000000 3b390323 d8040762 o_api...;9.#...b + 72ad0: 75665f70 6f6f6c00 00003fe2 0323e404 uf_pool...?..#.. + 72ae0: 07766275 66000000 1d710323 80050776 .vbuf....q.#...v + 72af0: 64657363 0000001c 53032394 0507616c desc....S.#...al + 72b00: 6c6f6372 616d0000 00125203 23a80507 locram....R.#... + 72b10: 646d615f 656e6769 6e650000 004cb903 dma_engine...L.. + 72b20: 23b40507 646d615f 6c696200 000034c4 #...dma_lib...4. + 72b30: 0323f405 07686966 5f706369 00000037 .#...hif_pci...7 + 72b40: 240323a8 0600035f 415f6d61 67706965 $.#...._A_magpie + 72b50: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab + 72b60: 6c655f74 0000004e 5a1a013b 7a66446d le_t...NZ..;zfDm + 72b70: 61476574 5061636b 65740000 004b9201 aGetPacket...K.. + 72b80: 01039201 20029000 008e5bb4 008e5bed .... .....[...[. + 72b90: 00004fb8 1b013b71 0000004c 3801521c ..O...;q...L8.R. + 72ba0: 64657363 0000004b 92001d01 657a6644 desc...K....ezfD + 72bb0: 6d615265 636c6169 6d506163 6b657400 maReclaimPacket. + 72bc0: 01010492 01c00002 9000008e 5bf0008e ............[... + 72bd0: 5c820000 50331b01 65710000 004c3801 \...P3..eq...L8. + 72be0: 521b0165 64657363 0000004b 9201531c R..edesc...K..S. + 72bf0: 746d7044 65736300 00004b92 1e746465 tmpDesc...K..tde + 72c00: 73630000 004b0902 91401c76 64657363 sc...K...@.vdesc + 72c10: 0000001c 291c7674 65726d64 65736300 ....).vtermdesc. + 72c20: 00001c29 001f01b7 7a66446d 61507574 ...)....zfDmaPut + 72c30: 5061636b 65740001 01049201 c0000290 Packet.......... + 72c40: 00008e5c 84008e5d 231b01b7 71000000 ...\...]#...q... + 72c50: 4c380152 1b01b764 65736300 00004b92 L8.R...desc...K. + 72c60: 01531c74 6d704465 73630000 004b921e .S.tmpDesc...K.. + 72c70: 74646573 63000000 4b090291 401c7664 tdesc...K...@.vd + 72c80: 65736300 00001c29 1c767465 726d6465 esc....).vtermde + 72c90: 73630000 001c2900 00000000 4e220002 sc....).....N".. + 72ca0: 00002983 04012f72 6f6f742f 576f726b ..).../root/Work + 72cb0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 72cc0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 72cd0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 72ce0: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 72cf0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 72d00: 726f6d2f 6677642f 2f667764 2e63002f rom/fwd//fwd.c./ + 72d10: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 72d20: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 72d30: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 72d40: 6d2f6677 64007874 2d786363 20666f72 m/fwd.xt-xcc for + 72d50: 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig + 72d60: 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 + 72d70: 32202d4f 32202d67 33202d4f 50543a73 2 -O2 -g3 -OPT:s + 72d80: 70616365 00010000 019a0002 01030000 pace............ + 72d90: 00f10400 04696e74 00050404 63686172 .....int....char + 72da0: 00070105 00000101 05000001 01030000 ................ + 72db0: 010e0400 06000000 fa010300 00011a04 ................ + 72dc0: 00077072 696e7466 5f617069 00080000 ..printf_api.... + 72dd0: 015e085f 7072696e 74665f69 6e697400 .^._printf_init. + 72de0: 000000f3 02230008 5f707269 6e746600 .....#.._printf. + 72df0: 00000120 02230400 0473686f 72742075 ... .#...short u + 72e00: 6e736967 6e656420 696e7400 07020975 nsigned int....u + 72e10: 696e7431 365f7400 0000015e 046c6f6e int16_t....^.lon + 72e20: 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 72e30: 04097569 6e743332 5f740000 00018207 ..uint32_t...... + 72e40: 75617274 5f666966 6f000800 0001f008 uart_fifo....... + 72e50: 73746172 745f696e 64657800 00000174 start_index....t + 72e60: 02230008 656e645f 696e6465 78000000 .#..end_index... + 72e70: 01740223 02086f76 65727275 6e5f6572 .t.#..overrun_er + 72e80: 72000000 01970223 04000775 6172745f r......#...uart_ + 72e90: 61706900 20000002 a9085f75 6172745f api. ....._uart_ + 72ea0: 696e6974 00000003 00022300 085f7561 init......#.._ua + 72eb0: 72745f63 6861725f 70757400 00000327 rt_char_put....' + 72ec0: 02230408 5f756172 745f6368 61725f67 .#.._uart_char_g + 72ed0: 65740000 00033b02 2308085f 75617274 et....;.#.._uart + 72ee0: 5f737472 5f6f7574 00000003 4402230c _str_out....D.#. + 72ef0: 085f7561 72745f74 61736b00 000000f3 ._uart_task..... + 72f00: 02231008 5f756172 745f7374 61747573 .#.._uart_status + 72f10: 00000003 00022314 085f7561 72745f63 ......#.._uart_c + 72f20: 6f6e6669 67000000 034d0223 18085f75 onfig....M.#.._u + 72f30: 6172745f 6877696e 69740000 00035602 art_hwinit....V. + 72f40: 231c0003 000001f0 04000775 6172745f #..........uart_ + 72f50: 626c6b00 10000002 fa086465 6275675f blk.......debug_ + 72f60: 6d6f6465 00000001 74022300 08626175 mode....t.#..bau + 72f70: 64000000 01740223 02085f75 61727400 d....t.#.._uart. + 72f80: 000002a9 02230408 5f747800 000001a5 .....#.._tx..... + 72f90: 02230800 06000001 97010300 0002fa04 .#.............. + 72fa0: 0004756e 7369676e 65642063 68617200 ..unsigned char. + 72fb0: 07010975 696e7438 5f740000 00030702 ...uint8_t...... + 72fc0: 01030000 03250400 03000003 18040006 .....%.......... + 72fd0: 00000174 01030000 03350400 02010300 ...t.....5...... + 72fe0: 00034204 00020103 0000034b 04000201 ..B........K.... + 72ff0: 03000003 54040003 00000101 04000600 ....T........... + 73000: 0000fa01 03000003 64040007 44425f43 ........d...DB_C + 73010: 4f4d4d41 4e445f53 54525543 54000c00 OMMAND_STRUCT... + 73020: 0003bc08 636d645f 73747200 0000035d ....cmd_str....] + 73030: 02230008 68656c70 5f737472 00000003 .#..help_str.... + 73040: 5d022304 08636d64 5f66756e 63000000 ].#..cmd_func... + 73050: 036a0223 08000764 62675f61 70690008 .j.#...dbg_api.. + 73060: 000003ef 085f6462 675f696e 69740000 ....._dbg_init.. + 73070: 0000f302 2300085f 6462675f 7461736b ....#.._dbg_task + 73080: 00000000 f3022304 000a0400 04756e73 ......#......uns + 73090: 69676e65 6420696e 74000704 06000003 igned int....... + 730a0: ef010300 00040204 000b0b03 00000410 ................ + 730b0: 04000600 0003ef01 03000004 18040006 ................ + 730c0: 000000fa 01030000 04250400 076d656d .........%...mem + 730d0: 5f617069 00140000 0494085f 6d656d5f _api......._mem_ + 730e0: 696e6974 00000000 f3022300 085f6d65 init......#.._me + 730f0: 6d736574 00000004 08022304 085f6d65 mset......#.._me + 73100: 6d637079 00000004 1e022308 085f6d65 mcpy......#.._me + 73110: 6d6d6f76 65000000 041e0223 0c085f6d mmove......#.._m + 73120: 656d636d 70000000 042b0223 10000c72 emcmp....+.#...r + 73130: 65676973 7465725f 64756d70 5f730000 egister_dump_s.. + 73140: 01030000 04940400 02010300 0004ae04 ................ + 73150: 00020103 000004b7 04000600 0000fa01 ................ + 73160: 03000004 c004000d 686f7374 69665f73 ........hostif_s + 73170: 00040000 051c0e48 49465f55 53420000 .......HIF_USB.. + 73180: 0e484946 5f504349 4500010e 4849465f .HIF_PCIE...HIF_ + 73190: 474d4143 00020e48 49465f50 43490003 GMAC...HIF_PCI.. + 731a0: 0e484946 5f4e554d 00040e48 49465f4e .HIF_NUM...HIF_N + 731b0: 4f4e4500 05000941 5f484f53 54494600 ONE....A_HOSTIF. + 731c0: 000004cd 06000005 1c010300 00052a04 ..............*. + 731d0: 00060000 03180103 00000537 04000600 ...........7.... + 731e0: 00017401 03000005 44040007 6d697363 ..t.....D...misc + 731f0: 5f617069 00240000 0634085f 73797374 _api.$...4._syst + 73200: 656d5f72 65736574 00000000 f3022300 em_reset......#. + 73210: 085f6d61 635f7265 73657400 000000f3 ._mac_reset..... + 73220: 02230408 5f617373 6661696c 00000004 .#.._assfail.... + 73230: b0022308 085f6d69 73616c69 676e6564 ..#.._misaligned + 73240: 5f6c6f61 645f6861 6e646c65 72000000 _load_handler... + 73250: 04b00223 0c085f72 65706f72 745f6661 ...#.._report_fa + 73260: 696c7572 655f746f 5f686f73 74000000 ilure_to_host... + 73270: 04b90223 10085f74 61726765 745f6964 ...#.._target_id + 73280: 5f676574 00000004 c6022314 085f6973 _get......#.._is + 73290: 5f686f73 745f7072 6573656e 74000000 _host_present... + 732a0: 05300223 18085f6b 62686974 00000005 .0.#.._kbhit.... + 732b0: 3d02231c 085f726f 6d5f7665 7273696f =.#.._rom_versio + 732c0: 6e5f6765 74000000 054a0223 20000600 n_get....J.# ... + 732d0: 00035d01 03000006 34040006 0000035d ..].....4......] + 732e0: 01030000 06410400 06000000 fa010300 .....A.......... + 732f0: 00064e04 00060000 00fa0103 0000065b ..N............[ + 73300: 04000600 0000fa01 03000006 68040007 ............h... + 73310: 73747269 6e675f61 70690018 000006ee string_api...... + 73320: 085f7374 72696e67 5f696e69 74000000 ._string_init... + 73330: 00f30223 00085f73 74726370 79000000 ...#.._strcpy... + 73340: 063a0223 04085f73 74726e63 70790000 .:.#.._strncpy.. + 73350: 00064702 2308085f 7374726c 656e0000 ..G.#.._strlen.. + 73360: 00065402 230c085f 73747263 6d700000 ..T.#.._strcmp.. + 73370: 00066102 2310085f 7374726e 636d7000 ..a.#.._strncmp. + 73380: 0000066e 02231400 0f000003 f2140000 ...n.#.......... + 73390: 06fb1004 00095f41 5f54494d 45525f53 ......_A_TIMER_S + 733a0: 50414345 00000006 ee09415f 74696d65 PACE......A_time + 733b0: 725f7400 000006fb 03000007 0f040002 r_t............. + 733c0: 01030000 07250400 02010300 00072e04 .....%.......... + 733d0: 0009415f 48414e44 4c450000 0003f202 ..A_HANDLE...... + 733e0: 0109415f 54494d45 525f4655 4e430000 ..A_TIMER_FUNC.. + 733f0: 00074503 00000747 04000201 03000007 ..E....G........ + 73400: 60040007 74696d65 725f6170 69001400 `...timer_api... + 73410: 0007df08 5f74696d 65725f69 6e697400 ...._timer_init. + 73420: 000000f3 02230008 5f74696d 65725f61 .....#.._timer_a + 73430: 726d0000 00072702 2304085f 74696d65 rm....'.#.._time + 73440: 725f6469 7361726d 00000007 30022308 r_disarm....0.#. + 73450: 085f7469 6d65725f 73657466 6e000000 ._timer_setfn... + 73460: 07620223 0c085f74 696d6572 5f72756e .b.#.._timer_run + 73470: 00000000 f3022310 0009424f 4f4c4541 ......#...BOOLEA + 73480: 4e000000 01740600 0007df01 03000007 N....t.......... + 73490: ec040006 000007df 01030000 07f90400 ................ + 734a0: 06000007 df010300 00080604 0007726f ..............ro + 734b0: 6d705f61 70690010 00000878 085f726f mp_api.....x._ro + 734c0: 6d705f69 6e697400 000000f3 02230008 mp_init......#.. + 734d0: 5f726f6d 705f646f 776e6c6f 61640000 _romp_download.. + 734e0: 0007f202 2304085f 726f6d70 5f696e73 ....#.._romp_ins + 734f0: 74616c6c 00000007 ff022308 085f726f tall......#.._ro + 73500: 6d705f64 65636f64 65000000 080c0223 mp_decode......# + 73510: 0c000772 6f6d5f70 61746368 5f737400 ...rom_patch_st. + 73520: 10000008 d4086372 63313600 00000174 ......crc16....t + 73530: 02230008 6c656e00 00000174 02230208 .#..len....t.#.. + 73540: 6c645f61 64647200 00000197 02230408 ld_addr......#.. + 73550: 66756e5f 61646472 00000001 97022308 fun_addr......#. + 73560: 08706675 6e000000 032e0223 0c000765 .pfun......#...e + 73570: 65705f72 65646972 5f616464 72000400 ep_redir_addr... + 73580: 00090608 6f666673 65740000 00017402 ....offset....t. + 73590: 23000873 697a6500 00000174 02230200 #..size....t.#.. + 735a0: 09415f55 494e5433 32000000 03f20600 .A_UINT32....... + 735b0: 0003ef01 03000009 14040007 616c6c6f ............allo + 735c0: 6372616d 5f617069 000c0000 09850863 cram_api.......c + 735d0: 6d6e6f73 5f616c6c 6f637261 6d5f696e mnos_allocram_in + 735e0: 69740000 00091a02 23000863 6d6e6f73 it......#..cmnos + 735f0: 5f616c6c 6f637261 6d000000 091a0223 _allocram......# + 73600: 0408636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram + 73610: 5f646562 75670000 0000f302 23080002 _debug......#... + 73620: 01030000 09850400 09415f54 41534b4c .........A_TASKL + 73630: 45545f46 554e4300 00000987 075f7461 ET_FUNC......_ta + 73640: 736b6c65 74001000 0009e608 66756e63 sklet.......func + 73650: 00000009 8e022300 08617267 00000003 ......#..arg.... + 73660: ef022304 08737461 74650000 0000fa02 ..#..state...... + 73670: 2308086e 65787400 000009e6 02230c00 #..next......#.. + 73680: 03000009 a2040003 000009a2 04000941 ...............A + 73690: 5f746173 6b6c6574 5f740000 0009a203 _tasklet_t...... + 736a0: 000009f4 04000201 0300000a 0c040002 ................ + 736b0: 01030000 0a150400 07746173 6b6c6574 .........tasklet + 736c0: 5f617069 00140000 0aaa085f 7461736b _api......._task + 736d0: 6c65745f 696e6974 00000000 f3022300 let_init......#. + 736e0: 085f7461 736b6c65 745f696e 69745f74 ._tasklet_init_t + 736f0: 61736b00 00000a0e 02230408 5f746173 ask......#.._tas + 73700: 6b6c6574 5f646973 61626c65 0000000a klet_disable.... + 73710: 17022308 085f7461 736b6c65 745f7363 ..#.._tasklet_sc + 73720: 68656475 6c650000 000a1702 230c085f hedule......#.._ + 73730: 7461736b 6c65745f 72756e00 000000f3 tasklet_run..... + 73740: 02231000 02010300 000aaa04 00060000 .#.............. + 73750: 09060103 00000ab3 04000201 0300000a ................ + 73760: c0040007 636c6f63 6b5f6170 69002400 ....clock_api.$. + 73770: 000ba208 5f636c6f 636b5f69 6e697400 ...._clock_init. + 73780: 00000aac 02230008 5f636c6f 636b7265 .....#.._clockre + 73790: 67735f69 6e697400 000000f3 02230408 gs_init......#.. + 737a0: 5f756172 745f6672 65717565 6e637900 _uart_frequency. + 737b0: 00000ab9 02230808 5f64656c 61795f75 .....#.._delay_u + 737c0: 73000000 0ac20223 0c085f77 6c616e5f s......#.._wlan_ + 737d0: 62616e64 5f736574 0000000a c2022310 band_set......#. + 737e0: 085f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g + 737f0: 65740000 000ab902 2314085f 6d696c6c et......#.._mill + 73800: 69736563 6f6e6473 0000000a b9022318 iseconds......#. + 73810: 085f7379 73636c6b 5f636861 6e676500 ._sysclk_change. + 73820: 000000f3 02231c08 5f636c6f 636b5f74 .....#.._clock_t + 73830: 69636b00 000000f3 02232000 06000001 ick......# ..... + 73840: 97010300 000ba204 0009415f 6f6c645f ..........A_old_ + 73850: 696e7472 5f740000 00019706 00000baf intr_t.......... + 73860: 01030000 0bc10400 02010300 000bce04 ................ + 73870: 00020103 00000bd7 04000600 00019701 ................ + 73880: 0300000b e0040009 415f6973 725f7400 ........A_isr_t. + 73890: 00000be6 02010300 000bfa04 00060000 ................ + 738a0: 03f20103 00000c03 04000201 0300000c ................ + 738b0: 10040007 696e7472 5f617069 002c0000 ....intr_api.,.. + 738c0: 0d32085f 696e7472 5f696e69 74000000 .2._intr_init... + 738d0: 00f30223 00085f69 6e74725f 696e766f ...#.._intr_invo + 738e0: 6b655f69 73720000 000ba802 2304085f ke_isr......#.._ + 738f0: 696e7472 5f646973 61626c65 0000000b intr_disable.... + 73900: c7022308 085f696e 74725f72 6573746f ..#.._intr_resto + 73910: 72650000 000bd002 230c085f 696e7472 re......#.._intr + 73920: 5f6d6173 6b5f696e 756d0000 000bd902 _mask_inum...... + 73930: 2310085f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ + 73940: 696e756d 0000000b d9022314 085f696e inum......#.._in + 73950: 74725f61 74746163 685f6973 72000000 tr_attach_isr... + 73960: 0bfc0223 18085f67 65745f69 6e747265 ...#.._get_intre + 73970: 6e61626c 65000000 0c090223 1c085f73 nable......#.._s + 73980: 65745f69 6e747265 6e61626c 65000000 et_intrenable... + 73990: 0c120223 20085f67 65745f69 6e747270 ...# ._get_intrp + 739a0: 656e6469 6e670000 000c0902 2324085f ending......#$._ + 739b0: 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr + 739c0: 6c766c00 000000f3 02232800 11040000 lvl......#(..... + 739d0: 0d580874 696d656f 75740000 00019702 .X.timeout...... + 739e0: 23000861 6374696f 6e000000 01970223 #..action......# + 739f0: 00001208 00000d73 08636d64 00000001 .......s.cmd.... + 73a00: 97022300 1300000d 32022304 0009545f ..#.....2.#...T_ + 73a10: 5744545f 434d4400 00000d58 02010300 WDT_CMD....X.... + 73a20: 000d8204 00140400 000dd80e 454e554d ............ENUM + 73a30: 5f574454 5f424f4f 5400010e 454e554d _WDT_BOOT...ENUM + 73a40: 5f434f4c 445f424f 4f540002 0e454e55 _COLD_BOOT...ENU + 73a50: 4d5f5355 53505f42 4f4f5400 030e454e M_SUSP_BOOT...EN + 73a60: 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. + 73a70: 04000954 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. + 73a80: 000d8b06 00000dd8 01030000 0de90400 ................ + 73a90: 07776474 5f617069 001c0000 0e8d085f .wdt_api......._ + 73aa0: 7764745f 696e6974 00000000 f3022300 wdt_init......#. + 73ab0: 085f7764 745f656e 61626c65 00000000 ._wdt_enable.... + 73ac0: f3022304 085f7764 745f6469 7361626c ..#.._wdt_disabl + 73ad0: 65000000 00f30223 08085f77 64745f73 e......#.._wdt_s + 73ae0: 65740000 000d8402 230c085f 7764745f et......#.._wdt_ + 73af0: 7461736b 00000000 f3022310 085f7764 task......#.._wd + 73b00: 745f7265 73657400 000000f3 02231408 t_reset......#.. + 73b10: 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. + 73b20: 000def02 23180014 0400000e f40e5245 ....#.........RE + 73b30: 545f5355 43434553 5300000e 5245545f T_SUCCESS...RET_ + 73b40: 4e4f545f 494e4954 00010e52 45545f4e NOT_INIT...RET_N + 73b50: 4f545f45 58495354 00020e52 45545f45 OT_EXIST...RET_E + 73b60: 45505f43 4f525255 50540003 0e524554 EP_CORRUPT...RET + 73b70: 5f454550 5f4f5645 52464c4f 5700040e _EEP_OVERFLOW... + 73b80: 5245545f 554e4b4e 4f574e00 05000954 RET_UNKNOWN....T + 73b90: 5f454550 5f524554 0000000e 8d030000 _EEP_RET........ + 73ba0: 01740400 0600000e f4010300 000f0a04 .t.............. + 73bb0: 00060000 0ef40103 00000f17 04000765 ...............e + 73bc0: 65705f61 70690010 00000f80 085f6565 ep_api......._ee + 73bd0: 705f696e 69740000 0000f302 2300085f p_init......#.._ + 73be0: 6565705f 72656164 0000000f 10022304 eep_read......#. + 73bf0: 085f6565 705f7772 69746500 00000f10 ._eep_write..... + 73c00: 02230808 5f656570 5f69735f 65786973 .#.._eep_is_exis + 73c10: 74000000 0f1d0223 0c000775 73625f61 t......#...usb_a + 73c20: 70690070 0000122d 085f7573 625f696e pi.p...-._usb_in + 73c30: 69740000 0000f302 2300085f 7573625f it......#.._usb_ + 73c40: 726f6d5f 7461736b 00000000 f3022304 rom_task......#. + 73c50: 085f7573 625f6677 5f746173 6b000000 ._usb_fw_task... + 73c60: 00f30223 08085f75 73625f69 6e69745f ...#.._usb_init_ + 73c70: 70687900 000000f3 02230c08 5f757362 phy......#.._usb + 73c80: 5f657030 5f736574 75700000 0000f302 _ep0_setup...... + 73c90: 2310085f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. + 73ca0: 0000f302 2314085f 7573625f 6570305f ....#.._usb_ep0_ + 73cb0: 72780000 0000f302 2318085f 7573625f rx......#.._usb_ + 73cc0: 6765745f 696e7465 72666163 65000000 get_interface... + 73cd0: 07ff0223 1c085f75 73625f73 65745f69 ...#.._usb_set_i + 73ce0: 6e746572 66616365 00000007 ff022320 nterface......# + 73cf0: 085f7573 625f6765 745f636f 6e666967 ._usb_get_config + 73d00: 75726174 696f6e00 000007ff 02232408 uration......#$. + 73d10: 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu + 73d20: 72617469 6f6e0000 0007ff02 2328085f ration......#(._ + 73d30: 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd + 73d40: 00000007 ff02232c 085f7573 625f7665 ......#,._usb_ve + 73d50: 6e646f72 5f636d64 00000000 f3022330 ndor_cmd......#0 + 73d60: 085f7573 625f706f 7765725f 6f666600 ._usb_power_off. + 73d70: 000000f3 02233408 5f757362 5f726573 .....#4._usb_res + 73d80: 65745f66 69666f00 000000f3 02233808 et_fifo......#8. + 73d90: 5f757362 5f67656e 5f776474 00000000 _usb_gen_wdt.... + 73da0: f302233c 085f7573 625f6a75 6d705f62 ..#<._usb_jump_b + 73db0: 6f6f7400 000000f3 02234008 5f757362 oot......#@._usb + 73dc0: 5f636c72 5f666561 74757265 00000007 _clr_feature.... + 73dd0: ff022344 085f7573 625f7365 745f6665 ..#D._usb_set_fe + 73de0: 61747572 65000000 07ff0223 48085f75 ature......#H._u + 73df0: 73625f73 65745f61 64647265 73730000 sb_set_address.. + 73e00: 0007ff02 234c085f 7573625f 6765745f ....#L._usb_get_ + 73e10: 64657363 72697074 6f720000 0007ff02 descriptor...... + 73e20: 2350085f 7573625f 6765745f 73746174 #P._usb_get_stat + 73e30: 75730000 0007ff02 2354085f 7573625f us......#T._usb_ + 73e40: 73657475 705f6465 73630000 0000f302 setup_desc...... + 73e50: 2358085f 7573625f 7265675f 6f757400 #X._usb_reg_out. + 73e60: 000000f3 02235c08 5f757362 5f737461 .....#\._usb_sta + 73e70: 7475735f 696e0000 0000f302 2360085f tus_in......#`._ + 73e80: 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. + 73e90: 000000f3 02236408 5f757362 5f657030 .....#d._usb_ep0 + 73ea0: 5f72785f 64617461 00000000 f3022368 _rx_data......#h + 73eb0: 085f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. + 73ec0: 0000f302 236c0007 5f564445 53430024 ....#l.._VDESC.$ + 73ed0: 000012b9 086e6578 745f6465 73630000 .....next_desc.. + 73ee0: 0012b902 23000862 75665f61 64647200 ....#..buf_addr. + 73ef0: 000012cd 02230408 6275665f 73697a65 .....#..buf_size + 73f00: 00000012 d4022308 08646174 615f6f66 ......#..data_of + 73f10: 66736574 00000012 d402230a 08646174 fset......#..dat + 73f20: 615f7369 7a650000 0012d402 230c0863 a_size......#..c + 73f30: 6f6e7472 6f6c0000 0012d402 230e0868 ontrol......#..h + 73f40: 775f6465 73635f62 75660000 0012e202 w_desc_buf...... + 73f50: 23100003 0000122d 04000941 5f55494e #......-...A_UIN + 73f60: 54380000 00030703 000012c0 04000941 T8.............A + 73f70: 5f55494e 54313600 0000015e 0f000012 _UINT16....^.... + 73f80: c0140000 12ef1013 00030000 122d0400 .............-.. + 73f90: 09564445 53430000 00122d03 000012f6 .VDESC....-..... + 73fa0: 04000600 00130101 03000013 08040006 ................ + 73fb0: 000012cd 01030000 13150400 02010300 ................ + 73fc0: 00132204 00077664 6573635f 61706900 .."...vdesc_api. + 73fd0: 14000013 9a085f69 6e697400 00000ac2 ......_init..... + 73fe0: 02230008 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc + 73ff0: 00000013 0e022304 085f6765 745f6877 ......#.._get_hw + 74000: 5f646573 63000000 131b0223 08085f73 _desc......#.._s + 74010: 7761705f 76646573 63000000 13240223 wap_vdesc....$.# + 74020: 0c087052 65736572 76656400 000003ef ..pReserved..... + 74030: 02231000 075f5642 55460020 000013fa .#..._VBUF. .... + 74040: 08646573 635f6c69 73740000 00130102 .desc_list...... + 74050: 2300086e 6578745f 62756600 000013fa #..next_buf..... + 74060: 02230408 6275665f 6c656e67 74680000 .#..buf_length.. + 74070: 0012d402 23080872 65736572 76656400 ....#..reserved. + 74080: 00001401 02230a08 63747800 000012e2 .....#..ctx..... + 74090: 02230c00 03000013 9a04000f 000012c0 .#.............. + 740a0: 02000014 0e100100 03000013 9a040009 ................ + 740b0: 56425546 00000013 9a030000 14150400 VBUF............ + 740c0: 06000014 1f010300 00142604 00060000 ..........&..... + 740d0: 141f0103 00001433 04000201 03000014 .......3........ + 740e0: 40040007 76627566 5f617069 00140000 @...vbuf_api.... + 740f0: 14be085f 696e6974 0000000a c2022300 ..._init......#. + 74100: 085f616c 6c6f635f 76627566 00000014 ._alloc_vbuf.... + 74110: 2c022304 085f616c 6c6f635f 76627566 ,.#.._alloc_vbuf + 74120: 5f776974 685f7369 7a650000 00143902 _with_size....9. + 74130: 2308085f 66726565 5f766275 66000000 #.._free_vbuf... + 74140: 14420223 0c087052 65736572 76656400 .B.#..pReserved. + 74150: 000003ef 02231000 075f5f61 64665f64 .....#...__adf_d + 74160: 65766963 65000400 0014e008 64756d6d evice.......dumm + 74170: 79000000 00fa0223 00000300 00090604 y......#........ + 74180: 00075f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. + 74190: 0c000015 27086275 66000000 141f0223 ....'.buf......# + 741a0: 00086473 5f616464 72000000 14e00223 ..ds_addr......# + 741b0: 04086473 5f6c656e 00000012 d4022308 ..ds_len......#. + 741c0: 00120c00 00156108 5f5f7661 5f73746b ......a.__va_stk + 741d0: 00000003 5d022300 085f5f76 615f7265 ....].#..__va_re + 741e0: 67000000 035d0223 04085f5f 76615f6e g....].#..__va_n + 741f0: 64780000 0000fa02 23080009 5f5f6164 dx......#...__ad + 74200: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. + 74210: 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ + 74220: 61646472 5f740000 00156109 5f5f6164 addr_t....a.__ad + 74230: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. + 74240: 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ + 74250: 73697a65 5f740000 00159107 5f5f646d size_t......__dm + 74260: 615f7365 67730008 000015ed 08706164 a_segs.......pad + 74270: 64720000 00157a02 2300086c 656e0000 dr....z.#..len.. + 74280: 0015aa02 23040009 5f5f615f 75696e74 ....#...__a_uint + 74290: 33325f74 00000009 0609615f 75696e74 32_t......a_uint + 742a0: 33325f74 00000015 ed0f0000 15c10800 32_t............ + 742b0: 00161c10 00000761 64665f6f 735f646d .......adf_os_dm + 742c0: 616d6170 5f696e66 6f000c00 00165508 amap_info.....U. + 742d0: 6e736567 73000000 15ff0223 0008646d nsegs......#..dm + 742e0: 615f7365 67730000 00160f02 23040009 a_segs......#... + 742f0: 5f5f615f 75696e74 385f7400 000012c0 __a_uint8_t..... + 74300: 09615f75 696e7438 5f740000 00165503 .a_uint8_t....U. + 74310: 00001666 0400075f 5f73675f 73656773 ...f...__sg_segs + 74320: 00080000 16a70876 61646472 00000016 .......vaddr.... + 74330: 75022300 086c656e 00000015 ff022304 u.#..len......#. + 74340: 000f0000 167c2000 0016b410 03000761 .....| ........a + 74350: 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. + 74360: 16e7086e 73656773 00000015 ff022300 ...nsegs......#. + 74370: 0873675f 73656773 00000016 a7022304 .sg_segs......#. + 74380: 00121000 00173008 76656e64 6f720000 ......0.vendor.. + 74390: 0015ff02 23000864 65766963 65000000 ....#..device... + 743a0: 15ff0223 04087375 6276656e 646f7200 ...#..subvendor. + 743b0: 000015ff 02230808 73756264 65766963 .....#..subdevic + 743c0: 65000000 15ff0223 0c00046c 6f6e6720 e......#...long + 743d0: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in + 743e0: 74000708 09415f55 494e5436 34000000 t....A_UINT64... + 743f0: 1730095f 5f615f75 696e7436 345f7400 .0.__a_uint64_t. + 74400: 0000174a 09615f75 696e7436 345f7400 ...J.a_uint64_t. + 74410: 00001758 14040000 17b60e41 44465f4f ...X.......ADF_O + 74420: 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ + 74430: 4d454d00 000e4144 465f4f53 5f524553 MEM...ADF_OS_RES + 74440: 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... + 74450: 09616466 5f6f735f 7265736f 75726365 .adf_os_resource + 74460: 5f747970 655f7400 0000177a 12180000 _type_t....z.... + 74470: 18000873 74617274 00000017 6a022300 ...start....j.#. + 74480: 08656e64 00000017 6a022308 08747970 .end....j.#..typ + 74490: 65000000 17b60223 10000961 64665f6f e......#...adf_o + 744a0: 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. + 744b0: 0016e703 00001800 04001104 0000183f ...............? + 744c0: 08706369 00000018 19022300 08726177 .pci......#..raw + 744d0: 00000003 ef022300 00111000 00185e08 ......#.......^. + 744e0: 70636900 00001800 02230008 72617700 pci......#..raw. + 744f0: 000003ef 02230000 09616466 5f647276 .....#...adf_drv + 74500: 5f68616e 646c655f 74000000 03ef0961 _handle_t......a + 74510: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t + 74520: 00000017 d2030000 18740400 09616466 .........t...adf + 74530: 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ + 74540: 74000000 183f0300 00189204 00030000 t....?.......... + 74550: 14be0400 095f5f61 64665f6f 735f6465 .....__adf_os_de + 74560: 76696365 5f740000 0018b309 6164665f vice_t......adf_ + 74570: 6f735f64 65766963 655f7400 000018ba os_device_t..... + 74580: 06000018 5e010300 0018e604 00020103 ....^........... + 74590: 000018f3 04000961 64665f6f 735f706d .......adf_os_pm + 745a0: 5f740000 0003ef02 01030000 190d0400 _t.............. + 745b0: 14040000 194d0e41 44465f4f 535f4255 .....M.ADF_OS_BU + 745c0: 535f5459 50455f50 43490001 0e414446 S_TYPE_PCI...ADF + 745d0: 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN + 745e0: 45524943 00020009 6164665f 6f735f62 ERIC....adf_os_b + 745f0: 75735f74 7970655f 74000000 19160961 us_type_t......a + 74600: 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da + 74610: 74615f74 00000018 20030000 03070400 ta_t.... ....... + 74620: 075f6164 665f6472 765f696e 666f0020 ._adf_drv_info. + 74630: 00001a2a 08647276 5f617474 61636800 ...*.drv_attach. + 74640: 000018ec 02230008 6472765f 64657461 .....#..drv_deta + 74650: 63680000 0018f502 23040864 72765f73 ch......#..drv_s + 74660: 75737065 6e640000 00190f02 23080864 uspend......#..d + 74670: 72765f72 6573756d 65000000 18f50223 rv_resume......# + 74680: 0c086275 735f7479 70650000 00194d02 ..bus_type....M. + 74690: 23100862 75735f64 61746100 00001964 #..bus_data....d + 746a0: 02231408 6d6f645f 6e616d65 00000019 .#..mod_name.... + 746b0: 7f022318 0869666e 616d6500 0000197f ..#..ifname..... + 746c0: 02231c00 09616466 5f6f735f 68616e64 .#...adf_os_hand + 746d0: 6c655f74 00000003 ef030000 16550400 le_t.........U.. + 746e0: 02010201 095f5f61 64665f6f 735f7369 .....__adf_os_si + 746f0: 7a655f74 00000003 f2140400 001a790e ze_t..........y. + 74700: 415f4641 4c534500 000e415f 54525545 A_FALSE...A_TRUE + 74710: 00010009 615f626f 6f6c5f74 0000001a ....a_bool_t.... + 74720: 5f030000 14e70400 095f5f61 64665f6f _........__adf_o + 74730: 735f646d 615f6d61 705f7400 00001a87 s_dma_map_t..... + 74740: 02010d61 64665f6f 735f6361 6368655f ...adf_os_cache_ + 74750: 73796e63 00040000 1b110e41 44465f53 sync.......ADF_S + 74760: 594e435f 50524552 45414400 000e4144 YNC_PREREAD...AD + 74770: 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. + 74780: 020e4144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR + 74790: 45414400 010e4144 465f5359 4e435f50 EAD...ADF_SYNC_P + 747a0: 4f535457 52495445 00030009 6164665f OSTWRITE....adf_ + 747b0: 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. + 747c0: 00001aa8 02010961 64665f6f 735f7369 .......adf_os_si + 747d0: 7a655f74 0000001a 4a060000 1b2c0109 ze_t....J....,.. + 747e0: 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t + 747f0: 0000001a 8e030000 1b450400 06000003 .........E...... + 74800: ef010300 001a8e04 00060000 03ef0102 ................ + 74810: 01060000 157a0102 01047368 6f727420 .....z....short + 74820: 696e7400 05020941 5f494e54 31360000 int....A_INT16.. + 74830: 001b7f09 5f5f615f 696e7431 365f7400 ....__a_int16_t. + 74840: 00001b8c 09615f69 6e743136 5f740000 .....a_int16_t.. + 74850: 001b9904 7369676e 65642063 68617200 ....signed char. + 74860: 05010941 5f494e54 38000000 1bb9095f ...A_INT8......_ + 74870: 5f615f69 6e74385f 74000000 1bc80961 _a_int8_t......a + 74880: 5f696e74 385f7400 00001bd4 120c0000 _int8_t......... + 74890: 1c4b0873 7570706f 72746564 00000015 .K.supported.... + 748a0: ff022300 08616476 65727469 7a656400 ..#..advertized. + 748b0: 000015ff 02230408 73706565 64000000 .....#..speed... + 748c0: 1baa0223 08086475 706c6578 0000001b ...#..duplex.... + 748d0: e402230a 08617574 6f6e6567 00000016 ..#..autoneg.... + 748e0: 6602230b 000f0000 16660600 001c5810 f.#......f....X. + 748f0: 05000761 64665f6e 65745f65 74686164 ...adf_net_ethad + 74900: 64720006 00001c7c 08616464 72000000 dr.....|.addr... + 74910: 1c4b0223 0000095f 5f615f75 696e7431 .K.#...__a_uint1 + 74920: 365f7400 000012d4 09615f75 696e7431 6_t......a_uint1 + 74930: 365f7400 00001c7c 120e0000 1ce00865 6_t....|.......e + 74940: 74686572 5f64686f 73740000 001c4b02 ther_dhost....K. + 74950: 23000865 74686572 5f73686f 73740000 #..ether_shost.. + 74960: 001c4b02 23060865 74686572 5f747970 ..K.#..ether_typ + 74970: 65000000 1c8e0223 0c001214 00001da1 e......#........ + 74980: 1569705f 76657273 696f6e00 00001666 .ip_version....f + 74990: 01000402 23001569 705f686c 00000016 ....#..ip_hl.... + 749a0: 66010404 02230008 69705f74 6f730000 f....#..ip_tos.. + 749b0: 00166602 23010869 705f6c65 6e000000 ..f.#..ip_len... + 749c0: 1c8e0223 02086970 5f696400 00001c8e ...#..ip_id..... + 749d0: 02230408 69705f66 7261675f 6f666600 .#..ip_frag_off. + 749e0: 00001c8e 02230608 69705f74 746c0000 .....#..ip_ttl.. + 749f0: 00166602 23080869 705f7072 6f746f00 ..f.#..ip_proto. + 74a00: 00001666 02230908 69705f63 6865636b ...f.#..ip_check + 74a10: 0000001c 8e02230a 0869705f 73616464 ......#..ip_sadd + 74a20: 72000000 15ff0223 0c086970 5f646164 r......#..ip_dad + 74a30: 64720000 0015ff02 23100007 6164665f dr......#...adf_ + 74a40: 6e65745f 766c616e 68647200 0400001d net_vlanhdr..... + 74a50: f3087470 69640000 001c8e02 23001570 ..tpid......#..p + 74a60: 72696f00 00001666 01000302 23021563 rio....f....#..c + 74a70: 66690000 00166601 03010223 02157669 fi....f....#..vi + 74a80: 64000000 1c8e0204 0c022302 00076164 d.........#...ad + 74a90: 665f6e65 745f7669 64000200 001e2415 f_net_vid.....$. + 74aa0: 72657300 00001666 01000402 23001576 res....f....#..v + 74ab0: 616c0000 001c8e02 040c0223 0000120c al.........#.... + 74ac0: 00001e60 0872785f 62756673 697a6500 ...`.rx_bufsize. + 74ad0: 000015ff 02230008 72785f6e 64657363 .....#..rx_ndesc + 74ae0: 00000015 ff022304 0874785f 6e646573 ......#..tx_ndes + 74af0: 63000000 15ff0223 08001208 00001e86 c......#........ + 74b00: 08706f6c 6c656400 00001a79 02230008 .polled....y.#.. + 74b10: 706f6c6c 5f777400 000015ff 02230400 poll_wt......#.. + 74b20: 0f000016 66400000 1e93103f 00124600 ....f@.....?..F. + 74b30: 001ebb08 69665f6e 616d6500 00001e86 ....if_name..... + 74b40: 02230008 6465765f 61646472 0000001c .#..dev_addr.... + 74b50: 4b022340 00140400 001ef20e 4144465f K.#@........ADF_ + 74b60: 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI + 74b70: 5400000e 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M + 74b80: 41534b5f 36344249 54000100 09616466 ASK_64BIT....adf + 74b90: 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. + 74ba0: 001ebb07 6164665f 646d615f 696e666f ....adf_dma_info + 74bb0: 00080000 1f3f0864 6d615f6d 61736b00 .....?.dma_mask. + 74bc0: 00001ef2 02230008 73675f6e 73656773 .....#..sg_nsegs + 74bd0: 00000015 ff022304 00140400 001f950e ......#......... + 74be0: 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO + 74bf0: 4e450000 0e414446 5f4e4554 5f434b53 NE...ADF_NET_CKS + 74c00: 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. + 74c10: 010e4144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ + 74c20: 5443505f 5544505f 49507636 00020009 TCP_UDP_IPv6.... + 74c30: 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty + 74c40: 70655f74 0000001f 3f120800 001fd808 pe_t....?....... + 74c50: 74785f63 6b73756d 0000001f 95022300 tx_cksum......#. + 74c60: 0872785f 636b7375 6d000000 1f950223 .rx_cksum......# + 74c70: 04000961 64665f6e 65745f63 6b73756d ...adf_net_cksum + 74c80: 5f696e66 6f5f7400 00001faf 14040000 _info_t......... + 74c90: 20310e41 44465f4e 45545f54 534f5f4e 1.ADF_NET_TSO_N + 74ca0: 4f4e4500 000e4144 465f4e45 545f5453 ONE...ADF_NET_TS + 74cb0: 4f5f4950 56340001 0e414446 5f4e4554 O_IPV4...ADF_NET + 74cc0: 5f54534f 5f414c4c 00020009 6164665f _TSO_ALL....adf_ + 74cd0: 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. + 74ce0: 001ff212 10000020 8508636b 73756d5f ....... ..cksum_ + 74cf0: 63617000 00001fd8 02230008 74736f00 cap......#..tso. + 74d00: 00002031 02230808 766c616e 5f737570 .. 1.#..vlan_sup + 74d10: 706f7274 65640000 00166602 230c0012 ported....f.#... + 74d20: 20000021 1e087478 5f706163 6b657473 ..!..tx_packets + 74d30: 00000015 ff022300 0872785f 7061636b ......#..rx_pack + 74d40: 65747300 000015ff 02230408 74785f62 ets......#..tx_b + 74d50: 79746573 00000015 ff022308 0872785f ytes......#..rx_ + 74d60: 62797465 73000000 15ff0223 0c087478 bytes......#..tx + 74d70: 5f64726f 70706564 00000015 ff022310 _dropped......#. + 74d80: 0872785f 64726f70 70656400 000015ff .rx_dropped..... + 74d90: 02231408 72785f65 72726f72 73000000 .#..rx_errors... + 74da0: 15ff0223 18087478 5f657272 6f727300 ...#..tx_errors. + 74db0: 000015ff 02231c00 09616466 5f6e6574 .....#...adf_net + 74dc0: 5f657468 61646472 5f740000 001c5816 _ethaddr_t....X. + 74dd0: 0000211e 03000000 2143107f 00176164 ..!.....!C....ad + 74de0: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr + 74df0: 00030400 00217a08 6e656c65 6d000000 .....!z.nelem... + 74e00: 15ff0223 00086d63 61737400 00002135 ...#..mcast...!5 + 74e10: 02230400 09616466 5f6e6574 5f636d64 .#...adf_net_cmd + 74e20: 5f6c696e 6b5f696e 666f5f74 0000001b _link_info_t.... + 74e30: f2096164 665f6e65 745f636d 645f706f ..adf_net_cmd_po + 74e40: 6c6c5f69 6e666f5f 74000000 1e600961 ll_info_t....`.a + 74e50: 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum + 74e60: 5f696e66 6f5f7400 00001fd8 09616466 _info_t......adf + 74e70: 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in + 74e80: 666f5f74 0000001e 24096164 665f6e65 fo_t....$.adf_ne + 74e90: 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t + 74ea0: 0000001f 09096164 665f6e65 745f636d ......adf_net_cm + 74eb0: 645f7669 645f7400 00001c8e 09616466 d_vid_t......adf + 74ec0: 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload + 74ed0: 5f636170 5f740000 00204909 6164665f _cap_t... I.adf_ + 74ee0: 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. + 74ef0: 00002085 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd + 74f00: 5f6d6361 6464725f 74000000 21430d61 _mcaddr_t...!C.a + 74f10: 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast + 74f20: 5f636170 00040000 22bc0e41 44465f4e _cap...."..ADF_N + 74f30: 45545f4d 43415354 5f535550 00000e41 ET_MCAST_SUP...A + 74f40: 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT + 74f50: 53555000 01000961 64665f6e 65745f63 SUP....adf_net_c + 74f60: 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. + 74f70: 00227418 03040000 238e086c 696e6b5f ."t.....#..link_ + 74f80: 696e666f 00000021 7a022300 08706f6c info...!z.#..pol + 74f90: 6c5f696e 666f0000 00219702 23000863 l_info...!..#..c + 74fa0: 6b73756d 5f696e66 6f000000 21b40223 ksum_info...!..# + 74fb0: 00087269 6e675f69 6e666f00 000021d2 ..ring_info...!. + 74fc0: 02230008 646d615f 696e666f 00000021 .#..dma_info...! + 74fd0: ef022300 08766964 00000022 0b022300 ..#..vid..."..#. + 74fe0: 086f6666 6c6f6164 5f636170 00000022 .offload_cap..." + 74ff0: 22022300 08737461 74730000 00224102 ".#..stats..."A. + 75000: 2300086d 63617374 5f696e66 6f000000 #..mcast_info... + 75010: 225a0223 00086d63 6173745f 63617000 "Z.#..mcast_cap. + 75020: 000022bc 02230000 14040000 23e50e41 .."..#......#..A + 75030: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 75040: 5f4e4f4e 4500000e 4144465f 4e425546 _NONE...ADF_NBUF + 75050: 5f52585f 434b5355 4d5f4857 00010e41 _RX_CKSUM_HW...A + 75060: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM + 75070: 5f554e4e 45434553 53415259 00020009 _UNNECESSARY.... + 75080: 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu + 75090: 6d5f7479 70655f74 00000023 8e120800 m_type_t...#.... + 750a0: 00242508 72657375 6c740000 0023e502 .$%.result...#.. + 750b0: 23000876 616c0000 0015ff02 23040012 #..val......#... + 750c0: 08000024 55087479 70650000 00203102 ...$U.type... 1. + 750d0: 2300086d 73730000 001c8e02 23040868 #..mss......#..h + 750e0: 64725f6f 66660000 00166602 23060007 dr_off....f.#... + 750f0: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 75100: 000c0000 24940868 65616400 0000141f ....$..head..... + 75110: 02230008 7461696c 00000014 1f022304 .#..tail......#. + 75120: 08716c65 6e000000 15ff0223 0800095f .qlen......#..._ + 75130: 5f616466 5f6e6275 665f7400 0000141f _adf_nbuf_t..... + 75140: 03000016 75040003 000015ff 04000201 ....u........... + 75150: 06000013 01010600 0015ff01 06000016 ................ + 75160: 75010600 00167501 03000012 e2040009 u.....u......... + 75170: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead + 75180: 5f740000 00245509 5f5f6164 665f6e62 _t...$U.__adf_nb + 75190: 75665f71 75657565 5f740000 0024d503 uf_queue_t...$.. + 751a0: 000024ed 04000600 00249401 06000024 ..$......$.....$ + 751b0: 94011404 0000260d 0e415f53 54415455 ......&..A_STATU + 751c0: 535f4f4b 00000e41 5f535441 5455535f S_OK...A_STATUS_ + 751d0: 4641494c 45440001 0e415f53 54415455 FAILED...A_STATU + 751e0: 535f454e 4f454e54 00020e41 5f535441 S_ENOENT...A_STA + 751f0: 5455535f 454e4f4d 454d0003 0e415f53 TUS_ENOMEM...A_S + 75200: 54415455 535f4549 4e56414c 00040e41 TATUS_EINVAL...A + 75210: 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR + 75220: 45535300 050e415f 53544154 55535f45 ESS...A_STATUS_E + 75230: 4e4f5453 55505000 060e415f 53544154 NOTSUPP...A_STAT + 75240: 55535f45 42555359 00070e41 5f535441 US_EBUSY...A_STA + 75250: 5455535f 45324249 4700080e 415f5354 TUS_E2BIG...A_ST + 75260: 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA + 75270: 494c0009 0e415f53 54415455 535f454e IL...A_STATUS_EN + 75280: 58494f00 0a0e415f 53544154 55535f45 XIO...A_STATUS_E + 75290: 4641554c 54000b0e 415f5354 41545553 FAULT...A_STATUS + 752a0: 5f45494f 000c0009 615f7374 61747573 _EIO....a_status + 752b0: 5f740000 00251806 0000260d 01060000 _t...%....&..... + 752c0: 00fa0102 01096164 665f6e62 75665f74 ......adf_nbuf_t + 752d0: 00000024 94140400 0026720e 4144465f ...$.....&r.ADF_ + 752e0: 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE + 752f0: 00000e41 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR + 75300: 4f4d5f44 45564943 45000100 09616466 OM_DEVICE....adf + 75310: 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... + 75320: 263b0600 00260d01 02010961 64665f6f &;...&.....adf_o + 75330: 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. + 75340: 0000161c 03000026 90040002 01020106 .......&........ + 75350: 0000262b 01060000 24940102 01020106 ..&+....$....... + 75360: 0000262b 01060000 24940106 0000262b ..&+....$.....&+ + 75370: 01060000 24940106 0000262b 01020102 ....$.....&+.... + 75380: 01060000 15ff0106 00001675 01020102 ...........u.... + 75390: 01060000 1b2c0106 00001a79 01060000 .....,.....y.... + 753a0: 1a790109 6164665f 6f735f73 676c6973 .y..adf_os_sglis + 753b0: 745f7400 000016b4 03000027 09040002 t_t........'.... + 753c0: 01020102 01060000 16750109 6164665f .........u..adf_ + 753d0: 6e627566 5f717565 75655f74 00000024 nbuf_queue_t...$ + 753e0: ed030000 27310400 02010300 0024d504 ....'1.......$.. + 753f0: 00020102 01020106 0000262b 01060000 ..........&+.... + 75400: 24940106 000015ff 01060000 15ff0106 $............... + 75410: 00001a79 01060000 1a790106 00001f95 ...y.....y...... + 75420: 01060000 15ff0109 6164665f 6e627566 ........adf_nbuf + 75430: 5f72785f 636b7375 6d5f7400 00002403 _rx_cksum_t...$. + 75440: 03000027 8d040002 01020109 6164665f ...'........adf_ + 75450: 6e627566 5f74736f 5f740000 00242503 nbuf_tso_t...$%. + 75460: 000027b1 04000201 02010961 64665f6e ..'........adf_n + 75470: 65745f68 616e646c 655f7400 000003ef et_handle_t..... + 75480: 09616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr + 75490: 5f740000 001da103 000027e6 04000600 _t........'..... + 754a0: 00260d01 06000026 0d010201 0201075f .&.....&......._ + 754b0: 4849465f 434f4e46 49470004 00002835 HIF_CONFIG....(5 + 754c0: 0864756d 6d790000 0000fa02 23000002 .dummy......#... + 754d0: 01030000 28350400 02010300 00283e04 ....(5.......(>. + 754e0: 00075f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. + 754f0: 0c000028 93087365 6e645f62 75665f64 ...(..send_buf_d + 75500: 6f6e6500 00002837 02230008 72656376 one...(7.#..recv + 75510: 5f627566 00000028 40022304 08636f6e _buf...(@.#..con + 75520: 74657874 00000003 ef022308 00096869 text......#...hi + 75530: 665f6861 6e646c65 5f740000 0003ef09 f_handle_t...... + 75540: 4849465f 434f4e46 49470000 00281403 HIF_CONFIG...(.. + 75550: 000028a5 04000600 00289301 03000028 ..(......(.....( + 75560: bc040002 01030000 28c90400 09484946 ........(....HIF + 75570: 5f43414c 4c424143 4b000000 28470300 _CALLBACK...(G.. + 75580: 0028d204 00020103 000028eb 04000600 .(........(..... + 75590: 0000fa01 03000028 f4040002 01030000 .......(........ + 755a0: 29010400 06000000 fa010300 00290a04 )............).. + 755b0: 00020103 00002917 04000600 0000fa01 ......)......... + 755c0: 03000029 20040002 01030000 292d0400 ...) .......)-.. + 755d0: 07686966 5f617069 00380000 2a86085f .hif_api.8..*.._ + 755e0: 696e6974 00000028 c2022300 085f7368 init...(..#.._sh + 755f0: 7574646f 776e0000 0028cb02 2304085f utdown...(..#.._ + 75600: 72656769 73746572 5f63616c 6c626163 register_callbac + 75610: 6b000000 28ed0223 08085f67 65745f74 k...(..#.._get_t + 75620: 6f74616c 5f637265 6469745f 636f756e otal_credit_coun + 75630: 74000000 28fa0223 0c085f73 74617274 t...(..#.._start + 75640: 00000028 cb022310 085f636f 6e666967 ...(..#.._config + 75650: 5f706970 65000000 29030223 14085f73 _pipe...)..#.._s + 75660: 656e645f 62756666 65720000 00291002 end_buffer...).. + 75670: 2318085f 72657475 726e5f72 6563765f #.._return_recv_ + 75680: 62756600 00002919 02231c08 5f69735f buf...)..#.._is_ + 75690: 70697065 5f737570 706f7274 65640000 pipe_supported.. + 756a0: 00292602 2320085f 6765745f 6d61785f .)&.# ._get_max_ + 756b0: 6d73675f 6c656e00 00002926 02232408 msg_len...)&.#$. + 756c0: 5f676574 5f726573 65727665 645f6865 _get_reserved_he + 756d0: 6164726f 6f6d0000 0028fa02 2328085f adroom...(..#(._ + 756e0: 6973725f 68616e64 6c657200 000028cb isr_handler...(. + 756f0: 02232c08 5f676574 5f646566 61756c74 .#,._get_default + 75700: 5f706970 65000000 292f0223 30087052 _pipe...)/.#0.pR + 75710: 65736572 76656400 000003ef 02233400 eserved......#4. + 75720: 0d646d61 5f656e67 696e6500 0400002b .dma_engine....+ + 75730: 0f0e444d 415f454e 47494e45 5f525830 ..DMA_ENGINE_RX0 + 75740: 00000e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 75750: 3100010e 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R + 75760: 58320002 0e444d41 5f454e47 494e455f X2...DMA_ENGINE_ + 75770: 52583300 030e444d 415f454e 47494e45 RX3...DMA_ENGINE + 75780: 5f545830 00040e44 4d415f45 4e47494e _TX0...DMA_ENGIN + 75790: 455f5458 3100050e 444d415f 454e4749 E_TX1...DMA_ENGI + 757a0: 4e455f4d 41580006 0009646d 615f656e NE_MAX....dma_en + 757b0: 67696e65 5f740000 002a860d 646d615f gine_t...*..dma_ + 757c0: 69667479 70650004 00002b5c 0e444d41 iftype....+\.DMA + 757d0: 5f49465f 474d4143 00000e44 4d415f49 _IF_GMAC...DMA_I + 757e0: 465f5043 4900010e 444d415f 49465f50 F_PCI...DMA_IF_P + 757f0: 43494500 02000964 6d615f69 66747970 CIE....dma_iftyp + 75800: 655f7400 00002b21 06000012 d4010300 e_t...+!........ + 75810: 002b6e04 00020103 00002b7b 04000201 .+n.......+{.... + 75820: 0300002b 84040006 00000906 01030000 ...+............ + 75830: 2b8d0400 06000012 d4010300 002b9a04 +............+.. + 75840: 00060000 12d40103 00002ba7 04000600 ..........+..... + 75850: 00141f01 0300002b b4040002 01030000 .......+........ + 75860: 2bc10400 07646d61 5f6c6962 5f617069 +....dma_lib_api + 75870: 00340000 2cc80874 785f696e 69740000 .4..,..tx_init.. + 75880: 002b7402 23000874 785f7374 61727400 .+t.#..tx_start. + 75890: 00002b7d 02230408 72785f69 6e697400 ..+}.#..rx_init. + 758a0: 00002b74 02230808 72785f63 6f6e6669 ..+t.#..rx_confi + 758b0: 67000000 2b860223 0c087278 5f737461 g...+..#..rx_sta + 758c0: 72740000 002b7d02 23100869 6e74725f rt...+}.#..intr_ + 758d0: 73746174 75730000 002b9302 23140868 status...+..#..h + 758e0: 6172645f 786d6974 0000002b a0022318 ard_xmit...+..#. + 758f0: 08666c75 73685f78 6d697400 00002b7d .flush_xmit...+} + 75900: 02231c08 786d6974 5f646f6e 65000000 .#..xmit_done... + 75910: 2bad0223 20087265 61705f78 6d697474 +..# .reap_xmitt + 75920: 65640000 002bba02 23240872 6561705f ed...+..#$.reap_ + 75930: 72656376 0000002b ba022328 08726574 recv...+..#(.ret + 75940: 75726e5f 72656376 0000002b c302232c urn_recv...+..#, + 75950: 08726563 765f706b 74000000 2bad0223 .recv_pkt...+..# + 75960: 3000075f 5f706369 5f736f66 7463000c 0..__pci_softc.. + 75970: 00002ce6 08737700 000028d2 02230000 ..,..sw...(..#.. + 75980: 095f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. + 75990: 002cc803 00002ce6 04000201 0300002d .,....,........- + 759a0: 00040006 000012c0 01030000 2d090400 ............-... + 759b0: 0d686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx + 759c0: 00040000 2d690e48 49465f50 43495f50 ....-i.HIF_PCI_P + 759d0: 4950455f 54583000 000e4849 465f5043 IPE_TX0...HIF_PC + 759e0: 495f5049 50455f54 58310001 0e484946 I_PIPE_TX1...HIF + 759f0: 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX + 75a00: 00020009 6869665f 7063695f 70697065 ....hif_pci_pipe + 75a10: 5f74785f 74000000 2d160600 002b0f01 _tx_t...-....+.. + 75a20: 0300002d 8004000d 6869665f 7063695f ...-....hif_pci_ + 75a30: 70697065 5f727800 0400002e 060e4849 pipe_rx.......HI + 75a40: 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. + 75a50: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX + 75a60: 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE + 75a70: 5f525832 00020e48 49465f50 43495f50 _RX2...HIF_PCI_P + 75a80: 4950455f 52583300 030e4849 465f5043 IPE_RX3...HIF_PC + 75a90: 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... + 75aa0: 09686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx + 75ab0: 5f740000 002d8d06 00002b0f 01030000 _t...-....+..... + 75ac0: 2e1d0400 07686966 5f706369 5f617069 .....hif_pci_api + 75ad0: 00240000 2efb0870 63695f62 6f6f745f .$.....pci_boot_ + 75ae0: 696e6974 00000000 f3022300 08706369 init......#..pci + 75af0: 5f696e69 74000000 28c20223 04087063 _init...(..#..pc + 75b00: 695f7265 73657400 000000f3 02230808 i_reset......#.. + 75b10: 7063695f 656e6162 6c650000 0000f302 pci_enable...... + 75b20: 230c0870 63695f72 6561705f 786d6974 #..pci_reap_xmit + 75b30: 74656400 00002d02 02231008 7063695f ted...-..#..pci_ + 75b40: 72656170 5f726563 76000000 2d020223 reap_recv...-..# + 75b50: 14087063 695f6765 745f7069 70650000 ..pci_get_pipe.. + 75b60: 002d0f02 23180870 63695f67 65745f74 .-..#..pci_get_t + 75b70: 785f656e 67000000 2d860223 1c087063 x_eng...-..#..pc + 75b80: 695f6765 745f7278 5f656e67 0000002e i_get_rx_eng.... + 75b90: 23022320 0007676d 61635f61 70690004 #.# ..gmac_api.. + 75ba0: 00002f22 08676d61 635f626f 6f745f69 ../".gmac_boot_i + 75bb0: 6e697400 000000f3 02230000 0f000003 nit......#...... + 75bc0: 07060000 2f2f1005 00075f5f 65746868 ....//....__ethh + 75bd0: 6472000e 00002f65 08647374 0000002f dr..../e.dst.../ + 75be0: 22022300 08737263 0000002f 22022306 ".#..src.../".#. + 75bf0: 08657479 70650000 0012d402 230c0007 .etype......#... + 75c00: 5f5f6174 68686472 00040000 2fb31572 __athhdr..../..r + 75c10: 65730000 0012c001 00020223 00157072 es.........#..pr + 75c20: 6f746f00 000012c0 01020602 23000872 oto.........#..r + 75c30: 65735f6c 6f000000 12c00223 01087265 es_lo......#..re + 75c40: 735f6869 00000012 d4022302 00075f5f s_hi......#...__ + 75c50: 676d6163 5f686472 00140000 2fef0865 gmac_hdr..../..e + 75c60: 74680000 002f2f02 23000861 74680000 th...//.#..ath.. + 75c70: 002f6502 230e0861 6c69676e 5f706164 ./e.#..align_pad + 75c80: 00000012 d4022312 00095f5f 676d6163 ......#...__gmac + 75c90: 5f686472 5f740000 002fb307 5f5f676d _hdr_t.../..__gm + 75ca0: 61635f73 6f667463 00240000 30390868 ac_softc.$..09.h + 75cb0: 64720000 002fef02 23000867 72616e00 dr.../..#..gran. + 75cc0: 000012d4 02231408 73770000 0028d202 .....#..sw...(.. + 75cd0: 2318000c 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag + 75ce0: 655f6368 65636b00 00010300 00303904 e_check......09. + 75cf0: 00060000 00fa0103 00003057 04000300 ..........0W.... + 75d00: 0003f204 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i + 75d10: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 75d20: 0001b800 0031a708 68616c5f 6c696e6b .....1..hal_link + 75d30: 6167655f 63686563 6b000000 305d0223 age_check...0].# + 75d40: 00087374 6172745f 62737300 00003064 ..start_bss...0d + 75d50: 02230408 6170705f 73746172 74000000 .#..app_start... + 75d60: 00f30223 08086d65 6d000000 04320223 ...#..mem....2.# + 75d70: 0c086d69 73630000 00055102 23200870 ..misc....Q.# .p + 75d80: 72696e74 66000000 01270223 44087561 rintf....'.#D.ua + 75d90: 72740000 0001f002 234c0867 6d616300 rt......#L.gmac. + 75da0: 00002efb 02236c08 75736200 00000f80 .....#l.usb..... + 75db0: 02237008 636c6f63 6b000000 0ac90323 .#p.clock......# + 75dc0: e0010874 696d6572 00000007 69032384 ...timer....i.#. + 75dd0: 0208696e 74720000 000c1903 23980208 ..intr......#... + 75de0: 616c6c6f 6372616d 00000009 210323c4 allocram....!.#. + 75df0: 0208726f 6d700000 00081303 23d00208 ..romp......#... + 75e00: 7764745f 74696d65 72000000 0df60323 wdt_timer......# + 75e10: e0020865 65700000 000f2403 23fc0208 ...eep....$.#... + 75e20: 73747269 6e670000 00067503 238c0308 string....u.#... + 75e30: 7461736b 6c657400 00000a1e 0323a403 tasklet......#.. + 75e40: 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF + 75e50: 49470010 0000321a 08676574 5f636f6d IG....2..get_com + 75e60: 6d616e64 5f627566 00000014 2c022300 mand_buf....,.#. + 75e70: 08726563 765f636f 6d6d616e 64000000 .recv_command... + 75e80: 14420223 04086765 745f6576 656e745f .B.#..get_event_ + 75e90: 62756600 0000142c 02230808 73656e64 buf....,.#..send + 75ea0: 5f657665 6e745f64 6f6e6500 00001442 _event_done....B + 75eb0: 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO + 75ec0: 4e464947 00000031 a7030000 321a0400 NFIG...1....2... + 75ed0: 02010300 00323604 00077573 62666966 .....26...usbfif + 75ee0: 6f5f6170 69000c00 00328c08 5f696e69 o_api....2.._ini + 75ef0: 74000000 32380223 00085f65 6e61626c t...28.#.._enabl + 75f00: 655f6576 656e745f 69737200 000000f3 e_event_isr..... + 75f10: 02230408 70526573 65727665 64000000 .#..pReserved... + 75f20: 03ef0223 08000f00 00166602 00003299 ...#......f...2. + 75f30: 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H + 75f40: 44520008 0000330b 08456e64 706f696e DR....3..Endpoin + 75f50: 74494400 00001666 02230008 466c6167 tID....f.#..Flag + 75f60: 73000000 16660223 01085061 796c6f61 s....f.#..Payloa + 75f70: 644c656e 0000001c 8e022302 08436f6e dLen......#..Con + 75f80: 74726f6c 42797465 73000000 328c0223 trolBytes...2..# + 75f90: 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... + 75fa0: 8e022306 00120200 00332408 4d657373 ..#......3$.Mess + 75fb0: 61676549 44000000 1c8e0223 00001208 ageID......#.... + 75fc0: 00003387 084d6573 73616765 49440000 ..3..MessageID.. + 75fd0: 001c8e02 23000843 72656469 74436f75 ....#..CreditCou + 75fe0: 6e740000 001c8e02 23020843 72656469 nt......#..Credi + 75ff0: 7453697a 65000000 1c8e0223 04084d61 tSize......#..Ma + 76000: 78456e64 706f696e 74730000 00166602 xEndpoints....f. + 76010: 2306085f 50616431 00000016 66022307 #.._Pad1....f.#. + 76020: 00120a00 00341e08 4d657373 61676549 .....4..MessageI + 76030: 44000000 1c8e0223 00085365 72766963 D......#..Servic + 76040: 65494400 00001c8e 02230208 436f6e6e eID......#..Conn + 76050: 65637469 6f6e466c 61677300 00001c8e ectionFlags..... + 76060: 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe + 76070: 49440000 00166602 23060855 704c696e ID....f.#..UpLin + 76080: 6b506970 65494400 00001666 02230708 kPipeID....f.#.. + 76090: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt + 760a0: 68000000 16660223 08085f50 61643100 h....f.#.._Pad1. + 760b0: 00001666 02230900 120a0000 34a6084d ...f.#......4..M + 760c0: 65737361 67654944 0000001c 8e022300 essageID......#. + 760d0: 08536572 76696365 49440000 001c8e02 .ServiceID...... + 760e0: 23020853 74617475 73000000 16660223 #..Status....f.# + 760f0: 0408456e 64706f69 6e744944 00000016 ..EndpointID.... + 76100: 66022305 084d6178 4d736753 697a6500 f.#..MaxMsgSize. + 76110: 00001c8e 02230608 53657276 6963654d .....#..ServiceM + 76120: 6574614c 656e6774 68000000 16660223 etaLength....f.# + 76130: 08085f50 61643100 00001666 02230900 .._Pad1....f.#.. + 76140: 12020000 34bf084d 65737361 67654944 ....4..MessageID + 76150: 0000001c 8e022300 00120400 0034fb08 ......#......4.. + 76160: 4d657373 61676549 44000000 1c8e0223 MessageID......# + 76170: 00085069 70654944 00000016 66022302 ..PipeID....f.#. + 76180: 08437265 64697443 6f756e74 00000016 .CreditCount.... + 76190: 66022303 00120400 00353208 4d657373 f.#......52.Mess + 761a0: 61676549 44000000 1c8e0223 00085069 ageID......#..Pi + 761b0: 70654944 00000016 66022302 08537461 peID....f.#..Sta + 761c0: 74757300 00001666 02230300 12020000 tus....f.#...... + 761d0: 35590852 65636f72 64494400 00001666 5Y.RecordID....f + 761e0: 02230008 4c656e67 74680000 00166602 .#..Length....f. + 761f0: 23010012 02000035 8308456e 64706f69 #......5..Endpoi + 76200: 6e744944 00000016 66022300 08437265 ntID....f.#..Cre + 76210: 64697473 00000016 66022301 00120400 dits....f.#..... + 76220: 0035c408 456e6470 6f696e74 49440000 .5..EndpointID.. + 76230: 00166602 23000843 72656469 74730000 ..f.#..Credits.. + 76240: 00166602 23010854 67744372 65646974 ..f.#..TgtCredit + 76250: 5365714e 6f000000 1c8e0223 02000f00 SeqNo......#.... + 76260: 00166604 000035d1 10030012 06000036 ..f...5........6 + 76270: 0d085072 6556616c 69640000 00166602 ..PreValid....f. + 76280: 2300084c 6f6f6b41 68656164 00000035 #..LookAhead...5 + 76290: c4022301 08506f73 7456616c 69640000 ..#..PostValid.. + 762a0: 00166602 23050009 706f6f6c 5f68616e ..f.#...pool_han + 762b0: 646c655f 74000000 03ef0600 00360d01 dle_t........6.. + 762c0: 03000036 20040002 01030000 362d0400 ...6 .......6-.. + 762d0: 14040000 36ab0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H + 762e0: 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO + 762f0: 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD + 76300: 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID + 76310: 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. + 76320: 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX + 76330: 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M + 76340: 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I + 76350: 44000000 36360201 03000036 bc040006 D...66.....6.... + 76360: 0000262b 01030000 36c50400 06000026 ..&+....6......& + 76370: 2b010300 0036d204 00020103 000036df +....6........6. + 76380: 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. + 76390: 1c000037 81085f69 6e697400 00003626 ...7.._init...6& + 763a0: 02230008 5f736875 74646f77 6e000000 .#.._shutdown... + 763b0: 362f0223 04085f63 72656174 655f706f 6/.#.._create_po + 763c0: 6f6c0000 0036be02 2308085f 616c6c6f ol...6..#.._allo + 763d0: 635f6275 66000000 36cb0223 0c085f61 c_buf...6..#.._a + 763e0: 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. + 763f0: 0036d802 2310085f 66726565 5f627566 .6..#.._free_buf + 76400: 00000036 e1022314 08705265 73657276 ...6..#..pReserv + 76410: 65640000 0003ef02 23180007 5f485443 ed......#..._HTC + 76420: 5f534552 56494345 001c0000 38600870 _SERVICE....8`.p + 76430: 4e657874 00000038 60022300 0850726f Next...8`.#..Pro + 76440: 63657373 52656376 4d736700 00003915 cessRecvMsg...9. + 76450: 02230408 50726f63 65737353 656e6442 .#..ProcessSendB + 76460: 75666665 72436f6d 706c6574 65000000 ufferComplete... + 76470: 391e0223 08085072 6f636573 73436f6e 9..#..ProcessCon + 76480: 6e656374 00000039 3202230c 08536572 nect...92.#..Ser + 76490: 76696365 49440000 0012d402 23100853 viceID......#..S + 764a0: 65727669 6365466c 61677300 000012d4 erviceFlags..... + 764b0: 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz + 764c0: 65000000 12d40223 14085472 61696c65 e......#..Traile + 764d0: 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. + 764e0: 0012d402 23160853 65727669 63654374 ....#..ServiceCt + 764f0: 78000000 03ef0223 18000300 00378104 x......#.....7.. + 76500: 00140400 0038fe19 454e4450 4f494e54 .....8..ENDPOINT + 76510: 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END + 76520: 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN + 76530: 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. + 76540: 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END + 76550: 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN + 76560: 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. + 76570: 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END + 76580: 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN + 76590: 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END + 765a0: 504f494e 545f4944 00000038 67020103 POINT_ID...8g... + 765b0: 00003913 04000201 03000039 1c040003 ..9........9.... + 765c0: 000000fa 04000600 0012c001 03000039 ...............9 + 765d0: 2c040003 00003781 0400075f 4854435f ,.....7...._HTC_ + 765e0: 434f4e46 49470014 000039b1 08437265 CONFIG....9..Cre + 765f0: 64697453 697a6500 000000fa 02230008 ditSize......#.. + 76600: 43726564 69744e75 6d626572 00000000 CreditNumber.... + 76610: fa022304 084f5348 616e646c 65000000 ..#..OSHandle... + 76620: 1a2a0223 08084849 4648616e 646c6500 .*.#..HIFHandle. + 76630: 00002893 02230c08 506f6f6c 48616e64 ..(..#..PoolHand + 76640: 6c650000 00360d02 23100007 5f485443 le...6..#..._HTC + 76650: 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... + 76660: 39ed0865 6e645f70 6f696e74 00000012 9..end_point.... + 76670: c0022300 08687463 5f666c61 67730000 ..#..htc_flags.. + 76680: 0012c002 23010009 6874635f 68616e64 ....#...htc_hand + 76690: 6c655f74 00000003 ef094854 435f5345 le_t......HTC_SE + 766a0: 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. + 766b0: 000000f3 09485443 5f434f4e 46494700 .....HTC_CONFIG. + 766c0: 00003940 0300003a 1a040006 000039ed ..9@...:......9. + 766d0: 01030000 3a310400 02010300 003a3e04 ....:1.......:>. + 766e0: 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... + 766f0: 37810300 003a4704 00020103 00003a5f 7....:G.......:_ + 76700: 04000201 0300003a 68040002 01030000 .......:h....... + 76710: 3a710400 06000000 fa010300 003a7a04 :q...........:z. + 76720: 00076874 635f6170 69730034 00003bf7 ..htc_apis.4..;. + 76730: 085f4854 435f496e 69740000 003a3702 ._HTC_Init...:7. + 76740: 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown + 76750: 0000003a 40022304 085f4854 435f5265 ...:@.#.._HTC_Re + 76760: 67697374 65725365 72766963 65000000 gisterService... + 76770: 3a610223 08085f48 54435f52 65616479 :a.#.._HTC_Ready + 76780: 0000003a 4002230c 085f4854 435f5265 ...:@.#.._HTC_Re + 76790: 7475726e 42756666 65727300 00003a6a turnBuffers...:j + 767a0: 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB + 767b0: 75666665 72734c69 73740000 003a7302 uffersList...:s. + 767c0: 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. + 767d0: 00003a6a 02231808 5f485443 5f476574 ..:j.#.._HTC_Get + 767e0: 52657365 72766564 48656164 726f6f6d ReservedHeadroom + 767f0: 0000003a 8002231c 085f4854 435f4d73 ...:..#.._HTC_Ms + 76800: 67526563 7648616e 646c6572 00000028 gRecvHandler...( + 76810: 40022320 085f4854 435f5365 6e64446f @.# ._HTC_SendDo + 76820: 6e654861 6e646c65 72000000 28370223 neHandler...(7.# + 76830: 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv + 76840: 6350726f 63657373 4d736700 00003915 cProcessMsg...9. + 76850: 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control + 76860: 53766350 726f6365 73735365 6e64436f SvcProcessSendCo + 76870: 6d706c65 74650000 00391e02 232c0870 mplete...9..#,.p + 76880: 52657365 72766564 00000003 ef022330 Reserved......#0 + 76890: 0007686f 73745f61 70705f61 7265615f ..host_app_area_ + 768a0: 73000400 003c2708 776d695f 70726f74 s....<'.wmi_prot + 768b0: 6f636f6c 5f766572 00000015 ff022300 ocol_ver......#. + 768c0: 00120e00 003c5e08 6473744d 61630000 .....<^.dstMac.. + 768d0: 001c4b02 23000873 72634d61 63000000 ..K.#..srcMac... + 768e0: 1c4b0223 06087479 70654f72 4c656e00 .K.#..typeOrLen. + 768f0: 00001c8e 02230c00 0f000016 66030000 .....#......f... + 76900: 3c6b1002 00120800 003cbb08 64736170 + 76aa0: 5a084874 6348616e 646c6500 000039ed Z.HtcHandle...9. + 76ab0: 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. + 76ac0: 00360d02 2304084d 6178436d 64526570 .6..#..MaxCmdRep + 76ad0: 6c794576 74730000 0000fa02 2308084d lyEvts......#..M + 76ae0: 61784576 656e7445 76747300 000000fa axEventEvts..... + 76af0: 02230c00 02010300 003e5a04 0009574d .#.......>Z...WM + 76b00: 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... + 76b10: 3e5c075f 574d495f 44495350 41544348 >\._WMI_DISPATCH + 76b20: 5f454e54 52590008 00003ec3 0870436d _ENTRY....>..pCm + 76b30: 6448616e 646c6572 0000003e 63022300 dHandler...>c.#. + 76b40: 08436d64 49440000 0012d402 23040846 .CmdID......#..F + 76b50: 6c616773 00000012 d4022306 00075f57 lags......#..._W + 76b60: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL + 76b70: 45001000 003f2408 704e6578 74000000 E....?$.pNext... + 76b80: 3f240223 00087043 6f6e7465 78740000 ?$.#..pContext.. + 76b90: 0003ef02 2304084e 756d6265 724f6645 ....#..NumberOfE + 76ba0: 6e747269 65730000 0000fa02 23080870 ntries......#..p + 76bb0: 5461626c 65000000 3f430223 0c000300 Table...?C.#.... + 76bc0: 003ec304 0009574d 495f4449 53504154 .>....WMI_DISPAT + 76bd0: 43485f45 4e545259 0000003e 78030000 CH_ENTRY...>x... + 76be0: 3f2b0400 0300003e c3040009 4854435f ?+.....>....HTC_ + 76bf0: 4255465f 434f4e54 45585400 000039b1 BUF_CONTEXT...9. + 76c00: 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. + 76c10: 00003fdb 19574d49 5f455654 5f434c41 ..?..WMI_EVT_CLA + 76c20: 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI + 76c30: 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E + 76c40: 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C + 76c50: 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. + 76c60: 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M + 76c70: 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL + 76c80: 41535300 00003f66 075f574d 495f4255 ASS...?f._WMI_BU + 76c90: 465f434f 4e544558 54000c00 00403908 F_CONTEXT....@9. + 76ca0: 48746342 75664374 78000000 3f510223 HtcBufCtx...?Q.# + 76cb0: 00084576 656e7443 6c617373 0000003f ..EventClass...? + 76cc0: db022304 08466c61 67730000 0012d402 ..#..Flags...... + 76cd0: 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t + 76ce0: 00000003 ef09574d 495f5356 435f434f ......WMI_SVC_CO + 76cf0: 4e464947 0000003d f1030000 404b0400 NFIG...=....@K.. + 76d00: 06000040 39010300 00406604 0009574d ...@9....@f...WM + 76d10: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 76d20: 0000003e c3030000 40730400 02010300 ...>....@s...... + 76d30: 00409204 00060000 262b0103 0000409b .@......&+....@. + 76d40: 04000201 03000040 a8040006 000000fa .......@........ + 76d50: 01030000 40b10400 02010300 0040be04 ....@........@.. + 76d60: 00060000 12c00103 000040c7 0400075f ..........@...._ + 76d70: 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. + 76d80: 420f085f 574d495f 496e6974 00000040 B.._WMI_Init...@ + 76d90: 6c022300 085f574d 495f5265 67697374 l.#.._WMI_Regist + 76da0: 65724469 73706174 63685461 626c6500 erDispatchTable. + 76db0: 00004094 02230408 5f574d49 5f416c6c ..@..#.._WMI_All + 76dc0: 6f634576 656e7400 000040a1 02230808 ocEvent...@..#.. + 76dd0: 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. + 76de0: 0040aa02 230c085f 574d495f 47657450 .@..#.._WMI_GetP + 76df0: 656e6469 6e674576 656e7473 436f756e endingEventsCoun + 76e00: 74000000 40b70223 10085f57 4d495f53 t...@..#.._WMI_S + 76e10: 656e6443 6f6d706c 65746548 616e646c endCompleteHandl + 76e20: 65720000 00391e02 2314085f 574d495f er...9..#.._WMI_ + 76e30: 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ + 76e40: b7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo + 76e50: 776e0000 0040c002 231c085f 574d495f wn...@..#.._WMI_ + 76e60: 52656376 4d657373 61676548 616e646c RecvMessageHandl + 76e70: 65720000 00391502 2320085f 574d495f er...9..# ._WMI_ + 76e80: 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. + 76e90: 0040cd02 23240870 52657365 72766564 .@..#$.pReserved + 76ea0: 00000003 ef022328 00077a73 446d6144 ......#(..zsDmaD + 76eb0: 65736300 14000042 91086374 726c0000 esc....B..ctrl.. + 76ec0: 00015e02 23000873 74617475 73000000 ..^.#..status... + 76ed0: 015e0223 0208746f 74616c4c 656e0000 .^.#..totalLen.. + 76ee0: 00015e02 23040864 61746153 697a6500 ..^.#..dataSize. + 76ef0: 0000015e 02230608 6c617374 41646472 ...^.#..lastAddr + 76f00: 00000042 91022308 08646174 61416464 ...B..#..dataAdd + 76f10: 72000000 01820223 0c086e65 78744164 r......#..nextAd + 76f20: 64720000 00429102 23100003 0000420f dr...B..#.....B. + 76f30: 04000300 00420f04 00077a73 446d6151 .....B....zsDmaQ + 76f40: 75657565 00080000 42d10868 65616400 ueue....B..head. + 76f50: 00004298 02230008 7465726d 696e6174 ..B..#..terminat + 76f60: 6f720000 00429802 23040007 7a735478 or...B..#...zsTx + 76f70: 446d6151 75657565 00100000 43350868 DmaQueue....C5.h + 76f80: 65616400 00004298 02230008 7465726d ead...B..#..term + 76f90: 696e6174 6f720000 00429802 23040878 inator...B..#..x + 76fa0: 6d697465 645f6275 665f6865 61640000 mited_buf_head.. + 76fb0: 00141f02 23080878 6d697465 645f6275 ....#..xmited_bu + 76fc0: 665f7461 696c0000 00141f02 230c0002 f_tail......#... + 76fd0: 01030000 43350400 03000042 9f040002 ....C5.....B.... + 76fe0: 01030000 43450400 03000042 d1040002 ....CE.....B.... + 76ff0: 01030000 43550400 02010300 00435e04 ....CU.......C^. + 77000: 00020103 00004367 04000600 00141f01 ......Cg........ + 77010: 03000043 70040002 01030000 437d0400 ...Cp.......C}.. + 77020: 06000014 1f010300 00438604 00020103 .........C...... + 77030: 00004393 04000600 0000fa01 03000043 ..C............C + 77040: 9c040006 00004298 01030000 43a90400 ......B.....C... + 77050: 02010300 0043b604 0007646d 615f656e .....C....dma_en + 77060: 67696e65 5f617069 00400000 452c085f gine_api.@..E,._ + 77070: 696e6974 00000043 37022300 085f696e init...C7.#.._in + 77080: 69745f72 785f7175 65756500 00004347 it_rx_queue...CG + 77090: 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que + 770a0: 75650000 00435702 2308085f 636f6e66 ue...CW.#.._conf + 770b0: 69675f72 785f7175 65756500 00004360 ig_rx_queue...C` + 770c0: 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... + 770d0: 43690223 10085f66 6c757368 5f786d69 Ci.#.._flush_xmi + 770e0: 74000000 43470223 14085f72 6561705f t...CG.#.._reap_ + 770f0: 72656376 5f627566 00000043 76022318 recv_buf...Cv.#. + 77100: 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu + 77110: 66000000 437f0223 1c085f72 6561705f f...C..#.._reap_ + 77120: 786d6974 65645f62 75660000 00438c02 xmited_buf...C.. + 77130: 2320085f 73776170 5f646174 61000000 # ._swap_data... + 77140: 43950223 24085f68 61735f63 6f6d706c C..#$._has_compl + 77150: 5f706163 6b657473 00000043 a2022328 _packets...C..#( + 77160: 085f6465 73635f64 756d7000 00004347 ._desc_dump...CG + 77170: 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. + 77180: 000043af 02233008 5f726563 6c61696d ..C..#0._reclaim + 77190: 5f706163 6b657400 000043b8 02233408 _packet...C..#4. + 771a0: 5f707574 5f706163 6b657400 000043b8 _put_packet...C. + 771b0: 02233808 70526573 65727665 64000000 .#8.pReserved... + 771c0: 03ef0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ + 771d0: 696e6469 72656374 696f6e5f 7461626c indirection_tabl + 771e0: 655f7400 0000306b 09574d49 5f535643 e_t...0k.WMI_SVC + 771f0: 5f415049 53000000 40d4175f 415f6d61 _APIS...@.._A_ma + 77200: 67706965 5f696e64 69726563 74696f6e gpie_indirection + 77210: 5f746162 6c650003 4c000046 5a08636d _table..L..FZ.cm + 77220: 6e6f7300 0000452c 02230008 64626700 nos...E,.#..dbg. + 77230: 000003bc 0323b803 08686966 00000029 .....#...hif...) + 77240: 360323c0 03086874 63000000 3a870323 6.#...htc...:..# + 77250: f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. + 77260: 00454e03 23ac0408 75736266 69666f5f .EN.#...usbfifo_ + 77270: 61706900 0000323f 0323d804 08627566 api...2?.#...buf + 77280: 5f706f6f 6c000000 36e80323 e4040876 _pool...6..#...v + 77290: 62756600 00001449 03238005 08766465 buf....I.#...vde + 772a0: 73630000 00132b03 23940508 616c6c6f sc....+.#...allo + 772b0: 6372616d 00000009 210323a8 0508646d cram....!.#...dm + 772c0: 615f656e 67696e65 00000043 bf0323b4 a_engine...C..#. + 772d0: 0508646d 615f6c69 62000000 2bca0323 ..dma_lib...+..# + 772e0: f4050868 69665f70 63690000 002e2a03 ...hif_pci....*. + 772f0: 23a80600 12080000 468e086d 6f72655f #.......F..more_ + 77300: 64617461 0000001c 8e022300 086c656e data......#..len + 77310: 0000001c 8e022302 086f6666 73657400 ......#..offset. + 77320: 000015ff 02230400 12080000 46b00872 .....#......F..r + 77330: 73700000 0015ff02 2300086f 66667365 sp......#..offse + 77340: 74000000 15ff0223 0400120c 000046f7 t......#......F. + 77350: 08616464 72000000 15ff0223 00086869 .addr......#..hi + 77360: 665f6861 6e646c65 00000028 93022304 f_handle...(..#. + 77370: 0872785f 70697065 00000016 66022308 .rx_pipe....f.#. + 77380: 0874785f 70697065 00000016 66022309 .tx_pipe....f.#. + 77390: 0009415f 494e5433 32000000 00fa095f ..A_INT32......_ + 773a0: 5f615f69 6e743332 5f740000 0046f709 _a_int32_t...F.. + 773b0: 615f696e 7433325f 74000000 4704076d a_int32_t...G..m + 773c0: 64696f5f 62775f65 78656300 18000047 dio_bw_exec....G + 773d0: ac087374 6172745f 61646472 65737300 ..start_address. + 773e0: 000015ff 02230008 6c656e67 74680000 .....#..length.. + 773f0: 0015ff02 23040865 7865635f 61646472 ....#..exec_addr + 77400: 65737300 000015ff 02230808 63686563 ess......#..chec + 77410: 6b73756d 00000015 ff02230c 08667764 ksum......#..fwd + 77420: 5f737461 74650000 00471502 23100863 _state...G..#..c + 77430: 75727265 6e745f77 725f7074 72000000 urrent_wr_ptr... + 77440: 16750223 14000600 0000fa01 03000001 .u.#............ + 77450: 97040009 5f415f6d 61677069 655f696e ...._A_magpie_in + 77460: 64697265 6374696f 6e5f7461 626c655f direction_table_ + 77470: 74000000 45600966 77645f74 67745f73 t...E`.fwd_tgt_s + 77480: 6f667463 5f740000 0046b01a 6677645f oftc_t...F..fwd_ + 77490: 73630000 0047dc05 0300500b 0c010600 sc...G....P..... + 774a0: 00289301 02010300 00480a04 00020103 .(.......H...... + 774b0: 00004813 04000300 0047dc04 00060000 ..H......G...... + 774c0: 260d0103 00001666 04000966 77645f63 &......f...fwd_c + 774d0: 6d645f74 00000046 5a030000 48300400 md_t...FZ...H0.. + 774e0: 03000048 30040003 00000906 04000966 ...H0..........f + 774f0: 77645f72 73705f74 00000046 8e030000 wd_rsp_t...F.... + 77500: 48540400 03000048 54040009 6a6d705f HT.....HT...jmp_ + 77510: 66756e63 00000000 f3030000 29360400 func........)6.. + 77520: 02010f00 0001011b 00004895 101a0003 ..........H..... + 77530: 00004888 04000f00 00010119 000048a9 ..H...........H. + 77540: 10180003 0000489c 04000201 06000000 ......H......... + 77550: fa010f00 00010129 000048c5 10280003 .......)..H..(.. + 77560: 000048b8 04000f00 0001012b 000048d9 ..H........+..H. + 77570: 102a0003 000048cc 04000600 0003f201 .*....H......... + 77580: 096d6469 6f5f6277 5f657865 635f7400 .mdio_bw_exec_t. + 77590: 00004724 03000048 e6040006 000000fa ..G$...H........ + 775a0: 010f0000 01011f00 00491410 1e000300 .........I...... + 775b0: 00490704 000f0000 01011c00 00492810 .I...........I(. + 775c0: 1b000300 00491b04 000f0000 01011800 .....I.......... + 775d0: 00493c10 17000300 00492f04 000f0000 .I<......I/..... + 775e0: 01012c00 00495010 2b000300 00494304 ..,..IP.+....IC. + 775f0: 000f0000 01012500 00496410 24000300 ......%..Id.$... + 77600: 00495704 001b3d36 6d69695f 7265675f .IW...=6mii_reg_ + 77610: 77726974 655f3332 00000000 fa010392 write_32........ + 77620: 01200290 00008e5d 24008e5d 39000049 . .....]$..]9..I + 77630: b31c3d36 61646472 00000001 9701521c ..=6addr......R. + 77640: 3d367661 6c000000 01740153 001d011a =6val....t.S.... + 77650: 6677645f 696e6974 00000028 93010392 fwd_init...(.... + 77660: 01300290 00008e5d 3c008e5d 7400004a .0.....]<..]t..J + 77670: 061e6869 66636f6e 66696700 000028d2 ..hifconfig...(. + 77680: 0291501f 7265735f 68656164 726f6f6d ..P.res_headroom + 77690: 00000009 061f7369 7a650000 00090600 ......size...... + 776a0: 20012f66 77645f72 65746275 665f6861 ./fwd_retbuf_ha + 776b0: 6e646c65 72000101 03920120 02900000 ndler...... .... + 776c0: 8e5d7400 8e5d8c00 004a531c 012f6275 .]t..]...JS../bu + 776d0: 66000000 141f0152 1c012f53 65727669 f......R../Servi + 776e0: 63654374 78000000 03ef0153 00210135 ceCtx......S.!.5 + 776f0: 6677645f 7467745f 70726f63 6573735f fwd_tgt_process_ + 77700: 6c617374 00000026 0d010103 92012002 last...&...... . + 77710: 9000008e 5d8c008e 5dba0000 4ac21c01 ....]...]...J... + 77720: 3573697a 65000000 09060152 1c013563 5size......R..5c + 77730: 6b73756d 00000009 0601531f 63686563 ksum......S.chec + 77740: 6b73756d 00000000 fa1f696d 61676500 ksum......image. + 77750: 000014e0 1f690000 0000fa00 20014666 .....i...... .Ff + 77760: 77645f74 67745f72 65637600 01010392 wd_tgt_recv..... + 77770: 01200290 00008e5d bc008e5e 9b00004b . .....]...^...K + 77780: a01c0146 6864725f 62756600 0000141f ...Fhdr_buf..... + 77790: 01521c01 46627566 00000014 1f01531c .R..Fbuf......S. + 777a0: 01466374 78000000 03ef0154 1f646573 .Fctx......T.des + 777b0: 63000000 13011f64 61746100 00004829 c......data...H) + 777c0: 1f736567 6c656e00 00000906 1f630000 .seglen......c.. + 777d0: 00483f1f 6c656e00 00000906 1f6f6666 .H?.len......off + 777e0: 73657400 00000906 1f6d6f72 65000000 set......more... + 777f0: 09061f69 6d616765 00000048 4d1f6461 ...image...HM.da + 77800: 64647200 0000484d 1f690000 0009061f ddr...HM.i...... + 77810: 72000000 48631f73 74617475 73000000 r...Hc.status... + 77820: 260d1f65 6c6f6300 00000906 1f66756e &..eloc......fun + 77830: 63707472 00000048 71002001 926d6167 cptr...Hq. ..mag + 77840: 7069655f 6d64696f 5f6d6f64 756c655f pie_mdio_module_ + 77850: 696e7374 616c6c00 01010392 01200290 install...... .. + 77860: 00008e5e 9c008e5e be00004b e21c0192 ...^...^...K.... + 77870: 61706973 00000048 7f015200 2001a46d apis...H..R. ..m + 77880: 61677069 655f6d64 696f5f62 6f6f745f agpie_mdio_boot_ + 77890: 696e6974 00010103 92012002 9000008e init...... ..... + 778a0: 5ec0008e 5f820000 4c1a1f76 616c0000 ^..._...L..val.. + 778b0: 00019700 2101cf6d 61677069 655f6d64 ....!..magpie_md + 778c0: 696f5f77 6169745f 666f725f 6c6f636b io_wait_for_lock + 778d0: 00000000 fa010103 92013002 9000008e ..........0..... + 778e0: 5f84008e 5fbd0000 4c5d1e76 616c0000 _..._...L].val.. + 778f0: 00017402 91500020 01dd6d61 67706965 ..t..P. ..magpie + 77900: 5f6d6469 6f5f7265 6c656173 655f6c6f _mdio_release_lo + 77910: 636b0001 01039201 20029000 008e5fc0 ck...... ....._. + 77920: 008e5fd6 00004ca4 1c01dd65 78747261 .._...L....extra + 77930: 5f666c61 67730000 00030701 52002101 _flags......R.!. + 77940: e36d6469 6f5f7265 61645f62 6c6f636b .mdio_read_block + 77950: 00000000 fa010103 92012002 9000008e .......... ..... + 77960: 5fd8008e 601d0000 4d0e1c01 e3707472 _...`...M....ptr + 77970: 00000019 7f01521c 01e36c65 6e000000 ......R...len... + 77980: 00fa0153 1f6a0000 0000fa1f 6e657874 ...S.j......next + 77990: 5f726561 645f7265 67000000 00fa1f76 _read_reg......v + 779a0: 616c0000 00017400 2101f96d 61677069 al....t.!..magpi + 779b0: 655f6d64 696f5f63 6f70795f 62797465 e_mdio_copy_byte + 779c0: 73000000 00fa0101 03920120 02900000 s.......... .... + 779d0: 8e602000 8e605d00 004d751c 01f9746f .` ..`]..Mu...to + 779e0: 00000019 7f01521c 01f96c65 6e677468 ......R...length + 779f0: 00000000 fa01531f 6377696e 64657800 ......S.cwindex. + 77a00: 000000fa 1f696c65 6e000000 00fa0022 .....ilen......" + 77a10: 01010a66 775f636f 6d707574 655f636b ...fw_compute_ck + 77a20: 73756d00 000003f2 01010392 01200290 sum.......... .. + 77a30: 00008e60 60008e60 7f00004d d0230101 ...``..`...M.#.. + 77a40: 0a707472 00000030 64015223 01010a6c .ptr...0d.R#...l + 77a50: 656e0000 0000fa01 531f7375 6d000000 en......S.sum... + 77a60: 03f21f69 00000000 fa002401 011a6d64 ...i......$...md + 77a70: 696f5f67 65745f66 775f696d 61676500 io_get_fw_image. + 77a80: 000000fa 01010392 01200290 00008e60 ......... .....` + 77a90: 80008e61 28230101 1a66775f 62775f73 ...a(#...fw_bw_s + 77aa0: 74617465 00000048 fa01521f 6c000000 tate...H..R.l... + 77ab0: 00fa1f72 646c656e 00000000 fa000000 ...rdlen........ + 77ac0: 000049eb 00020000 2b560401 2f726f6f ..I.....+V../roo + 77ad0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 77ae0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 77af0: 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 77b00: 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 77b10: 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 77b20: 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 77b30: 6f6c2f73 72632f62 75665f70 6f6f6c5f ol/src/buf_pool_ + 77b40: 73746174 69632e63 002f726f 6f742f57 static.c./root/W + 77b50: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 77b60: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 77b70: 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + 77b80: 706f6f6c 0078742d 78636320 666f7220 pool.xt-xcc for + 77b90: 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align + 77ba0: 5f696e73 74727563 74696f6e 733d3332 _instructions=32 + 77bb0: 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp + 77bc0: 61636500 01000001 ab4e0201 03000001 ace......N...... + 77bd0: 0a040004 696e7400 05040463 68617200 ....int....char. + 77be0: 07010500 00011a05 0000011a 03000001 ................ + 77bf0: 27040006 00000113 01030000 01330400 '............3.. + 77c00: 07707269 6e74665f 61706900 08000001 .printf_api..... + 77c10: 77085f70 72696e74 665f696e 69740000 w._printf_init.. + 77c20: 00010c02 2300085f 7072696e 74660000 ....#.._printf.. + 77c30: 00013902 23040004 73686f72 7420756e ..9.#...short un + 77c40: 7369676e 65642069 6e740007 02097569 signed int....ui + 77c50: 6e743136 5f740000 00017704 6c6f6e67 nt16_t....w.long + 77c60: 20756e73 69676e65 6420696e 74000704 unsigned int... + 77c70: 0975696e 7433325f 74000000 019b0775 .uint32_t......u + 77c80: 6172745f 6669666f 00080000 02090873 art_fifo.......s + 77c90: 74617274 5f696e64 65780000 00018d02 tart_index...... + 77ca0: 23000865 6e645f69 6e646578 00000001 #..end_index.... + 77cb0: 8d022302 086f7665 7272756e 5f657272 ..#..overrun_err + 77cc0: 00000001 b0022304 00077561 72745f61 ......#...uart_a + 77cd0: 70690020 000002c2 085f7561 72745f69 pi. ....._uart_i + 77ce0: 6e697400 00000319 02230008 5f756172 nit......#.._uar + 77cf0: 745f6368 61725f70 75740000 00034002 t_char_put....@. + 77d00: 2304085f 75617274 5f636861 725f6765 #.._uart_char_ge + 77d10: 74000000 03540223 08085f75 6172745f t....T.#.._uart_ + 77d20: 7374725f 6f757400 0000035d 02230c08 str_out....].#.. + 77d30: 5f756172 745f7461 736b0000 00010c02 _uart_task...... + 77d40: 2310085f 75617274 5f737461 74757300 #.._uart_status. + 77d50: 00000319 02231408 5f756172 745f636f .....#.._uart_co + 77d60: 6e666967 00000003 66022318 085f7561 nfig....f.#.._ua + 77d70: 72745f68 77696e69 74000000 036f0223 rt_hwinit....o.# + 77d80: 1c000300 00020904 00077561 72745f62 ..........uart_b + 77d90: 6c6b0010 00000313 08646562 75675f6d lk.......debug_m + 77da0: 6f646500 0000018d 02230008 62617564 ode......#..baud + 77db0: 00000001 8d022302 085f7561 72740000 ......#.._uart.. + 77dc0: 0002c202 2304085f 74780000 0001be02 ....#.._tx...... + 77dd0: 23080006 000001b0 01030000 03130400 #............... + 77de0: 04756e73 69676e65 64206368 61720007 .unsigned char.. + 77df0: 01097569 6e74385f 74000000 03200201 ..uint8_t.... .. + 77e00: 03000003 3e040003 00000331 04000600 ....>......1.... + 77e10: 00018d01 03000003 4e040002 01030000 ........N....... + 77e20: 035b0400 02010300 00036404 00020103 .[........d..... + 77e30: 0000036d 04000300 00011a04 00060000 ...m............ + 77e40: 01130103 0000037d 04000744 425f434f .......}...DB_CO + 77e50: 4d4d414e 445f5354 52554354 000c0000 MMAND_STRUCT.... + 77e60: 03d50863 6d645f73 74720000 00037602 ...cmd_str....v. + 77e70: 23000868 656c705f 73747200 00000376 #..help_str....v + 77e80: 02230408 636d645f 66756e63 00000003 .#..cmd_func.... + 77e90: 83022308 00076462 675f6170 69000800 ..#...dbg_api... + 77ea0: 00040808 5f646267 5f696e69 74000000 ...._dbg_init... + 77eb0: 010c0223 00085f64 62675f74 61736b00 ...#.._dbg_task. + 77ec0: 0000010c 02230400 0a040004 756e7369 .....#......unsi + 77ed0: 676e6564 20696e74 00070406 00000408 gned int........ + 77ee0: 01030000 041b0400 0b0b0300 00042904 ..............). + 77ef0: 00060000 04080103 00000431 04000600 ...........1.... + 77f00: 00011301 03000004 3e040007 6d656d5f ........>...mem_ + 77f10: 61706900 14000004 ad085f6d 656d5f69 api......._mem_i + 77f20: 6e697400 0000010c 02230008 5f6d656d nit......#.._mem + 77f30: 73657400 00000421 02230408 5f6d656d set....!.#.._mem + 77f40: 63707900 00000437 02230808 5f6d656d cpy....7.#.._mem + 77f50: 6d6f7665 00000004 3702230c 085f6d65 move....7.#.._me + 77f60: 6d636d70 00000004 44022310 000c7265 mcmp....D.#...re + 77f70: 67697374 65725f64 756d705f 73000001 gister_dump_s... + 77f80: 03000004 ad040002 01030000 04c70400 ................ + 77f90: 02010300 0004d004 00060000 01130103 ................ + 77fa0: 000004d9 04000d68 6f737469 665f7300 .......hostif_s. + 77fb0: 04000005 350e4849 465f5553 4200000e ....5.HIF_USB... + 77fc0: 4849465f 50434945 00010e48 49465f47 HIF_PCIE...HIF_G + 77fd0: 4d414300 020e4849 465f5043 4900030e MAC...HIF_PCI... + 77fe0: 4849465f 4e554d00 040e4849 465f4e4f HIF_NUM...HIF_NO + 77ff0: 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. + 78000: 0004e606 00000535 01030000 05430400 .......5.....C.. + 78010: 06000003 31010300 00055004 00060000 ....1.....P..... + 78020: 018d0103 0000055d 0400076d 6973635f .......]...misc_ + 78030: 61706900 24000006 4d085f73 79737465 api.$...M._syste + 78040: 6d5f7265 73657400 0000010c 02230008 m_reset......#.. + 78050: 5f6d6163 5f726573 65740000 00010c02 _mac_reset...... + 78060: 2304085f 61737366 61696c00 000004c9 #.._assfail..... + 78070: 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ + 78080: 6c6f6164 5f68616e 646c6572 00000004 load_handler.... + 78090: c902230c 085f7265 706f7274 5f666169 ..#.._report_fai + 780a0: 6c757265 5f746f5f 686f7374 00000004 lure_to_host.... + 780b0: d2022310 085f7461 72676574 5f69645f ..#.._target_id_ + 780c0: 67657400 000004df 02231408 5f69735f get......#.._is_ + 780d0: 686f7374 5f707265 73656e74 00000005 host_present.... + 780e0: 49022318 085f6b62 68697400 00000556 I.#.._kbhit....V + 780f0: 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version + 78100: 5f676574 00000005 63022320 00060000 _get....c.# .... + 78110: 03760103 0000064d 04000600 00037601 .v.....M......v. + 78120: 03000006 5a040006 00000113 01030000 ....Z........... + 78130: 06670400 06000001 13010300 00067404 .g............t. + 78140: 00060000 01130103 00000681 04000773 ...............s + 78150: 7472696e 675f6170 69001800 00070708 tring_api....... + 78160: 5f737472 696e675f 696e6974 00000001 _string_init.... + 78170: 0c022300 085f7374 72637079 00000006 ..#.._strcpy.... + 78180: 53022304 085f7374 726e6370 79000000 S.#.._strncpy... + 78190: 06600223 08085f73 74726c65 6e000000 .`.#.._strlen... + 781a0: 066d0223 0c085f73 7472636d 70000000 .m.#.._strcmp... + 781b0: 067a0223 10085f73 74726e63 6d700000 .z.#.._strncmp.. + 781c0: 00068702 2314000f 0000040b 14000007 ....#........... + 781d0: 14100400 095f415f 54494d45 525f5350 ....._A_TIMER_SP + 781e0: 41434500 00000707 09415f74 696d6572 ACE......A_timer + 781f0: 5f740000 00071403 00000728 04000201 _t.........(.... + 78200: 03000007 3e040002 01030000 07470400 ....>........G.. + 78210: 09415f48 414e444c 45000000 040b0201 .A_HANDLE....... + 78220: 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... + 78230: 075e0300 00076004 00020103 00000779 .^....`........y + 78240: 04000774 696d6572 5f617069 00140000 ...timer_api.... + 78250: 07f8085f 74696d65 725f696e 69740000 ..._timer_init.. + 78260: 00010c02 2300085f 74696d65 725f6172 ....#.._timer_ar + 78270: 6d000000 07400223 04085f74 696d6572 m....@.#.._timer + 78280: 5f646973 61726d00 00000749 02230808 _disarm....I.#.. + 78290: 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... + 782a0: 7b02230c 085f7469 6d65725f 72756e00 {.#.._timer_run. + 782b0: 0000010c 02231000 09424f4f 4c45414e .....#...BOOLEAN + 782c0: 00000001 8d060000 07f80103 00000805 ................ + 782d0: 04000600 0007f801 03000008 12040006 ................ + 782e0: 000007f8 01030000 081f0400 07726f6d .............rom + 782f0: 705f6170 69001000 00089108 5f726f6d p_api......._rom + 78300: 705f696e 69740000 00010c02 2300085f p_init......#.._ + 78310: 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... + 78320: 080b0223 04085f72 6f6d705f 696e7374 ...#.._romp_inst + 78330: 616c6c00 00000818 02230808 5f726f6d all......#.._rom + 78340: 705f6465 636f6465 00000008 2502230c p_decode....%.#. + 78350: 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. + 78360: 000008ed 08637263 31360000 00018d02 .....crc16...... + 78370: 2300086c 656e0000 00018d02 2302086c #..len......#..l + 78380: 645f6164 64720000 0001b002 23040866 d_addr......#..f + 78390: 756e5f61 64647200 000001b0 02230808 un_addr......#.. + 783a0: 7066756e 00000003 4702230c 00076565 pfun....G.#...ee + 783b0: 705f7265 6469725f 61646472 00040000 p_redir_addr.... + 783c0: 091f086f 66667365 74000000 018d0223 ...offset......# + 783d0: 00087369 7a650000 00018d02 23020009 ..size......#... + 783e0: 415f5549 4e543332 00000004 0b060000 A_UINT32........ + 783f0: 04080103 0000092d 04000761 6c6c6f63 .......-...alloc + 78400: 72616d5f 61706900 0c000009 9e08636d ram_api.......cm + 78410: 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini + 78420: 74000000 09330223 0008636d 6e6f735f t....3.#..cmnos_ + 78430: 616c6c6f 6372616d 00000009 33022304 allocram....3.#. + 78440: 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ + 78450: 64656275 67000000 010c0223 08000201 debug......#.... + 78460: 03000009 9e040009 415f5441 534b4c45 ........A_TASKLE + 78470: 545f4655 4e430000 0009a007 5f746173 T_FUNC......_tas + 78480: 6b6c6574 00100000 09ff0866 756e6300 klet.......func. + 78490: 000009a7 02230008 61726700 00000408 .....#..arg..... + 784a0: 02230408 73746174 65000000 01130223 .#..state......# + 784b0: 08086e65 78740000 0009ff02 230c0003 ..next......#... + 784c0: 000009bb 04000300 0009bb04 0009415f ..............A_ + 784d0: 7461736b 6c65745f 74000000 09bb0300 tasklet_t....... + 784e0: 000a0d04 00020103 00000a25 04000201 ...........%.... + 784f0: 0300000a 2e040007 7461736b 6c65745f ........tasklet_ + 78500: 61706900 1400000a c3085f74 61736b6c api......._taskl + 78510: 65745f69 6e697400 0000010c 02230008 et_init......#.. + 78520: 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta + 78530: 736b0000 000a2702 2304085f 7461736b sk....'.#.._task + 78540: 6c65745f 64697361 626c6500 00000a30 let_disable....0 + 78550: 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch + 78560: 6564756c 65000000 0a300223 0c085f74 edule....0.#.._t + 78570: 61736b6c 65745f72 756e0000 00010c02 asklet_run...... + 78580: 23100002 01030000 0ac30400 06000009 #............... + 78590: 1f010300 000acc04 00020103 00000ad9 ................ + 785a0: 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. + 785b0: 0bbb085f 636c6f63 6b5f696e 69740000 ..._clock_init.. + 785c0: 000ac502 2300085f 636c6f63 6b726567 ....#.._clockreg + 785d0: 735f696e 69740000 00010c02 2304085f s_init......#.._ + 785e0: 75617274 5f667265 7175656e 63790000 uart_frequency.. + 785f0: 000ad202 2308085f 64656c61 795f7573 ....#.._delay_us + 78600: 0000000a db02230c 085f776c 616e5f62 ......#.._wlan_b + 78610: 616e645f 73657400 00000adb 02231008 and_set......#.. + 78620: 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge + 78630: 74000000 0ad20223 14085f6d 696c6c69 t......#.._milli + 78640: 7365636f 6e647300 00000ad2 02231808 seconds......#.. + 78650: 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. + 78660: 00010c02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti + 78670: 636b0000 00010c02 23200006 000001b0 ck......# ...... + 78680: 01030000 0bbb0400 09415f6f 6c645f69 .........A_old_i + 78690: 6e74725f 74000000 01b00600 000bc801 ntr_t........... + 786a0: 0300000b da040002 01030000 0be70400 ................ + 786b0: 02010300 000bf004 00060000 01b00103 ................ + 786c0: 00000bf9 04000941 5f697372 5f740000 .......A_isr_t.. + 786d0: 000bff02 01030000 0c130400 06000004 ................ + 786e0: 0b010300 000c1c04 00020103 00000c29 ...............) + 786f0: 04000769 6e74725f 61706900 2c00000d ...intr_api.,... + 78700: 4b085f69 6e74725f 696e6974 00000001 K._intr_init.... + 78710: 0c022300 085f696e 74725f69 6e766f6b ..#.._intr_invok + 78720: 655f6973 72000000 0bc10223 04085f69 e_isr......#.._i + 78730: 6e74725f 64697361 626c6500 00000be0 ntr_disable..... + 78740: 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor + 78750: 65000000 0be90223 0c085f69 6e74725f e......#.._intr_ + 78760: 6d61736b 5f696e75 6d000000 0bf20223 mask_inum......# + 78770: 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i + 78780: 6e756d00 00000bf2 02231408 5f696e74 num......#.._int + 78790: 725f6174 74616368 5f697372 0000000c r_attach_isr.... + 787a0: 15022318 085f6765 745f696e 7472656e ..#.._get_intren + 787b0: 61626c65 0000000c 2202231c 085f7365 able....".#.._se + 787c0: 745f696e 7472656e 61626c65 0000000c t_intrenable.... + 787d0: 2b022320 085f6765 745f696e 74727065 +.# ._get_intrpe + 787e0: 6e64696e 67000000 0c220223 24085f75 nding....".#$._u + 787f0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl + 78800: 766c0000 00010c02 23280011 0400000d vl......#(...... + 78810: 71087469 6d656f75 74000000 01b00223 q.timeout......# + 78820: 00086163 74696f6e 00000001 b0022300 ..action......#. + 78830: 00120800 000d8c08 636d6400 000001b0 ........cmd..... + 78840: 02230013 00000d4b 02230400 09545f57 .#.....K.#...T_W + 78850: 44545f43 4d440000 000d7102 01030000 DT_CMD....q..... + 78860: 0d9b0400 14040000 0df10e45 4e554d5f ...........ENUM_ + 78870: 5744545f 424f4f54 00010e45 4e554d5f WDT_BOOT...ENUM_ + 78880: 434f4c44 5f424f4f 5400020e 454e554d COLD_BOOT...ENUM + 78890: 5f535553 505f424f 4f540003 0e454e55 _SUSP_BOOT...ENU + 788a0: 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. + 788b0: 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... + 788c0: 0da40600 000df101 0300000e 02040007 ................ + 788d0: 7764745f 61706900 1c00000e a6085f77 wdt_api......._w + 788e0: 64745f69 6e697400 0000010c 02230008 dt_init......#.. + 788f0: 5f776474 5f656e61 626c6500 0000010c _wdt_enable..... + 78900: 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable + 78910: 00000001 0c022308 085f7764 745f7365 ......#.._wdt_se + 78920: 74000000 0d9d0223 0c085f77 64745f74 t......#.._wdt_t + 78930: 61736b00 0000010c 02231008 5f776474 ask......#.._wdt + 78940: 5f726573 65740000 00010c02 2314085f _reset......#.._ + 78950: 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... + 78960: 0e080223 18001404 00000f0d 0e524554 ...#.........RET + 78970: 5f535543 43455353 00000e52 45545f4e _SUCCESS...RET_N + 78980: 4f545f49 4e495400 010e5245 545f4e4f OT_INIT...RET_NO + 78990: 545f4558 49535400 020e5245 545f4545 T_EXIST...RET_EE + 789a0: 505f434f 52525550 5400030e 5245545f P_CORRUPT...RET_ + 789b0: 4545505f 4f564552 464c4f57 00040e52 EEP_OVERFLOW...R + 789c0: 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ + 789d0: 4545505f 52455400 00000ea6 03000001 EEP_RET......... + 789e0: 8d040006 00000f0d 01030000 0f230400 .............#.. + 789f0: 0600000f 0d010300 000f3004 00076565 ..........0...ee + 78a00: 705f6170 69001000 000f9908 5f656570 p_api......._eep + 78a10: 5f696e69 74000000 010c0223 00085f65 _init......#.._e + 78a20: 65705f72 65616400 00000f29 02230408 ep_read....).#.. + 78a30: 5f656570 5f777269 74650000 000f2902 _eep_write....). + 78a40: 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist + 78a50: 0000000f 3602230c 00077573 625f6170 ....6.#...usb_ap + 78a60: 69007000 00124608 5f757362 5f696e69 i.p...F._usb_ini + 78a70: 74000000 010c0223 00085f75 73625f72 t......#.._usb_r + 78a80: 6f6d5f74 61736b00 0000010c 02230408 om_task......#.. + 78a90: 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... + 78aa0: 0c022308 085f7573 625f696e 69745f70 ..#.._usb_init_p + 78ab0: 68790000 00010c02 230c085f 7573625f hy......#.._usb_ + 78ac0: 6570305f 73657475 70000000 010c0223 ep0_setup......# + 78ad0: 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... + 78ae0: 010c0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r + 78af0: 78000000 010c0223 18085f75 73625f67 x......#.._usb_g + 78b00: 65745f69 6e746572 66616365 00000008 et_interface.... + 78b10: 1802231c 085f7573 625f7365 745f696e ..#.._usb_set_in + 78b20: 74657266 61636500 00000818 02232008 terface......# . + 78b30: 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu + 78b40: 72617469 6f6e0000 00081802 2324085f ration......#$._ + 78b50: 7573625f 7365745f 636f6e66 69677572 usb_set_configur + 78b60: 6174696f 6e000000 08180223 28085f75 ation......#(._u + 78b70: 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. + 78b80: 00000818 02232c08 5f757362 5f76656e .....#,._usb_ven + 78b90: 646f725f 636d6400 0000010c 02233008 dor_cmd......#0. + 78ba0: 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. + 78bb0: 00010c02 2334085f 7573625f 72657365 ....#4._usb_rese + 78bc0: 745f6669 666f0000 00010c02 2338085f t_fifo......#8._ + 78bd0: 7573625f 67656e5f 77647400 0000010c usb_gen_wdt..... + 78be0: 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo + 78bf0: 6f740000 00010c02 2340085f 7573625f ot......#@._usb_ + 78c00: 636c725f 66656174 75726500 00000818 clr_feature..... + 78c10: 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea + 78c20: 74757265 00000008 18022348 085f7573 ture......#H._us + 78c30: 625f7365 745f6164 64726573 73000000 b_set_address... + 78c40: 08180223 4c085f75 73625f67 65745f64 ...#L._usb_get_d + 78c50: 65736372 6970746f 72000000 08180223 escriptor......# + 78c60: 50085f75 73625f67 65745f73 74617475 P._usb_get_statu + 78c70: 73000000 08180223 54085f75 73625f73 s......#T._usb_s + 78c80: 65747570 5f646573 63000000 010c0223 etup_desc......# + 78c90: 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. + 78ca0: 00010c02 235c085f 7573625f 73746174 ....#\._usb_stat + 78cb0: 75735f69 6e000000 010c0223 60085f75 us_in......#`._u + 78cc0: 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. + 78cd0: 00010c02 2364085f 7573625f 6570305f ....#d._usb_ep0_ + 78ce0: 72785f64 61746100 0000010c 02236808 rx_data......#h. + 78cf0: 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... + 78d00: 010c0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. + 78d10: 0012d208 6e657874 5f646573 63000000 ....next_desc... + 78d20: 12d20223 00086275 665f6164 64720000 ...#..buf_addr.. + 78d30: 0012e602 23040862 75665f73 697a6500 ....#..buf_size. + 78d40: 000012ed 02230808 64617461 5f6f6666 .....#..data_off + 78d50: 73657400 000012ed 02230a08 64617461 set......#..data + 78d60: 5f73697a 65000000 12ed0223 0c08636f _size......#..co + 78d70: 6e74726f 6c000000 12ed0223 0e086877 ntrol......#..hw + 78d80: 5f646573 635f6275 66000000 12fb0223 _desc_buf......# + 78d90: 10000300 00124604 0009415f 55494e54 ......F...A_UINT + 78da0: 38000000 03200300 0012d904 0009415f 8.... ........A_ + 78db0: 55494e54 31360000 0001770f 000012d9 UINT16....w..... + 78dc0: 14000013 08101300 03000012 46040009 ............F... + 78dd0: 56444553 43000000 12460300 00130f04 VDESC....F...... + 78de0: 00060000 131a0103 00001321 04000600 ...........!.... + 78df0: 0012e601 03000013 2e040002 01030000 ................ + 78e00: 133b0400 07766465 73635f61 70690014 .;...vdesc_api.. + 78e10: 000013b3 085f696e 69740000 000adb02 ....._init...... + 78e20: 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. + 78e30: 00001327 02230408 5f676574 5f68775f ...'.#.._get_hw_ + 78e40: 64657363 00000013 34022308 085f7377 desc....4.#.._sw + 78e50: 61705f76 64657363 00000013 3d02230c ap_vdesc....=.#. + 78e60: 08705265 73657276 65640000 00040802 .pReserved...... + 78e70: 23100007 5f564255 46002000 00141308 #..._VBUF. ..... + 78e80: 64657363 5f6c6973 74000000 131a0223 desc_list......# + 78e90: 00086e65 78745f62 75660000 00141302 ..next_buf...... + 78ea0: 23040862 75665f6c 656e6774 68000000 #..buf_length... + 78eb0: 12ed0223 08087265 73657276 65640000 ...#..reserved.. + 78ec0: 00141a02 230a0863 74780000 0012fb02 ....#..ctx...... + 78ed0: 230c0003 000013b3 04000f00 0012d902 #............... + 78ee0: 00001427 10010003 000013b3 04000956 ...'...........V + 78ef0: 42554600 000013b3 03000014 2e040006 BUF............. + 78f00: 00001438 01030000 143f0400 06000014 ...8.....?...... + 78f10: 38010300 00144c04 00020103 00001459 8.....L........Y + 78f20: 04000776 6275665f 61706900 14000014 ...vbuf_api..... + 78f30: d7085f69 6e697400 00000adb 02230008 .._init......#.. + 78f40: 5f616c6c 6f635f76 62756600 00001445 _alloc_vbuf....E + 78f50: 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ + 78f60: 77697468 5f73697a 65000000 14520223 with_size....R.# + 78f70: 08085f66 7265655f 76627566 00000014 .._free_vbuf.... + 78f80: 5b02230c 08705265 73657276 65640000 [.#..pReserved.. + 78f90: 00040802 23100007 5f5f6164 665f6465 ....#...__adf_de + 78fa0: 76696365 00040000 14f90864 756d6d79 vice.......dummy + 78fb0: 00000001 13022300 00030000 091f0400 ......#......... + 78fc0: 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. + 78fd0: 00001540 08627566 00000014 38022300 ...@.buf....8.#. + 78fe0: 0864735f 61646472 00000014 f9022304 .ds_addr......#. + 78ff0: 0864735f 6c656e00 000012ed 02230800 .ds_len......#.. + 79000: 120c0000 157a085f 5f76615f 73746b00 .....z.__va_stk. + 79010: 00000376 02230008 5f5f7661 5f726567 ...v.#..__va_reg + 79020: 00000003 76022304 085f5f76 615f6e64 ....v.#..__va_nd + 79030: 78000000 01130223 0800095f 5f616466 x......#...__adf + 79040: 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. + 79050: 00091f09 6164665f 6f735f64 6d615f61 ....adf_os_dma_a + 79060: 6464725f 74000000 157a095f 5f616466 ddr_t....z.__adf + 79070: 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. + 79080: 00091f09 6164665f 6f735f64 6d615f73 ....adf_os_dma_s + 79090: 697a655f 74000000 15aa075f 5f646d61 ize_t......__dma + 790a0: 5f736567 73000800 00160608 70616464 _segs.......padd + 790b0: 72000000 15930223 00086c65 6e000000 r......#..len... + 790c0: 15c30223 0400095f 5f615f75 696e7433 ...#...__a_uint3 + 790d0: 325f7400 0000091f 09615f75 696e7433 2_t......a_uint3 + 790e0: 325f7400 00001606 0f000015 da080000 2_t............. + 790f0: 16351000 00076164 665f6f73 5f646d61 .5....adf_os_dma + 79100: 6d61705f 696e666f 000c0000 166e086e map_info.....n.n + 79110: 73656773 00000016 18022300 08646d61 segs......#..dma + 79120: 5f736567 73000000 16280223 0400095f _segs....(.#..._ + 79130: 5f615f75 696e7438 5f740000 0012d909 _a_uint8_t...... + 79140: 615f7569 6e74385f 74000000 166e0300 a_uint8_t....n.. + 79150: 00167f04 00075f5f 73675f73 65677300 ......__sg_segs. + 79160: 08000016 c0087661 64647200 0000168e ......vaddr..... + 79170: 02230008 6c656e00 00001618 02230400 .#..len......#.. + 79180: 0f000016 95200000 16cd1003 00076164 ..... ........ad + 79190: 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... + 791a0: 00086e73 65677300 00001618 02230008 ..nsegs......#.. + 791b0: 73675f73 65677300 000016c0 02230400 sg_segs......#.. + 791c0: 12100000 17490876 656e646f 72000000 .....I.vendor... + 791d0: 16180223 00086465 76696365 00000016 ...#..device.... + 791e0: 18022304 08737562 76656e64 6f720000 ..#..subvendor.. + 791f0: 00161802 23080873 75626465 76696365 ....#..subdevice + 79200: 00000016 1802230c 00046c6f 6e67206c ......#...long l + 79210: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 79220: 00070809 415f5549 4e543634 00000017 ....A_UINT64.... + 79230: 49095f5f 615f7569 6e743634 5f740000 I.__a_uint64_t.. + 79240: 00176309 615f7569 6e743634 5f740000 ..c.a_uint64_t.. + 79250: 00177114 04000017 cf0e4144 465f4f53 ..q.......ADF_OS + 79260: 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M + 79270: 454d0000 0e414446 5f4f535f 5245534f EM...ADF_OS_RESO + 79280: 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... + 79290: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ + 792a0: 74797065 5f740000 00179312 18000018 type_t.......... + 792b0: 19087374 61727400 00001783 02230008 ..start......#.. + 792c0: 656e6400 00001783 02230808 74797065 end......#..type + 792d0: 00000017 cf022310 00096164 665f6f73 ......#...adf_os + 792e0: 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... + 792f0: 17000300 00181904 00110400 00185808 ..............X. + 79300: 70636900 00001832 02230008 72617700 pci....2.#..raw. + 79310: 00000408 02230000 11100000 18770870 .....#.......w.p + 79320: 63690000 00181902 23000872 61770000 ci......#..raw.. + 79330: 00040802 23000009 6164665f 6472765f ....#...adf_drv_ + 79340: 68616e64 6c655f74 00000004 08096164 handle_t......ad + 79350: 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. + 79360: 000017eb 03000018 8d040009 6164665f ............adf_ + 79370: 6f735f61 74746163 685f6461 74615f74 os_attach_data_t + 79380: 00000018 58030000 18ab0400 03000014 ....X........... + 79390: d7040009 5f5f6164 665f6f73 5f646576 ....__adf_os_dev + 793a0: 6963655f 74000000 18cc0961 64665f6f ice_t......adf_o + 793b0: 735f6465 76696365 5f740000 0018d306 s_device_t...... + 793c0: 00001877 01030000 18ff0400 02010300 ...w............ + 793d0: 00190c04 00096164 665f6f73 5f706d5f ......adf_os_pm_ + 793e0: 74000000 04080201 03000019 26040014 t...........&... + 793f0: 04000019 660e4144 465f4f53 5f425553 ....f.ADF_OS_BUS + 79400: 5f545950 455f5043 4900010e 4144465f _TYPE_PCI...ADF_ + 79410: 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE + 79420: 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu + 79430: 735f7479 70655f74 00000019 2f096164 s_type_t..../.ad + 79440: 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat + 79450: 615f7400 00001839 03000003 20040007 a_t....9.... ... + 79460: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . + 79470: 001a4308 6472765f 61747461 63680000 ..C.drv_attach.. + 79480: 00190502 23000864 72765f64 65746163 ....#..drv_detac + 79490: 68000000 190e0223 04086472 765f7375 h......#..drv_su + 794a0: 7370656e 64000000 19280223 08086472 spend....(.#..dr + 794b0: 765f7265 73756d65 00000019 0e02230c v_resume......#. + 794c0: 08627573 5f747970 65000000 19660223 .bus_type....f.# + 794d0: 10086275 735f6461 74610000 00197d02 ..bus_data....}. + 794e0: 2314086d 6f645f6e 616d6500 00001998 #..mod_name..... + 794f0: 02231808 69666e61 6d650000 00199802 .#..ifname...... + 79500: 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl + 79510: 655f7400 00000408 03000016 6e040002 e_t.........n... + 79520: 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz + 79530: 655f7400 0000040b 14040000 1a920e41 e_t............A + 79540: 5f46414c 53450000 0e415f54 52554500 _FALSE...A_TRUE. + 79550: 01000961 5f626f6f 6c5f7400 00001a78 ...a_bool_t....x + 79560: 03000015 00040009 5f5f6164 665f6f73 ........__adf_os + 79570: 5f646d61 5f6d6170 5f740000 001aa002 _dma_map_t...... + 79580: 010d6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s + 79590: 796e6300 0400001b 2a0e4144 465f5359 ync.....*.ADF_SY + 795a0: 4e435f50 52455245 41440000 0e414446 NC_PREREAD...ADF + 795b0: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. + 795c0: 0e414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE + 795d0: 41440001 0e414446 5f53594e 435f504f AD...ADF_SYNC_PO + 795e0: 53545752 49544500 03000961 64665f6f STWRITE....adf_o + 795f0: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. + 79600: 001ac102 01096164 665f6f73 5f73697a ......adf_os_siz + 79610: 655f7400 00001a63 0600001b 45010961 e_t....c....E..a + 79620: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. + 79630: 00001aa7 0300001b 5e040006 00000408 ........^....... + 79640: 01030000 1aa70400 06000004 08010201 ................ + 79650: 06000015 93010201 0473686f 72742069 .........short i + 79660: 6e740005 0209415f 494e5431 36000000 nt....A_INT16... + 79670: 1b98095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. + 79680: 001ba509 615f696e 7431365f 74000000 ....a_int16_t... + 79690: 1bb20473 69676e65 64206368 61720005 ...signed char.. + 796a0: 0109415f 494e5438 0000001b d2095f5f ..A_INT8......__ + 796b0: 615f696e 74385f74 0000001b e109615f a_int8_t......a_ + 796c0: 696e7438 5f740000 001bed12 0c00001c int8_t.......... + 796d0: 64087375 70706f72 74656400 00001618 d.supported..... + 796e0: 02230008 61647665 7274697a 65640000 .#..advertized.. + 796f0: 00161802 23040873 70656564 0000001b ....#..speed.... + 79700: c3022308 08647570 6c657800 00001bfd ..#..duplex..... + 79710: 02230a08 6175746f 6e656700 0000167f .#..autoneg..... + 79720: 02230b00 0f000016 7f060000 1c711005 .#...........q.. + 79730: 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd + 79740: 72000600 001c9508 61646472 0000001c r.......addr.... + 79750: 64022300 00095f5f 615f7569 6e743136 d.#...__a_uint16 + 79760: 5f740000 0012ed09 615f7569 6e743136 _t......a_uint16 + 79770: 5f740000 001c9512 0e00001c f9086574 _t............et + 79780: 6865725f 64686f73 74000000 1c640223 her_dhost....d.# + 79790: 00086574 6865725f 73686f73 74000000 ..ether_shost... + 797a0: 1c640223 06086574 6865725f 74797065 .d.#..ether_type + 797b0: 0000001c a702230c 00121400 001dba15 ......#......... + 797c0: 69705f76 65727369 6f6e0000 00167f01 ip_version...... + 797d0: 00040223 00156970 5f686c00 0000167f ...#..ip_hl..... + 797e0: 01040402 23000869 705f746f 73000000 ....#..ip_tos... + 797f0: 167f0223 01086970 5f6c656e 0000001c ...#..ip_len.... + 79800: a7022302 0869705f 69640000 001ca702 ..#..ip_id...... + 79810: 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. + 79820: 001ca702 23060869 705f7474 6c000000 ....#..ip_ttl... + 79830: 167f0223 08086970 5f70726f 746f0000 ...#..ip_proto.. + 79840: 00167f02 23090869 705f6368 65636b00 ....#..ip_check. + 79850: 00001ca7 02230a08 69705f73 61646472 .....#..ip_saddr + 79860: 00000016 1802230c 0869705f 64616464 ......#..ip_dadd + 79870: 72000000 16180223 10000761 64665f6e r......#...adf_n + 79880: 65745f76 6c616e68 64720004 00001e0c et_vlanhdr...... + 79890: 08747069 64000000 1ca70223 00157072 .tpid......#..pr + 798a0: 696f0000 00167f01 00030223 02156366 io.........#..cf + 798b0: 69000000 167f0103 01022302 15766964 i.........#..vid + 798c0: 0000001c a702040c 02230200 07616466 .........#...adf + 798d0: 5f6e6574 5f766964 00020000 1e3d1572 _net_vid.....=.r + 798e0: 65730000 00167f01 00040223 00157661 es.........#..va + 798f0: 6c000000 1ca70204 0c022300 00120c00 l.........#..... + 79900: 001e7908 72785f62 75667369 7a650000 ..y.rx_bufsize.. + 79910: 00161802 23000872 785f6e64 65736300 ....#..rx_ndesc. + 79920: 00001618 02230408 74785f6e 64657363 .....#..tx_ndesc + 79930: 00000016 18022308 00120800 001e9f08 ......#......... + 79940: 706f6c6c 65640000 001a9202 23000870 polled......#..p + 79950: 6f6c6c5f 77740000 00161802 2304000f oll_wt......#... + 79960: 0000167f 4000001e ac103f00 12460000 ....@.....?..F.. + 79970: 1ed40869 665f6e61 6d650000 001e9f02 ...if_name...... + 79980: 23000864 65765f61 64647200 00001c64 #..dev_addr....d + 79990: 02234000 14040000 1f0b0e41 44465f4f .#@........ADF_O + 799a0: 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT + 799b0: 00000e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA + 799c0: 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ + 799d0: 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... + 799e0: 1ed40761 64665f64 6d615f69 6e666f00 ...adf_dma_info. + 799f0: 0800001f 5808646d 615f6d61 736b0000 ....X.dma_mask.. + 79a00: 001f0b02 23000873 675f6e73 65677300 ....#..sg_nsegs. + 79a10: 00001618 02230400 14040000 1fae0e41 .....#.........A + 79a20: 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON + 79a30: 4500000e 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU + 79a40: 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. + 79a50: 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T + 79a60: 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a + 79a70: 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ + 79a80: 655f7400 00001f58 12080000 1ff10874 e_t....X.......t + 79a90: 785f636b 73756d00 00001fae 02230008 x_cksum......#.. + 79aa0: 72785f63 6b73756d 0000001f ae022304 rx_cksum......#. + 79ab0: 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ + 79ac0: 696e666f 5f740000 001fc814 04000020 info_t......... + 79ad0: 4a0e4144 465f4e45 545f5453 4f5f4e4f J.ADF_NET_TSO_NO + 79ae0: 4e450000 0e414446 5f4e4554 5f54534f NE...ADF_NET_TSO + 79af0: 5f495056 3400010e 4144465f 4e45545f _IPV4...ADF_NET_ + 79b00: 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n + 79b10: 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... + 79b20: 200b1210 0000209e 08636b73 756d5f63 ..... ..cksum_c + 79b30: 61700000 001ff102 23000874 736f0000 ap......#..tso.. + 79b40: 00204a02 23080876 6c616e5f 73757070 . J.#..vlan_supp + 79b50: 6f727465 64000000 167f0223 0c001220 orted......#... + 79b60: 00002137 0874785f 7061636b 65747300 ..!7.tx_packets. + 79b70: 00001618 02230008 72785f70 61636b65 .....#..rx_packe + 79b80: 74730000 00161802 23040874 785f6279 ts......#..tx_by + 79b90: 74657300 00001618 02230808 72785f62 tes......#..rx_b + 79ba0: 79746573 00000016 1802230c 0874785f ytes......#..tx_ + 79bb0: 64726f70 70656400 00001618 02231008 dropped......#.. + 79bc0: 72785f64 726f7070 65640000 00161802 rx_dropped...... + 79bd0: 23140872 785f6572 726f7273 00000016 #..rx_errors.... + 79be0: 18022318 0874785f 6572726f 72730000 ..#..tx_errors.. + 79bf0: 00161802 231c0009 6164665f 6e65745f ....#...adf_net_ + 79c00: 65746861 6464725f 74000000 1c711600 ethaddr_t....q.. + 79c10: 00213703 00000021 5c107f00 17616466 .!7....!\....adf + 79c20: 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. + 79c30: 03040000 2193086e 656c656d 00000016 ....!..nelem.... + 79c40: 18022300 086d6361 73740000 00214e02 ..#..mcast...!N. + 79c50: 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ + 79c60: 6c696e6b 5f696e66 6f5f7400 00001c0b link_info_t..... + 79c70: 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol + 79c80: 6c5f696e 666f5f74 0000001e 79096164 l_info_t....y.ad + 79c90: 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ + 79ca0: 696e666f 5f740000 001ff109 6164665f info_t......adf_ + 79cb0: 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf + 79cc0: 6f5f7400 00001e3d 09616466 5f6e6574 o_t....=.adf_net + 79cd0: 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. + 79ce0: 00001f22 09616466 5f6e6574 5f636d64 ...".adf_net_cmd + 79cf0: 5f766964 5f740000 001ca709 6164665f _vid_t......adf_ + 79d00: 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ + 79d10: 6361705f 74000000 20620961 64665f6e cap_t... b.adf_n + 79d20: 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. + 79d30: 00209e09 6164665f 6e65745f 636d645f . ..adf_net_cmd_ + 79d40: 6d636164 64725f74 00000021 5c0d6164 mcaddr_t...!\.ad + 79d50: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ + 79d60: 63617000 04000022 d50e4144 465f4e45 cap...."..ADF_NE + 79d70: 545f4d43 4153545f 53555000 000e4144 T_MCAST_SUP...AD + 79d80: 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS + 79d90: 55500001 00096164 665f6e65 745f636d UP....adf_net_cm + 79da0: 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... + 79db0: 228d1803 04000023 a7086c69 6e6b5f69 "......#..link_i + 79dc0: 6e666f00 00002193 02230008 706f6c6c nfo...!..#..poll + 79dd0: 5f696e66 6f000000 21b00223 0008636b _info...!..#..ck + 79de0: 73756d5f 696e666f 00000021 cd022300 sum_info...!..#. + 79df0: 0872696e 675f696e 666f0000 0021eb02 .ring_info...!.. + 79e00: 23000864 6d615f69 6e666f00 00002208 #..dma_info...". + 79e10: 02230008 76696400 00002224 02230008 .#..vid..."$.#.. + 79e20: 6f66666c 6f61645f 63617000 0000223b offload_cap..."; + 79e30: 02230008 73746174 73000000 225a0223 .#..stats..."Z.# + 79e40: 00086d63 6173745f 696e666f 00000022 ..mcast_info..." + 79e50: 73022300 086d6361 73745f63 61700000 s.#..mcast_cap.. + 79e60: 0022d502 23000014 04000023 fe0e4144 ."..#......#..AD + 79e70: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 79e80: 4e4f4e45 00000e41 44465f4e 4255465f NONE...ADF_NBUF_ + 79e90: 52585f43 4b53554d 5f485700 010e4144 RX_CKSUM_HW...AD + 79ea0: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ + 79eb0: 554e4e45 43455353 41525900 02000961 UNNECESSARY....a + 79ec0: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum + 79ed0: 5f747970 655f7400 000023a7 12080000 _type_t...#..... + 79ee0: 243e0872 6573756c 74000000 23fe0223 $>.result...#..# + 79ef0: 00087661 6c000000 16180223 04001208 ..val......#.... + 79f00: 0000246e 08747970 65000000 204a0223 ..$n.type... J.# + 79f10: 00086d73 73000000 1ca70223 04086864 ..mss......#..hd + 79f20: 725f6f66 66000000 167f0223 0600075f r_off......#..._ + 79f30: 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. + 79f40: 0c000024 ad086865 61640000 00143802 ...$..head....8. + 79f50: 23000874 61696c00 00001438 02230408 #..tail....8.#.. + 79f60: 716c656e 00000016 18022308 00095f5f qlen......#...__ + 79f70: 6164665f 6e627566 5f740000 00143803 adf_nbuf_t....8. + 79f80: 0000168e 04000300 00161804 00020106 ................ + 79f90: 0000131a 01060000 16180106 0000168e ................ + 79fa0: 01060000 168e0103 000012fb 0400095f ..............._ + 79fb0: 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ + 79fc0: 74000000 246e095f 5f616466 5f6e6275 t...$n.__adf_nbu + 79fd0: 665f7175 6575655f 74000000 24ee0300 f_queue_t...$... + 79fe0: 00250604 00060000 24ad0106 000024ad .%......$.....$. + 79ff0: 01140400 0026260e 415f5354 41545553 .....&&.A_STATUS + 7a000: 5f4f4b00 000e415f 53544154 55535f46 _OK...A_STATUS_F + 7a010: 41494c45 4400010e 415f5354 41545553 AILED...A_STATUS + 7a020: 5f454e4f 454e5400 020e415f 53544154 _ENOENT...A_STAT + 7a030: 55535f45 4e4f4d45 4d00030e 415f5354 US_ENOMEM...A_ST + 7a040: 41545553 5f45494e 56414c00 040e415f ATUS_EINVAL...A_ + 7a050: 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE + 7a060: 53530005 0e415f53 54415455 535f454e SS...A_STATUS_EN + 7a070: 4f545355 50500006 0e415f53 54415455 OTSUPP...A_STATU + 7a080: 535f4542 55535900 070e415f 53544154 S_EBUSY...A_STAT + 7a090: 55535f45 32424947 00080e41 5f535441 US_E2BIG...A_STA + 7a0a0: 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI + 7a0b0: 4c00090e 415f5354 41545553 5f454e58 L...A_STATUS_ENX + 7a0c0: 494f000a 0e415f53 54415455 535f4546 IO...A_STATUS_EF + 7a0d0: 41554c54 000b0e41 5f535441 5455535f AULT...A_STATUS_ + 7a0e0: 45494f00 0c000961 5f737461 7475735f EIO....a_status_ + 7a0f0: 74000000 25310600 00262601 06000001 t...%1...&&..... + 7a100: 13010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. + 7a110: 000024ad 14040000 268b0e41 44465f4f ..$.....&..ADF_O + 7a120: 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. + 7a130: 000e4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO + 7a140: 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ + 7a150: 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& + 7a160: 54060000 26260102 01096164 665f6f73 T...&&....adf_os + 7a170: 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. + 7a180: 00163503 000026a9 04000201 02010600 ..5...&......... + 7a190: 00264401 06000024 ad010201 02010600 .&D....$........ + 7a1a0: 00264401 06000024 ad010600 00264401 .&D....$.....&D. + 7a1b0: 06000024 ad010600 00264401 02010201 ...$.....&D..... + 7a1c0: 06000016 18010600 00168e01 02010201 ................ + 7a1d0: 0600001b 45010600 001a9201 0600001a ....E........... + 7a1e0: 92010961 64665f6f 735f7367 6c697374 ...adf_os_sglist + 7a1f0: 5f740000 0016cd03 00002722 04000201 _t........'".... + 7a200: 02010201 06000016 8e010961 64665f6e ...........adf_n + 7a210: 6275665f 71756575 655f7400 00002506 buf_queue_t...%. + 7a220: 03000027 4a040002 01030000 24ee0400 ...'J.......$... + 7a230: 02010201 02010600 00264401 06000024 .........&D....$ + 7a240: ad010600 00161801 06000016 18010600 ................ + 7a250: 001a9201 0600001a 92010600 001fae01 ................ + 7a260: 06000016 18010961 64665f6e 6275665f .......adf_nbuf_ + 7a270: 72785f63 6b73756d 5f740000 00241c03 rx_cksum_t...$.. + 7a280: 000027a6 04000201 02010961 64665f6e ..'........adf_n + 7a290: 6275665f 74736f5f 74000000 243e0300 buf_tso_t...$>.. + 7a2a0: 0027ca04 00020102 01096164 665f6e65 .'........adf_ne + 7a2b0: 745f6861 6e646c65 5f740000 00040809 t_handle_t...... + 7a2c0: 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ + 7a2d0: 74000000 1dba0300 0027ff04 00060000 t........'...... + 7a2e0: 26260106 00002626 01020102 01075f48 &&....&&......_H + 7a2f0: 49465f43 4f4e4649 47000400 00284e08 IF_CONFIG....(N. + 7a300: 64756d6d 79000000 01130223 00000201 dummy......#.... + 7a310: 03000028 4e040002 01030000 28570400 ...(N.......(W.. + 7a320: 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. + 7a330: 000028ac 0873656e 645f6275 665f646f ..(..send_buf_do + 7a340: 6e650000 00285002 23000872 6563765f ne...(P.#..recv_ + 7a350: 62756600 00002859 02230408 636f6e74 buf...(Y.#..cont + 7a360: 65787400 00000408 02230800 09686966 ext......#...hif + 7a370: 5f68616e 646c655f 74000000 04080948 _handle_t......H + 7a380: 49465f43 4f4e4649 47000000 282d0300 IF_CONFIG...(-.. + 7a390: 0028be04 00060000 28ac0103 000028d5 .(......(.....(. + 7a3a0: 04000201 03000028 e2040009 4849465f .......(....HIF_ + 7a3b0: 43414c4c 4241434b 00000028 60030000 CALLBACK...(`... + 7a3c0: 28eb0400 02010300 00290404 00060000 (........)...... + 7a3d0: 01130103 0000290d 04000201 03000029 ......)........) + 7a3e0: 1a040006 00000113 01030000 29230400 ............)#.. + 7a3f0: 02010300 00293004 00060000 01130103 .....)0......... + 7a400: 00002939 04000201 03000029 46040007 ..)9.......)F... + 7a410: 6869665f 61706900 3800002a 9f085f69 hif_api.8..*.._i + 7a420: 6e697400 000028db 02230008 5f736875 nit...(..#.._shu + 7a430: 74646f77 6e000000 28e40223 04085f72 tdown...(..#.._r + 7a440: 65676973 7465725f 63616c6c 6261636b egister_callback + 7a450: 00000029 06022308 085f6765 745f746f ...)..#.._get_to + 7a460: 74616c5f 63726564 69745f63 6f756e74 tal_credit_count + 7a470: 00000029 1302230c 085f7374 61727400 ...)..#.._start. + 7a480: 000028e4 02231008 5f636f6e 6669675f ..(..#.._config_ + 7a490: 70697065 00000029 1c022314 085f7365 pipe...)..#.._se + 7a4a0: 6e645f62 75666665 72000000 29290223 nd_buffer...)).# + 7a4b0: 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b + 7a4c0: 75660000 00293202 231c085f 69735f70 uf...)2.#.._is_p + 7a4d0: 6970655f 73757070 6f727465 64000000 ipe_supported... + 7a4e0: 293f0223 20085f67 65745f6d 61785f6d )?.# ._get_max_m + 7a4f0: 73675f6c 656e0000 00293f02 2324085f sg_len...)?.#$._ + 7a500: 6765745f 72657365 72766564 5f686561 get_reserved_hea + 7a510: 64726f6f 6d000000 29130223 28085f69 droom...)..#(._i + 7a520: 73725f68 616e646c 65720000 0028e402 sr_handler...(.. + 7a530: 232c085f 6765745f 64656661 756c745f #,._get_default_ + 7a540: 70697065 00000029 48022330 08705265 pipe...)H.#0.pRe + 7a550: 73657276 65640000 00040802 2334000d served......#4.. + 7a560: 646d615f 656e6769 6e650004 00002b28 dma_engine....+( + 7a570: 0e444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. + 7a580: 000e444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 + 7a590: 00010e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX + 7a5a0: 3200020e 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R + 7a5b0: 58330003 0e444d41 5f454e47 494e455f X3...DMA_ENGINE_ + 7a5c0: 54583000 040e444d 415f454e 47494e45 TX0...DMA_ENGINE + 7a5d0: 5f545831 00050e44 4d415f45 4e47494e _TX1...DMA_ENGIN + 7a5e0: 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng + 7a5f0: 696e655f 74000000 2a9f0d64 6d615f69 ine_t...*..dma_i + 7a600: 66747970 65000400 002b750e 444d415f ftype....+u.DMA_ + 7a610: 49465f47 4d414300 000e444d 415f4946 IF_GMAC...DMA_IF + 7a620: 5f504349 00010e44 4d415f49 465f5043 _PCI...DMA_IF_PC + 7a630: 49450002 0009646d 615f6966 74797065 IE....dma_iftype + 7a640: 5f740000 002b3a06 000012ed 01030000 _t...+:......... + 7a650: 2b870400 02010300 002b9404 00020103 +........+...... + 7a660: 00002b9d 04000600 00091f01 0300002b ..+............+ + 7a670: a6040006 000012ed 01030000 2bb30400 ............+... + 7a680: 06000012 ed010300 002bc004 00060000 .........+...... + 7a690: 14380103 00002bcd 04000201 0300002b .8....+........+ + 7a6a0: da040007 646d615f 6c69625f 61706900 ....dma_lib_api. + 7a6b0: 3400002c e1087478 5f696e69 74000000 4..,..tx_init... + 7a6c0: 2b8d0223 00087478 5f737461 72740000 +..#..tx_start.. + 7a6d0: 002b9602 23040872 785f696e 69740000 .+..#..rx_init.. + 7a6e0: 002b8d02 23080872 785f636f 6e666967 .+..#..rx_config + 7a6f0: 0000002b 9f02230c 0872785f 73746172 ...+..#..rx_star + 7a700: 74000000 2b960223 1008696e 74725f73 t...+..#..intr_s + 7a710: 74617475 73000000 2bac0223 14086861 tatus...+..#..ha + 7a720: 72645f78 6d697400 00002bb9 02231808 rd_xmit...+..#.. + 7a730: 666c7573 685f786d 69740000 002b9602 flush_xmit...+.. + 7a740: 231c0878 6d69745f 646f6e65 0000002b #..xmit_done...+ + 7a750: c6022320 08726561 705f786d 69747465 ..# .reap_xmitte + 7a760: 64000000 2bd30223 24087265 61705f72 d...+..#$.reap_r + 7a770: 65637600 00002bd3 02232808 72657475 ecv...+..#(.retu + 7a780: 726e5f72 65637600 00002bdc 02232c08 rn_recv...+..#,. + 7a790: 72656376 5f706b74 0000002b c6022330 recv_pkt...+..#0 + 7a7a0: 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... + 7a7b0: 002cff08 73770000 0028eb02 23000009 .,..sw...(..#... + 7a7c0: 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... + 7a7d0: 2ce10300 002cff04 00020103 00002d19 ,....,........-. + 7a7e0: 04000600 0012d901 0300002d 2204000d ...........-"... + 7a7f0: 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. + 7a800: 0400002d 820e4849 465f5043 495f5049 ...-..HIF_PCI_PI + 7a810: 50455f54 58300000 0e484946 5f504349 PE_TX0...HIF_PCI + 7a820: 5f504950 455f5458 3100010e 4849465f _PIPE_TX1...HIF_ + 7a830: 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. + 7a840: 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ + 7a850: 74785f74 0000002d 2f060000 2b280103 tx_t...-/...+(.. + 7a860: 00002d99 04000d68 69665f70 63695f70 ..-....hif_pci_p + 7a870: 6970655f 72780004 00002e1f 0e484946 ipe_rx.......HIF + 7a880: 5f504349 5f504950 455f5258 3000000e _PCI_PIPE_RX0... + 7a890: 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 + 7a8a0: 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ + 7a8b0: 52583200 020e4849 465f5043 495f5049 RX2...HIF_PCI_PI + 7a8c0: 50455f52 58330003 0e484946 5f504349 PE_RX3...HIF_PCI + 7a8d0: 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... + 7a8e0: 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ + 7a8f0: 74000000 2da60600 002b2801 0300002e t...-....+(..... + 7a900: 36040007 6869665f 7063695f 61706900 6...hif_pci_api. + 7a910: 2400002f 14087063 695f626f 6f745f69 $../..pci_boot_i + 7a920: 6e697400 0000010c 02230008 7063695f nit......#..pci_ + 7a930: 696e6974 00000028 db022304 08706369 init...(..#..pci + 7a940: 5f726573 65740000 00010c02 23080870 _reset......#..p + 7a950: 63695f65 6e61626c 65000000 010c0223 ci_enable......# + 7a960: 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt + 7a970: 65640000 002d1b02 23100870 63695f72 ed...-..#..pci_r + 7a980: 6561705f 72656376 0000002d 1b022314 eap_recv...-..#. + 7a990: 08706369 5f676574 5f706970 65000000 .pci_get_pipe... + 7a9a0: 2d280223 18087063 695f6765 745f7478 -(.#..pci_get_tx + 7a9b0: 5f656e67 0000002d 9f02231c 08706369 _eng...-..#..pci + 7a9c0: 5f676574 5f72785f 656e6700 00002e3c _get_rx_eng....< + 7a9d0: 02232000 07676d61 635f6170 69000400 .# ..gmac_api... + 7a9e0: 002f3b08 676d6163 5f626f6f 745f696e ./;.gmac_boot_in + 7a9f0: 69740000 00010c02 2300000f 00000320 it......#...... + 7aa00: 0600002f 48100500 075f5f65 74686864 .../H....__ethhd + 7aa10: 72000e00 002f7e08 64737400 00002f3b r..../~.dst.../; + 7aa20: 02230008 73726300 00002f3b 02230608 .#..src.../;.#.. + 7aa30: 65747970 65000000 12ed0223 0c00075f etype......#..._ + 7aa40: 5f617468 68647200 0400002f cc157265 _athhdr..../..re + 7aa50: 73000000 12d90100 02022300 1570726f s.........#..pro + 7aa60: 746f0000 0012d901 02060223 00087265 to.........#..re + 7aa70: 735f6c6f 00000012 d9022301 08726573 s_lo......#..res + 7aa80: 5f686900 000012ed 02230200 075f5f67 _hi......#...__g + 7aa90: 6d61635f 68647200 14000030 08086574 mac_hdr....0..et + 7aaa0: 68000000 2f480223 00086174 68000000 h.../H.#..ath... + 7aab0: 2f7e0223 0e08616c 69676e5f 70616400 /~.#..align_pad. + 7aac0: 000012ed 02231200 095f5f67 6d61635f .....#...__gmac_ + 7aad0: 6864725f 74000000 2fcc075f 5f676d61 hdr_t.../..__gma + 7aae0: 635f736f 66746300 24000030 52086864 c_softc.$..0R.hd + 7aaf0: 72000000 30080223 00086772 616e0000 r...0..#..gran.. + 7ab00: 0012ed02 23140873 77000000 28eb0223 ....#..sw...(..# + 7ab10: 18000c5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage + 7ab20: 5f636865 636b0000 01030000 30520400 _check......0R.. + 7ab30: 06000001 13010300 00307004 00030000 .........0p..... + 7ab40: 040b0400 175f415f 636d6e6f 735f696e ....._A_cmnos_in + 7ab50: 64697265 6374696f 6e5f7461 626c6500 direction_table. + 7ab60: 01b80000 31c00868 616c5f6c 696e6b61 ....1..hal_linka + 7ab70: 67655f63 6865636b 00000030 76022300 ge_check...0v.#. + 7ab80: 08737461 72745f62 73730000 00307d02 .start_bss...0}. + 7ab90: 23040861 70705f73 74617274 00000001 #..app_start.... + 7aba0: 0c022308 086d656d 00000004 4b02230c ..#..mem....K.#. + 7abb0: 086d6973 63000000 056a0223 20087072 .misc....j.# .pr + 7abc0: 696e7466 00000001 40022344 08756172 intf....@.#D.uar + 7abd0: 74000000 02090223 4c08676d 61630000 t......#L.gmac.. + 7abe0: 002f1402 236c0875 73620000 000f9902 ./..#l.usb...... + 7abf0: 23700863 6c6f636b 0000000a e20323e0 #p.clock......#. + 7ac00: 01087469 6d657200 00000782 03238402 ..timer......#.. + 7ac10: 08696e74 72000000 0c320323 98020861 .intr....2.#...a + 7ac20: 6c6c6f63 72616d00 0000093a 0323c402 llocram....:.#.. + 7ac30: 08726f6d 70000000 082c0323 d0020877 .romp....,.#...w + 7ac40: 64745f74 696d6572 0000000e 0f0323e0 dt_timer......#. + 7ac50: 02086565 70000000 0f3d0323 fc020873 ..eep....=.#...s + 7ac60: 7472696e 67000000 068e0323 8c030874 tring......#...t + 7ac70: 61736b6c 65740000 000a3703 23a40300 asklet....7.#... + 7ac80: 075f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI + 7ac90: 47001000 00323308 6765745f 636f6d6d G....23.get_comm + 7aca0: 616e645f 62756600 00001445 02230008 and_buf....E.#.. + 7acb0: 72656376 5f636f6d 6d616e64 00000014 recv_command.... + 7acc0: 5b022304 08676574 5f657665 6e745f62 [.#..get_event_b + 7acd0: 75660000 00144502 23080873 656e645f uf....E.#..send_ + 7ace0: 6576656e 745f646f 6e650000 00145b02 event_done....[. + 7acf0: 230c0009 5553425f 4649464f 5f434f4e #...USB_FIFO_CON + 7ad00: 46494700 000031c0 03000032 33040002 FIG...1....23... + 7ad10: 01030000 324f0400 07757362 6669666f ....2O...usbfifo + 7ad20: 5f617069 000c0000 32a5085f 696e6974 _api....2.._init + 7ad30: 00000032 51022300 085f656e 61626c65 ...2Q.#.._enable + 7ad40: 5f657665 6e745f69 73720000 00010c02 _event_isr...... + 7ad50: 23040870 52657365 72766564 00000004 #..pReserved.... + 7ad60: 08022308 000f0000 167f0200 0032b210 ..#..........2.. + 7ad70: 0100075f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD + 7ad80: 52000800 00332408 456e6470 6f696e74 R....3$.Endpoint + 7ad90: 49440000 00167f02 23000846 6c616773 ID......#..Flags + 7ada0: 00000016 7f022301 08506179 6c6f6164 ......#..Payload + 7adb0: 4c656e00 00001ca7 02230208 436f6e74 Len......#..Cont + 7adc0: 726f6c42 79746573 00000032 a5022304 rolBytes...2..#. + 7add0: 08486f73 74536571 4e756d00 00001ca7 .HostSeqNum..... + 7ade0: 02230600 12020000 333d084d 65737361 .#......3=.Messa + 7adf0: 67654944 0000001c a7022300 00120800 geID......#..... + 7ae00: 0033a008 4d657373 61676549 44000000 .3..MessageID... + 7ae10: 1ca70223 00084372 65646974 436f756e ...#..CreditCoun + 7ae20: 74000000 1ca70223 02084372 65646974 t......#..Credit + 7ae30: 53697a65 0000001c a7022304 084d6178 Size......#..Max + 7ae40: 456e6470 6f696e74 73000000 167f0223 Endpoints......# + 7ae50: 06085f50 61643100 0000167f 02230700 .._Pad1......#.. + 7ae60: 120a0000 3437084d 65737361 67654944 ....47.MessageID + 7ae70: 0000001c a7022300 08536572 76696365 ......#..Service + 7ae80: 49440000 001ca702 23020843 6f6e6e65 ID......#..Conne + 7ae90: 6374696f 6e466c61 67730000 001ca702 ctionFlags...... + 7aea0: 23040844 6f776e4c 696e6b50 69706549 #..DownLinkPipeI + 7aeb0: 44000000 167f0223 06085570 4c696e6b D......#..UpLink + 7aec0: 50697065 49440000 00167f02 23070853 PipeID......#..S + 7aed0: 65727669 63654d65 74614c65 6e677468 erviceMetaLength + 7aee0: 00000016 7f022308 085f5061 64310000 ......#.._Pad1.. + 7aef0: 00167f02 23090012 0a000034 bf084d65 ....#......4..Me + 7af00: 73736167 65494400 00001ca7 02230008 ssageID......#.. + 7af10: 53657276 69636549 44000000 1ca70223 ServiceID......# + 7af20: 02085374 61747573 00000016 7f022304 ..Status......#. + 7af30: 08456e64 706f696e 74494400 0000167f .EndpointID..... + 7af40: 02230508 4d61784d 73675369 7a650000 .#..MaxMsgSize.. + 7af50: 001ca702 23060853 65727669 63654d65 ....#..ServiceMe + 7af60: 74614c65 6e677468 00000016 7f022308 taLength......#. + 7af70: 085f5061 64310000 00167f02 23090012 ._Pad1......#... + 7af80: 02000034 d8084d65 73736167 65494400 ...4..MessageID. + 7af90: 00001ca7 02230000 12040000 3514084d .....#......5..M + 7afa0: 65737361 67654944 0000001c a7022300 essageID......#. + 7afb0: 08506970 65494400 0000167f 02230208 .PipeID......#.. + 7afc0: 43726564 6974436f 756e7400 0000167f CreditCount..... + 7afd0: 02230300 12040000 354b084d 65737361 .#......5K.Messa + 7afe0: 67654944 0000001c a7022300 08506970 geID......#..Pip + 7aff0: 65494400 0000167f 02230208 53746174 eID......#..Stat + 7b000: 75730000 00167f02 23030012 02000035 us......#......5 + 7b010: 72085265 636f7264 49440000 00167f02 r.RecordID...... + 7b020: 2300084c 656e6774 68000000 167f0223 #..Length......# + 7b030: 01001202 0000359c 08456e64 706f696e ......5..Endpoin + 7b040: 74494400 0000167f 02230008 43726564 tID......#..Cred + 7b050: 69747300 0000167f 02230100 12040000 its......#...... + 7b060: 35dd0845 6e64706f 696e7449 44000000 5..EndpointID... + 7b070: 167f0223 00084372 65646974 73000000 ...#..Credits... + 7b080: 167f0223 01085467 74437265 64697453 ...#..TgtCreditS + 7b090: 65714e6f 0000001c a7022302 000f0000 eqNo......#..... + 7b0a0: 167f0400 0035ea10 03001206 00003626 .....5........6& + 7b0b0: 08507265 56616c69 64000000 167f0223 .PreValid......# + 7b0c0: 00084c6f 6f6b4168 65616400 000035dd ..LookAhead...5. + 7b0d0: 02230108 506f7374 56616c69 64000000 .#..PostValid... + 7b0e0: 167f0223 05000970 6f6f6c5f 68616e64 ...#...pool_hand + 7b0f0: 6c655f74 00000004 08060000 36260103 le_t........6&.. + 7b100: 00003639 04000201 03000036 46040014 ..69.......6F... + 7b110: 04000036 c40e504f 4f4c5f49 445f4854 ...6..POOL_ID_HT + 7b120: 435f434f 4e54524f 4c00000e 504f4f4c C_CONTROL...POOL + 7b130: 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ + 7b140: 5245504c 5900010e 504f4f4c 5f49445f REPLY...POOL_ID_ + 7b150: 574d495f 5356435f 4556454e 5400020e WMI_SVC_EVENT... + 7b160: 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ + 7b170: 42554600 030e504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA + 7b180: 58000a00 09425546 5f504f4f 4c5f4944 X....BUF_POOL_ID + 7b190: 00000036 4f020103 000036d5 04000600 ...6O.....6..... + 7b1a0: 00264401 03000036 de040006 00002644 .&D....6......&D + 7b1b0: 01030000 36eb0400 02010300 0036f804 ....6........6.. + 7b1c0: 00076275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. + 7b1d0: 0000379a 085f696e 69740000 00363f02 ..7.._init...6?. + 7b1e0: 2300085f 73687574 646f776e 00000036 #.._shutdown...6 + 7b1f0: 48022304 085f6372 65617465 5f706f6f H.#.._create_poo + 7b200: 6c000000 36d70223 08085f61 6c6c6f63 l...6..#.._alloc + 7b210: 5f627566 00000036 e402230c 085f616c _buf...6..#.._al + 7b220: 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... + 7b230: 36f10223 10085f66 7265655f 62756600 6..#.._free_buf. + 7b240: 000036fa 02231408 70526573 65727665 ..6..#..pReserve + 7b250: 64000000 04080223 1800075f 4854435f d......#..._HTC_ + 7b260: 53455256 49434500 1c000038 7908704e SERVICE....8y.pN + 7b270: 65787400 00003879 02230008 50726f63 ext...8y.#..Proc + 7b280: 65737352 6563764d 73670000 00392e02 essRecvMsg...9.. + 7b290: 23040850 726f6365 73735365 6e644275 #..ProcessSendBu + 7b2a0: 66666572 436f6d70 6c657465 00000039 fferComplete...9 + 7b2b0: 37022308 0850726f 63657373 436f6e6e 7.#..ProcessConn + 7b2c0: 65637400 0000394b 02230c08 53657276 ect...9K.#..Serv + 7b2d0: 69636549 44000000 12ed0223 10085365 iceID......#..Se + 7b2e0: 72766963 65466c61 67730000 0012ed02 rviceFlags...... + 7b2f0: 2312084d 61785376 634d7367 53697a65 #..MaxSvcMsgSize + 7b300: 00000012 ed022314 08547261 696c6572 ......#..Trailer + 7b310: 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... + 7b320: 12ed0223 16085365 72766963 65437478 ...#..ServiceCtx + 7b330: 00000004 08022318 00030000 379a0400 ......#.....7... + 7b340: 14040000 39171945 4e44504f 494e545f ....9..ENDPOINT_ + 7b350: 554e5553 454400ff ffffff0e 454e4450 UNUSED......ENDP + 7b360: 4f494e54 3000000e 454e4450 4f494e54 OINT0...ENDPOINT + 7b370: 3100010e 454e4450 4f494e54 3200020e 1...ENDPOINT2... + 7b380: 454e4450 4f494e54 3300030e 454e4450 ENDPOINT3...ENDP + 7b390: 4f494e54 3400040e 454e4450 4f494e54 OINT4...ENDPOINT + 7b3a0: 3500050e 454e4450 4f494e54 3600060e 5...ENDPOINT6... + 7b3b0: 454e4450 4f494e54 3700070e 454e4450 ENDPOINT7...ENDP + 7b3c0: 4f494e54 3800080e 454e4450 4f494e54 OINT8...ENDPOINT + 7b3d0: 5f4d4158 00160009 4854435f 454e4450 _MAX....HTC_ENDP + 7b3e0: 4f494e54 5f494400 00003880 02010300 OINT_ID...8..... + 7b3f0: 00392c04 00020103 00003935 04000300 .9,.......95.... + 7b400: 00011304 00060000 12d90103 00003945 ..............9E + 7b410: 04000300 00379a04 00075f48 54435f43 .....7...._HTC_C + 7b420: 4f4e4649 47001400 0039ca08 43726564 ONFIG....9..Cred + 7b430: 69745369 7a650000 00011302 23000843 itSize......#..C + 7b440: 72656469 744e756d 62657200 00000113 reditNumber..... + 7b450: 02230408 4f534861 6e646c65 0000001a .#..OSHandle.... + 7b460: 43022308 08484946 48616e64 6c650000 C.#..HIFHandle.. + 7b470: 0028ac02 230c0850 6f6f6c48 616e646c .(..#..PoolHandl + 7b480: 65000000 36260223 1000075f 4854435f e...6&.#..._HTC_ + 7b490: 4255465f 434f4e54 45585400 0200003a BUF_CONTEXT....: + 7b4a0: 0608656e 645f706f 696e7400 000012d9 ..end_point..... + 7b4b0: 02230008 6874635f 666c6167 73000000 .#..htc_flags... + 7b4c0: 12d90223 01000968 74635f68 616e646c ...#...htc_handl + 7b4d0: 655f7400 00000408 09485443 5f534554 e_t......HTC_SET + 7b4e0: 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. + 7b4f0: 00010c09 4854435f 434f4e46 49470000 ....HTC_CONFIG.. + 7b500: 00395903 00003a33 04000600 003a0601 .9Y...:3.....:.. + 7b510: 0300003a 4a040002 01030000 3a570400 ...:J.......:W.. + 7b520: 09485443 5f534552 56494345 00000037 .HTC_SERVICE...7 + 7b530: 9a030000 3a600400 02010300 003a7804 ....:`.......:x. + 7b540: 00020103 00003a81 04000201 0300003a ......:........: + 7b550: 8a040006 00000113 01030000 3a930400 ............:... + 7b560: 07687463 5f617069 73003400 003c1008 .htc_apis.4..<.. + 7b570: 5f485443 5f496e69 74000000 3a500223 _HTC_Init...:P.# + 7b580: 00085f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. + 7b590: 00003a59 02230408 5f485443 5f526567 ..:Y.#.._HTC_Reg + 7b5a0: 69737465 72536572 76696365 0000003a isterService...: + 7b5b0: 7a022308 085f4854 435f5265 61647900 z.#.._HTC_Ready. + 7b5c0: 00003a59 02230c08 5f485443 5f526574 ..:Y.#.._HTC_Ret + 7b5d0: 75726e42 75666665 72730000 003a8302 urnBuffers...:.. + 7b5e0: 2310085f 4854435f 52657475 726e4275 #.._HTC_ReturnBu + 7b5f0: 66666572 734c6973 74000000 3a8c0223 ffersList...:..# + 7b600: 14085f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. + 7b610: 003a8302 2318085f 4854435f 47657452 .:..#.._HTC_GetR + 7b620: 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. + 7b630: 00003a99 02231c08 5f485443 5f4d7367 ..:..#.._HTC_Msg + 7b640: 52656376 48616e64 6c657200 00002859 RecvHandler...(Y + 7b650: 02232008 5f485443 5f53656e 64446f6e .# ._HTC_SendDon + 7b660: 6548616e 646c6572 00000028 50022324 eHandler...(P.#$ + 7b670: 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc + 7b680: 50726f63 6573734d 73670000 00392e02 ProcessMsg...9.. + 7b690: 2328085f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS + 7b6a0: 76635072 6f636573 7353656e 64436f6d vcProcessSendCom + 7b6b0: 706c6574 65000000 39370223 2c087052 plete...97.#,.pR + 7b6c0: 65736572 76656400 00000408 02233000 eserved......#0. + 7b6d0: 07686f73 745f6170 705f6172 65615f73 .host_app_area_s + 7b6e0: 00040000 3c400877 6d695f70 726f746f ....<@.wmi_proto + 7b6f0: 636f6c5f 76657200 00001618 02230000 col_ver......#.. + 7b700: 120e0000 3c770864 73744d61 63000000 ......b + 7b8b0: 6561636f 6e50656e 64696e67 436f756e eaconPendingCoun + 7b8c0: 74000000 167f0223 0000075f 574d495f t......#..._WMI_ + 7b8d0: 5356435f 434f4e46 49470010 00003e73 SVC_CONFIG....>s + 7b8e0: 08487463 48616e64 6c650000 003a0602 .HtcHandle...:.. + 7b8f0: 23000850 6f6f6c48 616e646c 65000000 #..PoolHandle... + 7b900: 36260223 04084d61 78436d64 5265706c 6&.#..MaxCmdRepl + 7b910: 79457674 73000000 01130223 08084d61 yEvts......#..Ma + 7b920: 78457665 6e744576 74730000 00011302 xEventEvts...... + 7b930: 230c0002 01030000 3e730400 09574d49 #.......>s...WMI + 7b940: 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> + 7b950: 75075f57 4d495f44 49535041 5443485f u._WMI_DISPATCH_ + 7b960: 454e5452 59000800 003edc08 70436d64 ENTRY....>..pCmd + 7b970: 48616e64 6c657200 00003e7c 02230008 Handler...>|.#.. + 7b980: 436d6449 44000000 12ed0223 0408466c CmdID......#..Fl + 7b990: 61677300 000012ed 02230600 075f574d ags......#..._WM + 7b9a0: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE + 7b9b0: 00100000 3f3d0870 4e657874 0000003f ....?=.pNext...? + 7b9c0: 3d022300 0870436f 6e746578 74000000 =.#..pContext... + 7b9d0: 04080223 04084e75 6d626572 4f66456e ...#..NumberOfEn + 7b9e0: 74726965 73000000 01130223 08087054 tries......#..pT + 7b9f0: 61626c65 0000003f 5c02230c 00030000 able...?\.#..... + 7ba00: 3edc0400 09574d49 5f444953 50415443 >....WMI_DISPATC + 7ba10: 485f454e 54525900 00003e91 0300003f H_ENTRY...>....? + 7ba20: 44040003 00003edc 04000948 54435f42 D.....>....HTC_B + 7ba30: 55465f43 4f4e5445 58540000 0039ca0d UF_CONTEXT...9.. + 7ba40: 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... + 7ba50: 003ff419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS + 7ba60: 535f4e4f 4e4500ff ffffff0e 574d495f S_NONE......WMI_ + 7ba70: 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV + 7ba80: 454e5400 000e574d 495f4556 545f434c ENT...WMI_EVT_CL + 7ba90: 4153535f 434d445f 5245504c 5900010e ASS_CMD_REPLY... + 7baa0: 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA + 7bab0: 58000200 09574d49 5f455654 5f434c41 X....WMI_EVT_CLA + 7bac0: 53530000 003f7f07 5f574d49 5f425546 SS...?.._WMI_BUF + 7bad0: 5f434f4e 54455854 000c0000 40520848 _CONTEXT....@R.H + 7bae0: 74634275 66437478 0000003f 6a022300 tcBufCtx...?j.#. + 7baf0: 08457665 6e74436c 61737300 00003ff4 .EventClass...?. + 7bb00: 02230408 466c6167 73000000 12ed0223 .#..Flags......# + 7bb10: 08000977 6d695f68 616e646c 655f7400 ...wmi_handle_t. + 7bb20: 00000408 09574d49 5f535643 5f434f4e .....WMI_SVC_CON + 7bb30: 46494700 00003e0a 03000040 64040006 FIG...>....@d... + 7bb40: 00004052 01030000 407f0400 09574d49 ..@R....@....WMI + 7bb50: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. + 7bb60: 00003edc 03000040 8c040002 01030000 ..>....@........ + 7bb70: 40ab0400 06000026 44010300 0040b404 @......&D....@.. + 7bb80: 00020103 000040c1 04000600 00011301 ......@......... + 7bb90: 03000040 ca040002 01030000 40d70400 ...@........@... + 7bba0: 06000012 d9010300 0040e004 00075f77 .........@...._w + 7bbb0: 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B + 7bbc0: 28085f57 4d495f49 6e697400 00004085 (._WMI_Init...@. + 7bbd0: 02230008 5f574d49 5f526567 69737465 .#.._WMI_Registe + 7bbe0: 72446973 70617463 68546162 6c650000 rDispatchTable.. + 7bbf0: 0040ad02 2304085f 574d495f 416c6c6f .@..#.._WMI_Allo + 7bc00: 63457665 6e740000 0040ba02 2308085f cEvent...@..#.._ + 7bc10: 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... + 7bc20: 40c30223 0c085f57 4d495f47 65745065 @..#.._WMI_GetPe + 7bc30: 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount + 7bc40: 00000040 d0022310 085f574d 495f5365 ...@..#.._WMI_Se + 7bc50: 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle + 7bc60: 72000000 39370223 14085f57 4d495f47 r...97.#.._WMI_G + 7bc70: 6574436f 6e74726f 6c457000 000040d0 etControlEp...@. + 7bc80: 02231808 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow + 7bc90: 6e000000 40d90223 1c085f57 4d495f52 n...@..#.._WMI_R + 7bca0: 6563764d 65737361 67654861 6e646c65 ecvMessageHandle + 7bcb0: 72000000 392e0223 20085f57 4d495f53 r...9..# ._WMI_S + 7bcc0: 65727669 6365436f 6e6e6563 74000000 erviceConnect... + 7bcd0: 40e60223 24087052 65736572 76656400 @..#$.pReserved. + 7bce0: 00000408 02232800 077a7344 6d614465 .....#(..zsDmaDe + 7bcf0: 73630014 000042aa 08637472 6c000000 sc....B..ctrl... + 7bd00: 01770223 00087374 61747573 00000001 .w.#..status.... + 7bd10: 77022302 08746f74 616c4c65 6e000000 w.#..totalLen... + 7bd20: 01770223 04086461 74615369 7a650000 .w.#..dataSize.. + 7bd30: 00017702 2306086c 61737441 64647200 ..w.#..lastAddr. + 7bd40: 000042aa 02230808 64617461 41646472 ..B..#..dataAddr + 7bd50: 00000001 9b02230c 086e6578 74416464 ......#..nextAdd + 7bd60: 72000000 42aa0223 10000300 00422804 r...B..#.....B(. + 7bd70: 00030000 42280400 077a7344 6d615175 ....B(...zsDmaQu + 7bd80: 65756500 08000042 ea086865 61640000 eue....B..head.. + 7bd90: 0042b102 23000874 65726d69 6e61746f .B..#..terminato + 7bda0: 72000000 42b10223 0400077a 73547844 r...B..#...zsTxD + 7bdb0: 6d615175 65756500 10000043 4e086865 maQueue....CN.he + 7bdc0: 61640000 0042b102 23000874 65726d69 ad...B..#..termi + 7bdd0: 6e61746f 72000000 42b10223 0408786d nator...B..#..xm + 7bde0: 69746564 5f627566 5f686561 64000000 ited_buf_head... + 7bdf0: 14380223 0808786d 69746564 5f627566 .8.#..xmited_buf + 7be00: 5f746169 6c000000 14380223 0c000201 _tail....8.#.... + 7be10: 03000043 4e040003 000042b8 04000201 ...CN.....B..... + 7be20: 03000043 5e040003 000042ea 04000201 ...C^.....B..... + 7be30: 03000043 6e040002 01030000 43770400 ...Cn.......Cw.. + 7be40: 02010300 00438004 00060000 14380103 .....C.......8.. + 7be50: 00004389 04000201 03000043 96040006 ..C........C.... + 7be60: 00001438 01030000 439f0400 02010300 ...8....C....... + 7be70: 0043ac04 00060000 01130103 000043b5 .C............C. + 7be80: 04000600 0042b101 03000043 c2040002 .....B.....C.... + 7be90: 01030000 43cf0400 07646d61 5f656e67 ....C....dma_eng + 7bea0: 696e655f 61706900 40000045 45085f69 ine_api.@..EE._i + 7beb0: 6e697400 00004350 02230008 5f696e69 nit...CP.#.._ini + 7bec0: 745f7278 5f717565 75650000 00436002 t_rx_queue...C`. + 7bed0: 2304085f 696e6974 5f74785f 71756575 #.._init_tx_queu + 7bee0: 65000000 43700223 08085f63 6f6e6669 e...Cp.#.._confi + 7bef0: 675f7278 5f717565 75650000 00437902 g_rx_queue...Cy. + 7bf00: 230c085f 786d6974 5f627566 00000043 #.._xmit_buf...C + 7bf10: 82022310 085f666c 7573685f 786d6974 ..#.._flush_xmit + 7bf20: 00000043 60022314 085f7265 61705f72 ...C`.#.._reap_r + 7bf30: 6563765f 62756600 0000438f 02231808 ecv_buf...C..#.. + 7bf40: 5f726574 75726e5f 72656376 5f627566 _return_recv_buf + 7bf50: 00000043 9802231c 085f7265 61705f78 ...C..#.._reap_x + 7bf60: 6d697465 645f6275 66000000 43a50223 mited_buf...C..# + 7bf70: 20085f73 7761705f 64617461 00000043 ._swap_data...C + 7bf80: ae022324 085f6861 735f636f 6d706c5f ..#$._has_compl_ + 7bf90: 7061636b 65747300 000043bb 02232808 packets...C..#(. + 7bfa0: 5f646573 635f6475 6d700000 00436002 _desc_dump...C`. + 7bfb0: 232c085f 6765745f 7061636b 65740000 #,._get_packet.. + 7bfc0: 0043c802 2330085f 7265636c 61696d5f .C..#0._reclaim_ + 7bfd0: 7061636b 65740000 0043d102 2334085f packet...C..#4._ + 7bfe0: 7075745f 7061636b 65740000 0043d102 put_packet...C.. + 7bff0: 23380870 52657365 72766564 00000004 #8.pReserved.... + 7c000: 0802233c 00095f41 5f636d6e 6f735f69 ..#<.._A_cmnos_i + 7c010: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table + 7c020: 5f740000 00308409 574d495f 5356435f _t...0..WMI_SVC_ + 7c030: 41504953 00000040 ed175f41 5f6d6167 APIS...@.._A_mag + 7c040: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 7c050: 7461626c 6500034c 00004673 08636d6e table..L..Fs.cmn + 7c060: 6f730000 00454502 23000864 62670000 os...EE.#..dbg.. + 7c070: 0003d503 23b80308 68696600 0000294f ....#...hif...)O + 7c080: 0323c003 08687463 0000003a a00323f8 .#...htc...:..#. + 7c090: 0308776d 695f7376 635f6170 69000000 ..wmi_svc_api... + 7c0a0: 45670323 ac040875 73626669 666f5f61 Eg.#...usbfifo_a + 7c0b0: 70690000 00325803 23d80408 6275665f pi...2X.#...buf_ + 7c0c0: 706f6f6c 00000037 010323e4 04087662 pool...7..#...vb + 7c0d0: 75660000 00146203 23800508 76646573 uf....b.#...vdes + 7c0e0: 63000000 13440323 94050861 6c6c6f63 c....D.#...alloc + 7c0f0: 72616d00 0000093a 0323a805 08646d61 ram....:.#...dma + 7c100: 5f656e67 696e6500 000043d8 0323b405 _engine...C..#.. + 7c110: 08646d61 5f6c6962 0000002b e30323f4 .dma_lib...+..#. + 7c120: 05086869 665f7063 69000000 2e430323 ..hif_pci....C.# + 7c130: a8060006 00000408 01095f41 5f6d6167 .........._A_mag + 7c140: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ + 7c150: 7461626c 655f7400 00004579 02010201 table_t...Ey.... + 7c160: 02010600 00011301 0f000014 38280000 ............8(.. + 7c170: 46b51009 00075f42 55465f50 4f4f4c5f F....._BUF_POOL_ + 7c180: 53544154 49435f43 4f4e5445 5854002c STATIC_CONTEXT., + 7c190: 000046f4 08627566 51000000 46a80223 ..F..bufQ...F..# + 7c1a0: 00087052 65736572 76656400 00000408 ..pReserved..... + 7c1b0: 02232800 09425546 5f504f4f 4c5f5354 .#(..BUF_POOL_ST + 7c1c0: 41544943 5f434f4e 54455854 00000046 ATIC_CONTEXT...F + 7c1d0: b51a675f 706f6f6c 43747800 000046f4 ..g_poolCtx...F. + 7c1e0: 05030050 0b180103 00003701 04000201 ...P......7..... + 7c1f0: 0600003a 06010300 00473004 00030000 ...:.....G0..... + 7c200: 46f40400 1b012262 75665f70 6f6f6c5f F....."buf_pool_ + 7c210: 6d6f6475 6c655f69 6e737461 6c6c0001 module_install.. + 7c220: 01039201 20029000 008e6128 008e614b .... .....a(..aK + 7c230: 00004784 1c012270 41504973 00000047 ..G..."pAPIs...G + 7c240: 27015200 1d012c5f 6275665f 706f6f6c '.R...,_buf_pool + 7c250: 5f737461 7469635f 696e6974 00000004 _static_init.... + 7c260: 08010103 92012002 9000008e 614c008e ...... .....aL.. + 7c270: 61620000 47ce1c01 2c68616e 646c6500 ab..G...,handle. + 7c280: 00001a43 01521e69 00000001 13001b01 ...C.R.i........ + 7c290: 415f6275 665f706f 6f6c5f73 74617469 A_buf_pool_stati + 7c2a0: 635f7368 7574646f 776e0001 01039201 c_shutdown...... + 7c2b0: 20029000 008e6164 008e6169 00004811 .....ad..ai..H. + 7c2c0: 1c014168 616e646c 65000000 36260152 ..Ahandle...6&.R + 7c2d0: 001f0146 5f627566 5f706f6f 6c5f7374 ...F_buf_pool_st + 7c2e0: 61746963 5f637265 6174655f 706f6f6c atic_create_pool + 7c2f0: 00010101 03920130 02900000 8e616c00 .......0.....al. + 7c300: 8e61c100 0048a11c 01466861 6e646c65 .a...H...Fhandle + 7c310: 00000036 2601521c 0146706f 6f6c4964 ...6&.R..FpoolId + 7c320: 00000036 c401531c 01466e49 74656d73 ...6..S..FnItems + 7c330: 00000001 1301541c 01466e53 697a6500 ......T..FnSize. + 7c340: 00000113 01551e69 00000001 131e6275 .....U.i......bu + 7c350: 66000000 14381e64 65736300 0000131a f....8.desc..... + 7c360: 001d0163 5f627566 5f706f6f 6c5f7374 ...c_buf_pool_st + 7c370: 61746963 5f616c6c 6f635f62 75660000 atic_alloc_buf.. + 7c380: 00264401 01039201 20029000 008e61c4 .&D..... .....a. + 7c390: 008e61e6 00004913 1c016368 616e646c ..a...I...chandl + 7c3a0: 65000000 36260152 1c016370 6f6f6c49 e...6&.R..cpoolI + 7c3b0: 64000000 36c40153 1c016372 65736572 d...6..S..creser + 7c3c0: 76650000 00011301 541e6275 66000000 ve......T.buf... + 7c3d0: 1438001d 01745f62 75665f70 6f6f6c5f .8...t_buf_pool_ + 7c3e0: 73746174 69635f61 6c6c6f63 5f627566 static_alloc_buf + 7c3f0: 5f616c69 676e0000 00264401 01039201 _align...&D..... + 7c400: 20029000 008e61e8 008e61f8 00004991 .....a...a...I. + 7c410: 1c017468 616e646c 65000000 36260152 ..thandle...6&.R + 7c420: 1c017470 6f6f6c49 64000000 36c40153 ..tpoolId...6..S + 7c430: 1c017472 65736572 76650000 00011301 ..treserve...... + 7c440: 541c0174 616c6967 6e000000 01130155 T..talign......U + 7c450: 00200179 5f627566 5f706f6f 6c5f7374 . .y_buf_pool_st + 7c460: 61746963 5f667265 655f6275 66000101 atic_free_buf... + 7c470: 03920120 02900000 8e61f800 8e620b1c ... .....a...b.. + 7c480: 01796861 6e646c65 00000036 2601521c .yhandle...6&.R. + 7c490: 0179706f 6f6c4964 00000036 c401531c .ypoolId...6..S. + 7c4a0: 01796275 66000000 26440154 00000000 .ybuf...&D.T.... + 7c4b0: 0002eb00 0200002c dc040165 78632d73 .......,...exc-s + 7c4c0: 65746861 6e646c65 722e6300 2f666f6c ethandler.c./fol + 7c4d0: 6b732f72 6873752f 70726f6a 6563742f ks/rhsu/project/ + 7c4e0: 7034762f 70657266 6f726365 2f70305f p4v/perforce/p0_ + 7c4f0: 636f7265 2f70726f 6a656374 2f737263 core/project/src + 7c500: 2f726f6d 2f6f732f 7372632f 78746f73 /rom/os/src/xtos + 7c510: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7c520: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7c530: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7c540: 202d6733 00010000 01ba0f02 6c6f6e67 -g3........long + 7c550: 20696e74 00050403 0000009c 10000000 int............ + 7c560: b5040300 05280000 01140670 63000000 .....(.....pc... + 7c570: 009c0223 00067073 00000000 9c022304 ...#..ps......#. + 7c580: 06617265 67000000 00a80223 08067361 .areg......#..sa + 7c590: 72000000 009c0223 18066c63 6f756e74 r......#..lcount + 7c5a0: 00000000 9c02231c 066c6265 67000000 ......#..lbeg... + 7c5b0: 009c0223 20066c65 6e640000 00009c02 ...# .lend...... + 7c5c0: 23240005 30000001 b0067063 00000000 #$..0.....pc.... + 7c5d0: 9c022300 06707300 0000009c 02230406 ..#..ps......#.. + 7c5e0: 73617200 0000009c 02230806 76707269 sar......#..vpri + 7c5f0: 00000000 9c02230c 06613200 0000009c ......#..a2..... + 7c600: 02231006 61330000 00009c02 23140661 .#..a3......#..a + 7c610: 34000000 009c0223 18066135 00000000 4......#..a5.... + 7c620: 9c02231c 06657863 63617573 65000000 ..#..exccause... + 7c630: 009c0223 20066c63 6f756e74 00000000 ...# .lcount.... + 7c640: 9c022324 066c6265 67000000 009c0223 ..#$.lbeg......# + 7c650: 28066c65 6e640000 00009c02 232c0007 (.lend......#,.. + 7c660: 01085f78 746f735f 68616e64 6c65725f .._xtos_handler_ + 7c670: 66756e63 00000001 b0090000 01b20400 func............ + 7c680: 085f7874 6f735f68 616e646c 65720000 ._xtos_handler.. + 7c690: 0001ca0a 04000b58 746f7349 6e744861 .......XtosIntHa + 7c6a0: 6e646c65 72456e74 72790008 0000021e ndlerEntry...... + 7c6b0: 0668616e 646c6572 00000001 d1022300 .handler......#. + 7c6c0: 06617267 00000001 e4022304 0002756e .arg......#...un + 7c6d0: 7369676e 65642069 6e740007 040b5874 signed int....Xt + 7c6e0: 6f73496e 744d6173 6b456e74 72790008 osIntMaskEntry.. + 7c6f0: 0000026b 06767072 695f6d61 736b0000 ...k.vpri_mask.. + 7c700: 00021e02 2300066c 6576656c 5f6d6173 ....#..level_mas + 7c710: 6b000000 021e0223 04000269 6e740005 k......#...int.. + 7c720: 040c0000 01d10107 01090000 02780400 .............x.. + 7c730: 0d000001 d1010000 028d0e00 0d000001 ................ + 7c740: d1010000 02990e00 0f01215f 78746f73 ..........!_xtos + 7c750: 5f736574 5f657863 65707469 6f6e5f68 _set_exception_h + 7c760: 616e646c 65720000 0001d101 01039201 andler.......... + 7c770: 20029000 008e620c 008e626d 1001216e .....b...bm..!n + 7c780: 00000002 6b015210 01216600 000001d1 ....k.R..!f..... + 7c790: 01531172 65740000 0001d100 00000000 .S.ret.......... + 7c7a0: 00750002 00002d98 04010001 bcc9008e .u....-......... + 7c7b0: 6814008e 68196578 632d7461 626c652e h...h.exc-table. + 7c7c0: 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr + 7c7d0: 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor + 7c7e0: 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje + 7c7f0: 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr + 7c800: 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. + 7c810: 31362e31 00800100 00008100 0200002d 16.1...........- + 7c820: ac040100 01bd0800 8e627000 8e62e965 .........bp..b.e + 7c830: 78632d63 2d777261 70706572 2d68616e xc-c-wrapper-han + 7c840: 646c6572 2e53002f 666f6c6b 732f7268 dler.S./folks/rh + 7c850: 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p + 7c860: 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ + 7c870: 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ + 7c880: 6f732f73 72632f78 746f7300 474e5520 os/src/xtos.GNU + 7c890: 41532032 2e31362e 31008001 000000c5 AS 2.16.1....... + 7c8a0: 00020000 2dc00401 0001be1b 008e681c ....-.........h. + 7c8b0: 008e6842 2f686f6d 652f6375 73746f6d ..hB/home/custom + 7c8c0: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 7c8d0: 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- + 7c8e0: 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa + 7c8f0: 2d656c66 2f737263 2f68616c 2f636c6f -elf/src/hal/clo + 7c900: 636b2e53 002f7072 6f6a6563 742f6375 ck.S./project/cu + 7c910: 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 + 7c920: 372e322f 6275696c 642f6174 6865726f 7.2/build/athero + 7c930: 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 + 7c940: 2f383337 34332f78 6275696c 642f4f53 /83743/xbuild/OS + 7c950: 2f68616c 00474e55 20415320 322e3136 /hal.GNU AS 2.16 + 7c960: 2e310080 0100000c 1c000200 002dd404 .1...........-.. + 7c970: 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 7c980: 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 7c990: 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 7c9a0: 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 7c9b0: 662f7372 632f6861 6c2f6d69 73632e63 f/src/hal/misc.c + 7c9c0: 002f7072 6f6a6563 742f6375 73742f67 ./project/cust/g + 7c9d0: 656e6170 702f5242 2d323030 372e322f enapp/RB-2007.2/ + 7c9e0: 6275696c 642f6174 6865726f 732f7072 build/atheros/pr + 7c9f0: 6f642f4d 61677069 655f5030 2f383337 od/Magpie_P0/837 + 7ca00: 34332f78 6275696c 642f4f53 2f68616c 43/xbuild/OS/hal + 7ca10: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7ca20: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7ca30: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7ca40: 202d6733 00010002 756e7369 676e6564 -g3....unsigned + 7ca50: 20696e74 00070403 000000e2 04587468 int.........Xth + 7ca60: 616c5f72 656c6561 73655f6d 616a6f72 al_release_major + 7ca70: 00000000 f2050300 4e879001 04587468 ........N....Xth + 7ca80: 616c5f72 656c6561 73655f6d 696e6f72 al_release_minor + 7ca90: 00000000 f2050300 500b4401 02636861 ........P.D..cha + 7caa0: 72000701 03000001 37030000 01370500 r.......7....7.. + 7cab0: 00014404 00030000 01490300 00013705 ..D......I....7. + 7cac0: 00000155 04000458 7468616c 5f72656c ...U...Xthal_rel + 7cad0: 65617365 5f6e616d 65000000 01500503 ease_name....P.. + 7cae0: 004e8794 01060000 01370600 00018d07 .N.......7...... + 7caf0: 05000458 7468616c 5f72656c 65617365 ...Xthal_release + 7cb00: 5f696e74 65726e61 6c000000 01500503 _internal....P.. + 7cb10: 00500b48 01045874 68616c5f 7265765f .P.H..Xthal_rev_ + 7cb20: 6e6f0000 0000f205 03004e87 98010458 no........N....X + 7cb30: 7468616c 5f6e756d 5f617265 67730000 thal_num_aregs.. + 7cb40: 0000f205 03004e87 9c010275 6e736967 ......N....unsig + 7cb50: 6e656420 63686172 00070103 000001e5 ned char........ + 7cb60: 04587468 616c5f6e 756d5f61 72656773 .Xthal_num_aregs + 7cb70: 5f6c6f67 32000000 01f60503 004e87a0 _log2........N.. + 7cb80: 01045874 68616c5f 6d656d6f 72795f6f ..Xthal_memory_o + 7cb90: 72646572 00000001 f6050300 4e87a101 rder........N... + 7cba0: 04587468 616c5f68 6176655f 77696e64 .Xthal_have_wind + 7cbb0: 6f776564 00000001 f6050300 4e87a201 owed........N... + 7cbc0: 04587468 616c5f68 6176655f 64656e73 .Xthal_have_dens + 7cbd0: 69747900 000001f6 0503004e 87a30104 ity........N.... + 7cbe0: 58746861 6c5f6861 76655f62 6f6f6c65 Xthal_have_boole + 7cbf0: 616e7300 000001f6 05030050 0b4c0104 ans........P.L.. + 7cc00: 58746861 6c5f6861 76655f6c 6f6f7073 Xthal_have_loops + 7cc10: 00000001 f6050300 4e87a401 04587468 ........N....Xth + 7cc20: 616c5f68 6176655f 6e736100 000001f6 al_have_nsa..... + 7cc30: 0503004e 87a50104 58746861 6c5f6861 ...N....Xthal_ha + 7cc40: 76655f6d 696e6d61 78000000 01f60503 ve_minmax....... + 7cc50: 004e87a6 01045874 68616c5f 68617665 .N....Xthal_have + 7cc60: 5f736578 74000000 01f60503 004e87a7 _sext........N.. + 7cc70: 01045874 68616c5f 68617665 5f636c61 ..Xthal_have_cla + 7cc80: 6d707300 000001f6 05030050 0b4d0104 mps........P.M.. + 7cc90: 58746861 6c5f6861 76655f6d 61633136 Xthal_have_mac16 + 7cca0: 00000001 f6050300 500b4e01 04587468 ........P.N..Xth + 7ccb0: 616c5f68 6176655f 6d756c31 36000000 al_have_mul16... + 7ccc0: 01f60503 004e87a8 01045874 68616c5f .....N....Xthal_ + 7ccd0: 68617665 5f667000 000001f6 05030050 have_fp........P + 7cce0: 0b4f0104 58746861 6c5f6861 76655f73 .O..Xthal_have_s + 7ccf0: 70656375 6c617469 6f6e0000 0001f605 peculation...... + 7cd00: 0300500b 50010458 7468616c 5f686176 ..P.P..Xthal_hav + 7cd10: 655f6578 63657074 696f6e73 00000001 e_exceptions.... + 7cd20: f6050300 4e87a901 04587468 616c5f78 ....N....Xthal_x + 7cd30: 65615f76 65727369 6f6e0000 0001f605 ea_version...... + 7cd40: 03004e87 aa010458 7468616c 5f686176 ..N....Xthal_hav + 7cd50: 655f696e 74657272 75707473 00000001 e_interrupts.... + 7cd60: f6050300 4e87ab01 04587468 616c5f68 ....N....Xthal_h + 7cd70: 6176655f 68696768 6c657665 6c5f696e ave_highlevel_in + 7cd80: 74657272 75707473 00000001 f6050300 terrupts........ + 7cd90: 4e87ac01 04587468 616c5f68 6176655f N....Xthal_have_ + 7cda0: 6e6d6900 000001f6 0503004e 87ad0104 nmi........N.... + 7cdb0: 58746861 6c5f6861 76655f70 72696400 Xthal_have_prid. + 7cdc0: 000001f6 0503004e 87ae0104 58746861 .......N....Xtha + 7cdd0: 6c5f6861 76655f72 656c6561 73655f73 l_have_release_s + 7cde0: 796e6300 000001f6 0503004e 87af0104 ync........N.... + 7cdf0: 58746861 6c5f6861 76655f73 33326331 Xthal_have_s32c1 + 7ce00: 69000000 01f60503 004e87b0 01045874 i........N....Xt + 7ce10: 68616c5f 68617665 5f746872 65616470 hal_have_threadp + 7ce20: 74720000 0001f605 03004e87 b1010458 tr........N....X + 7ce30: 7468616c 5f686176 655f7069 66000000 thal_have_pif... + 7ce40: 01f60503 004e87b2 01027368 6f727420 .....N....short + 7ce50: 756e7369 676e6564 20696e74 00070203 unsigned int.... + 7ce60: 000004e4 04587468 616c5f6e 756d5f77 .....Xthal_num_w + 7ce70: 72697465 62756666 65725f65 6e747269 ritebuffer_entri + 7ce80: 65730000 0004fa05 03004e87 b4010458 es........N....X + 7ce90: 7468616c 5f627569 6c645f75 6e697175 thal_build_uniqu + 7cea0: 655f6964 00000000 f2050300 4e87b801 e_id........N... + 7ceb0: 04587468 616c5f68 775f636f 6e666967 .Xthal_hw_config + 7cec0: 69643000 000000f2 0503004e 87bc0104 id0........N.... + 7ced0: 58746861 6c5f6877 5f636f6e 66696769 Xthal_hw_configi + 7cee0: 64310000 0000f205 03004e87 c0010458 d1........N....X + 7cef0: 7468616c 5f68775f 72656c65 6173655f thal_hw_release_ + 7cf00: 6d616a6f 72000000 00f20503 004e87c4 major........N.. + 7cf10: 01045874 68616c5f 68775f72 656c6561 ..Xthal_hw_relea + 7cf20: 73655f6d 696e6f72 00000000 f2050300 se_minor........ + 7cf30: 500b5401 04587468 616c5f68 775f7265 P.T..Xthal_hw_re + 7cf40: 6c656173 655f6e61 6d650000 00015005 lease_name....P. + 7cf50: 03004e87 c8010600 00013708 000005fe ..N.......7..... + 7cf60: 07070004 58746861 6c5f6877 5f6d696e ....Xthal_hw_min + 7cf70: 5f766572 73696f6e 5f6d616a 6f720000 _version_major.. + 7cf80: 0000f205 03004e87 cc010458 7468616c ......N....Xthal + 7cf90: 5f68775f 6d696e5f 76657273 696f6e5f _hw_min_version_ + 7cfa0: 6d696e6f 72000000 00f20503 00500b58 minor........P.X + 7cfb0: 01045874 68616c5f 68775f6d 61785f76 ..Xthal_hw_max_v + 7cfc0: 65727369 6f6e5f6d 616a6f72 00000000 ersion_major.... + 7cfd0: f2050300 4e87d001 04587468 616c5f68 ....N....Xthal_h + 7cfe0: 775f6d61 785f7665 7273696f 6e5f6d69 w_max_version_mi + 7cff0: 6e6f7200 000000f2 05030050 0b5c0104 nor........P.\.. + 7d000: 58746861 6c5f6877 5f72656c 65617365 Xthal_hw_release + 7d010: 5f696e74 65726e61 6c000000 01500503 _internal....P.. + 7d020: 00500b60 01045874 68616c5f 68617665 .P.`..Xthal_have + 7d030: 5f737061 6e6e696e 675f7761 79000000 _spanning_way... + 7d040: 01f60503 004e87d4 01045874 68616c5f .....N....Xthal_ + 7d050: 68617665 5f696465 6e746974 795f6d61 have_identity_ma + 7d060: 70000000 01f60503 004e87d5 01045874 p........N....Xt + 7d070: 68616c5f 68617665 5f6d696d 69635f63 hal_have_mimic_c + 7d080: 61636865 61747472 00000001 f6050300 acheattr........ + 7d090: 4e87d601 04587468 616c5f68 6176655f N....Xthal_have_ + 7d0a0: 786c745f 63616368 65617474 72000000 xlt_cacheattr... + 7d0b0: 01f60503 00500b64 01045874 68616c5f .....P.d..Xthal_ + 7d0c0: 68617665 5f636163 68656174 74720000 have_cacheattr.. + 7d0d0: 0001f605 0300500b 65010458 7468616c ......P.e..Xthal + 7d0e0: 5f686176 655f746c 62730000 0001f605 _have_tlbs...... + 7d0f0: 03004e87 d7010458 7468616c 5f6d6d75 ..N....Xthal_mmu + 7d100: 5f617369 645f6269 74730000 0001f605 _asid_bits...... + 7d110: 0300500b 66010458 7468616c 5f6d6d75 ..P.f..Xthal_mmu + 7d120: 5f617369 645f6b65 726e656c 00000001 _asid_kernel.... + 7d130: f6050300 500b6701 04587468 616c5f6d ....P.g..Xthal_m + 7d140: 6d755f72 696e6773 00000001 f6050300 mu_rings........ + 7d150: 4e87d801 04587468 616c5f6d 6d755f72 N....Xthal_mmu_r + 7d160: 696e675f 62697473 00000001 f6050300 ing_bits........ + 7d170: 500b6801 04587468 616c5f6d 6d755f73 P.h..Xthal_mmu_s + 7d180: 725f6269 74730000 0001f605 0300500b r_bits........P. + 7d190: 69010458 7468616c 5f6d6d75 5f63615f i..Xthal_mmu_ca_ + 7d1a0: 62697473 00000001 f6050300 4e87d901 bits........N... + 7d1b0: 04587468 616c5f6d 6d755f6d 61785f70 .Xthal_mmu_max_p + 7d1c0: 74655f70 6167655f 73697a65 00000000 te_page_size.... + 7d1d0: f2050300 4e87dc01 04587468 616c5f6d ....N....Xthal_m + 7d1e0: 6d755f6d 696e5f70 74655f70 6167655f mu_min_pte_page_ + 7d1f0: 73697a65 00000000 f2050300 4e87e001 size........N... + 7d200: 04587468 616c5f69 746c625f 7761795f .Xthal_itlb_way_ + 7d210: 62697473 00000001 f6050300 500b6a01 bits........P.j. + 7d220: 04587468 616c5f69 746c625f 77617973 .Xthal_itlb_ways + 7d230: 00000001 f6050300 4e87e401 04587468 ........N....Xth + 7d240: 616c5f69 746c625f 6172665f 77617973 al_itlb_arf_ways + 7d250: 00000001 f6050300 500b6b01 04587468 ........P.k..Xth + 7d260: 616c5f64 746c625f 7761795f 62697473 al_dtlb_way_bits + 7d270: 00000001 f6050300 500b6c01 04587468 ........P.l..Xth + 7d280: 616c5f64 746c625f 77617973 00000001 al_dtlb_ways.... + 7d290: f6050300 4e87e501 04587468 616c5f64 ....N....Xthal_d + 7d2a0: 746c625f 6172665f 77617973 00000001 tlb_arf_ways.... + 7d2b0: f6050300 500b6d01 04587468 616c5f6e ....P.m..Xthal_n + 7d2c0: 756d5f69 6e737472 6f6d0000 0001f605 um_instrom...... + 7d2d0: 0300500b 6e010458 7468616c 5f6e756d ..P.n..Xthal_num + 7d2e0: 5f696e73 7472616d 00000001 f6050300 _instram........ + 7d2f0: 4e87e601 04587468 616c5f6e 756d5f64 N....Xthal_num_d + 7d300: 61746172 6f6d0000 0001f605 0300500b atarom........P. + 7d310: 6f010458 7468616c 5f6e756d 5f646174 o..Xthal_num_dat + 7d320: 6172616d 00000001 f6050300 4e87e701 aram........N... + 7d330: 04587468 616c5f6e 756d5f78 6c6d6900 .Xthal_num_xlmi. + 7d340: 000001f6 05030050 0b700103 000000e2 .......P.p...... + 7d350: 06000009 e6040000 09f80700 00030000 ................ + 7d360: 09eb0300 0000e206 000009fd 0400000a ................ + 7d370: 0f070000 04587468 616c5f69 6e737472 .....Xthal_instr + 7d380: 6f6d5f76 61646472 00000009 f8050300 om_vaddr........ + 7d390: 4e87ec01 04587468 616c5f69 6e737472 N....Xthal_instr + 7d3a0: 6f6d5f70 61646472 00000009 f8050300 om_paddr........ + 7d3b0: 4e87f001 04587468 616c5f69 6e737472 N....Xthal_instr + 7d3c0: 6f6d5f73 697a6500 000009f8 0503004e om_size........N + 7d3d0: 87f40104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d3e0: 6d5f7661 64647200 000009f8 0503004e m_vaddr........N + 7d3f0: 87f80104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d400: 6d5f7061 64647200 000009f8 0503004e m_paddr........N + 7d410: 87fc0104 58746861 6c5f696e 73747261 ....Xthal_instra + 7d420: 6d5f7369 7a650000 0009f805 03004e88 m_size........N. + 7d430: 00010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d440: 5f766164 64720000 0009f805 03004e88 _vaddr........N. + 7d450: 04010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d460: 5f706164 64720000 0009f805 03004e88 _paddr........N. + 7d470: 08010458 7468616c 5f646174 61726f6d ...Xthal_datarom + 7d480: 5f73697a 65000000 09f80503 004e880c _size........N.. + 7d490: 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4a0: 76616464 72000000 09f80503 004e8810 vaddr........N.. + 7d4b0: 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4c0: 70616464 72000000 09f80503 004e8814 paddr........N.. + 7d4d0: 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ + 7d4e0: 73697a65 00000009 f8050300 4e881801 size........N... + 7d4f0: 04587468 616c5f78 6c6d695f 76616464 .Xthal_xlmi_vadd + 7d500: 72000000 09f80503 004e881c 01045874 r........N....Xt + 7d510: 68616c5f 786c6d69 5f706164 64720000 hal_xlmi_paddr.. + 7d520: 0009f805 03004e88 20010458 7468616c ......N. ..Xthal + 7d530: 5f786c6d 695f7369 7a650000 0009f805 _xlmi_size...... + 7d540: 03004e88 24010458 7468616c 5f686176 ..N.$..Xthal_hav + 7d550: 655f6363 6f756e74 00000001 f6050300 e_ccount........ + 7d560: 4e87e801 04587468 616c5f6e 756d5f63 N....Xthal_num_c + 7d570: 636f6d70 61726500 000001f6 0503004e compare........N + 7d580: 87e90100 00000000 cf000200 002e2004 .............. . + 7d590: 010001c0 b2008e62 ec008e64 232f686f .......b...d#/ho + 7d5a0: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 7d5b0: 2f52422d 32303037 2e322f74 6f6f6c73 /RB-2007.2/tools + 7d5c0: 2f737774 6f6f6c73 2d783836 2d6c696e /swtools-x86-lin + 7d5d0: 75782f78 74656e73 612d656c 662f7372 ux/xtensa-elf/sr + 7d5e0: 632f6861 6c2f7769 6e646f77 7370696c c/hal/windowspil + 7d5f0: 6c5f6173 6d2e5300 2f70726f 6a656374 l_asm.S./project + 7d600: 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- + 7d610: 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath + 7d620: 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie + 7d630: 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild + 7d640: 2f4f532f 68616c00 474e5520 41532032 /OS/hal.GNU AS 2 + 7d650: 2e31362e 31008001 000000c7 00020000 .16.1........... + 7d660: 2e340401 0001c3e7 008e6844 008e684c .4........hD..hL + 7d670: 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t + 7d680: 7265652f 52422d32 3030372e 322f746f ree/RB-2007.2/to + 7d690: 6f6c732f 7377746f 6f6c732d 7838362d ols/swtools-x86- + 7d6a0: 6c696e75 782f7874 656e7361 2d656c66 linux/xtensa-elf + 7d6b0: 2f737263 2f68616c 2f696e74 5f61736d /src/hal/int_asm + 7d6c0: 2e53002f 70726f6a 6563742f 63757374 .S./project/cust + 7d6d0: 2f67656e 6170702f 52422d32 3030372e /genapp/RB-2007. + 7d6e0: 322f6275 696c642f 61746865 726f732f 2/build/atheros/ + 7d6f0: 70726f64 2f4d6167 7069655f 50302f38 prod/Magpie_P0/8 + 7d700: 33373433 2f786275 696c642f 4f532f68 3743/xbuild/OS/h + 7d710: 616c0047 4e552041 5320322e 31362e31 al.GNU AS 2.16.1 + 7d720: 00800100 0000c700 0200002e 48040100 ............H... + 7d730: 01c47300 8e684c00 8e68542f 686f6d65 ..s..hL..hT/home + 7d740: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 7d750: 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s + 7d760: 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux + 7d770: 2f787465 6e73612d 656c662f 7372632f /xtensa-elf/src/ + 7d780: 68616c2f 696e745f 61736d2e 53002f70 hal/int_asm.S./p + 7d790: 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 7d7a0: 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 7d7b0: 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 7d7c0: 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 7d7d0: 78627569 6c642f4f 532f6861 6c00474e xbuild/OS/hal.GN + 7d7e0: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 7d7f0: 00c70002 00002e5c 04010001 c500008e .......\........ + 7d800: 6854008e 685c2f68 6f6d652f 63757374 hT..h\/home/cust + 7d810: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 7d820: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 7d830: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 7d840: 73612d65 6c662f73 72632f68 616c2f69 sa-elf/src/hal/i + 7d850: 6e745f61 736d2e53 002f7072 6f6a6563 nt_asm.S./projec + 7d860: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 7d870: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 7d880: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 7d890: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 7d8a0: 642f4f53 2f68616c 00474e55 20415320 d/OS/hal.GNU AS + 7d8b0: 322e3136 2e310080 01000002 25000200 2.16.1......%... + 7d8c0: 002e7004 012f686f 6d652f63 7573746f ..p../home/custo + 7d8d0: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 7d8e0: 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 7d8f0: 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 7d900: 612d656c 662f7372 632f6861 6c2f696e a-elf/src/hal/in + 7d910: 74657272 75707473 2e63002f 70726f6a terrupts.c./proj + 7d920: 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 7d930: 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 7d940: 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 7d950: 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 7d960: 696c642f 4f532f68 616c0078 742d7863 ild/OS/hal.xt-xc + 7d970: 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT + 7d980: 3a616c69 676e5f69 6e737472 75637469 :align_instructi + 7d990: 6f6e733d 3332202d 4f32202d 67330001 ons=32 -O2 -g3.. + 7d9a0: 0002756e 7369676e 65642063 68617200 ..unsigned char. + 7d9b0: 07010275 6e736967 6e656420 696e7400 ...unsigned int. + 7d9c0: 07040300 0000f940 00000116 040f0005 .......@........ + 7d9d0: 000000f9 01400000 01260404 040f0005 .....@...&...... + 7d9e0: 000000f9 01000000 01360403 040f0006 .........6...... + 7d9f0: 58744861 6c565072 69537461 74650002 XtHalVPriState.. + 7da00: 50000001 de077670 72690000 0000e802 P.....vpri...... + 7da10: 2300076c 6f636b6c 6576656c 00000000 #..locklevel.... + 7da20: e8022301 076c6f63 6b767072 69000000 ..#..lockvpri... + 7da30: 00e80223 02077061 64300000 0000e802 ...#..pad0...... + 7da40: 23030765 6e61626c 65640000 0000f902 #..enabled...... + 7da50: 2304076c 6f636b6d 61736b00 000000f9 #..lockmask..... + 7da60: 02230807 70616431 00000000 f902230c .#..pad1......#. + 7da70: 07656e61 626c656d 61700000 00011602 .enablemap...... + 7da80: 23100772 65736f6c 76656d61 70000000 #..resolvemap... + 7da90: 01260323 d0020008 000000e8 08000000 .&.#............ + 7daa0: e8030000 01e32000 0001f504 1f000800 ...... ......... + 7dab0: 0001e808 000000e8 03000001 fa200000 ............. .. + 7dac0: 020c041f 00095874 68616c5f 696e746c ......Xthal_intl + 7dad0: 6576656c 00000001 f5050300 4e883001 evel........N.0. + 7dae0: 00000000 00f00002 00002ed2 04010001 ................ + 7daf0: c779008e 685c008e 68c42f68 6f6d652f .y..h\..h./home/ + 7db00: 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 7db10: 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw + 7db20: 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ + 7db30: 7874656e 73612d65 6c662f73 72632f6c xtensa-elf/src/l + 7db40: 69626763 632d7863 632f636f 6e666967 ibgcc-xcc/config + 7db50: 2f787465 6e73612f 6c696231 66756e63 /xtensa/lib1func + 7db60: 732e6173 6d002f70 726f6a65 63742f63 s.asm./project/c + 7db70: 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 + 7db80: 30372e32 2f627569 6c642f61 74686572 07.2/build/ather + 7db90: 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P + 7dba0: 302f3833 3734332f 78627569 6c642f54 0/83743/xbuild/T + 7dbb0: 61726765 742d6c69 62732f6c 69626763 arget-libs/libgc + 7dbc0: 632d7863 6300474e 55204153 20322e31 c-xcc.GNU AS 2.1 + 7dbd0: 362e3100 80010000 00f00002 00002ee6 6.1............. + 7dbe0: 04010001 c8e5008e 68c4008e 690d2f68 ........h...i./h + 7dbf0: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 7dc00: 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool + 7dc10: 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li + 7dc20: 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s + 7dc30: 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co + 7dc40: 6e666967 2f787465 6e73612f 6c696231 nfig/xtensa/lib1 + 7dc50: 66756e63 732e6173 6d002f70 726f6a65 funcs.asm./proje + 7dc60: 63742f63 7573742f 67656e61 70702f52 ct/cust/genapp/R + 7dc70: 422d3230 30372e32 2f627569 6c642f61 B-2007.2/build/a + 7dc80: 74686572 6f732f70 726f642f 4d616770 theros/prod/Magp + 7dc90: 69655f50 302f3833 3734332f 78627569 ie_P0/83743/xbui + 7dca0: 6c642f54 61726765 742d6c69 62732f6c ld/Target-libs/l + 7dcb0: 69626763 632d7863 6300474e 55204153 ibgcc-xcc.GNU AS + 7dcc0: 20322e31 362e3100 80010000 00f00002 2.16.1......... + 7dcd0: 00002efa 04010001 ca09008e 6910008e ............i... + 7dce0: 69602f68 6f6d652f 63757374 6f6d6572 i`/home/customer + 7dcf0: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 7dd00: 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 + 7dd10: 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e + 7dd20: 6c662f73 72632f6c 69626763 632d7863 lf/src/libgcc-xc + 7dd30: 632f636f 6e666967 2f787465 6e73612f c/config/xtensa/ + 7dd40: 6c696231 66756e63 732e6173 6d002f70 lib1funcs.asm./p + 7dd50: 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena + 7dd60: 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui + 7dd70: 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ + 7dd80: 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ + 7dd90: 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li + 7dda0: 62732f6c 69626763 632d7863 6300474e bs/libgcc-xcc.GN + 7ddb0: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... + 7ddc0: 00f00002 00002f0e 04010001 cb3f008e ....../......?.. + 7ddd0: 6960008e 699d2f68 6f6d652f 63757374 i`..i./home/cust + 7dde0: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 7ddf0: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool + 7de00: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten + 7de10: 73612d65 6c662f73 72632f6c 69626763 sa-elf/src/libgc + 7de20: 632d7863 632f636f 6e666967 2f787465 c-xcc/config/xte + 7de30: 6e73612f 6c696231 66756e63 732e6173 nsa/lib1funcs.as + 7de40: 6d002f70 726f6a65 63742f63 7573742f m./project/cust/ + 7de50: 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 + 7de60: 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p + 7de70: 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 + 7de80: 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe + 7de90: 742d6c69 62732f6c 69626763 632d7863 t-libs/libgcc-xc + 7dea0: 6300474e 55204153 20322e31 362e3100 c.GNU AS 2.16.1. + 7deb0: 80010000 0b3c0002 00002f22 04012f68 .....<..../"../h + 7dec0: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 7ded0: 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro + 7dee0: 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target + 7def0: 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new + 7df00: 6c69622f 6c696263 2f737472 696e672f lib/libc/string/ + 7df10: 6d656d63 6d702e63 002f7072 6f6a6563 memcmp.c./projec + 7df20: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB + 7df30: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at + 7df40: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi + 7df50: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil + 7df60: 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne + 7df70: 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ + 7df80: 6e65776c 69622f6c 6962632f 73747269 newlib/libc/stri + 7df90: 6e670078 742d7863 6320666f 7220372e ng.xt-xcc for 7. + 7dfa0: 312e3020 2d4f5054 3a616c69 676e5f69 1.0 -OPT:align_i + 7dfb0: 6e737472 75637469 6f6e733d 3332202d nstructions=32 - + 7dfc0: 4f32202d 67330001 000001cc 4502756e O2 -g3......E.un + 7dfd0: 7369676e 65642069 6e740007 04037769 signed int....wi + 7dfe0: 6e745f74 00000001 1b02756e 7369676e nt_t......unsign + 7dff0: 65642063 68617200 07010400 00013704 ed char.......7. + 7e000: 00000155 05030006 04000001 79075f5f ...U........y.__ + 7e010: 77636800 0000012b 02230007 5f5f7763 wch....+.#..__wc + 7e020: 68620000 00014802 23000002 696e7400 hb....H.#...int. + 7e030: 05040808 000001a7 075f5f63 6f756e74 .........__count + 7e040: 00000001 79022300 075f5f76 616c7565 ....y.#..__value + 7e050: 00000001 55022304 00095f42 6967696e ....U.#..._Bigin + 7e060: 74001800 00020507 5f6e6578 74000000 t......._next... + 7e070: 02050223 00075f6b 00000001 79022304 ...#.._k....y.#. + 7e080: 075f6d61 78776473 00000001 79022308 ._maxwds....y.#. + 7e090: 075f7369 676e0000 00017902 230c075f ._sign....y.#.._ + 7e0a0: 77647300 00000179 02231007 5f780000 wds....y.#.._x.. + 7e0b0: 00022e02 2314000a 000001a7 0400026c ....#..........l + 7e0c0: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int + 7e0d0: 00070403 5f5f554c 6f6e6700 0000020c ....__ULong..... + 7e0e0: 04000002 21040000 023b0500 000a0000 ....!....;...... + 7e0f0: 01a70400 095f5f74 6d002400 0002ee07 .....__tm.$..... + 7e100: 5f5f746d 5f736563 00000001 79022300 __tm_sec....y.#. + 7e110: 075f5f74 6d5f6d69 6e000000 01790223 .__tm_min....y.# + 7e120: 04075f5f 746d5f68 6f757200 00000179 ..__tm_hour....y + 7e130: 02230807 5f5f746d 5f6d6461 79000000 .#..__tm_mday... + 7e140: 01790223 0c075f5f 746d5f6d 6f6e0000 .y.#..__tm_mon.. + 7e150: 00017902 2310075f 5f746d5f 79656172 ..y.#..__tm_year + 7e160: 00000001 79022314 075f5f74 6d5f7764 ....y.#..__tm_wd + 7e170: 61790000 00017902 2318075f 5f746d5f ay....y.#..__tm_ + 7e180: 79646179 00000001 7902231c 075f5f74 yday....y.#..__t + 7e190: 6d5f6973 64737400 00000179 02232000 m_isdst....y.# . + 7e1a0: 0b040004 000002ee 80000002 fe051f00 ................ + 7e1b0: 0c5f6f6e 5f657869 745f6172 67730001 ._on_exit_args.. + 7e1c0: 08000003 5c075f66 6e617267 73000000 ....\._fnargs... + 7e1d0: 02f10223 00075f64 736f5f68 616e646c ...#.._dso_handl + 7e1e0: 65000000 02f10323 8001075f 666e7479 e......#..._fnty + 7e1f0: 70657300 00000221 03238002 075f6973 pes....!.#..._is + 7e200: 5f637861 00000002 21032384 02000c5f _cxa....!.#...._ + 7e210: 61746578 69740001 90000003 ab075f6e atexit........_n + 7e220: 65787400 000003ab 02230007 5f696e64 ext......#.._ind + 7e230: 00000001 79022304 075f666e 73000000 ....y.#.._fns... + 7e240: 03bb0223 08075f6f 6e5f6578 69745f61 ...#.._on_exit_a + 7e250: 72677300 000002fe 03238801 000a0000 rgs......#...... + 7e260: 035c0400 0d010a00 0003b204 00040000 .\.............. + 7e270: 03b48000 0003c805 1f000a00 00035c04 ..............\. + 7e280: 000a0000 01370400 095f5f73 62756600 .....7...__sbuf. + 7e290: 08000004 00075f62 61736500 000003cf ......_base..... + 7e2a0: 02230007 5f73697a 65000000 01790223 .#.._size....y.# + 7e2b0: 04000273 686f7274 20696e74 00050202 ...short int.... + 7e2c0: 63686172 0007010a 0000040d 04000e00 char............ + 7e2d0: 00017901 0a000004 1c04000f 0000040d ..y............. + 7e2e0: 0f000004 0d0a0000 042e0400 0e000001 ................ + 7e2f0: 79010a00 00043a04 00026c6f 6e672069 y.....:...long i + 7e300: 6e740005 04035f66 706f735f 74000000 nt...._fpos_t... + 7e310: 04470e00 00045301 0a000004 6004000e .G....S.....`... + 7e320: 00000179 010a0000 046d0400 04000001 ...y.....m...... + 7e330: 37030000 04870502 00040000 01370100 7............7.. + 7e340: 00049405 00000c5f 7265656e 74000400 ......._reent... + 7e350: 0000061b 075f6572 726e6f00 00000179 ....._errno....y + 7e360: 02230007 5f737464 696e0000 0007cf02 .#.._stdin...... + 7e370: 2304075f 7374646f 75740000 0007cf02 #.._stdout...... + 7e380: 2308075f 73746465 72720000 0007cf02 #.._stderr...... + 7e390: 230c075f 696e6300 00000179 02231007 #.._inc....y.#.. + 7e3a0: 5f656d65 7267656e 63790000 000a7e02 _emergency....~. + 7e3b0: 2314075f 63757272 656e745f 63617465 #.._current_cate + 7e3c0: 676f7279 00000001 79022330 075f6375 gory....y.#0._cu + 7e3d0: 7272656e 745f6c6f 63616c65 00000004 rrent_locale.... + 7e3e0: 33022334 075f5f73 64696469 6e697400 3.#4.__sdidinit. + 7e3f0: 00000179 02233807 5f5f636c 65616e75 ...y.#8.__cleanu + 7e400: 70000000 0a8d0223 3c075f72 6573756c p......#<._resul + 7e410: 74000000 023b0223 40075f72 6573756c t....;.#@._resul + 7e420: 745f6b00 00000179 02234407 5f703573 t_k....y.#D._p5s + 7e430: 00000002 3b022348 075f6672 65656c69 ....;.#H._freeli + 7e440: 73740000 000a9402 234c075f 6376746c st......#L._cvtl + 7e450: 656e0000 00017902 2350075f 63767462 en....y.#P._cvtb + 7e460: 75660000 00041502 2354075f 6e657700 uf......#T._new. + 7e470: 00000a58 02235807 5f617465 78697400 ...X.#X._atexit. + 7e480: 000003c8 0323c802 075f6174 65786974 .....#..._atexit + 7e490: 30000000 035c0323 cc02075f 7369675f 0....\.#..._sig_ + 7e4a0: 66756e63 0000000a a40323dc 05075f5f func......#...__ + 7e4b0: 73676c75 65000000 07840323 e005075f sglue......#..._ + 7e4c0: 5f736600 00000aab 0323ec05 000a0000 _sf......#...... + 7e4d0: 04940400 035f4c4f 434b5f52 45435552 ....._LOCK_RECUR + 7e4e0: 53495645 5f540000 00017903 5f666c6f SIVE_T....y._flo + 7e4f0: 636b5f74 00000006 22095f5f 7346494c ck_t....".__sFIL + 7e500: 45005c00 00078407 5f700000 0003cf02 E.\....._p...... + 7e510: 2300075f 72000000 01790223 04075f77 #.._r....y.#.._w + 7e520: 00000001 79022308 075f666c 61677300 ....y.#.._flags. + 7e530: 00000400 02230c07 5f66696c 65000000 .....#.._file... + 7e540: 04000223 0e075f62 66000000 03d60223 ...#.._bf......# + 7e550: 10075f6c 62667369 7a650000 00017902 .._lbfsize....y. + 7e560: 2318075f 636f6f6b 69650000 0002ee02 #.._cookie...... + 7e570: 231c075f 72656164 00000004 22022320 #.._read....".# + 7e580: 075f7772 69746500 00000440 02232407 ._write....@.#$. + 7e590: 5f736565 6b000000 04660223 28075f63 _seek....f.#(._c + 7e5a0: 6c6f7365 00000004 7302232c 075f7562 lose....s.#,._ub + 7e5b0: 00000003 d6022330 075f7570 00000003 ......#0._up.... + 7e5c0: cf022338 075f7572 00000001 7902233c ..#8._ur....y.#< + 7e5d0: 075f7562 75660000 00047a02 2340075f ._ubuf....z.#@._ + 7e5e0: 6e627566 00000004 87022343 075f6c62 nbuf......#C._lb + 7e5f0: 00000003 d6022344 075f626c 6b73697a ......#D._blksiz + 7e600: 65000000 01790223 4c075f6f 66667365 e....y.#L._offse + 7e610: 74000000 01790223 50075f64 61746100 t....y.#P._data. + 7e620: 0000061b 02235407 5f6c6f63 6b000000 .....#T._lock... + 7e630: 06390223 5800095f 676c7565 000c0000 .9.#X.._glue.... + 7e640: 07bc075f 6e657874 00000007 bc022300 ..._next......#. + 7e650: 075f6e69 6f627300 00000179 02230407 ._niobs....y.#.. + 7e660: 5f696f62 73000000 07cf0223 08000a00 _iobs......#.... + 7e670: 00078404 00035f5f 46494c45 00000006 ......__FILE.... + 7e680: 470a0000 07c30400 0a000007 84040002 G............... + 7e690: 73686f72 7420756e 7369676e 65642069 short unsigned i + 7e6a0: 6e740007 02040000 07dd0600 00080005 nt.............. + 7e6b0: 0200095f 72616e64 3438000e 00000838 ..._rand48.....8 + 7e6c0: 075f7365 65640000 0007f302 2300075f ._seed......#.._ + 7e6d0: 6d756c74 00000007 f3022306 075f6164 mult......#.._ad + 7e6e0: 64000000 07dd0223 0c000400 00040d1a d......#........ + 7e6f0: 00000845 05190002 6c6f6e67 206c6f6e ...E....long lon + 7e700: 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 7e710: 08035f6d 62737461 74655f74 00000001 .._mbstate_t.... + 7e720: 80040000 040d0800 00087c05 07000400 ..........|..... + 7e730: 00040d18 00000889 05170008 d000000a ................ + 7e740: 17075f75 6e757365 645f7261 6e640000 .._unused_rand.. + 7e750: 00011b02 2300075f 73747274 6f6b5f6c ....#.._strtok_l + 7e760: 61737400 00000415 02230407 5f617363 ast......#.._asc + 7e770: 74696d65 5f627566 00000008 38022308 time_buf....8.#. + 7e780: 075f6c6f 63616c74 696d655f 62756600 ._localtime_buf. + 7e790: 00000242 02232407 5f67616d 6d615f73 ...B.#$._gamma_s + 7e7a0: 69676e67 616d0000 00017902 2348075f igngam....y.#H._ + 7e7b0: 72616e64 5f6e6578 74000000 08450223 rand_next....E.# + 7e7c0: 50075f72 34380000 00080002 2358075f P._r48......#X._ + 7e7d0: 6d626c65 6e5f7374 61746500 0000085f mblen_state...._ + 7e7e0: 02236807 5f6d6274 6f77635f 73746174 .#h._mbtowc_stat + 7e7f0: 65000000 085f0223 70075f77 63746f6d e...._.#p._wctom + 7e800: 625f7374 61746500 0000085f 02237807 b_state...._.#x. + 7e810: 5f6c3634 615f6275 66000000 086f0323 _l64a_buf....o.# + 7e820: 8001075f 7369676e 616c5f62 75660000 ..._signal_buf.. + 7e830: 00087c03 23880107 5f676574 64617465 ..|.#..._getdate + 7e840: 5f657272 00000001 790323a0 01075f6d _err....y.#..._m + 7e850: 62726c65 6e5f7374 61746500 0000085f brlen_state...._ + 7e860: 0323a401 075f6d62 72746f77 635f7374 .#..._mbrtowc_st + 7e870: 61746500 0000085f 0323ac01 075f6d62 ate...._.#..._mb + 7e880: 7372746f 7763735f 73746174 65000000 srtowcs_state... + 7e890: 085f0323 b401075f 77637274 6f6d625f ._.#..._wcrtomb_ + 7e8a0: 73746174 65000000 085f0323 bc01075f state...._.#..._ + 7e8b0: 77637372 746f6d62 735f7374 61746500 wcsrtombs_state. + 7e8c0: 0000085f 0323c401 00040000 03cf7800 ..._.#........x. + 7e8d0: 000a2405 1d000400 00011b78 00000a31 ..$........x...1 + 7e8e0: 051d0008 f000000a 58075f6e 65787466 ........X._nextf + 7e8f0: 0000000a 17022300 075f6e6d 616c6c6f ......#.._nmallo + 7e900: 63000000 0a240223 780006f0 00000a7e c....$.#x......~ + 7e910: 075f7265 656e7400 00000889 02230007 ._reent......#.. + 7e920: 5f756e75 73656400 00000a31 02230000 _unused....1.#.. + 7e930: 04000004 0d190000 0a8b0518 000d010a ................ + 7e940: 00000a8b 04000a00 00023b04 000d010a ..........;..... + 7e950: 00000a9b 04000a00 000a9d04 00100000 ................ + 7e960: 07c30114 00000ab9 05020011 110a0000 ................ + 7e970: 0aba0400 0373697a 655f7400 0000011b .....size_t..... + 7e980: 0e000001 79010a00 00020c04 00120139 ....y..........9 + 7e990: 6d656d63 6d700000 00017901 01039201 memcmp....y..... + 7e9a0: 20029000 008e69a0 008e69e5 1301396d .....i...i...9m + 7e9b0: 31000000 0abb0152 1301396d 32000000 1......R..9m2... + 7e9c0: 0abb0153 1301396e 0000000a c2015414 ...S..9n......T. + 7e9d0: 73310000 0003cf14 73320000 0003cf14 s1......s2...... + 7e9e0: 61310000 000ad414 61320000 000ad400 a1......a2...... + 7e9f0: 00000000 01090002 00002ff9 04010001 ........../..... + 7ea00: cf35008e 69e8008e 6b1d2f68 6f6d652f .5..i...k./home/ + 7ea10: 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 7ea20: 2d323030 372e322f 7034726f 6f742f58 -2007.2/p4root/X + 7ea30: 74656e73 612f5461 72676574 2d6c6962 tensa/Target-lib + 7ea40: 732f6e65 776c6962 2f6e6577 6c69622f s/newlib/newlib/ + 7ea50: 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte + 7ea60: 6e73612f 6d656d63 70792e53 002f7072 nsa/memcpy.S./pr + 7ea70: 6f6a6563 742f6375 73742f67 656e6170 oject/cust/genap + 7ea80: 702f5242 2d323030 372e322f 6275696c p/RB-2007.2/buil + 7ea90: 642f6174 6865726f 732f7072 6f642f4d d/atheros/prod/M + 7eaa0: 61677069 655f5030 2f383337 34332f78 agpie_P0/83743/x + 7eab0: 6275696c 642f5461 72676574 2d6c6962 build/Target-lib + 7eac0: 732f6e65 776c6962 2f787465 6e73612d s/newlib/xtensa- + 7ead0: 656c662f 6e65776c 69622f6c 6962632f elf/newlib/libc/ + 7eae0: 6d616368 696e652f 7874656e 73610047 machine/xtensa.G + 7eaf0: 4e552041 5320322e 31362e31 00800100 NU AS 2.16.1.... + 7eb00: 000b7d00 02000030 0d04012f 686f6d65 ..}....0.../home + 7eb10: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 7eb20: 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 7eb30: 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 7eb40: 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 7eb50: 2f6c6962 632f7374 72696e67 2f6d656d /libc/string/mem + 7eb60: 6d6f7665 2e63002f 70726f6a 6563742f move.c./project/ + 7eb70: 63757374 2f67656e 6170702f 52422d32 cust/genapp/RB-2 + 7eb80: 3030372e 322f6275 696c642f 61746865 007.2/build/athe + 7eb90: 726f732f 70726f64 2f4d6167 7069655f ros/prod/Magpie_ + 7eba0: 50302f38 33373433 2f786275 696c642f P0/83743/xbuild/ + 7ebb0: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 7ebc0: 69622f78 74656e73 612d656c 662f6e65 ib/xtensa-elf/ne + 7ebd0: 776c6962 2f6c6962 632f7374 72696e67 wlib/libc/string + 7ebe0: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. + 7ebf0: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins + 7ec00: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 + 7ec10: 202d6733 00010000 01d28a02 756e7369 -g3........unsi + 7ec20: 676e6564 20696e74 00070403 77696e74 gned int....wint + 7ec30: 5f740000 00011c02 756e7369 676e6564 _t......unsigned + 7ec40: 20636861 72000701 04000001 38040000 char.......8... + 7ec50: 01560503 00060400 00017a07 5f5f7763 .V........z.__wc + 7ec60: 68000000 012c0223 00075f5f 77636862 h....,.#..__wchb + 7ec70: 00000001 49022300 0002696e 74000504 ....I.#...int... + 7ec80: 08080000 01a8075f 5f636f75 6e740000 .......__count.. + 7ec90: 00017a02 2300075f 5f76616c 75650000 ..z.#..__value.. + 7eca0: 00015602 23040009 5f426967 696e7400 ..V.#..._Bigint. + 7ecb0: 18000002 06075f6e 65787400 00000206 ......_next..... + 7ecc0: 02230007 5f6b0000 00017a02 2304075f .#.._k....z.#.._ + 7ecd0: 6d617877 64730000 00017a02 2308075f maxwds....z.#.._ + 7ece0: 7369676e 00000001 7a02230c 075f7764 sign....z.#.._wd + 7ecf0: 73000000 017a0223 10075f78 00000002 s....z.#.._x.... + 7ed00: 2f022314 000a0000 01a80400 026c6f6e /.#..........lon + 7ed10: 6720756e 7369676e 65642069 6e740007 g unsigned int.. + 7ed20: 04035f5f 554c6f6e 67000000 020d0400 ..__ULong....... + 7ed30: 00022204 0000023c 0500000a 000001a8 .."....<........ + 7ed40: 0400095f 5f746d00 24000002 ef075f5f ...__tm.$.....__ + 7ed50: 746d5f73 65630000 00017a02 2300075f tm_sec....z.#.._ + 7ed60: 5f746d5f 6d696e00 0000017a 02230407 _tm_min....z.#.. + 7ed70: 5f5f746d 5f686f75 72000000 017a0223 __tm_hour....z.# + 7ed80: 08075f5f 746d5f6d 64617900 0000017a ..__tm_mday....z + 7ed90: 02230c07 5f5f746d 5f6d6f6e 00000001 .#..__tm_mon.... + 7eda0: 7a022310 075f5f74 6d5f7965 61720000 z.#..__tm_year.. + 7edb0: 00017a02 2314075f 5f746d5f 77646179 ..z.#..__tm_wday + 7edc0: 00000001 7a022318 075f5f74 6d5f7964 ....z.#..__tm_yd + 7edd0: 61790000 00017a02 231c075f 5f746d5f ay....z.#..__tm_ + 7ede0: 69736473 74000000 017a0223 20000b04 isdst....z.# ... + 7edf0: 00040000 02ef8000 0002ff05 1f000c5f ..............._ + 7ee00: 6f6e5f65 7869745f 61726773 00010800 on_exit_args.... + 7ee10: 00035d07 5f666e61 72677300 000002f2 ..]._fnargs..... + 7ee20: 02230007 5f64736f 5f68616e 646c6500 .#.._dso_handle. + 7ee30: 000002f2 03238001 075f666e 74797065 .....#..._fntype + 7ee40: 73000000 02220323 8002075f 69735f63 s....".#..._is_c + 7ee50: 78610000 00022203 23840200 0c5f6174 xa....".#...._at + 7ee60: 65786974 00019000 0003ac07 5f6e6578 exit........_nex + 7ee70: 74000000 03ac0223 00075f69 6e640000 t......#.._ind.. + 7ee80: 00017a02 2304075f 666e7300 000003bc ..z.#.._fns..... + 7ee90: 02230807 5f6f6e5f 65786974 5f617267 .#.._on_exit_arg + 7eea0: 73000000 02ff0323 8801000a 0000035d s......#.......] + 7eeb0: 04000d01 0a000003 b3040004 000003b5 ................ + 7eec0: 80000003 c9051f00 0a000003 5d04000a ............]... + 7eed0: 00000138 0400095f 5f736275 66000800 ...8...__sbuf... + 7eee0: 00040107 5f626173 65000000 03d00223 ...._base......# + 7eef0: 00075f73 697a6500 0000017a 02230400 .._size....z.#.. + 7ef00: 0273686f 72742069 6e740005 02026368 .short int....ch + 7ef10: 61720007 010a0000 040e0400 0e000001 ar.............. + 7ef20: 7a010a00 00041d04 000f0000 040e0f00 z............... + 7ef30: 00040e0a 0000042f 04000e00 00017a01 ......./......z. + 7ef40: 0a000004 3b040002 6c6f6e67 20696e74 ....;...long int + 7ef50: 00050403 5f66706f 735f7400 00000448 ...._fpos_t....H + 7ef60: 0e000004 54010a00 00046104 000e0000 ....T.....a..... + 7ef70: 017a010a 0000046e 04000400 00013803 .z.....n......8. + 7ef80: 00000488 05020004 00000138 01000004 ...........8.... + 7ef90: 95050000 0c5f7265 656e7400 04000000 ....._reent..... + 7efa0: 061c075f 6572726e 6f000000 017a0223 ..._errno....z.# + 7efb0: 00075f73 7464696e 00000007 d0022304 .._stdin......#. + 7efc0: 075f7374 646f7574 00000007 d0022308 ._stdout......#. + 7efd0: 075f7374 64657272 00000007 d002230c ._stderr......#. + 7efe0: 075f696e 63000000 017a0223 10075f65 ._inc....z.#.._e + 7eff0: 6d657267 656e6379 0000000a 7f022314 mergency......#. + 7f000: 075f6375 7272656e 745f6361 7465676f ._current_catego + 7f010: 72790000 00017a02 2330075f 63757272 ry....z.#0._curr + 7f020: 656e745f 6c6f6361 6c650000 00043402 ent_locale....4. + 7f030: 2334075f 5f736469 64696e69 74000000 #4.__sdidinit... + 7f040: 017a0223 38075f5f 636c6561 6e757000 .z.#8.__cleanup. + 7f050: 00000a8e 02233c07 5f726573 756c7400 .....#<._result. + 7f060: 0000023c 02234007 5f726573 756c745f ...<.#@._result_ + 7f070: 6b000000 017a0223 44075f70 35730000 k....z.#D._p5s.. + 7f080: 00023c02 2348075f 66726565 6c697374 ..<.#H._freelist + 7f090: 0000000a 9502234c 075f6376 746c656e ......#L._cvtlen + 7f0a0: 00000001 7a022350 075f6376 74627566 ....z.#P._cvtbuf + 7f0b0: 00000004 16022354 075f6e65 77000000 ......#T._new... + 7f0c0: 0a590223 58075f61 74657869 74000000 .Y.#X._atexit... + 7f0d0: 03c90323 c802075f 61746578 69743000 ...#..._atexit0. + 7f0e0: 0000035d 0323cc02 075f7369 675f6675 ...].#..._sig_fu + 7f0f0: 6e630000 000aa503 23dc0507 5f5f7367 nc......#...__sg + 7f100: 6c756500 00000785 0323e005 075f5f73 lue......#...__s + 7f110: 66000000 0aac0323 ec05000a 00000495 f......#........ + 7f120: 0400035f 4c4f434b 5f524543 55525349 ..._LOCK_RECURSI + 7f130: 56455f54 00000001 7a035f66 6c6f636b VE_T....z._flock + 7f140: 5f740000 00062309 5f5f7346 494c4500 _t....#.__sFILE. + 7f150: 5c000007 85075f70 00000003 d0022300 \....._p......#. + 7f160: 075f7200 0000017a 02230407 5f770000 ._r....z.#.._w.. + 7f170: 00017a02 2308075f 666c6167 73000000 ..z.#.._flags... + 7f180: 04010223 0c075f66 696c6500 00000401 ...#.._file..... + 7f190: 02230e07 5f626600 000003d7 02231007 .#.._bf......#.. + 7f1a0: 5f6c6266 73697a65 00000001 7a022318 _lbfsize....z.#. + 7f1b0: 075f636f 6f6b6965 00000002 ef02231c ._cookie......#. + 7f1c0: 075f7265 61640000 00042302 2320075f ._read....#.# ._ + 7f1d0: 77726974 65000000 04410223 24075f73 write....A.#$._s + 7f1e0: 65656b00 00000467 02232807 5f636c6f eek....g.#(._clo + 7f1f0: 73650000 00047402 232c075f 75620000 se....t.#,._ub.. + 7f200: 0003d702 2330075f 75700000 0003d002 ....#0._up...... + 7f210: 2338075f 75720000 00017a02 233c075f #8._ur....z.#<._ + 7f220: 75627566 00000004 7b022340 075f6e62 ubuf....{.#@._nb + 7f230: 75660000 00048802 2343075f 6c620000 uf......#C._lb.. + 7f240: 0003d702 2344075f 626c6b73 697a6500 ....#D._blksize. + 7f250: 0000017a 02234c07 5f6f6666 73657400 ...z.#L._offset. + 7f260: 0000017a 02235007 5f646174 61000000 ...z.#P._data... + 7f270: 061c0223 54075f6c 6f636b00 0000063a ...#T._lock....: + 7f280: 02235800 095f676c 7565000c 000007bd .#X.._glue...... + 7f290: 075f6e65 78740000 0007bd02 2300075f ._next......#.._ + 7f2a0: 6e696f62 73000000 017a0223 04075f69 niobs....z.#.._i + 7f2b0: 6f627300 000007d0 02230800 0a000007 obs......#...... + 7f2c0: 85040003 5f5f4649 4c450000 0006480a ....__FILE....H. + 7f2d0: 000007c4 04000a00 00078504 00027368 ..............sh + 7f2e0: 6f727420 756e7369 676e6564 20696e74 ort unsigned int + 7f2f0: 00070204 000007de 06000008 01050200 ................ + 7f300: 095f7261 6e643438 000e0000 0839075f ._rand48.....9._ + 7f310: 73656564 00000007 f4022300 075f6d75 seed......#.._mu + 7f320: 6c740000 0007f402 2306075f 61646400 lt......#.._add. + 7f330: 000007de 02230c00 04000004 0e1a0000 .....#.......... + 7f340: 08460519 00026c6f 6e67206c 6f6e6720 .F....long long + 7f350: 756e7369 676e6564 20696e74 00070803 unsigned int.... + 7f360: 5f6d6273 74617465 5f740000 00018104 _mbstate_t...... + 7f370: 0000040e 08000008 7d050700 04000004 ........}....... + 7f380: 0e180000 088a0517 0008d000 000a1807 ................ + 7f390: 5f756e75 7365645f 72616e64 00000001 _unused_rand.... + 7f3a0: 1c022300 075f7374 72746f6b 5f6c6173 ..#.._strtok_las + 7f3b0: 74000000 04160223 04075f61 73637469 t......#.._ascti + 7f3c0: 6d655f62 75660000 00083902 2308075f me_buf....9.#.._ + 7f3d0: 6c6f6361 6c74696d 655f6275 66000000 localtime_buf... + 7f3e0: 02430223 24075f67 616d6d61 5f736967 .C.#$._gamma_sig + 7f3f0: 6e67616d 00000001 7a022348 075f7261 ngam....z.#H._ra + 7f400: 6e645f6e 65787400 00000846 02235007 nd_next....F.#P. + 7f410: 5f723438 00000008 01022358 075f6d62 _r48......#X._mb + 7f420: 6c656e5f 73746174 65000000 08600223 len_state....`.# + 7f430: 68075f6d 62746f77 635f7374 61746500 h._mbtowc_state. + 7f440: 00000860 02237007 5f776374 6f6d625f ...`.#p._wctomb_ + 7f450: 73746174 65000000 08600223 78075f6c state....`.#x._l + 7f460: 3634615f 62756600 00000870 03238001 64a_buf....p.#.. + 7f470: 075f7369 676e616c 5f627566 00000008 ._signal_buf.... + 7f480: 7d032388 01075f67 65746461 74655f65 }.#..._getdate_e + 7f490: 72720000 00017a03 23a00107 5f6d6272 rr....z.#..._mbr + 7f4a0: 6c656e5f 73746174 65000000 08600323 len_state....`.# + 7f4b0: a401075f 6d627274 6f77635f 73746174 ..._mbrtowc_stat + 7f4c0: 65000000 08600323 ac01075f 6d627372 e....`.#..._mbsr + 7f4d0: 746f7763 735f7374 61746500 00000860 towcs_state....` + 7f4e0: 0323b401 075f7763 72746f6d 625f7374 .#..._wcrtomb_st + 7f4f0: 61746500 00000860 0323bc01 075f7763 ate....`.#..._wc + 7f500: 7372746f 6d62735f 73746174 65000000 srtombs_state... + 7f510: 08600323 c4010004 000003d0 7800000a .`.#........x... + 7f520: 25051d00 04000001 1c780000 0a32051d %........x...2.. + 7f530: 0008f000 000a5907 5f6e6578 74660000 ......Y._nextf.. + 7f540: 000a1802 2300075f 6e6d616c 6c6f6300 ....#.._nmalloc. + 7f550: 00000a25 02237800 06f00000 0a7f075f ...%.#x........_ + 7f560: 7265656e 74000000 088a0223 00075f75 reent......#.._u + 7f570: 6e757365 64000000 0a320223 00000400 nused....2.#.... + 7f580: 00040e19 00000a8c 0518000d 010a0000 ................ + 7f590: 0a8c0400 0a000002 3c04000d 010a0000 ........<....... + 7f5a0: 0a9c0400 0a00000a 9e040010 000007c4 ................ + 7f5b0: 01140000 0aba0502 0011110a 00000abb ................ + 7f5c0: 04000373 697a655f 74000000 011c0e00 ...size_t....... + 7f5d0: 0002ef01 0a000004 4804000f 00000448 ........H......H + 7f5e0: 0f000004 480a0000 0ae10400 12013e6d ....H.........>m + 7f5f0: 656d6d6f 76650000 0002ef01 01039201 emmove.......... + 7f600: 20029000 008e6b20 008e6ce2 13013e64 .....k ..l...>d + 7f610: 73745f76 6f696400 000002ef 01521301 st_void......R.. + 7f620: 3e737263 5f766f69 64000000 0abc0153 >src_void......S + 7f630: 13013e6c 656e6774 68000000 0ac30154 ..>length......T + 7f640: 14647374 00000004 16147372 63000000 .dst......src... + 7f650: 0434146c 656e0000 00017a14 616c6967 .4.len....z.alig + 7f660: 6e65645f 64737400 00000ad5 14616c69 ned_dst......ali + 7f670: 676e6564 5f737263 0000000a e6000000 gned_src........ + 7f680: 00000109 00020000 30e40401 0001d5b7 ........0....... + 7f690: 008e6ce4 008e6d58 2f686f6d 652f6375 ..l...mX/home/cu + 7f6a0: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 7f6b0: 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte + 7f6c0: 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ + 7f6d0: 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li + 7f6e0: 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens + 7f6f0: 612f6d65 6d736574 2e53002f 70726f6a a/memset.S./proj + 7f700: 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ + 7f710: 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ + 7f720: 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag + 7f730: 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu + 7f740: 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ + 7f750: 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el + 7f760: 662f6e65 776c6962 2f6c6962 632f6d61 f/newlib/libc/ma + 7f770: 6368696e 652f7874 656e7361 00474e55 chine/xtensa.GNU + 7f780: 20415320 322e3136 2e310080 01000001 AS 2.16.1...... + 7f790: 09000200 0030f804 010001d7 43008e64 .....0......C..d + 7f7a0: 24008e65 3c2f686f 6d652f63 7573746f $..e: + 0: 01110010 06110112 0103081b 08250813 .............%.. + 10: 05000000 01110010 06110112 0103081b ................ + 20: 08250813 05000000 01110010 06110112 .%.............. + 30: 0103081b 08250813 05000000 01110010 .....%.......... + 40: 06110112 0103081b 08250813 05000000 .........%...... + 50: 01110010 06110112 0103081b 08250813 .............%.. + 60: 05000000 01110010 06110112 0103081b ................ + 70: 08250813 05000000 01110010 06110112 .%.............. + 80: 0103081b 08250813 05000000 01110010 .....%.......... + 90: 06110112 0103081b 08250813 05000000 .........%...... + a0: 01110010 06110112 0103081b 08250813 .............%.. + b0: 05000000 01110010 06110112 0103081b ................ + c0: 08250813 05000000 01110010 06110112 .%.............. + d0: 0103081b 08250813 05000000 01110010 .....%.......... + e0: 06110112 0103081b 08250813 05000000 .........%...... + f0: 01110010 06110112 0103081b 08250813 .............%.. + 100: 05000000 01110103 081b0825 08130b42 ...........%...B + 110: 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... + 120: 03010149 130b0b01 13000004 21002f0b ...I........!./. + 130: 00000513 010b0b01 13000006 0d000308 ................ + 140: 4913380a 00000715 00270c00 00081600 I.8......'...... + 150: 03084913 0000090f 0049130b 0b330b00 ..I......I...3.. + 160: 000a0f00 0b0b330b 00000b13 0103080b ......3......... + 170: 0b011300 000c1500 4913270c 00000d26 ........I.'....& + 180: 00491300 000e2e01 3a0b3b0b 03084913 .I......:.;...I. + 190: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1a0: 0f05003a 0b3b0b03 08491302 0a000010 ...:.;...I...... + 1b0: 34000308 49130000 112e013a 0b3b0b03 4...I......:.;.. + 1c0: 0849133f 0c270c40 0a2a0a11 01120100 .I.?.'.@.*...... + 1d0: 00000111 00100611 01120103 081b0825 ...............% + 1e0: 08130500 00000111 00100611 01120103 ................ + 1f0: 081b0825 08130500 00000111 00100611 ...%............ + 200: 01120103 081b0825 08130500 00000111 .......%........ + 210: 00100611 01120103 081b0825 08130500 ...........%.... + 220: 00000111 00100611 01120103 081b0825 ...............% + 230: 08130500 00000111 0103081b 08250813 .............%.. + 240: 0b420b10 06000002 1500270c 0000030f .B........'..... + 250: 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. + 260: 0b0b0000 05260049 13000006 15004913 .....&.I......I. + 270: 270c0000 07130103 080b0b01 13000008 '............... + 280: 0d000308 4913380a 00000916 00030849 ....I.8........I + 290: 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. + 2a0: 000c0401 03080b0b 01130000 0d280003 .............(.. + 2b0: 081c0b00 000e0101 49130b0b 01130000 ........I....... + 2c0: 0f21002f 0b000010 17010b0b 01130000 .!./............ + 2d0: 1113010b 0b011300 00120d00 4913380a ............I.8. + 2e0: 00001304 010b0b01 13000014 0d000308 ................ + 2f0: 49130b0b 0c0b0d0b 380a0000 15010149 I.......8......I + 300: 130b0501 13000016 13010308 0b050113 ................ + 310: 00001717 010b0501 13000018 28000308 ............(... + 320: 1c060000 19010149 133c0c01 1300001a .......I.<...... + 330: 21000000 1b340003 08491302 0a3f0c00 !....4...I...?.. + 340: 001c3500 49130000 1d2e013a 0b3b0b03 ..5.I......:.;.. + 350: 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 360: 001e3400 03084913 020a0000 1f340003 ..4...I......4.. + 370: 08491300 00200500 3a0b3b0b 03084913 .I... ..:.;...I. + 380: 020a0000 212e013a 0b3b0b03 08491327 ....!..:.;...I.' + 390: 0c400a2a 0a110112 01011300 00222e01 .@.*.........".. + 3a0: 3a0b3b0b 03084913 3f0c270c 400a2a0a :.;...I.?.'.@.*. + 3b0: 11011201 01130000 232e013a 0b3b0503 ........#..:.;.. + 3c0: 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 3d0: 00240500 3a0b3b05 03084913 020a0000 .$..:.;...I..... + 3e0: 252e013a 0b3b0503 08270c40 0a2a0a11 %..:.;...'.@.*.. + 3f0: 01120101 13000026 2e013a0b 3b050308 .......&..:.;... + 400: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 410: 0000272e 003a0b3b 0503083f 0c400a2a ..'..:.;...?.@.* + 420: 0a110112 01000028 2e003a0b 3b050308 .......(..:.;... + 430: 49133f0c 270c400a 360b2a0a 11011201 I.?.'.@.6.*..... + 440: 00000001 11010308 1b082508 130b420b ..........%...B. + 450: 10060000 02150027 0c000003 0f004913 .......'......I. + 460: 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... + 470: 00052600 49130000 06150049 13270c00 ..&.I......I.'.. + 480: 00071301 03080b0b 01130000 080d0003 ................ + 490: 08491338 0a000009 16000308 49130000 .I.8........I... + 4a0: 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... + 4b0: 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... + 4c0: 01130000 0e280003 081c0b00 000f0101 .....(.......... + 4d0: 49130b0b 01130000 1021002f 0b000011 I........!./.... + 4e0: 17010b0b 01130000 1213010b 0b011300 ................ + 4f0: 00130d00 4913380a 00001404 010b0b01 ....I.8......... + 500: 13000015 0d000308 49130b0b 0c0b0d0b ........I....... + 510: 380a0000 16010149 130b0501 13000017 8......I........ + 520: 13010308 0b050113 00001817 010b0501 ................ + 530: 13000019 28000308 1c060000 1a340003 ....(........4.. + 540: 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. + 550: 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... + 560: 01130000 1c05003a 0b3b0b03 08491302 .......:.;...I.. + 570: 0a00001d 34000308 49130000 1e2e003a ....4...I......: + 580: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 590: 0100001f 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 5a0: 400a2a0a 11011201 00000001 11010308 @.*............. + 5b0: 1b082508 130b420b 10060000 02150027 ..%...B........' + 5c0: 0c000003 0f004913 0b0b330b 00000424 ......I...3....$ + 5d0: 0003083e 0b0b0b00 00052600 49130000 ...>......&.I... + 5e0: 06150049 13270c00 00071301 03080b0b ...I.'.......... + 5f0: 01130000 080d0003 08491338 0a000009 .........I.8.... + 600: 16000308 49130000 0a0f000b 0b330b00 ....I........3.. + 610: 000b2600 00000c13 0003080b 0b3c0c00 ..&..........<.. + 620: 000d0401 03080b0b 01130000 0e280003 .............(.. + 630: 081c0b00 000f0101 49130b0b 01130000 ........I....... + 640: 1021002f 0b000011 17010b0b 01130000 .!./............ + 650: 1213010b 0b011300 00130d00 4913380a ............I.8. + 660: 00001404 010b0b01 13000015 0d000308 ................ + 670: 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I + 680: 130b0501 13000017 13010308 0b050113 ................ + 690: 00001817 010b0501 13000019 28000308 ............(... + 6a0: 1c060000 1a340003 08491302 0a3f0c00 .....4...I...?.. + 6b0: 001b0101 49133c0c 01130000 1c210000 ....I.<......!.. + 6c0: 001d2e01 3a0b3b0b 03083f0c 270c400a ....:.;...?.'.@. + 6d0: 2a0a1101 12010113 00001e05 003a0b3b *............:.; + 6e0: 0b030849 13020a00 001f3400 03084913 ...I......4...I. + 6f0: 0000202e 003a0b3b 0b030849 133f0c27 .. ..:.;...I.?.' + 700: 0c400a2a 0a110112 01000021 2e003a0b .@.*.......!..:. + 710: 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 720: 00002234 00030849 13020a00 00232e01 .."4...I.....#.. + 730: 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 740: 12010000 2405003a 0b3b0503 08491302 ....$..:.;...I.. + 750: 0a000000 01110103 081b0825 08130b42 ...........%...B + 760: 0b100600 00021500 270c0000 030f0049 ........'......I + 770: 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 780: 00000526 00491300 00061500 4913270c ...&.I......I.'. + 790: 00000713 0103080b 0b011300 00080d00 ................ + 7a0: 03084913 380a0000 09160003 08491300 ..I.8........I.. + 7b0: 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 7c0: 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 7d0: 0b011300 000e2800 03081c0b 00000f01 ......(......... + 7e0: 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 7f0: 1117010b 0b011300 00121301 0b0b0113 ................ + 800: 0000130d 00491338 0a000014 04010b0b .....I.8........ + 810: 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 820: 0b380a00 00160101 49130b05 01130000 .8......I....... + 830: 17130103 080b0501 13000018 17010b05 ................ + 840: 01130000 19280003 081c0600 001a3400 .....(........4. + 850: 03084913 020a3f0c 00001b35 00491300 ..I...?....5.I.. + 860: 001c2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. + 870: 400a2a0a 11011201 01130000 1d05003a @.*............: + 880: 0b3b0b03 08491302 0a00001e 34000308 .;...I......4... + 890: 49130000 1f2e013a 0b3b0b03 083f0c27 I......:.;...?.' + 8a0: 0c400a2a 0a110112 01011300 00203400 .@.*......... 4. + 8b0: 03084913 020a0000 212e013a 0b3b0503 ..I.....!..:.;.. + 8c0: 083f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." + 8d0: 05003a0b 3b050308 4913020a 00000001 ..:.;...I....... + 8e0: 11010308 1b082508 130b420b 10060000 ......%...B..... + 8f0: 02150027 0c000003 0f004913 0b0b330b ...'......I...3. + 900: 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. + 910: 49130000 06150049 13270c00 00071301 I......I.'...... + 920: 03080b0b 01130000 080d0003 08491338 .............I.8 + 930: 0a000009 16000308 49130000 0a0f000b ........I....... + 940: 0b330b00 000b2600 00000c04 0103080b .3....&......... + 950: 0b011300 000d2800 03081c0b 00000e01 ......(......... + 960: 0149130b 0b011300 000f2100 2f0b0000 .I........!./... + 970: 1017010b 0b011300 00111301 0b0b0113 ................ + 980: 0000120d 00491338 0a000013 04010b0b .....I.8........ + 990: 01130000 140d0003 0849130b 0b0c0b0d .........I...... + 9a0: 0b380a00 00150101 49130b05 01130000 .8......I....... + 9b0: 16130103 080b0501 13000017 17010b05 ................ + 9c0: 01130000 18280003 081c0600 00193400 .....(........4. + 9d0: 03084913 020a3f0c 00001a35 00491300 ..I...?....5.I.. + 9e0: 001b2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. + 9f0: 400a2a0a 11011201 01130000 1c05003a @.*............: + a00: 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. + a10: 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + a20: 01130000 1e340003 08491300 001f2e01 .....4...I...... + a30: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + a40: 12010000 00011101 03081b08 2508130b ............%... + a50: 420b1006 00000215 00270c00 00030f00 B........'...... + a60: 49130b0b 330b0000 04240003 083e0b0b I...3....$...>.. + a70: 0b000005 26004913 00000615 00491327 ....&.I......I.' + a80: 0c000007 13010308 0b0b0113 0000080d ................ + a90: 00030849 13380a00 00091600 03084913 ...I.8........I. + aa0: 00000a0f 000b0b33 0b00000b 26000000 .......3....&... + ab0: 0c130003 080b0b3c 0c00000d 04010308 .......<........ + ac0: 0b0b0113 00000e28 0003081c 0b00000f .......(........ + ad0: 01014913 0b0b0113 00001021 002f0b00 ..I........!./.. + ae0: 00111701 0b0b0113 00001213 010b0b01 ................ + af0: 13000013 0d004913 380a0000 1404010b ......I.8....... + b00: 0b011300 00150d00 03084913 0b0b0c0b ..........I..... + b10: 0d0b380a 00001601 0149130b 05011300 ..8......I...... + b20: 00171301 03080b05 01130000 1817010b ................ + b30: 05011300 00192800 03081c06 00001a2e ......(......... + b40: 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + b50: 01120100 001b2e01 3a0b3b0b 03083f0c ........:.;...?. + b60: 270c400a 2a0a1101 12010000 1c05003a '.@.*..........: + b70: 0b3b0b03 08491302 0a000000 01110103 .;...I.......... + b80: 081b0825 08130b42 0b100600 00021500 ...%...B........ + b90: 270c0000 030f0049 130b0b33 0b000004 '......I...3.... + ba0: 24000308 3e0b0b0b 00000526 00491300 $...>......&.I.. + bb0: 00061500 4913270c 00000713 0103080b ....I.'......... + bc0: 0b011300 00080d00 03084913 380a0000 ..........I.8... + bd0: 09160003 08491300 000a0f00 0b0b330b .....I........3. + be0: 00000b26 0000000c 04010308 0b0b0113 ...&............ + bf0: 00000d28 0003081c 0b00000e 01014913 ...(..........I. + c00: 0b0b0113 00000f21 002f0b00 00101701 .......!./...... + c10: 0b0b0113 00001113 010b0b01 13000012 ................ + c20: 0d004913 380a0000 1304010b 0b011300 ..I.8........... + c30: 00140d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + c40: 00001501 0149130b 05011300 00161301 .....I.......... + c50: 03080b05 01130000 1717010b 05011300 ................ + c60: 00182800 03081c06 00001935 00491300 ..(........5.I.. + c70: 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... + c80: 0149133c 0c011300 001c2100 00001d2e .I.<......!..... + c90: 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + ca0: 01120100 001e2e01 3a0b3b0b 03083f0c ........:.;...?. + cb0: 270c400a 2a0a1101 12010113 00001f05 '.@.*........... + cc0: 003a0b3b 0b030849 13020a00 00203400 .:.;...I..... 4. + cd0: 03084913 0000212e 003a0b3b 0b030849 ..I...!..:.;...I + ce0: 133f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." + cf0: 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. + d00: 2a0a1101 12010113 00002334 00030849 *.........#4...I + d10: 13020a00 00242e01 3a0b3b0b 03083f0c .....$..:.;...?. + d20: 270c400a 2a0a1101 12010000 00011101 '.@.*........... + d30: 03081b08 2508130b 420b1006 00000215 ....%...B....... + d40: 00270c00 00030f00 49130b0b 330b0000 .'......I...3... + d50: 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. + d60: 00000615 00491327 0c000007 13010308 .....I.'........ + d70: 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. + d80: 00091600 03084913 00000a0f 000b0b33 ......I........3 + d90: 0b00000b 26000000 0c130003 080b0b3c ....&..........< + da0: 0c00000d 04010308 0b0b0113 00000e28 ...............( + db0: 0003081c 0b00000f 01014913 0b0b0113 ..........I..... + dc0: 00001021 002f0b00 00111701 0b0b0113 ...!./.......... + dd0: 00001213 010b0b01 13000013 0d004913 ..............I. + de0: 380a0000 1404010b 0b011300 00150d00 8............... + df0: 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... + e00: 0149130b 05011300 00171301 03080b05 .I.............. + e10: 01130000 1817010b 05011300 00192800 ..............(. + e20: 03081c06 00001a34 00030849 13020a3f .......4...I...? + e30: 0c00001b 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + e40: 400a2a0a 11011201 01130000 1c05003a @.*............: + e50: 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. + e60: 3b0b0308 4913270c 400a2a0a 11011201 ;...I.'.@.*..... + e70: 01130000 1e340003 08491300 001f3400 .....4...I....4. + e80: 03084913 020a0000 202e013a 0b3b0503 ..I..... ..:.;.. + e90: 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + ea0: 13000021 05003a0b 3b050308 4913020a ...!..:.;...I... + eb0: 0000222e 003a0b3b 0503083f 0c270c40 .."..:.;...?.'.@ + ec0: 0a2a0a11 01120100 00232e01 3a0b3b05 .*.......#..:.;. + ed0: 03083f0c 270c400a 2a0a1101 12010000 ..?.'.@.*....... + ee0: 00011101 03081b08 2508130b 420b1006 ........%...B... + ef0: 00000215 00270c00 00030f00 49130b0b .....'......I... + f00: 330b0000 04240003 083e0b0b 0b000005 3....$...>...... + f10: 26004913 00000615 00491327 0c000007 &.I......I.'.... + f20: 13010308 0b0b0113 0000080d 00030849 ...............I + f30: 13380a00 00091600 03084913 00000a0f .8........I..... + f40: 000b0b33 0b00000b 26000000 0c130003 ...3....&....... + f50: 080b0b3c 0c00000d 04010308 0b0b0113 ...<............ + f60: 00000e28 0003081c 0b00000f 01014913 ...(..........I. + f70: 0b0b0113 00001021 002f0b00 00111701 .......!./...... + f80: 0b0b0113 00001213 010b0b01 13000013 ................ + f90: 0d004913 380a0000 1404010b 0b011300 ..I.8........... + fa0: 00150d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + fb0: 00001601 0149130b 05011300 00171301 .....I.......... + fc0: 03080b05 01130000 1817010b 05011300 ................ + fd0: 00192800 03081c06 00001a34 00030849 ..(........4...I + fe0: 13020a3f 0c00001b 2e013a0b 3b0b0308 ...?......:.;... + ff0: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 1000: 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... + 1010: 001d3400 03084913 00001e2e 003a0b3b ..4...I......:.; + 1020: 0b030849 133f0c27 0c400a2a 0a110112 ...I.?.'.@.*.... + 1030: 0100001f 34000308 4913020a 0000202e ....4...I..... . + 1040: 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 1050: 01120100 00212e01 3a0b3b0b 03083f0c .....!..:.;...?. + 1060: 270c400a 2a0a1101 12010000 00011101 '.@.*........... + 1070: 03081b08 2508130b 420b1006 00000215 ....%...B....... + 1080: 00270c00 00030f00 49130b0b 330b0000 .'......I...3... + 1090: 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. + 10a0: 00000615 00491327 0c000007 13010308 .....I.'........ + 10b0: 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. + 10c0: 00091600 03084913 00000a0f 000b0b33 ......I........3 + 10d0: 0b00000b 26000000 0c130003 080b0b3c ....&..........< + 10e0: 0c00000d 04010308 0b0b0113 00000e28 ...............( + 10f0: 0003081c 0b00000f 01014913 0b0b0113 ..........I..... + 1100: 00001021 002f0b00 00111701 0b0b0113 ...!./.......... + 1110: 00001213 010b0b01 13000013 0d004913 ..............I. + 1120: 380a0000 1404010b 0b011300 00150d00 8............... + 1130: 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... + 1140: 0149130b 05011300 00171301 03080b05 .I.............. + 1150: 01130000 1817010b 05011300 00192800 ..............(. + 1160: 03081c06 00001a2e 003a0b3b 0b03083f .........:.;...? + 1170: 0c270c40 0a2a0a11 01120100 001b2e01 .'.@.*.......... + 1180: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1190: 12010000 1c05003a 0b3b0b03 08491302 .......:.;...I.. + 11a0: 0a000000 01110103 081b0825 08130b42 ...........%...B + 11b0: 0b100600 00021500 270c0000 030f0049 ........'......I + 11c0: 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 11d0: 00000526 00491300 00061500 4913270c ...&.I......I.'. + 11e0: 00000713 0103080b 0b011300 00080d00 ................ + 11f0: 03084913 380a0000 09160003 08491300 ..I.8........I.. + 1200: 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 1210: 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 1220: 0b011300 000e2800 03081c0b 00000f01 ......(......... + 1230: 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 1240: 1117010b 0b011300 00121301 0b0b0113 ................ + 1250: 0000130d 00491338 0a000014 04010b0b .....I.8........ + 1260: 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 1270: 0b380a00 00160101 49130b05 01130000 .8......I....... + 1280: 17130103 080b0501 13000018 17010b05 ................ + 1290: 01130000 19280003 081c0600 001a3400 .....(........4. + 12a0: 03084913 020a0000 1b2e003a 0b3b0b03 ..I........:.;.. + 12b0: 083f0c27 0c400a2a 0a110112 0100001c .?.'.@.*........ + 12c0: 2e013a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 12d0: 11011201 01130000 1d05003a 0b3b0b03 ...........:.;.. + 12e0: 08491302 0a00001e 34000308 49130000 .I......4...I... + 12f0: 1f2e013a 0b3b0b03 083f0c27 0c400a2a ...:.;...?.'.@.* + 1300: 0a110112 01000000 01110103 081b0825 ...............% + 1310: 08130b42 0b100600 00021500 270c0000 ...B........'... + 1320: 030f0049 130b0b33 0b000004 24000308 ...I...3....$... + 1330: 3e0b0b0b 00000526 00491300 00061500 >......&.I...... + 1340: 4913270c 00000713 0103080b 0b011300 I.'............. + 1350: 00080d00 03084913 380a0000 09160003 ......I.8....... + 1360: 08491300 000a0f00 0b0b330b 00000b26 .I........3....& + 1370: 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1380: 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1390: 00000f01 0149130b 0b011300 00102100 .....I........!. + 13a0: 2f0b0000 1117010b 0b011300 00121301 /............... + 13b0: 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 13c0: 04010b0b 01130000 150d0003 0849130b .............I.. + 13d0: 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 13e0: 01130000 17130103 080b0501 13000018 ................ + 13f0: 17010b05 01130000 19280003 081c0600 .........(...... + 1400: 001a3400 03084913 020a3f0c 00001b2e ..4...I...?..... + 1410: 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 1420: 01120101 1300001c 05003a0b 3b0b0308 ..........:.;... + 1430: 4913020a 00001d34 00030849 1300001e I......4...I.... + 1440: 2e003a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 1450: 11011201 00001f2e 013a0b3b 0b03083f .........:.;...? + 1460: 0c270c40 0a2a0a11 01120100 00000111 .'.@.*.......... + 1470: 0103081b 08250813 0b420b10 06000002 .....%...B...... + 1480: 1500270c 0000030f 0049130b 0b330b00 ..'......I...3.. + 1490: 00042400 03083e0b 0b0b0000 05260049 ..$...>......&.I + 14a0: 13000006 15004913 270c0000 07130103 ......I.'....... + 14b0: 080b0b01 13000008 0d000308 4913380a ............I.8. + 14c0: 00000916 00030849 1300000a 0f000b0b .......I........ + 14d0: 330b0000 0b260000 000c1300 03080b0b 3....&.......... + 14e0: 3c0c0000 0d040103 080b0b01 1300000e <............... + 14f0: 28000308 1c0b0000 0f010149 130b0b01 (..........I.... + 1500: 13000010 21002f0b 00001117 010b0b01 ....!./......... + 1510: 13000012 13010b0b 01130000 130d0049 ...............I + 1520: 13380a00 00140401 0b0b0113 0000150d .8.............. + 1530: 00030849 130b0b0c 0b0d0b38 0a000016 ...I.......8.... + 1540: 01014913 0b050113 00001713 0103080b ..I............. + 1550: 05011300 00181701 0b050113 00001928 ...............( + 1560: 0003081c 0600001a 34000308 4913020a ........4...I... + 1570: 3f0c0000 1b2e003a 0b3b0b03 0849133f ?......:.;...I.? + 1580: 0c270c40 0a2a0a11 01120100 001c2e00 .'.@.*.......... + 1590: 3a0b3b0b 03083f0c 400a2a0a 11011201 :.;...?.@.*..... + 15a0: 00001d2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ + 15b0: 0a2a0a11 01120101 1300001e 05003a0b .*............:. + 15c0: 3b0b0308 4913020a 00001f2e 013a0b3b ;...I........:.; + 15d0: 0b03083f 0c400a2a 0a110112 01011300 ...?.@.*........ + 15e0: 00203400 03084913 020a0000 212e013a . 4...I.....!..: + 15f0: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1600: 01000000 01110103 081b0825 08130b42 ...........%...B + 1610: 0b100600 00021500 270c0000 030f0049 ........'......I + 1620: 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... + 1630: 00000526 00491300 00061500 4913270c ...&.I......I.'. + 1640: 00000713 0103080b 0b011300 00080d00 ................ + 1650: 03084913 380a0000 09160003 08491300 ..I.8........I.. + 1660: 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... + 1670: 13000308 0b0b3c0c 00000d04 0103080b ......<......... + 1680: 0b011300 000e2800 03081c0b 00000f01 ......(......... + 1690: 0149130b 0b011300 00102100 2f0b0000 .I........!./... + 16a0: 1117010b 0b011300 00121301 0b0b0113 ................ + 16b0: 0000130d 00491338 0a000014 04010b0b .....I.8........ + 16c0: 01130000 150d0003 0849130b 0b0c0b0d .........I...... + 16d0: 0b380a00 00160101 49130b05 01130000 .8......I....... + 16e0: 17130103 080b0501 13000018 17010b05 ................ + 16f0: 01130000 19280003 081c0600 001a3400 .....(........4. + 1700: 03084913 020a0000 1b2e013a 0b3b0b03 ..I........:.;.. + 1710: 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 1720: 1300001c 34000308 49130000 1d2e013a ....4...I......: + 1730: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1740: 01011300 001e0500 3a0b3b0b 03084913 ........:.;...I. + 1750: 020a0000 1f2e003a 0b3b0b03 083f0c27 .......:.;...?.' + 1760: 0c400a2a 0a110112 01000020 2e003a0b .@.*....... ..:. + 1770: 3b0b0308 49133f0c 400a2a0a 11011201 ;...I.?.@.*..... + 1780: 0000212e 013a0b3b 0503083f 0c270c40 ..!..:.;...?.'.@ + 1790: 0a2a0a11 01120101 13000022 05003a0b .*........."..:. + 17a0: 3b050308 4913020a 0000232e 013a0b3b ;...I.....#..:.; + 17b0: 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ + 17c0: 00242e01 3a0b3b05 03083f0c 270c400a .$..:.;...?.'.@. + 17d0: 2a0a1101 12010000 00011101 03081b08 *............... + 17e0: 2508130b 420b1006 00000213 0103080b %...B........... + 17f0: 0b011300 00030d00 03084913 380a0000 ..........I.8... + 1800: 040f0049 130b0b33 0b000005 24000308 ...I...3....$... + 1810: 3e0b0b0b 00000616 00030849 13000007 >..........I.... + 1820: 01014913 0b0b0113 00000821 002f0b00 ..I........!./.. + 1830: 00091500 270c0000 0a150049 13270c00 ....'......I.'.. + 1840: 000b0f00 0b0b330b 00000c13 010b0b01 ......3......... + 1850: 1300000d 04010b0b 01130000 0e280003 .............(.. + 1860: 081c0b00 000f1701 0b0b0113 00001004 ................ + 1870: 0103080b 0b011300 00110d00 03084913 ..............I. + 1880: 0b0b0c0b 0d0b380a 00001201 0149130b ......8......I.. + 1890: 05011300 00131301 03080b05 01130000 ................ + 18a0: 1417010b 05011300 00152600 49130000 ..........&.I... + 18b0: 16260000 00171300 03080b0b 3c0c0000 .&..........<... + 18c0: 180d0049 13380a00 00192800 03081c06 ...I.8....(..... + 18d0: 00001a34 00030849 13020a3f 0c00001b ...4...I...?.... + 18e0: 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. + 18f0: 2a0a1101 12010113 00001c05 003a0b3b *............:.; + 1900: 0b030849 13020a00 001d2e01 3a0b3b0b ...I........:.;. + 1910: 03083f0c 270c400a 2a0a1101 12010113 ..?.'.@.*....... + 1920: 00001e34 00030849 1300001f 34000308 ...4...I....4... + 1930: 4913020a 0000202e 003a0b3b 0b03083f I..... ..:.;...? + 1940: 0c270c40 0a2a0a11 01120100 00212e01 .'.@.*.......!.. + 1950: 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1960: 12010113 00002205 003a0b3b 05030849 ......"..:.;...I + 1970: 13020a00 00232e01 3a0b3b05 03084913 .....#..:.;...I. + 1980: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1990: 242e013a 0b3b0503 083f0c27 0c400a2a $..:.;...?.'.@.* + 19a0: 0a110112 01000000 01110103 081b0825 ...............% + 19b0: 08130b42 0b100600 00021500 270c0000 ...B........'... + 19c0: 030f0049 130b0b33 0b000004 24000308 ...I...3....$... + 19d0: 3e0b0b0b 00000526 00491300 00061500 >......&.I...... + 19e0: 4913270c 00000713 0103080b 0b011300 I.'............. + 19f0: 00080d00 03084913 380a0000 09160003 ......I.8....... + 1a00: 08491300 000a0f00 0b0b330b 00000b26 .I........3....& + 1a10: 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1a20: 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1a30: 00000f01 0149130b 0b011300 00102100 .....I........!. + 1a40: 2f0b0000 1117010b 0b011300 00121301 /............... + 1a50: 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 1a60: 04010b0b 01130000 150d0003 0849130b .............I.. + 1a70: 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 1a80: 01130000 17130103 080b0501 13000018 ................ + 1a90: 17010b05 01130000 19280003 081c0600 .........(...... + 1aa0: 001a3400 03084913 020a3f0c 00001b35 ..4...I...?....5 + 1ab0: 00491300 001c2e01 3a0b3b0b 03084913 .I......:.;...I. + 1ac0: 400a2a0a 11011201 01130000 1d340003 @.*..........4.. + 1ad0: 08491300 001e2e01 3a0b3b0b 0308270c .I......:.;...'. + 1ae0: 400a2a0a 11011201 01130000 1f05003a @.*............: + 1af0: 0b3b0b03 08491302 0a000020 2e013a0b .;...I..... ..:. + 1b00: 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... + 1b10: 12010113 00002134 00030849 13020a00 ......!4...I.... + 1b20: 00222e01 3a0b3b0b 03083f0c 270c400a ."..:.;...?.'.@. + 1b30: 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; + 1b40: 0b030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... + 1b50: 13000024 2e013a0b 3b050308 3f0c270c ...$..:.;...?.'. + 1b60: 8b400c40 0a2a0a11 01120101 13000025 .@.@.*.........% + 1b70: 05003a0b 3b050308 4913020a 0000262e ..:.;...I.....&. + 1b80: 013a0b3b 05030849 133f0c27 0c8b400c .:.;...I.?.'..@. + 1b90: 400a2a0a 11011201 01130000 272e013a @.*.........'..: + 1ba0: 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 1bb0: 01011300 00282e01 3a0b3b05 03084913 .....(..:.;...I. + 1bc0: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 1bd0: 292e003a 0b3b0503 083f0c27 0c400a2a )..:.;...?.'.@.* + 1be0: 0a110112 01000000 01110103 081b0825 ...............% + 1bf0: 08130b42 0b100600 00022400 03083e0b ...B......$...>. + 1c00: 0b0b0000 03160003 08491300 00041301 .........I...... + 1c10: 03080b0b 01130000 050d0003 08491338 .............I.8 + 1c20: 0a000006 1500270c 0000070f 0049130b ......'......I.. + 1c30: 0b330b00 00082600 49130000 09150049 .3....&.I......I + 1c40: 13270c00 000a0f00 0b0b330b 00000b26 .'........3....& + 1c50: 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... + 1c60: 0103080b 0b011300 000e2800 03081c0b ..........(..... + 1c70: 00000f01 0149130b 0b011300 00102100 .....I........!. + 1c80: 2f0b0000 1117010b 0b011300 00121301 /............... + 1c90: 0b0b0113 0000130d 00491338 0a000014 .........I.8.... + 1ca0: 04010b0b 01130000 150d0003 0849130b .............I.. + 1cb0: 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... + 1cc0: 01130000 17130103 080b0501 13000018 ................ + 1cd0: 17010b05 01130000 19280003 081c0600 .........(...... + 1ce0: 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... + 1cf0: 0149133c 0c011300 001c2100 00001d35 .I.<......!....5 + 1d00: 00491300 001e2e00 3a0b3b05 0308270c .I......:.;...'. + 1d10: 400a2a0a 11011201 00001f2e 013a0b3b @.*..........:.; + 1d20: 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ + 1d30: 00203400 03084913 0000212e 013a0b3b . 4...I...!..:.; + 1d40: 05030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... + 1d50: 13000022 2e003a0b 3b050308 4913270c ..."..:.;...I.'. + 1d60: 400a2a0a 11011201 00002334 00030849 @.*.......#4...I + 1d70: 13020a00 00240500 3a0b3b05 03084913 .....$..:.;...I. + 1d80: 020a0000 252e013a 0b3b0503 083f0c27 ....%..:.;...?.' + 1d90: 0c400a2a 0a110112 01011300 00262e01 .@.*.........&.. + 1da0: 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 1db0: 12010000 00011101 03081b08 2508130b ............%... + 1dc0: 420b0000 02240003 083e0b0b 0b000003 B....$...>...... + 1dd0: 16000308 49130000 04130103 080b0b01 ....I........... + 1de0: 13000005 0d000308 4913380a 00000601 ........I.8..... + 1df0: 0149133c 0c011300 00072100 00000826 .I.<......!....& + 1e00: 00491300 00093400 03084913 020a3f0c .I....4...I...?. + 1e10: 00000a21 002f0b00 00000111 0103081b ...!./.......... + 1e20: 08250813 0b420b10 06000002 24000308 .%...B......$... + 1e30: 3e0b0b0b 00000316 00030849 13000004 >..........I.... + 1e40: 13010308 0b0b0113 0000050d 00030849 ...............I + 1e50: 13380a00 00061500 270c0000 070f0049 .8......'......I + 1e60: 130b0b33 0b000008 26004913 00000915 ...3....&.I..... + 1e70: 00491327 0c00000a 0f000b0b 330b0000 .I.'........3... + 1e80: 0b260000 000c1300 03080b0b 3c0c0000 .&..........<... + 1e90: 0d040103 080b0b01 1300000e 28000308 ............(... + 1ea0: 1c0b0000 0f010149 130b0b01 13000010 .......I........ + 1eb0: 21002f0b 00001117 010b0b01 13000012 !./............. + 1ec0: 13010b0b 01130000 130d0049 13380a00 ...........I.8.. + 1ed0: 00140401 0b0b0113 0000150d 00030849 ...............I + 1ee0: 130b0b0c 0b0d0b38 0a000016 01014913 .......8......I. + 1ef0: 0b050113 00001713 0103080b 05011300 ................ + 1f00: 00181701 0b050113 00001928 0003081c ...........(.... + 1f10: 0600001a 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. + 1f20: 400a2a0a 11011201 01130000 1b05003a @.*............: + 1f30: 0b3b0b03 08491302 0a00001c 34000308 .;...I......4... + 1f40: 49130000 1d2e013a 0b3b0503 083f0c27 I......:.;...?.' + 1f50: 0c400a2a 0a110112 01000000 01110103 .@.*............ + 1f60: 081b0825 08130b42 0b100600 00021301 ...%...B........ + 1f70: 03080b0b 01130000 030d0003 08491338 .............I.8 + 1f80: 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ + 1f90: 0003083e 0b0b0b00 00061600 03084913 ...>..........I. + 1fa0: 00000701 0149130b 0b011300 00082100 .....I........!. + 1fb0: 2f0b0000 09150027 0c00000a 15004913 /......'......I. + 1fc0: 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. + 1fd0: 49130000 0d260000 000e1300 03080b0b I....&.......... + 1fe0: 3c0c0000 0f040103 080b0b01 13000010 <............... + 1ff0: 28000308 1c0b0000 1117010b 0b011300 (............... + 2000: 00121301 0b0b0113 0000130d 00491338 .............I.8 + 2010: 0a000014 04010b0b 01130000 150d0003 ................ + 2020: 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... + 2030: 49130b05 01130000 17130103 080b0501 I............... + 2040: 13000018 17010b05 01130000 19280003 .............(.. + 2050: 081c0600 001a2800 03081c05 00001b34 ......(........4 + 2060: 00030849 13020a3f 0c00001c 2e013a0b ...I...?......:. + 2070: 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... + 2080: 12010113 00001d05 003a0b3b 0b030849 .........:.;...I + 2090: 13020a00 001e2e01 3a0b3b0b 03083f0c ........:.;...?. + 20a0: 270c400a 2a0a1101 12010113 00001f34 '.@.*..........4 + 20b0: 00030849 13000020 2e013a0b 3b050308 ...I... ..:.;... + 20c0: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 20d0: 00002105 003a0b3b 05030849 13020a00 ..!..:.;...I.... + 20e0: 00222e01 3a0b3b05 03083f0c 270c400a ."..:.;...?.'.@. + 20f0: 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; + 2100: 0503083f 0c270c40 0a2a0a11 01120100 ...?.'.@.*...... + 2110: 00000111 0103081b 08250813 0b420b10 .........%...B.. + 2120: 06000002 13010308 0b0b0113 0000030d ................ + 2130: 00030849 13380a00 00040f00 49130b0b ...I.8......I... + 2140: 330b0000 05240003 083e0b0b 0b000006 3....$...>...... + 2150: 16000308 49130000 07010149 130b0b01 ....I......I.... + 2160: 13000008 21002f0b 00000915 00270c00 ....!./......'.. + 2170: 000a1500 4913270c 00000b0f 000b0b33 ....I.'........3 + 2180: 0b00000c 26004913 00000d26 0000000e ....&.I....&.... + 2190: 13000308 0b0b3c0c 00000f04 0103080b ......<......... + 21a0: 0b011300 00102800 03081c0b 00001117 ......(......... + 21b0: 010b0b01 13000012 13010b0b 01130000 ................ + 21c0: 130d0049 13380a00 00140401 0b0b0113 ...I.8.......... + 21d0: 0000150d 00030849 130b0b0c 0b0d0b38 .......I.......8 + 21e0: 0a000016 01014913 0b050113 00001713 ......I......... + 21f0: 0103080b 05011300 00181701 0b050113 ................ + 2200: 00001928 0003081c 0600001a 34000308 ...(........4... + 2210: 4913020a 3f0c0000 1b2e013a 0b3b0b03 I...?......:.;.. + 2220: 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ + 2230: 001c0500 3a0b3b0b 03084913 020a0000 ....:.;...I..... + 2240: 1d340003 08491300 001e2e01 3a0b3b0b .4...I......:.;. + 2250: 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... + 2260: 01130000 1f2e013a 0b3b0b03 083f0c27 .......:.;...?.' + 2270: 0c400a2a 0a110112 01000000 01110103 .@.*............ + 2280: 081b0825 08130b42 0b100600 00021301 ...%...B........ + 2290: 03080b0b 01130000 030d0003 08491338 .............I.8 + 22a0: 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ + 22b0: 0003083e 0b0b0b00 00061600 03084913 ...>..........I. + 22c0: 00000701 0149130b 0b011300 00082100 .....I........!. + 22d0: 2f0b0000 09150027 0c00000a 15004913 /......'......I. + 22e0: 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. + 22f0: 49130000 0d260000 000e1300 03080b0b I....&.......... + 2300: 3c0c0000 0f040103 080b0b01 13000010 <............... + 2310: 28000308 1c0b0000 1117010b 0b011300 (............... + 2320: 00121301 0b0b0113 0000130d 00491338 .............I.8 + 2330: 0a000014 04010b0b 01130000 150d0003 ................ + 2340: 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... + 2350: 49130b05 01130000 17130103 080b0501 I............... + 2360: 13000018 17010b05 01130000 19280003 .............(.. + 2370: 081c0600 001a3400 03084913 020a3f0c ......4...I...?. + 2380: 00001b2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ + 2390: 0a2a0a11 01120101 1300001c 05003a0b .*............:. + 23a0: 3b0b0308 4913020a 00001d34 00030849 ;...I......4...I + 23b0: 1300001e 2e013a0b 3b0b0308 49133f0c ......:.;...I.?. + 23c0: 400a2a0a 11011201 01130000 1f2e013a @.*............: + 23d0: 0b3b0b03 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. + 23e0: 01120101 13000020 2e013a0b 3b0b0308 ....... ..:.;... + 23f0: 3f0c270c 400a2a0a 11011201 00000001 ?.'.@.*......... + 2400: 11010308 1b082508 130b420b 10060000 ......%...B..... + 2410: 02150027 0c000003 0f004913 0b0b330b ...'......I...3. + 2420: 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. + 2430: 49130000 06150049 13270c00 00071301 I......I.'...... + 2440: 03080b0b 01130000 080d0003 08491338 .............I.8 + 2450: 0a000009 16000308 49130000 0a0f000b ........I....... + 2460: 0b330b00 000b2600 00000c13 0003080b .3....&......... + 2470: 0b3c0c00 000d0401 03080b0b 01130000 .<.............. + 2480: 0e280003 081c0b00 000f0101 49130b0b .(..........I... + 2490: 01130000 1021002f 0b000011 17010b0b .....!./........ + 24a0: 01130000 1213010b 0b011300 00130d00 ................ + 24b0: 4913380a 00001404 010b0b01 13000015 I.8............. + 24c0: 0d000308 49130b0b 0c0b0d0b 380a0000 ....I.......8... + 24d0: 16010149 130b0501 13000017 13010308 ...I............ + 24e0: 0b050113 00001817 010b0501 13000019 ................ + 24f0: 28000308 1c060000 1a2e013a 0b3b0503 (..........:.;.. + 2500: 08491327 0c8b400c 400a2a0a 11011201 .I.'..@.@.*..... + 2510: 01130000 1b05003a 0b3b0503 08491302 .......:.;...I.. + 2520: 0a00001c 2e013a0b 3b050308 270c8b40 ......:.;...'..@ + 2530: 0c400a2a 0a110112 01011300 001d2e01 .@.*............ + 2540: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 2550: 12010113 00001e05 003a0b3b 0b030849 .........:.;...I + 2560: 13020a00 001f2e01 3a0b3b0b 03084913 ........:.;...I. + 2570: 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... + 2580: 13000020 34000308 49130000 21340003 ... 4...I...!4.. + 2590: 08491302 0a000022 2e013a0b 3b0b0308 .I....."..:.;... + 25a0: 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... + 25b0: 13000023 2e013a0b 3b050308 3f0c270c ...#..:.;...?.'. + 25c0: 8b400c40 0a2a0a11 01120101 13000024 .@.@.*.........$ + 25d0: 2e013a0b 3b050308 3f0c270c 400a2a0a ..:.;...?.'.@.*. + 25e0: 11011201 01130000 252e013a 0b3b0503 ........%..:.;.. + 25f0: 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... + 2600: 13000026 2e013a0b 3b050308 3f0c270c ...&..:.;...?.'. + 2610: 400a2a0a 11011201 00000001 11010308 @.*............. + 2620: 1b082508 130b420b 10060000 02130103 ..%...B......... + 2630: 080b0b01 13000003 0d000308 4913380a ............I.8. + 2640: 0000040f 0049130b 0b330b00 00052400 .....I...3....$. + 2650: 03083e0b 0b0b0000 06160003 08491300 ..>..........I.. + 2660: 00070101 49130b0b 01130000 0821002f ....I........!./ + 2670: 0b000009 1500270c 00000a15 00491327 ......'......I.' + 2680: 0c00000b 0f000b0b 330b0000 0c260049 ........3....&.I + 2690: 1300000d 26000000 0e130003 080b0b3c ....&..........< + 26a0: 0c00000f 04010308 0b0b0113 00001028 ...............( + 26b0: 0003081c 0b000011 17010b0b 01130000 ................ + 26c0: 1213010b 0b011300 00130d00 4913380a ............I.8. + 26d0: 00001404 010b0b01 13000015 0d000308 ................ + 26e0: 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I + 26f0: 130b0501 13000017 13010308 0b050113 ................ + 2700: 00001817 010b0501 13000019 28000308 ............(... + 2710: 1c060000 1a2e003a 0b3b0b03 083f0c40 .......:.;...?.@ + 2720: 0a2a0a11 01120100 001b2e01 3a0b3b0b .*..........:.;. + 2730: 03083f0c 270c8b40 0c400a2a 0a110112 ..?.'..@.@.*.... + 2740: 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. + 2750: 020a0000 1d340003 08491300 001e2e01 .....4...I...... + 2760: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... + 2770: 12010113 00001f2e 013a0b3b 0b030827 .........:.;...' + 2780: 0c400a2a 0a110112 01011300 00202e01 .@.*......... .. + 2790: 3a0b3b0b 0308270c 8b400c40 0a2a0a11 :.;...'..@.@.*.. + 27a0: 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... + 27b0: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 27c0: 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' + 27d0: 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. + 27e0: 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: + 27f0: 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 2800: 01011300 00252e01 3a0b3b05 0308270c .....%..:.;...'. + 2810: 400a2a0a 11011201 00000001 11010308 @.*............. + 2820: 1b082508 130b420b 10060000 02240003 ..%...B......$.. + 2830: 083e0b0b 0b000003 16000308 49130000 .>..........I... + 2840: 04010149 130b0b01 13000005 21002f0b ...I........!./. + 2850: 00000617 010b0b01 13000007 0d000308 ................ + 2860: 4913380a 00000813 010b0b01 13000009 I.8............. + 2870: 13010308 0b0b0113 00000a0f 0049130b .............I.. + 2880: 0b330b00 000b0f00 0b0b330b 00000c13 .3........3..... + 2890: 0103080b 05011300 000d1500 270c0000 ............'... + 28a0: 0e150049 13270c00 000f2600 49130000 ...I.'....&.I... + 28b0: 10010149 130b0501 13000011 26000000 ...I........&... + 28c0: 12130003 080b0b3c 0c000013 04010308 .......<........ + 28d0: 0b0b0113 00001428 0003081c 0b000015 .......(........ + 28e0: 0d004913 380a0000 1604010b 0b011300 ..I.8........... + 28f0: 00170d00 03084913 0b0b0c0b 0d0b380a ......I.......8. + 2900: 00001817 010b0501 13000019 28000308 ............(... + 2910: 1c060000 1a2e013a 0b3b0b03 0849133f .......:.;...I.? + 2920: 0c270c40 0a2a0a11 01120101 1300001b .'.@.*.......... + 2930: 05003a0b 3b0b0308 4913020a 00001c34 ..:.;...I......4 + 2940: 00030849 1300001d 2e013a0b 3b0b0308 ...I......:.;... + 2950: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... + 2960: 1e340003 08491302 0a00001f 2e013a0b .4...I........:. + 2970: 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... + 2980: 00000001 11010308 1b082508 130b420b ..........%...B. + 2990: 10060000 02150027 0c000003 0f004913 .......'......I. + 29a0: 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... + 29b0: 00052600 49130000 06150049 13270c00 ..&.I......I.'.. + 29c0: 00071301 03080b0b 01130000 080d0003 ................ + 29d0: 08491338 0a000009 16000308 49130000 .I.8........I... + 29e0: 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... + 29f0: 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... + 2a00: 01130000 0e280003 081c0b00 000f0101 .....(.......... + 2a10: 49130b0b 01130000 1021002f 0b000011 I........!./.... + 2a20: 17010b0b 01130000 1213010b 0b011300 ................ + 2a30: 00130d00 4913380a 00001404 010b0b01 ....I.8......... + 2a40: 13000015 0d000308 49130b0b 0c0b0d0b ........I....... + 2a50: 380a0000 16010149 130b0501 13000017 8......I........ + 2a60: 13010308 0b050113 00001817 010b0501 ................ + 2a70: 13000019 28000308 1c060000 1a340003 ....(........4.. + 2a80: 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. + 2a90: 03084913 270c400a 2a0a1101 12010113 ..I.'.@.*....... + 2aa0: 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... + 2ab0: 001d2e01 3a0b3b0b 03084913 3f0c400a ....:.;...I.?.@. + 2ac0: 2a0a1101 12010113 00001e34 00030849 *..........4...I + 2ad0: 13020a00 001f3400 03084913 0000202e ......4...I... . + 2ae0: 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. + 2af0: 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... + 2b00: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... + 2b10: 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' + 2b20: 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. + 2b30: 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: + 2b40: 0b3b0503 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. + 2b50: 01120100 00000111 0103081b 08250813 .............%.. + 2b60: 0b420b10 06000002 1500270c 0000030f .B........'..... + 2b70: 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. + 2b80: 0b0b0000 05260049 13000006 15004913 .....&.I......I. + 2b90: 270c0000 07130103 080b0b01 13000008 '............... + 2ba0: 0d000308 4913380a 00000916 00030849 ....I.8........I + 2bb0: 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. + 2bc0: 000c1300 03080b0b 3c0c0000 0d040103 ........<....... + 2bd0: 080b0b01 1300000e 28000308 1c0b0000 ........(....... + 2be0: 0f010149 130b0b01 13000010 21002f0b ...I........!./. + 2bf0: 00001117 010b0b01 13000012 13010b0b ................ + 2c00: 01130000 130d0049 13380a00 00140401 .......I.8...... + 2c10: 0b0b0113 0000150d 00030849 130b0b0c ...........I.... + 2c20: 0b0d0b38 0a000016 01014913 0b050113 ...8......I..... + 2c30: 00001713 0103080b 05011300 00181701 ................ + 2c40: 0b050113 00001928 0003081c 0600001a .......(........ + 2c50: 34000308 4913020a 3f0c0000 1b2e013a 4...I...?......: + 2c60: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... + 2c70: 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. + 2c80: 020a0000 1d2e013a 0b3b0b03 0849133f .......:.;...I.? + 2c90: 0c270c40 0a2a0a11 01120101 1300001e .'.@.*.......... + 2ca0: 34000308 49130000 1f2e013a 0b3b0b03 4...I......:.;.. + 2cb0: 083f0c27 0c8b400c 400a2a0a 11011201 .?.'..@.@.*..... + 2cc0: 01130000 202e013a 0b3b0b03 083f0c27 .... ..:.;...?.' + 2cd0: 0c400a2a 0a110112 01000000 01110103 .@.*............ + 2ce0: 081b0825 08130b42 0b100600 00022400 ...%...B......$. + 2cf0: 03083e0b 0b0b0000 03010149 130b0b01 ..>........I.... + 2d00: 13000004 21002f0b 00000513 010b0b01 ....!./......... + 2d10: 13000006 0d000308 4913380a 00000715 ........I.8..... + 2d20: 00270c00 00081600 03084913 0000090f .'........I..... + 2d30: 0049130b 0b330b00 000a0f00 0b0b330b .I...3........3. + 2d40: 00000b13 0103080b 0b011300 000c1500 ................ + 2d50: 4913270c 00000d01 0149133c 0c011300 I.'......I.<.... + 2d60: 000e2100 00000f2e 013a0b3b 0b030849 ..!......:.;...I + 2d70: 133f0c27 0c400a2a 0a110112 01000010 .?.'.@.*........ + 2d80: 05003a0b 3b0b0308 4913020a 00001134 ..:.;...I......4 + 2d90: 00030849 13000000 01110010 06110112 ...I............ + 2da0: 0103081b 08250813 05000000 01110010 .....%.......... + 2db0: 06110112 0103081b 08250813 05000000 .........%...... + 2dc0: 01110010 06110112 0103081b 08250813 .............%.. + 2dd0: 05000000 01110103 081b0825 08130b42 ...........%...B + 2de0: 0b000002 24000308 3e0b0b0b 00000326 ....$...>......& + 2df0: 00491300 00043400 03084913 020a3f0c .I....4...I...?. + 2e00: 0000050f 0049130b 0b330b00 00060101 .....I...3...... + 2e10: 49130b0b 01130000 0721002f 0b000000 I........!./.... + 2e20: 01110010 06110112 0103081b 08250813 .............%.. + 2e30: 05000000 01110010 06110112 0103081b ................ + 2e40: 08250813 05000000 01110010 06110112 .%.............. + 2e50: 0103081b 08250813 05000000 01110010 .....%.......... + 2e60: 06110112 0103081b 08250813 05000000 .........%...... + 2e70: 01110103 081b0825 08130b42 0b000002 .......%...B.... + 2e80: 24000308 3e0b0b0b 00000301 0149130b $...>........I.. + 2e90: 0b011300 00042100 2f0b0000 05010149 ......!./......I + 2ea0: 130b0501 13000006 13010308 0b050113 ................ + 2eb0: 0000070d 00030849 13380a00 00082600 .......I.8....&. + 2ec0: 49130000 09340003 08491302 0a3f0c00 I....4...I...?.. + 2ed0: 00000111 00100611 01120103 081b0825 ...............% + 2ee0: 08130500 00000111 00100611 01120103 ................ + 2ef0: 081b0825 08130500 00000111 00100611 ...%............ + 2f00: 01120103 081b0825 08130500 00000111 .......%........ + 2f10: 00100611 01120103 081b0825 08130500 ...........%.... + 2f20: 00000111 0103081b 08250813 0b420b10 .........%...B.. + 2f30: 06000002 24000308 3e0b0b0b 00000316 ....$...>....... + 2f40: 00030849 13000004 01014913 0b0b0113 ...I......I..... + 2f50: 00000521 002f0b00 00061701 0b0b0113 ...!./.......... + 2f60: 0000070d 00030849 13380a00 00081301 .......I.8...... + 2f70: 0b0b0113 00000913 0103080b 0b011300 ................ + 2f80: 000a0f00 49130b0b 330b0000 0b0f000b ....I...3....... + 2f90: 0b330b00 000c1301 03080b05 01130000 .3.............. + 2fa0: 0d150027 0c00000e 15004913 270c0000 ...'......I.'... + 2fb0: 0f260049 13000010 01014913 0b050113 .&.I......I..... + 2fc0: 00001126 00000012 2e013a0b 3b0b0308 ...&......:.;... + 2fd0: 49133f0c 270c400a 2a0a1101 12010000 I.?.'.@.*....... + 2fe0: 1305003a 0b3b0b03 08491302 0a000014 ...:.;...I...... + 2ff0: 34000308 49130000 00011100 10061101 4...I........... + 3000: 12010308 1b082508 13050000 00011101 ......%......... + 3010: 03081b08 2508130b 420b1006 00000224 ....%...B......$ + 3020: 0003083e 0b0b0b00 00031600 03084913 ...>..........I. + 3030: 00000401 0149130b 0b011300 00052100 .....I........!. + 3040: 2f0b0000 0617010b 0b011300 00070d00 /............... + 3050: 03084913 380a0000 0813010b 0b011300 ..I.8........... + 3060: 00091301 03080b0b 01130000 0a0f0049 ...............I + 3070: 130b0b33 0b00000b 0f000b0b 330b0000 ...3........3... + 3080: 0c130103 080b0501 1300000d 1500270c ..............'. + 3090: 00000e15 00491327 0c00000f 26004913 .....I.'....&.I. + 30a0: 00001001 0149130b 05011300 00112600 .....I........&. + 30b0: 0000122e 013a0b3b 0b030849 133f0c27 .....:.;...I.?.' + 30c0: 0c400a2a 0a110112 01000013 05003a0b .@.*..........:. + 30d0: 3b0b0308 4913020a 00001434 00030849 ;...I......4...I + 30e0: 13000000 01110010 06110112 0103081b ................ + 30f0: 08250813 05000000 01110010 06110112 .%.............. + 3100: 0103081b 08250813 05000000 01110010 .....%.......... + 3110: 06110112 0103081b 08250813 05000000 .........%...... + 3120: 01110010 06110112 0103081b 08250813 .............%.. + 3130: 05000000 01110103 081b0825 08130b42 ...........%...B + 3140: 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... + 3150: 03160003 08491300 00040101 49130b0b .....I......I... + 3160: 01130000 0521002f 0b000006 17010b0b .....!./........ + 3170: 01130000 070d0003 08491338 0a000008 .........I.8.... + 3180: 13010b0b 01130000 09130103 080b0b01 ................ + 3190: 1300000a 0f004913 0b0b330b 00000b0f ......I...3..... + 31a0: 000b0b33 0b00000c 13010308 0b050113 ...3............ + 31b0: 00000d15 00270c00 000e1500 4913270c .....'......I.'. + 31c0: 00000f26 00491300 00100101 49130b05 ...&.I......I... + 31d0: 01130000 112e013a 0b3b0b03 0849133f .......:.;...I.? + 31e0: 0c270c40 0a2a0a11 01120100 00120500 .'.@.*.......... + 31f0: 3a0b3b0b 03084913 020a0000 13340003 :.;...I......4.. + 3200: 08491300 00000111 00100611 01120103 .I.............. + 3210: 081b0825 08130500 00008323 ...%...... +Disassembly of section .debug_line: + +00000000 <.debug_line>: + 0: 00000083 00020000 001f0101 fb0e0a00 ................ + 10: 01010101 00000001 00637274 312d7469 .........crt1-ti + 20: 6e792e53 00000000 00000502 008e1000 ny.S............ + 30: 03300103 01090003 01030609 00030103 .0.............. + 40: 02090003 01030109 00030103 09090003 ................ + 50: 01030109 00030103 01090005 01030109 ................ + 60: 00030103 01090002 01030109 00020103 ................ + 70: 07090003 01030a09 00030103 02090003 ................ + 80: 01090003 00010100 00003e00 02000000 ..........>..... + 90: 220101fb 0e0a0001 01010100 00000100 "............... + a0: 64656275 672d7665 63746f72 2e530000 debug-vector.S.. + b0: 00000000 0502008e 0c200329 01030209 ......... .).... + c0: 00030109 00030001 01000000 3f000200 ............?... + d0: 00002301 01fb0e0a 00010101 01000000 ..#............. + e0: 0100646f 75626c65 2d766563 746f722e ..double-vector. + f0: 53000000 00000005 02008e0f 20032e01 S........... ... + 100: 03080900 03010900 03000101 0000003f ...............? + 110: 00020000 00230101 fb0e0a00 01010101 .....#.......... + 120: 00000001 006b6572 6e656c2d 76656374 .....kernel-vect + 130: 6f722e53 00000000 00000502 008e0d40 or.S...........@ + 140: 032a0103 08090003 01090003 00010100 .*.............. + 150: 0001a600 02000000 a20101fb 0e0a0001 ................ + 160: 01010100 0000012f 6361642f 74656e73 ......./cad/tens + 170: 696c6963 612f746f 6f6c732f 52422d32 ilica/tools/RB-2 + 180: 3030372e 322f5874 44657654 6f6f6c73 007.2/XtDevTools + 190: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 1a0: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 1b0: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 1c0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 1d0: 656e7361 00007265 7365742d 76656374 ensa..reset-vect + 1e0: 6f722e53 00000000 63616368 65617474 or.S....cacheatt + 1f0: 7261736d 2e680001 00000000 0502008e rasm.h.......... + 200: 00000337 01031109 00200103 03090002 ...7..... ...... + 210: 01033409 00030103 01090003 01030409 ..4............. + 220: 00030104 02031c09 00030103 eb010900 ................ + 230: 0301038d 7f09001f 01031709 00130103 ................ + 240: dc000900 0f01034b 09001101 040103d5 .......K........ + 250: 7e09000c 01030109 00030103 01090002 ~............... + 260: 01030109 00020103 01090002 01030109 ................ + 270: 00020103 01090003 01030109 00030103 ................ + 280: 01090002 01030109 00020103 01090002 ................ + 290: 01030109 00020103 01090003 01030109 ................ + 2a0: 00050103 01090003 0103c400 09000301 ................ + 2b0: 03010900 03010301 09000301 030a0900 ................ + 2c0: 0301030f 09000301 03010900 02010304 ................ + 2d0: 09000301 03010900 03010301 09000301 ................ + 2e0: 03c10009 00020103 01090006 01031209 ................ + 2f0: 00060109 00030001 01000000 67000200 ............g... + 300: 00002101 01fb0e0a 00010101 01000000 ..!............. + 310: 01007573 65722d76 6563746f 722e5300 ..user-vector.S. + 320: 00000000 00050200 8e0e2003 18010301 .......... ..... + 330: 09000301 03010900 02010301 09000201 ................ + 340: 03010900 03010302 09000301 03010900 ................ + 350: 03010301 09000201 03010900 02010900 ................ + 360: 03000101 00000036 00020000 00200101 .......6..... .. + 370: fb0e0a00 01010101 00000001 006e6d69 .............nmi + 380: 2d766563 746f722e 53000000 00000005 -vector.S....... + 390: 02008e0c e4032701 09000300 01010000 ......'......... + 3a0: 01910002 00000024 0101fb0e 0a000101 .......$........ + 3b0: 01010000 00010077 696e646f 772d7665 .......window-ve + 3c0: 63746f72 732e5300 00000000 00050200 ctors.S......... + 3d0: 8e080003 e8000103 01090003 01030109 ................ + 3e0: 00030103 01090003 01030209 00030103 ................ + 3f0: 17090034 01030109 00030103 01090003 ...4............ + 400: 01030109 00030103 02090003 01031f09 ................ + 410: 00340103 01090003 01030109 00030103 .4.............. + 420: 01090003 01030109 00030103 01090003 ................ + 430: 01030109 00030103 01090003 01030109 ................ + 440: 00030103 02090003 01031f09 00250103 .............%.. + 450: 01090003 01030109 00030103 01090003 ................ + 460: 01030109 00030103 01090003 01030109 ................ + 470: 00030103 01090003 01030109 00030103 ................ + 480: 02090003 01032309 00250103 01090003 ......#..%...... + 490: 01030109 00030103 01090003 01030109 ................ + 4a0: 00030103 01090003 01030109 00030103 ................ + 4b0: 01090003 01030109 00030103 01090003 ................ + 4c0: 01030109 00030103 01090003 01030109 ................ + 4d0: 00030103 02090003 01032309 00190103 ..........#..... + 4e0: 01090003 01030109 00030103 01090003 ................ + 4f0: 01030109 00030103 01090003 01030109 ................ + 500: 00030103 01090003 01030109 00030103 ................ + 510: 01090003 01030109 00030103 01090003 ................ + 520: 01030109 00030103 02090003 01090003 ................ + 530: 00010100 00003c00 02000000 200101fb ......<..... ... + 540: 0e0a0001 01010100 00000100 696e742d ............int- + 550: 76656374 6f722e53 00000000 00000502 vector.S........ + 560: 008e0a20 03240103 01090003 01090003 ... .$.......... + 570: 00010100 00003c00 02000000 200101fb ......<..... ... + 580: 0e0a0001 01010100 00000100 696e742d ............int- + 590: 76656374 6f722e53 00000000 00000502 vector.S........ + 5a0: 008e0b20 03240103 01090003 01090003 ... .$.......... + 5b0: 00010100 0001e300 02000000 280101fb ............(... + 5c0: 0e0a0001 01010100 00000100 6578632d ............exc- + 5d0: 616c6c6f 63612d68 616e646c 65722e53 alloca-handler.S + 5e0: 00000000 00000502 008e102c 03e40001 ...........,.... + 5f0: 03010900 03010301 09000201 03070900 ................ + 600: 02010301 09000301 03010900 03010301 ................ + 610: 09000301 03010900 03010301 09000301 ................ + 620: 03010900 03010302 09000301 03080900 ................ + 630: 03010301 09000201 03010900 03010301 ................ + 640: 09000301 03010900 02010303 09000301 ................ + 650: 03010900 03010301 09000301 03060900 ................ + 660: 03010303 09000301 03010900 03010301 ................ + 670: 09000201 03030900 0301030d 09000301 ................ + 680: 03030900 05010301 09000401 03010900 ................ + 690: 04010301 09000401 03010900 04010301 ................ + 6a0: 09000401 03010900 04010301 09000401 ................ + 6b0: 03010900 04010301 09000401 03010900 ................ + 6c0: 04010301 09000401 03010900 04010301 ................ + 6d0: 09000401 03010900 04010301 09000401 ................ + 6e0: 03070900 02010301 09000301 03050900 ................ + 6f0: 02010302 09000301 03050900 03010305 ................ + 700: 09000301 03010900 03010301 09000301 ................ + 710: 03030900 03010301 09000301 03010900 ................ + 720: 03010301 09000301 03010900 02010301 ................ + 730: 09000201 03010900 03010301 09000301 ................ + 740: 03010900 02010305 09000201 03010900 ................ + 750: 03010301 09000301 03010900 03010301 ................ + 760: 09000301 03010900 03010301 09000301 ................ + 770: 03010900 03010310 09000301 03010900 ................ + 780: 02010301 09000201 03010900 02010301 ................ + 790: 09000201 09000300 01010000 01360002 .............6.. + 7a0: 00000029 0101fb0e 0a000101 01010000 ...)............ + 7b0: 00010065 78632d73 79736361 6c6c2d68 ...exc-syscall-h + 7c0: 616e646c 65722e53 00000000 00000502 andler.S........ + 7d0: 008e1104 03c90001 03060900 03010301 ................ + 7e0: 09000301 03010900 02010301 09000301 ................ + 7f0: 03010900 03010301 09000201 03010900 ................ + 800: 02010301 09000301 03010900 03010306 ................ + 810: 09000201 03010900 03010304 09000201 ................ + 820: 03070900 03010301 09000301 03010900 ................ + 830: 03010302 09000301 03010900 03010301 ................ + 840: 09000301 03020900 03010301 09000301 ................ + 850: 03010900 03010307 09000301 03060900 ................ + 860: 02010302 09000301 03010900 03010301 ................ + 870: 09000301 03010900 02010303 09000201 ................ + 880: 03010900 03010301 09000201 03010900 ................ + 890: 02010301 09000301 03010900 03010301 ................ + 8a0: 09000201 03010900 02010306 09000301 ................ + 8b0: 03020900 0301030b 09000201 03050900 ................ + 8c0: 02010301 09000201 03010900 03010900 ................ + 8d0: 03000101 00000100 00020000 002b0101 .............+.. + 8e0: fb0e0a00 01010101 00000001 00696e74 .............int + 8f0: 2d6c6f77 7072692d 64697370 61746368 -lowpri-dispatch + 900: 65722e53 00000000 00000502 008e1174 er.S...........t + 910: 03c40001 03100900 02010302 09000301 ................ + 920: 03010900 0301030a 09000301 03010900 ................ + 930: 020103c7 00090002 01030209 00030103 ................ + 940: 02090003 0103d300 09000301 03010900 ................ + 950: 03010308 09000301 03310900 0301031e .........1...... + 960: 09000301 03030900 02010302 09000301 ................ + 970: 03cc0109 00030103 0209000f 01030509 ................ + 980: 00030103 01090002 01030809 00020103 ................ + 990: 01090002 01030109 00020103 04090003 ................ + 9a0: 01030209 000f0103 ea000900 02010308 ................ + 9b0: 09000301 03010900 03010301 09000301 ................ + 9c0: 03010900 03010303 09000301 03030900 ................ + 9d0: 03010900 02000101 000002d4 00020000 ................ + 9e0: 02880101 fb0e0a00 01010101 00000001 ................ + 9f0: 2f666f6c 6b732f72 6873752f 70726f6a /folks/rhsu/proj + a00: 6563742f 7034762f 70657266 6f726365 ect/p4v/perforce + a10: 2f70305f 636f7265 2f70726f 6a656374 /p0_core/project + a20: 2f737263 2f726f6d 2f6f732f 7372632f /src/rom/os/src/ + a30: 78746f73 002f6361 642f7465 6e73696c xtos./cad/tensil + a40: 6963612f 746f6f6c 732f5242 2d323030 ica/tools/RB-200 + a50: 372e322f 58744465 76546f6f 6c732f69 7.2/XtDevTools/i + a60: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + a70: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + a80: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + a90: 656c662f 696e636c 7564652f 7874656e elf/include/xten + aa0: 73612f63 6f6e6669 67002f63 61642f74 sa/config./cad/t + ab0: 656e7369 6c696361 2f746f6f 6c732f52 ensilica/tools/R + ac0: 422d3230 30372e32 2f587444 6576546f B-2007.2/XtDevTo + ad0: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + ae0: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + af0: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + b00: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + b10: 2f787465 6e736100 2f747265 65732f62 /xtensa./trees/b + b20: 79616e67 2f4d6167 7069655f 50302f63 yang/Magpie_P0/c + b30: 61642f61 7468722f 69702f74 656e7369 ad/athr/ip/tensi + b40: 6c696361 2f6d6167 7069655f 76315f30 lica/magpie_v1_0 + b50: 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + b60: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + b70: 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + b80: 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + b90: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + ba0: 00696e74 2d736574 68616e64 6c65722e .int-sethandler. + bb0: 63000100 00636f72 652e6800 02000068 c....core.h....h + bc0: 616c2e68 00030000 636f7265 2d697361 al.h....core-isa + bd0: 2e680004 0000636f 72652d6d 61746d61 .h....core-matma + be0: 702e6800 04000074 69652e68 00040000 p.h....tie.h.... + bf0: 73706563 7265672e 68000400 00636f72 specreg.h....cor + c00: 65626974 732e6800 03000078 746f732d ebits.h....xtos- + c10: 696e7465 726e616c 2e680001 00007874 internal.h....xt + c20: 72756e74 696d652e 68000300 00787472 runtime.h....xtr + c30: 756e7469 6d652d66 72616d65 732e6800 untime-frames.h. + c40: 03000078 74656e73 612d7665 7273696f ...xtensa-versio + c50: 6e732e68 00030000 78746f73 2d706172 ns.h....xtos-par + c60: 616d732e 68000100 00000005 02008e11 ams.h........... + c70: e4031e01 03050900 03010302 09000501 ................ + c80: 03030900 0e010308 09000e01 03000900 ................ + c90: 10010300 09001001 03000900 04010308 ................ + ca0: 09000401 03010900 03010900 0d000101 ................ + cb0: 0000016d 00020000 002b0101 fb0e0a00 ...m.....+...... + cc0: 01010101 00000001 00696e74 2d6d6564 .........int-med + cd0: 7072692d 64697370 61746368 65722e53 pri-dispatcher.S + ce0: 00000000 00000502 008e1240 03340103 ...........@.4.. + cf0: 04090003 01030109 00030103 04090002 ................ + d00: 01030209 00030103 01090002 01030109 ................ + d10: 00020103 01090003 01031109 00030103 ................ + d20: 01090002 01030109 00030103 07090003 ................ + d30: 01032409 00030103 01090003 01030109 ..$............. + d40: 00030103 01090003 01030109 00030103 ................ + d50: 01090003 01030109 00030103 01090003 ................ + d60: 01030109 00020103 05090011 01030109 ................ + d70: 00030103 01090003 01030109 00030103 ................ + d80: 01090003 01030109 00080103 01090006 ................ + d90: 01030109 00030103 06090002 01030109 ................ + da0: 00030103 01090003 01030209 00030103 ................ + db0: 01090003 01030109 00030103 01090003 ................ + dc0: 01030109 00030103 01090003 01030609 ................ + dd0: 00030103 0109000f 01031909 00030103 ................ + de0: 01090003 01030109 00030103 01090003 ................ + df0: 01030109 00030103 06090003 01030209 ................ + e00: 00030103 02090002 01030109 00020103 ................ + e10: 01090002 01030109 00020109 00030001 ................ + e20: 01000001 67000200 00002b01 01fb0e0a ....g.....+..... + e30: 00010101 01000000 0100696e 742d6d65 ..........int-me + e40: 64707269 2d646973 70617463 6865722e dpri-dispatcher. + e50: 53000000 00000005 02008e12 f4033401 S.............4. + e60: 03040900 03010301 09000301 03040900 ................ + e70: 02010302 09000301 03010900 02010301 ................ + e80: 09000201 03010900 03010311 09000301 ................ + e90: 03010900 02010301 09000301 03070900 ................ + ea0: 03010324 09000301 03010900 03010301 ...$............ + eb0: 09000301 03010900 03010301 09000301 ................ + ec0: 03010900 03010301 09000301 03010900 ................ + ed0: 03010301 09000201 03050900 11010301 ................ + ee0: 09000301 03010900 03010301 09000301 ................ + ef0: 03010900 03010301 09000801 03010900 ................ + f00: 06010301 09000301 03060900 02010301 ................ + f10: 09000301 03010900 03010302 09000301 ................ + f20: 03010900 03010301 09000301 03010900 ................ + f30: 03010301 09000301 03010900 03010306 ................ + f40: 09000301 03010900 0f010319 09000301 ................ + f50: 03010900 03010301 09000301 03010900 ................ + f60: 03010301 09000301 03080900 03010302 ................ + f70: 09000201 03010900 02010301 09000201 ................ + f80: 03010900 02010900 03000101 00000048 ...............H + f90: 00020000 00250101 fb0e0a00 01010101 .....%.......... + fa0: 00000001 00696e74 65727275 70742d74 .....interrupt-t + fb0: 61626c65 2e530000 00000000 0502008e able.S.......... + fc0: 67e403e5 00010306 09000301 03070900 g............... + fd0: 03010900 02000101 00000051 00020000 ...........Q.... + fe0: 00230101 fb0e0a00 01010101 00000001 .#.............. + ff0: 00657863 2d756e68 616e646c 65642e53 .exc-unhandled.S + 1000: 00000000 00000502 008e67ec 03300103 ..........g..0.. + 1010: 01090002 01030209 00020103 02090003 ................ + 1020: 01030709 00030109 00030001 01000000 ................ + 1030: 6c000200 00002001 01fb0e0a 00010101 l..... ......... + 1040: 01000000 01006578 632d7265 7475726e ......exc-return + 1050: 2e530000 00000000 0502008e 67fc033c .S..........g..< + 1060: 01030109 00020103 01090002 01030909 ................ + 1070: 00030103 01090002 01030109 00020103 ................ + 1080: 0e090003 01030809 00030103 01090002 ................ + 1090: 01030209 00020109 00030001 01000015 ................ + 10a0: 1d000200 000da401 01fb0e0a 00010101 ................ + 10b0: 01000000 012f726f 6f742f57 6f726b73 ...../root/Works + 10c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 10d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 10e0: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie + 10f0: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu + 1100: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1110: 6f6d2f61 74686f73 2f737263 002f726f om/athos/src./ro + 1120: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1130: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1140: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 1150: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1160: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1170: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + 1180: 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ + 1190: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 11a0: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 11b0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 11c0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 11d0: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 11e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 11f0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 1200: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1210: 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o + 1220: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 1230: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 1240: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 1250: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 1260: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 1270: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 1280: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 1290: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 12a0: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 12b0: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 12c0: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 12d0: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 12e0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 12f0: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 1300: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 1310: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 1320: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 1330: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 1340: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1350: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 1360: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1370: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 1380: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1390: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 13a0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 13b0: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 13c0: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 13d0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 13e0: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 13f0: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 1400: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1410: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1420: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 1430: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 1440: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1450: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1460: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 1470: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 1480: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1490: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 14a0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 14b0: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 14c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 14d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 14e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14f0: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 1500: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1510: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1520: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 1530: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 1540: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 1550: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1560: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1570: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 1580: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + 1590: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15a0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 15b0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 15c0: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + 15d0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 15e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 15f0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1600: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + 1610: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + 1620: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1630: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1640: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 1650: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + 1660: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1670: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 1680: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 1690: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + 16a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 16b0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 16c0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 16d0: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + 16e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1700: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1710: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + 1720: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1730: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 1740: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + 1750: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1760: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 1770: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + 1780: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1790: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + 17a0: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 17b0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 17c0: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 17d0: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 17e0: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + 17f0: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + 1800: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1810: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1820: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + 1830: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + 1840: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1850: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 1860: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + 1870: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1880: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1890: 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 18a0: 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 18b0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18c0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18d0: 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 18e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 18f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1900: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 1910: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 1920: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1930: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 1940: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 1950: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1960: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 1970: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 1980: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1990: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 19a0: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 19b0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 19c0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 19d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 19e0: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 19f0: 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + 1a00: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 1a10: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 1a20: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 1a30: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 1a40: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 1a50: 612f7469 65002f72 6f6f742f 576f726b a/tie./root/Work + 1a60: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1a70: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1a80: 5f315f31 2f726f6d 2f667764 00006174 _1_1/rom/fwd..at + 1a90: 686f735f 6d61696e 2e630001 00007379 hos_main.c....sy + 1aa0: 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c + 1ab0: 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m + 1ac0: 656d2e68 00020000 6174686f 735f6170 em.h....athos_ap + 1ad0: 692e6800 0200006f 73617069 2e680004 i.h....osapi.h.. + 1ae0: 00004f54 55535f73 6f632e68 00050000 ..OTUS_soc.h.... + 1af0: 64745f64 6566732e 68000400 00636d6e dt_defs.h....cmn + 1b00: 6f735f61 70692e68 00060000 636f7265 os_api.h....core + 1b10: 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 1b20: 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 1b30: 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 1b40: 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 1b50: 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 1b60: 09000063 6f726562 6974732e 68000800 ...corebits.h... + 1b70: 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 1b80: 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 1b90: 65675f64 6566732e 68000300 00646267 eg_defs.h....dbg + 1ba0: 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 1bb0: 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 1bc0: 68000e00 00737472 696e675f 6170692e h....string_api. + 1bd0: 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 1be0: 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 1bf0: 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 1c00: 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 1c10: 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 1c20: 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 1c30: 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 1c40: 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 1c50: 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 1c60: 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 1c70: 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 1c80: 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 1c90: 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 1ca0: 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 1cb0: 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 1cc0: 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 1cd0: 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 1ce0: 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 1cf0: 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 1d00: 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 1d10: 73746461 72672e68 00040000 76612d78 stdarg.h....va-x + 1d20: 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 1d30: 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 1d40: 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 1d50: 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 1d60: 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 1d70: 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 1d80: 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M + 1d90: 61677069 655f6170 692e6800 02000075 agpie_api.h....u + 1da0: 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 1db0: 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 1dc0: 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 1dd0: 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 1de0: 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 1df0: 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 1e00: 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 1e10: 25000072 65676475 6d702e68 00020000 %..regdump.h.... + 1e20: 6d616770 69655f72 65676475 6d702e68 magpie_regdump.h + 1e30: 00020000 78745f63 6f72652e 68002600 ....xt_core.h.&. + 1e40: 00667764 2e680027 00000000 0502008e .fwd.h.'........ + 1e50: 13a403f6 00010302 09000301 031b0900 ................ + 1e60: 0a010311 09001001 03010900 0b010301 ................ + 1e70: 09000601 03030900 03010301 09000901 ................ + 1e80: 03020900 0f010367 09000501 03200900 .......g..... .. + 1e90: 03010308 09000301 03030900 03010301 ................ + 1ea0: 09000b01 03010900 05010305 09000601 ................ + 1eb0: 037d0900 0201037e 09000501 03010900 .}.....~........ + 1ec0: 02010304 09000201 037c0900 03010301 .........|...... + 1ed0: 09000201 03030900 02010304 09000301 ................ + 1ee0: 03070900 02010301 09000301 03040900 ................ + 1ef0: 03010305 09000201 037b0900 05010305 .........{...... + 1f00: 09000301 03080900 08010301 09000901 ................ + 1f10: 037b0900 02010310 09000901 03080900 .{.............. + 1f20: 03010302 09000301 03050900 06010303 ................ + 1f30: 09000301 03010900 03010304 09000201 ................ + 1f40: 03000900 03010302 09000201 03020900 ................ + 1f50: 03010306 09000401 03000900 03010305 ................ + 1f60: 09000301 03050900 09010301 09000b01 ................ + 1f70: 03010900 05010302 09000401 03030900 ................ + 1f80: 02010308 09000301 03010900 0c010301 ................ + 1f90: 09000801 03010900 06010301 09000601 ................ + 1fa0: 03050900 0801037f 09000301 03040900 ................ + 1fb0: 02010302 09000701 03040900 04010304 ................ + 1fc0: 09000301 03010900 0b010301 09000501 ................ + 1fd0: 03060900 0601037c 09000201 037e0900 .......|.....~.. + 1fe0: 05010301 09000201 03050900 0201037b ...............{ + 1ff0: 09000301 03010900 02010304 09000201 ................ + 2000: 03010900 03010300 09000301 03000900 ................ + 2010: 03010300 09000301 03050900 2a010308 ............*... + 2020: 09000701 03030900 060103e6 00090006 ................ + 2030: 01030009 00030103 03090003 01031209 ................ + 2040: 00070103 77090009 01030a09 00050103 ....w........... + 2050: 71090002 01030f09 00050103 7c090002 q...........|... + 2060: 01030409 00050103 04090003 01030909 ................ + 2070: 00030103 01090011 01030109 00030103 ................ + 2080: 09090005 01030109 00040103 01090005 ................ + 2090: 01037d09 00020103 0c09001b 01030609 ..}............. + 20a0: 00020103 01090002 01030109 00020103 ................ + 20b0: 01090002 01030209 00030103 7f090002 ................ + 20c0: 01030509 00020103 0109000b 01030109 ................ + 20d0: 00070103 01090007 01030109 00070103 ................ + 20e0: 01090007 01030109 00070103 01090007 ................ + 20f0: 01030109 00070103 0e090003 01030009 ................ + 2100: 00030103 01090003 01037f09 00030103 ................ + 2110: 01090002 01030109 00030103 05090002 ................ + 2120: 01030309 00030103 7d090005 01030309 ........}....... + 2130: 00030103 0209000f 01037e09 00050103 ..........~..... + 2140: 02090003 01030109 00020103 01090007 ................ + 2150: 01037e09 00020103 04090003 01030109 ..~............. + 2160: 00140103 7f090003 01030109 00030103 ................ + 2170: 03090003 01030109 00140103 02090003 ................ + 2180: 0103ec02 09000401 03000900 03010304 ................ + 2190: 09000301 03030900 09010301 09000601 ................ + 21a0: 03060900 09010302 09000c01 03020900 ................ + 21b0: 06010304 09000401 03000900 03010305 ................ + 21c0: 09000301 03080900 0c01037d 09000201 ...........}.... + 21d0: 03030900 0301037d 09000501 03030900 .......}........ + 21e0: 0c010301 09000301 03020900 09010303 ................ + 21f0: 09000401 03010900 0301037f 09000701 ................ + 2200: 03030900 03010301 09001701 03020900 ................ + 2210: 10010302 09000501 03010900 17010304 ................ + 2220: 09001201 03010900 0b010301 09000b01 ................ + 2230: 03010900 0b010301 09000b01 03010900 ................ + 2240: 0b010302 09000801 03040900 03010300 ................ + 2250: 09000301 03050900 0b010301 09000601 ................ + 2260: 03030900 09010301 09000901 03020900 ................ + 2270: 09010302 09000601 03010900 06010303 ................ + 2280: 09000601 03020900 14010301 09000901 ................ + 2290: 03020900 03010303 09001101 03010900 ................ + 22a0: 08010301 09000d01 03010900 10010302 ................ + 22b0: 09000c01 03050900 0b010301 09000901 ................ + 22c0: 03060900 0901037c 09000501 03020900 .......|........ + 22d0: 03010302 09000801 03050900 04010300 ................ + 22e0: 09000301 03010900 06010301 09001a01 ................ + 22f0: 03010900 0301037f 09000301 03010900 ................ + 2300: 06010307 09000301 037a0900 0601030e .........z...... + 2310: 09000401 03000900 03010301 09000901 ................ + 2320: 03010900 0301037f 09000301 03010900 ................ + 2330: 06010302 09000301 03010900 0801037e ...............~ + 2340: 09000601 030f0900 03010300 09000301 ................ + 2350: 03070900 0601037d 09000301 03030900 .......}........ + 2360: 05010303 09000f01 03010900 11010304 ................ + 2370: 09000301 03080900 03010302 09000301 ................ + 2380: 03010900 1d010302 09000601 03020900 ................ + 2390: 03010302 09000601 03030900 06010324 ...............$ + 23a0: 09000801 03d80009 00140103 01090011 ................ + 23b0: 01037f09 00060103 01090003 01030109 ................ + 23c0: 00030103 0b090003 01030109 00110103 ................ + 23d0: 7f090006 01030109 00030103 02090006 ................ + 23e0: 01030309 000a0103 02090011 01037e09 ..............~. + 23f0: 00060103 02090003 01030b09 00080103 ................ + 2400: 06090009 01030409 00030103 03090006 ................ + 2410: 01030109 000b0103 69090009 01031b09 ........i....... + 2420: 00120103 01090003 01030209 00110103 ................ + 2430: 7e090006 01030209 00030103 04090003 ~............... + 2440: 01030509 00140103 05090009 01030309 ................ + 2450: 00060103 05090006 01030409 00090103 ................ + 2460: 09090003 01030109 00110103 7f090002 ................ + 2470: 01030109 00030103 02090005 01030209 ................ + 2480: 000b0103 02090002 01030409 00160103 ................ + 2490: 03090006 01030309 00030103 03090003 ................ + 24a0: 01030209 00060103 01090014 0103c100 ................ + 24b0: 09000301 03420900 08010312 09000601 .....B.......... + 24c0: 03020900 03010301 09000c01 03010900 ................ + 24d0: 09010302 09000901 03060900 09010301 ................ + 24e0: 09000901 03010900 03010303 09000501 ................ + 24f0: 03090900 08010302 09000501 03100900 ................ + 2500: 03010375 09000201 03020900 03010301 ...u............ + 2510: 09001101 037f0900 06010301 09000301 ................ + 2520: 03030900 06010301 09000801 03020900 ................ + 2530: 08010346 09000301 03010900 0c010301 ...F............ + 2540: 09000901 03020900 09010302 09000901 ................ + 2550: 03020900 03010302 09000301 03010900 ................ + 2560: 06010331 09000301 03f27e09 00020103 ...1......~..... + 2570: 01090003 01030109 00110103 7f090006 ................ + 2580: 01030109 00030103 77090006 01030209 ........w....... + 2590: 00030103 9a010900 0d010300 09000301 ................ + 25a0: 03030900 03010301 09000b01 03030900 ................ + 25b0: 06010304 09000301 09000400 01010000 ................ + 25c0: 0d920002 00000ce0 0101fb0e 0a000101 ................ + 25d0: 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 25e0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 25f0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 2600: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 2610: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 2620: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 2630: 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + 2640: 616d2f73 7263002f 726f6f74 2f576f72 am/src./root/Wor + 2650: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 2660: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 2670: 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ + 2680: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 2690: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 26a0: 67706965 5f315f31 2f696e63 2f6d6167 gpie_1_1/inc/mag + 26b0: 70696500 2f726f6f 742f576f 726b7370 pie./root/Worksp + 26c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 26d0: 742f7461 72676574 2f696e63 002f726f t/target/inc./ro + 26e0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 26f0: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 2700: 742f696e 632f4f54 5553002f 726f6f74 t/inc/OTUS./root + 2710: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 2720: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 2730: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 2740: 6e6f732f 696e6300 2f6f7074 2f787465 nos/inc./opt/xte + 2750: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 2760: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 2770: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 2780: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 2790: 656c662f 696e636c 7564652f 7874656e elf/include/xten + 27a0: 73612f63 6f6e6669 67002f6f 70742f78 sa/config./opt/x + 27b0: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 27c0: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 27d0: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 27e0: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens + 27f0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 2800: 656e7361 002f6f70 742f7874 656e7361 ensa./opt/xtensa + 2810: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 2820: 616c6c2f 6275696c 64732f52 422d3230 all/builds/RB-20 + 2830: 30372e32 2d6c696e 75782f4d 61677069 07.2-linux/Magpi + 2840: 655f5030 2f787465 6e73612d 656c662f e_P0/xtensa-elf/ + 2850: 61726368 2f696e63 6c756465 2f787465 arch/include/xte + 2860: 6e73612f 636f6e66 6967002f 726f6f74 nsa/config./root + 2870: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 2880: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 2890: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 28a0: 6e6f732f 7072696e 74662f69 6e63002f nos/printf/inc./ + 28b0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 28c0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 28d0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 28e0: 6d2f636d 6e6f732f 75617274 2f696e63 m/cmnos/uart/inc + 28f0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 2900: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 2910: 61726765 742f636d 6e6f732f 6462672f arget/cmnos/dbg/ + 2920: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 2930: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 2940: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 2950: 5f312f72 6f6d2f63 6d6e6f73 2f6d656d _1/rom/cmnos/mem + 2960: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 2970: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 2980: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 2990: 315f312f 726f6d2f 636d6e6f 732f6d69 1_1/rom/cmnos/mi + 29a0: 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor + 29b0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 29c0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 29d0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 29e0: 73747269 6e672f69 6e63002f 726f6f74 string/inc./root + 29f0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 2a00: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 2a10: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 2a20: 6e6f732f 74696d65 722f696e 63002f72 nos/timer/inc./r + 2a30: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2a40: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2a50: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 2a60: 2f636d6e 6f732f72 6f6d7061 7463682f /cmnos/rompatch/ + 2a70: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 2a80: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 2a90: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 2aa0: 5f312f72 6f6d2f63 6d6e6f73 2f616c6c _1/rom/cmnos/all + 2ab0: 6f637261 6d2f696e 63002f72 6f6f742f ocram/inc./root/ + 2ac0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 2ad0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 2ae0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 2af0: 6f732f74 61736b6c 65742f69 6e63002f os/tasklet/inc./ + 2b00: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 2b10: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 2b20: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 2b30: 6d2f636d 6e6f732f 636c6f63 6b2f696e m/cmnos/clock/in + 2b40: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 2b50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 2b60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 2b70: 2f726f6d 2f636d6e 6f732f69 6e74722f /rom/cmnos/intr/ + 2b80: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 2b90: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 2ba0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 2bb0: 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt + 2bc0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 2bd0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 2be0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 2bf0: 315f312f 726f6d2f 636d6e6f 732f6565 1_1/rom/cmnos/ee + 2c00: 70726f6d 2f696e63 002f726f 6f742f57 prom/inc./root/W + 2c10: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 2c20: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 2c30: 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ + 2c40: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 2c50: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 2c60: 742f6164 662f696e 636c7564 652f6e62 t/adf/include/nb + 2c70: 7566002f 726f6f74 2f576f72 6b737061 uf./root/Workspa + 2c80: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 2c90: 2f616466 2f696e63 6c756465 2f6f7300 /adf/include/os. + 2ca0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 2cb0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 2cc0: 72676574 2f616466 2f6f732f 696e6300 rget/adf/os/inc. + 2cd0: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 2ce0: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 2cf0: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 2d00: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 2d10: 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc + 2d20: 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works + 2d30: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 2d40: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 2d50: 315f312f 726f6d2f 76627566 2f696e63 1_1/rom/vbuf/inc + 2d60: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 2d70: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 2d80: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 2d90: 726f6d2f 76646573 632f696e 63002f72 rom/vdesc/inc./r + 2da0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2db0: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 2dc0: 696e636c 7564652f 6e657400 2f726f6f include/net./roo + 2dd0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 2de0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 2df0: 6d616770 69655f31 5f312f72 6f6d2f61 magpie_1_1/rom/a + 2e00: 64662f6e 6275662f 696e6300 2f726f6f df/nbuf/inc./roo + 2e10: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 2e20: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 2e30: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 2e40: 74632f69 6e63002f 726f6f74 2f576f72 tc/inc./root/Wor + 2e50: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 2e60: 2f776c61 6e2f696e 636c7564 65002f72 /wlan/include./r + 2e70: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2e80: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 2e90: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 2ea0: 2f627566 5f706f6f 6c2f696e 63002f72 /buf_pool/inc./r + 2eb0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 2ec0: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 2ed0: 65742f77 6d692f69 6e63002f 726f6f74 et/wmi/inc./root + 2ee0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 2ef0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 2f00: 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm + 2f10: 615f656e 67696e65 2f696e63 0000636d a_engine/inc..cm + 2f20: 6e6f735f 616c6c6f 6372616d 2e630001 nos_allocram.c.. + 2f30: 00007379 735f6366 672e6800 02000072 ..sys_cfg.h....r + 2f40: 6f6d5f63 66672e68 00030000 6d616770 om_cfg.h....magp + 2f50: 69655f6d 656d2e68 00020000 6174686f ie_mem.h....atho + 2f60: 735f6170 692e6800 0200006f 73617069 s_api.h....osapi + 2f70: 2e680004 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 2f80: 00050000 64745f64 6566732e 68000400 ....dt_defs.h... + 2f90: 00636d6e 6f735f61 70692e68 00060000 .cmnos_api.h.... + 2fa0: 636f7265 2e680007 00006861 6c2e6800 core.h....hal.h. + 2fb0: 08000063 6f72652d 6973612e 68000900 ...core-isa.h... + 2fc0: 00636f72 652d6d61 746d6170 2e680009 .core-matmap.h.. + 2fd0: 00007469 652e6800 09000078 7472756e ..tie.h....xtrun + 2fe0: 74696d65 2e680008 00007370 65637265 time.h....specre + 2ff0: 672e6800 09000063 6f726562 6974732e g.h....corebits. + 3000: 68000800 00707269 6e74665f 6170692e h....printf_api. + 3010: 68000a00 00756172 745f6170 692e6800 h....uart_api.h. + 3020: 0b000072 65675f64 6566732e 68000300 ...reg_defs.h... + 3030: 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + 3040: 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + 3050: 6170692e 68000e00 00737472 696e675f api.h....string_ + 3060: 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + 3070: 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + 3080: 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + 3090: 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + 30a0: 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + 30b0: 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + 30c0: 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + 30d0: 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + 30e0: 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + 30f0: 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + 3100: 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + 3110: 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + 3120: 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + 3130: 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + 3140: 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + 3150: 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + 3160: 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + 3170: 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + 3180: 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + 3190: 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + 31a0: 001e0000 73746461 72672e68 00040000 ....stdarg.h.... + 31b0: 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + 31c0: 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + 31d0: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 31e0: 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + 31f0: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 3200: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 3210: 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + 3220: 1800004d 61677069 655f6170 692e6800 ...Magpie_api.h. + 3230: 02000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 3240: 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + 3250: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 3260: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 3270: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 3280: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 3290: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 32a0: 692e6800 25000000 00050200 8e1bcc03 i.h.%........... + 32b0: 1a010300 09000301 031e0900 0501037e ...............~ + 32c0: 09000201 03090900 0201030a 09000401 ................ + 32d0: 03000900 03010304 09000301 037d0900 .............}.. + 32e0: 07010303 09000201 03020900 0601037f ................ + 32f0: 09000301 03010900 05010307 09000201 ................ + 3300: 037b0900 05010302 09000901 03080900 .{.............. + 3310: 03010300 09000301 03010900 06010301 ................ + 3320: 09000b01 03010900 0b010304 09000501 ................ + 3330: 03000900 03010303 09000901 037f0900 ................ + 3340: 0201037f 09000201 03030900 02010900 ................ + 3350: 02000101 00000e17 00020000 0cda0101 ................ + 3360: fb0e0a00 01010101 00000001 2f726f6f ............/roo + 3370: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 3380: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 3390: 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 33a0: 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 33b0: 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 33c0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 33d0: 636c6f63 6b2f7372 63002f72 6f6f742f clock/src./root/ + 33e0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 33f0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 3400: 67706965 5f315f31 2f696e63 002f726f gpie_1_1/inc./ro + 3410: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 3420: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 3430: 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 3440: 6d616770 6965002f 726f6f74 2f576f72 magpie./root/Wor + 3450: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 3460: 2f746774 2f746172 6765742f 696e6300 /tgt/target/inc. + 3470: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 3480: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 3490: 72676574 2f696e63 2f4f5455 53002f72 rget/inc/OTUS./r + 34a0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 34b0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 34c0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 34d0: 2f636d6e 6f732f69 6e63002f 6f70742f /cmnos/inc./opt/ + 34e0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 34f0: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 3500: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 3510: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 3520: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 3530: 74656e73 612f636f 6e666967 002f6f70 tensa/config./op + 3540: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 3550: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 3560: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 3570: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 3580: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 3590: 2f787465 6e736100 2f6f7074 2f787465 /xtensa./opt/xte + 35a0: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 35b0: 6e737461 6c6c2f62 75696c64 732f5242 nstall/builds/RB + 35c0: 2d323030 372e322d 6c696e75 782f4d61 -2007.2-linux/Ma + 35d0: 67706965 5f50302f 7874656e 73612d65 gpie_P0/xtensa-e + 35e0: 6c662f61 7263682f 696e636c 7564652f lf/arch/include/ + 35f0: 7874656e 73612f63 6f6e6669 67002f72 xtensa/config./r + 3600: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 3610: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 3620: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 3630: 2f636d6e 6f732f70 72696e74 662f696e /cmnos/printf/in + 3640: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 3650: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 3660: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 3670: 2f726f6d 2f636d6e 6f732f75 6172742f /rom/cmnos/uart/ + 3680: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 3690: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 36a0: 742f7461 72676574 2f636d6e 6f732f64 t/target/cmnos/d + 36b0: 62672f69 6e63002f 726f6f74 2f576f72 bg/inc./root/Wor + 36c0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 36d0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 36e0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 36f0: 6d656d2f 696e6300 2f726f6f 742f576f mem/inc./root/Wo + 3700: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 3710: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 3720: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 3730: 2f6d6973 632f696e 63002f72 6f6f742f /misc/inc./root/ + 3740: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 3750: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 3760: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 3770: 6f732f73 7472696e 672f696e 63002f72 os/string/inc./r + 3780: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 3790: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 37a0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 37b0: 2f636d6e 6f732f74 696d6572 2f696e63 /cmnos/timer/inc + 37c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 37d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 37e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 37f0: 726f6d2f 636d6e6f 732f726f 6d706174 rom/cmnos/rompat + 3800: 63682f69 6e63002f 726f6f74 2f576f72 ch/inc./root/Wor + 3810: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 3820: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 3830: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 3840: 616c6c6f 6372616d 2f696e63 002f726f allocram/inc./ro + 3850: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 3860: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 3870: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 3880: 636d6e6f 732f7461 736b6c65 742f696e cmnos/tasklet/in + 3890: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 38a0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 38b0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 38c0: 2f726f6d 2f636d6e 6f732f63 6c6f636b /rom/cmnos/clock + 38d0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 38e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 38f0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 3900: 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in + 3910: 74722f69 6e63002f 726f6f74 2f576f72 tr/inc./root/Wor + 3920: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 3930: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 3940: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 3950: 7764742f 696e6300 2f726f6f 742f576f wdt/inc./root/Wo + 3960: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 3970: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 3980: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 3990: 2f656570 726f6d2f 696e6300 2f726f6f /eeprom/inc./roo + 39a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 39b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 39c0: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h + 39d0: 69662f69 6e63002f 726f6f74 2f576f72 if/inc./root/Wor + 39e0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 39f0: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 3a00: 2f6e6275 66002f72 6f6f742f 576f726b /nbuf./root/Work + 3a10: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 3a20: 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 3a30: 6f73002f 726f6f74 2f576f72 6b737061 os./root/Workspa + 3a40: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 3a50: 2f746172 6765742f 6164662f 6f732f69 /target/adf/os/i + 3a60: 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + 3a70: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 3a80: 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + 3a90: 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + 3aa0: 6f6c732f 6c69622f 7863632d 6c69622f ols/lib/xcc-lib/ + 3ab0: 696e636c 75646500 2f726f6f 742f576f include./root/Wo + 3ac0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 3ad0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 3ae0: 69655f31 5f312f72 6f6d2f76 6275662f ie_1_1/rom/vbuf/ + 3af0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 3b00: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 3b10: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 3b20: 5f312f72 6f6d2f76 64657363 2f696e63 _1/rom/vdesc/inc + 3b30: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 3b40: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 3b50: 64662f69 6e636c75 64652f6e 6574002f df/include/net./ + 3b60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 3b70: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 3b80: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 3b90: 6d2f6164 662f6e62 75662f69 6e63002f m/adf/nbuf/inc./ + 3ba0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 3bb0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 3bc0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 3bd0: 6d2f6874 632f696e 63002f72 6f6f742f m/htc/inc./root/ + 3be0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 3bf0: 3230322f 776c616e 2f696e63 6c756465 202/wlan/include + 3c00: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 3c10: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 3c20: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 3c30: 726f6d2f 6275665f 706f6f6c 2f696e63 rom/buf_pool/inc + 3c40: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 3c50: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 3c60: 61726765 742f776d 692f696e 63002f72 arget/wmi/inc./r + 3c70: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 3c80: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 3c90: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 3ca0: 2f646d61 5f656e67 696e652f 696e6300 /dma_engine/inc. + 3cb0: 00636d6e 6f735f63 6c6f636b 2e630001 .cmnos_clock.c.. + 3cc0: 00007379 735f6366 672e6800 02000072 ..sys_cfg.h....r + 3cd0: 6f6d5f63 66672e68 00030000 6d616770 om_cfg.h....magp + 3ce0: 69655f6d 656d2e68 00020000 6174686f ie_mem.h....atho + 3cf0: 735f6170 692e6800 0200006f 73617069 s_api.h....osapi + 3d00: 2e680004 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 3d10: 00050000 64745f64 6566732e 68000400 ....dt_defs.h... + 3d20: 00636d6e 6f735f61 70692e68 00060000 .cmnos_api.h.... + 3d30: 636f7265 2e680007 00006861 6c2e6800 core.h....hal.h. + 3d40: 08000063 6f72652d 6973612e 68000900 ...core-isa.h... + 3d50: 00636f72 652d6d61 746d6170 2e680009 .core-matmap.h.. + 3d60: 00007469 652e6800 09000078 7472756e ..tie.h....xtrun + 3d70: 74696d65 2e680008 00007370 65637265 time.h....specre + 3d80: 672e6800 09000063 6f726562 6974732e g.h....corebits. + 3d90: 68000800 00707269 6e74665f 6170692e h....printf_api. + 3da0: 68000a00 00756172 745f6170 692e6800 h....uart_api.h. + 3db0: 0b000072 65675f64 6566732e 68000300 ...reg_defs.h... + 3dc0: 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + 3dd0: 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + 3de0: 6170692e 68000e00 00737472 696e675f api.h....string_ + 3df0: 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + 3e00: 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + 3e10: 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + 3e20: 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + 3e30: 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + 3e40: 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + 3e50: 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + 3e60: 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + 3e70: 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + 3e80: 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + 3e90: 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + 3ea0: 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + 3eb0: 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + 3ec0: 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + 3ed0: 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + 3ee0: 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + 3ef0: 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + 3f00: 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + 3f10: 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + 3f20: 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + 3f30: 001e0000 73746461 72672e68 00040000 ....stdarg.h.... + 3f40: 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + 3f50: 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + 3f60: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + 3f70: 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + 3f80: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + 3f90: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + 3fa0: 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + 3fb0: 1800004d 61677069 655f6170 692e6800 ...Magpie_api.h. + 3fc0: 02000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + 3fd0: 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + 3fe0: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + 3ff0: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + 4000: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + 4010: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + 4020: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + 4030: 692e6800 25000000 00050200 8e1c4403 i.h.%.........D. + 4040: ec000103 00090003 01030409 00030103 ................ + 4050: 01090007 01030309 00080103 03090009 ................ + 4060: 01030909 00020103 0e090003 01030209 ................ + 4070: 00060103 09090007 01030009 00030103 ................ + 4080: 01090003 01030009 00020103 06090004 ................ + 4090: 01030b09 00030103 09090005 01030209 ................ + 40a0: 00030103 05090005 01030309 00030103 ................ + 40b0: 0a090005 01030309 00030103 04090005 ................ + 40c0: 01030309 00030103 04090005 01030709 ................ + 40d0: 00030103 06090012 01030409 00020103 ................ + 40e0: 7c090002 01030409 000a0103 76090003 |...........v... + 40f0: 01030c09 00090103 04090002 01030609 ................ + 4100: 00030103 09090003 01031009 00160103 ................ + 4110: 7d090002 01030309 00050103 02090004 }............... + 4120: 01030609 00040103 00090003 01030a09 ................ + 4130: 001b0103 7e090002 01037f09 00020103 ....~........... + 4140: 7f090002 01037f09 00020103 7f090002 ................ + 4150: 01037f09 00020103 7f090002 01037f09 ................ + 4160: 00020103 0a090002 01090002 00010100 ................ + 4170: 00101000 0200000c dc0101fb 0e0a0001 ................ + 4180: 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor + 4190: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 41a0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 41b0: 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp + 41c0: 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// + 41d0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 41e0: 2f726f6d 2f636d6e 6f732f65 6570726f /rom/cmnos/eepro + 41f0: 6d2f7372 63002f72 6f6f742f 576f726b m/src./root/Work + 4200: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 4210: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 4220: 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 4230: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 4240: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 4250: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 4260: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 4270: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 4280: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 4290: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 42a0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 42b0: 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 42c0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 42d0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 42e0: 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 42f0: 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten + 4300: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 4310: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 4320: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 4330: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 4340: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 4350: 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 4360: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 4370: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 4380: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 4390: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 43a0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 43b0: 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 43c0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 43d0: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 43e0: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 43f0: 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 4400: 7263682f 696e636c 7564652f 7874656e rch/include/xten + 4410: 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 4420: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 4430: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 4440: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 4450: 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 4460: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 4470: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 4480: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 4490: 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 44a0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 44b0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 44c0: 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 44d0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 44e0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 44f0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 4500: 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 4510: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 4520: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 4530: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 4540: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 4550: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 4560: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 4570: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 4580: 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 4590: 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 45a0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 45b0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 45c0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 45d0: 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 45e0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 45f0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 4600: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 4610: 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + 4620: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 4630: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 4640: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 4650: 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + 4660: 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + 4670: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 4680: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 4690: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 46a0: 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + 46b0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 46c0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 46d0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 46e0: 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + 46f0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 4700: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 4710: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 4720: 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + 4730: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 4740: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 4750: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 4760: 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + 4770: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 4780: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 4790: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 47a0: 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + 47b0: 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + 47c0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 47d0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 47e0: 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + 47f0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 4800: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 4810: 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + 4820: 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + 4830: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 4840: 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + 4850: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 4860: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 4870: 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + 4880: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 4890: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 48a0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 48b0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 48c0: 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + 48d0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 48e0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 48f0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 4900: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 4910: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 4920: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 4930: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 4940: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 4950: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 4960: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 4970: 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root + 4980: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 4990: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 49a0: 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad + 49b0: 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root + 49c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 49d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 49e0: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht + 49f0: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 4a00: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 4a10: 776c616e 2f696e63 6c756465 002f726f wlan/include./ro + 4a20: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 4a30: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 4a40: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 4a50: 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro + 4a60: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 4a70: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 4a80: 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ + 4a90: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 4aa0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 4ab0: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma + 4ac0: 5f656e67 696e652f 696e6300 00636d6e _engine/inc..cmn + 4ad0: 6f735f65 6570726f 6d2e6300 01000061 os_eeprom.c....a + 4ae0: 74686f73 5f617069 2e680002 00006f73 thos_api.h....os + 4af0: 6170692e 68000300 004f5455 535f736f api.h....OTUS_so + 4b00: 632e6800 04000064 745f6465 66732e68 c.h....dt_defs.h + 4b10: 00030000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. + 4b20: 05000073 79735f63 66672e68 00020000 ...sys_cfg.h.... + 4b30: 726f6d5f 6366672e 68000600 006d6167 rom_cfg.h....mag + 4b40: 7069655f 6d656d2e 68000200 00636f72 pie_mem.h....cor + 4b50: 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... + 4b60: 636f7265 2d697361 2e680009 0000636f core-isa.h....co + 4b70: 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t + 4b80: 69652e68 00090000 78747275 6e74696d ie.h....xtruntim + 4b90: 652e6800 08000073 70656372 65672e68 e.h....specreg.h + 4ba0: 00090000 636f7265 62697473 2e680008 ....corebits.h.. + 4bb0: 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. + 4bc0: 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... + 4bd0: 7265675f 64656673 2e680006 00006462 reg_defs.h....db + 4be0: 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + 4bf0: 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + 4c00: 2e68000e 00007374 72696e67 5f617069 .h....string_api + 4c10: 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + 4c20: 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + 4c30: 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 4c40: 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + 4c50: 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + 4c60: 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + 4c70: 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + 4c80: 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + 4c90: 7573625f 6170692e 68001800 00686966 usb_api.h....hif + 4ca0: 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + 4cb0: 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + 4cc0: 68001900 00616466 5f6f735f 7574696c h....adf_os_util + 4cd0: 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + 4ce0: 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + 4cf0: 735f7479 7065732e 68001a00 00616466 s_types.h....adf + 4d00: 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + 4d10: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 4d20: 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + 4d30: 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. + 4d40: 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... + 4d50: 00737464 6172672e 68000300 0076612d .stdarg.h....va- + 4d60: 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ + 4d70: 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ + 4d80: 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... + 4d90: 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + 4da0: 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + 4db0: 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. + 4dc0: 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... + 4dd0: 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... + 4de0: 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... + 4df0: 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht + 4e00: 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ + 4e10: 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc + 4e20: 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. + 4e30: 22000061 74686465 66732e68 00220000 "..athdefs.h.".. + 4e40: 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h + 4e50: 00250000 00000502 008e1d38 032c0103 .%.........8.,.. + 4e60: 00090003 01030c09 00080103 0109000f ................ + 4e70: 01030109 00060103 03090006 01030309 ................ + 4e80: 00090103 03090009 01030509 000f0103 ................ + 4e90: 0209000c 01030f09 00050103 00090003 ................ + 4ea0: 01030909 00150103 02090006 01030209 ................ + 4eb0: 00060103 01090003 01031209 00050103 ................ + 4ec0: 00090003 01030109 00030103 02090003 ................ + 4ed0: 01030209 000a0103 02090009 01030109 ................ + 4ee0: 00030103 0c090002 01037809 00020103 ..........x..... + 4ef0: 01090005 01030709 00020103 75090006 ............u... + 4f00: 01030109 00030103 0a090002 01030b09 ................ + 4f10: 00030103 00090003 01030909 00030103 ................ + 4f20: 0209000b 01030709 00080103 02090005 ................ + 4f30: 01030509 000e0103 01090009 01037809 ..............x. + 4f40: 000a0103 11090005 01037c09 00020103 ..........|..... + 4f50: 01090009 01030309 00020103 6a090002 ............j... + 4f60: 01030109 00090103 15090002 01030b09 ................ + 4f70: 00020103 00090003 01030809 00030103 ................ + 4f80: 02090008 01030709 00080103 02090003 ................ + 4f90: 01030409 00070103 7e090002 01037e09 ........~.....~. + 4fa0: 00020103 04090003 01037a09 00030103 ..........z..... + 4fb0: 08090003 01030609 00020103 7e090002 ............~... + 4fc0: 01030209 00020103 6d090005 01030109 ........m....... + 4fd0: 00090103 12090002 01031309 00050103 ................ + 4fe0: 00090003 01030209 00080103 01090008 ................ + 4ff0: 01030309 00030103 7d090003 01030509 ........}....... + 5000: 000a0103 0409001d 01030509 000c0103 ................ + 5010: 0d090014 01030409 00120103 0b090008 ................ + 5020: 01030109 00140103 01090012 01030309 ................ + 5030: 00050103 01090014 01030109 000f0103 ................ + 5040: 7f090006 01030109 00030103 0b090003 ................ + 5050: 01030109 00140103 0209000e 01037e09 ..............~. + 5060: 00050103 02090003 01030409 00030103 ................ + 5070: 0e090017 01030109 00140103 0109000e ................ + 5080: 01037f09 00030103 01090003 01030309 ................ + 5090: 00050103 0109000e 01037f09 00050103 ................ + 50a0: 01090003 01030309 00030103 01090017 ................ + 50b0: 01030a09 000f0103 0209000f 01030109 ................ + 50c0: 000b0103 02090008 01037b09 00080103 ..........{..... + 50d0: 09090003 01030209 000b0103 3209000f ............2... + 50e0: 01035209 00020103 01090017 01030109 ..R............. + 50f0: 000f0103 7f090005 01030109 00030103 ................ + 5100: 02090003 01030109 00170103 0109000f ................ + 5110: 01030809 00080103 0709000c 01030309 ................ + 5120: 00060103 02090003 01031409 00030103 ................ + 5130: 70090002 01030809 00050103 6d090009 p...........m... + 5140: 01030d09 00030103 01090005 01030d09 ................ + 5150: 00070103 02090005 01030009 00030103 ................ + 5160: 0409000c 01037f09 00020103 7f090002 ................ + 5170: 01037f09 00020103 04090002 01090002 ................ + 5180: 00010100 000e7d00 0200000c f90101fb ......}......... + 5190: 0e0a0001 01010100 0000012f 726f6f74 .........../root + 51a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 51b0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 51c0: 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + 51d0: 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + 51e0: 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + 51f0: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 5200: 6e74722f 73726300 2f726f6f 742f576f ntr/src./root/Wo + 5210: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 5220: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 5230: 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 5240: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 5250: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 5260: 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma + 5270: 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works + 5280: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 5290: 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 52a0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 52b0: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 52c0: 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo + 52d0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 52e0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 52f0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 5300: 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt + 5310: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 5320: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 5330: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 5340: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 5350: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 5360: 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 5370: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 5380: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 5390: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 53a0: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 53b0: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 53c0: 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 53d0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 53e0: 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 53f0: 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 5400: 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 5410: 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 5420: 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 5430: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5440: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5450: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 5460: 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 5470: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 5480: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 5490: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 54a0: 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 54b0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 54c0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 54d0: 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 54e0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 54f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 5500: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 5510: 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 5520: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 5530: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 5540: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 5550: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 5560: 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 5570: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 5580: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 5590: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 55a0: 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 55b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 55c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 55d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 55e0: 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 55f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 5600: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 5610: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 5620: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 5630: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 5640: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 5650: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 5660: 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 5670: 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 5680: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 5690: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 56a0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 56b0: 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 56c0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 56d0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 56e0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 56f0: 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 5700: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 5710: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 5720: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 5730: 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 5740: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 5750: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 5760: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 5770: 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 5780: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 5790: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 57a0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 57b0: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 57c0: 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 57d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 57e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 57f0: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 5800: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 5810: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 5820: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 5830: 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 5840: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 5850: 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 5860: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 5870: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 5880: 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 5890: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 58a0: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 58b0: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 58c0: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 58d0: 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 58e0: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 58f0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 5900: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 5910: 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 5920: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 5930: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 5940: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 5950: 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 5960: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 5970: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 5980: 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 5990: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 59a0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 59b0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 59c0: 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 59d0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 59e0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 59f0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 5a00: 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 5a10: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 5a20: 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 5a30: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 5a40: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 5a50: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 5a60: 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 5a70: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 5a80: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 5a90: 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 5aa0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 5ab0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 5ac0: 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 5ad0: 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c + 5ae0: 6d6e6f73 5f696e74 722e6300 01000073 mnos_intr.c....s + 5af0: 79735f63 66672e68 00020000 726f6d5f ys_cfg.h....rom_ + 5b00: 6366672e 68000300 006d6167 7069655f cfg.h....magpie_ + 5b10: 6d656d2e 68000200 00617468 6f735f61 mem.h....athos_a + 5b20: 70692e68 00020000 6f736170 692e6800 pi.h....osapi.h. + 5b30: 0400004f 5455535f 736f632e 68000500 ...OTUS_soc.h... + 5b40: 0064745f 64656673 2e680004 0000636d .dt_defs.h....cm + 5b50: 6e6f735f 6170692e 68000600 00636f72 nos_api.h....cor + 5b60: 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... + 5b70: 636f7265 2d697361 2e680009 0000636f core-isa.h....co + 5b80: 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t + 5b90: 69652e68 00090000 78747275 6e74696d ie.h....xtruntim + 5ba0: 652e6800 08000073 70656372 65672e68 e.h....specreg.h + 5bb0: 00090000 636f7265 62697473 2e680008 ....corebits.h.. + 5bc0: 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. + 5bd0: 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... + 5be0: 7265675f 64656673 2e680003 00006462 reg_defs.h....db + 5bf0: 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a + 5c00: 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api + 5c10: 2e68000e 00007374 72696e67 5f617069 .h....string_api + 5c20: 2e68000f 00007469 6d65725f 6170692e .h....timer_api. + 5c30: 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. + 5c40: 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. + 5c50: 68001200 00746173 6b6c6574 5f617069 h....tasklet_api + 5c60: 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. + 5c70: 68001400 00696e74 725f6170 692e6800 h....intr_api.h. + 5c80: 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... + 5c90: 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... + 5ca0: 7573625f 6170692e 68001800 00686966 usb_api.h....hif + 5cb0: 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap + 5cc0: 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. + 5cd0: 68001900 00616466 5f6f735f 7574696c h....adf_os_util + 5ce0: 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti + 5cf0: 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o + 5d00: 735f7479 7065732e 68001a00 00616466 s_types.h....adf + 5d10: 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. + 5d20: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p + 5d30: 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h + 5d40: 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. + 5d50: 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... + 5d60: 00737464 6172672e 68000400 0076612d .stdarg.h....va- + 5d70: 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ + 5d80: 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ + 5d90: 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... + 5da0: 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + 5db0: 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + 5dc0: 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. + 5dd0: 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... + 5de0: 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... + 5df0: 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... + 5e00: 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht + 5e10: 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ + 5e20: 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc + 5e30: 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. + 5e40: 22000061 74686465 66732e68 00220000 "..athdefs.h.".. + 5e50: 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h + 5e60: 00250000 72656764 756d702e 68000200 .%..regdump.h... + 5e70: 006d6167 7069655f 72656764 756d702e .magpie_regdump. + 5e80: 68000200 00000005 02008e21 20031d01 h..........! ... + 5e90: 03020900 0301030a 09000501 03000900 ................ + 5ea0: 03010304 09000301 03030900 06010301 ................ + 5eb0: 09000901 03030900 07010302 09000801 ................ + 5ec0: 03050900 04010300 09000301 03050900 ................ + 5ed0: 03010301 09000601 037f0900 03010301 ................ + 5ee0: 09000201 03030900 0301037e 09000301 ...........~.... + 5ef0: 03020900 1201037e 09000301 03020900 .......~........ + 5f00: 05010301 09000301 03010900 08010304 ................ + 5f10: 09000401 03000900 03010305 09000301 ................ + 5f20: 03010900 0601037f 09000301 03010900 ................ + 5f30: 02010305 09000301 037c0900 06010304 .........|...... + 5f40: 09000a01 037c0900 03010304 09000501 .....|.......... + 5f50: 03010900 03010301 09000801 03050900 ................ + 5f60: 05010300 09000301 03030900 03010300 ................ + 5f70: 09002001 03010900 05010300 09001b01 .. ............. + 5f80: 03020900 06010305 09000901 037d0900 .............}.. + 5f90: 03010301 09000501 03020900 02010301 ................ + 5fa0: 09000301 030d0900 02010300 09000301 ................ + 5fb0: 03010900 03010300 09002001 03010900 .......... ..... + 5fc0: 06010300 09002701 03040900 03010308 ......'......... + 5fd0: 09000a01 03000900 03010305 09000f01 ................ + 5fe0: 037f0900 0201037f 09000201 037f0900 ................ + 5ff0: 0201037f 09000201 030f0900 02010900 ................ + 6000: 02000101 00000d22 00020000 0cd60101 ......."........ + 6010: fb0e0a00 01010101 00000001 2f726f6f ............/roo + 6020: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 6030: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 6040: 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image + 6050: 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. + 6060: 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi + 6070: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 6080: 6d656d2f 73726300 2f726f6f 742f576f mem/src./root/Wo + 6090: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 60a0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 60b0: 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 60c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 60d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 60e0: 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma + 60f0: 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works + 6100: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 6110: 67742f74 61726765 742f696e 63002f72 gt/target/inc./r + 6120: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 6130: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 6140: 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo + 6150: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 6160: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 6170: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 6180: 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt + 6190: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 61a0: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 61b0: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 61c0: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 61d0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 61e0: 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 61f0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 6200: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 6210: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 6220: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 6230: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 6240: 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 6250: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 6260: 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 6270: 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 6280: 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 6290: 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 62a0: 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 62b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 62c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 62d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 62e0: 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 62f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 6300: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 6310: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 6320: 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 6330: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 6340: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 6350: 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 6360: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 6370: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 6380: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 6390: 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 63a0: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 63b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 63c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 63d0: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 63e0: 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 63f0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 6400: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 6410: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 6420: 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 6430: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 6440: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 6450: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 6460: 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 6470: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 6480: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 6490: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 64a0: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 64b0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 64c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 64d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 64e0: 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 64f0: 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 6500: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 6510: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 6520: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 6530: 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 6540: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 6550: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 6560: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 6570: 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 6580: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 6590: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 65a0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 65b0: 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 65c0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 65d0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 65e0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 65f0: 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 6600: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 6610: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 6620: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 6630: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 6640: 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 6650: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 6660: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 6670: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 6680: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 6690: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 66a0: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 66b0: 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 66c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 66d0: 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 66e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 66f0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 6700: 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 6710: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 6720: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 6730: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 6740: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 6750: 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 6760: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 6770: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 6780: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 6790: 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 67a0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 67b0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 67c0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 67d0: 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 67e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 67f0: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 6800: 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro + 6810: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 6820: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 6830: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 6840: 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro + 6850: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 6860: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 6870: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 6880: 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo + 6890: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 68a0: 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ + 68b0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 68c0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 68d0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 68e0: 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ + 68f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 6900: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 6910: 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo + 6920: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 6930: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 6940: 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d + 6950: 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c + 6960: 6d6e6f73 5f6d656d 2e630001 00007379 mnos_mem.c....sy + 6970: 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c + 6980: 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m + 6990: 656d2e68 00020000 6174686f 735f6170 em.h....athos_ap + 69a0: 692e6800 0200006f 73617069 2e680004 i.h....osapi.h.. + 69b0: 00004f54 55535f73 6f632e68 00050000 ..OTUS_soc.h.... + 69c0: 64745f64 6566732e 68000400 00636d6e dt_defs.h....cmn + 69d0: 6f735f61 70692e68 00060000 636f7265 os_api.h....core + 69e0: 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 69f0: 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 6a00: 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 6a10: 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 6a20: 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 6a30: 09000063 6f726562 6974732e 68000800 ...corebits.h... + 6a40: 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 6a50: 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 6a60: 65675f64 6566732e 68000300 00646267 eg_defs.h....dbg + 6a70: 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 6a80: 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 6a90: 68000e00 00737472 696e675f 6170692e h....string_api. + 6aa0: 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 6ab0: 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 6ac0: 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 6ad0: 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 6ae0: 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 6af0: 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 6b00: 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 6b10: 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 6b20: 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 6b30: 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 6b40: 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 6b50: 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 6b60: 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 6b70: 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 6b80: 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 6b90: 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 6ba0: 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 6bb0: 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 6bc0: 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 6bd0: 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 6be0: 73746461 72672e68 00040000 76612d78 stdarg.h....va-x + 6bf0: 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 6c00: 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 6c10: 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 6c20: 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 6c30: 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 6c40: 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 6c50: 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M + 6c60: 61677069 655f6170 692e6800 02000075 agpie_api.h....u + 6c70: 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 6c80: 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 6c90: 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 6ca0: 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 6cb0: 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 6cc0: 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 6cd0: 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 6ce0: 25000000 00050200 8e22b003 09010301 %........"...... + 6cf0: 09000301 030b0900 05010300 09000301 ................ + 6d00: 03050900 0f01037f 09000201 037f0900 ................ + 6d10: 0201037f 09000201 037f0900 02010305 ................ + 6d20: 09000201 09000200 01010000 0ea70002 ................ + 6d30: 00000cf9 0101fb0e 0a000101 01010000 ................ + 6d40: 00012f72 6f6f742f 576f726b 73706163 ../root/Workspac + 6d50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 6d60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 6d70: 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. + 6d80: 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build + 6d90: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 6da0: 636d6e6f 732f6d69 73632f73 7263002f cmnos/misc/src./ + 6db0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 6dc0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 6dd0: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 6de0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 6df0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 6e00: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 6e10: 2f696e63 2f6d6167 70696500 2f726f6f /inc/magpie./roo + 6e20: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 6e30: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 6e40: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 6e50: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 6e60: 67742f74 61726765 742f696e 632f4f54 gt/target/inc/OT + 6e70: 5553002f 726f6f74 2f576f72 6b737061 US./root/Workspa + 6e80: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 6e90: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 6ea0: 312f726f 6d2f636d 6e6f732f 696e6300 1/rom/cmnos/inc. + 6eb0: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 6ec0: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 6ed0: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 6ee0: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 6ef0: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 6f00: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 6f10: 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt + 6f20: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 6f30: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 6f40: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 6f50: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 6f60: 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op + 6f70: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 6f80: 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 6f90: 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 6fa0: 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 6fb0: 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc + 6fc0: 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 6fd0: 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa + 6fe0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 6ff0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 7000: 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin + 7010: 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor + 7020: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 7030: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 7040: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 7050: 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W + 7060: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 7070: 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm + 7080: 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo + 7090: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 70a0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 70b0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 70c0: 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro + 70d0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 70e0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 70f0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 7100: 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ + 7110: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 7120: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 7130: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 7140: 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i + 7150: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 7160: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 7170: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 7180: 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time + 7190: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 71a0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 71b0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 71c0: 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r + 71d0: 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo + 71e0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 71f0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 7200: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 7210: 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in + 7220: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 7230: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 7240: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 7250: 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl + 7260: 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 7270: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 7280: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 7290: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 72a0: 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ + 72b0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 72c0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 72d0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 72e0: 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo + 72f0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 7300: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 7310: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 7320: 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro + 7330: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 7340: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 7350: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 7360: 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc + 7370: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 7380: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 7390: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 73a0: 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo + 73b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 73c0: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 73d0: 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root + 73e0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 73f0: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 7400: 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo + 7410: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 7420: 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf + 7430: 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte + 7440: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 7450: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 7460: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 7470: 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc + 7480: 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro + 7490: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 74a0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 74b0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 74c0: 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 74d0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 74e0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 74f0: 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 7500: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 7510: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 7520: 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 7530: 6e657400 2f726f6f 742f576f 726b7370 net./root/Worksp + 7540: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 7550: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 7560: 5f312f72 6f6d2f61 64662f6e 6275662f _1/rom/adf/nbuf/ + 7570: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 7580: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 7590: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 75a0: 5f312f72 6f6d2f68 74632f69 6e63002f _1/rom/htc/inc./ + 75b0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 75c0: 3431325f 76323032 2f776c61 6e2f696e 412_v202/wlan/in + 75d0: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 75e0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 75f0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 7600: 5f315f31 2f726f6d 2f627566 5f706f6f _1_1/rom/buf_poo + 7610: 6c2f696e 63002f72 6f6f742f 576f726b l/inc./root/Work + 7620: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 7630: 7467742f 74617267 65742f77 6d692f69 tgt/target/wmi/i + 7640: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 7650: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 7660: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 7670: 312f726f 6d2f646d 615f656e 67696e65 1/rom/dma_engine + 7680: 2f696e63 0000636d 6e6f735f 6d697363 /inc..cmnos_misc + 7690: 2e630001 00007379 735f6366 672e6800 .c....sys_cfg.h. + 76a0: 02000072 6f6d5f63 66672e68 00030000 ...rom_cfg.h.... + 76b0: 6d616770 69655f6d 656d2e68 00020000 magpie_mem.h.... + 76c0: 6174686f 735f6170 692e6800 0200006f athos_api.h....o + 76d0: 73617069 2e680004 00004f54 55535f73 sapi.h....OTUS_s + 76e0: 6f632e68 00050000 64745f64 6566732e oc.h....dt_defs. + 76f0: 68000400 00636d6e 6f735f61 70692e68 h....cmnos_api.h + 7700: 00060000 636f7265 2e680007 00006861 ....core.h....ha + 7710: 6c2e6800 08000063 6f72652d 6973612e l.h....core-isa. + 7720: 68000900 00636f72 652d6d61 746d6170 h....core-matmap + 7730: 2e680009 00007469 652e6800 09000078 .h....tie.h....x + 7740: 7472756e 74696d65 2e680008 00007370 truntime.h....sp + 7750: 65637265 672e6800 09000063 6f726562 ecreg.h....coreb + 7760: 6974732e 68000800 00707269 6e74665f its.h....printf_ + 7770: 6170692e 68000a00 00756172 745f6170 api.h....uart_ap + 7780: 692e6800 0b000072 65675f64 6566732e i.h....reg_defs. + 7790: 68000300 00646267 5f617069 2e68000c h....dbg_api.h.. + 77a0: 00006d65 6d5f6170 692e6800 0d00006d ..mem_api.h....m + 77b0: 6973635f 6170692e 68000e00 00737472 isc_api.h....str + 77c0: 696e675f 6170692e 68000f00 0074696d ing_api.h....tim + 77d0: 65725f61 70692e68 00100000 726f6d70 er_api.h....romp + 77e0: 5f617069 2e680011 0000616c 6c6f6372 _api.h....allocr + 77f0: 616d5f61 70692e68 00120000 7461736b am_api.h....task + 7800: 6c65745f 6170692e 68001300 00636c6f let_api.h....clo + 7810: 636b5f61 70692e68 00140000 696e7472 ck_api.h....intr + 7820: 5f617069 2e680015 00007764 745f6170 _api.h....wdt_ap + 7830: 692e6800 16000065 6570726f 6d5f6170 i.h....eeprom_ap + 7840: 692e6800 17000075 73625f61 70692e68 i.h....usb_api.h + 7850: 00180000 6869665f 7063692e 68001800 ....hif_pci.h... + 7860: 00686966 5f617069 2e680018 00006164 .hif_api.h....ad + 7870: 665f6e62 75662e68 00190000 6164665f f_nbuf.h....adf_ + 7880: 6f735f75 74696c2e 68001a00 00616466 os_util.h....adf + 7890: 5f6f735f 7574696c 5f707674 2e68001b _os_util_pvt.h.. + 78a0: 00006164 665f6f73 5f747970 65732e68 ..adf_os_types.h + 78b0: 001a0000 6164665f 6f735f73 74647479 ....adf_os_stdty + 78c0: 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ + 78d0: 74797065 735f7076 742e6800 1b000073 types_pvt.h....s + 78e0: 74646465 662e6800 1c000076 6275665f tddef.h....vbuf_ + 78f0: 6170692e 68001d00 00766465 73635f61 api.h....vdesc_a + 7900: 70692e68 001e0000 73746461 72672e68 pi.h....stdarg.h + 7910: 00040000 76612d78 74656e73 612e6800 ....va-xtensa.h. + 7920: 1c000061 64665f6f 735f646d 612e6800 ...adf_os_dma.h. + 7930: 1a000061 64665f6f 735f646d 615f7076 ...adf_os_dma_pv + 7940: 742e6800 1b000061 64665f6e 65745f74 t.h....adf_net_t + 7950: 79706573 2e68001f 00006164 665f6e62 ypes.h....adf_nb + 7960: 75665f70 76742e68 00200000 646d615f uf_pvt.h. ..dma_ + 7970: 6c69622e 68001800 00686966 5f676d61 lib.h....hif_gma + 7980: 632e6800 1800004d 61677069 655f6170 c.h....Magpie_ap + 7990: 692e6800 02000075 73626669 666f5f61 i.h....usbfifo_a + 79a0: 70692e68 00180000 6874635f 6170692e pi.h....htc_api. + 79b0: 68002100 00687463 2e680022 00006275 h.!..htc.h."..bu + 79c0: 665f706f 6f6c5f61 70692e68 00230000 f_pool_api.h.#.. + 79d0: 776d695f 7376635f 6170692e 68002400 wmi_svc_api.h.$. + 79e0: 00776d69 2e680022 00006174 68646566 .wmi.h."..athdef + 79f0: 732e6800 22000064 6d615f65 6e67696e s.h."..dma_engin + 7a00: 655f6170 692e6800 25000072 65676475 e_api.h.%..regdu + 7a10: 6d702e68 00020000 6d616770 69655f72 mp.h....magpie_r + 7a20: 65676475 6d702e68 00020000 00000502 egdump.h........ + 7a30: 008e22d8 03140103 00090003 01030509 .."............. + 7a40: 00060103 01090006 01031109 00050103 ................ + 7a50: 05090003 01030909 00050103 00090003 ................ + 7a60: 01030209 00030103 0609000e 01037f09 ................ + 7a70: 00040103 01090005 01037f09 00030103 ................ + 7a80: 05090006 01030209 000a0103 7f090004 ................ + 7a90: 01030109 00040103 04090006 01030109 ................ + 7aa0: 00080103 02090008 01030b09 00060103 ................ + 7ab0: 00090003 01030109 00030103 03090008 ................ + 7ac0: 01030209 00020103 02090002 01030409 ................ + 7ad0: 000b0103 0509000c 01030309 000b0103 ................ + 7ae0: 01090009 01030109 00040103 01090005 ................ + 7af0: 01030209 00090103 0209000b 01037a09 ..............z. + 7b00: 00040103 07090003 01030909 00090103 ................ + 7b10: 07090002 01030209 00030103 07090005 ................ + 7b20: 01030209 00030103 07090005 01030409 ................ + 7b30: 00030103 06090011 01037d09 00090103 ..........}..... + 7b40: 0909000b 01030809 00080103 00090003 ................ + 7b50: 01030b09 00030103 0e090006 01037e09 ..............~. + 7b60: 00040103 02090006 01030e09 00030103 ................ + 7b70: 79090002 01030709 00020103 09090003 y............... + 7b80: 01030009 00030103 03090003 01030809 ................ + 7b90: 00060103 00090003 01030909 00180103 ................ + 7ba0: 7f090002 01037f09 00020103 7e090002 ............~... + 7bb0: 01037f09 00020103 7f090002 01037f09 ................ + 7bc0: 00020103 7f090002 01030909 00020109 ................ + 7bd0: 00020001 01000010 c3000200 000cdc01 ................ + 7be0: 01fb0e0a 00010101 01000000 012f726f ............./ro + 7bf0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 7c00: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 7c10: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag + 7c20: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. + 7c30: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp + 7c40: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 7c50: 2f707269 6e74662f 73726300 2f726f6f /printf/src./roo + 7c60: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 7c70: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 7c80: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 7c90: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 7ca0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 7cb0: 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo + 7cc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 7cd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 7ce0: 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 7cf0: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 7d00: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 7d10: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + 7d20: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 7d30: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 7d40: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 7d50: 6f6d2f63 6d6e6f73 2f696e63 002f6f70 om/cmnos/inc./op + 7d60: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 7d70: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 7d80: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 7d90: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + 7da0: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 7db0: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 7dc0: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 7dd0: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 7de0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 7df0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 7e00: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 7e10: 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x + 7e20: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 7e30: 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + 7e40: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 7e50: 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + 7e60: 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + 7e70: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 7e80: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 7e90: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 7ea0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 7eb0: 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ + 7ec0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 7ed0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 7ee0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 7ef0: 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar + 7f00: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 7f10: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 7f20: 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos + 7f30: 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W + 7f40: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 7f50: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 7f60: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 7f70: 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ + 7f80: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 7f90: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 7fa0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 7fb0: 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo + 7fc0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 7fd0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 7fe0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 7ff0: 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. + 8000: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 8010: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 8020: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 8030: 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i + 8040: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 8050: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 8060: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 8070: 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp + 8080: 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W + 8090: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 80a0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 80b0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 80c0: 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ + 80d0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 80e0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 80f0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 8100: 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ + 8110: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 8120: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 8130: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 8140: 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo + 8150: 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor + 8160: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 8170: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 8180: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 8190: 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W + 81a0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 81b0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 81c0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 81d0: 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ + 81e0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 81f0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 8200: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 8210: 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r + 8220: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 8230: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 8240: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 8250: 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W + 8260: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 8270: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + 8280: 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo + 8290: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 82a0: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 82b0: 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works + 82c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 82d0: 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os + 82e0: 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + 82f0: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 8300: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 8310: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 8320: 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li + 8330: 622f696e 636c7564 65002f72 6f6f742f b/include./root/ + 8340: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 8350: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 8360: 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + 8370: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 8380: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 8390: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 83a0: 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i + 83b0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 83c0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 83d0: 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net + 83e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 83f0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 8400: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 8410: 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc + 8420: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 8430: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 8440: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 8450: 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo + 8460: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 8470: 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu + 8480: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 8490: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 84a0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 84b0: 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i + 84c0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 84d0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 84e0: 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. + 84f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 8500: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 8510: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 8520: 6f6d2f64 6d615f65 6e67696e 652f696e om/dma_engine/in + 8530: 63000063 6d6e6f73 5f707269 6e74662e c..cmnos_printf. + 8540: 63000100 0064745f 64656673 2e680002 c....dt_defs.h.. + 8550: 00007379 735f6366 672e6800 03000072 ..sys_cfg.h....r + 8560: 6f6d5f63 66672e68 00040000 6d616770 om_cfg.h....magp + 8570: 69655f6d 656d2e68 00030000 6174686f ie_mem.h....atho + 8580: 735f6170 692e6800 0300006f 73617069 s_api.h....osapi + 8590: 2e680002 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 85a0: 00050000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. + 85b0: 06000063 6f72652e 68000700 0068616c ...core.h....hal + 85c0: 2e680008 0000636f 72652d69 73612e68 .h....core-isa.h + 85d0: 00090000 636f7265 2d6d6174 6d61702e ....core-matmap. + 85e0: 68000900 00746965 2e680009 00007874 h....tie.h....xt + 85f0: 72756e74 696d652e 68000800 00737065 runtime.h....spe + 8600: 63726567 2e680009 0000636f 72656269 creg.h....corebi + 8610: 74732e68 00080000 7072696e 74665f61 ts.h....printf_a + 8620: 70692e68 000a0000 75617274 5f617069 pi.h....uart_api + 8630: 2e68000b 00007265 675f6465 66732e68 .h....reg_defs.h + 8640: 00040000 6462675f 6170692e 68000c00 ....dbg_api.h... + 8650: 006d656d 5f617069 2e68000d 00006d69 .mem_api.h....mi + 8660: 73635f61 70692e68 000e0000 73747269 sc_api.h....stri + 8670: 6e675f61 70692e68 000f0000 74696d65 ng_api.h....time + 8680: 725f6170 692e6800 10000072 6f6d705f r_api.h....romp_ + 8690: 6170692e 68001100 00616c6c 6f637261 api.h....allocra + 86a0: 6d5f6170 692e6800 12000074 61736b6c m_api.h....taskl + 86b0: 65745f61 70692e68 00130000 636c6f63 et_api.h....cloc + 86c0: 6b5f6170 692e6800 14000069 6e74725f k_api.h....intr_ + 86d0: 6170692e 68001500 00776474 5f617069 api.h....wdt_api + 86e0: 2e680016 00006565 70726f6d 5f617069 .h....eeprom_api + 86f0: 2e680017 00007573 625f6170 692e6800 .h....usb_api.h. + 8700: 18000068 69665f70 63692e68 00180000 ...hif_pci.h.... + 8710: 6869665f 6170692e 68001800 00616466 hif_api.h....adf + 8720: 5f6e6275 662e6800 19000061 64665f6f _nbuf.h....adf_o + 8730: 735f7574 696c2e68 001a0000 6164665f s_util.h....adf_ + 8740: 6f735f75 74696c5f 7076742e 68001b00 os_util_pvt.h... + 8750: 00616466 5f6f735f 74797065 732e6800 .adf_os_types.h. + 8760: 1a000061 64665f6f 735f7374 64747970 ...adf_os_stdtyp + 8770: 65732e68 001a0000 6164665f 6f735f74 es.h....adf_os_t + 8780: 79706573 5f707674 2e68001b 00007374 ypes_pvt.h....st + 8790: 64646566 2e68001c 00007662 75665f61 ddef.h....vbuf_a + 87a0: 70692e68 001d0000 76646573 635f6170 pi.h....vdesc_ap + 87b0: 692e6800 1e000073 74646172 672e6800 i.h....stdarg.h. + 87c0: 02000076 612d7874 656e7361 2e68001c ...va-xtensa.h.. + 87d0: 00006164 665f6f73 5f646d61 2e68001a ..adf_os_dma.h.. + 87e0: 00006164 665f6f73 5f646d61 5f707674 ..adf_os_dma_pvt + 87f0: 2e68001b 00006164 665f6e65 745f7479 .h....adf_net_ty + 8800: 7065732e 68001f00 00616466 5f6e6275 pes.h....adf_nbu + 8810: 665f7076 742e6800 20000064 6d615f6c f_pvt.h. ..dma_l + 8820: 69622e68 00180000 6869665f 676d6163 ib.h....hif_gmac + 8830: 2e680018 00004d61 67706965 5f617069 .h....Magpie_api + 8840: 2e680003 00007573 62666966 6f5f6170 .h....usbfifo_ap + 8850: 692e6800 18000068 74635f61 70692e68 i.h....htc_api.h + 8860: 00210000 6874632e 68002200 00627566 .!..htc.h."..buf + 8870: 5f706f6f 6c5f6170 692e6800 23000077 _pool_api.h.#..w + 8880: 6d695f73 76635f61 70692e68 00240000 mi_svc_api.h.$.. + 8890: 776d692e 68002200 00617468 64656673 wmi.h."..athdefs + 88a0: 2e680022 0000646d 615f656e 67696e65 .h."..dma_engine + 88b0: 5f617069 2e680025 00000000 0502008e _api.h.%........ + 88c0: 2450033a 01030009 00030103 01090003 $P.:............ + 88d0: 01030109 00030103 01090008 01030509 ................ + 88e0: 00080103 7c090002 01030209 00050103 ....|........... + 88f0: 02090008 01030509 00040103 00090003 ................ + 8900: 01030709 00020103 0209000c 01030109 ................ + 8910: 00020103 01090007 01037f09 00020103 ................ + 8920: 01090005 01037f09 00020103 01090005 ................ + 8930: 01037e09 00050103 09090003 01037709 ..~...........w. + 8940: 00020103 05090002 01030109 000c0103 ................ + 8950: 7f09000d 01030409 00020103 01090003 ................ + 8960: 01030509 00020103 00090003 01030609 ................ + 8970: 00020103 7a090002 01030609 00080103 ....z........... + 8980: 0209000f 01030109 00080103 7f090002 ................ + 8990: 01030109 00030103 01090003 01030209 ................ + 89a0: 00030103 7e090002 01030209 00020103 ....~........... + 89b0: 01090003 0103af01 09000c01 03400900 .............@.. + 89c0: 08010301 09000c01 03010900 0e010301 ................ + 89d0: 09000201 038e7f09 00030103 03090004 ................ + 89e0: 01030209 00050103 0209000c 01030209 ................ + 89f0: 00040103 0109000d 01030109 000b0103 ................ + 8a00: 7f090005 01037f09 00020103 0f090012 ................ + 8a10: 01037509 00030103 01090005 01030109 ..u............. + 8a20: 00030103 7f090002 01030209 00020103 ................ + 8a30: 7f090003 01030109 00040103 02090006 ................ + 8a40: 01037e09 00050103 35090009 01035309 ..~.....5.....S. + 8a50: 00020103 02090003 01030409 00030103 ................ + 8a60: 7c090002 01030409 00080103 2709000e |...........'... + 8a70: 01036a09 00530103 0609000f 01037a09 ..j..S........z. + 8a80: 00050103 06090008 01030109 00060103 ................ + 8a90: 02090003 0103d200 09000801 03570900 .............W.. + 8aa0: 4e01037b 09001501 03010900 0e010368 N..{...........h + 8ab0: 09000301 03690900 02010301 09000301 .....i.......... + 8ac0: 03db0009 00170103 6b090036 01030909 ........k..6.... + 8ad0: 00020103 78090002 01037f09 00020103 ....x........... + 8ae0: 01090002 01030809 00030103 01090008 ................ + 8af0: 01030109 00080103 7f090002 01030109 ................ + 8b00: 00090103 63090002 01032809 00040103 ....c.....(..... + 8b10: 04090002 01037d09 00020103 7f090003 ......}......... + 8b20: 01030209 00030103 7f090002 01030509 ................ + 8b30: 00070103 01090007 01030109 00030103 ................ + 8b40: 0409000c 01030209 00020103 0209000b ................ + 8b50: 01030109 00070103 7e090002 01030509 ........~....... + 8b60: 000d0103 01090009 01030109 00030103 ................ + 8b70: 03090007 01030109 00050103 01090006 ................ + 8b80: 01037d09 00020103 0509000a 0103f67e ..}............~ + 8b90: 09001001 03120900 05010301 09000301 ................ + 8ba0: 03d90009 00160103 bf7f0900 15010301 ................ + 8bb0: 09000501 03020900 0601033e 09000b01 ...........>.... + 8bc0: 035c0900 05010324 09001401 037d0900 .\.....$.....}.. + 8bd0: 06010301 09000501 03010900 05010301 ................ + 8be0: 09000501 037a0900 05010301 09000501 .....z.......... + 8bf0: 03250900 03010301 09000501 037e0900 .%...........~.. + 8c00: 02010388 7f09000c 01033509 000d0103 ..........5..... + 8c10: 05090020 01031f09 00190103 65090005 ... ........e... + 8c20: 0103c400 09001701 03a57f09 00020103 ................ + 8c30: 5b09001a 01032c09 00230103 0109000e [.....,..#...... + 8c40: 01030b09 00030103 cc000900 08010300 ................ + 8c50: 09000301 03080900 0c010378 09000501 ...........x.... + 8c60: 03080900 10010307 09000b01 03050900 ................ + 8c70: 05010301 09000301 03030900 05010300 ................ + 8c80: 09000301 03020900 0601037f 09000201 ................ + 8c90: 03020900 02010900 02000101 00000e76 ...............v + 8ca0: 00020000 0ce00101 fb0e0a00 01010101 ................ + 8cb0: 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp + 8cc0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 8cd0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 8ce0: 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + 8cf0: 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + 8d00: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 8d10: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 8d20: 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + 8d30: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 8d40: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 8d50: 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo + 8d60: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 8d70: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 8d80: 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 8d90: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 8da0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 8db0: 74617267 65742f69 6e63002f 726f6f74 target/inc./root + 8dc0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 8dd0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 8de0: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 8df0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 8e00: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 8e10: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 8e20: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + 8e30: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 8e40: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 8e50: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 8e60: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 8e70: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 8e80: 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + 8e90: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 8ea0: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 8eb0: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 8ec0: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 8ed0: 656c662f 696e636c 7564652f 7874656e elf/include/xten + 8ee0: 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + 8ef0: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 8f00: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 8f10: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 8f20: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 8f30: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 8f40: 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + 8f50: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 8f60: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 8f70: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 8f80: 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + 8f90: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 8fa0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 8fb0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 8fc0: 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + 8fd0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 8fe0: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 8ff0: 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + 9000: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 9010: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 9020: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 9030: 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + 9040: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 9050: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 9060: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 9070: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 9080: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 9090: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 90a0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 90b0: 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + 90c0: 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + 90d0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 90e0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 90f0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 9100: 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + 9110: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 9120: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 9130: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 9140: 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + 9150: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 9160: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 9170: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 9180: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 9190: 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + 91a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 91b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 91c0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 91d0: 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + 91e0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 91f0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 9200: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 9210: 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + 9220: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 9230: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 9240: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 9250: 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + 9260: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 9270: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 9280: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 9290: 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + 92a0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 92b0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 92c0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 92d0: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 92e0: 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + 92f0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 9300: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 9310: 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + 9320: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 9330: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 9340: 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + 9350: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 9360: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 9370: 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + 9380: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 9390: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 93a0: 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o + 93b0: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 93c0: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 93d0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 93e0: 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l + 93f0: 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu + 9400: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 9410: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 9420: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 9430: 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ + 9440: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 9450: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 9460: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 9470: 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo + 9480: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 9490: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 94a0: 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ + 94b0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 94c0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 94d0: 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf + 94e0: 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ + 94f0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 9500: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 9510: 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc + 9520: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 9530: 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w + 9540: 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo + 9550: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 9560: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 9570: 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b + 9580: 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo + 9590: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 95a0: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 95b0: 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W + 95c0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 95d0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 95e0: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ + 95f0: 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno + 9600: 735f726f 6d706174 63682e63 00010000 s_rompatch.c.... + 9610: 7379735f 6366672e 68000200 00726f6d sys_cfg.h....rom + 9620: 5f636667 2e680003 00006d61 67706965 _cfg.h....magpie + 9630: 5f6d656d 2e680002 00006174 686f735f _mem.h....athos_ + 9640: 6170692e 68000200 006f7361 70692e68 api.h....osapi.h + 9650: 00040000 4f545553 5f736f63 2e680005 ....OTUS_soc.h.. + 9660: 00006474 5f646566 732e6800 04000063 ..dt_defs.h....c + 9670: 6d6e6f73 5f617069 2e680006 0000636f mnos_api.h....co + 9680: 72652e68 00070000 68616c2e 68000800 re.h....hal.h... + 9690: 00636f72 652d6973 612e6800 09000063 .core-isa.h....c + 96a0: 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... + 96b0: 7469652e 68000900 00787472 756e7469 tie.h....xtrunti + 96c0: 6d652e68 00080000 73706563 7265672e me.h....specreg. + 96d0: 68000900 00636f72 65626974 732e6800 h....corebits.h. + 96e0: 08000070 72696e74 665f6170 692e6800 ...printf_api.h. + 96f0: 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... + 9700: 00726567 5f646566 732e6800 03000064 .reg_defs.h....d + 9710: 62675f61 70692e68 000c0000 6d656d5f bg_api.h....mem_ + 9720: 6170692e 68000d00 006d6973 635f6170 api.h....misc_ap + 9730: 692e6800 0e000073 7472696e 675f6170 i.h....string_ap + 9740: 692e6800 0f000074 696d6572 5f617069 i.h....timer_api + 9750: 2e680010 0000726f 6d705f61 70692e68 .h....romp_api.h + 9760: 00110000 616c6c6f 6372616d 5f617069 ....allocram_api + 9770: 2e680012 00007461 736b6c65 745f6170 .h....tasklet_ap + 9780: 692e6800 13000063 6c6f636b 5f617069 i.h....clock_api + 9790: 2e680014 0000696e 74725f61 70692e68 .h....intr_api.h + 97a0: 00150000 7764745f 6170692e 68001600 ....wdt_api.h... + 97b0: 00656570 726f6d5f 6170692e 68001700 .eeprom_api.h... + 97c0: 00757362 5f617069 2e680018 00006869 .usb_api.h....hi + 97d0: 665f7063 692e6800 18000068 69665f61 f_pci.h....hif_a + 97e0: 70692e68 00180000 6164665f 6e627566 pi.h....adf_nbuf + 97f0: 2e680019 00006164 665f6f73 5f757469 .h....adf_os_uti + 9800: 6c2e6800 1a000061 64665f6f 735f7574 l.h....adf_os_ut + 9810: 696c5f70 76742e68 001b0000 6164665f il_pvt.h....adf_ + 9820: 6f735f74 79706573 2e68001a 00006164 os_types.h....ad + 9830: 665f6f73 5f737464 74797065 732e6800 f_os_stdtypes.h. + 9840: 1a000061 64665f6f 735f7479 7065735f ...adf_os_types_ + 9850: 7076742e 68001b00 00737464 6465662e pvt.h....stddef. + 9860: 68001c00 00766275 665f6170 692e6800 h....vbuf_api.h. + 9870: 1d000076 64657363 5f617069 2e68001e ...vdesc_api.h.. + 9880: 00007374 64617267 2e680004 00007661 ..stdarg.h....va + 9890: 2d787465 6e73612e 68001c00 00616466 -xtensa.h....adf + 98a0: 5f6f735f 646d612e 68001a00 00616466 _os_dma.h....adf + 98b0: 5f6f735f 646d615f 7076742e 68001b00 _os_dma_pvt.h... + 98c0: 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h + 98d0: 001f0000 6164665f 6e627566 5f707674 ....adf_nbuf_pvt + 98e0: 2e680020 0000646d 615f6c69 622e6800 .h. ..dma_lib.h. + 98f0: 18000068 69665f67 6d61632e 68001800 ...hif_gmac.h... + 9900: 004d6167 7069655f 6170692e 68000200 .Magpie_api.h... + 9910: 00757362 6669666f 5f617069 2e680018 .usbfifo_api.h.. + 9920: 00006874 635f6170 692e6800 21000068 ..htc_api.h.!..h + 9930: 74632e68 00220000 6275665f 706f6f6c tc.h."..buf_pool + 9940: 5f617069 2e680023 0000776d 695f7376 _api.h.#..wmi_sv + 9950: 635f6170 692e6800 24000077 6d692e68 c_api.h.$..wmi.h + 9960: 00220000 61746864 6566732e 68002200 ."..athdefs.h.". + 9970: 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. + 9980: 68002500 00000005 02008e29 64032301 h.%........)d.#. + 9990: 03000900 03010306 09000301 03010900 ................ + 99a0: 10010306 09000201 03000900 0301030b ................ + 99b0: 09000301 03010900 08010303 09000501 ................ + 99c0: 03010900 0b010310 09000201 03730900 .............s.. + 99d0: 02010302 09000801 03010900 0d010302 ................ + 99e0: 09000701 03010900 0a010301 09000601 ................ + 99f0: 03010900 0601037b 09000601 03060900 .......{........ + 9a00: 0301037a 09000501 03080900 03010302 ...z............ + 9a10: 09000201 030c0900 05010300 09000301 ................ + 9a20: 030f0900 0301037c 09000301 03040900 .......|........ + 9a30: 03010301 09000c01 037f0900 0a01030e ................ + 9a40: 09000401 037e0900 02010302 09000201 .....~.......... + 9a50: 03050900 08010311 09000401 03620900 .............b.. + 9a60: 0201031e 09000201 030c0900 02010300 ................ + 9a70: 09000301 030b0900 03010302 09000501 ................ + 9a80: 03090900 05010300 09000301 03180900 ................ + 9a90: 05010303 09000f01 03020900 0f010301 ................ + 9aa0: 09000301 037f0900 03010301 09000301 ................ + 9ab0: 03040900 08010305 09000801 03020900 ................ + 9ac0: 09010370 09000b01 031a0900 02010378 ...p...........x + 9ad0: 09000201 03080900 08010308 09000501 ................ + 9ae0: 03030900 03010304 09000501 03000900 ................ + 9af0: 03010304 09000c01 037f0900 0201037f ................ + 9b00: 09000201 037f0900 02010305 09000201 ................ + 9b10: 09000200 01010000 0d2e0002 00000cdc ................ + 9b20: 0101fb0e 0a000101 01010000 00012f72 ............../r + 9b30: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 9b40: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 9b50: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima + 9b60: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ + 9b70: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag + 9b80: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 9b90: 732f7374 72696e67 2f737263 002f726f s/string/src./ro + 9ba0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 9bb0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 9bc0: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 9bd0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 9be0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 9bf0: 72676574 2f696e63 002f726f 6f742f57 rget/inc./root/W + 9c00: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 9c10: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + 9c20: 632f4f54 5553002f 726f6f74 2f576f72 c/OTUS./root/Wor + 9c30: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 9c40: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 9c50: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 9c60: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 9c70: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 9c80: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 9c90: 5f312f69 6e632f6d 61677069 65002f6f _1/inc/magpie./o + 9ca0: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 9cb0: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 9cc0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 9cd0: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x + 9ce0: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ + 9cf0: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + 9d00: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 9d10: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 9d20: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 9d30: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 9d40: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 9d50: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ + 9d60: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 9d70: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds + 9d80: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + 9d90: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 9da0: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 9db0: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 9dc0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 9dd0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 9de0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 9df0: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf + 9e00: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 9e10: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 9e20: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 9e30: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua + 9e40: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor + 9e50: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 9e60: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno + 9e70: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ + 9e80: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 9e90: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 9ea0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 9eb0: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root + 9ec0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 9ed0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 9ee0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 9ef0: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro + 9f00: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 9f10: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 9f20: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 9f30: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc + 9f40: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 9f50: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 9f60: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 9f70: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ + 9f80: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 9f90: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 9fa0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 9fb0: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom + 9fc0: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ + 9fd0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 9fe0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 9ff0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + a000: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. + a010: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + a020: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + a030: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + a040: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet + a050: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + a060: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + a070: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + a080: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl + a090: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo + a0a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + a0b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + a0c0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + a0d0: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ + a0e0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + a0f0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + a100: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + a110: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root + a120: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + a130: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + a140: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + a150: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ + a160: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + a170: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + a180: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + a190: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ + a1a0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + a1b0: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + a1c0: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W + a1d0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + a1e0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + a1f0: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work + a200: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + a210: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o + a220: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens + a230: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + a240: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + a250: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + a260: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l + a270: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root + a280: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + a290: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + a2a0: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb + a2b0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + a2c0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + a2d0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + a2e0: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ + a2f0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + a300: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + a310: 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + a320: 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + a330: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + a340: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + a350: 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + a360: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + a370: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + a380: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + a390: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + a3a0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + a3b0: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + a3c0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + a3d0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + a3e0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + a3f0: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + a400: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + a410: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + a420: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + a430: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + a440: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + a450: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + a460: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + a470: 6e630000 636d6e6f 735f7374 72696e67 nc..cmnos_string + a480: 2e630001 00006174 686f735f 6170692e .c....athos_api. + a490: 68000200 006f7361 70692e68 00030000 h....osapi.h.... + a4a0: 4f545553 5f736f63 2e680004 00006474 OTUS_soc.h....dt + a4b0: 5f646566 732e6800 03000063 6d6e6f73 _defs.h....cmnos + a4c0: 5f617069 2e680005 00007379 735f6366 _api.h....sys_cf + a4d0: 672e6800 02000072 6f6d5f63 66672e68 g.h....rom_cfg.h + a4e0: 00060000 6d616770 69655f6d 656d2e68 ....magpie_mem.h + a4f0: 00020000 636f7265 2e680007 00006861 ....core.h....ha + a500: 6c2e6800 08000063 6f72652d 6973612e l.h....core-isa. + a510: 68000900 00636f72 652d6d61 746d6170 h....core-matmap + a520: 2e680009 00007469 652e6800 09000078 .h....tie.h....x + a530: 7472756e 74696d65 2e680008 00007370 truntime.h....sp + a540: 65637265 672e6800 09000063 6f726562 ecreg.h....coreb + a550: 6974732e 68000800 00707269 6e74665f its.h....printf_ + a560: 6170692e 68000a00 00756172 745f6170 api.h....uart_ap + a570: 692e6800 0b000072 65675f64 6566732e i.h....reg_defs. + a580: 68000600 00646267 5f617069 2e68000c h....dbg_api.h.. + a590: 00006d65 6d5f6170 692e6800 0d00006d ..mem_api.h....m + a5a0: 6973635f 6170692e 68000e00 00737472 isc_api.h....str + a5b0: 696e675f 6170692e 68000f00 0074696d ing_api.h....tim + a5c0: 65725f61 70692e68 00100000 726f6d70 er_api.h....romp + a5d0: 5f617069 2e680011 0000616c 6c6f6372 _api.h....allocr + a5e0: 616d5f61 70692e68 00120000 7461736b am_api.h....task + a5f0: 6c65745f 6170692e 68001300 00636c6f let_api.h....clo + a600: 636b5f61 70692e68 00140000 696e7472 ck_api.h....intr + a610: 5f617069 2e680015 00007764 745f6170 _api.h....wdt_ap + a620: 692e6800 16000065 6570726f 6d5f6170 i.h....eeprom_ap + a630: 692e6800 17000075 73625f61 70692e68 i.h....usb_api.h + a640: 00180000 6869665f 7063692e 68001800 ....hif_pci.h... + a650: 00686966 5f617069 2e680018 00006164 .hif_api.h....ad + a660: 665f6e62 75662e68 00190000 6164665f f_nbuf.h....adf_ + a670: 6f735f75 74696c2e 68001a00 00616466 os_util.h....adf + a680: 5f6f735f 7574696c 5f707674 2e68001b _os_util_pvt.h.. + a690: 00006164 665f6f73 5f747970 65732e68 ..adf_os_types.h + a6a0: 001a0000 6164665f 6f735f73 74647479 ....adf_os_stdty + a6b0: 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ + a6c0: 74797065 735f7076 742e6800 1b000073 types_pvt.h....s + a6d0: 74646465 662e6800 1c000076 6275665f tddef.h....vbuf_ + a6e0: 6170692e 68001d00 00766465 73635f61 api.h....vdesc_a + a6f0: 70692e68 001e0000 73746461 72672e68 pi.h....stdarg.h + a700: 00030000 76612d78 74656e73 612e6800 ....va-xtensa.h. + a710: 1c000061 64665f6f 735f646d 612e6800 ...adf_os_dma.h. + a720: 1a000061 64665f6f 735f646d 615f7076 ...adf_os_dma_pv + a730: 742e6800 1b000061 64665f6e 65745f74 t.h....adf_net_t + a740: 79706573 2e68001f 00006164 665f6e62 ypes.h....adf_nb + a750: 75665f70 76742e68 00200000 646d615f uf_pvt.h. ..dma_ + a760: 6c69622e 68001800 00686966 5f676d61 lib.h....hif_gma + a770: 632e6800 1800004d 61677069 655f6170 c.h....Magpie_ap + a780: 692e6800 02000075 73626669 666f5f61 i.h....usbfifo_a + a790: 70692e68 00180000 6874635f 6170692e pi.h....htc_api. + a7a0: 68002100 00687463 2e680022 00006275 h.!..htc.h."..bu + a7b0: 665f706f 6f6c5f61 70692e68 00230000 f_pool_api.h.#.. + a7c0: 776d695f 7376635f 6170692e 68002400 wmi_svc_api.h.$. + a7d0: 00776d69 2e680022 00006174 68646566 .wmi.h."..athdef + a7e0: 732e6800 22000064 6d615f65 6e67696e s.h."..dma_engin + a7f0: 655f6170 692e6800 25000000 00050200 e_api.h.%....... + a800: 8e2abc03 0c010301 09000301 03040900 .*.............. + a810: 05010300 09000301 03060900 1201037f ................ + a820: 09000201 037f0900 0201037f 09000201 ................ + a830: 037f0900 0201037f 09000201 03060900 ................ + a840: 02010900 02000101 00000e50 00020000 ...........P.... + a850: 0cde0101 fb0e0a00 01010101 00000001 ................ + a860: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + a870: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + a880: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + a890: 6d616765 2f6d6167 7069652f 2e2e2f2e mage/magpie/../. + a8a0: 2e2f2e2e 2f2e2e2f 2f627569 6c642f6d ./../..//build/m + a8b0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + a8c0: 6e6f732f 7461736b 6c65742f 73726300 nos/tasklet/src. + a8d0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + a8e0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + a8f0: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + a900: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + a910: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + a920: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + a930: 312f696e 632f6d61 67706965 002f726f 1/inc/magpie./ro + a940: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + a950: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + a960: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + a970: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + a980: 7467742f 74617267 65742f69 6e632f4f tgt/target/inc/O + a990: 54555300 2f726f6f 742f576f 726b7370 TUS./root/Worksp + a9a0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + a9b0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + a9c0: 5f312f72 6f6d2f63 6d6e6f73 2f696e63 _1/rom/cmnos/inc + a9d0: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + a9e0: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + a9f0: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + aa00: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + aa10: 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc + aa20: 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + aa30: 6967002f 6f70742f 7874656e 73612f58 ig./opt/xtensa/X + aa40: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + aa50: 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + aa60: 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + aa70: 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + aa80: 6e636c75 64652f78 74656e73 61002f6f nclude/xtensa./o + aa90: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + aaa0: 6f6f6c73 2f696e73 74616c6c 2f627569 ools/install/bui + aab0: 6c64732f 52422d32 3030372e 322d6c69 lds/RB-2007.2-li + aac0: 6e75782f 4d616770 69655f50 302f7874 nux/Magpie_P0/xt + aad0: 656e7361 2d656c66 2f617263 682f696e ensa-elf/arch/in + aae0: 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + aaf0: 66696700 2f726f6f 742f576f 726b7370 fig./root/Worksp + ab00: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + ab10: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + ab20: 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri + ab30: 6e74662f 696e6300 2f726f6f 742f576f ntf/inc./root/Wo + ab40: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + ab50: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + ab60: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + ab70: 2f756172 742f696e 63002f72 6f6f742f /uart/inc./root/ + ab80: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + ab90: 3230322f 7467742f 74617267 65742f63 202/tgt/target/c + aba0: 6d6e6f73 2f646267 2f696e63 002f726f mnos/dbg/inc./ro + abb0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + abc0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + abd0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + abe0: 636d6e6f 732f6d65 6d2f696e 63002f72 cmnos/mem/inc./r + abf0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + ac00: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + ac10: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + ac20: 2f636d6e 6f732f6d 6973632f 696e6300 /cmnos/misc/inc. + ac30: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + ac40: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + ac50: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + ac60: 6f6d2f63 6d6e6f73 2f737472 696e672f om/cmnos/string/ + ac70: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + ac80: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + ac90: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + aca0: 5f312f72 6f6d2f63 6d6e6f73 2f74696d _1/rom/cmnos/tim + acb0: 65722f69 6e63002f 726f6f74 2f576f72 er/inc./root/Wor + acc0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + acd0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + ace0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + acf0: 726f6d70 61746368 2f696e63 002f726f rompatch/inc./ro + ad00: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + ad10: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + ad20: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + ad30: 636d6e6f 732f616c 6c6f6372 616d2f69 cmnos/allocram/i + ad40: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + ad50: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + ad60: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + ad70: 312f726f 6d2f636d 6e6f732f 7461736b 1/rom/cmnos/task + ad80: 6c65742f 696e6300 2f726f6f 742f576f let/inc./root/Wo + ad90: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + ada0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + adb0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + adc0: 2f636c6f 636b2f69 6e63002f 726f6f74 /clock/inc./root + add0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + ade0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + adf0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + ae00: 6e6f732f 696e7472 2f696e63 002f726f nos/intr/inc./ro + ae10: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + ae20: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + ae30: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + ae40: 636d6e6f 732f7764 742f696e 63002f72 cmnos/wdt/inc./r + ae50: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + ae60: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + ae70: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + ae80: 2f636d6e 6f732f65 6570726f 6d2f696e /cmnos/eeprom/in + ae90: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + aea0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + aeb0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + aec0: 2f726f6d 2f686966 2f696e63 002f726f /rom/hif/inc./ro + aed0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + aee0: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + aef0: 6e636c75 64652f6e 62756600 2f726f6f nclude/nbuf./roo + af00: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + af10: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + af20: 636c7564 652f6f73 002f726f 6f742f57 clude/os./root/W + af30: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + af40: 30322f74 67742f74 61726765 742f6164 02/tgt/target/ad + af50: 662f6f73 2f696e63 002f6f70 742f7874 f/os/inc./opt/xt + af60: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + af70: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + af80: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + af90: 656e7361 546f6f6c 732f6c69 622f7863 ensaTools/lib/xc + afa0: 632d6c69 622f696e 636c7564 65002f72 c-lib/include./r + afb0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + afc0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + afd0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + afe0: 2f766275 662f696e 63002f72 6f6f742f /vbuf/inc./root/ + aff0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + b000: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + b010: 67706965 5f315f31 2f726f6d 2f766465 gpie_1_1/rom/vde + b020: 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor + b030: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + b040: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + b050: 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works + b060: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + b070: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + b080: 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf + b090: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + b0a0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + b0b0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + b0c0: 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. + b0d0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + b0e0: 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i + b0f0: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + b100: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + b110: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + b120: 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + b130: 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor + b140: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + b150: 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ + b160: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + b170: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + b180: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + b190: 5f312f72 6f6d2f64 6d615f65 6e67696e _1/rom/dma_engin + b1a0: 652f696e 63000063 6d6e6f73 5f746173 e/inc..cmnos_tas + b1b0: 6b6c6574 2e630001 00007379 735f6366 klet.c....sys_cf + b1c0: 672e6800 02000072 6f6d5f63 66672e68 g.h....rom_cfg.h + b1d0: 00030000 6d616770 69655f6d 656d2e68 ....magpie_mem.h + b1e0: 00020000 6174686f 735f6170 692e6800 ....athos_api.h. + b1f0: 0200006f 73617069 2e680004 00004f54 ...osapi.h....OT + b200: 55535f73 6f632e68 00050000 64745f64 US_soc.h....dt_d + b210: 6566732e 68000400 00636d6e 6f735f61 efs.h....cmnos_a + b220: 70692e68 00060000 636f7265 2e680007 pi.h....core.h.. + b230: 00006861 6c2e6800 08000063 6f72652d ..hal.h....core- + b240: 6973612e 68000900 00636f72 652d6d61 isa.h....core-ma + b250: 746d6170 2e680009 00007469 652e6800 tmap.h....tie.h. + b260: 09000078 7472756e 74696d65 2e680008 ...xtruntime.h.. + b270: 00007370 65637265 672e6800 09000063 ..specreg.h....c + b280: 6f726562 6974732e 68000800 00707269 orebits.h....pri + b290: 6e74665f 6170692e 68000a00 00756172 ntf_api.h....uar + b2a0: 745f6170 692e6800 0b000072 65675f64 t_api.h....reg_d + b2b0: 6566732e 68000300 00646267 5f617069 efs.h....dbg_api + b2c0: 2e68000c 00006d65 6d5f6170 692e6800 .h....mem_api.h. + b2d0: 0d00006d 6973635f 6170692e 68000e00 ...misc_api.h... + b2e0: 00737472 696e675f 6170692e 68000f00 .string_api.h... + b2f0: 0074696d 65725f61 70692e68 00100000 .timer_api.h.... + b300: 726f6d70 5f617069 2e680011 0000616c romp_api.h....al + b310: 6c6f6372 616d5f61 70692e68 00120000 locram_api.h.... + b320: 7461736b 6c65745f 6170692e 68001300 tasklet_api.h... + b330: 00636c6f 636b5f61 70692e68 00140000 .clock_api.h.... + b340: 696e7472 5f617069 2e680015 00007764 intr_api.h....wd + b350: 745f6170 692e6800 16000065 6570726f t_api.h....eepro + b360: 6d5f6170 692e6800 17000075 73625f61 m_api.h....usb_a + b370: 70692e68 00180000 6869665f 7063692e pi.h....hif_pci. + b380: 68001800 00686966 5f617069 2e680018 h....hif_api.h.. + b390: 00006164 665f6e62 75662e68 00190000 ..adf_nbuf.h.... + b3a0: 6164665f 6f735f75 74696c2e 68001a00 adf_os_util.h... + b3b0: 00616466 5f6f735f 7574696c 5f707674 .adf_os_util_pvt + b3c0: 2e68001b 00006164 665f6f73 5f747970 .h....adf_os_typ + b3d0: 65732e68 001a0000 6164665f 6f735f73 es.h....adf_os_s + b3e0: 74647479 7065732e 68001a00 00616466 tdtypes.h....adf + b3f0: 5f6f735f 74797065 735f7076 742e6800 _os_types_pvt.h. + b400: 1b000073 74646465 662e6800 1c000076 ...stddef.h....v + b410: 6275665f 6170692e 68001d00 00766465 buf_api.h....vde + b420: 73635f61 70692e68 001e0000 73746461 sc_api.h....stda + b430: 72672e68 00040000 76612d78 74656e73 rg.h....va-xtens + b440: 612e6800 1c000061 64665f6f 735f646d a.h....adf_os_dm + b450: 612e6800 1a000061 64665f6f 735f646d a.h....adf_os_dm + b460: 615f7076 742e6800 1b000061 64665f6e a_pvt.h....adf_n + b470: 65745f74 79706573 2e68001f 00006164 et_types.h....ad + b480: 665f6e62 75665f70 76742e68 00200000 f_nbuf_pvt.h. .. + b490: 646d615f 6c69622e 68001800 00686966 dma_lib.h....hif + b4a0: 5f676d61 632e6800 1800004d 61677069 _gmac.h....Magpi + b4b0: 655f6170 692e6800 02000075 73626669 e_api.h....usbfi + b4c0: 666f5f61 70692e68 00180000 6874635f fo_api.h....htc_ + b4d0: 6170692e 68002100 00687463 2e680022 api.h.!..htc.h." + b4e0: 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h + b4f0: 00230000 776d695f 7376635f 6170692e .#..wmi_svc_api. + b500: 68002400 00776d69 2e680022 00006174 h.$..wmi.h."..at + b510: 68646566 732e6800 22000064 6d615f65 hdefs.h."..dma_e + b520: 6e67696e 655f6170 692e6800 25000000 ngine_api.h.%... + b530: 00050200 8e2ae803 10010300 09000301 .....*.......... + b540: 03020900 03010300 09000201 03010900 ................ + b550: 02010304 09000201 03010900 0301037f ................ + b560: 09000201 03020900 02010301 09000201 ................ + b570: 03010900 02010301 09000201 03040900 ................ + b580: 03010301 09000301 03040900 0501037c ...............| + b590: 09000201 03050900 0301037f 09000201 ................ + b5a0: 03040900 02010303 09000601 03040900 ................ + b5b0: 05010304 09000301 03040900 08010301 ................ + b5c0: 09000201 03020900 0201030d 09000401 ................ + b5d0: 03720900 02010302 09000501 03080900 .r.............. + b5e0: 05010301 09000201 03740900 02010305 .........t...... + b5f0: 09000601 03030900 04010307 09000201 ................ + b600: 03040900 05010300 09000301 03030900 ................ + b610: 0301037d 09000201 03040900 02010304 ...}............ + b620: 09000401 03010900 0201037c 09000201 ...........|.... + b630: 03040900 0201037c 09000201 03010900 .......|........ + b640: 02010303 09000201 03010900 03010302 ................ + b650: 09000201 03780900 0201030b 09000301 .....x.......... + b660: 03010900 02010304 09000201 03000900 ................ + b670: 03010305 09000f01 037f0900 0201037f ................ + b680: 09000201 037f0900 0201037f 09000201 ................ + b690: 03050900 02010900 02000101 00000e28 ...............( + b6a0: 00020000 0cda0101 fb0e0a00 01010101 ................ + b6b0: 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp + b6c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + b6d0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + b6e0: 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ + b6f0: 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui + b700: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + b710: 6d2f636d 6e6f732f 74696d65 722f7372 m/cmnos/timer/sr + b720: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + b730: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + b740: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + b750: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + b760: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + b770: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + b780: 315f312f 696e632f 6d616770 6965002f 1_1/inc/magpie./ + b790: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + b7a0: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + b7b0: 6765742f 696e6300 2f726f6f 742f576f get/inc./root/Wo + b7c0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + b7d0: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + b7e0: 2f4f5455 53002f72 6f6f742f 576f726b /OTUS./root/Work + b7f0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + b800: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + b810: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + b820: 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + b830: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + b840: 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + b850: 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + b860: 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + b870: 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + b880: 6e666967 002f6f70 742f7874 656e7361 nfig./opt/xtensa + b890: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + b8a0: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + b8b0: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + b8c0: 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + b8d0: 2f696e63 6c756465 2f787465 6e736100 /include/xtensa. + b8e0: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + b8f0: 76546f6f 6c732f69 6e737461 6c6c2f62 vTools/install/b + b900: 75696c64 732f5242 2d323030 372e322d uilds/RB-2007.2- + b910: 6c696e75 782f4d61 67706965 5f50302f linux/Magpie_P0/ + b920: 7874656e 73612d65 6c662f61 7263682f xtensa-elf/arch/ + b930: 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + b940: 6f6e6669 67002f72 6f6f742f 576f726b onfig./root/Work + b950: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + b960: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + b970: 5f315f31 2f726f6d 2f636d6e 6f732f70 _1_1/rom/cmnos/p + b980: 72696e74 662f696e 63002f72 6f6f742f rintf/inc./root/ + b990: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + b9a0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + b9b0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + b9c0: 6f732f75 6172742f 696e6300 2f726f6f os/uart/inc./roo + b9d0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + b9e0: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + b9f0: 2f636d6e 6f732f64 62672f69 6e63002f /cmnos/dbg/inc./ + ba00: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + ba10: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + ba20: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + ba30: 6d2f636d 6e6f732f 6d656d2f 696e6300 m/cmnos/mem/inc. + ba40: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + ba50: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + ba60: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + ba70: 6f6d2f63 6d6e6f73 2f6d6973 632f696e om/cmnos/misc/in + ba80: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + ba90: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + baa0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + bab0: 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin + bac0: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + bad0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + bae0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + baf0: 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t + bb00: 696d6572 2f696e63 002f726f 6f742f57 imer/inc./root/W + bb10: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + bb20: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + bb30: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + bb40: 732f726f 6d706174 63682f69 6e63002f s/rompatch/inc./ + bb50: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + bb60: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + bb70: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + bb80: 6d2f636d 6e6f732f 616c6c6f 6372616d m/cmnos/allocram + bb90: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + bba0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + bbb0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + bbc0: 315f312f 726f6d2f 636d6e6f 732f7461 1_1/rom/cmnos/ta + bbd0: 736b6c65 742f696e 63002f72 6f6f742f sklet/inc./root/ + bbe0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + bbf0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + bc00: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + bc10: 6f732f63 6c6f636b 2f696e63 002f726f os/clock/inc./ro + bc20: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + bc30: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + bc40: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + bc50: 636d6e6f 732f696e 74722f69 6e63002f cmnos/intr/inc./ + bc60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + bc70: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + bc80: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + bc90: 6d2f636d 6e6f732f 7764742f 696e6300 m/cmnos/wdt/inc. + bca0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + bcb0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + bcc0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + bcd0: 6f6d2f63 6d6e6f73 2f656570 726f6d2f om/cmnos/eeprom/ + bce0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + bcf0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + bd00: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + bd10: 5f312f72 6f6d2f68 69662f69 6e63002f _1/rom/hif/inc./ + bd20: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + bd30: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + bd40: 2f696e63 6c756465 2f6e6275 66002f72 /include/nbuf./r + bd50: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + bd60: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + bd70: 696e636c 7564652f 6f73002f 726f6f74 include/os./root + bd80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + bd90: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + bda0: 6164662f 6f732f69 6e63002f 6f70742f adf/os/inc./opt/ + bdb0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + bdc0: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + bdd0: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + bde0: 5874656e 7361546f 6f6c732f 6c69622f XtensaTools/lib/ + bdf0: 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. + be00: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + be10: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + be20: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + be30: 6f6d2f76 6275662f 696e6300 2f726f6f om/vbuf/inc./roo + be40: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + be50: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + be60: 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + be70: 64657363 2f696e63 002f726f 6f742f57 desc/inc./root/W + be80: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + be90: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + bea0: 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor + beb0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + bec0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + bed0: 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb + bee0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + bef0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + bf00: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + bf10: 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in + bf20: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + bf30: 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan + bf40: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + bf50: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + bf60: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + bf70: 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ + bf80: 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W + bf90: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + bfa0: 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm + bfb0: 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work + bfc0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + bfd0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + bfe0: 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng + bff0: 696e652f 696e6300 00636d6e 6f735f74 ine/inc..cmnos_t + c000: 696d6572 2e630001 00007379 735f6366 imer.c....sys_cf + c010: 672e6800 02000072 6f6d5f63 66672e68 g.h....rom_cfg.h + c020: 00030000 6d616770 69655f6d 656d2e68 ....magpie_mem.h + c030: 00020000 6174686f 735f6170 692e6800 ....athos_api.h. + c040: 0200006f 73617069 2e680004 00004f54 ...osapi.h....OT + c050: 55535f73 6f632e68 00050000 64745f64 US_soc.h....dt_d + c060: 6566732e 68000400 00636d6e 6f735f61 efs.h....cmnos_a + c070: 70692e68 00060000 636f7265 2e680007 pi.h....core.h.. + c080: 00006861 6c2e6800 08000063 6f72652d ..hal.h....core- + c090: 6973612e 68000900 00636f72 652d6d61 isa.h....core-ma + c0a0: 746d6170 2e680009 00007469 652e6800 tmap.h....tie.h. + c0b0: 09000078 7472756e 74696d65 2e680008 ...xtruntime.h.. + c0c0: 00007370 65637265 672e6800 09000063 ..specreg.h....c + c0d0: 6f726562 6974732e 68000800 00707269 orebits.h....pri + c0e0: 6e74665f 6170692e 68000a00 00756172 ntf_api.h....uar + c0f0: 745f6170 692e6800 0b000072 65675f64 t_api.h....reg_d + c100: 6566732e 68000300 00646267 5f617069 efs.h....dbg_api + c110: 2e68000c 00006d65 6d5f6170 692e6800 .h....mem_api.h. + c120: 0d00006d 6973635f 6170692e 68000e00 ...misc_api.h... + c130: 00737472 696e675f 6170692e 68000f00 .string_api.h... + c140: 0074696d 65725f61 70692e68 00100000 .timer_api.h.... + c150: 726f6d70 5f617069 2e680011 0000616c romp_api.h....al + c160: 6c6f6372 616d5f61 70692e68 00120000 locram_api.h.... + c170: 7461736b 6c65745f 6170692e 68001300 tasklet_api.h... + c180: 00636c6f 636b5f61 70692e68 00140000 .clock_api.h.... + c190: 696e7472 5f617069 2e680015 00007764 intr_api.h....wd + c1a0: 745f6170 692e6800 16000065 6570726f t_api.h....eepro + c1b0: 6d5f6170 692e6800 17000075 73625f61 m_api.h....usb_a + c1c0: 70692e68 00180000 6869665f 7063692e pi.h....hif_pci. + c1d0: 68001800 00686966 5f617069 2e680018 h....hif_api.h.. + c1e0: 00006164 665f6e62 75662e68 00190000 ..adf_nbuf.h.... + c1f0: 6164665f 6f735f75 74696c2e 68001a00 adf_os_util.h... + c200: 00616466 5f6f735f 7574696c 5f707674 .adf_os_util_pvt + c210: 2e68001b 00006164 665f6f73 5f747970 .h....adf_os_typ + c220: 65732e68 001a0000 6164665f 6f735f73 es.h....adf_os_s + c230: 74647479 7065732e 68001a00 00616466 tdtypes.h....adf + c240: 5f6f735f 74797065 735f7076 742e6800 _os_types_pvt.h. + c250: 1b000073 74646465 662e6800 1c000076 ...stddef.h....v + c260: 6275665f 6170692e 68001d00 00766465 buf_api.h....vde + c270: 73635f61 70692e68 001e0000 73746461 sc_api.h....stda + c280: 72672e68 00040000 76612d78 74656e73 rg.h....va-xtens + c290: 612e6800 1c000061 64665f6f 735f646d a.h....adf_os_dm + c2a0: 612e6800 1a000061 64665f6f 735f646d a.h....adf_os_dm + c2b0: 615f7076 742e6800 1b000061 64665f6e a_pvt.h....adf_n + c2c0: 65745f74 79706573 2e68001f 00006164 et_types.h....ad + c2d0: 665f6e62 75665f70 76742e68 00200000 f_nbuf_pvt.h. .. + c2e0: 646d615f 6c69622e 68001800 00686966 dma_lib.h....hif + c2f0: 5f676d61 632e6800 1800004d 61677069 _gmac.h....Magpi + c300: 655f6170 692e6800 02000075 73626669 e_api.h....usbfi + c310: 666f5f61 70692e68 00180000 6874635f fo_api.h....htc_ + c320: 6170692e 68002100 00687463 2e680022 api.h.!..htc.h." + c330: 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h + c340: 00230000 776d695f 7376635f 6170692e .#..wmi_svc_api. + c350: 68002400 00776d69 2e680022 00006174 h.$..wmi.h."..at + c360: 68646566 732e6800 22000064 6d615f65 hdefs.h."..dma_e + c370: 6e67696e 655f6170 692e6800 25000000 ngine_api.h.%... + c380: 00050200 8e2b9c03 20010305 09000301 .....+.. ....... + c390: 037b0900 02010306 09000201 037d0900 .{...........}.. + c3a0: 02010301 09000201 03030900 02010306 ................ + c3b0: 09000301 030a0900 03010303 09000901 ................ + c3c0: 03020900 02010302 09000901 03020900 ................ + c3d0: 0801037c 09000401 03070900 10010301 ...|............ + c3e0: 09000201 03020900 04010306 09000201 ................ + c3f0: 03060900 09010300 09000301 03050900 ................ + c400: 0301030e 09000201 03740900 02010302 .........t...... + c410: 09000401 03030900 0301037b 09000401 ...........{.... + c420: 03090900 0a010301 09000401 03070900 ................ + c430: 02010301 09000201 03010900 02010305 ................ + c440: 09000701 03000900 03010301 09000301 ................ + c450: 03000900 02010301 09000201 03050900 ................ + c460: 02010300 09000301 03040900 05010305 ................ + c470: 09001101 037e0900 04010301 09000401 .....~.......... + c480: 03010900 0201037b 09000501 03070900 .......{........ + c490: 03010305 09000501 03000900 03010305 ................ + c4a0: 09000f01 037f0900 0201037f 09000201 ................ + c4b0: 037f0900 0201037f 09000201 03050900 ................ + c4c0: 02010900 02000101 00000ea2 00020000 ................ + c4d0: 0cd60101 fb0e0a00 01010101 00000001 ................ + c4e0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + c4f0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + c500: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i + c510: 6d616765 2f6d6167 7069652f 2e2e2f2e mage/magpie/../. + c520: 2e2f2e2e 2f2e2e2f 2f627569 6c642f6d ./../..//build/m + c530: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + c540: 6e6f732f 7764742f 73726300 2f726f6f nos/wdt/src./roo + c550: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + c560: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + c570: 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + c580: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + c590: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + c5a0: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + c5b0: 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W + c5c0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + c5d0: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + c5e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + c5f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + c600: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + c610: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + c620: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + c630: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + c640: 6f6d2f63 6d6e6f73 2f696e63 002f6f70 om/cmnos/inc./op + c650: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + c660: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + c670: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + c680: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt + c690: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + c6a0: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + c6b0: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + c6c0: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + c6d0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + c6e0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + c6f0: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + c700: 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x + c710: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + c720: 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ + c730: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + c740: 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa + c750: 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ + c760: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. + c770: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + c780: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + c790: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + c7a0: 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ + c7b0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + c7c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + c7d0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + c7e0: 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar + c7f0: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + c800: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + c810: 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos + c820: 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W + c830: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + c840: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + c850: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + c860: 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ + c870: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + c880: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + c890: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + c8a0: 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo + c8b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + c8c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + c8d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + c8e0: 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. + c8f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + c900: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + c910: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + c920: 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i + c930: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + c940: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + c950: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + c960: 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp + c970: 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W + c980: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + c990: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + c9a0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + c9b0: 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ + c9c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + c9d0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + c9e0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + c9f0: 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ + ca00: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + ca10: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + ca20: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + ca30: 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo + ca40: 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor + ca50: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + ca60: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + ca70: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + ca80: 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W + ca90: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + caa0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + cab0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + cac0: 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ + cad0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + cae0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + caf0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + cb00: 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r + cb10: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + cb20: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + cb30: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + cb40: 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W + cb50: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + cb60: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + cb70: 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo + cb80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + cb90: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + cba0: 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works + cbb0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + cbc0: 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os + cbd0: 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + cbe0: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + cbf0: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + cc00: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + cc10: 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li + cc20: 622f696e 636c7564 65002f72 6f6f742f b/include./root/ + cc30: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + cc40: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + cc50: 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu + cc60: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + cc70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + cc80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + cc90: 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i + cca0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + ccb0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + ccc0: 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net + ccd0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + cce0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + ccf0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + cd00: 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc + cd10: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + cd20: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + cd30: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + cd40: 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo + cd50: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + cd60: 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu + cd70: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + cd80: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + cd90: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + cda0: 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i + cdb0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + cdc0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + cdd0: 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. + cde0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + cdf0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + ce00: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + ce10: 6f6d2f64 6d615f65 6e67696e 652f696e om/dma_engine/in + ce20: 63000063 6d6e6f73 5f776474 2e630001 c..cmnos_wdt.c.. + ce30: 00007379 735f6366 672e6800 02000072 ..sys_cfg.h....r + ce40: 6f6d5f63 66672e68 00030000 6d616770 om_cfg.h....magp + ce50: 69655f6d 656d2e68 00020000 6174686f ie_mem.h....atho + ce60: 735f6170 692e6800 0200006f 73617069 s_api.h....osapi + ce70: 2e680004 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + ce80: 00050000 64745f64 6566732e 68000400 ....dt_defs.h... + ce90: 00636d6e 6f735f61 70692e68 00060000 .cmnos_api.h.... + cea0: 636f7265 2e680007 00006861 6c2e6800 core.h....hal.h. + ceb0: 08000063 6f72652d 6973612e 68000900 ...core-isa.h... + cec0: 00636f72 652d6d61 746d6170 2e680009 .core-matmap.h.. + ced0: 00007469 652e6800 09000078 7472756e ..tie.h....xtrun + cee0: 74696d65 2e680008 00007370 65637265 time.h....specre + cef0: 672e6800 09000063 6f726562 6974732e g.h....corebits. + cf00: 68000800 00707269 6e74665f 6170692e h....printf_api. + cf10: 68000a00 00756172 745f6170 692e6800 h....uart_api.h. + cf20: 0b000072 65675f64 6566732e 68000300 ...reg_defs.h... + cf30: 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me + cf40: 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ + cf50: 6170692e 68000e00 00737472 696e675f api.h....string_ + cf60: 6170692e 68000f00 0074696d 65725f61 api.h....timer_a + cf70: 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api + cf80: 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a + cf90: 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ + cfa0: 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a + cfb0: 70692e68 00140000 696e7472 5f617069 pi.h....intr_api + cfc0: 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. + cfd0: 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. + cfe0: 17000075 73625f61 70692e68 00180000 ...usb_api.h.... + cff0: 6869665f 7063692e 68001800 00686966 hif_pci.h....hif + d000: 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb + d010: 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u + d020: 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ + d030: 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad + d040: 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... + d050: 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. + d060: 68001a00 00616466 5f6f735f 74797065 h....adf_os_type + d070: 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde + d080: 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. + d090: 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h + d0a0: 001e0000 73746461 72672e68 00040000 ....stdarg.h.... + d0b0: 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a + d0c0: 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a + d0d0: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. + d0e0: 1b000061 64665f6e 65745f74 79706573 ...adf_net_types + d0f0: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p + d100: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. + d110: 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. + d120: 1800004d 61677069 655f6170 692e6800 ...Magpie_api.h. + d130: 02000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + d140: 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. + d150: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + d160: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + d170: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + d180: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + d190: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + d1a0: 692e6800 25000000 00050200 8e2c7c03 i.h.%........,|. + d1b0: 16010300 09000301 03090900 06010302 ................ + d1c0: 09000c01 03030900 0601037e 09000201 ...........~.... + d1d0: 037f0900 02010303 09000601 037c0900 .............|.. + d1e0: 02010304 09000201 03120900 03010300 ................ + d1f0: 09000301 03010900 03010301 09000801 ................ + d200: 03010900 09010309 09000501 03000900 ................ + d210: 03010311 09000601 037b0900 06010302 .........{...... + d220: 09000301 03010900 02010303 09000601 ................ + d230: 03740900 04010301 09000201 030b0900 .t.............. + d240: 06010308 09000201 03000900 03010302 ................ + d250: 09000301 03040900 0201037e 09000201 ...........~.... + d260: 03010900 0201037f 09000401 03020900 ................ + d270: 0201037c 09000201 03040900 03010302 ...|............ + d280: 09000501 03070900 04010300 09000301 ................ + d290: 03070900 0301037e 09000201 037b0900 .......~.....{.. + d2a0: 02010306 09000201 037f0900 02010302 ................ + d2b0: 09000201 037c0900 02010304 09000301 .....|.......... + d2c0: 03030900 05010308 09000201 03000900 ................ + d2d0: 03010304 09000801 03010900 03010301 ................ + d2e0: 09000201 03030900 02010304 09000301 ................ + d2f0: 037e0900 02010301 09000201 037f0900 .~.............. + d300: 04010302 09000201 03010900 07010308 ................ + d310: 09000201 03000900 03010302 09000301 ................ + d320: 03020900 06010303 09000a01 03040900 ................ + d330: 02010300 09000301 03070900 1501037f ................ + d340: 09000201 037f0900 0201037f 09000201 ................ + d350: 037f0900 0201037f 09000201 037f0900 ................ + d360: 02010307 09000201 09000200 01010000 ................ + d370: 0efc0002 00000cd6 0101fb0e 0a000101 ................ + d380: 01010000 00012f72 6f6f742f 576f726b ....../root/Work + d390: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + d3a0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + d3b0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + d3c0: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + d3d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + d3e0: 726f6d2f 636d6e6f 732f7561 72742f73 rom/cmnos/uart/s + d3f0: 7263002f 726f6f74 2f576f72 6b737061 rc./root/Workspa + d400: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + d410: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + d420: 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work + d430: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + d440: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + d450: 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + d460: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + d470: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + d480: 72676574 2f696e63 002f726f 6f742f57 rget/inc./root/W + d490: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + d4a0: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + d4b0: 632f4f54 5553002f 726f6f74 2f576f72 c/OTUS./root/Wor + d4c0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + d4d0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + d4e0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + d4f0: 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + d500: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + d510: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + d520: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + d530: 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + d540: 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + d550: 6f6e6669 67002f6f 70742f78 74656e73 onfig./opt/xtens + d560: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + d570: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + d580: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + d590: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + d5a0: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + d5b0: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + d5c0: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + d5d0: 6275696c 64732f52 422d3230 30372e32 builds/RB-2007.2 + d5e0: 2d6c696e 75782f4d 61677069 655f5030 -linux/Magpie_P0 + d5f0: 2f787465 6e73612d 656c662f 61726368 /xtensa-elf/arch + d600: 2f696e63 6c756465 2f787465 6e73612f /include/xtensa/ + d610: 636f6e66 6967002f 726f6f74 2f576f72 config./root/Wor + d620: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + d630: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + d640: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + d650: 7072696e 74662f69 6e63002f 726f6f74 printf/inc./root + d660: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + d670: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + d680: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + d690: 6e6f732f 75617274 2f696e63 002f726f nos/uart/inc./ro + d6a0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + d6b0: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + d6c0: 742f636d 6e6f732f 6462672f 696e6300 t/cmnos/dbg/inc. + d6d0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + d6e0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + d6f0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + d700: 6f6d2f63 6d6e6f73 2f6d656d 2f696e63 om/cmnos/mem/inc + d710: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + d720: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + d730: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + d740: 726f6d2f 636d6e6f 732f6d69 73632f69 rom/cmnos/misc/i + d750: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + d760: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + d770: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + d780: 312f726f 6d2f636d 6e6f732f 73747269 1/rom/cmnos/stri + d790: 6e672f69 6e63002f 726f6f74 2f576f72 ng/inc./root/Wor + d7a0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + d7b0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + d7c0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + d7d0: 74696d65 722f696e 63002f72 6f6f742f timer/inc./root/ + d7e0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + d7f0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + d800: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + d810: 6f732f72 6f6d7061 7463682f 696e6300 os/rompatch/inc. + d820: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + d830: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + d840: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + d850: 6f6d2f63 6d6e6f73 2f616c6c 6f637261 om/cmnos/allocra + d860: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + d870: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + d880: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + d890: 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t + d8a0: 61736b6c 65742f69 6e63002f 726f6f74 asklet/inc./root + d8b0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + d8c0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + d8d0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + d8e0: 6e6f732f 636c6f63 6b2f696e 63002f72 nos/clock/inc./r + d8f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + d900: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + d910: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + d920: 2f636d6e 6f732f69 6e74722f 696e6300 /cmnos/intr/inc. + d930: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + d940: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + d950: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + d960: 6f6d2f63 6d6e6f73 2f776474 2f696e63 om/cmnos/wdt/inc + d970: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + d980: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + d990: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + d9a0: 726f6d2f 636d6e6f 732f6565 70726f6d rom/cmnos/eeprom + d9b0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + d9c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + d9d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + d9e0: 315f312f 726f6d2f 6869662f 696e6300 1_1/rom/hif/inc. + d9f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + da00: 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + da10: 662f696e 636c7564 652f6e62 7566002f f/include/nbuf./ + da20: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + da30: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + da40: 2f696e63 6c756465 2f6f7300 2f726f6f /include/os./roo + da50: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + da60: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + da70: 2f616466 2f6f732f 696e6300 2f6f7074 /adf/os/inc./opt + da80: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + da90: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools + daa0: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux + dab0: 2f587465 6e736154 6f6f6c73 2f6c6962 /XtensaTools/lib + dac0: 2f786363 2d6c6962 2f696e63 6c756465 /xcc-lib/include + dad0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + dae0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + daf0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + db00: 726f6d2f 76627566 2f696e63 002f726f rom/vbuf/inc./ro + db10: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + db20: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + db30: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + db40: 76646573 632f696e 63002f72 6f6f742f vdesc/inc./root/ + db50: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + db60: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + db70: 7564652f 6e657400 2f726f6f 742f576f ude/net./root/Wo + db80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + db90: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + dba0: 69655f31 5f312f72 6f6d2f61 64662f6e ie_1_1/rom/adf/n + dbb0: 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo + dbc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + dbd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + dbe0: 69655f31 5f312f72 6f6d2f68 74632f69 ie_1_1/rom/htc/i + dbf0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + dc00: 63652f30 3431325f 76323032 2f776c61 ce/0412_v202/wla + dc10: 6e2f696e 636c7564 65002f72 6f6f742f n/include./root/ + dc20: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + dc30: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + dc40: 67706965 5f315f31 2f726f6d 2f627566 gpie_1_1/rom/buf + dc50: 5f706f6f 6c2f696e 63002f72 6f6f742f _pool/inc./root/ + dc60: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + dc70: 3230322f 7467742f 74617267 65742f77 202/tgt/target/w + dc80: 6d692f69 6e63002f 726f6f74 2f576f72 mi/inc./root/Wor + dc90: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + dca0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + dcb0: 655f315f 312f726f 6d2f646d 615f656e e_1_1/rom/dma_en + dcc0: 67696e65 2f696e63 00007561 72745f61 gine/inc..uart_a + dcd0: 70692e63 00010000 7379735f 6366672e pi.c....sys_cfg. + dce0: 68000200 00726f6d 5f636667 2e680003 h....rom_cfg.h.. + dcf0: 00006d61 67706965 5f6d656d 2e680002 ..magpie_mem.h.. + dd00: 00006174 686f735f 6170692e 68000200 ..athos_api.h... + dd10: 006f7361 70692e68 00040000 4f545553 .osapi.h....OTUS + dd20: 5f736f63 2e680005 00006474 5f646566 _soc.h....dt_def + dd30: 732e6800 04000063 6d6e6f73 5f617069 s.h....cmnos_api + dd40: 2e680006 0000636f 72652e68 00070000 .h....core.h.... + dd50: 68616c2e 68000800 00636f72 652d6973 hal.h....core-is + dd60: 612e6800 09000063 6f72652d 6d61746d a.h....core-matm + dd70: 61702e68 00090000 7469652e 68000900 ap.h....tie.h... + dd80: 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... + dd90: 73706563 7265672e 68000900 00636f72 specreg.h....cor + dda0: 65626974 732e6800 08000070 72696e74 ebits.h....print + ddb0: 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ + ddc0: 6170692e 68000b00 00726567 5f646566 api.h....reg_def + ddd0: 732e6800 03000064 62675f61 70692e68 s.h....dbg_api.h + dde0: 000c0000 6d656d5f 6170692e 68000d00 ....mem_api.h... + ddf0: 006d6973 635f6170 692e6800 0e000073 .misc_api.h....s + de00: 7472696e 675f6170 692e6800 0f000074 tring_api.h....t + de10: 696d6572 5f617069 2e680010 0000726f imer_api.h....ro + de20: 6d705f61 70692e68 00110000 616c6c6f mp_api.h....allo + de30: 6372616d 5f617069 2e680012 00007461 cram_api.h....ta + de40: 736b6c65 745f6170 692e6800 13000063 sklet_api.h....c + de50: 6c6f636b 5f617069 2e680014 0000696e lock_api.h....in + de60: 74725f61 70692e68 00150000 7764745f tr_api.h....wdt_ + de70: 6170692e 68001600 00656570 726f6d5f api.h....eeprom_ + de80: 6170692e 68001700 00757362 5f617069 api.h....usb_api + de90: 2e680018 00006869 665f7063 692e6800 .h....hif_pci.h. + dea0: 18000068 69665f61 70692e68 00180000 ...hif_api.h.... + deb0: 6164665f 6e627566 2e680019 00006164 adf_nbuf.h....ad + dec0: 665f6f73 5f757469 6c2e6800 1a000061 f_os_util.h....a + ded0: 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h + dee0: 001b0000 6164665f 6f735f74 79706573 ....adf_os_types + def0: 2e68001a 00006164 665f6f73 5f737464 .h....adf_os_std + df00: 74797065 732e6800 1a000061 64665f6f types.h....adf_o + df10: 735f7479 7065735f 7076742e 68001b00 s_types_pvt.h... + df20: 00737464 6465662e 68001c00 00766275 .stddef.h....vbu + df30: 665f6170 692e6800 1d000076 64657363 f_api.h....vdesc + df40: 5f617069 2e68001e 00007374 64617267 _api.h....stdarg + df50: 2e680004 00007661 2d787465 6e73612e .h....va-xtensa. + df60: 68001c00 00616466 5f6f735f 646d612e h....adf_os_dma. + df70: 68001a00 00616466 5f6f735f 646d615f h....adf_os_dma_ + df80: 7076742e 68001b00 00616466 5f6e6574 pvt.h....adf_net + df90: 5f747970 65732e68 001f0000 6164665f _types.h....adf_ + dfa0: 6e627566 5f707674 2e680020 0000646d nbuf_pvt.h. ..dm + dfb0: 615f6c69 622e6800 18000068 69665f67 a_lib.h....hif_g + dfc0: 6d61632e 68001800 004d6167 7069655f mac.h....Magpie_ + dfd0: 6170692e 68000200 00757362 6669666f api.h....usbfifo + dfe0: 5f617069 2e680018 00006874 635f6170 _api.h....htc_ap + dff0: 692e6800 21000068 74632e68 00220000 i.h.!..htc.h.".. + e000: 6275665f 706f6f6c 5f617069 2e680023 buf_pool_api.h.# + e010: 0000776d 695f7376 635f6170 692e6800 ..wmi_svc_api.h. + e020: 24000077 6d692e68 00220000 61746864 $..wmi.h."..athd + e030: 6566732e 68002200 00646d61 5f656e67 efs.h."..dma_eng + e040: 696e655f 6170692e 68002500 00000005 ine_api.h.%..... + e050: 02008e2d 90031801 03000900 03010305 ...-............ + e060: 09000501 03040900 06010303 09000801 ................ + e070: 037d0900 03010303 09000301 03040900 .}.............. + e080: 0c010307 09000601 03060900 08010301 ................ + e090: 09000301 03010900 03010308 09000401 ................ + e0a0: 03020900 03010308 09000501 03010900 ................ + e0b0: 03010300 09000201 03090900 03010300 ................ + e0c0: 09000301 031c0900 09010301 09000601 ................ + e0d0: 03060900 03010309 09000c01 03010900 ................ + e0e0: 06010306 09000301 03070900 02010300 ................ + e0f0: 09000301 03070900 09010301 09000601 ................ + e100: 03060900 03010309 09000c01 03010900 ................ + e110: 06010305 09000301 03080900 02010300 ................ + e120: 09000301 03030900 03010308 09000901 ................ + e130: 037a0900 04010301 09000901 03050900 .z.............. + e140: 02010309 09000201 03170900 03010307 ................ + e150: 09000501 03000900 03010301 09000301 ................ + e160: 03080900 06010300 09000301 03030900 ................ + e170: 03010303 09000501 03010900 0501037f ................ + e180: 09000301 03020900 0301037e 09000201 ...........~.... + e190: 03040900 03010308 09000501 03000900 ................ + e1a0: 03010303 09000601 037e0900 02010302 .........~...... + e1b0: 09000301 03030900 0201037b 09000201 ...........{.... + e1c0: 03020900 06010303 09000601 03010900 ................ + e1d0: 03010305 09000201 03070900 05010300 ................ + e1e0: 09000301 03040900 05010302 09000601 ................ + e1f0: 03020900 0901037e 09000301 03020900 .......~........ + e200: 03010301 09000c01 03030900 09010301 ................ + e210: 09000c01 03080900 02010300 09000301 ................ + e220: 030c0900 0301037d 09001a01 03030900 .......}........ + e230: 0201037f 09000301 037d0900 0201037f .........}...... + e240: 09000201 037f0900 0201037f 09000201 ................ + e250: 037f0900 0201037f 09000201 037f0900 ................ + e260: 0201030b 09000201 09000200 01010000 ................ + e270: 11500002 00000cc0 0101fb0e 0a000101 .P.............. + e280: 01010000 00012f72 6f6f742f 576f726b ....../root/Work + e290: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + e2a0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + e2b0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + e2c0: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + e2d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + e2e0: 726f6d2f 6869662f 7063692f 002f726f rom/hif/pci/./ro + e2f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + e300: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + e310: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + e320: 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo + e330: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + e340: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + e350: 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor + e360: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + e370: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + e380: 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp + e390: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + e3a0: 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ + e3b0: 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + e3c0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + e3d0: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + e3e0: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + e3f0: 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib + e400: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + e410: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + e420: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in + e430: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + e440: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + e450: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. + e460: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + e470: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + e480: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + e490: 6f6d2f76 6275662f 696e6300 2f726f6f om/vbuf/inc./roo + e4a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + e4b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + e4c0: 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v + e4d0: 64657363 2f696e63 002f726f 6f742f57 desc/inc./root/W + e4e0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + e4f0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu + e500: 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor + e510: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + e520: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + e530: 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb + e540: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor + e550: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + e560: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + e570: 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ + e580: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + e590: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + e5a0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + e5b0: 6f732f69 6e63002f 726f6f74 2f576f72 os/inc./root/Wor + e5c0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + e5d0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + e5e0: 655f315f 312f696e 632f6d61 67706965 e_1_1/inc/magpie + e5f0: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + e600: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + e610: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + e620: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + e630: 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc + e640: 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + e650: 6967002f 6f70742f 7874656e 73612f58 ig./opt/xtensa/X + e660: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + e670: 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + e680: 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + e690: 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + e6a0: 6e636c75 64652f78 74656e73 61002f6f nclude/xtensa./o + e6b0: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + e6c0: 6f6f6c73 2f696e73 74616c6c 2f627569 ools/install/bui + e6d0: 6c64732f 52422d32 3030372e 322d6c69 lds/RB-2007.2-li + e6e0: 6e75782f 4d616770 69655f50 302f7874 nux/Magpie_P0/xt + e6f0: 656e7361 2d656c66 2f617263 682f696e ensa-elf/arch/in + e700: 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + e710: 66696700 2f726f6f 742f576f 726b7370 fig./root/Worksp + e720: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + e730: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + e740: 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri + e750: 6e74662f 696e6300 2f726f6f 742f576f ntf/inc./root/Wo + e760: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + e770: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + e780: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + e790: 2f756172 742f696e 63002f72 6f6f742f /uart/inc./root/ + e7a0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + e7b0: 3230322f 7467742f 74617267 65742f63 202/tgt/target/c + e7c0: 6d6e6f73 2f646267 2f696e63 002f726f mnos/dbg/inc./ro + e7d0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + e7e0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + e7f0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + e800: 636d6e6f 732f6d65 6d2f696e 63002f72 cmnos/mem/inc./r + e810: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + e820: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + e830: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + e840: 2f636d6e 6f732f6d 6973632f 696e6300 /cmnos/misc/inc. + e850: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + e860: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + e870: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + e880: 6f6d2f63 6d6e6f73 2f737472 696e672f om/cmnos/string/ + e890: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + e8a0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + e8b0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + e8c0: 5f312f72 6f6d2f63 6d6e6f73 2f74696d _1/rom/cmnos/tim + e8d0: 65722f69 6e63002f 726f6f74 2f576f72 er/inc./root/Wor + e8e0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + e8f0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + e900: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + e910: 726f6d70 61746368 2f696e63 002f726f rompatch/inc./ro + e920: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + e930: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + e940: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + e950: 636d6e6f 732f616c 6c6f6372 616d2f69 cmnos/allocram/i + e960: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + e970: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + e980: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + e990: 312f726f 6d2f636d 6e6f732f 7461736b 1/rom/cmnos/task + e9a0: 6c65742f 696e6300 2f726f6f 742f576f let/inc./root/Wo + e9b0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + e9c0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + e9d0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + e9e0: 2f636c6f 636b2f69 6e63002f 726f6f74 /clock/inc./root + e9f0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + ea00: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + ea10: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + ea20: 6e6f732f 696e7472 2f696e63 002f726f nos/intr/inc./ro + ea30: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + ea40: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + ea50: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + ea60: 636d6e6f 732f7764 742f696e 63002f72 cmnos/wdt/inc./r + ea70: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + ea80: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + ea90: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + eaa0: 2f636d6e 6f732f65 6570726f 6d2f696e /cmnos/eeprom/in + eab0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + eac0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + ead0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + eae0: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + eaf0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + eb00: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + eb10: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + eb20: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + eb30: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + eb40: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + eb50: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + eb60: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + eb70: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + eb80: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + eb90: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + eba0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + ebb0: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + ebc0: 6e630000 6869665f 7063692e 63000100 nc..hif_pci.c... + ebd0: 00686966 5f617069 2e680002 00006164 .hif_api.h....ad + ebe0: 665f6e62 75662e68 00030000 6164665f f_nbuf.h....adf_ + ebf0: 6f735f75 74696c2e 68000400 00616466 os_util.h....adf + ec00: 5f6f735f 7574696c 5f707674 2e680005 _os_util_pvt.h.. + ec10: 00006164 665f6f73 5f747970 65732e68 ..adf_os_types.h + ec20: 00040000 6164665f 6f735f73 74647479 ....adf_os_stdty + ec30: 7065732e 68000400 00616466 5f6f735f pes.h....adf_os_ + ec40: 74797065 735f7076 742e6800 05000073 types_pvt.h....s + ec50: 74646465 662e6800 0600006f 73617069 tddef.h....osapi + ec60: 2e680007 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + ec70: 00080000 76627566 5f617069 2e680009 ....vbuf_api.h.. + ec80: 00007664 6573635f 6170692e 68000a00 ..vdesc_api.h... + ec90: 00737464 6172672e 68000700 0076612d .stdarg.h....va- + eca0: 7874656e 73612e68 00060000 6164665f xtensa.h....adf_ + ecb0: 6f735f64 6d612e68 00040000 6164665f os_dma.h....adf_ + ecc0: 6f735f64 6d615f70 76742e68 00050000 os_dma_pvt.h.... + ecd0: 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. + ece0: 0b000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. + ecf0: 68000c00 004d6167 7069655f 6170692e h....Magpie_api. + ed00: 68000d00 00636d6e 6f735f61 70692e68 h....cmnos_api.h + ed10: 000e0000 7379735f 6366672e 68000d00 ....sys_cfg.h... + ed20: 00726f6d 5f636667 2e68000f 00006d61 .rom_cfg.h....ma + ed30: 67706965 5f6d656d 2e68000d 0000636f gpie_mem.h....co + ed40: 72652e68 00100000 68616c2e 68001100 re.h....hal.h... + ed50: 00636f72 652d6973 612e6800 12000063 .core-isa.h....c + ed60: 6f72652d 6d61746d 61702e68 00120000 ore-matmap.h.... + ed70: 7469652e 68001200 00787472 756e7469 tie.h....xtrunti + ed80: 6d652e68 00110000 73706563 7265672e me.h....specreg. + ed90: 68001200 00636f72 65626974 732e6800 h....corebits.h. + eda0: 11000070 72696e74 665f6170 692e6800 ...printf_api.h. + edb0: 13000075 6172745f 6170692e 68001400 ...uart_api.h... + edc0: 00726567 5f646566 732e6800 0f000064 .reg_defs.h....d + edd0: 745f6465 66732e68 00070000 6462675f t_defs.h....dbg_ + ede0: 6170692e 68001500 006d656d 5f617069 api.h....mem_api + edf0: 2e680016 00006d69 73635f61 70692e68 .h....misc_api.h + ee00: 00170000 73747269 6e675f61 70692e68 ....string_api.h + ee10: 00180000 74696d65 725f6170 692e6800 ....timer_api.h. + ee20: 19000072 6f6d705f 6170692e 68001a00 ...romp_api.h... + ee30: 00616c6c 6f637261 6d5f6170 692e6800 .allocram_api.h. + ee40: 1b000074 61736b6c 65745f61 70692e68 ...tasklet_api.h + ee50: 001c0000 636c6f63 6b5f6170 692e6800 ....clock_api.h. + ee60: 1d000069 6e74725f 6170692e 68001e00 ...intr_api.h... + ee70: 00776474 5f617069 2e68001f 00006565 .wdt_api.h....ee + ee80: 70726f6d 5f617069 2e680020 00007573 prom_api.h. ..us + ee90: 625f6170 692e6800 02000068 69665f70 b_api.h....hif_p + eea0: 63692e68 00020000 646d615f 6c69622e ci.h....dma_lib. + eeb0: 68000200 00686966 5f676d61 632e6800 h....hif_gmac.h. + eec0: 02000075 73626669 666f5f61 70692e68 ...usbfifo_api.h + eed0: 00020000 6874635f 6170692e 68002100 ....htc_api.h.!. + eee0: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po + eef0: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ + ef00: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi + ef10: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. + ef20: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap + ef30: 692e6800 25000000 00050200 8e2f2c03 i.h.%......../,. + ef40: 30010301 09000301 03050900 09010301 0............... + ef50: 09000301 03010900 05010304 09000401 ................ + ef60: 03000900 03010310 09000201 037f0900 ................ + ef70: 0f01037c 09000301 03780900 02010304 ...|.....x...... + ef80: 09000201 037c0900 0201030a 09000201 .....|.......... + ef90: 03760900 02010306 09000201 037a0900 .v...........z.. + efa0: 02010312 09000301 03000900 0301030a ................ + efb0: 09000201 037c0900 02010303 09000201 .....|.......... + efc0: 03010900 02010379 09000301 030b0900 .......y........ + efd0: 06010300 09000301 03100900 0201037c ...............| + efe0: 09000901 03030900 02010301 09000201 ................ + eff0: 03730900 03010303 09000601 037d0900 .s...........}.. + f000: 02010306 09000201 037a0900 02010313 .........z...... + f010: 09000301 03000900 03010306 09000301 ................ + f020: 03020900 03010301 09000b01 03080900 ................ + f030: 04010300 09000301 03060900 03010302 ................ + f040: 09000c01 03020900 08010303 09000801 ................ + f050: 03060900 0c010301 09000b01 03010900 ................ + f060: 10010302 09000801 03060900 0b010301 ................ + f070: 09000b01 03010900 10010302 09000801 ................ + f080: 03060900 0b010301 09000801 03010900 ................ + f090: 10010302 09000801 03010900 08010306 ................ + f0a0: 09000201 03000900 03010301 09000301 ................ + f0b0: 03010900 06010302 09000601 03010900 ................ + f0c0: 0a010302 09000a01 03030900 0d01030a ................ + f0d0: 09000501 03000900 03010301 09000301 ................ + f0e0: 03010900 06010305 09000601 03010900 ................ + f0f0: 0a010305 09000a01 03010900 0a010301 ................ + f100: 09000a01 03010900 0a010302 09000d01 ................ + f110: 030b0900 03010300 09000301 03040900 ................ + f120: 03010302 09000a01 03010900 03010307 ................ + f130: 09000901 037d0900 02010302 09000a01 .....}.......... + f140: 037e0900 03010302 09000201 03010900 .~.............. + f150: 0b010308 09000401 03010900 0301030a ................ + f160: 09000501 03000900 03010303 09000301 ................ + f170: 03000900 02010301 09000201 03010900 ................ + f180: 04010301 09000401 030a0900 02010300 ................ + f190: 09000301 03040900 03010302 09000801 ................ + f1a0: 03030900 0a010305 09000701 037d0900 .............}.. + f1b0: 02010303 09000901 030b0900 02010300 ................ + f1c0: 09000301 03030900 05010303 09000a01 ................ + f1d0: 03030900 0901037f 09000201 03010900 ................ + f1e0: 09010308 09000201 03000900 03010305 ................ + f1f0: 09000901 037f0900 0a010304 09000e01 ................ + f200: 037f0900 0a010304 09000e01 037f0900 ................ + f210: 0a010304 09000e01 037f0900 0a010307 ................ + f220: 09000b01 03010900 0a010304 09001101 ................ + f230: 03010900 0a010304 09000c01 03020900 ................ + f240: 0301030c 09000301 03000900 03010303 ................ + f250: 09000301 037d0900 03010303 09000201 .....}.......... + f260: 03020900 03010301 09000301 03010900 ................ + f270: 09010303 09000201 030b0900 10010300 ................ + f280: 09000301 03030900 0301037d 09000301 ...........}.... + f290: 03030900 02010302 09000301 03030900 ................ + f2a0: 03010301 09000801 030b0900 03010301 ................ + f2b0: 09000301 03010900 03010302 09000201 ................ + f2c0: 030c0900 08010304 09000301 037e0900 .............~.. + f2d0: 0301037f 09000301 03030900 0301030b ................ + f2e0: 09000401 03010900 03010300 09000201 ................ + f2f0: 03090900 03010301 09000301 03050900 ................ + f300: 05010300 09000301 03010900 02010301 ................ + f310: 09000301 03010900 03010308 09000501 ................ + f320: 03000900 03010303 09001b01 03070900 ................ + f330: 0201037f 09000201 037f0900 0201037f ................ + f340: 09000201 037f0900 0201037f 09000201 ................ + f350: 037d0900 02010302 09000201 03080900 .}.............. + f360: 0501037f 09000801 03020900 02010301 ................ + f370: 09000201 03040900 05010300 09000301 ................ + f380: 03090900 1b01037f 09000201 037f0900 ................ + f390: 0201037f 09000201 037f0900 0201037f ................ + f3a0: 09000201 037f0900 0201037f 09000201 ................ + f3b0: 037f0900 02010309 09000201 09000200 ................ + f3c0: 01010000 129d0002 00000d16 0101fb0e ................ + f3d0: 0a000101 01010000 00012f72 6f6f742f ........../root/ + f3e0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + f3f0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + f400: 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m + f410: 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. + f420: 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ + f430: 315f312f 726f6d2f 6869662f 7573622f 1_1/rom/hif/usb/ + f440: 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + f450: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + f460: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + f470: 5f312f69 6e63002f 726f6f74 2f576f72 _1/inc./root/Wor + f480: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + f490: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + f4a0: 655f315f 312f696e 632f6d61 67706965 e_1_1/inc/magpie + f4b0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + f4c0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + f4d0: 61726765 742f696e 63002f72 6f6f742f arget/inc./root/ + f4e0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + f4f0: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + f500: 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo + f510: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + f520: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + f530: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + f540: 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + f550: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + f560: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + f570: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + f580: 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + f590: 2f696e63 6c756465 2f787465 6e73612f /include/xtensa/ + f5a0: 636f6e66 6967002f 6f70742f 7874656e config./opt/xten + f5b0: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + f5c0: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + f5d0: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + f5e0: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + f5f0: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + f600: 61002f6f 70742f78 74656e73 612f5874 a./opt/xtensa/Xt + f610: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + f620: 2f627569 6c64732f 52422d32 3030372e /builds/RB-2007. + f630: 322d6c69 6e75782f 4d616770 69655f50 2-linux/Magpie_P + f640: 302f7874 656e7361 2d656c66 2f617263 0/xtensa-elf/arc + f650: 682f696e 636c7564 652f7874 656e7361 h/include/xtensa + f660: 2f636f6e 66696700 2f726f6f 742f576f /config./root/Wo + f670: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + f680: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + f690: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + f6a0: 2f707269 6e74662f 696e6300 2f726f6f /printf/inc./roo + f6b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + f6c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + f6d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + f6e0: 6d6e6f73 2f756172 742f696e 63002f72 mnos/uart/inc./r + f6f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + f700: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + f710: 65742f63 6d6e6f73 2f646267 2f696e63 et/cmnos/dbg/inc + f720: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + f730: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + f740: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + f750: 726f6d2f 636d6e6f 732f6d65 6d2f696e rom/cmnos/mem/in + f760: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + f770: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + f780: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + f790: 2f726f6d 2f636d6e 6f732f6d 6973632f /rom/cmnos/misc/ + f7a0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + f7b0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + f7c0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + f7d0: 5f312f72 6f6d2f63 6d6e6f73 2f737472 _1/rom/cmnos/str + f7e0: 696e672f 696e6300 2f726f6f 742f576f ing/inc./root/Wo + f7f0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + f800: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + f810: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + f820: 2f74696d 65722f69 6e63002f 726f6f74 /timer/inc./root + f830: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + f840: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + f850: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + f860: 6e6f732f 726f6d70 61746368 2f696e63 nos/rompatch/inc + f870: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + f880: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + f890: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + f8a0: 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + f8b0: 616d2f69 6e63002f 726f6f74 2f576f72 am/inc./root/Wor + f8c0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + f8d0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + f8e0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + f8f0: 7461736b 6c65742f 696e6300 2f726f6f tasklet/inc./roo + f900: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + f910: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + f920: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + f930: 6d6e6f73 2f636c6f 636b2f69 6e63002f mnos/clock/inc./ + f940: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + f950: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + f960: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + f970: 6d2f636d 6e6f732f 696e7472 2f696e63 m/cmnos/intr/inc + f980: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + f990: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + f9a0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + f9b0: 726f6d2f 636d6e6f 732f7764 742f696e rom/cmnos/wdt/in + f9c0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + f9d0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + f9e0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + f9f0: 2f726f6d 2f636d6e 6f732f65 6570726f /rom/cmnos/eepro + fa00: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + fa10: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + fa20: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + fa30: 5f315f31 2f726f6d 2f686966 2f696e63 _1_1/rom/hif/inc + fa40: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + fa50: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + fa60: 64662f69 6e636c75 64652f6e 62756600 df/include/nbuf. + fa70: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + fa80: 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + fa90: 662f696e 636c7564 652f6f73 002f726f f/include/os./ro + faa0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + fab0: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + fac0: 742f6164 662f6f73 2f696e63 002f6f70 t/adf/os/inc./op + fad0: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + fae0: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + faf0: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + fb00: 782f5874 656e7361 546f6f6c 732f6c69 x/XtensaTools/li + fb10: 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ + fb20: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + fb30: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + fb40: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + fb50: 2f726f6d 2f766275 662f696e 63002f72 /rom/vbuf/inc./r + fb60: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + fb70: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + fb80: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + fb90: 2f766465 73632f69 6e63002f 726f6f74 /vdesc/inc./root + fba0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + fbb0: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + fbc0: 6c756465 2f6e6574 002f726f 6f742f57 lude/net./root/W + fbd0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + fbe0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + fbf0: 7069655f 315f312f 726f6d2f 6164662f pie_1_1/rom/adf/ + fc00: 6e627566 2f696e63 002f726f 6f742f57 nbuf/inc./root/W + fc10: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + fc20: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + fc30: 7069655f 315f312f 726f6d2f 6874632f pie_1_1/rom/htc/ + fc40: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + fc50: 6163652f 30343132 5f763230 322f776c ace/0412_v202/wl + fc60: 616e2f69 6e636c75 6465002f 726f6f74 an/include./root + fc70: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + fc80: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + fc90: 61677069 655f315f 312f726f 6d2f6275 agpie_1_1/rom/bu + fca0: 665f706f 6f6c2f69 6e63002f 726f6f74 f_pool/inc./root + fcb0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + fcc0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + fcd0: 776d692f 696e6300 2f726f6f 742f576f wmi/inc./root/Wo + fce0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + fcf0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + fd00: 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e + fd10: 6e67696e 652f696e 63000048 49465f75 ngine/inc..HIF_u + fd20: 73622e63 00010000 7379735f 6366672e sb.c....sys_cfg. + fd30: 68000200 00726f6d 5f636667 2e680003 h....rom_cfg.h.. + fd40: 00006d61 67706965 5f6d656d 2e680002 ..magpie_mem.h.. + fd50: 00006474 5f646566 732e6800 04000072 ..dt_defs.h....r + fd60: 65675f64 6566732e 68000300 006f7361 eg_defs.h....osa + fd70: 70692e68 00040000 4f545553 5f736f63 pi.h....OTUS_soc + fd80: 2e680005 00004d61 67706965 5f617069 .h....Magpie_api + fd90: 2e680002 0000636d 6e6f735f 6170692e .h....cmnos_api. + fda0: 68000600 00636f72 652e6800 07000068 h....core.h....h + fdb0: 616c2e68 00080000 636f7265 2d697361 al.h....core-isa + fdc0: 2e680009 0000636f 72652d6d 61746d61 .h....core-matma + fdd0: 702e6800 09000074 69652e68 00090000 p.h....tie.h.... + fde0: 78747275 6e74696d 652e6800 08000073 xtruntime.h....s + fdf0: 70656372 65672e68 00090000 636f7265 pecreg.h....core + fe00: 62697473 2e680008 00007072 696e7466 bits.h....printf + fe10: 5f617069 2e68000a 00007561 72745f61 _api.h....uart_a + fe20: 70692e68 000b0000 6462675f 6170692e pi.h....dbg_api. + fe30: 68000c00 006d656d 5f617069 2e68000d h....mem_api.h.. + fe40: 00006d69 73635f61 70692e68 000e0000 ..misc_api.h.... + fe50: 73747269 6e675f61 70692e68 000f0000 string_api.h.... + fe60: 74696d65 725f6170 692e6800 10000072 timer_api.h....r + fe70: 6f6d705f 6170692e 68001100 00616c6c omp_api.h....all + fe80: 6f637261 6d5f6170 692e6800 12000074 ocram_api.h....t + fe90: 61736b6c 65745f61 70692e68 00130000 asklet_api.h.... + fea0: 636c6f63 6b5f6170 692e6800 14000069 clock_api.h....i + feb0: 6e74725f 6170692e 68001500 00776474 ntr_api.h....wdt + fec0: 5f617069 2e680016 00006565 70726f6d _api.h....eeprom + fed0: 5f617069 2e680017 00007573 625f6170 _api.h....usb_ap + fee0: 692e6800 18000068 69665f70 63692e68 i.h....hif_pci.h + fef0: 00180000 6869665f 6170692e 68001800 ....hif_api.h... + ff00: 00616466 5f6e6275 662e6800 19000061 .adf_nbuf.h....a + ff10: 64665f6f 735f7574 696c2e68 001a0000 df_os_util.h.... + ff20: 6164665f 6f735f75 74696c5f 7076742e adf_os_util_pvt. + ff30: 68001b00 00616466 5f6f735f 74797065 h....adf_os_type + ff40: 732e6800 1a000061 64665f6f 735f7374 s.h....adf_os_st + ff50: 64747970 65732e68 001a0000 6164665f dtypes.h....adf_ + ff60: 6f735f74 79706573 5f707674 2e68001b os_types_pvt.h.. + ff70: 00007374 64646566 2e68001c 00007662 ..stddef.h....vb + ff80: 75665f61 70692e68 001d0000 76646573 uf_api.h....vdes + ff90: 635f6170 692e6800 1e000073 74646172 c_api.h....stdar + ffa0: 672e6800 04000076 612d7874 656e7361 g.h....va-xtensa + ffb0: 2e68001c 00006164 665f6f73 5f646d61 .h....adf_os_dma + ffc0: 2e68001a 00006164 665f6f73 5f646d61 .h....adf_os_dma + ffd0: 5f707674 2e68001b 00006164 665f6e65 _pvt.h....adf_ne + ffe0: 745f7479 7065732e 68001f00 00616466 t_types.h....adf + fff0: 5f6e6275 665f7076 742e6800 20000064 _nbuf_pvt.h. ..d + 10000: 6d615f6c 69622e68 00180000 6869665f ma_lib.h....hif_ + 10010: 676d6163 2e680018 00007573 62666966 gmac.h....usbfif + 10020: 6f5f6170 692e6800 18000068 74635f61 o_api.h....htc_a + 10030: 70692e68 00210000 6874632e 68002200 pi.h.!..htc.h.". + 10040: 00627566 5f706f6f 6c5f6170 692e6800 .buf_pool_api.h. + 10050: 23000077 6d695f73 76635f61 70692e68 #..wmi_svc_api.h + 10060: 00240000 776d692e 68002200 00617468 .$..wmi.h."..ath + 10070: 64656673 2e680022 0000646d 615f656e defs.h."..dma_en + 10080: 67696e65 5f617069 2e680025 00006164 gine_api.h.%..ad + 10090: 665f6f73 5f6d656d 2e68001a 00006164 f_os_mem.h....ad + 100a0: 665f6f73 5f6d656d 5f707674 2e68001b f_os_mem_pvt.h.. + 100b0: 00006164 665f6f73 5f696f2e 68001a00 ..adf_os_io.h... + 100c0: 00616466 5f6f735f 696f5f70 76742e68 .adf_os_io_pvt.h + 100d0: 001b0000 6869665f 7573622e 68001800 ....hif_usb.h... + 100e0: 00000005 02008e33 48032601 03000900 .......3H.&..... + 100f0: 03010303 09000301 03020900 0201037f ................ + 10100: 09000201 03010900 04010301 09000201 ................ + 10110: 03040900 0401030b 09000301 03020900 ................ + 10120: 02010301 09000901 03020900 0d01037e ...............~ + 10130: 09000301 03020900 02010302 09000901 ................ + 10140: 03020900 0b010303 09000401 03000900 ................ + 10150: 03010303 09000301 03010900 0201037c ...............| + 10160: 09000201 03040900 02010301 09000301 ................ + 10170: 03010900 02010302 09000501 03030900 ................ + 10180: 04010301 09000201 03040900 04010300 ................ + 10190: 09000301 03050900 0301037b 09000201 ...........{.... + 101a0: 03050900 02010302 09000501 03050900 ................ + 101b0: 05010300 09000301 03040900 0f010301 ................ + 101c0: 09000201 03010900 02010302 09000201 ................ + 101d0: 037f0900 03010301 09000201 03040900 ................ + 101e0: 05010301 09000901 03030900 09010304 ................ + 101f0: 09000901 03030900 0c010301 09000401 ................ + 10200: 03010900 02010302 09000201 03040900 ................ + 10210: 03010302 09000301 03030900 05010300 ................ + 10220: 09000301 03030900 03010300 09000201 ................ + 10230: 03010900 02010301 09000401 03020900 ................ + 10240: 04010305 09000201 03000900 03010308 ................ + 10250: 09000601 03010900 0b010302 09001101 ................ + 10260: 03010900 08010302 09000801 03010900 ................ + 10270: 0b010304 09000801 03010900 0b010303 ................ + 10280: 09000c01 03010900 08010303 09000601 ................ + 10290: 03040900 06010303 09000501 03030900 ................ + 102a0: 04010301 09000301 03000900 0201031a ................ + 102b0: 09000301 03000900 03010301 09000501 ................ + 102c0: 03040900 0301030d 09000601 03770900 .............w.. + 102d0: 02010301 09000301 03080900 0601037c ...............| + 102e0: 09000201 03010900 06010303 09000501 ................ + 102f0: 03030900 03010303 09000301 03130900 ................ + 10300: 06010371 09000201 030f0900 06010377 ...q...........w + 10310: 09000201 03060900 03010303 09000601 ................ + 10320: 03080900 04010300 09000301 03060900 ................ + 10330: 05010321 09000301 03010900 07010301 ...!............ + 10340: 09001601 037b0900 05010367 09000201 .....{.....g.... + 10350: 03020900 09010302 09000a01 03020900 ................ + 10360: 0301043e 03f27d09 000a0104 01038e02 ...>..}......... + 10370: 09000301 043e03f2 7d090002 01040103 .....>..}....... + 10380: 8e020900 05010301 09000201 03790900 .............y.. + 10390: 0d010316 09000201 03720900 02010301 .........r...... + 103a0: 09000401 03010900 03010301 09000301 ................ + 103b0: 03080900 0501036d 09000401 03b27f09 .......m........ + 103c0: 000d0103 04090006 01037e09 00020103 ..........~..... + 103d0: 04090004 01037d09 00020103 01090002 ......}......... + 103e0: 01030509 00040103 01090002 01037509 ..............u. + 103f0: 00020103 e8000900 03010324 09000501 ...........$.... + 10400: 03000900 03010301 09000301 036b0900 .............k.. + 10410: 03010302 09000201 03010900 0601037f ................ + 10420: 09000201 03010900 03010302 09000801 ................ + 10430: 037e0900 03010302 09000201 03030900 .~.............. + 10440: 09010304 09000201 037d0900 04010307 .........}...... + 10450: 09000201 037d0900 03010303 09000201 .....}.......... + 10460: 03060900 03010302 09000301 03040900 ................ + 10470: 0e010304 09000801 036c0900 04010319 .........l...... + 10480: 09000701 03030900 03010301 09000601 ................ + 10490: 03030900 04010308 09000401 037c0900 .............|.. + 104a0: 02010301 09000801 03010900 08010302 ................ + 104b0: 09000501 03030900 04010303 09000301 ................ + 104c0: 03030900 05010302 09000301 03030900 ................ + 104d0: 05010302 09000301 03030900 05010301 ................ + 104e0: 09000301 03010900 05010302 09000201 ................ + 104f0: 03050900 06010308 09000301 037c0900 .............|.. + 10500: 06010303 09000201 03f40009 00090103 ................ + 10510: 00090003 01030709 00030103 0709000f ................ + 10520: 01035409 000c0103 0109000a 01033109 ..T...........1. + 10530: 00090103 65090011 01030109 000a0103 ....e........... + 10540: 29090009 01030209 000e0103 0f090003 )............... + 10550: 0103fd7e 09000a01 03010900 08010384 ...~............ + 10560: 01090007 01030509 00070103 917f0900 ................ + 10570: 0a010301 09000a01 03f00009 00090103 ................ + 10580: 04090002 01030709 00030103 03090005 ................ + 10590: 01030209 00030103 7f090002 01030109 ................ + 105a0: 00050103 01090003 01030509 00030103 ................ + 105b0: 00090003 01030309 001b0103 07090002 ................ + 105c0: 01037f09 00020103 7f090002 01037f09 ................ + 105d0: 00020103 7f090002 01037f09 00020103 ................ + 105e0: 7d090002 01030209 00020103 08090005 }............... + 105f0: 01037f09 00080103 02090002 01030409 ................ + 10600: 00020103 03090005 01030009 00030103 ................ + 10610: 02090006 01030109 00110103 0209000c ................ + 10620: 01030409 00090103 01090011 01030209 ................ + 10630: 000b0103 03090009 01030109 000e0103 ................ + 10640: 0109000c 01030209 00090103 0109000e ................ + 10650: 01030109 000c0103 01090009 01090002 ................ + 10660: 00010100 001c7b00 0200000d 640101fb ......{.....d... + 10670: 0e0a0001 01010100 0000012f 726f6f74 .........../root + 10680: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10690: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 106a0: 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ + 106b0: 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ + 106c0: 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie + 106d0: 5f315f31 2f726f6d 2f686966 2f757362 _1_1/rom/hif/usb + 106e0: 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + 106f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 10700: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 10710: 315f312f 726f6d2f 6869662f 7573622f 1_1/rom/hif/usb/ + 10720: 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 10730: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10740: 742f7461 72676574 2f696e63 002f726f t/target/inc./ro + 10750: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10760: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 10770: 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 10780: 6d616770 6965002f 726f6f74 2f576f72 magpie./root/Wor + 10790: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 107a0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 107b0: 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ + 107c0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 107d0: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 107e0: 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo + 107f0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10800: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10810: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 10820: 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa + 10830: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 10840: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 10850: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 10860: 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + 10870: 2f696e63 6c756465 2f787465 6e73612f /include/xtensa/ + 10880: 636f6e66 6967002f 6f70742f 7874656e config./opt/xten + 10890: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 108a0: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 108b0: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 108c0: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 108d0: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 108e0: 61002f6f 70742f78 74656e73 612f5874 a./opt/xtensa/Xt + 108f0: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 10900: 2f627569 6c64732f 52422d32 3030372e /builds/RB-2007. + 10910: 322d6c69 6e75782f 4d616770 69655f50 2-linux/Magpie_P + 10920: 302f7874 656e7361 2d656c66 2f617263 0/xtensa-elf/arc + 10930: 682f696e 636c7564 652f7874 656e7361 h/include/xtensa + 10940: 2f636f6e 66696700 2f726f6f 742f576f /config./root/Wo + 10950: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10960: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10970: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 10980: 2f707269 6e74662f 696e6300 2f726f6f /printf/inc./roo + 10990: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 109a0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 109b0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 109c0: 6d6e6f73 2f756172 742f696e 63002f72 mnos/uart/inc./r + 109d0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 109e0: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 109f0: 65742f63 6d6e6f73 2f646267 2f696e63 et/cmnos/dbg/inc + 10a00: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10a10: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10a20: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10a30: 726f6d2f 636d6e6f 732f6d65 6d2f696e rom/cmnos/mem/in + 10a40: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 10a50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10a60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10a70: 2f726f6d 2f636d6e 6f732f6d 6973632f /rom/cmnos/misc/ + 10a80: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10a90: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 10aa0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 10ab0: 5f312f72 6f6d2f63 6d6e6f73 2f737472 _1/rom/cmnos/str + 10ac0: 696e672f 696e6300 2f726f6f 742f576f ing/inc./root/Wo + 10ad0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10ae0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10af0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 10b00: 2f74696d 65722f69 6e63002f 726f6f74 /timer/inc./root + 10b10: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10b20: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10b30: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 10b40: 6e6f732f 726f6d70 61746368 2f696e63 nos/rompatch/inc + 10b50: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10b60: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10b70: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10b80: 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr + 10b90: 616d2f69 6e63002f 726f6f74 2f576f72 am/inc./root/Wor + 10ba0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 10bb0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 10bc0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 10bd0: 7461736b 6c65742f 696e6300 2f726f6f tasklet/inc./roo + 10be0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 10bf0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 10c00: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 10c10: 6d6e6f73 2f636c6f 636b2f69 6e63002f mnos/clock/inc./ + 10c20: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 10c30: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 10c40: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 10c50: 6d2f636d 6e6f732f 696e7472 2f696e63 m/cmnos/intr/inc + 10c60: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10c70: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 10c80: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 10c90: 726f6d2f 636d6e6f 732f7764 742f696e rom/cmnos/wdt/in + 10ca0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 10cb0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10cc0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10cd0: 2f726f6d 2f636d6e 6f732f65 6570726f /rom/cmnos/eepro + 10ce0: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 10cf0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 10d00: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 10d10: 5f315f31 2f726f6d 2f686966 2f696e63 _1_1/rom/hif/inc + 10d20: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 10d30: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 10d40: 64662f69 6e636c75 64652f6e 62756600 df/include/nbuf. + 10d50: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 10d60: 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 10d70: 662f696e 636c7564 652f6f73 002f726f f/include/os./ro + 10d80: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 10d90: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 10da0: 742f6164 662f6f73 2f696e63 002f6f70 t/adf/os/inc./op + 10db0: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 10dc0: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool + 10dd0: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 10de0: 782f5874 656e7361 546f6f6c 732f6c69 x/XtensaTools/li + 10df0: 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ + 10e00: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 10e10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 10e20: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 10e30: 2f726f6d 2f766275 662f696e 63002f72 /rom/vbuf/inc./r + 10e40: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 10e50: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 10e60: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 10e70: 2f766465 73632f69 6e63002f 726f6f74 /vdesc/inc./root + 10e80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10e90: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 10ea0: 6c756465 2f6e6574 002f726f 6f742f57 lude/net./root/W + 10eb0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 10ec0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 10ed0: 7069655f 315f312f 726f6d2f 6164662f pie_1_1/rom/adf/ + 10ee0: 6e627566 2f696e63 002f726f 6f742f57 nbuf/inc./root/W + 10ef0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 10f00: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 10f10: 7069655f 315f312f 726f6d2f 6874632f pie_1_1/rom/htc/ + 10f20: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 10f30: 6163652f 30343132 5f763230 322f776c ace/0412_v202/wl + 10f40: 616e2f69 6e636c75 6465002f 726f6f74 an/include./root + 10f50: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10f60: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 10f70: 61677069 655f315f 312f726f 6d2f6275 agpie_1_1/rom/bu + 10f80: 665f706f 6f6c2f69 6e63002f 726f6f74 f_pool/inc./root + 10f90: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 10fa0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 10fb0: 776d692f 696e6300 2f726f6f 742f576f wmi/inc./root/Wo + 10fc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 10fd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 10fe0: 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e + 10ff0: 6e67696e 652f696e 63000075 73625f61 ngine/inc..usb_a + 11000: 70692e63 00010000 7573625f 64656673 pi.c....usb_defs + 11010: 2e680002 00007573 625f7461 626c652e .h....usb_table. + 11020: 68000200 0064745f 64656673 2e680003 h....dt_defs.h.. + 11030: 00007265 675f6465 66732e68 00040000 ..reg_defs.h.... + 11040: 7573625f 74797065 2e680002 00007573 usb_type.h....us + 11050: 625f7072 652e6800 02000075 73625f65 b_pre.h....usb_e + 11060: 7874722e 68000200 00757362 5f737464 xtr.h....usb_std + 11070: 2e680002 00006174 686f735f 6170692e .h....athos_api. + 11080: 68000500 006f7361 70692e68 00030000 h....osapi.h.... + 11090: 4f545553 5f736f63 2e680006 0000636d OTUS_soc.h....cm + 110a0: 6e6f735f 6170692e 68000700 00737973 nos_api.h....sys + 110b0: 5f636667 2e680005 0000726f 6d5f6366 _cfg.h....rom_cf + 110c0: 672e6800 0400006d 61677069 655f6d65 g.h....magpie_me + 110d0: 6d2e6800 05000063 6f72652e 68000800 m.h....core.h... + 110e0: 0068616c 2e680009 0000636f 72652d69 .hal.h....core-i + 110f0: 73612e68 000a0000 636f7265 2d6d6174 sa.h....core-mat + 11100: 6d61702e 68000a00 00746965 2e68000a map.h....tie.h.. + 11110: 00007874 72756e74 696d652e 68000900 ..xtruntime.h... + 11120: 00737065 63726567 2e68000a 0000636f .specreg.h....co + 11130: 72656269 74732e68 00090000 7072696e rebits.h....prin + 11140: 74665f61 70692e68 000b0000 75617274 tf_api.h....uart + 11150: 5f617069 2e68000c 00006462 675f6170 _api.h....dbg_ap + 11160: 692e6800 0d00006d 656d5f61 70692e68 i.h....mem_api.h + 11170: 000e0000 6d697363 5f617069 2e68000f ....misc_api.h.. + 11180: 00007374 72696e67 5f617069 2e680010 ..string_api.h.. + 11190: 00007469 6d65725f 6170692e 68001100 ..timer_api.h... + 111a0: 00726f6d 705f6170 692e6800 12000061 .romp_api.h....a + 111b0: 6c6c6f63 72616d5f 6170692e 68001300 llocram_api.h... + 111c0: 00746173 6b6c6574 5f617069 2e680014 .tasklet_api.h.. + 111d0: 0000636c 6f636b5f 6170692e 68001500 ..clock_api.h... + 111e0: 00696e74 725f6170 692e6800 16000077 .intr_api.h....w + 111f0: 64745f61 70692e68 00170000 65657072 dt_api.h....eepr + 11200: 6f6d5f61 70692e68 00180000 7573625f om_api.h....usb_ + 11210: 6170692e 68001900 00686966 5f706369 api.h....hif_pci + 11220: 2e680019 00006869 665f6170 692e6800 .h....hif_api.h. + 11230: 19000061 64665f6e 6275662e 68001a00 ...adf_nbuf.h... + 11240: 00616466 5f6f735f 7574696c 2e68001b .adf_os_util.h.. + 11250: 00006164 665f6f73 5f757469 6c5f7076 ..adf_os_util_pv + 11260: 742e6800 1c000061 64665f6f 735f7479 t.h....adf_os_ty + 11270: 7065732e 68001b00 00616466 5f6f735f pes.h....adf_os_ + 11280: 73746474 79706573 2e68001b 00006164 stdtypes.h....ad + 11290: 665f6f73 5f747970 65735f70 76742e68 f_os_types_pvt.h + 112a0: 001c0000 73746464 65662e68 001d0000 ....stddef.h.... + 112b0: 76627566 5f617069 2e68001e 00007664 vbuf_api.h....vd + 112c0: 6573635f 6170692e 68001f00 00737464 esc_api.h....std + 112d0: 6172672e 68000300 0076612d 7874656e arg.h....va-xten + 112e0: 73612e68 001d0000 6164665f 6f735f64 sa.h....adf_os_d + 112f0: 6d612e68 001b0000 6164665f 6f735f64 ma.h....adf_os_d + 11300: 6d615f70 76742e68 001c0000 6164665f ma_pvt.h....adf_ + 11310: 6e65745f 74797065 732e6800 20000061 net_types.h. ..a + 11320: 64665f6e 6275665f 7076742e 68002100 df_nbuf_pvt.h.!. + 11330: 00646d61 5f6c6962 2e680019 00006869 .dma_lib.h....hi + 11340: 665f676d 61632e68 00190000 4d616770 f_gmac.h....Magp + 11350: 69655f61 70692e68 00050000 75736266 ie_api.h....usbf + 11360: 69666f5f 6170692e 68001900 00687463 ifo_api.h....htc + 11370: 5f617069 2e680022 00006874 632e6800 _api.h."..htc.h. + 11380: 23000062 75665f70 6f6f6c5f 6170692e #..buf_pool_api. + 11390: 68002400 00776d69 5f737663 5f617069 h.$..wmi_svc_api + 113a0: 2e680025 0000776d 692e6800 23000061 .h.%..wmi.h.#..a + 113b0: 74686465 66732e68 00230000 646d615f thdefs.h.#..dma_ + 113c0: 656e6769 6e655f61 70692e68 00260000 engine_api.h.&.. + 113d0: 00000502 008e381c 03be0201 03000900 ......8......... + 113e0: 0301030c 09000301 037d0900 05010303 .........}...... + 113f0: 09000201 037d0900 0301037b 09000c01 .....}.....{.... + 11400: 030a0900 06010301 09000401 030b0900 ................ + 11410: 02010300 09000301 030a0900 0301037b ...............{ + 11420: 09000801 03070900 06010304 09000c01 ................ + 11430: 03040900 04010304 09000301 03020900 ................ + 11440: 11010301 09001e01 03010900 0f010301 ................ + 11450: 09000501 03020900 07010301 09001e01 ................ + 11460: 03010900 0f010301 09000501 030b0900 ................ + 11470: 05010300 09000301 03030900 0601037d ...............} + 11480: 09000301 03030900 02010305 09000901 ................ + 11490: 037b0900 02010305 09000301 03010900 .{.............. + 114a0: 06010304 09000601 03020900 06010301 ................ + 114b0: 09000401 030b0900 02010300 09000301 ................ + 114c0: 03010900 03010303 09000801 037e0900 .............~.. + 114d0: 06010308 09000201 037e0900 0201037f .........~...... + 114e0: 09000201 03010900 05010302 09000201 ................ + 114f0: 031b0900 03010300 09000301 03f10009 ................ + 11500: 00050103 987f0900 060103e8 00090005 ................ + 11510: 01037b09 00060103 9d7f0900 150103e7 ..{............. + 11520: 00090003 01039f7f 09000201 03070900 ................ + 11530: 02010302 09000f01 03de0009 00040103 ................ + 11540: 7d090002 01030109 00030103 9b7f0900 }............... + 11550: 03010318 09000501 037f0900 02010301 ................ + 11560: 09000c01 03010900 02010307 09000501 ................ + 11570: 03010900 08010307 09000601 030b0900 ................ + 11580: 06010308 09000c01 03020900 08010303 ................ + 11590: 09000a01 03010900 0b010305 09000501 ................ + 115a0: 03010900 0b010304 09000601 03090900 ................ + 115b0: 06010305 09000801 031a0900 05010300 ................ + 115c0: 09000301 03040900 03010301 09000901 ................ + 115d0: 03080900 0201037d 09000201 03020900 .......}........ + 115e0: 06010301 09000401 030d0900 03010300 ................ + 115f0: 09000301 03d40009 00030103 78090018 ............x... + 11600: 01030109 00020103 09090006 01030409 ................ + 11610: 00030103 7c090003 01030409 00060103 ....|........... + 11620: 01090003 01034609 00040103 32090005 ......F.....2... + 11630: 01030809 00020103 b57f0900 02010301 ................ + 11640: 09000501 032d0900 0601037b 09001001 .....-.....{.... + 11650: 03060900 05010305 09000901 03010900 ................ + 11660: 02010356 09000601 03010900 0401030e ...V............ + 11670: 09000601 03050900 06010305 09000601 ................ + 11680: 03330900 07010300 09000301 03060900 .3.............. + 11690: 08010301 09000601 03040900 09010302 ................ + 116a0: 09000801 03020900 0201030f 09000401 ................ + 116b0: 03000900 03010305 09000601 03050900 ................ + 116c0: 0301037d 09000701 03030900 05010304 ...}............ + 116d0: 09001201 03020900 09010301 09000301 ................ + 116e0: 03260900 02010367 09000201 03030900 .&.....g........ + 116f0: 03010301 09000301 03040900 03010306 ................ + 11700: 09001101 03030900 12010302 09000301 ................ + 11710: 03010900 0f010304 09000f01 03010900 ................ + 11720: 0401035c 09000201 03010900 03010304 ...\............ + 11730: 09000301 032b0900 15010300 09000301 .....+.......... + 11740: 03030900 03010317 09000901 036a0900 .............j.. + 11750: 0301037f 09000201 03170900 03010373 ...............s + 11760: 09000301 031a0900 09010377 09000201 ...........w.... + 11770: 03010900 08010304 09000901 03020900 ................ + 11780: 08010301 09000301 03010900 0201030e ................ + 11790: 09000501 03000900 03010305 09000301 ................ + 117a0: 032a0900 0901037e 09000201 03580900 .*.....~.....X.. + 117b0: 03010309 09000501 03020900 0801037e ...............~ + 117c0: 09000501 03020900 03010301 09000301 ................ + 117d0: 03010900 06010302 09000901 03030900 ................ + 117e0: 03010318 09001201 036d0900 02010303 .........m...... + 117f0: 09000301 03030900 11010301 09000301 ................ + 11800: 03020900 04010301 09000f01 03090900 ................ + 11810: 0f01030b 09000501 03000900 03010305 ................ + 11820: 09000601 037b0900 0501030a 09000201 .....{.......... + 11830: 037b0900 02010305 09000801 037b0900 .{...........{.. + 11840: 0301030b 09000301 037a0900 03010306 .........z...... + 11850: 09000301 037a0900 0201037b 09000601 .....z.....{.... + 11860: 03130900 0601037f 09000301 03010900 ................ + 11870: 07010305 09000401 03730900 0b010313 .........s...... + 11880: 09000201 036d0900 03010313 09000201 .....m.......... + 11890: 03070900 03010301 09000201 037f0900 ................ + 118a0: 03010301 09000301 03040900 04010301 ................ + 118b0: 09000801 03020900 0e010302 09000301 ................ + 118c0: 03010900 06010302 09000e01 03020900 ................ + 118d0: 03010301 09000601 03040900 0b010307 ................ + 118e0: 09000a01 037f0900 02010303 09000401 ................ + 118f0: 030a0900 04010300 09000301 03030900 ................ + 11900: 0301037d 09000201 03030900 02010305 ...}............ + 11910: 09000301 03050900 02010376 09000201 ...........v.... + 11920: 03050900 0b010307 09000c01 03040900 ................ + 11930: 1001030c 09000601 03790900 02010301 .........y...... + 11940: 09000301 03010900 03010301 09000301 ................ + 11950: 037f0900 0601037f 09000301 03040900 ................ + 11960: 03010302 09000201 037c0900 03010302 .........|...... + 11970: 09000201 03720900 05010312 09000a01 .....r.......... + 11980: 03070900 0701037f 09000201 03010900 ................ + 11990: 04010302 09000201 030a0900 02010300 ................ + 119a0: 09000301 03070900 09010302 09000e01 ................ + 119b0: 03020900 0c010304 09000301 037f0900 ................ + 119c0: 0301037f 09000301 03010900 0601037e ...............~ + 119d0: 09000301 03030900 06010310 09000301 ................ + 119e0: 03780900 0501037f 09000301 037f0900 .x.............. + 119f0: 0901037f 09000301 03160900 07010300 ................ + 11a00: 09000301 03890109 00030103 01090024 ...............$ + 11a10: 0103fa7e 09000201 03830109 000c0103 ...~............ + 11a20: 01090006 0103fc7e 09000201 03030900 .......~........ + 11a30: 0201037d 09000801 03060900 0201037a ...}...........z + 11a40: 09000801 03090900 02010377 09000801 ...........w.... + 11a50: 030c0900 02010374 09000801 03150900 .......t........ + 11a60: 0201036b 09000801 03fc0009 00020103 ...k............ + 11a70: 847f0900 0801031d 09000201 03050900 ................ + 11a80: 06010301 09001101 03030900 06010304 ................ + 11a90: 09000601 03070900 05010307 09001101 ................ + 11aa0: 03010900 11010303 09000f01 03040900 ................ + 11ab0: 12010340 09001201 038b0109 00050103 ...@............ + 11ac0: 00090003 01030909 000c0103 3a090003 ............:... + 11ad0: 01034609 00050103 3a090003 01035409 ..F.....:.....T. + 11ae0: 00080103 01090006 01037f09 00030103 ................ + 11af0: 01090003 01030209 00050103 02090011 ................ + 11b00: 01030109 000b0103 02090007 01030209 ................ + 11b10: 000f0103 01090008 01030109 00060103 ................ + 11b20: 01090006 01032009 00060103 4c090005 ...... .....L... + 11b30: 01037f09 00030103 7f090003 01030109 ................ + 11b40: 00060103 01090005 01030209 00030103 ................ + 11b50: 32090004 01036609 00020103 01090002 2.....f......... + 11b60: 01030109 00060103 01090006 01030109 ................ + 11b70: 00060103 02090006 01030209 000c0103 ................ + 11b80: 0109000f 01030809 00170103 09090006 ................ + 11b90: 01030f09 00040103 00090003 01030809 ................ + 11ba0: 00030103 0609000e 01037c09 00030103 ..........|..... + 11bb0: 04090005 01030209 00060103 0209000f ................ + 11bc0: 01030509 00060103 7b090005 01030209 ........{....... + 11bd0: 00030103 03090003 01030209 00060103 ................ + 11be0: 01090006 01037f09 00020103 01090006 ................ + 11bf0: 01030409 00030103 03090003 01037d09 ..............}. + 11c00: 00040103 05090009 01030109 000c0103 ................ + 11c10: 15090008 01030309 00020103 0209000a ................ + 11c20: 01030109 000c0103 04090005 01030309 ................ + 11c30: 00030103 7d090006 01030509 00090103 ....}........... + 11c40: 0109000c 01031409 00050103 03090006 ................ + 11c50: 01030609 000b0103 0209000b 01030309 ................ + 11c60: 00050103 7f090003 01030209 00030103 ................ + 11c70: 7e090006 01030109 00030103 7f090006 ~............... + 11c80: 01030409 00030103 0109000b 01030309 ................ + 11c90: 00180103 0209000b 01030109 00180103 ................ + 11ca0: 03090018 01030309 00030103 1109000d ................ + 11cb0: 01037409 00020103 03090003 01030909 ..t............. + 11cc0: 00060103 07090009 01030009 00030103 ................ + 11cd0: 02090002 01037e09 00060103 02090003 ......~......... + 11ce0: 01030409 000f0103 01090006 01030109 ................ + 11cf0: 00060103 03090006 01030109 00060103 ................ + 11d00: 07090006 01030109 00080103 03090006 ................ + 11d10: 01030109 00080103 03090008 01030309 ................ + 11d20: 00060103 03090006 01030209 00080103 ................ + 11d30: 01090006 01030109 00060103 04090006 ................ + 11d40: 01030409 00050103 00090003 01030109 ................ + 11d50: 00030103 00090003 01030109 000f0103 ................ + 11d60: 03090004 01030009 00030103 01090003 ................ + 11d70: 01030009 00020103 01090002 01030109 ................ + 11d80: 00040103 01090004 01030109 00040103 ................ + 11d90: 03090002 01030009 00030103 0a090003 ................ + 11da0: 01030209 00080103 01090003 01030509 ................ + 11db0: 00070103 04090006 01030109 00080103 ................ + 11dc0: 02090008 01030409 00070103 01090006 ................ + 11dd0: 01030109 00050103 7c090002 01031209 ........|....... + 11de0: 00020103 6e090002 01030e09 00020103 ....n........... + 11df0: 7e090003 01037f09 00030103 02090002 ~............... + 11e00: 01030209 00030103 01090002 01030209 ................ + 11e10: 00030103 11090003 01037809 00050103 ..........x..... + 11e20: 08090006 01030109 00020103 00090003 ................ + 11e30: 01030b09 00030103 01090005 01030409 ................ + 11e40: 00030103 01090002 01037f09 00030103 ................ + 11e50: 0d090008 01037309 00030103 10090006 ......s......... + 11e60: 01030109 000b0103 7c090002 01030909 ........|....... + 11e70: 00020103 0d090005 01037809 00090103 ..........x..... + 11e80: 0609000b 01030409 00080103 0409000b ................ + 11e90: 01030209 000b0103 04090011 01030f09 ................ + 11ea0: 00050103 49090005 01033709 00120103 ....I.....7..... + 11eb0: 60090002 01032109 000b0103 00090003 `.....!......... + 11ec0: 01030109 00030103 02090009 01030a09 ................ + 11ed0: 00050103 00090003 01030109 00030103 ................ + 11ee0: 1c090009 01030409 00050103 00090003 ................ + 11ef0: 01030309 00030103 00090009 01030309 ................ + 11f00: 000f0103 0309000b 01030209 00060103 ................ + 11f10: 01090011 01030309 00040103 04090003 ................ + 11f20: 01030109 00030103 03090002 01030009 ................ + 11f30: 00030103 05090006 01030209 00060103 ................ + 11f40: 02090003 01030109 00060103 0309000e ................ + 11f50: 01030209 00030103 03090006 01030209 ................ + 11f60: 00030103 0309000f 01030309 00030103 ................ + 11f70: 02090006 01030309 00030103 02090006 ................ + 11f80: 01030309 00030103 03090006 01030209 ................ + 11f90: 00050103 0309000b 01030309 00030103 ................ + 11fa0: 02090008 01030309 00020103 03090003 ................ + 11fb0: 01030409 00060103 7e090003 01030209 ........~....... + 11fc0: 00030103 02090012 01030209 00030103 ................ + 11fd0: 02090012 01030209 00030103 04090012 ................ + 11fe0: 01030409 00040103 00090003 01030509 ................ + 11ff0: 00060103 08090006 01030209 00030103 ................ + 12000: 01090006 01030109 00030103 03090006 ................ + 12010: 01030309 00030103 01090006 01030109 ................ + 12020: 00030103 0309000b 01030309 00030103 ................ + 12030: 03090006 01030309 00030103 0109000f ................ + 12040: 01030309 00090103 03090003 01030209 ................ + 12050: 00060103 03090003 01030209 00060103 ................ + 12060: 03090003 01030409 00060103 02090003 ................ + 12070: 01030309 000a0103 02090003 01030309 ................ + 12080: 00080103 03090005 01030309 000b0103 ................ + 12090: 03090003 01030209 00080103 03090002 ................ + 120a0: 01030309 00030103 0f090006 01030209 ................ + 120b0: 00030103 02090012 01037e09 00060103 ..........~..... + 120c0: 02090003 01030309 00030103 08090003 ................ + 120d0: 01030109 00120103 0409000f 01030609 ................ + 120e0: 00030103 7a090003 01030609 00030103 ....z........... + 120f0: 03090003 01030909 00060103 02090003 ................ + 12100: 01030109 00120103 04090009 01038b01 ................ + 12110: 09000201 03030900 0301037d 09000501 ...........}.... + 12120: 03030900 06010302 09000f01 037e0900 .............~.. + 12130: 03010302 09000301 03040900 08010309 ................ + 12140: 09000301 03010900 0f010302 09001401 ................ + 12150: 03060900 0301030a 09003a01 03030900 ..........:..... + 12160: 14010304 09000201 03000900 03010305 ................ + 12170: 09000301 03080900 06010311 09001c01 ................ + 12180: 036f0900 03010305 09000301 03010900 .o.............. + 12190: 03010304 09000301 03070900 0301031b ................ + 121a0: 09000301 03070900 0c010306 09000901 ................ + 121b0: 03010900 1101037f 09000601 03010900 ................ + 121c0: 03010312 09000301 03010900 1101037f ................ + 121d0: 09000301 03010900 03010302 09000301 ................ + 121e0: 03020900 17010307 09000601 03030900 ................ + 121f0: 09010302 09001201 037c0900 02010304 .........|...... + 12200: 09001201 03060900 02010300 09000301 ................ + 12210: 03030900 0601037f 09000201 03020900 ................ + 12220: 02010304 09000301 03000900 0301030b ................ + 12230: 09001b01 037f0900 0201037e 09000201 ...........~.... + 12240: 037f0900 0301037f 09000301 037e0900 .............~.. + 12250: 0201037f 09000201 037e0900 02010301 .........~...... + 12260: 09000201 03160900 0501037e 09001b01 ...........~.... + 12270: 037f0900 0301037f 09000201 037f0900 ................ + 12280: 0201037e 09000201 037f0900 0201037d ...~...........} + 12290: 09000201 03010900 02010316 09000501 ................ + 122a0: 037f0900 1a01037e 09000201 037f0900 .......~........ + 122b0: 0301037e 09000301 037f0900 0301037e ...~...........~ + 122c0: 09000301 037e0900 03010301 09000301 .....~.......... + 122d0: 030c0900 06010301 09000301 09000200 ................ + 122e0: 01010000 01b80002 000001b2 0101fb0e ................ + 122f0: 0a000101 01010000 00012f72 6f6f742f ........../root/ + 12300: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12310: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 12320: 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m + 12330: 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. + 12340: 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ + 12350: 315f312f 726f6d2f 6869662f 7573622f 1_1/rom/hif/usb/ + 12360: 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 12370: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12380: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12390: 5f312f72 6f6d2f68 69662f75 73622f73 _1/rom/hif/usb/s + 123a0: 7263002f 726f6f74 2f576f72 6b737061 rc./root/Workspa + 123b0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 123c0: 2f746172 6765742f 696e6300 2f726f6f /target/inc./roo + 123d0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 123e0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 123f0: 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + 12400: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12410: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 12420: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 12430: 632f6d61 67706965 00007573 625f7461 c/magpie..usb_ta + 12440: 626c652e 63000100 00757362 5f747970 ble.c....usb_typ + 12450: 652e6800 02000064 745f6465 66732e68 e.h....dt_defs.h + 12460: 00030000 7573625f 7461626c 652e6800 ....usb_table.h. + 12470: 02000073 79735f63 66672e68 00040000 ...sys_cfg.h.... + 12480: 726f6d5f 6366672e 68000500 006d6167 rom_cfg.h....mag + 12490: 7069655f 6d656d2e 68000400 00000000 pie_mem.h....... + 124a0: 10250002 00000d65 0101fb0e 0a000101 .%.....e........ + 124b0: 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 124c0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 124d0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 124e0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 124f0: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 12500: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 12510: 726f6d2f 6869662f 7573622f 73726300 rom/hif/usb/src. + 12520: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 12530: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 12540: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 12550: 6f6d2f68 69662f75 73622f73 7263002f om/hif/usb/src./ + 12560: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12570: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 12580: 6765742f 696e6300 2f726f6f 742f576f get/inc./root/Wo + 12590: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 125a0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 125b0: 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 125c0: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 125d0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 125e0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 125f0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 12600: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 12610: 67742f74 61726765 742f696e 632f4f54 gt/target/inc/OT + 12620: 5553002f 726f6f74 2f576f72 6b737061 US./root/Workspa + 12630: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12640: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12650: 312f726f 6d2f636d 6e6f732f 696e6300 1/rom/cmnos/inc. + 12660: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 12670: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 12680: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 12690: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 126a0: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 126b0: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 126c0: 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt + 126d0: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 126e0: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 126f0: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 12700: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 12710: 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op + 12720: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 12730: 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 12740: 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 12750: 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 12760: 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc + 12770: 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 12780: 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa + 12790: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 127a0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 127b0: 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin + 127c0: 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor + 127d0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 127e0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 127f0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 12800: 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W + 12810: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 12820: 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm + 12830: 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo + 12840: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 12850: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 12860: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 12870: 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro + 12880: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12890: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 128a0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 128b0: 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ + 128c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 128d0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 128e0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 128f0: 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i + 12900: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12910: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12920: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12930: 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time + 12940: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 12950: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12960: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 12970: 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r + 12980: 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo + 12990: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 129a0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 129b0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 129c0: 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in + 129d0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 129e0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 129f0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 12a00: 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl + 12a10: 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 12a20: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 12a30: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 12a40: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 12a50: 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ + 12a60: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 12a70: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 12a80: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 12a90: 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo + 12aa0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 12ab0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 12ac0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 12ad0: 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro + 12ae0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12af0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12b00: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 12b10: 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc + 12b20: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 12b30: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 12b40: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 12b50: 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo + 12b60: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 12b70: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 12b80: 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root + 12b90: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 12ba0: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 12bb0: 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo + 12bc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 12bd0: 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf + 12be0: 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte + 12bf0: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 12c00: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 12c10: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 12c20: 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc + 12c30: 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro + 12c40: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 12c50: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 12c60: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 12c70: 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 12c80: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 12c90: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 12ca0: 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 12cb0: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 12cc0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12cd0: 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 12ce0: 6e657400 2f726f6f 742f576f 726b7370 net./root/Worksp + 12cf0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12d00: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12d10: 5f312f72 6f6d2f61 64662f6e 6275662f _1/rom/adf/nbuf/ + 12d20: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 12d30: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 12d40: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 12d50: 5f312f72 6f6d2f68 74632f69 6e63002f _1/rom/htc/inc./ + 12d60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 12d70: 3431325f 76323032 2f776c61 6e2f696e 412_v202/wlan/in + 12d80: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 12d90: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12da0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 12db0: 5f315f31 2f726f6d 2f627566 5f706f6f _1_1/rom/buf_poo + 12dc0: 6c2f696e 63002f72 6f6f742f 576f726b l/inc./root/Work + 12dd0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 12de0: 7467742f 74617267 65742f77 6d692f69 tgt/target/wmi/i + 12df0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 12e00: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 12e10: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 12e20: 312f726f 6d2f646d 615f656e 67696e65 1/rom/dma_engine + 12e30: 2f696e63 00007573 625f6669 666f2e63 /inc..usb_fifo.c + 12e40: 00010000 7573625f 64656673 2e680002 ....usb_defs.h.. + 12e50: 00007573 625f7461 626c652e 68000200 ..usb_table.h... + 12e60: 0064745f 64656673 2e680003 00007265 .dt_defs.h....re + 12e70: 675f6465 66732e68 00040000 7573625f g_defs.h....usb_ + 12e80: 74797065 2e680002 00007573 625f7072 type.h....usb_pr + 12e90: 652e6800 02000075 73625f65 7874722e e.h....usb_extr. + 12ea0: 68000200 00757362 5f737464 2e680002 h....usb_std.h.. + 12eb0: 00007379 735f6366 672e6800 05000072 ..sys_cfg.h....r + 12ec0: 6f6d5f63 66672e68 00040000 6d616770 om_cfg.h....magp + 12ed0: 69655f6d 656d2e68 00050000 6174686f ie_mem.h....atho + 12ee0: 735f6170 692e6800 0500006f 73617069 s_api.h....osapi + 12ef0: 2e680003 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 12f00: 00060000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. + 12f10: 07000063 6f72652e 68000800 0068616c ...core.h....hal + 12f20: 2e680009 0000636f 72652d69 73612e68 .h....core-isa.h + 12f30: 000a0000 636f7265 2d6d6174 6d61702e ....core-matmap. + 12f40: 68000a00 00746965 2e68000a 00007874 h....tie.h....xt + 12f50: 72756e74 696d652e 68000900 00737065 runtime.h....spe + 12f60: 63726567 2e68000a 0000636f 72656269 creg.h....corebi + 12f70: 74732e68 00090000 7072696e 74665f61 ts.h....printf_a + 12f80: 70692e68 000b0000 75617274 5f617069 pi.h....uart_api + 12f90: 2e68000c 00006462 675f6170 692e6800 .h....dbg_api.h. + 12fa0: 0d00006d 656d5f61 70692e68 000e0000 ...mem_api.h.... + 12fb0: 6d697363 5f617069 2e68000f 00007374 misc_api.h....st + 12fc0: 72696e67 5f617069 2e680010 00007469 ring_api.h....ti + 12fd0: 6d65725f 6170692e 68001100 00726f6d mer_api.h....rom + 12fe0: 705f6170 692e6800 12000061 6c6c6f63 p_api.h....alloc + 12ff0: 72616d5f 6170692e 68001300 00746173 ram_api.h....tas + 13000: 6b6c6574 5f617069 2e680014 0000636c klet_api.h....cl + 13010: 6f636b5f 6170692e 68001500 00696e74 ock_api.h....int + 13020: 725f6170 692e6800 16000077 64745f61 r_api.h....wdt_a + 13030: 70692e68 00170000 65657072 6f6d5f61 pi.h....eeprom_a + 13040: 70692e68 00180000 7573625f 6170692e pi.h....usb_api. + 13050: 68001900 00686966 5f706369 2e680019 h....hif_pci.h.. + 13060: 00006869 665f6170 692e6800 19000061 ..hif_api.h....a + 13070: 64665f6e 6275662e 68001a00 00616466 df_nbuf.h....adf + 13080: 5f6f735f 7574696c 2e68001b 00006164 _os_util.h....ad + 13090: 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. + 130a0: 1c000061 64665f6f 735f7479 7065732e ...adf_os_types. + 130b0: 68001b00 00616466 5f6f735f 73746474 h....adf_os_stdt + 130c0: 79706573 2e68001b 00006164 665f6f73 ypes.h....adf_os + 130d0: 5f747970 65735f70 76742e68 001c0000 _types_pvt.h.... + 130e0: 73746464 65662e68 001d0000 76627566 stddef.h....vbuf + 130f0: 5f617069 2e68001e 00007664 6573635f _api.h....vdesc_ + 13100: 6170692e 68001f00 00737464 6172672e api.h....stdarg. + 13110: 68000300 0076612d 7874656e 73612e68 h....va-xtensa.h + 13120: 001d0000 6164665f 6f735f64 6d612e68 ....adf_os_dma.h + 13130: 001b0000 6164665f 6f735f64 6d615f70 ....adf_os_dma_p + 13140: 76742e68 001c0000 6164665f 6e65745f vt.h....adf_net_ + 13150: 74797065 732e6800 20000061 64665f6e types.h. ..adf_n + 13160: 6275665f 7076742e 68002100 00646d61 buf_pvt.h.!..dma + 13170: 5f6c6962 2e680019 00006869 665f676d _lib.h....hif_gm + 13180: 61632e68 00190000 4d616770 69655f61 ac.h....Magpie_a + 13190: 70692e68 00050000 75736266 69666f5f pi.h....usbfifo_ + 131a0: 6170692e 68001900 00687463 5f617069 api.h....htc_api + 131b0: 2e680022 00006874 632e6800 23000062 .h."..htc.h.#..b + 131c0: 75665f70 6f6f6c5f 6170692e 68002400 uf_pool_api.h.$. + 131d0: 00776d69 5f737663 5f617069 2e680025 .wmi_svc_api.h.% + 131e0: 0000776d 692e6800 23000061 74686465 ..wmi.h.#..athde + 131f0: 66732e68 00230000 646d615f 656e6769 fs.h.#..dma_engi + 13200: 6e655f61 70692e68 00260000 00000502 ne_api.h.&...... + 13210: 008e47bc 03130103 06090003 01030009 ..G............. + 13220: 00110103 07090006 01030309 00020103 ................ + 13230: 09090003 01030009 00130103 06090006 ................ + 13240: 01030309 00040103 06090003 01030009 ................ + 13250: 00130103 06090006 01030309 00040103 ................ + 13260: 06090003 01030009 00190103 04090009 ................ + 13270: 01030309 00030103 06090003 01030009 ................ + 13280: 00190103 03090006 01030309 00020103 ................ + 13290: 0c090003 01030009 00140103 01090012 ................ + 132a0: 01030409 001f0103 20090004 01030009 ........ ....... + 132b0: 00030103 9f010900 0601037b 09000301 ...........{.... + 132c0: 037b0900 0e010380 7f090006 01030109 .{.............. + 132d0: 00070103 02090007 01030209 00070103 ................ + 132e0: 06090008 01030309 00070103 0109000a ................ + 132f0: 01030109 000a0103 0509000a 01030109 ................ + 13300: 00080103 02090007 01030209 00070103 ................ + 13310: 06090008 01030309 00070103 0109000a ................ + 13320: 01030109 000a0103 0509000a 01030109 ................ + 13330: 00080103 01090007 01030909 00080103 ................ + 13340: 01090009 01030109 00090103 05090009 ................ + 13350: 01030109 00080103 01090007 01030909 ................ + 13360: 00080103 01090009 01030109 00090103 ................ + 13370: 07090009 01030109 00070103 02090007 ................ + 13380: 01030209 00070103 06090008 01030309 ................ + 13390: 00070103 0109000a 01030109 000a0103 ................ + 133a0: 0809000a 01030109 00080103 02090007 ................ + 133b0: 01030209 00070103 06090008 01030309 ................ + 133c0: 00070103 0109000a 01030109 000a0103 ................ + 133d0: 1509000a 01030309 00020103 00090003 ................ + 133e0: 0103fb00 09000601 037b0900 0301037b .........{.....{ + 133f0: 09000e01 03a47f09 00060103 01090007 ................ + 13400: 01030109 00070103 06090008 01030309 ................ + 13410: 00070103 01090009 01030209 00090103 ................ + 13420: 05090009 01030109 00080103 01090007 ................ + 13430: 01030609 00080103 03090007 01030109 ................ + 13440: 00090103 01090009 01030509 00090103 ................ + 13450: 01090008 01030109 00070103 09090008 ................ + 13460: 01030109 00090103 01090009 01030509 ................ + 13470: 00090103 01090008 01030109 00070103 ................ + 13480: 09090008 01030109 00090103 01090009 ................ + 13490: 01030509 00090103 01090007 01030109 ................ + 134a0: 00070103 06090008 01030309 00070103 ................ + 134b0: 01090009 01030109 00090103 12090009 ................ + 134c0: 01090002 00010100 000f3300 0200000c ..........3..... + 134d0: c40101fb 0e0a0001 01010100 0000012f .............../ + 134e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 134f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 13500: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im + 13510: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. + 13520: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma + 13530: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 13540: 2f646d61 5f6c6962 2f002f72 6f6f742f /dma_lib/./root/ + 13550: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 13560: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 13570: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13580: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13590: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS + 135a0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 135b0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 135c0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 135d0: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 135e0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 135f0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13600: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13610: 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ + 13620: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 13630: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 13640: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 13650: 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo + 13660: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 13670: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 13680: 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ + 13690: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 136a0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 136b0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 136c0: 6d2f636d 6e6f732f 696e6300 2f726f6f m/cmnos/inc./roo + 136d0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 136e0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 136f0: 6d616770 69655f31 5f312f69 6e632f6d magpie_1_1/inc/m + 13700: 61677069 65002f6f 70742f78 74656e73 agpie./opt/xtens + 13710: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 13720: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 + 13730: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens + 13740: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el + 13750: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa + 13760: 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte + 13770: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 13780: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 13790: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 137a0: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- + 137b0: 656c662f 696e636c 7564652f 7874656e elf/include/xten + 137c0: 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X + 137d0: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 137e0: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 + 137f0: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ + 13800: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar + 13810: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens + 13820: 612f636f 6e666967 002f726f 6f742f57 a/config./root/W + 13830: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 13840: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 13850: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 13860: 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro + 13870: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 13880: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 13890: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 138a0: 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ + 138b0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 138c0: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 138d0: 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in + 138e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 138f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 13900: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 13910: 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i + 13920: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13930: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13940: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13950: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc + 13960: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 13970: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 13980: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 13990: 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st + 139a0: 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W + 139b0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 139c0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 139d0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 139e0: 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo + 139f0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 13a00: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 13a10: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 13a20: 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in + 13a30: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 13a40: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 13a50: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 13a60: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc + 13a70: 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo + 13a80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 13a90: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 13aa0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 13ab0: 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro + 13ac0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 13ad0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 13ae0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 13af0: 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. + 13b00: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 13b10: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 13b20: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 13b30: 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in + 13b40: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 13b50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 13b60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 13b70: 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i + 13b80: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13b90: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13ba0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13bb0: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr + 13bc0: 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor + 13bd0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 13be0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 13bf0: 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in + 13c00: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 13c10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 13c20: 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf + 13c30: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 13c40: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a + 13c50: 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r + 13c60: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 13c70: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ + 13c80: 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o + 13c90: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT + 13ca0: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too + 13cb0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin + 13cc0: 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l + 13cd0: 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu + 13ce0: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 13cf0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13d00: 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net + 13d10: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 13d20: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 13d30: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 13d40: 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc + 13d50: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 13d60: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 13d70: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 13d80: 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo + 13d90: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 13da0: 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu + 13db0: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa + 13dc0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13dd0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 13de0: 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i + 13df0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 13e00: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 13e10: 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. + 13e20: 00646d61 5f6c6962 2e630001 00006474 .dma_lib.c....dt + 13e30: 5f646566 732e6800 0200006f 73617069 _defs.h....osapi + 13e40: 2e680002 00004f54 55535f73 6f632e68 .h....OTUS_soc.h + 13e50: 00030000 646d615f 656e6769 6e655f61 ....dma_engine_a + 13e60: 70692e68 00040000 76627566 5f617069 pi.h....vbuf_api + 13e70: 2e680005 00007664 6573635f 6170692e .h....vdesc_api. + 13e80: 68000600 004d6167 7069655f 6170692e h....Magpie_api. + 13e90: 68000700 00636d6e 6f735f61 70692e68 h....cmnos_api.h + 13ea0: 00080000 7379735f 6366672e 68000700 ....sys_cfg.h... + 13eb0: 00726f6d 5f636667 2e680009 00006d61 .rom_cfg.h....ma + 13ec0: 67706965 5f6d656d 2e680007 0000636f gpie_mem.h....co + 13ed0: 72652e68 000a0000 68616c2e 68000b00 re.h....hal.h... + 13ee0: 00636f72 652d6973 612e6800 0c000063 .core-isa.h....c + 13ef0: 6f72652d 6d61746d 61702e68 000c0000 ore-matmap.h.... + 13f00: 7469652e 68000c00 00787472 756e7469 tie.h....xtrunti + 13f10: 6d652e68 000b0000 73706563 7265672e me.h....specreg. + 13f20: 68000c00 00636f72 65626974 732e6800 h....corebits.h. + 13f30: 0b000070 72696e74 665f6170 692e6800 ...printf_api.h. + 13f40: 0d000075 6172745f 6170692e 68000e00 ...uart_api.h... + 13f50: 00726567 5f646566 732e6800 09000064 .reg_defs.h....d + 13f60: 62675f61 70692e68 000f0000 6d656d5f bg_api.h....mem_ + 13f70: 6170692e 68001000 006d6973 635f6170 api.h....misc_ap + 13f80: 692e6800 11000073 7472696e 675f6170 i.h....string_ap + 13f90: 692e6800 12000074 696d6572 5f617069 i.h....timer_api + 13fa0: 2e680013 0000726f 6d705f61 70692e68 .h....romp_api.h + 13fb0: 00140000 616c6c6f 6372616d 5f617069 ....allocram_api + 13fc0: 2e680015 00007461 736b6c65 745f6170 .h....tasklet_ap + 13fd0: 692e6800 16000063 6c6f636b 5f617069 i.h....clock_api + 13fe0: 2e680017 0000696e 74725f61 70692e68 .h....intr_api.h + 13ff0: 00180000 7764745f 6170692e 68001900 ....wdt_api.h... + 14000: 00656570 726f6d5f 6170692e 68001a00 .eeprom_api.h... + 14010: 00757362 5f617069 2e68001b 00006869 .usb_api.h....hi + 14020: 665f7063 692e6800 1b000068 69665f61 f_pci.h....hif_a + 14030: 70692e68 001b0000 6164665f 6e627566 pi.h....adf_nbuf + 14040: 2e68001c 00006164 665f6f73 5f757469 .h....adf_os_uti + 14050: 6c2e6800 1d000061 64665f6f 735f7574 l.h....adf_os_ut + 14060: 696c5f70 76742e68 001e0000 6164665f il_pvt.h....adf_ + 14070: 6f735f74 79706573 2e68001d 00006164 os_types.h....ad + 14080: 665f6f73 5f737464 74797065 732e6800 f_os_stdtypes.h. + 14090: 1d000061 64665f6f 735f7479 7065735f ...adf_os_types_ + 140a0: 7076742e 68001e00 00737464 6465662e pvt.h....stddef. + 140b0: 68001f00 00737464 6172672e 68000200 h....stdarg.h... + 140c0: 0076612d 7874656e 73612e68 001f0000 .va-xtensa.h.... + 140d0: 6164665f 6f735f64 6d612e68 001d0000 adf_os_dma.h.... + 140e0: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 140f0: 001e0000 6164665f 6e65745f 74797065 ....adf_net_type + 14100: 732e6800 20000061 64665f6e 6275665f s.h. ..adf_nbuf_ + 14110: 7076742e 68002100 00646d61 5f6c6962 pvt.h.!..dma_lib + 14120: 2e68001b 00006869 665f676d 61632e68 .h....hif_gmac.h + 14130: 001b0000 75736266 69666f5f 6170692e ....usbfifo_api. + 14140: 68001b00 00687463 5f617069 2e680022 h....htc_api.h." + 14150: 00006874 632e6800 23000062 75665f70 ..htc.h.#..buf_p + 14160: 6f6f6c5f 6170692e 68002400 00776d69 ool_api.h.$..wmi + 14170: 5f737663 5f617069 2e680025 0000776d _svc_api.h.%..wm + 14180: 692e6800 23000061 74686465 66732e68 i.h.#..athdefs.h + 14190: 00230000 00000502 008e4b70 03a50101 .#........Kp.... + 141a0: 03010900 0301030a 09000901 03010900 ................ + 141b0: 03010301 09000501 03090900 04010300 ................ + 141c0: 09000301 030d0900 08010301 09000601 ................ + 141d0: 037b0900 05010305 09000801 030b0900 .{.............. + 141e0: 02010304 09000301 03010900 0601030e ................ + 141f0: 09000201 03740900 08010302 09000e01 .....t.......... + 14200: 03020900 07010304 09000401 037c0900 .............|.. + 14210: 02010304 09000201 03010900 05010301 ................ + 14220: 09000701 03020900 08010306 09000401 ................ + 14230: 03000900 03010308 09000a01 03050900 ................ + 14240: 0e01037e 09000401 03080900 04010378 ...~...........x + 14250: 09000501 03080900 0201037a 09000201 ...........z.... + 14260: 03060900 06010301 09000301 03010900 ................ + 14270: 07010301 09000801 03010900 0701030b ................ + 14280: 09000501 03010900 03010301 09000301 ................ + 14290: 030a0900 0201037c 09000801 03020900 .......|........ + 142a0: 0e010302 09000701 030b0900 07010300 ................ + 142b0: 09000301 03030900 08010302 09000a01 ................ + 142c0: 037e0900 05010302 09000201 03040900 .~.............. + 142d0: 03010301 09000701 030a0900 02010300 ................ + 142e0: 09000301 03010900 0601030a 09001301 ................ + 142f0: 03000900 0301030d 09000301 03770900 .............w.. + 14300: 02010302 09000a01 03010900 0201037d ...............} + 14310: 09000201 03090900 02010301 09000501 ................ + 14320: 03050900 07010301 09000501 037f0900 ................ + 14330: 0b010304 09000301 030b0900 05010301 ................ + 14340: 09000301 03010900 06010302 09000201 ................ + 14350: 030b0900 1d010300 09000301 03010900 ................ + 14360: 0601030b 09001301 03000900 03010303 ................ + 14370: 09000801 03020900 0a01037e 09000501 ...........~.... + 14380: 03020900 02010302 09000301 03010900 ................ + 14390: 0701030a 09000201 03010900 03010301 ................ + 143a0: 09000301 03020900 02010305 09001c01 ................ + 143b0: 03000900 03010309 09001b01 037f0900 ................ + 143c0: 0201037f 09000201 037f0900 0201037f ................ + 143d0: 09000201 037f0900 0201037f 09000201 ................ + 143e0: 037e0900 02010301 09000201 03080900 .~.............. + 143f0: 05010301 09000201 09000200 01010000 ................ + 14400: 0e090002 00000d03 0101fb0e 0a000101 ................ + 14410: 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 14420: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 14430: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 14440: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 14450: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 14460: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14470: 726f6d2f 76627566 2f737263 002f726f rom/vbuf/src./ro + 14480: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14490: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 144a0: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 144b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 144c0: 7467742f 74617267 65742f69 6e632f4f tgt/target/inc/O + 144d0: 54555300 2f726f6f 742f576f 726b7370 TUS./root/Worksp + 144e0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 144f0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14500: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. + 14510: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 14520: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 14530: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 14540: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro + 14550: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14560: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 14570: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. + 14580: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 14590: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 145a0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 145b0: 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro + 145c0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 145d0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 145e0: 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ + 145f0: 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten + 14600: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 14610: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 + 14620: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten + 14630: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e + 14640: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens + 14650: 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt + 14660: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 14670: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 14680: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 14690: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 146a0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 146b0: 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ + 146c0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 146d0: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 + 146e0: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie + 146f0: 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a + 14700: 7263682f 696e636c 7564652f 7874656e rch/include/xten + 14710: 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ + 14720: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 14730: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 14740: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 14750: 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r + 14760: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 14770: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 14780: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 14790: 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. + 147a0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 147b0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 147c0: 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i + 147d0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 147e0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 147f0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 14800: 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ + 14810: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14820: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14830: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14840: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis + 14850: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 14860: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 14870: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 14880: 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s + 14890: 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ + 148a0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 148b0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 148c0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 148d0: 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro + 148e0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 148f0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 14900: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 14910: 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i + 14920: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 14930: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 14940: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 14950: 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo + 14960: 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W + 14970: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 14980: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 14990: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 149a0: 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r + 149b0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 149c0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 149d0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 149e0: 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc + 149f0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 14a00: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 14a10: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14a20: 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i + 14a30: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 14a40: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 14a50: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 14a60: 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ + 14a70: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14a80: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14a90: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14aa0: 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep + 14ab0: 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo + 14ac0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 14ad0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 14ae0: 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i + 14af0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 14b00: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 14b10: 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu + 14b20: 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac + 14b30: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 14b40: 6164662f 696e636c 7564652f 6f73002f adf/include/os./ + 14b50: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 14b60: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 14b70: 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ + 14b80: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 14b90: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 14ba0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 14bb0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 14bc0: 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl + 14bd0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 14be0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14bf0: 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne + 14c00: 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac + 14c10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 14c20: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 14c30: 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in + 14c40: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 14c50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 14c60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 14c70: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro + 14c80: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 14c90: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl + 14ca0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp + 14cb0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14cc0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 14cd0: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ + 14ce0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 14cf0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 14d00: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc + 14d10: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 14d20: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 14d30: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 14d40: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i + 14d50: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 14d60: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 14d70: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 14d80: 312f726f 6d2f7662 75662f73 72630000 1/rom/vbuf/src.. + 14d90: 76627566 2e630001 00006f73 6170692e vbuf.c....osapi. + 14da0: 68000200 004f5455 535f736f 632e6800 h....OTUS_soc.h. + 14db0: 03000076 6275665f 6170692e 68000400 ...vbuf_api.h... + 14dc0: 00766465 73635f61 70692e68 00050000 .vdesc_api.h.... + 14dd0: 4d616770 69655f61 70692e68 00060000 Magpie_api.h.... + 14de0: 636d6e6f 735f6170 692e6800 07000073 cmnos_api.h....s + 14df0: 79735f63 66672e68 00060000 726f6d5f ys_cfg.h....rom_ + 14e00: 6366672e 68000800 006d6167 7069655f cfg.h....magpie_ + 14e10: 6d656d2e 68000600 00636f72 652e6800 mem.h....core.h. + 14e20: 09000068 616c2e68 000a0000 636f7265 ...hal.h....core + 14e30: 2d697361 2e68000b 0000636f 72652d6d -isa.h....core-m + 14e40: 61746d61 702e6800 0b000074 69652e68 atmap.h....tie.h + 14e50: 000b0000 78747275 6e74696d 652e6800 ....xtruntime.h. + 14e60: 0a000073 70656372 65672e68 000b0000 ...specreg.h.... + 14e70: 636f7265 62697473 2e68000a 00007072 corebits.h....pr + 14e80: 696e7466 5f617069 2e68000c 00007561 intf_api.h....ua + 14e90: 72745f61 70692e68 000d0000 7265675f rt_api.h....reg_ + 14ea0: 64656673 2e680008 00006474 5f646566 defs.h....dt_def + 14eb0: 732e6800 02000064 62675f61 70692e68 s.h....dbg_api.h + 14ec0: 000e0000 6d656d5f 6170692e 68000f00 ....mem_api.h... + 14ed0: 006d6973 635f6170 692e6800 10000073 .misc_api.h....s + 14ee0: 7472696e 675f6170 692e6800 11000074 tring_api.h....t + 14ef0: 696d6572 5f617069 2e680012 0000726f imer_api.h....ro + 14f00: 6d705f61 70692e68 00130000 616c6c6f mp_api.h....allo + 14f10: 6372616d 5f617069 2e680014 00007461 cram_api.h....ta + 14f20: 736b6c65 745f6170 692e6800 15000063 sklet_api.h....c + 14f30: 6c6f636b 5f617069 2e680016 0000696e lock_api.h....in + 14f40: 74725f61 70692e68 00170000 7764745f tr_api.h....wdt_ + 14f50: 6170692e 68001800 00656570 726f6d5f api.h....eeprom_ + 14f60: 6170692e 68001900 00757362 5f617069 api.h....usb_api + 14f70: 2e68001a 00006869 665f7063 692e6800 .h....hif_pci.h. + 14f80: 1a000068 69665f61 70692e68 001a0000 ...hif_api.h.... + 14f90: 6164665f 6e627566 2e68001b 00006164 adf_nbuf.h....ad + 14fa0: 665f6f73 5f757469 6c2e6800 1c000061 f_os_util.h....a + 14fb0: 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h + 14fc0: 001d0000 6164665f 6f735f74 79706573 ....adf_os_types + 14fd0: 2e68001c 00006164 665f6f73 5f737464 .h....adf_os_std + 14fe0: 74797065 732e6800 1c000061 64665f6f types.h....adf_o + 14ff0: 735f7479 7065735f 7076742e 68001d00 s_types_pvt.h... + 15000: 00737464 6465662e 68001e00 00737464 .stddef.h....std + 15010: 6172672e 68000200 0076612d 7874656e arg.h....va-xten + 15020: 73612e68 001e0000 6164665f 6f735f64 sa.h....adf_os_d + 15030: 6d612e68 001c0000 6164665f 6f735f64 ma.h....adf_os_d + 15040: 6d615f70 76742e68 001d0000 6164665f ma_pvt.h....adf_ + 15050: 6e65745f 74797065 732e6800 1f000061 net_types.h....a + 15060: 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . + 15070: 00646d61 5f6c6962 2e68001a 00006869 .dma_lib.h....hi + 15080: 665f676d 61632e68 001a0000 75736266 f_gmac.h....usbf + 15090: 69666f5f 6170692e 68001a00 00687463 ifo_api.h....htc + 150a0: 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + 150b0: 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + 150c0: 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + 150d0: 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + 150e0: 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + 150f0: 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + 15100: 76627566 2e680026 00000000 0502008e vbuf.h.&........ + 15110: 4db4031c 01030009 00030103 05090003 M............... + 15120: 01030209 000f0103 7f090002 01030309 ................ + 15130: 00020103 02090002 01030309 00050103 ................ + 15140: 0509000a 01037e09 00020103 7f090004 ......~......... + 15150: 01030209 00020103 79090002 01030a09 ........y....... + 15160: 00030103 02090002 01030409 00030103 ................ + 15170: 00090003 01030309 00030103 06090002 ................ + 15180: 01037d09 00020103 02090004 01037e09 ..}...........~. + 15190: 00020103 02090002 01037e09 00020103 ..........~..... + 151a0: 03090002 01030309 00020103 7d090002 ............}... + 151b0: 01030309 00020103 04090004 01030009 ................ + 151c0: 00030103 06090003 01037d09 00020103 ..........}..... + 151d0: 01090004 01030209 00020103 01090004 ................ + 151e0: 01030509 00020103 00090003 01030409 ................ + 151f0: 00090103 7f090002 01037f09 00020103 ................ + 15200: 06090002 01090002 00010100 000e6200 ..............b. + 15210: 0200000d 070101fb 0e0a0001 01010100 ................ + 15220: 0000012f 726f6f74 2f576f72 6b737061 .../root/Workspa + 15230: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 15240: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 15250: 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. + 15260: 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil + 15270: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 15280: 2f766465 73632f73 7263002f 726f6f74 /vdesc/src./root + 15290: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 152a0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 152b0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 152c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 152d0: 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU + 152e0: 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac + 152f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15300: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 15310: 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ + 15320: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 15330: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 15340: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 15350: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15360: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15370: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 15380: 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ + 15390: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 153a0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 153b0: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 153c0: 632f6d61 67706965 002f6f70 742f7874 c/magpie./opt/xt + 153d0: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ + 153e0: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB + 153f0: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt + 15400: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa + 15410: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte + 15420: 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ + 15430: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 15440: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 15450: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 15460: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten + 15470: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x + 15480: 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens + 15490: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins + 154a0: 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 + 154b0: 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp + 154c0: 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf + 154d0: 2f617263 682f696e 636c7564 652f7874 /arch/include/xt + 154e0: 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo + 154f0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 15500: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 15510: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 15520: 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. + 15530: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15540: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 15550: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 15560: 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in + 15570: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15580: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15590: 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg + 155a0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 155b0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 155c0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 155d0: 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me + 155e0: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work + 155f0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15600: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15610: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m + 15620: 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo + 15630: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 15640: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 15650: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 15660: 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo + 15670: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 15680: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 15690: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 156a0: 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ + 156b0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 156c0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 156d0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 156e0: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch + 156f0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 15700: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 15710: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 15720: 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al + 15730: 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root + 15740: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 15750: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 15760: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 15770: 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. + 15780: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15790: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 157a0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 157b0: 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i + 157c0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 157d0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 157e0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 157f0: 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr + 15800: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 15810: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 15820: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 15830: 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd + 15840: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 15850: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 15860: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 15870: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e + 15880: 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ + 15890: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 158a0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 158b0: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif + 158c0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 158d0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 158e0: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n + 158f0: 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp + 15900: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 15910: 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os + 15920: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 15930: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 15940: 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc + 15950: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 15960: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 15970: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 15980: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 15990: 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in + 159a0: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 159b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 159c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 159d0: 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in + 159e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 159f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15a00: 6164662f 696e636c 7564652f 6e657400 adf/include/net. + 15a10: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15a20: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 15a30: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 15a40: 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + 15a50: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 15a60: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 15a70: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 15a80: 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root + 15a90: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 15aa0: 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ + 15ab0: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 15ac0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15ad0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 15ae0: 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in + 15af0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 15b00: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 15b10: 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ + 15b20: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 15b30: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 15b40: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 15b50: 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc + 15b60: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 15b70: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 15b80: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 15b90: 726f6d2f 76646573 632f7372 63000076 rom/vdesc/src..v + 15ba0: 64657363 2e630001 00006f73 6170692e desc.c....osapi. + 15bb0: 68000200 004f5455 535f736f 632e6800 h....OTUS_soc.h. + 15bc0: 03000076 64657363 5f617069 2e680004 ...vdesc_api.h.. + 15bd0: 00004d61 67706965 5f617069 2e680005 ..Magpie_api.h.. + 15be0: 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... + 15bf0: 00737973 5f636667 2e680005 0000726f .sys_cfg.h....ro + 15c00: 6d5f6366 672e6800 0700006d 61677069 m_cfg.h....magpi + 15c10: 655f6d65 6d2e6800 05000063 6f72652e e_mem.h....core. + 15c20: 68000800 0068616c 2e680009 0000636f h....hal.h....co + 15c30: 72652d69 73612e68 000a0000 636f7265 re-isa.h....core + 15c40: 2d6d6174 6d61702e 68000a00 00746965 -matmap.h....tie + 15c50: 2e68000a 00007874 72756e74 696d652e .h....xtruntime. + 15c60: 68000900 00737065 63726567 2e68000a h....specreg.h.. + 15c70: 0000636f 72656269 74732e68 00090000 ..corebits.h.... + 15c80: 7072696e 74665f61 70692e68 000b0000 printf_api.h.... + 15c90: 75617274 5f617069 2e68000c 00007265 uart_api.h....re + 15ca0: 675f6465 66732e68 00070000 64745f64 g_defs.h....dt_d + 15cb0: 6566732e 68000200 00646267 5f617069 efs.h....dbg_api + 15cc0: 2e68000d 00006d65 6d5f6170 692e6800 .h....mem_api.h. + 15cd0: 0e00006d 6973635f 6170692e 68000f00 ...misc_api.h... + 15ce0: 00737472 696e675f 6170692e 68001000 .string_api.h... + 15cf0: 0074696d 65725f61 70692e68 00110000 .timer_api.h.... + 15d00: 726f6d70 5f617069 2e680012 0000616c romp_api.h....al + 15d10: 6c6f6372 616d5f61 70692e68 00130000 locram_api.h.... + 15d20: 7461736b 6c65745f 6170692e 68001400 tasklet_api.h... + 15d30: 00636c6f 636b5f61 70692e68 00150000 .clock_api.h.... + 15d40: 696e7472 5f617069 2e680016 00007764 intr_api.h....wd + 15d50: 745f6170 692e6800 17000065 6570726f t_api.h....eepro + 15d60: 6d5f6170 692e6800 18000075 73625f61 m_api.h....usb_a + 15d70: 70692e68 00190000 6869665f 7063692e pi.h....hif_pci. + 15d80: 68001900 00686966 5f617069 2e680019 h....hif_api.h.. + 15d90: 00006164 665f6e62 75662e68 001a0000 ..adf_nbuf.h.... + 15da0: 6164665f 6f735f75 74696c2e 68001b00 adf_os_util.h... + 15db0: 00616466 5f6f735f 7574696c 5f707674 .adf_os_util_pvt + 15dc0: 2e68001c 00006164 665f6f73 5f747970 .h....adf_os_typ + 15dd0: 65732e68 001b0000 6164665f 6f735f73 es.h....adf_os_s + 15de0: 74647479 7065732e 68001b00 00616466 tdtypes.h....adf + 15df0: 5f6f735f 74797065 735f7076 742e6800 _os_types_pvt.h. + 15e00: 1c000073 74646465 662e6800 1d000076 ...stddef.h....v + 15e10: 6275665f 6170692e 68001e00 00737464 buf_api.h....std + 15e20: 6172672e 68000200 0076612d 7874656e arg.h....va-xten + 15e30: 73612e68 001d0000 6164665f 6f735f64 sa.h....adf_os_d + 15e40: 6d612e68 001b0000 6164665f 6f735f64 ma.h....adf_os_d + 15e50: 6d615f70 76742e68 001c0000 6164665f ma_pvt.h....adf_ + 15e60: 6e65745f 74797065 732e6800 1f000061 net_types.h....a + 15e70: 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . + 15e80: 00646d61 5f6c6962 2e680019 00006869 .dma_lib.h....hi + 15e90: 665f676d 61632e68 00190000 75736266 f_gmac.h....usbf + 15ea0: 69666f5f 6170692e 68001900 00687463 ifo_api.h....htc + 15eb0: 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + 15ec0: 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + 15ed0: 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + 15ee0: 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + 15ef0: 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + 15f00: 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + 15f10: 76646573 632e6800 26000000 00050200 vdesc.h.&....... + 15f20: 8e4e3803 21010300 09000301 03080900 .N8.!........... + 15f30: 03010302 09000f01 037f0900 03010304 ................ + 15f40: 09000201 03020900 02010304 09000501 ................ + 15f50: 03060900 0a01037e 09000201 037e0900 .......~.....~.. + 15f60: 04010303 09000301 03770900 0201030e .........w...... + 15f70: 09000301 03c70009 00030103 00090003 ................ + 15f80: 01030409 00030103 06090002 01037f09 ................ + 15f90: 00040103 01090004 01030309 00020103 ................ + 15fa0: 7d090002 01030309 00020103 0e090002 }............... + 15fb0: 01030209 00030103 00090003 01030e09 ................ + 15fc0: 00020103 14090003 01037409 00020103 ..........t..... + 15fd0: 08090003 01037c09 00030103 09090003 ......|......... + 15fe0: 01036f09 00020103 0d090003 01037809 ..o...........x. + 15ff0: 00030103 04090003 01037809 00030103 ..........x..... + 16000: 00090003 01030109 00030103 03090003 ................ + 16010: 01030109 00030103 03090003 01030109 ................ + 16020: 00030103 03090003 01030109 00030103 ................ + 16030: 03090003 01030109 00020103 01090002 ................ + 16040: 01030509 00050103 00090003 01030509 ................ + 16050: 000c0103 7f090002 01037f09 00020103 ................ + 16060: 7f090002 01030909 00020109 00020001 ................ + 16070: 01000018 0b000200 000d4e01 01fb0e0a ..........N..... + 16080: 00010101 01000000 012f726f 6f742f57 ........./root/W + 16090: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 160a0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 160b0: 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma + 160c0: 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. + 160d0: 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 + 160e0: 5f312f72 6f6d2f68 74632f73 7263002f _1/rom/htc/src./ + 160f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16100: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar + 16110: 6765742f 696e6300 2f726f6f 742f576f get/inc./root/Wo + 16120: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 16130: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc + 16140: 2f4f5455 53002f72 6f6f742f 576f726b /OTUS./root/Work + 16150: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16160: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 16170: 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 16180: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 16190: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 161a0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 161b0: 732f696e 63002f72 6f6f742f 576f726b s/inc./root/Work + 161c0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 161d0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 161e0: 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. + 161f0: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 16200: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t + 16210: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l + 16220: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools + 16230: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl + 16240: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 16250: 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt + 16260: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 16270: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 16280: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 16290: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 162a0: 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op + 162b0: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 162c0: 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 162d0: 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 162e0: 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 162f0: 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc + 16300: 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf + 16310: 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa + 16320: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 16330: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 16340: 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin + 16350: 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor + 16360: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 16370: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 16380: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 16390: 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W + 163a0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 163b0: 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm + 163c0: 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo + 163d0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 163e0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 163f0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 16400: 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro + 16410: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16420: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 16430: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 16440: 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ + 16450: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16460: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 16470: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 16480: 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i + 16490: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 164a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 164b0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 164c0: 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time + 164d0: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work + 164e0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 164f0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 16500: 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r + 16510: 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo + 16520: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 16530: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 16540: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 16550: 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in + 16560: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 16570: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 16580: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 16590: 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl + 165a0: 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor + 165b0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 165c0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 165d0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ + 165e0: 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ + 165f0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 16600: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 16610: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 16620: 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo + 16630: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 16640: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 16650: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 16660: 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro + 16670: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 16680: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 16690: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 166a0: 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc + 166b0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 166c0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 166d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 166e0: 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo + 166f0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 16700: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in + 16710: 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root + 16720: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 16730: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 16740: 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo + 16750: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 16760: 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf + 16770: 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte + 16780: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i + 16790: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- + 167a0: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte + 167b0: 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc + 167c0: 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro + 167d0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 167e0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 167f0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 16800: 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 16810: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 16820: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 16830: 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 16840: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 16850: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16860: 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ + 16870: 6e657400 2f726f6f 742f576f 726b7370 net./root/Worksp + 16880: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 16890: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 168a0: 5f312f72 6f6d2f61 64662f6e 6275662f _1/rom/adf/nbuf/ + 168b0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 168c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 168d0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 168e0: 5f312f72 6f6d2f68 74632f69 6e63002f _1/rom/htc/inc./ + 168f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 16900: 3431325f 76323032 2f776c61 6e2f696e 412_v202/wlan/in + 16910: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work + 16920: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16930: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 16940: 5f315f31 2f726f6d 2f627566 5f706f6f _1_1/rom/buf_poo + 16950: 6c2f696e 63002f72 6f6f742f 576f726b l/inc./root/Work + 16960: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 16970: 7467742f 74617267 65742f77 6d692f69 tgt/target/wmi/i + 16980: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 16990: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 169a0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 169b0: 312f726f 6d2f646d 615f656e 67696e65 1/rom/dma_engine + 169c0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 169d0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 169e0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 169f0: 315f312f 726f6d2f 6874632f 73726300 1_1/rom/htc/src. + 16a00: 00687463 2e630001 00006f73 6170692e .htc.c....osapi. + 16a10: 68000200 004f5455 535f736f 632e6800 h....OTUS_soc.h. + 16a20: 0300004d 61677069 655f6170 692e6800 ...Magpie_api.h. + 16a30: 04000063 6d6e6f73 5f617069 2e680005 ...cmnos_api.h.. + 16a40: 00007379 735f6366 672e6800 04000072 ..sys_cfg.h....r + 16a50: 6f6d5f63 66672e68 00060000 6d616770 om_cfg.h....magp + 16a60: 69655f6d 656d2e68 00040000 636f7265 ie_mem.h....core + 16a70: 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c + 16a80: 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor + 16a90: 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti + 16aa0: 652e6800 09000078 7472756e 74696d65 e.h....xtruntime + 16ab0: 2e680008 00007370 65637265 672e6800 .h....specreg.h. + 16ac0: 09000063 6f726562 6974732e 68000800 ...corebits.h... + 16ad0: 00707269 6e74665f 6170692e 68000a00 .printf_api.h... + 16ae0: 00756172 745f6170 692e6800 0b000072 .uart_api.h....r + 16af0: 65675f64 6566732e 68000600 0064745f eg_defs.h....dt_ + 16b00: 64656673 2e680002 00006462 675f6170 defs.h....dbg_ap + 16b10: 692e6800 0c00006d 656d5f61 70692e68 i.h....mem_api.h + 16b20: 000d0000 6d697363 5f617069 2e68000e ....misc_api.h.. + 16b30: 00007374 72696e67 5f617069 2e68000f ..string_api.h.. + 16b40: 00007469 6d65725f 6170692e 68001000 ..timer_api.h... + 16b50: 00726f6d 705f6170 692e6800 11000061 .romp_api.h....a + 16b60: 6c6c6f63 72616d5f 6170692e 68001200 llocram_api.h... + 16b70: 00746173 6b6c6574 5f617069 2e680013 .tasklet_api.h.. + 16b80: 0000636c 6f636b5f 6170692e 68001400 ..clock_api.h... + 16b90: 00696e74 725f6170 692e6800 15000077 .intr_api.h....w + 16ba0: 64745f61 70692e68 00160000 65657072 dt_api.h....eepr + 16bb0: 6f6d5f61 70692e68 00170000 7573625f om_api.h....usb_ + 16bc0: 6170692e 68001800 00686966 5f706369 api.h....hif_pci + 16bd0: 2e680018 00006869 665f6170 692e6800 .h....hif_api.h. + 16be0: 18000061 64665f6e 6275662e 68001900 ...adf_nbuf.h... + 16bf0: 00616466 5f6f735f 7574696c 2e68001a .adf_os_util.h.. + 16c00: 00006164 665f6f73 5f757469 6c5f7076 ..adf_os_util_pv + 16c10: 742e6800 1b000061 64665f6f 735f7479 t.h....adf_os_ty + 16c20: 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ + 16c30: 73746474 79706573 2e68001a 00006164 stdtypes.h....ad + 16c40: 665f6f73 5f747970 65735f70 76742e68 f_os_types_pvt.h + 16c50: 001b0000 73746464 65662e68 001c0000 ....stddef.h.... + 16c60: 76627566 5f617069 2e68001d 00007664 vbuf_api.h....vd + 16c70: 6573635f 6170692e 68001e00 00737464 esc_api.h....std + 16c80: 6172672e 68000200 0076612d 7874656e arg.h....va-xten + 16c90: 73612e68 001c0000 6164665f 6f735f64 sa.h....adf_os_d + 16ca0: 6d612e68 001a0000 6164665f 6f735f64 ma.h....adf_os_d + 16cb0: 6d615f70 76742e68 001b0000 6164665f ma_pvt.h....adf_ + 16cc0: 6e65745f 74797065 732e6800 1f000061 net_types.h....a + 16cd0: 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . + 16ce0: 00646d61 5f6c6962 2e680018 00006869 .dma_lib.h....hi + 16cf0: 665f676d 61632e68 00180000 75736266 f_gmac.h....usbf + 16d00: 69666f5f 6170692e 68001800 00687463 ifo_api.h....htc + 16d10: 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. + 16d20: 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. + 16d30: 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api + 16d40: 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a + 16d50: 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ + 16d60: 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. + 16d70: 6164665f 6f735f6d 656d2e68 001a0000 adf_os_mem.h.... + 16d80: 6164665f 6f735f6d 656d5f70 76742e68 adf_os_mem_pvt.h + 16d90: 001b0000 6164665f 6f735f69 6f2e6800 ....adf_os_io.h. + 16da0: 1a000061 64665f6f 735f696f 5f707674 ...adf_os_io_pvt + 16db0: 2e68001b 00006874 635f696e 7465726e .h....htc_intern + 16dc0: 616c2e68 00260000 00042400 0502008e al.h.&....$..... + 16dd0: 4ef003b9 02010432 034b0900 03010304 N......2.K...... + 16de0: 09000201 03020900 0401037e 09000201 ...........~.... + 16df0: 04240332 09000501 030f0900 14010300 .$.2............ + 16e00: 09000301 0432037f 09000201 03030900 .....2.......... + 16e10: 0201037f 09000301 03010900 0301037f ................ + 16e20: 09000201 03010900 06010301 09000301 ................ + 16e30: 0424037e 09000801 030f0900 0c010432 .$.~...........2 + 16e40: 03ab7f09 00030103 04090002 01030209 ................ + 16e50: 00040103 7e090002 0103d900 09000501 ....~........... + 16e60: 03010900 03010301 09000501 037e0900 .............~.. + 16e70: 03010302 09000501 037e0900 05010424 .........~.....$ + 16e80: 03790900 0401030f 09000301 03000900 .y.............. + 16e90: 03010432 030b0900 02010303 09000201 ...2............ + 16ea0: 037f0900 03010301 09000301 037f0900 ................ + 16eb0: 03010301 09000501 03010900 03010424 ...............$ + 16ec0: 03720900 0901031d 09000b01 03010900 .r.............. + 16ed0: 03010300 09000301 032c0900 02010432 .........,.....2 + 16ee0: 03c07e09 00030103 02090002 01030109 ..~............. + 16ef0: 00050103 7f090003 01030109 00040104 ................ + 16f00: 2403bf01 09000201 030e0900 05010301 $............... + 16f10: 09000301 03000900 02010401 038a7d09 ..............}. + 16f20: 00030103 00090003 01030109 00070103 ................ + 16f30: 0109000b 01030509 00030103 00090003 ................ + 16f40: 01043e03 b37f0900 0501031e 09000d01 ..>............. + 16f50: 0401033c 09000a01 03010900 0201037f ...<............ + 16f60: 09000501 03010900 02010301 09000501 ................ + 16f70: 03050900 05010303 09000201 037c0900 .............|.. + 16f80: 0301037f 09000301 03010900 05010304 ................ + 16f90: 09000201 03040900 0501030e 09000201 ................ + 16fa0: 037d0900 02010379 09000201 03180900 .}.....y........ + 16fb0: 02010364 09000301 03040900 0501030a ...d............ + 16fc0: 09000301 037a0900 03010303 09000301 .....z.......... + 16fd0: 03030900 03010310 09000301 03720900 .............r.. + 16fe0: 02010306 09000601 030d0900 02010373 ...............s + 16ff0: 09000501 03010900 03010305 09000201 ................ + 17000: 03010900 03010302 09000301 037e0900 .............~.. + 17010: 0301037c 09000301 03050900 03010379 ...|...........y + 17020: 09000301 030d0900 0301037c 09000601 ...........|.... + 17030: 03040900 0301037f 09000601 03010900 ................ + 17040: 02010305 09000301 03010900 05010301 ................ + 17050: 09000c01 03020900 0a010306 09000701 ................ + 17060: 03040900 0301037b 09000601 03050900 .......{........ + 17070: 05010303 09000301 03020900 09010304 ................ + 17080: 09000201 03040900 03010303 09000501 ................ + 17090: 03040900 03010300 09000301 03010900 ................ + 170a0: 02010301 09000301 03030900 05010306 ................ + 170b0: 09000301 03060900 07010302 09000a01 ................ + 170c0: 03090900 0901037c 09000601 037c0900 .......|.....|.. + 170d0: 02010301 09000401 03020900 0301037e ...............~ + 170e0: 09000601 037f0900 03010301 09000301 ................ + 170f0: 03020900 0301037d 09000301 03040900 .......}........ + 17100: 03010304 09000301 037b0900 0301037e .........{.....~ + 17110: 09000301 03070900 03010302 09000301 ................ + 17120: 03030900 04010307 09000301 03040900 ................ + 17130: 07010303 09001701 03170900 03010369 ...............i + 17140: 09000301 03020900 03010301 09000d01 ................ + 17150: 03060900 0d01037f 09000301 037c0900 .............|.. + 17160: 0301037f 09000201 03050900 03010301 ................ + 17170: 09000201 037f0900 06010304 09000301 ................ + 17180: 03030900 1101030a 09000901 037e0900 .............~.. + 17190: 02010302 09000501 03030900 05010300 ................ + 171a0: 09000301 030c0900 0b01037d 09000401 ...........}.... + 171b0: 0424038c 02090002 01040103 f77d0900 .$...........}.. + 171c0: 0201037b 09000501 03080900 03010301 ...{............ + 171d0: 09001701 03030900 03010300 09000301 ................ + 171e0: 03010900 06010301 09000501 03030900 ................ + 171f0: 02010306 09000301 03030900 07010307 ................ + 17200: 09000901 03050900 1001037e 09000301 ...........~.... + 17210: 03150900 0501036b 09000801 03080900 .......k........ + 17220: 0601037f 09000301 030e0900 03010424 ...............$ + 17230: 03650900 0c010401 031b0900 02010301 .e.............. + 17240: 09000201 04240364 09000201 0401031c .....$.d........ + 17250: 09000301 03050900 05010301 09001501 ................ + 17260: 03090900 06010331 09000301 03540900 .......1.....T.. + 17270: 02010302 09000301 030b0900 09010375 ...............u + 17280: 09000801 03040900 02010304 09000201 ................ + 17290: 037d0900 03010306 09000201 037a0900 .}...........z.. + 172a0: 0201037f 09000301 03230900 03010368 .........#.....h + 172b0: 09000d01 03020900 0b01030a 09000701 ................ + 172c0: 03790900 0201037d 09000201 03050900 .y.....}........ + 172d0: 02010301 09000301 03010900 0601037c ...............| + 172e0: 09000301 03040900 05010301 09000501 ................ + 172f0: 037f0900 03010301 09000301 03040900 ................ + 17300: 06010377 09000301 03070900 03010302 ...w............ + 17310: 09000301 03020900 0a010301 09000401 ................ + 17320: 037f0900 02010302 09000301 037f0900 ................ + 17330: 0201037f 09000301 03040900 05010304 ................ + 17340: 09000301 03060900 0f01030f 09000301 ................ + 17350: 03020900 0201037c 09000201 03020900 .......|........ + 17360: 03010302 09000301 037e0900 0401030c .........~...... + 17370: 09000601 037f0900 05010301 09000201 ................ + 17380: 037f0900 03010301 09000601 037f0900 ................ + 17390: 05010301 09000301 03010900 06010361 ...............a + 173a0: 09000201 03220900 08010308 09000301 .....".......... + 173b0: 03030900 0501031b 09000301 03030900 ................ + 173c0: 05010300 09000301 03030900 03010304 ................ + 173d0: 09000e01 03000900 03010302 09001b01 ................ + 173e0: 03070900 0201037f 09000201 037f0900 ................ + 173f0: 0201037f 09000201 037f0900 0201037f ................ + 17400: 09000201 037d0900 02010302 09000201 .....}.......... + 17410: 03080900 0501037f 09000801 03020900 ................ + 17420: 02010307 09000201 03080900 05010300 ................ + 17430: 09000301 03010900 05010301 09000b01 ................ + 17440: 03050900 05010300 09000301 03010900 ................ + 17450: 03010304 09001a01 03060900 03010305 ................ + 17460: 09000301 037b0900 03010305 09000301 .....{.......... + 17470: 03050900 03010302 09000401 03010900 ................ + 17480: 03010307 09000301 03790900 04010307 .........y...... + 17490: 09000301 030d0900 06010378 09000901 ...........x.... + 174a0: 031f0900 09010372 09000201 03030900 .......r........ + 174b0: 05010302 09000201 037e0900 05010302 .........~...... + 174c0: 09000401 03060900 06010303 09000c01 ................ + 174d0: 03040900 04010309 09000301 037e0900 .............~.. + 174e0: 0201037a 09000601 03060900 0301037f ...z............ + 174f0: 09000601 03030900 04010304 09000501 ................ + 17500: 03020900 0a010303 09000901 037e0900 .............~.. + 17510: 08010302 09000801 03020900 05010302 ................ + 17520: 09000501 03030900 03010303 09000501 ................ + 17530: 03310900 06010347 09000201 03c00009 .1.....G........ + 17540: 00080103 7c090002 01030409 00030103 ....|........... + 17550: 0109000a 01035c09 00020103 73090006 ......\.....s... + 17560: 01030309 00030103 0a090002 01037609 ..............v. + 17570: 00020103 7d090002 01030d09 00030103 ....}........... + 17580: 03090005 01030309 00030103 02090005 ................ + 17590: 01030209 00060103 02090006 01037e09 ..............~. + 175a0: 00060103 04090006 01037e09 00060103 ..........~..... + 175b0: 02090002 01030209 00120103 0109000f ................ + 175c0: 01030509 000f0103 7e090003 01030209 ........~....... + 175d0: 00050103 7e090002 01030209 00060103 ....~........... + 175e0: 11090006 01030609 00030103 05090007 ................ + 175f0: 01030209 000a0103 02090009 01030209 ................ + 17600: 000a0103 02090006 01030109 000b0103 ................ + 17610: 06090005 01030309 00090103 7d090002 ............}... + 17620: 01030709 00050103 7a090006 01030609 ........z....... + 17630: 00030103 05090006 01030109 000c0103 ................ + 17640: 76090002 01030109 00030103 78090003 v...........x... + 17650: 01031509 00080103 00090003 01030709 ................ + 17660: 00020103 04090003 01031909 00090103 ................ + 17670: 73090017 01037d09 00080103 10090005 s.....}......... + 17680: 01030209 00020103 7e090003 01030209 ........~....... + 17690: 00050103 02090003 01030409 000f0103 ................ + 176a0: 03090007 01030509 00160103 01090003 ................ + 176b0: 01037f09 00030103 02090008 01030309 ................ + 176c0: 00030103 67090002 01031d09 00070103 ....g........... + 176d0: 05090003 01031709 00050103 03090006 ................ + 176e0: 01030409 000f0103 03090007 01030509 ................ + 176f0: 00060103 7e090004 01030209 00020103 ....~........... + 17700: 7e090002 01030209 00060103 02090005 ~............... + 17710: 01030309 00030103 05090003 01030109 ................ + 17720: 00050103 05090003 01030509 00070103 ................ + 17730: 01090013 01033c09 00030103 02090003 ......<......... + 17740: 01031009 00030103 70090003 01031009 ........p....... + 17750: 00030103 70090003 01031009 00070103 ....p........... + 17760: 7d090006 01030509 00060103 02090003 }............... + 17770: 01037609 00020103 01090003 01030c09 ..v............. + 17780: 00060103 02090003 01030409 00050103 ................ + 17790: 15090003 01030409 000e0103 0d090004 ................ + 177a0: 01037309 00020103 02090003 01030b09 ..s............. + 177b0: 00030103 73090005 01030809 00060103 ....s........... + 177c0: 78090009 01030d09 00050103 7b090005 x...........{... + 177d0: 01030509 00080103 01090005 01030509 ................ + 177e0: 00070103 02090005 01037a09 00070103 ..........z..... + 177f0: 7f090002 01030709 00030103 03090003 ................ + 17800: 0103c400 09000801 03400900 02010303 .........@...... + 17810: 09000a01 03030900 0901037f 09000301 ................ + 17820: 03010900 0601037f 09000301 03010900 ................ + 17830: 05010301 09000301 03030900 09010308 ................ + 17840: 09001101 03030900 06010302 09000601 ................ + 17850: 03040900 1001037f 09000401 03010900 ................ + 17860: 0b010305 09000301 03180900 07010307 ................ + 17870: 09000f01 03010900 0b010900 02000101 ................ + 17880: 000011cb 00020000 0d5b0101 fb0e0a00 .........[...... + 17890: 01010101 00000001 2f726f6f 742f576f ......../root/Wo + 178a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 178b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 178c0: 69655f31 5f312f69 6d616765 2f6d6167 ie_1_1/image/mag + 178d0: 7069652f 2e2e2f2e 2e2f2e2e 2f2e2e2f pie/../../../../ + 178e0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 178f0: 312f726f 6d2f646d 615f656e 67696e65 1/rom/dma_engine + 17900: 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works + 17910: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 17920: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 17930: 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo + 17940: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 17950: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 17960: 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 17970: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 17980: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 17990: 74617267 65742f69 6e63002f 726f6f74 target/inc./root + 179a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 179b0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 179c0: 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm + 179d0: 615f656e 67696e65 2f737263 002f726f a_engine/src./ro + 179e0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 179f0: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe + 17a00: 742f696e 632f4f54 5553002f 726f6f74 t/inc/OTUS./root + 17a10: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 17a20: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 17a30: 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm + 17a40: 615f656e 67696e65 2f696e63 002f726f a_engine/inc./ro + 17a50: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17a60: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17a70: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17a80: 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W + 17a90: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 17aa0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 17ab0: 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes + 17ac0: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work + 17ad0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17ae0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17af0: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 17b00: 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X + 17b10: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 17b20: 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + 17b30: 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + 17b40: 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + 17b50: 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 17b60: 6e666967 002f6f70 742f7874 656e7361 nfig./opt/xtensa + 17b70: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 17b80: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 17b90: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 17ba0: 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + 17bb0: 2f696e63 6c756465 2f787465 6e736100 /include/xtensa. + 17bc0: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 17bd0: 76546f6f 6c732f69 6e737461 6c6c2f62 vTools/install/b + 17be0: 75696c64 732f5242 2d323030 372e322d uilds/RB-2007.2- + 17bf0: 6c696e75 782f4d61 67706965 5f50302f linux/Magpie_P0/ + 17c00: 7874656e 73612d65 6c662f61 7263682f xtensa-elf/arch/ + 17c10: 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + 17c20: 6f6e6669 67002f72 6f6f742f 576f726b onfig./root/Work + 17c30: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17c40: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17c50: 5f315f31 2f726f6d 2f636d6e 6f732f70 _1_1/rom/cmnos/p + 17c60: 72696e74 662f696e 63002f72 6f6f742f rintf/inc./root/ + 17c70: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 17c80: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 17c90: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 17ca0: 6f732f75 6172742f 696e6300 2f726f6f os/uart/inc./roo + 17cb0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 17cc0: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 17cd0: 2f636d6e 6f732f64 62672f69 6e63002f /cmnos/dbg/inc./ + 17ce0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 17cf0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17d00: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 17d10: 6d2f636d 6e6f732f 6d656d2f 696e6300 m/cmnos/mem/inc. + 17d20: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 17d30: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 17d40: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 17d50: 6f6d2f63 6d6e6f73 2f6d6973 632f696e om/cmnos/misc/in + 17d60: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 17d70: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 17d80: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 17d90: 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin + 17da0: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work + 17db0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 17dc0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 17dd0: 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t + 17de0: 696d6572 2f696e63 002f726f 6f742f57 imer/inc./root/W + 17df0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 17e00: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 17e10: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 17e20: 732f726f 6d706174 63682f69 6e63002f s/rompatch/inc./ + 17e30: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 17e40: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17e50: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 17e60: 6d2f636d 6e6f732f 616c6c6f 6372616d m/cmnos/allocram + 17e70: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 17e80: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 17e90: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 17ea0: 315f312f 726f6d2f 636d6e6f 732f7461 1_1/rom/cmnos/ta + 17eb0: 736b6c65 742f696e 63002f72 6f6f742f sklet/inc./root/ + 17ec0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 17ed0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 17ee0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 17ef0: 6f732f63 6c6f636b 2f696e63 002f726f os/clock/inc./ro + 17f00: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 17f10: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 17f20: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 17f30: 636d6e6f 732f696e 74722f69 6e63002f cmnos/intr/inc./ + 17f40: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 17f50: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 17f60: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 17f70: 6d2f636d 6e6f732f 7764742f 696e6300 m/cmnos/wdt/inc. + 17f80: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 17f90: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 17fa0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 17fb0: 6f6d2f63 6d6e6f73 2f656570 726f6d2f om/cmnos/eeprom/ + 17fc0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 17fd0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 17fe0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 17ff0: 5f312f72 6f6d2f68 69662f69 6e63002f _1/rom/hif/inc./ + 18000: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 18010: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf + 18020: 2f696e63 6c756465 2f6e6275 66002f72 /include/nbuf./r + 18030: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18040: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 18050: 696e636c 7564652f 6f73002f 726f6f74 include/os./root + 18060: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 18070: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 18080: 6164662f 6f732f69 6e63002f 6f70742f adf/os/inc./opt/ + 18090: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool + 180a0: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ + 180b0: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ + 180c0: 5874656e 7361546f 6f6c732f 6c69622f XtensaTools/lib/ + 180d0: 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. + 180e0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 180f0: 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 18100: 662f696e 636c7564 652f6e65 74002f72 f/include/net./r + 18110: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18120: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18130: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 18140: 2f616466 2f6e6275 662f696e 63002f72 /adf/nbuf/inc./r + 18150: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18160: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18170: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 18180: 2f687463 2f696e63 002f726f 6f742f57 /htc/inc./root/W + 18190: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 181a0: 30322f77 6c616e2f 696e636c 75646500 02/wlan/include. + 181b0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 181c0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 181d0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 181e0: 6f6d2f62 75665f70 6f6f6c2f 696e6300 om/buf_pool/inc. + 181f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 18200: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 18210: 72676574 2f776d69 2f696e63 0000646d rget/wmi/inc..dm + 18220: 615f656e 67696e65 2e630001 00007379 a_engine.c....sy + 18230: 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c + 18240: 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m + 18250: 656d2e68 00020000 64745f64 6566732e em.h....dt_defs. + 18260: 68000400 00726567 5f646566 732e6800 h....reg_defs.h. + 18270: 03000064 6573632e 68000500 006f7361 ...desc.h....osa + 18280: 70692e68 00040000 4f545553 5f736f63 pi.h....OTUS_soc + 18290: 2e680006 0000646d 615f656e 67696e65 .h....dma_engine + 182a0: 5f617069 2e680007 00007662 75665f61 _api.h....vbuf_a + 182b0: 70692e68 00080000 76646573 635f6170 pi.h....vdesc_ap + 182c0: 692e6800 0900004d 61677069 655f6170 i.h....Magpie_ap + 182d0: 692e6800 02000063 6d6e6f73 5f617069 i.h....cmnos_api + 182e0: 2e68000a 0000636f 72652e68 000b0000 .h....core.h.... + 182f0: 68616c2e 68000c00 00636f72 652d6973 hal.h....core-is + 18300: 612e6800 0d000063 6f72652d 6d61746d a.h....core-matm + 18310: 61702e68 000d0000 7469652e 68000d00 ap.h....tie.h... + 18320: 00787472 756e7469 6d652e68 000c0000 .xtruntime.h.... + 18330: 73706563 7265672e 68000d00 00636f72 specreg.h....cor + 18340: 65626974 732e6800 0c000070 72696e74 ebits.h....print + 18350: 665f6170 692e6800 0e000075 6172745f f_api.h....uart_ + 18360: 6170692e 68000f00 00646267 5f617069 api.h....dbg_api + 18370: 2e680010 00006d65 6d5f6170 692e6800 .h....mem_api.h. + 18380: 1100006d 6973635f 6170692e 68001200 ...misc_api.h... + 18390: 00737472 696e675f 6170692e 68001300 .string_api.h... + 183a0: 0074696d 65725f61 70692e68 00140000 .timer_api.h.... + 183b0: 726f6d70 5f617069 2e680015 0000616c romp_api.h....al + 183c0: 6c6f6372 616d5f61 70692e68 00160000 locram_api.h.... + 183d0: 7461736b 6c65745f 6170692e 68001700 tasklet_api.h... + 183e0: 00636c6f 636b5f61 70692e68 00180000 .clock_api.h.... + 183f0: 696e7472 5f617069 2e680019 00007764 intr_api.h....wd + 18400: 745f6170 692e6800 1a000065 6570726f t_api.h....eepro + 18410: 6d5f6170 692e6800 1b000075 73625f61 m_api.h....usb_a + 18420: 70692e68 001c0000 6869665f 7063692e pi.h....hif_pci. + 18430: 68001c00 00686966 5f617069 2e68001c h....hif_api.h.. + 18440: 00006164 665f6e62 75662e68 001d0000 ..adf_nbuf.h.... + 18450: 6164665f 6f735f75 74696c2e 68001e00 adf_os_util.h... + 18460: 00616466 5f6f735f 7574696c 5f707674 .adf_os_util_pvt + 18470: 2e68001f 00006164 665f6f73 5f747970 .h....adf_os_typ + 18480: 65732e68 001e0000 6164665f 6f735f73 es.h....adf_os_s + 18490: 74647479 7065732e 68001e00 00616466 tdtypes.h....adf + 184a0: 5f6f735f 74797065 735f7076 742e6800 _os_types_pvt.h. + 184b0: 1f000073 74646465 662e6800 20000073 ...stddef.h. ..s + 184c0: 74646172 672e6800 04000076 612d7874 tdarg.h....va-xt + 184d0: 656e7361 2e680020 00006164 665f6f73 ensa.h. ..adf_os + 184e0: 5f646d61 2e68001e 00006164 665f6f73 _dma.h....adf_os + 184f0: 5f646d61 5f707674 2e68001f 00006164 _dma_pvt.h....ad + 18500: 665f6e65 745f7479 7065732e 68002100 f_net_types.h.!. + 18510: 00616466 5f6e6275 665f7076 742e6800 .adf_nbuf_pvt.h. + 18520: 22000064 6d615f6c 69622e68 001c0000 "..dma_lib.h.... + 18530: 6869665f 676d6163 2e68001c 00007573 hif_gmac.h....us + 18540: 62666966 6f5f6170 692e6800 1c000068 bfifo_api.h....h + 18550: 74635f61 70692e68 00230000 6874632e tc_api.h.#..htc. + 18560: 68002400 00627566 5f706f6f 6c5f6170 h.$..buf_pool_ap + 18570: 692e6800 25000077 6d695f73 76635f61 i.h.%..wmi_svc_a + 18580: 70692e68 00260000 776d692e 68002400 pi.h.&..wmi.h.$. + 18590: 00617468 64656673 2e680024 00006164 .athdefs.h.$..ad + 185a0: 665f6f73 5f6d656d 2e68001e 00006164 f_os_mem.h....ad + 185b0: 665f6f73 5f6d656d 5f707674 2e68001f f_os_mem_pvt.h.. + 185c0: 00006164 665f6f73 5f696f2e 68001e00 ..adf_os_io.h... + 185d0: 00616466 5f6f735f 696f5f70 76742e68 .adf_os_io_pvt.h + 185e0: 001f0000 00000502 008e57e0 03310103 ..........W..1.. + 185f0: 02090003 01030309 00050103 00090003 ................ + 18600: 01030409 00030103 03090008 01036a09 ..............j. + 18610: 00020103 01090006 01030109 00060103 ................ + 18620: 01090006 01030109 00060103 01090005 ................ + 18630: 01030109 00050103 12090005 01030209 ................ + 18640: 00070103 03090002 01030109 00030103 ................ + 18650: 01090005 01030109 00040103 01090002 ................ + 18660: 01030509 00020103 01090003 01030209 ................ + 18670: 00090103 03090005 01030409 00080103 ................ + 18680: 7c090003 01030109 00030103 04090009 |............... + 18690: 01030209 00020103 0109001d 01030109 ................ + 186a0: 00020103 05090003 01030009 00030103 ................ + 186b0: 04090002 01030109 00080103 01090008 ................ + 186c0: 01030309 00030103 00090003 01030709 ................ + 186d0: 00030103 01090007 0103b57f 09000801 ................ + 186e0: 03010900 06010301 09000601 03010900 ................ + 186f0: 06010301 09000601 03010900 05010301 ................ + 18700: 09000501 03c80009 00050103 01090003 ................ + 18710: 01030109 00070103 0309000b 01030309 ................ + 18720: 00050103 04090005 01037c09 00020103 ..........|..... + 18730: 74090002 01031409 00080103 7f090004 t............... + 18740: 01030109 00030103 7f090003 01030109 ................ + 18750: 00020103 02090003 01030709 00020103 ................ + 18760: 00090003 01030509 00060103 0209000c ................ + 18770: 01030209 000a0104 3f03f27e 09000301 ........?..~.... + 18780: 04010390 0109000a 01030109 00020103 ................ + 18790: 0f090003 01037209 00020103 01090004 ......r......... + 187a0: 01030109 00030103 01090003 01030809 ................ + 187b0: 00050103 6d090004 01031809 000a0103 ....m........... + 187c0: 01090005 01030309 00030103 09090003 ................ + 187d0: 01037709 00020103 0a090002 01030209 ..w............. + 187e0: 000a0103 ec7e0900 03010301 09000601 .....~.......... + 187f0: 03010900 06010301 09000601 03010900 ................ + 18800: 06010301 09000501 03010900 05010391 ................ + 18810: 01090005 01030109 00060103 7f090002 ................ + 18820: 01030109 00030103 0109000a 01030109 ................ + 18830: 00060103 07090008 01030209 00020103 ................ + 18840: 0309000f 01030409 000c0103 04090005 ................ + 18850: 01037c09 00020103 68090002 01031f09 ..|.....h....... + 18860: 00030103 01090012 01030209 00030103 ................ + 18870: 7e090004 01030409 00060103 7f090002 ~............... + 18880: 01030209 00020103 03090005 01030109 ................ + 18890: 00020103 03090005 01030109 00060103 ................ + 188a0: 03090004 01030109 00030103 03090005 ................ + 188b0: 01030409 00030103 04090015 01037c09 ..............|. + 188c0: 00020103 04090002 01030409 00040103 ................ + 188d0: 00090003 01030609 00050103 0309000a ................ + 188e0: 01030609 00050103 0109000a 01030209 ................ + 188f0: 00030103 01090005 01030409 00070103 ................ + 18900: 00090003 01030409 00030103 0309000c ................ + 18910: 01030409 00050103 01090002 01037f09 ................ + 18920: 00020103 01090002 01030109 00030103 ................ + 18930: 01090002 01030209 00050103 03090004 ................ + 18940: 01030109 00020103 01090005 01030409 ................ + 18950: 00060103 04090003 01030309 000b0103 ................ + 18960: 05090005 01031209 000b0103 01090009 ................ + 18970: 01037509 00020103 03090019 01030209 ..u............. + 18980: 000b0103 03090009 01030809 000a0103 ................ + 18990: 00090003 01030609 001b0103 04090002 ................ + 189a0: 01037f09 00020103 7f090002 01037d09 ..............}. + 189b0: 00020103 02090002 01037d09 00020103 ..........}..... + 189c0: 7f090002 01037f09 00020103 0d090005 ................ + 189d0: 01037f09 00110103 02090002 01037d09 ..............}. + 189e0: 00020103 7f090002 01037f09 00020103 ................ + 189f0: 09090002 01030309 00020103 06090003 ................ + 18a00: 01030109 00050103 0209000b 01030109 ................ + 18a10: 00020103 03090003 01037d09 00030103 ..........}..... + 18a20: 0609000b 01030409 00040103 05090009 ................ + 18a30: 01037009 00050103 14090003 01037809 ..p...........x. + 18a40: 00020103 08090008 01090002 00010100 ................ + 18a50: 000fad00 0200000e 700101fb 0e0a0001 ........p....... + 18a60: 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor + 18a70: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 18a80: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 18a90: 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp + 18aa0: 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// + 18ab0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18ac0: 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ + 18ad0: 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp + 18ae0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 18af0: 742f7461 72676574 2f696e63 002f6f70 t/target/inc./op + 18b00: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo + 18b10: 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil + 18b20: 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin + 18b30: 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte + 18b40: 6e73612d 656c662f 696e636c 75646500 nsa-elf/include. + 18b50: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 18b60: 76546f6f 6c732f69 6e737461 6c6c2f62 vTools/install/b + 18b70: 75696c64 732f5242 2d323030 372e322d uilds/RB-2007.2- + 18b80: 6c696e75 782f4d61 67706965 5f50302f linux/Magpie_P0/ + 18b90: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 18ba0: 64652f73 7973002f 6f70742f 7874656e de/sys./opt/xten + 18bb0: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in + 18bc0: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- + 18bd0: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag + 18be0: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el + 18bf0: 662f696e 636c7564 652f6d61 6368696e f/include/machin + 18c00: 65002f6f 70742f78 74656e73 612f5874 e./opt/xtensa/Xt + 18c10: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 18c20: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 18c30: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 18c40: 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i + 18c50: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor + 18c60: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 18c70: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 18c80: 655f315f 312f696e 632f6d61 67706965 e_1_1/inc/magpie + 18c90: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 18ca0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 18cb0: 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ + 18cc0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 18cd0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 18ce0: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in + 18cf0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 18d00: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18d10: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18d20: 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ + 18d30: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 18d40: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 18d50: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 18d60: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 18d70: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 18d80: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 18d90: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD + 18da0: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ + 18db0: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- + 18dc0: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool + 18dd0: 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc + 18de0: 6c756465 2f787465 6e736100 2f6f7074 lude/xtensa./opt + 18df0: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo + 18e00: 6c732f69 6e737461 6c6c2f62 75696c64 ls/install/build + 18e10: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu + 18e20: 782f4d61 67706965 5f50302f 7874656e x/Magpie_P0/xten + 18e30: 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl + 18e40: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi + 18e50: 67002f72 6f6f742f 576f726b 73706163 g./root/Workspac + 18e60: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18e70: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 18e80: 2f726f6d 2f636d6e 6f732f70 72696e74 /rom/cmnos/print + 18e90: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 18ea0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 18eb0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 18ec0: 5f315f31 2f726f6d 2f636d6e 6f732f75 _1_1/rom/cmnos/u + 18ed0: 6172742f 696e6300 2f726f6f 742f576f art/inc./root/Wo + 18ee0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 18ef0: 322f7467 742f7461 72676574 2f636d6e 2/tgt/target/cmn + 18f00: 6f732f64 62672f69 6e63002f 726f6f74 os/dbg/inc./root + 18f10: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 18f20: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 18f30: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 18f40: 6e6f732f 6d656d2f 696e6300 2f726f6f nos/mem/inc./roo + 18f50: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 18f60: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 18f70: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 18f80: 6d6e6f73 2f6d6973 632f696e 63002f72 mnos/misc/inc./r + 18f90: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 18fa0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 18fb0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 18fc0: 2f636d6e 6f732f73 7472696e 672f696e /cmnos/string/in + 18fd0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 18fe0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 18ff0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19000: 2f726f6d 2f636d6e 6f732f74 696d6572 /rom/cmnos/timer + 19010: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19020: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19030: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 19040: 315f312f 726f6d2f 636d6e6f 732f726f 1_1/rom/cmnos/ro + 19050: 6d706174 63682f69 6e63002f 726f6f74 mpatch/inc./root + 19060: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19070: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 19080: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 19090: 6e6f732f 616c6c6f 6372616d 2f696e63 nos/allocram/inc + 190a0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 190b0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 190c0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 190d0: 726f6d2f 636d6e6f 732f7461 736b6c65 rom/cmnos/taskle + 190e0: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work + 190f0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19100: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19110: 5f315f31 2f726f6d 2f636d6e 6f732f63 _1_1/rom/cmnos/c + 19120: 6c6f636b 2f696e63 002f726f 6f742f57 lock/inc./root/W + 19130: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19140: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19150: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 19160: 732f696e 74722f69 6e63002f 726f6f74 s/intr/inc./root + 19170: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19180: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 19190: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 191a0: 6e6f732f 7764742f 696e6300 2f726f6f nos/wdt/inc./roo + 191b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 191c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 191d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 191e0: 6d6e6f73 2f656570 726f6d2f 696e6300 mnos/eeprom/inc. + 191f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19200: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19210: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19220: 6f6d2f68 69662f69 6e63002f 726f6f74 om/hif/inc./root + 19230: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19240: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc + 19250: 6c756465 2f6e6275 66002f72 6f6f742f lude/nbuf./root/ + 19260: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 19270: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl + 19280: 7564652f 6f73002f 726f6f74 2f576f72 ude/os./root/Wor + 19290: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 192a0: 2f746774 2f746172 6765742f 6164662f /tgt/target/adf/ + 192b0: 6f732f69 6e63002f 726f6f74 2f576f72 os/inc./root/Wor + 192c0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 192d0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 192e0: 655f315f 312f726f 6d2f7662 75662f69 e_1_1/rom/vbuf/i + 192f0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19300: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19310: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19320: 312f726f 6d2f7664 6573632f 696e6300 1/rom/vdesc/inc. + 19330: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19340: 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad + 19350: 662f696e 636c7564 652f6e65 74002f72 f/include/net./r + 19360: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 19370: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 19380: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 19390: 2f616466 2f6e6275 662f696e 63002f72 /adf/nbuf/inc./r + 193a0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 193b0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 193c0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 193d0: 2f687463 2f696e63 002f726f 6f742f57 /htc/inc./root/W + 193e0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 193f0: 30322f77 6c616e2f 696e636c 75646500 02/wlan/include. + 19400: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19410: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 19420: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 19430: 6f6d2f62 75665f70 6f6f6c2f 696e6300 om/buf_pool/inc. + 19440: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 19450: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta + 19460: 72676574 2f776d69 2f696e63 002f726f rget/wmi/inc./ro + 19470: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 19480: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 19490: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 194a0: 646d615f 656e6769 6e652f69 6e63002f dma_engine/inc./ + 194b0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 194c0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 194d0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 194e0: 6d2f646d 615f656e 67696e65 2f737263 m/dma_engine/src + 194f0: 00006465 73632e63 00010000 64745f64 ..desc.c....dt_d + 19500: 6566732e 68000200 00737472 696e672e efs.h....string. + 19510: 68000300 005f616e 73692e68 00030000 h...._ansi.h.... + 19520: 6e65776c 69622e68 00030000 636f6e66 newlib.h....conf + 19530: 69672e68 00040000 69656565 66702e68 ig.h....ieeefp.h + 19540: 00050000 7265656e 742e6800 0400005f ....reent.h...._ + 19550: 74797065 732e6800 0400006c 6f636b2e types.h....lock. + 19560: 68000400 00737464 6465662e 68000600 h....stddef.h... + 19570: 00726567 5f646566 732e6800 0700006f .reg_defs.h....o + 19580: 73617069 2e680002 00004f54 55535f73 sapi.h....OTUS_s + 19590: 6f632e68 00080000 4d616770 69655f61 oc.h....Magpie_a + 195a0: 70692e68 00090000 636d6e6f 735f6170 pi.h....cmnos_ap + 195b0: 692e6800 0a000073 79735f63 66672e68 i.h....sys_cfg.h + 195c0: 00090000 726f6d5f 6366672e 68000700 ....rom_cfg.h... + 195d0: 006d6167 7069655f 6d656d2e 68000900 .magpie_mem.h... + 195e0: 00636f72 652e6800 0b000068 616c2e68 .core.h....hal.h + 195f0: 000c0000 636f7265 2d697361 2e68000d ....core-isa.h.. + 19600: 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. + 19610: 0d000074 69652e68 000d0000 78747275 ...tie.h....xtru + 19620: 6e74696d 652e6800 0c000073 70656372 ntime.h....specr + 19630: 65672e68 000d0000 636f7265 62697473 eg.h....corebits + 19640: 2e68000c 00007072 696e7466 5f617069 .h....printf_api + 19650: 2e68000e 00007561 72745f61 70692e68 .h....uart_api.h + 19660: 000f0000 6462675f 6170692e 68001000 ....dbg_api.h... + 19670: 006d656d 5f617069 2e680011 00006d69 .mem_api.h....mi + 19680: 73635f61 70692e68 00120000 73747269 sc_api.h....stri + 19690: 6e675f61 70692e68 00130000 74696d65 ng_api.h....time + 196a0: 725f6170 692e6800 14000072 6f6d705f r_api.h....romp_ + 196b0: 6170692e 68001500 00616c6c 6f637261 api.h....allocra + 196c0: 6d5f6170 692e6800 16000074 61736b6c m_api.h....taskl + 196d0: 65745f61 70692e68 00170000 636c6f63 et_api.h....cloc + 196e0: 6b5f6170 692e6800 18000069 6e74725f k_api.h....intr_ + 196f0: 6170692e 68001900 00776474 5f617069 api.h....wdt_api + 19700: 2e68001a 00006565 70726f6d 5f617069 .h....eeprom_api + 19710: 2e68001b 00007573 625f6170 692e6800 .h....usb_api.h. + 19720: 1c000068 69665f70 63692e68 001c0000 ...hif_pci.h.... + 19730: 6869665f 6170692e 68001c00 00616466 hif_api.h....adf + 19740: 5f6e6275 662e6800 1d000061 64665f6f _nbuf.h....adf_o + 19750: 735f7574 696c2e68 001e0000 6164665f s_util.h....adf_ + 19760: 6f735f75 74696c5f 7076742e 68001f00 os_util_pvt.h... + 19770: 00616466 5f6f735f 74797065 732e6800 .adf_os_types.h. + 19780: 1e000061 64665f6f 735f7374 64747970 ...adf_os_stdtyp + 19790: 65732e68 001e0000 6164665f 6f735f74 es.h....adf_os_t + 197a0: 79706573 5f707674 2e68001f 00007662 ypes_pvt.h....vb + 197b0: 75665f61 70692e68 00200000 76646573 uf_api.h. ..vdes + 197c0: 635f6170 692e6800 21000073 74646172 c_api.h.!..stdar + 197d0: 672e6800 02000076 612d7874 656e7361 g.h....va-xtensa + 197e0: 2e680006 00006164 665f6f73 5f646d61 .h....adf_os_dma + 197f0: 2e68001e 00006164 665f6f73 5f646d61 .h....adf_os_dma + 19800: 5f707674 2e68001f 00006164 665f6e65 _pvt.h....adf_ne + 19810: 745f7479 7065732e 68002200 00616466 t_types.h."..adf + 19820: 5f6e6275 665f7076 742e6800 23000064 _nbuf_pvt.h.#..d + 19830: 6d615f6c 69622e68 001c0000 6869665f ma_lib.h....hif_ + 19840: 676d6163 2e68001c 00007573 62666966 gmac.h....usbfif + 19850: 6f5f6170 692e6800 1c000068 74635f61 o_api.h....htc_a + 19860: 70692e68 00240000 6874632e 68002500 pi.h.$..htc.h.%. + 19870: 00627566 5f706f6f 6c5f6170 692e6800 .buf_pool_api.h. + 19880: 26000077 6d695f73 76635f61 70692e68 &..wmi_svc_api.h + 19890: 00270000 776d692e 68002500 00617468 .'..wmi.h.%..ath + 198a0: 64656673 2e680025 0000646d 615f656e defs.h.%..dma_en + 198b0: 67696e65 5f617069 2e680028 00006465 gine_api.h.(..de + 198c0: 73632e68 00290000 00000502 008e5bb4 sc.h.)........[. + 198d0: 033a0103 00090003 01030309 00020103 .:.............. + 198e0: 01090007 01030d09 00020103 76090002 ............v... + 198f0: 01030809 00170103 02090002 01037e09 ..............~. + 19900: 00020103 0209000c 01031909 00050103 ................ + 19910: 09090003 01030309 00060103 01090006 ................ + 19920: 01030109 00060103 0a090006 01030809 ................ + 19930: 000b0103 04090008 01037c09 00080103 ..........|..... + 19940: 04090002 01030309 00060103 0309000f ................ + 19950: 01030509 000c0103 7b090002 01030509 ........{....... + 19960: 00030103 0309000c 01030409 000b0103 ................ + 19970: 03090011 01030109 00020103 62090002 ............b... + 19980: 01036e09 00050103 c8000900 05010309 ..n............. + 19990: 09000301 03040900 07010303 09001201 ................ + 199a0: 03080900 08010303 09000a01 03020900 ................ + 199b0: 08010304 09000a01 03050900 0b010303 ................ + 199c0: 09000f01 03050900 0c01037b 09000201 ...........{.... + 199d0: 03050900 03010302 09000c01 03010900 ................ + 199e0: 0b010302 09001101 03010900 02010360 ...............` + 199f0: 09000201 03760900 05010900 03000101 .....v.......... + 19a00: 0000114a 00020000 0cf80101 fb0e0a00 ...J............ + 19a10: 01010101 00000001 2f726f6f 742f576f ......../root/Wo + 19a20: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19a30: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19a40: 69655f31 5f312f69 6d616765 2f6d6167 ie_1_1/image/mag + 19a50: 7069652f 2e2e2f2e 2e2f2e2e 2f2e2e2f pie/../../../../ + 19a60: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19a70: 312f726f 6d2f6677 642f002f 726f6f74 1/rom/fwd/./root + 19a80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19a90: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 19aa0: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W + 19ab0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19ac0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19ad0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 19ae0: 732f696e 63002f72 6f6f742f 576f726b s/inc./root/Work + 19af0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19b00: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19b10: 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W + 19b20: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19b30: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19b40: 7069655f 315f312f 696e632f 6d616770 pie_1_1/inc/magp + 19b50: 6965002f 6f70742f 7874656e 73612f58 ie./opt/xtensa/X + 19b60: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal + 19b70: 6c2f746f 6f6c732f 52422d32 3030372e l/tools/RB-2007. + 19b80: 322d6c69 6e75782f 5874656e 7361546f 2-linux/XtensaTo + 19b90: 6f6c732f 7874656e 73612d65 6c662f69 ols/xtensa-elf/i + 19ba0: 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 19bb0: 6e666967 002f6f70 742f7874 656e7361 nfig./opt/xtensa + 19bc0: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst + 19bd0: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 + 19be0: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa + 19bf0: 546f6f6c 732f7874 656e7361 2d656c66 Tools/xtensa-elf + 19c00: 2f696e63 6c756465 2f787465 6e736100 /include/xtensa. + 19c10: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe + 19c20: 76546f6f 6c732f69 6e737461 6c6c2f62 vTools/install/b + 19c30: 75696c64 732f5242 2d323030 372e322d uilds/RB-2007.2- + 19c40: 6c696e75 782f4d61 67706965 5f50302f linux/Magpie_P0/ + 19c50: 7874656e 73612d65 6c662f61 7263682f xtensa-elf/arch/ + 19c60: 696e636c 7564652f 7874656e 73612f63 include/xtensa/c + 19c70: 6f6e6669 67002f72 6f6f742f 576f726b onfig./root/Work + 19c80: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19c90: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19ca0: 5f315f31 2f726f6d 2f636d6e 6f732f70 _1_1/rom/cmnos/p + 19cb0: 72696e74 662f696e 63002f72 6f6f742f rintf/inc./root/ + 19cc0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 19cd0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma + 19ce0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn + 19cf0: 6f732f75 6172742f 696e6300 2f726f6f os/uart/inc./roo + 19d00: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 19d10: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target + 19d20: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 19d30: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 19d40: 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ + 19d50: 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo + 19d60: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19d70: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19d80: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 19d90: 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W + 19da0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 19db0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 19dc0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 19dd0: 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root + 19de0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 19df0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 19e00: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 19e10: 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ + 19e20: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 19e30: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 19e40: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 19e50: 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in + 19e60: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 19e70: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 19e80: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 19e90: 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa + 19ea0: 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo + 19eb0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19ec0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19ed0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 19ee0: 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r + 19ef0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 19f00: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 19f10: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 19f20: 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i + 19f30: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 19f40: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 19f50: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 19f60: 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc + 19f70: 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work + 19f80: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 19f90: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 19fa0: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i + 19fb0: 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo + 19fc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 19fd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 19fe0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 19ff0: 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W + 1a000: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a010: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1a020: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 1a030: 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro + 1a040: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1a050: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build + 1a060: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ + 1a070: 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo + 1a080: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1a090: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 1a0a0: 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor + 1a0b0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1a0c0: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include + 1a0d0: 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp + 1a0e0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1a0f0: 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ + 1a100: 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ + 1a110: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 1a120: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 1a130: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 1a140: 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib + 1a150: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W + 1a160: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1a170: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1a180: 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf + 1a190: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1a1a0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1a1b0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1a1c0: 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in + 1a1d0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1a1e0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1a1f0: 6164662f 696e636c 7564652f 6e657400 adf/include/net. + 1a200: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1a210: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1a220: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1a230: 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. + 1a240: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ + 1a250: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu + 1a260: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r + 1a270: 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root + 1a280: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1a290: 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ + 1a2a0: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac + 1a2b0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1a2c0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 + 1a2d0: 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in + 1a2e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac + 1a2f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ + 1a300: 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ + 1a310: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1a320: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1a330: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1a340: 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc + 1a350: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1a360: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 1a370: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1a380: 726f6d2f 66776400 00667764 2e630001 rom/fwd..fwd.c.. + 1a390: 00004f54 55535f73 6f632e68 00020000 ..OTUS_soc.h.... + 1a3a0: 636d6e6f 735f6170 692e6800 03000073 cmnos_api.h....s + 1a3b0: 79735f63 66672e68 00040000 726f6d5f ys_cfg.h....rom_ + 1a3c0: 6366672e 68000500 006d6167 7069655f cfg.h....magpie_ + 1a3d0: 6d656d2e 68000400 00636f72 652e6800 mem.h....core.h. + 1a3e0: 06000068 616c2e68 00070000 636f7265 ...hal.h....core + 1a3f0: 2d697361 2e680008 0000636f 72652d6d -isa.h....core-m + 1a400: 61746d61 702e6800 08000074 69652e68 atmap.h....tie.h + 1a410: 00080000 78747275 6e74696d 652e6800 ....xtruntime.h. + 1a420: 07000073 70656372 65672e68 00080000 ...specreg.h.... + 1a430: 636f7265 62697473 2e680007 00007072 corebits.h....pr + 1a440: 696e7466 5f617069 2e680009 00007561 intf_api.h....ua + 1a450: 72745f61 70692e68 000a0000 7265675f rt_api.h....reg_ + 1a460: 64656673 2e680005 00006474 5f646566 defs.h....dt_def + 1a470: 732e6800 0b000064 62675f61 70692e68 s.h....dbg_api.h + 1a480: 000c0000 6d656d5f 6170692e 68000d00 ....mem_api.h... + 1a490: 006d6973 635f6170 692e6800 0e000073 .misc_api.h....s + 1a4a0: 7472696e 675f6170 692e6800 0f000074 tring_api.h....t + 1a4b0: 696d6572 5f617069 2e680010 0000726f imer_api.h....ro + 1a4c0: 6d705f61 70692e68 00110000 616c6c6f mp_api.h....allo + 1a4d0: 6372616d 5f617069 2e680012 00007461 cram_api.h....ta + 1a4e0: 736b6c65 745f6170 692e6800 13000063 sklet_api.h....c + 1a4f0: 6c6f636b 5f617069 2e680014 0000696e lock_api.h....in + 1a500: 74725f61 70692e68 00150000 7764745f tr_api.h....wdt_ + 1a510: 6170692e 68001600 00656570 726f6d5f api.h....eeprom_ + 1a520: 6170692e 68001700 00757362 5f617069 api.h....usb_api + 1a530: 2e680018 00006869 665f7063 692e6800 .h....hif_pci.h. + 1a540: 18000068 69665f61 70692e68 00180000 ...hif_api.h.... + 1a550: 6164665f 6e627566 2e680019 00006164 adf_nbuf.h....ad + 1a560: 665f6f73 5f757469 6c2e6800 1a000061 f_os_util.h....a + 1a570: 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h + 1a580: 001b0000 6164665f 6f735f74 79706573 ....adf_os_types + 1a590: 2e68001a 00006164 665f6f73 5f737464 .h....adf_os_std + 1a5a0: 74797065 732e6800 1a000061 64665f6f types.h....adf_o + 1a5b0: 735f7479 7065735f 7076742e 68001b00 s_types_pvt.h... + 1a5c0: 00737464 6465662e 68001c00 006f7361 .stddef.h....osa + 1a5d0: 70692e68 000b0000 76627566 5f617069 pi.h....vbuf_api + 1a5e0: 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. + 1a5f0: 68001e00 00737464 6172672e 68000b00 h....stdarg.h... + 1a600: 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... + 1a610: 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... + 1a620: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h + 1a630: 001b0000 6164665f 6e65745f 74797065 ....adf_net_type + 1a640: 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ + 1a650: 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib + 1a660: 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h + 1a670: 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h + 1a680: 00040000 75736266 69666f5f 6170692e ....usbfifo_api. + 1a690: 68001800 00687463 5f617069 2e680021 h....htc_api.h.! + 1a6a0: 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p + 1a6b0: 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi + 1a6c0: 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm + 1a6d0: 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h + 1a6e0: 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a + 1a6f0: 70692e68 00250000 6677642e 68002600 pi.h.%..fwd.h.&. + 1a700: 0000043d 00050200 8e5d2403 35010301 ...=.....]$.5... + 1a710: 09000301 03010900 0601037f 09000501 ................ + 1a720: 03010900 02010301 09000301 04010361 ...............a + 1a730: 09000501 03000900 03010304 09000c01 ................ + 1a740: 03010900 02010303 09000201 037e0900 .............~.. + 1a750: 03010302 09000201 03040900 05010302 ................ + 1a760: 09000d01 03020900 0a010305 09000401 ................ + 1a770: 03000900 03010301 09000801 03010900 ................ + 1a780: 0b010304 09000201 03000900 0301030a ................ + 1a790: 09000301 037a0900 0201037e 09000201 .....z.....~.... + 1a7a0: 03020900 05010301 09000901 037f0900 ................ + 1a7b0: 0a010303 09000201 03010900 0301037f ................ + 1a7c0: 09000201 03030900 03010307 09000401 ................ + 1a7d0: 030a0900 03010301 09000a01 037f0900 ................ + 1a7e0: 03010304 09000201 03010900 06010301 ................ + 1a7f0: 09000501 03010900 06010303 09000401 ................ + 1a800: 03010900 07010306 09000801 037d0900 .............}.. + 1a810: 03010302 09000201 03040900 05010301 ................ + 1a820: 09000801 03010900 0a010301 09000201 ................ + 1a830: 037d0900 02010307 09000201 03010900 .}.............. + 1a840: 0401037f 09000201 03020900 05010301 ................ + 1a850: 09000c01 03020900 03010301 09000201 ................ + 1a860: 037d0900 02010304 09000301 037c0900 .}...........|.. + 1a870: 02010303 09000301 037f0900 03010302 ................ + 1a880: 09000301 037e0900 03010304 09000401 .....~.......... + 1a890: 03030900 0c010301 09000701 030c0900 ................ + 1a8a0: 03010304 09000a01 03040900 11010302 ................ + 1a8b0: 09000301 036d0900 02010304 09000c01 .....m.......... + 1a8c0: 03020900 05010301 09000301 03100900 ................ + 1a8d0: 0b010300 09000301 03020900 05010301 ................ + 1a8e0: 09000201 03010900 02010301 09000201 ................ + 1a8f0: 03010900 02010301 09000201 03010900 ................ + 1a900: 02010301 09000201 03010900 02010301 ................ + 1a910: 09000201 03010900 02010301 09000201 ................ + 1a920: 03010900 02010304 09000401 03000900 ................ + 1a930: 03010303 09000301 03020900 0c010302 ................ + 1a940: 09000901 03020900 0c010303 09000901 ................ + 1a950: 03020900 09010303 09000c01 03020900 ................ + 1a960: 0c010301 09000901 03030900 09010302 ................ + 1a970: 09000c01 03010900 09010303 09000901 ................ + 1a980: 03020900 09010302 09000e01 03010900 ................ + 1a990: 05010302 09000c01 03010900 05010301 ................ + 1a9a0: 09000901 03010900 09010304 09000401 ................ + 1a9b0: 03000900 03010303 09000301 03010900 ................ + 1a9c0: 0b010301 09000901 037f0900 0b010304 ................ + 1a9d0: 09000901 03060900 0e010300 09000301 ................ + 1a9e0: 03010900 03010301 09000e01 03040900 ................ + 1a9f0: 04010304 09000301 03010900 1a010306 ................ + 1aa00: 09000501 03040900 02010376 09000201 ...........v.... + 1aa10: 03020900 03010305 09000501 037f0900 ................ + 1aa20: 0301037e 09000901 03050900 05010303 ...~............ + 1aa30: 09000201 03050900 07010300 09000301 ................ + 1aa40: 03040900 06010301 09000a01 03010900 ................ + 1aa50: 05010302 09000501 03010900 07010301 ................ + 1aa60: 09000201 037b0900 05010307 09000301 .....{.......... + 1aa70: 03010900 0d010304 09000501 03000900 ................ + 1aa80: 03010304 09000201 03010900 0b010302 ................ + 1aa90: 09000901 037d0900 02010303 09000201 .....}.......... + 1aaa0: 03090900 03010303 09000301 03010900 ................ + 1aab0: 08010302 09000b01 03010900 07010302 ................ + 1aac0: 09000b01 037f0900 02010301 09000401 ................ + 1aad0: 03030900 03010301 09000501 03010900 ................ + 1aae0: 05010301 09000b01 03020900 05010302 ................ + 1aaf0: 09000701 03020900 07010306 09000301 ................ + 1ab00: 03010900 0f010301 09000901 03010900 ................ + 1ab10: 02010373 09000501 03100900 08010302 ...s............ + 1ab20: 09000901 037f0900 02010301 09000401 ................ + 1ab30: 03030900 03010301 09000301 03010900 ................ + 1ab40: 03010306 09000901 09000400 01010000 ................ + 1ab50: 0ebd0002 00000d45 0101fb0e 0a000101 .......E........ + 1ab60: 01010000 00012f72 6f6f742f 576f726b ....../root/Work + 1ab70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1ab80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1ab90: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi + 1aba0: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b + 1abb0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1abc0: 726f6d2f 6275665f 706f6f6c 2f737263 rom/buf_pool/src + 1abd0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1abe0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t + 1abf0: 61726765 742f696e 63002f72 6f6f742f arget/inc./root/ + 1ac00: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1ac10: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i + 1ac20: 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo + 1ac30: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1ac40: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1ac50: 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root + 1ac60: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1ac70: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1ac80: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm + 1ac90: 6e6f732f 696e6300 2f726f6f 742f576f nos/inc./root/Wo + 1aca0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1acb0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1acc0: 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi + 1acd0: 65002f6f 70742f78 74656e73 612f5874 e./opt/xtensa/Xt + 1ace0: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install + 1acf0: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 + 1ad00: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo + 1ad10: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in + 1ad20: 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con + 1ad30: 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ + 1ad40: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 1ad50: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 1ad60: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 1ad70: 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + 1ad80: 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 1ad90: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev + 1ada0: 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu + 1adb0: 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l + 1adc0: 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x + 1add0: 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i + 1ade0: 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 1adf0: 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works + 1ae00: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1ae10: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1ae20: 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr + 1ae30: 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W + 1ae40: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1ae50: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1ae60: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 1ae70: 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root + 1ae80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1ae90: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ + 1aea0: 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r + 1aeb0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1aec0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 1aed0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 1aee0: 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ + 1aef0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1af00: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1af10: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1af20: 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc + 1af30: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1af40: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b + 1af50: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ + 1af60: 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string + 1af70: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1af80: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1af90: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1afa0: 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti + 1afb0: 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo + 1afc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1afd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1afe0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos + 1aff0: 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r + 1b000: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1b010: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 1b020: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 1b030: 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ + 1b040: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp + 1b050: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg + 1b060: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 + 1b070: 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas + 1b080: 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W + 1b090: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 + 1b0a0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag + 1b0b0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno + 1b0c0: 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo + 1b0d0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 + 1b0e0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ + 1b0f0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c + 1b100: 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r + 1b110: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1b120: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil + 1b130: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom + 1b140: 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ + 1b150: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b160: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1b170: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1b180: 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i + 1b190: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa + 1b1a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt + 1b1b0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ + 1b1c0: 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r + 1b1d0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 + 1b1e0: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ + 1b1f0: 696e636c 7564652f 6e627566 002f726f include/nbuf./ro + 1b200: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 + 1b210: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i + 1b220: 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ + 1b230: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v + 1b240: 3230322f 7467742f 74617267 65742f61 202/tgt/target/a + 1b250: 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x + 1b260: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools + 1b270: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R + 1b280: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X + 1b290: 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x + 1b2a0: 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ + 1b2b0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 + 1b2c0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui + 1b2d0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro + 1b2e0: 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root + 1b2f0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ + 1b300: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m + 1b310: 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd + 1b320: 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo + 1b330: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1b340: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ + 1b350: 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work + 1b360: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1b370: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1b380: 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu + 1b390: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work + 1b3a0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ + 1b3b0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie + 1b3c0: 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc + 1b3d0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace + 1b3e0: 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ + 1b3f0: 696e636c 75646500 2f726f6f 742f576f include./root/Wo + 1b400: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1b410: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp + 1b420: 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p + 1b430: 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo + 1b440: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 + 1b450: 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi + 1b460: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works + 1b470: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t + 1b480: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ + 1b490: 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi + 1b4a0: 6e652f69 6e63002f 726f6f74 2f576f72 ne/inc./root/Wor + 1b4b0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 + 1b4c0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi + 1b4d0: 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po + 1b4e0: 6f6c2f73 72630000 6275665f 706f6f6c ol/src..buf_pool + 1b4f0: 5f737461 7469632e 63000100 006f7361 _static.c....osa + 1b500: 70692e68 00020000 4f545553 5f736f63 pi.h....OTUS_soc + 1b510: 2e680003 00004d61 67706965 5f617069 .h....Magpie_api + 1b520: 2e680004 0000636d 6e6f735f 6170692e .h....cmnos_api. + 1b530: 68000500 00737973 5f636667 2e680004 h....sys_cfg.h.. + 1b540: 0000726f 6d5f6366 672e6800 0600006d ..rom_cfg.h....m + 1b550: 61677069 655f6d65 6d2e6800 04000063 agpie_mem.h....c + 1b560: 6f72652e 68000700 0068616c 2e680008 ore.h....hal.h.. + 1b570: 0000636f 72652d69 73612e68 00090000 ..core-isa.h.... + 1b580: 636f7265 2d6d6174 6d61702e 68000900 core-matmap.h... + 1b590: 00746965 2e680009 00007874 72756e74 .tie.h....xtrunt + 1b5a0: 696d652e 68000800 00737065 63726567 ime.h....specreg + 1b5b0: 2e680009 0000636f 72656269 74732e68 .h....corebits.h + 1b5c0: 00080000 7072696e 74665f61 70692e68 ....printf_api.h + 1b5d0: 000a0000 75617274 5f617069 2e68000b ....uart_api.h.. + 1b5e0: 00007265 675f6465 66732e68 00060000 ..reg_defs.h.... + 1b5f0: 64745f64 6566732e 68000200 00646267 dt_defs.h....dbg + 1b600: 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap + 1b610: 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. + 1b620: 68000e00 00737472 696e675f 6170692e h....string_api. + 1b630: 68000f00 0074696d 65725f61 70692e68 h....timer_api.h + 1b640: 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. + 1b650: 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h + 1b660: 00120000 7461736b 6c65745f 6170692e ....tasklet_api. + 1b670: 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h + 1b680: 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. + 1b690: 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e + 1b6a0: 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u + 1b6b0: 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ + 1b6c0: 7063692e 68001800 00686966 5f617069 pci.h....hif_api + 1b6d0: 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h + 1b6e0: 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. + 1b6f0: 68001a00 00616466 5f6f735f 7574696c h....adf_os_util + 1b700: 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os + 1b710: 5f747970 65732e68 001a0000 6164665f _types.h....adf_ + 1b720: 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... + 1b730: 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv + 1b740: 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. + 1b750: 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... + 1b760: 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... + 1b770: 73746461 72672e68 00020000 76612d78 stdarg.h....va-x + 1b780: 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o + 1b790: 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o + 1b7a0: 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a + 1b7b0: 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. + 1b7c0: 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h + 1b7d0: 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... + 1b7e0: 00686966 5f676d61 632e6800 18000075 .hif_gmac.h....u + 1b7f0: 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... + 1b800: 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc + 1b810: 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a + 1b820: 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ + 1b830: 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." + 1b840: 00006174 68646566 732e6800 22000064 ..athdefs.h."..d + 1b850: 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. + 1b860: 25000061 64665f6f 735f6d65 6d2e6800 %..adf_os_mem.h. + 1b870: 1a000061 64665f6f 735f6d65 6d5f7076 ...adf_os_mem_pv + 1b880: 742e6800 1b000062 75665f70 6f6f6c5f t.h....buf_pool_ + 1b890: 73746174 69632e68 00260000 00000502 static.h.&...... + 1b8a0: 008e6128 03210103 00090003 01030609 ..a(.!.......... + 1b8b0: 00120103 7f090002 01037f09 00020103 ................ + 1b8c0: 7f090002 01037f09 00020103 7f090002 ................ + 1b8d0: 01030609 00020103 03090003 01030009 ................ + 1b8e0: 00030103 04090003 01030109 000a0103 ................ + 1b8f0: 01090002 01030209 00020103 0d090004 ................ + 1b900: 01030209 00030103 03090005 01030009 ................ + 1b910: 00030103 07090002 01030109 000e0103 ................ + 1b920: 01090006 01037f09 00030103 01090002 ................ + 1b930: 01043e03 bb7f0900 05010401 03c30009 ..>............. + 1b940: 000a0103 12090002 01037309 00020103 ..........s..... + 1b950: 7f090003 01030309 00020103 7f090005 ................ + 1b960: 01030609 00030103 7e090002 01037f09 ........~....... + 1b970: 00020103 04090005 01037309 00020103 ..........s..... + 1b980: 13090003 01037c09 00020103 04090005 ......|......... + 1b990: 01030309 00050103 00090003 01030309 ................ + 1b9a0: 00030103 02090005 01030309 00040103 ................ + 1b9b0: 7d090002 01030209 00040103 01090002 }............... + 1b9c0: 01030109 00030103 01090003 01030309 ................ + 1b9d0: 00030103 04090004 01030009 00030103 ................ + 1b9e0: 01090006 01030409 00070103 00090003 ................ + 1b9f0: 01030109 00030103 03090007 01037e09 ..............~. + 1ba00: 00020103 05090002 01090002 00010100 ................ + 1ba10: 0002b600 02000002 880101fb 0e0a0001 ................ + 1ba20: 01010100 0000012f 666f6c6b 732f7268 ......./folks/rh + 1ba30: 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p + 1ba40: 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ + 1ba50: 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ + 1ba60: 6f732f73 72632f78 746f7300 2f636164 os/src/xtos./cad + 1ba70: 2f74656e 73696c69 63612f74 6f6f6c73 /tensilica/tools + 1ba80: 2f52422d 32303037 2e322f58 74446576 /RB-2007.2/XtDev + 1ba90: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to + 1baa0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li + 1bab0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ + 1bac0: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu + 1bad0: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 1bae0: 002f6361 642f7465 6e73696c 6963612f ./cad/tensilica/ + 1baf0: 746f6f6c 732f5242 2d323030 372e322f tools/RB-2007.2/ + 1bb00: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta + 1bb10: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 + 1bb20: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT + 1bb30: 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ + 1bb40: 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 1bb50: 74726565 732f6279 616e672f 4d616770 trees/byang/Magp + 1bb60: 69655f50 302f6361 642f6174 68722f69 ie_P0/cad/athr/i + 1bb70: 702f7465 6e73696c 6963612f 6d616770 p/tensilica/magp + 1bb80: 69655f76 315f302f 696e7374 616c6c2f ie_v1_0/install/ + 1bb90: 6275696c 64732f52 422d3230 30372e32 builds/RB-2007.2 + 1bba0: 2d6c696e 75782f4d 61677069 655f5030 -linux/Magpie_P0 + 1bbb0: 2f787465 6e73612d 656c662f 61726368 /xtensa-elf/arch + 1bbc0: 2f696e63 6c756465 2f787465 6e73612f /include/xtensa/ + 1bbd0: 636f6e66 69670000 6578632d 73657468 config..exc-seth + 1bbe0: 616e646c 65722e63 00010000 636f7265 andler.c....core + 1bbf0: 2e680002 00006861 6c2e6800 03000063 .h....hal.h....c + 1bc00: 6f72652d 6973612e 68000400 00636f72 ore-isa.h....cor + 1bc10: 652d6d61 746d6170 2e680004 00007469 e-matmap.h....ti + 1bc20: 652e6800 04000073 70656372 65672e68 e.h....specreg.h + 1bc30: 00040000 636f7265 62697473 2e680003 ....corebits.h.. + 1bc40: 00007874 6f732d69 6e746572 6e616c2e ..xtos-internal. + 1bc50: 68000100 00787472 756e7469 6d652e68 h....xtruntime.h + 1bc60: 00030000 78747275 6e74696d 652d6672 ....xtruntime-fr + 1bc70: 616d6573 2e680003 00007874 656e7361 ames.h....xtensa + 1bc80: 2d766572 73696f6e 732e6800 03000078 -versions.h....x + 1bc90: 746f732d 70617261 6d732e68 00010000 tos-params.h.... + 1bca0: 00000502 008e620c 03200103 03090003 ......b.. ...... + 1bcb0: 01030c09 00080103 00090029 01030009 ...........).... + 1bcc0: 00040109 00290001 01000000 3b000200 .....)......;... + 1bcd0: 00001f01 01fb0e0a 00010101 01000000 ................ + 1bce0: 01006578 632d7461 626c652e 53000000 ..exc-table.S... + 1bcf0: 00000005 02008e68 14032901 03020900 .......h..)..... + 1bd00: 03010900 02000101 0000010f 00020000 ................ + 1bd10: 002b0101 fb0e0a00 01010101 00000001 .+.............. + 1bd20: 00657863 2d632d77 72617070 65722d68 .exc-c-wrapper-h + 1bd30: 616e646c 65722e53 00000000 00000502 andler.S........ + 1bd40: 008e6270 031d0103 01090002 01030d09 ..bp............ + 1bd50: 00060103 07090002 01032b09 00020103 ..........+..... + 1bd60: 02090003 01030109 00030103 07090003 ................ + 1bd70: 01030109 00020103 15090002 01030209 ................ + 1bd80: 00030103 02090003 0103d300 09000301 ................ + 1bd90: 03010900 03010308 09000301 03c10009 ................ + 1bda0: 00030103 01090003 01030609 00030103 ................ + 1bdb0: 01090003 01030209 00020103 01090002 ................ + 1bdc0: 01030209 000f0103 01090003 01030709 ................ + 1bdd0: 00020103 02090002 01030109 00030103 ................ + 1bde0: 08090003 01030109 000f0103 1c090002 ................ + 1bdf0: 01030309 00030103 02090003 01030709 ................ + 1be00: 00030103 0b090003 01030109 00030103 ................ + 1be10: 01090003 01090002 00010100 0000c800 ................ + 1be20: 02000000 640101fb 0e0a0001 01010100 ....d........... + 1be30: 0000012f 686f6d65 2f637573 746f6d65 .../home/custome + 1be40: 722f7472 65652f52 422d3230 30372e32 r/tree/RB-2007.2 + 1be50: 2f746f6f 6c732f73 77746f6f 6c732d78 /tools/swtools-x + 1be60: 38362d6c 696e7578 2f787465 6e73612d 86-linux/xtensa- + 1be70: 656c662f 7372632f 68616c00 00636c6f elf/src/hal..clo + 1be80: 636b2e53 00010000 00000502 008e681c ck.S..........h. + 1be90: 032a0103 02090003 01030e09 00030103 .*.............. + 1bea0: 0d090002 01030209 00030103 01090003 ................ + 1beb0: 01030a09 00030103 04090003 01030909 ................ + 1bec0: 00040103 02090003 01030109 00020103 ................ + 1bed0: 01090003 01030c09 00020103 02090002 ................ + 1bee0: 01090002 00010100 0001c700 02000001 ................ + 1bef0: c10101fb 0e0a0001 01010100 0000012f .............../ + 1bf00: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1bf10: 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1bf20: 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1bf30: 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1bf40: 7372632f 68616c00 2f686f6d 652f6375 src/hal./home/cu + 1bf50: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1bf60: 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1bf70: 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt + 1bf80: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include + 1bf90: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ + 1bfa0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1bfb0: 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1bfc0: 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1bfd0: 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1bfe0: 696e636c 7564652f 7874656e 7361002f include/xtensa./ + 1bff0: 70726f6a 6563742f 63757374 2f67656e project/cust/gen + 1c000: 6170702f 52422d32 3030372e 322f6275 app/RB-2007.2/bu + 1c010: 696c642f 61746865 726f732f 70726f64 ild/atheros/prod + 1c020: 2f4d6167 7069655f 50302f38 33373433 /Magpie_P0/83743 + 1c030: 2f52422d 32303037 2e322f4d 61677069 /RB-2007.2/Magpi + 1c040: 655f5030 2f787465 6e73612d 656c662f e_P0/xtensa-elf/ + 1c050: 61726368 2f696e63 6c756465 2f787465 arch/include/xte + 1c060: 6e73612f 636f6e66 69670000 6d697363 nsa/config..misc + 1c070: 2e630001 0000636f 72652e68 00020000 .c....core.h.... + 1c080: 68616c2e 68000300 00636f72 652d6973 hal.h....core-is + 1c090: 612e6800 04000063 6f72652d 6d61746d a.h....core-matm + 1c0a0: 61702e68 00040000 7469652e 68000400 ap.h....tie.h... + 1c0b0: 00000000 03310002 0000006e 0101fb0e .....1.....n.... + 1c0c0: 0a000101 01010000 00012f68 6f6d652f ........../home/ + 1c0d0: 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB + 1c0e0: 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw + 1c0f0: 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ + 1c100: 7874656e 73612d65 6c662f73 72632f68 xtensa-elf/src/h + 1c110: 616c0000 77696e64 6f777370 696c6c5f al..windowspill_ + 1c120: 61736d2e 53000100 00000005 02008e62 asm.S..........b + 1c130: ec03f100 01030109 00030103 01090002 ................ + 1c140: 01030109 00030103 01090003 01030109 ................ + 1c150: 00030103 01090003 01030209 00030103 ................ + 1c160: 01090003 01030f09 00030103 01090003 ................ + 1c170: 01030109 00030103 01090003 01030c09 ................ + 1c180: 00030103 01090003 01030909 00030103 ................ + 1c190: 02090003 01030109 00030103 04090003 ................ + 1c1a0: 01030109 00030103 03090003 01031809 ................ + 1c1b0: 00030103 01090003 01030109 00030103 ................ + 1c1c0: 01090003 01030109 00030103 06090003 ................ + 1c1d0: 01030109 00030103 01090002 01030109 ................ + 1c1e0: 00020103 01090002 01030209 00020103 ................ + 1c1f0: 01090003 01030209 00030103 04090003 ................ + 1c200: 01030109 00030103 01090002 01030109 ................ + 1c210: 00020103 01090002 01030209 00020103 ................ + 1c220: 01090003 01030209 00020103 02090003 ................ + 1c230: 01030109 00020103 01090002 01030109 ................ + 1c240: 00020103 02090002 01030109 00030103 ................ + 1c250: 02090003 01030409 00030103 02090003 ................ + 1c260: 01030109 00030103 01090002 01030109 ................ + 1c270: 00020103 01090002 01030209 00020103 ................ + 1c280: 01090003 01030109 00020103 01090003 ................ + 1c290: 01030209 00030103 01090002 01030109 ................ + 1c2a0: 00020103 01090002 01030109 00020103 ................ + 1c2b0: 01090002 01030109 00020103 01090002 ................ + 1c2c0: 01030209 00020103 01090003 01030109 ................ + 1c2d0: 00030103 02090003 01030509 00050103 ................ + 1c2e0: 01090003 01030109 00030103 01090003 ................ + 1c2f0: 01030109 00020103 01090003 01030109 ................ + 1c300: 00030103 01090003 01030109 00020103 ................ + 1c310: 07090002 01030109 00020103 0f090004 ................ + 1c320: 01030109 00030103 01090002 01030109 ................ + 1c330: 00030103 01090003 01030109 00020103 ................ + 1c340: 01090003 01030109 00030103 04090003 ................ + 1c350: 01030109 00030103 01090003 01030109 ................ + 1c360: 00030103 01090003 01030109 00030103 ................ + 1c370: 01090003 01030109 00020103 01090003 ................ + 1c380: 01030109 00030103 02090003 01030109 ................ + 1c390: 00020103 1a090005 01030209 00030103 ................ + 1c3a0: 01090003 01030109 00030103 01090002 ................ + 1c3b0: 01030109 00030103 01090002 01030109 ................ + 1c3c0: 00030103 01090003 01030109 00030103 ................ + 1c3d0: 01090002 01030109 00030103 02090003 ................ + 1c3e0: 01090002 00010100 00008800 02000000 ................ + 1c3f0: 660101fb 0e0a0001 01010100 0000012f f............../ + 1c400: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1c410: 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1c420: 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1c430: 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ + 1c440: 7372632f 68616c00 00696e74 5f61736d src/hal..int_asm + 1c450: 2e530001 00000000 0502008e 6844033e .S..........hD.> + 1c460: 01030209 00030103 04090003 01090002 ................ + 1c470: 00010100 00008900 02000000 660101fb ............f... + 1c480: 0e0a0001 01010100 0000012f 686f6d65 .........../home + 1c490: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1c4a0: 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s + 1c4b0: 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux + 1c4c0: 2f787465 6e73612d 656c662f 7372632f /xtensa-elf/src/ + 1c4d0: 68616c00 00696e74 5f61736d 2e530001 hal..int_asm.S.. + 1c4e0: 00000000 0502008e 684c03e5 00010302 ........hL...... + 1c4f0: 09000301 03040900 03010900 02000101 ................ + 1c500: 00000089 00020000 00660101 fb0e0a00 .........f...... + 1c510: 01010101 00000001 2f686f6d 652f6375 ......../home/cu + 1c520: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 + 1c530: 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto + 1c540: 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt + 1c550: 656e7361 2d656c66 2f737263 2f68616c ensa-elf/src/hal + 1c560: 0000696e 745f6173 6d2e5300 01000000 ..int_asm.S..... + 1c570: 00050200 8e685403 cf000103 02090003 .....hT......... + 1c580: 01030209 00030109 00020001 01000001 ................ + 1c590: e8000200 0001e201 01fb0e0a 00010101 ................ + 1c5a0: 01000000 012f686f 6d652f63 7573746f ...../home/custo + 1c5b0: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 1c5c0: 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 1c5d0: 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 1c5e0: 612d656c 662f7372 632f6861 6c002f68 a-elf/src/hal./h + 1c5f0: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre + 1c600: 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool + 1c610: 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li + 1c620: 6e75782f 7874656e 73612d65 6c662f69 nux/xtensa-elf/i + 1c630: 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co + 1c640: 6e666967 002f686f 6d652f63 7573746f nfig./home/custo + 1c650: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 + 1c660: 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools + 1c670: 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens + 1c680: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt + 1c690: 656e7361 002f7072 6f6a6563 742f6375 ensa./project/cu + 1c6a0: 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 + 1c6b0: 372e322f 6275696c 642f6174 6865726f 7.2/build/athero + 1c6c0: 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 + 1c6d0: 2f383337 34332f52 422d3230 30372e32 /83743/RB-2007.2 + 1c6e0: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens + 1c6f0: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu + 1c700: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config + 1c710: 0000696e 74657272 75707473 2e630001 ..interrupts.c.. + 1c720: 0000636f 72652e68 00020000 68616c2e ..core.h....hal. + 1c730: 68000300 00636f72 652d6973 612e6800 h....core-isa.h. + 1c740: 04000063 6f72652d 6d61746d 61702e68 ...core-matmap.h + 1c750: 00040000 7469652e 68000400 00737065 ....tie.h....spe + 1c760: 63726567 2e680004 0000636f 72656269 creg.h....corebi + 1c770: 74732e68 00030000 00000001 68000200 ts.h........h... + 1c780: 00007f01 01fb0e0a 00010101 01000000 ................ + 1c790: 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1c7a0: 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 1c7b0: 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 1c7c0: 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 1c7d0: 662f7372 632f6c69 62676363 2d786363 f/src/libgcc-xcc + 1c7e0: 2f636f6e 6669672f 7874656e 73610000 /config/xtensa.. + 1c7f0: 6c696231 66756e63 732e6173 6d000100 lib1funcs.asm... + 1c800: 00000005 02008e68 5c03ca04 01030409 .......h\....... + 1c810: 00030103 01090003 01030109 00030103 ................ + 1c820: 01090003 01030a09 00030103 01090003 ................ + 1c830: 01030109 00030103 01090003 01030309 ................ + 1c840: 00030103 01090003 01030109 00030103 ................ + 1c850: 01090003 01030409 00020103 03090003 ................ + 1c860: 01030109 00030103 01090003 01030209 ................ + 1c870: 00020103 01090003 01030709 00030103 ................ + 1c880: 01090003 01030209 00020103 01090003 ................ + 1c890: 01030109 00030103 03090002 01030109 ................ + 1c8a0: 00020103 01090003 01030109 00030103 ................ + 1c8b0: 03090004 01030109 00030103 01090002 ................ + 1c8c0: 01030109 00020103 01090003 01030609 ................ + 1c8d0: 00030103 04090007 01030209 00020109 ................ + 1c8e0: 00020001 01000001 20000200 00007f01 ........ ....... + 1c8f0: 01fb0e0a 00010101 01000000 012f686f ............./ho + 1c900: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1c910: 2f52422d 32303037 2e322f74 6f6f6c73 /RB-2007.2/tools + 1c920: 2f737774 6f6f6c73 2d783836 2d6c696e /swtools-x86-lin + 1c930: 75782f78 74656e73 612d656c 662f7372 ux/xtensa-elf/sr + 1c940: 632f6c69 62676363 2d786363 2f636f6e c/libgcc-xcc/con + 1c950: 6669672f 7874656e 73610000 6c696231 fig/xtensa..lib1 + 1c960: 66756e63 732e6173 6d000100 00000005 funcs.asm....... + 1c970: 02008e68 c403da05 01030409 00030103 ...h............ + 1c980: 01090003 01030109 00030103 01090003 ................ + 1c990: 01030109 00030103 01090003 01030109 ................ + 1c9a0: 00030103 02090003 01030109 00030103 ................ + 1c9b0: 01090003 01030409 00030103 03090003 ................ + 1c9c0: 01030109 00030103 02090003 01030809 ................ + 1c9d0: 00030103 01090003 01030209 00030103 ................ + 1c9e0: 01090003 01030209 00030103 03090003 ................ + 1c9f0: 01030509 00020103 04090007 01030209 ................ + 1ca00: 00020109 00020001 01000001 32000200 ............2... + 1ca10: 00007f01 01fb0e0a 00010101 01000000 ................ + 1ca20: 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1ca30: 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 1ca40: 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 1ca50: 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el + 1ca60: 662f7372 632f6c69 62676363 2d786363 f/src/libgcc-xcc + 1ca70: 2f636f6e 6669672f 7874656e 73610000 /config/xtensa.. + 1ca80: 6c696231 66756e63 732e6173 6d000100 lib1funcs.asm... + 1ca90: 00000005 02008e69 10038504 01030409 .......i........ + 1caa0: 00030103 02090003 01030109 00020103 ................ + 1cab0: 01090003 01030109 00030103 02090003 ................ + 1cac0: 01030109 00030103 01090003 01030109 ................ + 1cad0: 00030103 04090003 01030309 00030103 ................ + 1cae0: 01090003 01030109 00030103 02090002 ................ + 1caf0: 01030109 00030103 07090003 01030109 ................ + 1cb00: 00030103 02090003 01030309 00020103 ................ + 1cb10: 01090002 01030409 00040103 01090003 ................ + 1cb20: 01030109 00020103 06090004 01030409 ................ + 1cb30: 00070103 02090002 01090002 00010100 ................ + 1cb40: 00010200 02000000 7f0101fb 0e0a0001 ................ + 1cb50: 01010100 0000012f 686f6d65 2f637573 ......./home/cus + 1cb60: 746f6d65 722f7472 65652f52 422d3230 tomer/tree/RB-20 + 1cb70: 30372e32 2f746f6f 6c732f73 77746f6f 07.2/tools/swtoo + 1cb80: 6c732d78 38362d6c 696e7578 2f787465 ls-x86-linux/xte + 1cb90: 6e73612d 656c662f 7372632f 6c696267 nsa-elf/src/libg + 1cba0: 63632d78 63632f63 6f6e6669 672f7874 cc-xcc/config/xt + 1cbb0: 656e7361 00006c69 62316675 6e63732e ensa..lib1funcs. + 1cbc0: 61736d00 01000000 00050200 8e696003 asm..........i`. + 1cbd0: a0050103 04090003 01030209 00030103 ................ + 1cbe0: 01090003 01030109 00030103 02090003 ................ + 1cbf0: 01030109 00030103 01090003 01030409 ................ + 1cc00: 00050103 03090003 01030109 00030103 ................ + 1cc10: 02090003 01030809 00030103 01090003 ................ + 1cc20: 01030209 00030103 03090004 01030509 ................ + 1cc30: 00020103 04090007 01030209 00020109 ................ + 1cc40: 00020001 01000002 ec000200 0002a001 ................ + 1cc50: 01fb0e0a 00010101 01000000 012f686f ............./ho + 1cc60: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1cc70: 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1cc80: 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1cc90: 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1cca0: 69622f6c 6962632f 73747269 6e67002f ib/libc/string./ + 1ccb0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1ccc0: 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 1ccd0: 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 1cce0: 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 1ccf0: 776c6962 2f6c6962 632f696e 636c7564 wlib/libc/includ + 1cd00: 65002f70 726f6a65 63742f63 7573742f e./project/cust/ + 1cd10: 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 + 1cd20: 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p + 1cd30: 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 + 1cd40: 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe + 1cd50: 742d6c69 62732f6e 65776c69 622f7874 t-libs/newlib/xt + 1cd60: 656e7361 2d656c66 2f6e6577 6c69622f ensa-elf/newlib/ + 1cd70: 74617267 2d696e63 6c756465 002f686f targ-include./ho + 1cd80: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1cd90: 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1cda0: 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1cdb0: 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1cdc0: 69622f6c 6962632f 696e636c 7564652f ib/libc/include/ + 1cdd0: 73797300 2f686f6d 652f6375 73746f6d sys./home/custom + 1cde0: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1cdf0: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1ce00: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1ce10: 69622f6e 65776c69 622f6c69 62632f69 ib/newlib/libc/i + 1ce20: 6e636c75 64652f6d 61636869 6e65002f nclude/machine./ + 1ce30: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1ce40: 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too + 1ce50: 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l + 1ce60: 696e7578 2f6c6962 2f786363 2d6c6962 inux/lib/xcc-lib + 1ce70: 2f696e63 6c756465 00006d65 6d636d70 /include..memcmp + 1ce80: 2e630001 00007374 72696e67 2e680002 .c....string.h.. + 1ce90: 00005f61 6e73692e 68000200 006e6577 .._ansi.h....new + 1cea0: 6c69622e 68000300 00636f6e 6669672e lib.h....config. + 1ceb0: 68000400 00696565 6566702e 68000500 h....ieeefp.h... + 1cec0: 00726565 6e742e68 00040000 5f747970 .reent.h...._typ + 1ced0: 65732e68 00040000 6c6f636b 2e680004 es.h....lock.h.. + 1cee0: 00007374 64646566 2e680006 00000000 ..stddef.h...... + 1cef0: 0502008e 69a00338 01031809 00030103 ....i..8........ + 1cf00: 0509000b 01030309 00060103 04090007 ................ + 1cf10: 01030909 00070103 0209000a 01037e09 ..............~. + 1cf20: 000d0103 08090002 01030009 00050109 ................ + 1cf30: 00050001 01000003 51000200 00007601 ........Q.....v. + 1cf40: 01fb0e0a 00010101 01000000 012f686f ............./ho + 1cf50: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1cf60: 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1cf70: 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1cf80: 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1cf90: 69622f6c 6962632f 6d616368 696e652f ib/libc/machine/ + 1cfa0: 7874656e 73610000 6d656d63 70792e53 xtensa..memcpy.S + 1cfb0: 00010000 00000502 008e69e9 03c60001 ..........i..... + 1cfc0: 03050900 03010301 09000301 03010900 ................ + 1cfd0: 02010301 09000301 03040900 02010309 ................ + 1cfe0: 09000201 03030900 03010301 09000301 ................ + 1cff0: 03010900 02010301 09000301 03010900 ................ + 1d000: 03010303 09000301 03050900 03010303 ................ + 1d010: 09000301 03010900 03010301 09000301 ................ + 1d020: 03010900 02010301 09000301 03010900 ................ + 1d030: 03010301 09000301 03030900 02010307 ................ + 1d040: 09000601 03030900 03010301 09000301 ................ + 1d050: 03010900 03010304 09000301 03030900 ................ + 1d060: 03010307 09000301 03050900 03010306 ................ + 1d070: 09000301 03010900 02010301 09000201 ................ + 1d080: 03010900 02010301 09000201 03010900 ................ + 1d090: 02010301 09000201 03010900 02010301 ................ + 1d0a0: 09000301 03010900 02010306 09000301 ................ + 1d0b0: 03030900 03010301 09000201 03010900 ................ + 1d0c0: 02010301 09000201 03010900 02010301 ................ + 1d0d0: 09000201 03020900 02010301 09000301 ................ + 1d0e0: 03010900 03010301 09000301 030b0900 ................ + 1d0f0: 02010301 09000201 03010900 02010301 ................ + 1d100: 09000201 03010900 02010301 09000301 ................ + 1d110: 03010900 03010303 09000201 03010900 ................ + 1d120: 03010301 09000201 03010900 03010301 ................ + 1d130: 09000201 03010900 03010303 09000301 ................ + 1d140: 03010900 03010303 09000301 03080900 ................ + 1d150: 02010304 09000301 03020900 03010301 ................ + 1d160: 09000301 03020900 03010302 09000201 ................ + 1d170: 03060900 03010301 09000201 03010900 ................ + 1d180: 02010301 09000301 03010900 02010301 ................ + 1d190: 09000201 03010900 03010301 09000201 ................ + 1d1a0: 03010900 02010301 09000301 03010900 ................ + 1d1b0: 02010301 09000301 03010900 03010301 ................ + 1d1c0: 09000201 03050900 03010303 09000301 ................ + 1d1d0: 03010900 02010301 09000201 03010900 ................ + 1d1e0: 03010301 09000201 03010900 02010301 ................ + 1d1f0: 09000301 03010900 02010301 09000301 ................ + 1d200: 03020900 03010303 09000301 03010900 ................ + 1d210: 02010301 09000201 03010900 03010301 ................ + 1d220: 09000201 03010900 02010303 09000201 ................ + 1d230: 03020900 02010301 09000301 03010900 ................ + 1d240: 03010303 09000401 03010900 03010301 ................ + 1d250: 09000301 03010900 02010301 09000301 ................ + 1d260: 03010900 03010301 09000201 03010900 ................ + 1d270: 03010303 09000201 03010900 03010301 ................ + 1d280: 09000301 09000200 01010000 03290002 .............).. + 1d290: 000002ad 0101fb0e 0a000101 01010000 ................ + 1d2a0: 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer + 1d2b0: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 1d2c0: 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta + 1d2d0: 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 1d2e0: 2f6e6577 6c69622f 6c696263 2f737472 /newlib/libc/str + 1d2f0: 696e6700 2f686f6d 652f6375 73746f6d ing./home/custom + 1d300: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1d310: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ + 1d320: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1d330: 69622f6e 65776c69 622f6c69 62632f69 ib/newlib/libc/i + 1d340: 6e636c75 6465002f 70726f6a 6563742f nclude./project/ + 1d350: 63757374 2f67656e 6170702f 52422d32 cust/genapp/RB-2 + 1d360: 3030372e 322f6275 696c642f 61746865 007.2/build/athe + 1d370: 726f732f 70726f64 2f4d6167 7069655f ros/prod/Magpie_ + 1d380: 50302f38 33373433 2f786275 696c642f P0/83743/xbuild/ + 1d390: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl + 1d3a0: 69622f78 74656e73 612d656c 662f6e65 ib/xtensa-elf/ne + 1d3b0: 776c6962 2f746172 672d696e 636c7564 wlib/targ-includ + 1d3c0: 65002f68 6f6d652f 63757374 6f6d6572 e./home/customer + 1d3d0: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ + 1d3e0: 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta + 1d3f0: 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib + 1d400: 2f6e6577 6c69622f 6c696263 2f696e63 /newlib/libc/inc + 1d410: 6c756465 2f737973 002f686f 6d652f63 lude/sys./home/c + 1d420: 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- + 1d430: 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt + 1d440: 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs + 1d450: 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l + 1d460: 6962632f 696e636c 7564652f 6d616368 ibc/include/mach + 1d470: 696e6500 2f686f6d 652f6375 73746f6d ine./home/custom + 1d480: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. + 1d490: 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- + 1d4a0: 7838362d 6c696e75 782f6c69 622f7863 x86-linux/lib/xc + 1d4b0: 632d6c69 622f696e 636c7564 6500006d c-lib/include..m + 1d4c0: 656d6d6f 76652e63 00010000 73747269 emmove.c....stri + 1d4d0: 6e672e68 00020000 5f616e73 692e6800 ng.h...._ansi.h. + 1d4e0: 0200006e 65776c69 622e6800 03000063 ...newlib.h....c + 1d4f0: 6f6e6669 672e6800 04000069 65656566 onfig.h....ieeef + 1d500: 702e6800 05000072 65656e74 2e680004 p.h....reent.h.. + 1d510: 00005f74 79706573 2e680004 00006c6f .._types.h....lo + 1d520: 636b2e68 00040000 73746464 65662e68 ck.h....stddef.h + 1d530: 00060000 6c696d69 74732e68 00020000 ....limits.h.... + 1d540: 00000502 008e6b20 033d0103 1f090003 ......k .=...... + 1d550: 01030409 00120103 03090008 01032909 ..............). + 1d560: 000a0103 5f090005 01031b09 000c0103 ...._........... + 1d570: 02090011 01030409 00750103 65090004 .........u..e... + 1d580: 01030509 00220103 7b090016 01030509 ....."..{....... + 1d590: 000b0103 05090048 01030209 00130103 .......H........ + 1d5a0: 7e090008 01030209 000c0103 7e090046 ~...........~..F + 1d5b0: 01090008 00010100 00018800 02000000 ................ + 1d5c0: 760101fb 0e0a0001 01010100 0000012f v............../ + 1d5d0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1d5e0: 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 1d5f0: 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 1d600: 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 1d610: 776c6962 2f6c6962 632f6d61 6368696e wlib/libc/machin + 1d620: 652f7874 656e7361 00006d65 6d736574 e/xtensa..memset + 1d630: 2e530001 00000000 0502008e 6ce5033a .S..........l..: + 1d640: 01030509 00030103 01090003 01030409 ................ + 1d650: 00020103 0a090003 01030309 00030103 ................ + 1d660: 01090003 01030109 00020103 03090002 ................ + 1d670: 01030509 00030103 03090003 01030109 ................ + 1d680: 00030103 01090002 01030309 00030103 ................ + 1d690: 07090004 01030409 00030103 01090003 ................ + 1d6a0: 01030109 00030103 01090003 01030109 ................ + 1d6b0: 00030103 02090003 01030309 00020103 ................ + 1d6c0: 01090003 01030409 00030103 04090003 ................ + 1d6d0: 01030709 00030103 01090002 01030109 ................ + 1d6e0: 00020103 01090002 01030109 00020103 ................ + 1d6f0: 06090003 01030309 00030103 01090002 ................ + 1d700: 01030109 00020103 02090003 01030309 ................ + 1d710: 00030103 01090002 01030209 00020103 ................ + 1d720: 03090003 01030109 00030103 02090002 ................ + 1d730: 01030309 00030103 01090003 01090002 ................ + 1d740: 00010100 0002de00 02000000 760101fb ............v... + 1d750: 0e0a0001 01010100 0000012f 686f6d65 .........../home + 1d760: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R + 1d770: 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ + 1d780: 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li + 1d790: 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib + 1d7a0: 2f6c6962 632f6d61 6368696e 652f7874 /libc/machine/xt + 1d7b0: 656e7361 00007374 72636d70 2e530001 ensa..strcmp.S.. + 1d7c0: 00000000 0502008e 6424032c 01030309 ........d$.,.... + 1d7d0: 00030103 01090003 01030109 00030103 ................ + 1d7e0: 01090002 01030209 00030103 01090003 ................ + 1d7f0: 01030209 00030103 01090003 01030309 ................ + 1d800: 00030103 01090002 01030109 00030103 ................ + 1d810: 01090002 01030109 00030103 01090003 ................ + 1d820: 01030109 00030103 01090002 01030109 ................ + 1d830: 00030103 01090003 01030109 00020103 ................ + 1d840: 01090003 01030109 00030103 01090003 ................ + 1d850: 01030109 00020103 01090003 01030109 ................ + 1d860: 00030103 01090002 01031b09 00050103 ................ + 1d870: 04090002 01030309 00030103 01090003 ................ + 1d880: 01030109 00030103 01090002 01030109 ................ + 1d890: 00030103 02090002 01030509 00030103 ................ + 1d8a0: 01090003 01031d09 00040103 01090003 ................ + 1d8b0: 01030909 00030103 03090003 01030109 ................ + 1d8c0: 00030103 01090003 01030109 00030103 ................ + 1d8d0: 01090003 01030109 00030103 03090003 ................ + 1d8e0: 01030109 00030103 01090003 01030109 ................ + 1d8f0: 00030103 01090003 01030109 00030103 ................ + 1d900: 02090003 01030109 00030103 02090003 ................ + 1d910: 01030409 00030103 01090003 01031909 ................ + 1d920: 00030103 02090003 01030109 00030103 ................ + 1d930: 01090003 01030109 00030103 01090003 ................ + 1d940: 01030109 00030103 0f090003 01030109 ................ + 1d950: 00020103 0d090002 01030309 00030103 ................ + 1d960: 01090002 01030109 00020103 01090002 ................ + 1d970: 01030109 00030103 01090003 01030109 ................ + 1d980: 00030103 01090003 01030109 00030103 ................ + 1d990: 13090002 01030109 00020103 06090003 ................ + 1d9a0: 01030109 00030103 01090003 01030109 ................ + 1d9b0: 00030103 01090002 01030209 00020103 ................ + 1d9c0: 01090002 01030409 00020103 01090003 ................ + 1d9d0: 01030109 00030103 01090003 01030109 ................ + 1d9e0: 00030103 01090003 01030109 00030103 ................ + 1d9f0: 01090003 01030109 00030103 08090003 ................ + 1da00: 01030109 00030103 04090002 01030109 ................ + 1da10: 00030103 01090003 01030109 00030109 ................ + 1da20: 00020001 01000001 d6000200 00007601 ..............v. + 1da30: 01fb0e0a 00010101 01000000 012f686f ............./ho + 1da40: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree + 1da50: 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo + 1da60: 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- + 1da70: 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl + 1da80: 69622f6c 6962632f 6d616368 696e652f ib/libc/machine/ + 1da90: 7874656e 73610000 73747263 70792e53 xtensa..strcpy.S + 1daa0: 00010000 00000502 008e653c 03220103 ..........e<.".. + 1dab0: 03090003 01030109 00030103 01090003 ................ + 1dac0: 01030109 00030103 01090003 01030109 ................ + 1dad0: 00030103 01090003 01030409 00030103 ................ + 1dae0: 01090002 01030209 00030103 03090004 ................ + 1daf0: 01030109 00030103 01090002 01030109 ................ + 1db00: 00030103 01090002 01030109 00020103 ................ + 1db10: 03090003 01030209 00030103 01090003 ................ + 1db20: 01030109 00020103 01090003 01030109 ................ + 1db30: 00020103 01090003 01030109 00020103 ................ + 1db40: 01090003 01031009 00030103 04090002 ................ + 1db50: 01030109 00030103 01090002 01030109 ................ + 1db60: 00020103 01090003 01030109 00030103 ................ + 1db70: 01090003 01030109 00020103 01090003 ................ + 1db80: 01031009 00020103 04090003 01030209 ................ + 1db90: 00020103 01090003 01030409 00030103 ................ + 1dba0: 02090003 01030109 00030103 04090002 ................ + 1dbb0: 01030209 00030103 01090003 01030109 ................ + 1dbc0: 00020103 01090003 01031309 00050103 ................ + 1dbd0: 04090002 01030209 00030103 01090003 ................ + 1dbe0: 01030109 00020103 01090003 01030209 ................ + 1dbf0: 00020103 04090003 01090002 00010100 ................ + 1dc00: 00016400 02000000 760101fb 0e0a0001 ..d.....v....... + 1dc10: 01010100 0000012f 686f6d65 2f637573 ......./home/cus + 1dc20: 746f6d65 722f7472 65652f52 422d3230 tomer/tree/RB-20 + 1dc30: 30372e32 2f703472 6f6f742f 5874656e 07.2/p4root/Xten + 1dc40: 73612f54 61726765 742d6c69 62732f6e sa/Target-libs/n + 1dc50: 65776c69 622f6e65 776c6962 2f6c6962 ewlib/newlib/lib + 1dc60: 632f6d61 6368696e 652f7874 656e7361 c/machine/xtensa + 1dc70: 00007374 726c656e 2e530001 00000000 ..strlen.S...... + 1dc80: 0502008e 65d40322 01030309 00030103 ....e.."........ + 1dc90: 01090003 01030109 00030103 01090003 ................ + 1dca0: 01030109 00030103 01090003 01030109 ................ + 1dcb0: 00030103 01090003 01030309 00040103 ................ + 1dcc0: 01090003 01030109 00020103 01090002 ................ + 1dcd0: 01030309 00030103 01090002 01030109 ................ + 1dce0: 00020103 01090003 01030309 00030103 ................ + 1dcf0: 01090002 01030109 00030103 12090003 ................ + 1dd00: 01030409 00020103 02090003 01030109 ................ + 1dd10: 00020103 01090002 01030109 00030103 ................ + 1dd20: 01090003 01030209 00030103 06090003 ................ + 1dd30: 01030409 00020103 01090003 01030309 ................ + 1dd40: 00020103 01090002 01030109 00030103 ................ + 1dd50: 03090003 01030109 00020103 01090003 ................ + 1dd60: 01090002 00010100 00031e00 02000002 ................ + 1dd70: ad0101fb 0e0a0001 01010100 0000012f .............../ + 1dd80: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1dd90: 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 1dda0: 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 1ddb0: 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 1ddc0: 776c6962 2f6c6962 632f7374 72696e67 wlib/libc/string + 1ddd0: 002f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1dde0: 74726565 2f52422d 32303037 2e322f70 tree/RB-2007.2/p + 1ddf0: 34726f6f 742f5874 656e7361 2f546172 4root/Xtensa/Tar + 1de00: 6765742d 6c696273 2f6e6577 6c69622f get-libs/newlib/ + 1de10: 6e65776c 69622f6c 6962632f 696e636c newlib/libc/incl + 1de20: 75646500 2f70726f 6a656374 2f637573 ude./project/cus + 1de30: 742f6765 6e617070 2f52422d 32303037 t/genapp/RB-2007 + 1de40: 2e322f62 75696c64 2f617468 65726f73 .2/build/atheros + 1de50: 2f70726f 642f4d61 67706965 5f50302f /prod/Magpie_P0/ + 1de60: 38333734 332f7862 75696c64 2f546172 83743/xbuild/Tar + 1de70: 6765742d 6c696273 2f6e6577 6c69622f get-libs/newlib/ + 1de80: 7874656e 73612d65 6c662f6e 65776c69 xtensa-elf/newli + 1de90: 622f7461 72672d69 6e636c75 6465002f b/targ-include./ + 1dea0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr + 1deb0: 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r + 1dec0: 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe + 1ded0: 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne + 1dee0: 776c6962 2f6c6962 632f696e 636c7564 wlib/libc/includ + 1def0: 652f7379 73002f68 6f6d652f 63757374 e/sys./home/cust + 1df00: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 + 1df10: 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens + 1df20: 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne + 1df30: 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc + 1df40: 2f696e63 6c756465 2f6d6163 68696e65 /include/machine + 1df50: 002f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1df60: 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t + 1df70: 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 + 1df80: 2d6c696e 75782f6c 69622f78 63632d6c -linux/lib/xcc-l + 1df90: 69622f69 6e636c75 64650000 7374726e ib/include..strn + 1dfa0: 636d702e 63000100 00737472 696e672e cmp.c....string. + 1dfb0: 68000200 005f616e 73692e68 00020000 h...._ansi.h.... + 1dfc0: 6e65776c 69622e68 00030000 636f6e66 newlib.h....conf + 1dfd0: 69672e68 00040000 69656565 66702e68 ig.h....ieeefp.h + 1dfe0: 00050000 7265656e 742e6800 0400005f ....reent.h...._ + 1dff0: 74797065 732e6800 0400006c 6f636b2e types.h....lock. + 1e000: 68000400 00737464 6465662e 68000600 h....stddef.h... + 1e010: 006c696d 6974732e 68000200 00000005 .limits.h....... + 1e020: 02008e66 3803c100 01031209 00030103 ...f8........... + 1e030: 04090003 01031709 00090103 04090011 ................ + 1e040: 01030209 00060103 7a090008 01030909 ........z....... + 1e050: 000c0103 0009000b 01036509 000b0103 ..........e..... + 1e060: 0a090015 01037809 00070103 04090005 ......x......... + 1e070: 01030409 000b0103 1109000a 01030009 ................ + 1e080: 00040109 00040001 01000002 fd000200 ................ + 1e090: 00007701 01fb0e0a 00010101 01000000 ..w............. + 1e0a0: 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ + 1e0b0: 74726565 2f52422d 32303037 2e322f70 tree/RB-2007.2/p + 1e0c0: 34726f6f 742f5874 656e7361 2f546172 4root/Xtensa/Tar + 1e0d0: 6765742d 6c696273 2f6e6577 6c69622f get-libs/newlib/ + 1e0e0: 6e65776c 69622f6c 6962632f 6d616368 newlib/libc/mach + 1e0f0: 696e652f 7874656e 73610000 7374726e ine/xtensa..strn + 1e100: 6370792e 53000100 00000005 02008e66 cpy.S..........f + 1e110: c8032201 03010900 03010301 09000201 .."............. + 1e120: 03010900 03010301 09000201 03010900 ................ + 1e130: 02010301 09000201 03010900 03010303 ................ + 1e140: 09000301 03010900 03010301 09000201 ................ + 1e150: 03010900 03010301 09000201 03010900 ................ + 1e160: 02010301 09000201 03010900 03010301 ................ + 1e170: 09000201 03010900 03010301 09000201 ................ + 1e180: 03010900 02010301 09000201 03010900 ................ + 1e190: 02010303 09000301 03070900 03010303 ................ + 1e1a0: 09000301 03010900 02010302 09000301 ................ + 1e1b0: 03010900 03010301 09000301 03010900 ................ + 1e1c0: 03010301 09000301 03010900 03010304 ................ + 1e1d0: 09000301 03010900 02010302 09000301 ................ + 1e1e0: 03060900 03010301 09000201 03010900 ................ + 1e1f0: 03010302 09000301 03050900 03010301 ................ + 1e200: 09000501 03010900 03010301 09000201 ................ + 1e210: 03020900 02010301 09000301 030b0900 ................ + 1e220: 03010304 09000201 03010900 03010301 ................ + 1e230: 09000201 03010900 03010302 09000301 ................ + 1e240: 03030900 04010301 09000301 03010900 ................ + 1e250: 02010301 09000301 03010900 02010303 ................ + 1e260: 09000301 03010900 03010301 09000201 ................ + 1e270: 03010900 03010301 09000301 03010900 ................ + 1e280: 02010301 09000301 03010900 02010312 ................ + 1e290: 09000501 03040900 02010301 09000301 ................ + 1e2a0: 03010900 03010301 09000201 03010900 ................ + 1e2b0: 02010301 09000301 03010900 03010301 ................ + 1e2c0: 09000301 03010900 02010301 09000301 ................ + 1e2d0: 03010900 02010311 09000301 03040900 ................ + 1e2e0: 03010302 09000201 03010900 03010301 ................ + 1e2f0: 09000201 03010900 02010304 09000501 ................ + 1e300: 03020900 03010301 09000301 03010900 ................ + 1e310: 03010301 09000201 03040900 05010302 ................ + 1e320: 09000301 03010900 03010301 09000201 ................ + 1e330: 03010900 03010301 09000301 03010900 ................ + 1e340: 02010310 09000401 03040900 02010302 ................ + 1e350: 09000301 03010900 03010301 09000201 ................ + 1e360: 03010900 03010301 09000201 03010900 ................ + 1e370: 02010302 09000201 03040900 03010302 ................ + 1e380: 09000301 09000200 01010000 .......... +Disassembly of section .xt.prop: + +00000000 <.xt.prop>: + 0: 008e1000 0000001a 00020082 008e101a ................ + 10: 00000007 000200a2 008e1021 00000009 ...........!.... + 20: 000200a2 008e102a 00000000 00000008 .......*........ + 30: 004e8000 00000010 00002804 008e0c20 .N........(.... + 40: 00000006 00020082 008e0c26 00000000 ...........&.... + 50: 00000008 008e0f20 00000006 00020082 ....... ........ + 60: 008e0f26 00000000 00000008 008e0d40 ...&...........@ + 70: 00000006 00020082 008e0d46 00000000 ...........F.... + 80: 00000008 008e0000 00000003 00020082 ................ + 90: 008e0003 00000000 00002808 008e0004 ..........(..... + a0: 00000018 00002801 008e0020 00000021 ......(.... ...! + b0: 00000082 008e0041 00000000 00004808 .......A......H. + c0: 008e0050 00000008 000001a2 008e0058 ...P...........X + d0: 00000005 00000082 008e005d 00000015 ...........].... + e0: 000000a2 008e0072 0000000a 000000a2 .......r........ + f0: 008e007c 00000001 00000008 008e007d ...|...........} + 100: 00000017 000000a2 008e0094 0000000c ................ + 110: 000000a2 008e00a0 0000000e 000000a2 ................ + 120: 008e00ae 00000002 00000008 008e00b0 ................ + 130: 00000006 000000a2 008e00b6 00000009 ................ + 140: 000000a2 008e00bf 0000001f 00020082 ................ + 150: 004e8010 00000008 00002804 008e0e20 .N........(.... + 160: 00000017 00020082 008e0e37 00000000 ...........7.... + 170: 00000008 008e0ce4 00000003 00020082 ................ + 180: 008e0ce7 00000000 00000008 008e0800 ................ + 190: 0000000f 00020182 008e080f 00000000 ................ + 1a0: 00000108 008e0840 0000000f 00020182 .......@........ + 1b0: 008e084f 00000000 00000108 008e0880 ...O............ + 1c0: 0000001e 00020182 008e089e 00000000 ................ + 1d0: 00000108 008e08c0 0000001e 00020182 ................ + 1e0: 008e08de 00000000 00000108 008e0900 ................ + 1f0: 0000002a 00020182 008e092a 00000000 ...*.......*.... + 200: 00000108 008e0940 0000002a 00020082 .......@...*.... + 210: 008e096a 00000000 00000008 008e0a20 ...j........... + 220: 00000006 00020082 008e0a26 00000000 ...........&.... + 230: 00000008 008e0b20 00000006 00020082 ....... ........ + 240: 008e0b26 00000000 00000008 008e102c ...&..........., + 250: 0000001c 00020082 008e1048 0000002a ...........H...* + 260: 000200a2 008e1072 00000000 00002808 .......r......(. + 270: 008e1074 00000006 00022982 008e107c ...t......)....| + 280: 00000034 00022982 008e10b0 00000002 ...4..)......... + 290: 00020182 008e10b2 0000000b 000200a2 ................ + 2a0: 008e10bd 00000023 000200a2 008e10e0 .......#........ + 2b0: 00000023 000200a2 008e1103 00000000 ...#............ + 2c0: 00000008 008e1104 00000018 00020082 ................ + 2d0: 008e111c 00000027 000200a2 008e1143 .......'.......C + 2e0: 00000006 00020182 008e1149 00000007 ...........I.... + 2f0: 00020082 008e1150 00000000 00000008 .......P........ + 300: 008e1150 00000014 00020082 008e1164 ...P...........d + 310: 00000000 00002808 008e1164 00000007 ......(....d.... + 320: 00020082 008e116b 00000000 00000008 .......k........ + 330: 008e116b 00000008 000200a2 008e1173 ...k...........s + 340: 00000000 00000008 004e8020 00000004 .........N. .... + 350: 00002804 008e1174 0000001e 00020082 ..(....t........ + 360: 008e1192 00000003 00020182 008e1195 ................ + 370: 0000004d 00020082 008e11e2 00000000 ...M............ + 380: 00000008 004e8028 0000000c 00002804 .....N.(......(. + 390: 008e11e4 00000034 00020082 008e1218 .......4........ + 3a0: 00000000 00000008 008e1218 00000010 ................ + 3b0: 000200a2 008e1228 00000000 00000008 .......(........ + 3c0: 008e1228 00000004 000200a2 008e122c ...(..........., + 3d0: 00000000 00000008 008e122c 00000004 ...........,.... + 3e0: 000200a2 008e1230 00000000 00002808 .......0......(. + 3f0: 008e1230 00000010 00020082 008e1240 ...0...........@ + 400: 00000000 00000008 004e8034 0000000c .........N.4.... + 410: 00002804 008e1240 0000001a 00020082 ..(....@........ + 420: 008e125a 00000003 00020182 008e125d ...Z...........] + 430: 00000015 00020082 008e1272 00000003 ...........r.... + 440: 00020182 008e1275 00000013 00020082 .......u........ + 450: 008e1288 00000037 000200a2 008e12bf .......7........ + 460: 00000003 00020182 008e12c2 00000012 ................ + 470: 00020082 008e12d4 00000014 000200a2 ................ + 480: 008e12e8 00000000 00000008 008e12e8 ................ + 490: 00000009 00020082 008e12f1 00000000 ................ + 4a0: 00000008 004e8040 00000008 00002804 .....N.@......(. + 4b0: 008e12f4 0000001a 00020082 008e130e ................ + 4c0: 00000003 00020182 008e1311 00000015 ................ + 4d0: 00020082 008e1326 00000003 00020182 .......&........ + 4e0: 008e1329 00000013 00020082 008e133c ...)...........< + 4f0: 00000037 000200a2 008e1373 00000003 ...7.......s.... + 500: 00020182 008e1376 00000012 00020082 .......v........ + 510: 008e1388 00000011 000200a2 008e1399 ................ + 520: 00000000 00000008 008e1399 00000009 ................ + 530: 00020082 008e13a2 00000000 00000008 ................ + 540: 004e8048 00000008 00002804 008e67e4 .N.H......(...g. + 550: 00000008 00020082 008e67ec 00000000 ..........g..... + 560: 00000008 00500504 00000004 00003804 .....P........8. + 570: 008e67ec 0000000d 00020082 008e67f9 ..g...........g. + 580: 00000000 00000008 008e67fc 00000018 ..........g..... + 590: 00020082 008e6814 00000000 00000008 ......h......... + 5a0: 008e13a4 0000001d 00020082 008e13c1 ................ + 5b0: 0000001a 000200a2 008e13db 0000001c ................ + 5c0: 000200a2 008e13f7 00000000 00002808 ..............(. + 5d0: 008e13f8 00000030 00020082 008e1428 .......0.......( + 5e0: 00000000 00002808 008e1428 00000015 ......(....(.... + 5f0: 00020082 008e143d 00000010 000200a2 .......=........ + 600: 008e144d 00000000 00000008 008e144d ...M...........M + 610: 00000004 000200a2 008e1451 00000000 ...........Q.... + 620: 00002808 008e1454 0000000b 00020082 ..(....T........ + 630: 008e145f 00000000 00002808 008e1460 ..._......(....` + 640: 00000008 00020082 008e1468 00000000 ...........h.... + 650: 00002808 008e1468 00000008 00020082 ..(....h........ + 660: 008e1470 00000002 000200a2 008e1472 ...p...........r + 670: 00000000 00002808 008e1474 00000055 ......(....t...U + 680: 00020082 008e14c9 00000009 000200b2 ................ + 690: 008e14d2 00000000 00002808 008e14d4 ..........(..... + 6a0: 00000071 00020082 008e1545 00000000 ...q.......E.... + 6b0: 00002808 008e1548 00000016 00020082 ..(....H........ + 6c0: 008e155e 00000007 000200a2 008e1565 ...^...........e + 6d0: 00000000 00000008 008e1565 00000005 ...........e.... + 6e0: 000200a2 008e156a 00000002 000200a2 .......j........ + 6f0: 008e156c 00000000 00000008 008e156c ...l...........l + 700: 00000007 000200a2 008e1573 00000000 ...........s.... + 710: 00002808 008e1574 00000019 00020082 ..(....t........ + 720: 008e158d 00000003 00020092 008e1590 ................ + 730: 00000006 000200a2 008e1596 0000006d ...............m + 740: 000200a2 008e1603 00000000 00002808 ..............(. + 750: 008e1604 00000010 00020082 008e1614 ................ + 760: 00000000 00002808 008e1614 00000024 ......(........$ + 770: 00020082 008e1638 00000042 000200a2 .......8...B.... + 780: 008e167a 00000000 00002808 008e167c ...z......(....| + 790: 00000032 00020082 008e16ae 00000000 ...2............ + 7a0: 00002808 008e16b0 00000036 00020082 ..(........6.... + 7b0: 008e16e6 00000000 00002808 008e16e8 ..........(..... + 7c0: 000000a1 00020082 008e1789 00000002 ................ + 7d0: 000200a2 008e178b 00000000 00002808 ..............(. + 7e0: 008e178c 000000c2 00020082 008e184e ...............N + 7f0: 00000000 00000008 008e184e 00000010 ...........N.... + 800: 000200a2 008e185e 00000000 00002808 .......^......(. + 810: 008e1860 0000003b 00020082 008e189b ...`...;........ + 820: 00000000 00002808 008e189c 0000002c ......(........, + 830: 00020082 008e18c8 00000000 00002808 ..............(. + 840: 008e18c8 00000033 00020082 008e18fb .......3........ + 850: 00000000 00002808 008e18fc 00000094 ......(......... + 860: 00020082 008e1990 0000002c 000200a2 ...........,.... + 870: 008e19bc 00000000 00000008 008e19bc ................ + 880: 00000023 000200a2 008e19df 00000000 ...#............ + 890: 00000008 008e19df 00000015 000200a2 ................ + 8a0: 008e19f4 00000000 00000008 008e19f4 ................ + 8b0: 0000001a 00020082 008e1a0e 00000029 ...............) + 8c0: 000200a2 008e1a37 00000000 00000008 .......7........ + 8d0: 008e1a37 0000000c 000200a2 008e1a43 ...7...........C + 8e0: 00000000 00000008 008e1a43 00000050 ...........C...P + 8f0: 000200a2 008e1a93 0000001f 000200a2 ................ + 900: 008e1ab2 00000000 00000008 008e1ab2 ................ + 910: 00000059 000200a2 008e1b0b 00000000 ...Y............ + 920: 00000008 008e1b0b 00000036 000200a2 ...........6.... + 930: 008e1b41 00000000 00000008 008e1b41 ...A...........A + 940: 00000036 000200a2 008e1b77 00000002 ...6.......w.... + 950: 000200a2 008e1b79 00000000 00000008 .......y........ + 960: 008e1b79 00000023 000200a2 008e1b9c ...y...#........ + 970: 00000000 00000008 008e1b9c 0000000f ................ + 980: 00020082 008e1bab 00000000 00002808 ..............(. + 990: 008e1bac 0000001e 00020082 008e1bca ................ + 9a0: 00000000 00000008 004e8730 00000000 .........N.0.... + 9b0: 00004804 004e8050 0000010c 00002804 ..H..N.P......(. + 9c0: 008e1bcc 00000010 00020082 008e1bdc ................ + 9d0: 00000000 00002808 008e1bdc 00000021 ......(........! + 9e0: 00020082 008e1bfd 00000000 00000008 ................ + 9f0: 008e1bfd 0000000f 000200a2 008e1c0c ................ + a00: 00000000 00002808 008e1c0c 00000021 ......(........! + a10: 00020082 008e1c2d 00000000 00002808 .......-......(. + a20: 008e1c30 00000014 00020082 008e1c44 ...0...........D + a30: 00000000 00000008 004e815c 00000018 .........N.\.... + a40: 00002804 008e1c44 00000015 00020082 ..(....D........ + a50: 008e1c59 0000000b 000200a2 008e1c64 ...Y...........d + a60: 00000000 00002808 008e1c64 0000000d ......(....d.... + a70: 00020082 008e1c71 00000000 00002808 .......q......(. + a80: 008e1c74 0000000c 00020082 008e1c80 ...t............ + a90: 00000000 00002808 008e1c80 00000005 ......(......... + aa0: 00020082 008e1c85 00000000 00002808 ..............(. + ab0: 008e1c88 00000005 00020082 008e1c8d ................ + ac0: 00000000 00002808 008e1c90 00000005 ......(......... + ad0: 00020082 008e1c95 00000000 00002808 ..............(. + ae0: 008e1c98 00000005 00020082 008e1c9d ................ + af0: 00000000 00002808 008e1ca0 00000005 ......(......... + b00: 00020082 008e1ca5 00000000 00002808 ..............(. + b10: 008e1ca8 00000023 00020082 008e1ccb .......#........ + b20: 00000003 000200a2 008e1cce 0000000d ................ + b30: 000200b2 008e1cdb 00000000 00002808 ..............(. + b40: 008e1cdc 00000026 00020082 008e1d02 .......&........ + b50: 00000000 00002808 008e1d04 00000032 ......(........2 + b60: 00020082 008e1d36 00000000 00000008 .......6........ + b70: 004e8178 00000034 00002804 008e1d38 .N.x...4..(....8 + b80: 00000047 00020082 008e1d7f 00000010 ...G............ + b90: 000200a2 008e1d8f 00000000 00002808 ..............(. + ba0: 008e1d90 00000018 00020082 008e1da8 ................ + bb0: 00000013 000200a2 008e1dbb 00000000 ................ + bc0: 00002808 008e1dbc 00000023 00020082 ..(........#.... + bd0: 008e1ddf 00000000 00000008 008e1ddf ................ + be0: 00000002 000200a2 008e1de1 00000007 ................ + bf0: 000200a2 008e1de8 00000000 00000008 ................ + c00: 008e1de8 00000004 000200a2 008e1dec ................ + c10: 00000000 00000008 008e1dec 00000007 ................ + c20: 000200a2 008e1df3 00000000 00002808 ..............(. + c30: 008e1df4 0000001e 00020082 008e1e12 ................ + c40: 00000014 000200a2 008e1e26 00000010 ...........&.... + c50: 000200a2 008e1e36 00000004 000200a2 .......6........ + c60: 008e1e3a 00000000 00000008 008e1e3a ...:...........: + c70: 0000000d 000200a2 008e1e47 00000000 ...........G.... + c80: 00000008 008e1e47 0000000d 000200a2 .......G........ + c90: 008e1e54 00000000 00002808 008e1e54 ...T......(....T + ca0: 00000019 00020082 008e1e6d 00000014 ...........m.... + cb0: 000200a2 008e1e81 00000004 000200a2 ................ + cc0: 008e1e85 00000000 00000008 008e1e85 ................ + cd0: 00000004 000200a2 008e1e89 00000000 ................ + ce0: 00000008 008e1e89 00000010 000200a2 ................ + cf0: 008e1e99 00000000 00002808 008e1e9c ..........(..... + d00: 0000007a 00020082 008e1f16 00000000 ...z............ + d10: 00000008 008e1f16 00000137 000200a2 ...........7.... + d20: 008e204d 00000000 00000008 008e204d .. M.......... M + d30: 0000001c 000200a2 008e2069 00000000 .......... i.... + d40: 00000008 008e2069 00000059 000200a2 ...... i...Y.... + d50: 008e20c2 00000020 000200a2 008e20e2 .. .... ...... . + d60: 00000000 00000008 008e20e2 00000011 .......... ..... + d70: 000200a2 008e20f3 00000000 00000008 ...... ......... + d80: 008e20f3 0000000e 000200a2 008e2101 .. ...........!. + d90: 00000000 00000008 008e2101 00000002 ..........!..... + da0: 000200a2 008e2103 00000000 00002808 ......!.......(. + db0: 008e2104 00000019 00020082 008e211d ..!...........!. + dc0: 00000000 00000008 004e81b0 0000004c .........N.....L + dd0: 00002804 008e2120 00000005 00020082 ..(...! ........ + de0: 008e2125 00000000 00002808 008e2128 ..!%......(...!( + df0: 00000019 00020082 008e2141 0000000d ..........!A.... + e00: 00020092 008e214e 00000000 00002808 ......!N......(. + e10: 008e2150 0000003e 00020082 008e218e ..!P...>......!. + e20: 00000000 00002808 008e2190 00000039 ......(...!....9 + e30: 00020082 008e21c9 00000000 00002808 ......!.......(. + e40: 008e21cc 0000000e 00020082 008e21da ..!...........!. + e50: 0000001d 000200a2 008e21f7 00000039 ..........!....9 + e60: 000200a2 008e2230 00000000 00002808 ......"0......(. + e70: 008e2230 0000000e 00020082 008e223e .."0.........."> + e80: 0000002d 000200a2 008e226b 00000024 ...-......"k...$ + e90: 000200a2 008e228f 00000000 00002808 ......".......(. + ea0: 008e2290 0000001e 00020082 008e22ae .."...........". + eb0: 00000000 00000008 004e8200 00000028 .........N.....( + ec0: 00002804 008e22b0 00000005 00020082 ..(..."......... + ed0: 008e22b5 00000000 00002808 008e22b8 ..".......(...". + ee0: 0000001e 00020082 008e22d6 00000000 .........."..... + ef0: 00000008 004e822c 00000010 00002804 .....N.,......(. + f00: 008e22d8 00000011 00020082 008e22e9 .."...........". + f10: 00000000 00002808 008e22ec 00000005 ......(..."..... + f20: 00020082 008e22f1 00000000 00002808 ......".......(. + f30: 008e22f4 00000023 00020082 008e2317 .."....#......#. + f40: 00000000 00000008 008e2317 0000002e ..........#..... + f50: 000200a2 008e2345 00000000 00002808 ......#E......(. + f60: 008e2348 00000041 00020082 008e2389 ..#H...A......#. + f70: 0000000b 000200a2 008e2394 0000001b ..........#..... + f80: 000200a2 008e23af 00000005 000200a2 ......#......... + f90: 008e23b4 00000000 00002808 008e23b4 ..#.......(...#. + fa0: 00000005 00020082 008e23b9 00000000 ..........#..... + fb0: 00002808 008e23bc 00000005 00020082 ..(...#......... + fc0: 008e23c1 00000000 00002808 008e23c4 ..#.......(...#. + fd0: 00000014 00020082 008e23d8 00000000 ..........#..... + fe0: 00000008 008e23d8 00000014 000200a2 ......#......... + ff0: 008e23ec 00000005 000200a2 008e23f1 ..#...........#. + 1000: 00000000 00002808 008e23f4 0000001b ......(...#..... + 1010: 00020082 008e240f 00000000 00000008 ......$......... + 1020: 008e240f 00000004 000200a2 008e2413 ..$...........$. + 1030: 00000000 00002808 008e2414 0000000b ......(...$..... + 1040: 00020082 008e241f 00000000 00002808 ......$.......(. + 1050: 008e2420 0000002d 00020082 008e244d ..$ ...-......$M + 1060: 00000000 00000008 005006fc 00000000 .........P...... + 1070: 00002804 004e8240 0000004c 00002804 ..(..N.@...L..(. + 1080: 008e2450 0000001b 00020082 008e246b ..$P..........$k + 1090: 00000000 00000008 008e246b 0000000a ..........$k.... + 10a0: 000200a2 008e2475 00000005 000200a2 ......$u........ + 10b0: 008e247a 00000000 00002808 008e247c ..$z......(...$| + 10c0: 00000011 00020082 008e248d 00000000 ..........$..... + 10d0: 00000008 008e248d 00000002 000200a2 ......$......... + 10e0: 008e248f 00000037 000200a2 008e24c6 ..$....7......$. + 10f0: 00000003 00020092 008e24c9 00000000 ..........$..... + 1100: 00000008 008e24c9 00000007 000200a2 ......$......... + 1110: 008e24d0 00000000 00002808 008e24d0 ..$.......(...$. + 1120: 0000001b 00020082 008e24eb 00000029 ..........$....) + 1130: 000200a2 008e2514 00000000 00000008 ......%......... + 1140: 008e2514 00000008 000200a2 008e251c ..%...........%. + 1150: 00000000 00000008 008e251c 00000007 ..........%..... + 1160: 000200a2 008e2523 00000018 000200a2 ......%#........ + 1170: 008e253b 00000000 00000008 008e253b ..%;..........%; + 1180: 00000015 000200a2 008e2550 00000000 ..........%P.... + 1190: 00000008 008e2550 00000011 000200a2 ......%P........ + 11a0: 008e2561 00000020 000200a2 008e2581 ..%a... ......%. + 11b0: 00000000 00000008 008e2581 00000020 ..........%.... + 11c0: 000200a2 008e25a1 0000000e 000200a2 ......%......... + 11d0: 008e25af 0000001c 000200a2 008e25cb ..%...........%. + 11e0: 00000000 00000008 008e25cb 0000004f ..........%....O + 11f0: 000200a2 008e261a 00000008 000200a2 ......&......... + 1200: 008e2622 00000000 00000008 008e2622 ..&"..........&" + 1210: 00000007 000200a2 008e2629 0000001b ..........&).... + 1220: 000200a2 008e2644 00000041 000200a2 ......&D...A.... + 1230: 008e2685 0000002d 000200a2 008e26b2 ..&....-......&. + 1240: 00000011 000200a2 008e26c3 00000000 ..........&..... + 1250: 00000008 008e26c3 00000002 000200a2 ......&......... + 1260: 008e26c5 0000001a 000200a2 008e26df ..&...........&. + 1270: 00000000 00000008 008e26df 00000020 ..........&.... + 1280: 000200a2 008e26ff 00000000 00000008 ......&......... + 1290: 008e26ff 00000010 000200a2 008e270f ..&...........'. + 12a0: 0000002e 000200a2 008e273d 00000025 ..........'=...% + 12b0: 000200b2 008e2762 00000008 000200a2 ......'b........ + 12c0: 008e276a 00000000 00000008 008e276a ..'j..........'j + 12d0: 0000000d 000200a2 008e2777 00000000 ..........'w.... + 12e0: 00000008 008e2777 00000016 000200a2 ......'w........ + 12f0: 008e278d 0000000e 000200a2 008e279b ..'...........'. + 1300: 00000005 000200a2 008e27a0 00000000 ..........'..... + 1310: 00000008 008e27a0 00000027 000200a2 ......'....'.... + 1320: 008e27c7 00000000 00000008 008e27c7 ..'...........'. + 1330: 00000005 000200a2 008e27cc 00000000 ..........'..... + 1340: 00000008 008e27cc 00000019 000200a2 ......'......... + 1350: 008e27e5 00000000 00000008 008e27e5 ..'...........'. + 1360: 0000002b 000200a2 008e2810 00000000 ...+......(..... + 1370: 00000008 008e2810 00000005 000200a2 ......(......... + 1380: 008e2815 00000014 000200a2 008e2829 ..(...........() + 1390: 00000000 00000008 008e2829 00000006 ..........().... + 13a0: 000200a2 008e282f 0000000f 000200a2 ......(/........ + 13b0: 008e283e 00000000 00000008 008e283e ..(>..........(> + 13c0: 0000000d 000200a2 008e284b 00000000 ..........(K.... + 13d0: 00000008 008e284b 00000013 000200a2 ......(K........ + 13e0: 008e285e 00000000 00000008 008e285e ..(^..........(^ + 13f0: 00000005 000200a2 008e2863 00000008 ..........(c.... + 1400: 000200a2 008e286b 00000000 00000008 ......(k........ + 1410: 008e286b 00000007 000200a2 008e2872 ..(k..........(r + 1420: 00000019 000200a2 008e288b 0000000c ..........(..... + 1430: 000200a2 008e2897 0000000d 000200a2 ......(......... + 1440: 008e28a4 00000000 00000008 008e28a4 ..(...........(. + 1450: 00000005 000200a2 008e28a9 00000017 ..........(..... + 1460: 000200a2 008e28c0 00000000 00000008 ......(......... + 1470: 008e28c0 00000002 000200a2 008e28c2 ..(...........(. + 1480: 00000000 00000008 008e28c2 00000011 ..........(..... + 1490: 000200a2 008e28d3 00000000 00000008 ......(......... + 14a0: 008e28d3 00000009 000200a2 008e28dc ..(...........(. + 14b0: 00000000 00000008 008e28dc 00000014 ..........(..... + 14c0: 000200a2 008e28f0 00000000 00000008 ......(......... + 14d0: 008e28f0 00000007 000200a2 008e28f7 ..(...........(. + 14e0: 00000008 000200a2 008e28ff 00000000 ..........(..... + 14f0: 00000008 008e28ff 00000011 000200a2 ......(......... + 1500: 008e2910 00000000 00000008 008e2910 ..)...........). + 1510: 00000005 000200a2 008e2915 00000000 ..........)..... + 1520: 00002808 008e2918 00000033 00020082 ..(...)....3.... + 1530: 008e294b 00000000 00002808 008e294c ..)K......(...)L + 1540: 00000005 00020082 008e2951 00000000 ..........)Q.... + 1550: 00002808 008e2954 0000000f 00020082 ..(...)T........ + 1560: 008e2963 00000000 00000008 004e8290 ..)c.........N.. + 1570: 00000018 00002804 008e2964 00000018 ......(...)d.... + 1580: 00020082 008e297c 00000000 00002808 ......)|......(. + 1590: 008e297c 00000022 00020082 008e299e ..)|..."......). + 15a0: 00000000 00000008 008e299e 0000001c ..........)..... + 15b0: 000200a2 008e29ba 00000027 000200a2 ......)....'.... + 15c0: 008e29e1 00000004 000200a2 008e29e5 ..)...........). + 15d0: 00000000 00002808 008e29e8 0000001f ......(...)..... + 15e0: 00020082 008e2a07 00000003 00020092 ......*......... + 15f0: 008e2a0a 00000000 00000008 008e2a0a ..*...........*. + 1600: 00000016 000200a2 008e2a20 00000000 ..........* .... + 1610: 00000008 008e2a20 00000004 000200a2 ......* ........ + 1620: 008e2a24 00000000 00002808 008e2a24 ..*$......(...*$ + 1630: 0000000d 00020082 008e2a31 00000000 ..........*1.... + 1640: 00002808 008e2a34 00000053 00020082 ..(...*4...S.... + 1650: 008e2a87 00000004 000200a2 008e2a8b ..*...........*. + 1660: 00000000 00000008 008e2a8b 0000000a ..........*..... + 1670: 000200a2 008e2a95 00000000 00002808 ......*.......(. + 1680: 008e2a98 00000005 00020082 008e2a9d ..*...........*. + 1690: 00000000 00002808 008e2aa0 00000019 ......(...*..... + 16a0: 00020082 008e2ab9 00000000 00000008 ......*......... + 16b0: 004e82ac 00000030 00002804 008e2abc .N.....0..(...*. + 16c0: 00000005 00020082 008e2ac1 00000000 ..........*..... + 16d0: 00002808 008e2ac4 00000023 00020082 ..(...*....#.... + 16e0: 008e2ae7 00000000 00000008 004e82e0 ..*..........N.. + 16f0: 00000014 00002804 008e2ae8 0000000c ......(...*..... + 1700: 00020082 008e2af4 00000000 00002808 ......*.......(. + 1710: 008e2af4 0000000f 00020082 008e2b03 ..*...........+. + 1720: 00000000 00002808 008e2b04 00000017 ......(...+..... + 1730: 00020082 008e2b1b 00000002 000200a2 ......+......... + 1740: 008e2b1d 00000000 00002808 008e2b20 ..+.......(...+ + 1750: 00000013 00020082 008e2b33 00000002 ..........+3.... + 1760: 000200a2 008e2b35 00000000 00000008 ......+5........ + 1770: 008e2b35 0000000a 000200a2 008e2b3f ..+5..........+? + 1780: 00000000 00000008 008e2b3f 0000000a ..........+?.... + 1790: 000200a2 008e2b49 00000000 00000008 ......+I........ + 17a0: 008e2b49 00000008 000200a2 008e2b51 ..+I..........+Q + 17b0: 00000000 00002808 008e2b54 0000000e ......(...+T.... + 17c0: 00020082 008e2b62 00000016 000200a2 ......+b........ + 17d0: 008e2b78 00000004 000200a2 008e2b7c ..+x..........+| + 17e0: 00000000 00002808 008e2b7c 0000001e ......(...+|.... + 17f0: 00020082 008e2b9a 00000000 00000008 ......+......... + 1800: 004e82f8 00000014 00002804 008e2b9c .N........(...+. + 1810: 0000000f 00020082 008e2bab 00000000 ..........+..... + 1820: 00002808 008e2bac 00000017 00020082 ..(...+......... + 1830: 008e2bc3 00000012 000200a2 008e2bd5 ..+...........+. + 1840: 00000000 00000008 008e2bd5 0000000a ..........+..... + 1850: 000200a2 008e2bdf 0000000a 000200a2 ......+......... + 1860: 008e2be9 00000000 00000008 008e2be9 ..+...........+. + 1870: 00000005 000200a2 008e2bee 00000000 ..........+..... + 1880: 00002808 008e2bf0 0000000e 00020082 ..(...+......... + 1890: 008e2bfe 0000000d 000200a2 008e2c0b ..+...........,. + 18a0: 00000000 00000008 008e2c0b 00000002 ..........,..... + 18b0: 000200a2 008e2c0d 00000008 000200a2 ......,......... + 18c0: 008e2c15 00000006 000200a2 008e2c1b ..,...........,. + 18d0: 00000000 00000008 008e2c1b 00000005 ..........,..... + 18e0: 000200a2 008e2c20 00000000 00002808 ......, ......(. + 18f0: 008e2c20 0000000c 00020082 008e2c2c .., ..........,, + 1900: 00000000 00002808 008e2c2c 0000002b ......(...,,...+ + 1910: 00020082 008e2c57 00000000 00000008 ......,W........ + 1920: 008e2c57 00000002 000200a2 008e2c59 ..,W..........,Y + 1930: 00000000 00002808 008e2c5c 0000001e ......(...,\.... + 1940: 00020082 008e2c7a 00000000 00000008 ......,z........ + 1950: 004e8310 00000018 00002804 008e2c7c .N........(...,| + 1960: 00000027 00020082 008e2ca3 00000000 ...'......,..... + 1970: 00000008 008e2ca3 00000004 000200a2 ......,......... + 1980: 008e2ca7 00000000 00002808 008e2ca8 ..,.......(...,. + 1990: 0000001a 00020082 008e2cc2 00000000 ..........,..... + 19a0: 00002808 008e2cc4 0000001c 00020082 ..(...,......... + 19b0: 008e2ce0 00000000 00000008 008e2ce0 ..,...........,. + 19c0: 00000004 000200a2 008e2ce4 00000008 ..........,..... + 19d0: 000200a2 008e2cec 00000000 00002808 ......,.......(. + 19e0: 008e2cec 0000001e 00020082 008e2d0a ..,...........-. + 19f0: 00000000 00002808 008e2d0c 0000001c ......(...-..... + 1a00: 00020082 008e2d28 00000000 00002808 ......-(......(. + 1a10: 008e2d28 00000028 00020082 008e2d50 ..-(...(......-P + 1a20: 00000000 00002808 008e2d50 00000010 ......(...-P.... + 1a30: 00020082 008e2d60 00000008 000200a2 ......-`........ + 1a40: 008e2d68 00000000 00002808 008e2d68 ..-h......(...-h + 1a50: 00000028 00020082 008e2d90 00000000 ...(......-..... + 1a60: 00000008 004e832c 00000024 00002804 .....N.,...$..(. + 1a70: 008e2d90 0000003e 00020082 008e2dce ..-....>......-. + 1a80: 00000000 00002808 008e2dd0 00000005 ......(...-..... + 1a90: 00020082 008e2dd5 00000000 00002808 ......-.......(. + 1aa0: 008e2dd8 00000007 00020082 008e2ddf ..-...........-. + 1ab0: 00000000 00002808 008e2de0 00000015 ......(...-..... + 1ac0: 00020082 008e2df5 00000015 000200b2 ......-......... + 1ad0: 008e2e0a 00000002 000200b2 008e2e0c ................ + 1ae0: 00000000 00002808 008e2e0c 00000015 ......(......... + 1af0: 00020082 008e2e21 00000015 000200b2 .......!........ + 1b00: 008e2e36 00000002 000200b2 008e2e38 ...6...........8 + 1b10: 00000000 00002808 008e2e38 00000013 ......(....8.... + 1b20: 00020082 008e2e4b 00000000 00000008 .......K........ + 1b30: 008e2e4b 0000000d 000200a2 008e2e58 ...K...........X + 1b40: 00000000 00002808 008e2e58 00000005 ......(....X.... + 1b50: 00020082 008e2e5d 00000000 00002808 .......]......(. + 1b60: 008e2e60 0000000a 00020082 008e2e6a ...`...........j + 1b70: 00000000 00002808 008e2e6c 00000010 ......(....l.... + 1b80: 00020082 008e2e7c 0000000b 000200a2 .......|........ + 1b90: 008e2e87 00000002 000200a2 008e2e89 ................ + 1ba0: 00000000 00002808 008e2e8c 00000025 ......(........% + 1bb0: 00020082 008e2eb1 00000000 00000008 ................ + 1bc0: 008e2eb1 00000002 000200a2 008e2eb3 ................ + 1bd0: 00000000 00002808 008e2eb4 00000040 ......(........@ + 1be0: 00020082 008e2ef4 00000000 00002808 ..............(. + 1bf0: 008e2ef4 00000037 00020082 008e2f2b .......7....../+ + 1c00: 00000000 00000008 004e8354 00000030 .........N.T...0 + 1c10: 00002804 008e2f2c 0000000a 00020082 ..(.../,........ + 1c20: 008e2f36 00000000 00002808 008e2f38 ../6......(.../8 + 1c30: 0000000a 00020082 008e2f42 00000000 ........../B.... + 1c40: 00002808 008e2f44 00000017 00020082 ..(.../D........ + 1c50: 008e2f5b 00000000 00000008 008e2f5b ../[........../[ + 1c60: 00000004 000200a2 008e2f5f 00000000 ........../_.... + 1c70: 00000008 008e2f5f 00000004 000200a2 ....../_........ + 1c80: 008e2f63 00000000 00000008 008e2f63 ../c........../c + 1c90: 00000004 000200a2 008e2f67 00000000 ........../g.... + 1ca0: 00000008 008e2f67 00000004 000200a2 ....../g........ + 1cb0: 008e2f6b 00000000 00002808 008e2f6c ../k......(.../l + 1cc0: 00000010 00020082 008e2f7c 00000000 ........../|.... + 1cd0: 00000008 008e2f7c 00000004 000200a2 ....../|........ + 1ce0: 008e2f80 00000000 00002808 008e2f80 ../.......(.../. + 1cf0: 00000017 00020082 008e2f97 00000000 ........../..... + 1d00: 00000008 008e2f97 00000004 000200a2 ....../......... + 1d10: 008e2f9b 00000000 00000008 008e2f9b ../.........../. + 1d20: 00000004 000200a2 008e2f9f 00000000 ........../..... + 1d30: 00000008 008e2f9f 00000004 000200a2 ....../......... + 1d40: 008e2fa3 00000000 00002808 008e2fa4 ../.......(.../. + 1d50: 00000016 00020082 008e2fba 00000000 ........../..... + 1d60: 00002808 008e2fbc 0000000c 00020082 ..(.../......... + 1d70: 008e2fc8 000000a8 000200a2 008e3070 ../...........0p + 1d80: 00000000 00002808 008e3070 00000035 ......(...0p...5 + 1d90: 00020082 008e30a5 00000000 00002808 ......0.......(. + 1da0: 008e30a8 00000053 00020082 008e30fb ..0....S......0. + 1db0: 00000000 00002808 008e30fc 0000001e ......(...0..... + 1dc0: 00020082 008e311a 00000000 00000008 ......1......... + 1dd0: 008e311a 0000001c 000200a2 008e3136 ..1...........16 + 1de0: 00000000 00002808 008e3138 00000005 ......(...18.... + 1df0: 00020082 008e313d 00000000 00002808 ......1=......(. + 1e00: 008e3140 00000014 00020082 008e3154 ..1@..........1T + 1e10: 00000000 00002808 008e3154 00000021 ......(...1T...! + 1e20: 00020082 008e3175 00000000 00000008 ......1u........ + 1e30: 008e3175 0000000b 000200a2 008e3180 ..1u..........1. + 1e40: 00000000 00002808 008e3180 0000001d ......(...1..... + 1e50: 00020082 008e319d 00000000 00000008 ......1......... + 1e60: 008e319d 0000000b 000200a2 008e31a8 ..1...........1. + 1e70: 00000000 00002808 008e31a8 0000000c ......(...1..... + 1e80: 00020082 008e31b4 00000000 00000008 ......1......... + 1e90: 008e31b4 00000018 000200a2 008e31cc ..1...........1. + 1ea0: 00000000 00000008 008e31cc 00000018 ..........1..... + 1eb0: 000200a2 008e31e4 00000000 00000008 ......1......... + 1ec0: 008e31e4 00000018 000200a2 008e31fc ..1...........1. + 1ed0: 00000000 00000008 008e31fc 00000015 ..........1..... + 1ee0: 000200a2 008e3211 00000019 000200a2 ......2......... + 1ef0: 008e322a 00000000 00000008 008e322a ..2*..........2* + 1f00: 00000018 000200a2 008e3242 00000005 ..........2B.... + 1f10: 000200a2 008e3247 00000000 00002808 ......2G......(. + 1f20: 008e3248 0000001e 00020082 008e3266 ..2H..........2f + 1f30: 00000000 00000008 008e3266 0000000c ..........2f.... + 1f40: 000200a2 008e3272 00000000 00002808 ......2r......(. + 1f50: 008e3274 00000016 00020082 008e328a ..2t..........2. + 1f60: 00000005 000200a2 008e328f 00000000 ..........2..... + 1f70: 00002808 008e3290 0000000a 00020082 ..(...2......... + 1f80: 008e329a 00000000 00000008 008e329a ..2...........2. + 1f90: 00000004 000200a2 008e329e 00000000 ..........2..... + 1fa0: 00002808 008e32a0 0000000e 00020082 ..(...2......... + 1fb0: 008e32ae 00000000 00002808 008e32b0 ..2.......(...2. + 1fc0: 00000007 00020082 008e32b7 00000000 ..........2..... + 1fd0: 00002808 008e32b8 00000005 00020082 ..(...2......... + 1fe0: 008e32bd 00000000 00002808 008e32c0 ..2.......(...2. + 1ff0: 0000000d 00020082 008e32cd 00000000 ..........2..... + 2000: 00002808 008e32d0 00000041 00020082 ..(...2....A.... + 2010: 008e3311 00000000 00002808 008e3314 ..3.......(...3. + 2020: 00000032 00020082 008e3346 00000000 ...2......3F.... + 2030: 00000008 004e8388 00000078 00002804 .....N.....x..(. + 2040: 008e3348 00000012 00020082 008e335a ..3H..........3Z + 2050: 00000000 00002808 008e335c 00000036 ......(...3\...6 + 2060: 00020082 008e3392 00000000 00002808 ......3.......(. + 2070: 008e3394 00000016 00020082 008e33aa ..3...........3. + 2080: 00000000 00000008 008e33aa 00000008 ..........3..... + 2090: 000200a2 008e33b2 00000000 00002808 ......3.......(. + 20a0: 008e33b4 00000011 00020082 008e33c5 ..3...........3. + 20b0: 00000000 00002808 008e33c8 00000053 ......(...3....S + 20c0: 00020082 008e341b 00000000 00002808 ......4.......(. + 20d0: 008e341c 00000005 00020082 008e3421 ..4...........4! + 20e0: 00000000 00002808 008e3424 00000014 ......(...4$.... + 20f0: 00020082 008e3438 00000000 00002808 ......48......(. + 2100: 008e3438 0000007a 00020082 008e34b2 ..48...z......4. + 2110: 00000000 00002808 008e34b4 00000007 ......(...4..... + 2120: 00020082 008e34bb 00000000 00002808 ......4.......(. + 2130: 008e34bc 00000013 00020082 008e34cf ..4...........4. + 2140: 00000000 00000008 008e34cf 0000000b ..........4..... + 2150: 000200a2 008e34da 00000000 00000008 ......4......... + 2160: 008e34da 0000000b 000200a2 008e34e5 ..4...........4. + 2170: 00000002 000200a2 008e34e7 00000000 ..........4..... + 2180: 00002808 008e34e8 0000000b 00020082 ..(...4......... + 2190: 008e34f3 00000000 00000008 008e34f3 ..4...........4. + 21a0: 00000008 000200a2 008e34fb 00000000 ..........4..... + 21b0: 00000008 008e34fb 00000006 000200a2 ......4......... + 21c0: 008e3501 00000000 00000008 008e3501 ..5...........5. + 21d0: 00000005 000200a2 008e3506 00000000 ..........5..... + 21e0: 00002808 008e3508 0000002f 00020082 ..(...5..../.... + 21f0: 008e3537 00000000 00000008 008e3537 ..57..........57 + 2200: 00000009 000200a2 008e3540 0000000d ..........5@.... + 2210: 000200a2 008e354d 00000000 00000008 ......5M........ + 2220: 008e354d 00000038 000200a2 008e3585 ..5M...8......5. + 2230: 00000008 000200a2 008e358d 00000000 ..........5..... + 2240: 00000008 008e358d 00000007 000200a2 ......5......... + 2250: 008e3594 00000016 000200a2 008e35aa ..5...........5. + 2260: 00000007 000200a2 008e35b1 00000000 ..........5..... + 2270: 00000008 008e35b1 00000002 000200a2 ......5......... + 2280: 008e35b3 00000000 00002808 008e35b4 ..5.......(...5. + 2290: 0000003f 00020082 008e35f3 00000000 ...?......5..... + 22a0: 00000008 008e35f3 0000001a 000200a2 ......5......... + 22b0: 008e360d 00000000 00000008 008e360d ..6...........6. + 22c0: 00000005 000200a2 008e3612 00000000 ..........6..... + 22d0: 00002808 008e3614 0000000f 00020082 ..(...6......... + 22e0: 008e3623 00000004 000200a2 008e3627 ..6#..........6' + 22f0: 00000000 00000008 008e3627 00000017 ..........6'.... + 2300: 000200a2 008e363e 00000000 00002808 ......6>......(. + 2310: 008e3640 00000005 00020082 008e3645 ..6@..........6E + 2320: 00000000 00002808 008e3648 00000005 ......(...6H.... + 2330: 00020082 008e364d 00000000 00002808 ......6M......(. + 2340: 008e3650 00000005 00020082 008e3655 ..6P..........6U + 2350: 00000000 00002808 008e3658 0000000c ......(...6X.... + 2360: 00020082 008e3664 00000000 00000008 ......6d........ + 2370: 008e3664 00000004 000200a2 008e3668 ..6d..........6h + 2380: 00000000 00002808 008e3668 0000000d ......(...6h.... + 2390: 00020082 008e3675 00000000 00000008 ......6u........ + 23a0: 008e3675 00000005 000200a2 008e367a ..6u..........6z + 23b0: 00000000 00002808 008e367c 00000021 ......(...6|...! + 23c0: 00020082 008e369d 00000000 00000008 ......6......... + 23d0: 008e369d 00000024 000200a2 008e36c1 ..6....$......6. + 23e0: 00000000 00000008 008e36c1 00000021 ..........6....! + 23f0: 000200a2 008e36e2 00000021 000200a2 ......6....!.... + 2400: 008e3703 00000000 00000008 008e3703 ..7...........7. + 2410: 00000021 000200a2 008e3724 00000003 ...!......7$.... + 2420: 000200a2 008e3727 00000002 000200a2 ......7'........ + 2430: 008e3729 00000000 00002808 008e372c ..7)......(...7, + 2440: 0000000f 00020082 008e373b 00000000 ..........7;.... + 2450: 00002808 008e373c 00000041 00020082 ..(...7<...A.... + 2460: 008e377d 00000000 00002808 008e3780 ..7}......(...7. + 2470: 0000009c 00020082 008e381c 00000000 ..........8..... + 2480: 00000008 004e8404 00000088 00002804 .....N........(. + 2490: 008e381c 00000019 00020082 008e3835 ..8...........85 + 24a0: 00000000 00000008 008e3835 0000000f ..........85.... + 24b0: 000200a2 008e3844 00000000 00002808 ......8D......(. + 24c0: 008e3844 0000000e 00020082 008e3852 ..8D..........8R + 24d0: 00000014 000200a2 008e3866 00000000 ..........8f.... + 24e0: 00002808 008e3868 00000046 00020082 ..(...8h...F.... + 24f0: 008e38ae 00000039 00020092 008e38e7 ..8....9......8. + 2500: 00000002 00020092 008e38e9 00000000 ..........8..... + 2510: 00002808 008e38ec 00000034 00020082 ..(...8....4.... + 2520: 008e3920 00000000 00002808 008e3920 ..9 ......(...9 + 2530: 00000014 00020082 008e3934 00000004 ..........94.... + 2540: 000200a2 008e3938 00000000 00000008 ......98........ + 2550: 008e3938 0000000b 000200a2 008e3943 ..98..........9C + 2560: 00000000 00002808 008e3944 00000025 ......(...9D...% + 2570: 00020082 008e3969 00000009 000200a2 ......9i........ + 2580: 008e3972 00000000 00000008 008e3972 ..9r..........9r + 2590: 00000003 000200a2 008e3975 00000004 ..........9u.... + 25a0: 000200a2 008e3979 00000000 00000008 ......9y........ + 25b0: 008e3979 00000018 000200a2 008e3991 ..9y..........9. + 25c0: 00000005 000200a2 008e3996 00000000 ..........9..... + 25d0: 00000008 008e3996 00000016 000200a2 ......9......... + 25e0: 008e39ac 00000002 00000008 008e39ae ..9...........9. + 25f0: 00000002 000200a2 008e39b0 00000040 ..........9....@ + 2600: 000200a2 008e39f0 00000024 00020092 ......9....$.... + 2610: 008e3a14 00000000 00002808 008e3a14 ..:.......(...:. + 2620: 00000013 00020082 008e3a27 00000000 ..........:'.... + 2630: 00000008 008e3a27 0000000c 000200a2 ......:'........ + 2640: 008e3a33 00000000 00002808 008e3a34 ..:3......(...:4 + 2650: 00000039 00020082 008e3a6d 00000000 ...9......:m.... + 2660: 00000008 008e3a6d 00000005 000200a2 ......:m........ + 2670: 008e3a72 00000004 000200a2 008e3a76 ..:r..........:v + 2680: 00000000 00000008 008e3a76 0000000b ..........:v.... + 2690: 000200a2 008e3a81 00000000 00000008 ......:......... + 26a0: 008e3a81 0000001b 000200a2 008e3a9c ..:...........:. + 26b0: 00000000 00000008 008e3a9c 0000000b ..........:..... + 26c0: 000200a2 008e3aa7 00000000 00000008 ......:......... + 26d0: 008e3aa7 0000000a 000200a2 008e3ab1 ..:...........:. + 26e0: 00000000 00000008 008e3ab1 00000006 ..........:..... + 26f0: 000200a2 008e3ab7 00000000 00000008 ......:......... + 2700: 008e3ab7 00000006 000200a2 008e3abd ..:...........:. + 2710: 00000000 00000008 008e3abd 00000006 ..........:..... + 2720: 000200a2 008e3ac3 00000000 00002808 ......:.......(. + 2730: 008e3ac4 00000026 00020082 008e3aea ..:....&......:. + 2740: 00000000 00002808 008e3aec 0000002a ......(...:....* + 2750: 00020082 008e3b16 00000000 00000008 ......;......... + 2760: 008e3b16 0000000c 000200a2 008e3b22 ..;...........;" + 2770: 00000004 000200a2 008e3b26 00000000 ..........;&.... + 2780: 00000008 008e3b26 00000053 000200a2 ......;&...S.... + 2790: 008e3b79 00000000 00000008 008e3b79 ..;y..........;y + 27a0: 00000018 000200a2 008e3b91 00000000 ..........;..... + 27b0: 00002808 008e3b94 00000023 00020082 ..(...;....#.... + 27c0: 008e3bb7 00000002 000200a2 008e3bb9 ..;...........;. + 27d0: 00000000 00000008 008e3bb9 00000020 ..........;.... + 27e0: 000200a2 008e3bd9 00000000 00002808 ......;.......(. + 27f0: 008e3bdc 00000050 00020082 008e3c2c ..;....P......<, + 2800: 00000000 00000008 008e3c2c 00000002 ..........<,.... + 2810: 000200a2 008e3c2e 00000000 00000008 ......<......... + 2820: 008e3c2e 0000003b 000200a2 008e3c69 ..<....;............(...V@ + 37f0: 0000001d 00020082 008e565d 00000020 ..........V]... + 3800: 000200a2 008e567d 00000002 000200a2 ......V}........ + 3810: 008e567f 00000000 00002808 008e5680 ..V.......(...V. + 3820: 00000027 00020082 008e56a7 00000000 ...'......V..... + 3830: 00002808 008e56a8 0000001c 00020082 ..(...V......... + 3840: 008e56c4 0000000b 000200a2 008e56cf ..V...........V. + 3850: 00000000 00000008 008e56cf 00000006 ..........V..... + 3860: 000200a2 008e56d5 00000000 00002808 ......V.......(. + 3870: 008e56d8 00000005 00020082 008e56dd ..V...........V. + 3880: 00000000 00002808 008e56e0 0000006d ......(...V....m + 3890: 00020082 008e574d 00000000 00000008 ......WM........ + 38a0: 008e574d 00000021 000200a2 008e576e ..WM...!......Wn + 38b0: 0000001a 000200a2 008e5788 00000000 ..........W..... + 38c0: 00000008 008e5788 00000022 000200a2 ......W....".... + 38d0: 008e57aa 00000000 00000008 008e57aa ..W...........W. + 38e0: 00000006 000200a2 008e57b0 0000002f ..........W..../ + 38f0: 000200a2 008e57df 00000000 00000008 ......W......... + 3900: 004e85e4 00000030 00002804 008e57e0 .N.....0..(...W. + 3910: 00000005 00020082 008e57e5 00000000 ..........W..... + 3920: 00002808 008e57e8 0000003e 00020082 ..(...W....>.... + 3930: 008e5826 00000002 000200a2 008e5828 ..X&..........X( + 3940: 00000000 00002808 008e5828 00000010 ......(...X(.... + 3950: 00020082 008e5838 00000000 00002808 ......X8......(. + 3960: 008e5838 00000022 00020082 008e585a ..X8..."......XZ + 3970: 00000027 000200a2 008e5881 00000002 ...'......X..... + 3980: 00020092 008e5883 00000000 00002808 ......X.......(. + 3990: 008e5884 00000017 00020082 008e589b ..X...........X. + 39a0: 00000000 00002808 008e589c 0000000d ......(...X..... + 39b0: 00020082 008e58a9 00000049 000200a2 ......X....I.... + 39c0: 008e58f2 00000000 00000008 008e58f2 ..X...........X. + 39d0: 0000000f 000200a2 008e5901 00000000 ..........Y..... + 39e0: 00000008 008e5901 00000013 000200a2 ......Y......... + 39f0: 008e5914 00000000 00002808 008e5914 ..Y.......(...Y. + 3a00: 00000015 00020082 008e5929 0000000d ..........Y).... + 3a10: 000200a2 008e5936 00000000 00000008 ......Y6........ + 3a20: 008e5936 00000022 000200a2 008e5958 ..Y6..."......YX + 3a30: 0000000a 000200a2 008e5962 00000000 ..........Yb.... + 3a40: 00000008 008e5962 00000009 000200a2 ......Yb........ + 3a50: 008e596b 00000000 00002808 008e596c ..Yk......(...Yl + 3a60: 0000000e 00020082 008e597a 0000006d ..........Yz...m + 3a70: 000200a2 008e59e7 00000000 00000008 ......Y......... + 3a80: 008e59e7 0000000c 000200a2 008e59f3 ..Y...........Y. + 3a90: 00000028 000200a2 008e5a1b 00000000 ...(......Z..... + 3aa0: 00000008 008e5a1b 0000000f 000200a2 ......Z......... + 3ab0: 008e5a2a 00000000 00002808 008e5a2c ..Z*......(...Z, + 3ac0: 00000005 00020082 008e5a31 00000000 ..........Z1.... + 3ad0: 00002808 008e5a34 0000001a 00020082 ..(...Z4........ + 3ae0: 008e5a4e 00000000 00000008 008e5a4e ..ZN..........ZN + 3af0: 00000004 000200a2 008e5a52 00000000 ..........ZR.... + 3b00: 00002808 008e5a54 00000017 00020082 ..(...ZT........ + 3b10: 008e5a6b 00000000 00000008 008e5a6b ..Zk..........Zk + 3b20: 0000000d 000200a2 008e5a78 00000000 ..........Zx.... + 3b30: 00000008 008e5a78 0000000b 000200a2 ......Zx........ + 3b40: 008e5a83 00000000 00002808 008e5a84 ..Z.......(...Z. + 3b50: 00000017 00020082 008e5a9b 00000000 ..........Z..... + 3b60: 00000008 008e5a9b 00000010 000200a2 ......Z......... + 3b70: 008e5aab 00000000 00000008 008e5aab ..Z...........Z. + 3b80: 0000000f 000200a2 008e5aba 00000000 ..........Z..... + 3b90: 00002808 008e5abc 00000029 00020082 ..(...Z....).... + 3ba0: 008e5ae5 00000000 00000008 008e5ae5 ..Z...........Z. + 3bb0: 0000002a 000200a2 008e5b0f 0000000b ...*......[..... + 3bc0: 000200a2 008e5b1a 00000000 00002808 ......[.......(. + 3bd0: 008e5b1c 00000050 00020082 008e5b6c ..[....P......[l + 3be0: 00000000 00002808 008e5b6c 00000015 ......(...[l.... + 3bf0: 00020082 008e5b81 00000013 000200a2 ......[......... + 3c00: 008e5b94 00000013 000200a2 008e5ba7 ..[...........[. + 3c10: 00000002 000200a2 008e5ba9 00000000 ..........[..... + 3c20: 00000008 008e5ba9 0000000a 000200a2 ......[......... + 3c30: 008e5bb3 00000000 00000008 004e8614 ..[..........N.. + 3c40: 0000004c 00002804 008e5bb4 00000010 ...L..(...[..... + 3c50: 00020082 008e5bc4 00000000 00000008 ......[......... + 3c60: 008e5bc4 0000001b 000200a2 008e5bdf ..[...........[. + 3c70: 00000000 00000008 008e5bdf 0000000e ..........[..... + 3c80: 000200a2 008e5bed 00000000 00002808 ......[.......(. + 3c90: 008e5bf0 0000008a 00020082 008e5c7a ..[...........\z + 3ca0: 00000000 00000008 008e5c7a 00000008 ..........\z.... + 3cb0: 000200a2 008e5c82 00000000 00002808 ......\.......(. + 3cc0: 008e5c84 0000003d 00020082 008e5cc1 ..\....=......\. + 3cd0: 0000005a 000200a2 008e5d1b 00000000 ...Z......]..... + 3ce0: 00000008 008e5d1b 00000008 000200a2 ......]......... + 3cf0: 008e5d23 00000000 00000008 004e8664 ..]#.........N.d + 3d00: 00000004 00002804 008e5d24 00000015 ......(...]$.... + 3d10: 00020082 008e5d39 00000000 00002808 ......]9......(. + 3d20: 008e5d3c 00000038 00020082 008e5d74 ..]<...8......]t + 3d30: 00000000 00002808 008e5d74 00000018 ......(...]t.... + 3d40: 00020082 008e5d8c 00000000 00002808 ......].......(. + 3d50: 008e5d8c 0000001f 00020082 008e5dab ..]...........]. + 3d60: 00000003 00020092 008e5dae 00000000 ..........]..... + 3d70: 00000008 008e5dae 0000000c 000200a2 ......]......... + 3d80: 008e5dba 00000000 00002808 008e5dbc ..].......(...]. + 3d90: 00000033 00020082 008e5def 00000001 ...3......]..... + 3da0: 00000008 008e5df0 00000022 000200a2 ......]....".... + 3db0: 008e5e12 00000002 00020092 008e5e14 ..^...........^. + 3dc0: 00000004 000200a2 008e5e18 00000007 ..........^..... + 3dd0: 000200a2 008e5e1f 0000003e 000200a2 ......^....>.... + 3de0: 008e5e5d 00000000 00000008 008e5e5d ..^]..........^] + 3df0: 0000001b 000200a2 008e5e78 00000005 ..........^x.... + 3e00: 000200a2 008e5e7d 00000000 00000008 ......^}........ + 3e10: 008e5e7d 0000001e 000200a2 008e5e9b ..^}..........^. + 3e20: 00000000 00002808 008e5e9c 00000022 ......(...^...." + 3e30: 00020082 008e5ebe 00000000 00002808 ......^.......(. + 3e40: 008e5ec0 000000c2 00020082 008e5f82 ..^..........._. + 3e50: 00000000 00002808 008e5f84 0000001a ......(..._..... + 3e60: 00020082 008e5f9e 00000014 000200a2 ......_......... + 3e70: 008e5fb2 0000000b 000200a2 008e5fbd .._..........._. + 3e80: 00000000 00002808 008e5fc0 00000016 ......(..._..... + 3e90: 00020082 008e5fd6 00000000 00002808 ......_.......(. + 3ea0: 008e5fd8 0000002e 00020082 008e6006 .._...........`. + 3eb0: 0000000c 000200a2 008e6012 00000000 ..........`..... + 3ec0: 00000008 008e6012 00000007 000200a2 ......`......... + 3ed0: 008e6019 00000004 000200b2 008e601d ..`...........`. + 3ee0: 00000000 00002808 008e6020 00000018 ......(...` .... + 3ef0: 00020082 008e6038 00000016 000200a2 ......`8........ + 3f00: 008e604e 0000000f 000200a2 008e605d ..`N..........`] + 3f10: 00000000 00002808 008e6060 00000019 ......(...``.... + 3f20: 00020082 008e6079 00000002 00020092 ......`y........ + 3f30: 008e607b 00000000 00000008 008e607b ..`{..........`{ + 3f40: 00000004 000200a2 008e607f 00000000 ..........`..... + 3f50: 00002808 008e6080 00000052 00020082 ..(...`....R.... + 3f60: 008e60d2 00000031 000200a2 008e6103 ..`....1......a. + 3f70: 00000000 00000008 008e6103 0000001e ..........a..... + 3f80: 000200a2 008e6121 00000007 000200a2 ......a!........ + 3f90: 008e6128 00000000 00000008 004e8668 ..a(.........N.h + 3fa0: 00000054 00002804 008e6128 00000023 ...T..(...a(...# + 3fb0: 00020082 008e614b 00000000 00002808 ......aK......(. + 3fc0: 008e614c 00000014 00020082 008e6160 ..aL..........a` + 3fd0: 00000002 00020092 008e6162 00000000 ..........ab.... + 3fe0: 00002808 008e6164 00000005 00020082 ..(...ad........ + 3ff0: 008e6169 00000000 00002808 008e616c ..ai......(...al + 4000: 00000010 00020082 008e617c 0000003e ..........a|...> + 4010: 000200a2 008e61ba 00000000 00000008 ......a......... + 4020: 008e61ba 00000005 000200a2 008e61bf ..a...........a. + 4030: 00000000 00000008 008e61bf 00000002 ..........a..... + 4040: 000200a2 008e61c1 00000000 00002808 ......a.......(. + 4050: 008e61c4 00000017 00020082 008e61db ..a...........a. + 4060: 0000000b 000200a2 008e61e6 00000000 ..........a..... + 4070: 00002808 008e61e8 00000010 00020082 ..(...a......... + 4080: 008e61f8 00000000 00002808 008e61f8 ..a.......(...a. + 4090: 0000000f 00020082 008e6207 00000004 ..........b..... + 40a0: 000200a2 008e620b 00000000 00000008 ......b......... + 40b0: 004e86bc 0000001c 00002804 008e620c .N........(...b. + 40c0: 00000034 00020082 008e6240 00000000 ...4......b@.... + 40d0: 00000008 008e6240 00000004 000200a2 ......b@........ + 40e0: 008e6244 00000000 00000008 008e6244 ..bD..........bD + 40f0: 00000029 000200a2 008e626d 00000000 ...)......bm.... + 4100: 00000008 004e86d8 00000010 00002804 .....N........(. + 4110: 008e6814 00000005 00020082 008e6819 ..h...........h. + 4120: 00000000 00000008 008e6270 00000005 ..........bp.... + 4130: 00020082 008e6275 00000000 00002808 ......bu......(. + 4140: 008e6278 00000020 00020082 008e6298 ..bx... ......b. + 4150: 00000003 00020182 008e629b 00000029 ..........b....) + 4160: 00020082 008e62c4 00000025 000200a2 ......b....%.... + 4170: 008e62e9 00000000 00000008 004e86e8 ..b..........N.. + 4180: 00000000 00002804 008e681c 00000008 ......(...h..... + 4190: 00020082 008e6824 00000000 00002808 ......h$......(. + 41a0: 008e6824 0000000c 00020082 008e6830 ..h$..........h0 + 41b0: 00000002 000200a2 008e6832 00000000 ..........h2.... + 41c0: 00002808 008e6834 0000000a 00020082 ..(...h4........ + 41d0: 008e683e 00000000 00000008 008e683e ..h>..........h> + 41e0: 00000004 000200a2 008e6842 00000000 ..........hB.... + 41f0: 00000008 004e8794 00000000 00002904 .....N........). + 4200: 004e8798 00000000 00002904 004e879c .N........)..N.. + 4210: 00000000 00002904 004e87a0 00000000 ......)..N...... + 4220: 00002904 004e87a2 00000000 00001904 ..)..N.......... + 4230: 004e87a4 00000000 00002904 004e87a6 .N........)..N.. + 4240: 00000000 00001904 004e87a8 00000000 .........N...... + 4250: 00002904 004e87aa 00000000 00001904 ..)..N.......... + 4260: 004e87ac 00000000 00002904 004e87ae .N........)..N.. + 4270: 00000000 00001904 004e87b0 00000000 .........N...... + 4280: 00002904 004e87b2 00000000 00001904 ..)..N.......... + 4290: 004e87b4 00000000 00002904 004e87b8 .N........)..N.. + 42a0: 00000000 00002904 004e87bc 00000000 ......)..N...... + 42b0: 00002904 004e87c0 00000000 00002904 ..)..N........). + 42c0: 004e87c4 00000000 00002904 004e87c8 .N........)..N.. + 42d0: 00000000 00002904 004e87cc 00000000 ......)..N...... + 42e0: 00002904 004e87d0 00000000 00002904 ..)..N........). + 42f0: 004e87d4 00000000 00002904 004e87d6 .N........)..N.. + 4300: 00000000 00001904 004e87d8 00000000 .........N...... + 4310: 00002904 004e87dc 00000000 00002904 ..)..N........). + 4320: 004e87e0 00000000 00002904 004e87e4 .N........)..N.. + 4330: 00000000 00002904 004e87e6 00000000 ......)..N...... + 4340: 00001904 004e87e8 00000000 00002904 .....N........). + 4350: 004e87ec 00000000 00002904 004e87f0 .N........)..N.. + 4360: 00000000 00002904 004e87f4 00000000 ......)..N...... + 4370: 00002904 004e87f8 00000000 00002904 ..)..N........). + 4380: 004e87fc 00000000 00002904 004e8800 .N........)..N.. + 4390: 00000000 00002904 004e8804 00000000 ......)..N...... + 43a0: 00002904 004e8808 00000000 00002904 ..)..N........). + 43b0: 004e880c 00000000 00002904 004e8810 .N........)..N.. + 43c0: 00000000 00002904 004e8814 00000000 ......)..N...... + 43d0: 00002904 004e8818 00000000 00002904 ..)..N........). + 43e0: 004e881c 00000000 00002904 004e8820 .N........)..N. + 43f0: 00000000 00002904 004e8824 00000000 ......)..N.$.... + 4400: 00002804 008e62ec 0000004d 00020082 ..(...b....M.... + 4410: 008e6339 00000000 00000008 008e6339 ..c9..........c9 + 4420: 00000014 000200a2 008e634d 00000000 ..........cM.... + 4430: 00000008 008e634d 00000024 000200a2 ......cM...$.... + 4440: 008e6371 00000000 00000008 008e6371 ..cq..........cq + 4450: 00000035 000200a2 008e63a6 00000002 ...5......c..... + 4460: 00000008 008e63a8 00000018 000200a2 ......c......... + 4470: 008e63c0 00000000 00000008 008e63c0 ..c...........c. + 4480: 00000004 000200a2 008e63c4 00000002 ..........c..... + 4490: 00000008 008e63c6 0000000b 00020082 ......c......... + 44a0: 008e63d1 0000000b 000200a2 008e63dc ..c...........c. + 44b0: 00000021 000200a2 008e63fd 00000000 ...!......c..... + 44c0: 00002808 008e6400 00000023 00020082 ..(...d....#.... + 44d0: 008e6423 00000000 00000008 004e86e8 ..d#.........N.. + 44e0: 00000004 00002804 008e6844 00000008 ......(...hD.... + 44f0: 00020082 008e684c 00000000 00000008 ......hL........ + 4500: 008e684c 00000008 00020082 008e6854 ..hL..........hT + 4510: 00000000 00000008 008e6854 00000008 ..........hT.... + 4520: 00020082 008e685c 00000000 00000008 ......h\........ + 4530: 008e685c 00000031 00020002 008e688d ..h\...1......h. + 4540: 00000006 00020022 008e6893 00000005 ......."..h..... + 4550: 00020012 008e6898 00000008 00020022 ......h........" + 4560: 008e68a0 00000000 00000008 008e68a0 ..h...........h. + 4570: 0000000a 00020022 008e68aa 00000002 ......."..h..... + 4580: 00000008 008e68ac 0000000c 00020022 ......h........" + 4590: 008e68b8 00000001 00000008 008e68b9 ..h...........h. + 45a0: 00000003 00020022 008e68c0 00000004 ......."..h..... + 45b0: 00020022 008e68c4 00000000 00000008 ..."..h......... + 45c0: 008e68c4 0000002a 00020002 008e68ee ..h....*......h. + 45d0: 00000003 00020022 008e68f1 00000006 ......."..h..... + 45e0: 00020022 008e68f7 00000006 00020022 ..."..h........" + 45f0: 008e68fd 00000002 00020022 008e68ff ..h........"..h. + 4600: 00000001 00000008 008e6900 00000005 ..........i..... + 4610: 00020022 008e6909 00000004 00020022 ..."..i........" + 4620: 008e690d 00000000 00000008 008e6910 ..i...........i. + 4630: 00000028 00020002 008e6938 00000006 ...(......i8.... + 4640: 00020022 008e693e 00000006 00020012 ..."..i>........ + 4650: 008e6944 00000002 00020022 008e6946 ..iD......."..iF + 4660: 00000000 00000008 008e6946 00000004 ..........iF.... + 4670: 00020022 008e694a 00000002 00000008 ..."..iJ........ + 4680: 008e694c 00000007 00020022 008e6953 ..iL......."..iS + 4690: 00000002 00000008 008e6955 00000003 ..........iU.... + 46a0: 00020022 008e695c 00000004 00020022 ..."..i\......." + 46b0: 008e6960 00000000 00000008 008e6960 ..i`..........i` + 46c0: 00000023 00020002 008e6983 00000003 ...#......i..... + 46d0: 00020022 008e6986 00000006 00020022 ..."..i........" + 46e0: 008e698c 00000002 00020022 008e698e ..i........"..i. + 46f0: 00000002 00000008 008e6990 00000005 ..........i..... + 4700: 00020022 008e6999 00000004 00020022 ..."..i........" + 4710: 008e699d 00000000 00000008 008e69a0 ..i...........i. + 4720: 0000003b 00020082 008e69db 00000004 ...;......i..... + 4730: 000200a2 008e69df 00000001 00000008 ......i......... + 4740: 008e69e0 00000005 000200a2 008e69e5 ..i...........i. + 4750: 00000000 00000008 008e69e9 0000000d ..........i..... + 4760: 000200a2 008e69f6 00000002 00020092 ......i......... + 4770: 008e69f8 00000000 00002808 008e69f8 ..i.......(...i. + 4780: 00000003 000201a2 008e69fb 0000000e ..........i..... + 4790: 00020082 008e6a09 00000003 00020182 ......j......... + 47a0: 008e6a0c 00000003 000201a2 008e6a0f ..j...........j. + 47b0: 00000016 00020082 008e6a25 00000000 ..........j%.... + 47c0: 00002808 008e6a28 00000006 00020082 ..(...j(........ + 47d0: 008e6a2e 00000006 00020182 008e6a34 ..j...........j4 + 47e0: 00000006 000200a2 008e6a3a 00000003 ..........j:.... + 47f0: 00020182 008e6a3d 00000019 00020082 ......j=........ + 4800: 008e6a56 0000000f 00020092 008e6a65 ..jV..........je + 4810: 0000000b 000200a2 008e6a70 00000000 ..........jp.... + 4820: 00000008 008e6a70 00000010 000200a2 ......jp........ + 4830: 008e6a80 00000000 00000008 008e6a80 ..j...........j. + 4840: 0000000f 000200a2 008e6a8f 00000001 ..........j..... + 4850: 00000008 008e6a90 00000006 000200a2 ......j......... + 4860: 008e6a96 00000002 000200a2 008e6a98 ..j...........j. + 4870: 00000000 00002808 008e6a98 00000003 ......(...j..... + 4880: 000201a2 008e6a9b 00000030 00020082 ......j....0.... + 4890: 008e6acb 00000019 00020092 008e6ae4 ..j...........j. + 48a0: 00000010 000200a2 008e6af4 0000000a ..........j..... + 48b0: 000200a2 008e6afe 00000002 00000008 ......j......... + 48c0: 008e6b00 00000015 000200a2 008e6b15 ..k...........k. + 48d0: 00000000 00000008 008e6b15 00000008 ..........k..... + 48e0: 000200a2 008e6b1d 00000000 00000008 ......k......... + 48f0: 008e6b20 00000027 00020082 008e6b47 ..k ...'......kG + 4900: 00000004 00020092 008e6b4b 00000001 ..........kK.... + 4910: 00000008 008e6b4c 0000000c 00020082 ......kL........ + 4920: 008e6b58 0000001b 000200a2 008e6b73 ..kX..........ks + 4930: 0000006f 00020092 008e6be2 00000000 ...o......k..... + 4940: 00000008 008e6be2 00000038 000200a2 ......k....8.... + 4950: 008e6c1a 00000051 00020092 008e6c6b ..l....Q......lk + 4960: 00000002 00020092 008e6c6d 0000001b ..........lm.... + 4970: 000200a2 008e6c88 00000052 00020092 ......l....R.... + 4980: 008e6cda 00000008 00020092 008e6ce2 ..l...........l. + 4990: 00000000 00000008 008e6ce5 00000008 ..........l..... + 49a0: 000200a2 008e6ced 00000002 00020092 ......l......... + 49b0: 008e6cef 00000000 00002808 008e6cf0 ..l.......(...l. + 49c0: 0000000a 000200a2 008e6cfa 00000003 ..........l..... + 49d0: 00020182 008e6cfd 0000000e 000200a2 ......l......... + 49e0: 008e6d0b 00000000 00002808 008e6d0c ..m.......(...m. + 49f0: 00000014 00020082 008e6d20 00000006 ..........m .... + 4a00: 00020182 008e6d26 00000011 000200a2 ......m&........ + 4a10: 008e6d37 0000000a 00020092 008e6d41 ..m7..........mA + 4a20: 00000007 000200a2 008e6d48 00000008 ..........mH.... + 4a30: 000200a2 008e6d50 00000006 000200a2 ......mP........ + 4a40: 008e6d56 00000002 000200a2 008e6d58 ..mV..........mX + 4a50: 00000000 00000008 008e6424 0000004a ..........d$...J + 4a60: 00020082 008e646e 00000000 00002808 ......dn......(. + 4a70: 008e6470 00000002 000201a2 008e6472 ..dp..........dr + 4a80: 00000013 00020082 008e6485 00000005 ..........d..... + 4a90: 000200b2 008e648a 00000000 00002808 ......d.......(. + 4aa0: 008e648c 00000033 000201a2 008e64bf ..d....3......d. + 4ab0: 00000027 000201b2 008e64e6 00000015 ...'......d..... + 4ac0: 00020082 008e64fb 00000004 000200b2 ......d......... + 4ad0: 008e64ff 00000001 00000008 008e6500 ..d...........e. + 4ae0: 0000000d 000200a2 008e650d 00000000 ..........e..... + 4af0: 00000008 008e650d 00000004 000200a2 ......e......... + 4b00: 008e6511 00000000 00000008 008e6511 ..e...........e. + 4b10: 0000001b 000200a2 008e652c 00000005 ..........e,.... + 4b20: 000200a2 008e6531 00000000 00000008 ......e1........ + 4b30: 008e6531 0000000b 000200a2 008e653c ..e1..........e< + 4b40: 00000000 00000008 004e86ec 00000010 .........N...... + 4b50: 00002804 008e653c 00000018 00020082 ..(...e<........ + 4b60: 008e6554 00000008 000200a2 008e655c ..eT..........e\ + 4b70: 00000001 00000008 008e655d 0000000f ..........e].... + 4b80: 000200a2 008e656c 00000015 000200a2 ......el........ + 4b90: 008e6581 00000002 000200a2 008e6583 ..e...........e. + 4ba0: 00000000 00002808 008e6584 00000002 ......(...e..... + 4bb0: 000201a2 008e6586 00000017 00020082 ......e......... + 4bc0: 008e659d 00000002 000200b2 008e659f ..e...........e. + 4bd0: 00000001 00000008 008e65a0 00000007 ..........e..... + 4be0: 000200a2 008e65a7 00000001 00000008 ......e......... + 4bf0: 008e65a8 00000008 000200a2 008e65b0 ..e...........e. + 4c00: 00000000 00000008 008e65b0 0000000d ..........e..... + 4c10: 000200a2 008e65bd 00000000 00002808 ......e.......(. + 4c20: 008e65c0 00000002 00020182 008e65c2 ..e...........e. + 4c30: 00000010 00020082 008e65d2 00000002 ..........e..... + 4c40: 000200b2 008e65d4 00000000 00000008 ......e......... + 4c50: 004e86fc 00000000 00002804 008e65d4 .N........(...e. + 4c60: 0000001b 00020082 008e65ef 00000001 ..........e..... + 4c70: 00000008 008e65f0 0000000a 000200a2 ......e......... + 4c80: 008e65fa 00000011 000200a2 008e660b ..e...........f. + 4c90: 00000000 00002808 008e660c 00000002 ......(...f..... + 4ca0: 000201a2 008e660e 00000013 00020082 ......f......... + 4cb0: 008e6621 00000002 000200b2 008e6623 ..f!..........f# + 4cc0: 00000005 000200a2 008e6628 00000000 ..........f(.... + 4cd0: 00000008 008e6628 00000007 000200a2 ......f(........ + 4ce0: 008e662f 00000001 00000008 008e6630 ..f/..........f0 + 4cf0: 00000007 000200a2 008e6637 00000000 ..........f7.... + 4d00: 00000008 004e86fc 00000000 00002804 .....N........(. + 4d10: 008e6638 0000000f 00020082 008e6647 ..f8..........fG + 4d20: 00000028 000200a2 008e666f 00000003 ...(......fo.... + 4d30: 00020092 008e6672 00000000 00000008 ......fr........ + 4d40: 008e6672 00000004 000200a2 008e6676 ..fr..........fv + 4d50: 00000002 00000008 008e6678 00000005 ..........fx.... + 4d60: 00020082 008e667d 00000000 00000008 ......f}........ + 4d70: 008e667d 0000000b 000200a2 008e6688 ..f}..........f. + 4d80: 00000000 00000008 008e6688 00000015 ..........f..... + 4d90: 000200a2 008e669d 00000000 00000008 ......f......... + 4da0: 008e669d 00000021 000200a2 008e66be ..f....!......f. + 4db0: 00000000 00000008 008e66be 00000004 ..........f..... + 4dc0: 000200a2 008e66c2 00000000 00000008 ......f......... + 4dd0: 008e66c2 00000004 000200a2 008e66c6 ..f...........f. + 4de0: 00000000 00000008 004e86fc 00000004 .........N...... + 4df0: 00002804 008e66c8 00000014 000200a2 ..(...f......... + 4e00: 008e66dc 00000021 000200a2 008e66fd ..f....!......f. + 4e10: 00000000 00000008 008e66fd 00000002 ..........f..... + 4e20: 000200a2 008e66ff 00000000 00002808 ......f.......(. + 4e30: 008e6700 0000001a 00020082 008e671a ..g...........g. + 4e40: 00000008 000200a2 008e6722 00000000 ..........g".... + 4e50: 00000008 008e6722 00000008 000200a2 ......g"........ + 4e60: 008e672a 0000000f 000200a2 008e6739 ..g*..........g9 + 4e70: 00000008 00020092 008e6741 0000000b ..........gA.... + 4e80: 000200a2 008e674c 00000002 000200a2 ......gL........ + 4e90: 008e674e 00000002 00000008 008e6750 ..gN..........gP + 4ea0: 0000000d 000200a2 008e675d 00000015 ..........g].... + 4eb0: 000200a2 008e6772 00000000 00002808 ......gr......(. + 4ec0: 008e6774 00000002 000201a2 008e6776 ..gt..........gv + 4ed0: 0000001d 00020082 008e6793 00000003 ..........g..... + 4ee0: 00020092 008e6796 00000000 00000008 ......g......... + 4ef0: 008e6796 0000000c 000200a2 008e67a2 ..g...........g. + 4f00: 00000002 00000008 008e67a4 0000000e ..........g..... + 4f10: 000200a2 008e67b2 00000002 00000008 ......g......... + 4f20: 008e67b4 00000013 000200a2 008e67c7 ..g...........g. + 4f30: 00000000 00002808 008e67c8 00000002 ......(...g..... + 4f40: 000201a2 008e67ca 00000014 00020082 ......g......... + 4f50: 008e67de 00000003 000200b2 008e67e1 ..g...........g. + 4f60: 00000000 00000008 008e67e1 00000002 ..........g..... + 4f70: 000200a2 008e67e3 00000000 00000008 ......g......... + 4f80: 004e8704 00000000 00002804 .N........(. +Disassembly of section .xt.lit: + +00000000 <.xt.lit>: + 0: 008e0004 00000018 ........ +Disassembly of section .xtensa.info: + +00000000 <.xtensa.info>: + 0: 0000000c 0000019c 00000001 5874656e ............Xten + 10: 73615f49 6e666f00 48575f43 4f4e4649 sa_Info.HW_CONFI + 20: 47494430 3d307863 32383064 6266660a GID0=0xc280dbff. + 30: 48575f43 4f4e4649 47494431 3d307830 HW_CONFIGID1=0x0 + 40: 64303232 3330660a 4255494c 445f554e d02230f.BUILD_UN + 50: 49515545 5f49443d 30783030 30323233 IQUE_ID=0x000223 + 60: 30660a41 42493d30 0a555345 5f414253 0f.ABI=0.USE_ABS + 70: 4f4c5554 455f4c49 54455241 4c533d31 OLUTE_LITERALS=1 + 80: 0a48575f 56455253 494f4e3d 224c5832 .HW_VERSION="LX2 + 90: 2e312e30 220a4857 5f4d494e 5f564552 .1.0".HW_MIN_VER + a0: 53494f4e 5f4d414a 4f523d32 3231300a SION_MAJOR=2210. + b0: 48575f4d 494e5f56 45525349 4f4e5f4d HW_MIN_VERSION_M + c0: 494e4f52 3d300a48 575f4d41 585f5645 INOR=0.HW_MAX_VE + d0: 5253494f 4e5f4d41 4a4f523d 32323130 RSION_MAJOR=2210 + e0: 0a48575f 4d41585f 56455253 494f4e5f .HW_MAX_VERSION_ + f0: 4d494e4f 523d300a 52454c45 4153455f MINOR=0.RELEASE_ + 100: 4e414d45 3d225242 2d323030 372e3222 NAME="RB-2007.2" + 110: 0a52454c 45415345 5f564552 53494f4e .RELEASE_VERSION + 120: 3d22372e 312e3022 0a52454c 45415345 ="7.1.0".RELEASE + 130: 5f4d414a 4f523d37 3031300a 52454c45 _MAJOR=7010.RELE + 140: 4153455f 4d494e4f 523d300a 434f5245 ASE_MINOR=0.CORE + 150: 5f4e414d 453d224d 61677069 655f5030 _NAME="Magpie_P0 + 160: 220a5449 455f4348 45434b53 554d5f30 ".TIE_CHECKSUM_0 + 170: 3d300a54 49455f43 4845434b 53554d5f =0.TIE_CHECKSUM_ + 180: 313d300a 5449455f 43484543 4b53554d 1=0.TIE_CHECKSUM + 190: 5f323d30 0a544945 5f434845 434b5355 _2=0.TIE_CHECKSU + 1a0: 4d5f333d 300a5444 4b5f5041 54483d22 M_3=0.TDK_PATH=" + 1b0: 220a0000 "... diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.out b/target_firmware/magpie_fw_dev/build/magpie_1_1/image/output/fpga/rom.fpga.out new file mode 100755 index 0000000000000000000000000000000000000000..1ca8070d38d01bdcb3fb9a2e3d7fb0f2bc094859 GIT binary patch literal 759749 zcmb?^30PEDw(dSPQ4|Ff#UK=*RfnQDH2}rnmSLWf zt-aRTYp*@;bJ+6O+?kAsA>%(0c?N!lGzu}v#7C1&h?tOMq9uNWBPJ3fq!SSla9ntw zB94O8m4^I8^eJhS0|!8uWiGfsT{4?ADzpL+A_EeI`|?XNNTUzZ0Y9CdO&XO*2mEw; zF4DdbKqvq$#P{gulSbbZ0e(9Fa?@BU z`7gOm=2a2_h$@{3aDVzLE6G3(3d9>v|8L=;I-->Y*jPgr{`0l~h#-XO`FrV2WFF8c z5b|d?NZ<+)G?M;uNd#n+6P8u$ZqbNFl1Jw4P?5|^0gor-iv+dvpQ7I}wV6ZxtVCmB?GA-mNl4tC!FJQk-sNZW8Tp z@``K{A83-SZ<3Zb$u=~3ZTw$~b3@>NvsLtItN624iKJC3ZI#Jdy}VlG@>Xx}R)wO~ z=ikY4qlvlMB>J?;2mC*4lJqx8Uulw^ZSwkMll)wh_p42cUp4u>Mr9FQ2|;5Y(@v=zpZ)$!Lbfh?KV@sydvmug zesA{HC*MmS&3!LrwDjG%qm}Q@9&LIzeboML%4pxn+);jH_UPr2^wC=*DWkU;aq4g~ zL=p!m*{4hOic)DHj5b7)rHsnd>N9mMi(0dyGFg3QRLkPlC&iW~Nofk4S+0^lO}r)&@0a*df6?y}cx}zkkwEi4wJiq>maAl+ z-5&M75RV*`U)uyVkyEC>3u#d`2Ow5W6f}okB++{>SNZve5Pmd*`1t-ug0INxPptdG zd>QKj&AxDdv2`bZK>eAjalrpF*%{WT*%j_9O7J5I{!BuHT1AwRM4=QX_@a?s_V%4Z zM*Ru+O9nDmEqox9_#WrDN_4D#E4L;1asl!(Whn7CtkMrZ9JNlbUl+}5<;6=11Ghzma*$ zI1lyzXPMpf{s)-@@0WR8;3UWk`x#S(lb``UJ9s~@4I#lFssc$!Fqtrcgi1Jttx_o> z+!XpWCRUbjoxrUM(U35oNbJM>^GxJ{AB5B#cStwhgr))kBtMZK1_hqYJzg3WFBFIFNU=5iBt(mV>;Ay$C z8Be6fUfF_F+YEshm-rL{dCohoGD91-Mnnw1RMaCaI;bi>PW9^`J>r^VwXHZP0kwALVTgF2d%***zhlEKx}4(jAg_uwV{EeAYx$`SMb{F zDP!84yLTMyYUAGqdyr%VS_h*LOiiFR)3~?-x{3h{jc8x0jEMI~32%byX*Mi6Vg zYSXLF4QvN)JaCML$2BtI0IV zs<{r&2r|ReT&cXnz;D@8=W~+sH181_ee+iMO@cpuPu;*RigIPU-v~tsC!v;W+s$Xx zn|Bmy$OEvc3#Y=#gGdP@i4(~~<};Ow1C;3g+x`0@$is@8G|+vSkx0&U`@9yaKz}SE zUHt1E7j`K+sK335zYQneP#H-cfhX3m6{_$kVe6>BhNOTEKY%ogq4HiGYe+EvUaVkF z=7SZ!uQAHkghCvx2qURL=GYM9(M=kXMyrjD#52$N5896)BTOWWJo+P~jl5kzo**E9 z1>8U~HJqdyH&pU=(<{_+xx^Z88|A}Iso~S$vWeRzf*rF{whQ`}=Qtzz9+y!d{eF{x zJ%p0SXlBEv9A{RJb4CzsHSOn=Y1%V*UbXXrX~Q(eP1{hxANc_tE)5;zOi)9XoVz>u zqd%2C%auNX%;wUT0`Z#tJv)EwN0?bA#T^&sdxvwG&&;Rpt~?{kWnM1sd4fx$EyVZ& zdJ%8$`Np+mMf1zKBq*HB0TmNl;ck0|id-@&$Jsw{_(#Mc=Ho8n{Hv}!_m|6@7E-)G zt|3pLesf7c@k03L3Vx}E{0yFf9~Rs;&qeL3ol8ScKks@51bqKl{^Soh18ZcNOZ*&X zNRD$Smqteg{>2~TZtGC!P9>Rg)Lq{tUMM?4;| zULeH4lL=3@>TkR~J0NjPl^w98z`nLloo_Gov7>V-&MM-2f523zy@jrVgp;ZKd8Av; zRt?zUn$A|E@Bd%&&sMF;amu;0OAsN)IcL;A$GMO{{!`o*xwz%sEz5B}F7VGnp1iw7 zv^|8OAql+qz&aNrJGTecIgbv$!nk`?t0qsj=-RY22KbTW$(J8gT7v3Et1kP!6R`1+ zkLW#x&uQg>(fyZ4{e^xSdda2?oOgsagi+~9VHkX>o{k3a*6TEcnmuJfWe6othmo8> z@{1O>UDx5_6G)z^POMH4Kbn)R{;QbfKCox2Z;OvBS5^N*kRzK!s>w4|f|!&B4X{<1 zF2L`h`+sx!+%WR2hAf#ta`~jI_L3PY+X6@7v6@v+NKVvmjKW$ZNLHXwWGO<)Gi_C% z2HM%`_k+n&^NcU6GCr{DpZ-$RtIQ7cvZW{zr#ENx+S*enQ=v@D!bq`(6or%LB1mB* zDVRv|Cy~5B@_Y-|9@D{g8oHvp_4}gs>kbHoKpqWQ9!yI3Qd)_bZLX2exVR0$n8oeF zvx8Icw2l_H;2A09~ zxqwxZq9!FkjAjo=y%!W5_*?X3;=xsu^e7$Sbj-yE-`yT0py|_gNF?*iW~q14l;mIky!3 zLMdwB!D4+Uo{tISs;90~ibBc@NTIR_fmJl17wR;WxanWoy6RBWp{UgdC$2UHV&#wm zvJUplY(>plpj!5u zNVvvKIwR@`z{3`>WtT#b7mV_pR}fXJdVY6cI9U(x{iDvP|ImeCVeaumRcS(iYRugF zy~1y$nzA7Q6EDg4CSK);jLocZSM%Z;e!x-y6WD-gmfebm5=1t_Z(b8*@wa;C2`(`&mu@A(MkB- zq(f8B`*w&Gos3Tx)5QyTbH-BO{+s-s-1R*2D>_9!U7{alrMxwyYM^RWePkG^riyAJ zcv_mM5t^ImOvu&hPd)#hBlblm_QsYoH-XGurVQgRQ-*PuDZ|*ylwsWf+8y0a zaP@z+VZ^2(JHpBKKvLHd-LCI2bn3c<3c0U7LP=v7*{LB7;iNv0>}t`sN1>m-hllx~ znlynrJEexI_WrsICA%B2kZML<$%mQpTE8)^ZH98r+zuT|nM)>UNDCeL*qd|=q-lJU zko41Y0FQmN+L|<^t)Mcexv5KA*OPD01bq$JQ_q4}gH9j@-*sL?_JS_F8qy9ApE9^e z;+;COSBUBGBy|cSa6juvUpwcs@uZ&`GiBKU!J#Df13TuZa+b>_^6UVW_=Iv*mx4{7VRt7c_G@p z%B1z-H)8r&bw1ZnLmVNwWa2dy9U-y0Hu@pl{02>m>=ki)UWnXA#c!wrh z9q34kdB_=4>Kxpbzj|nWd2o!C4DNFzm6s>N$M-mrIwNz5X{^*I14a-wFuZY4GWcO^ zNI-qKNgZ&q*Yu*(WOpLb!R9&7@O@p8=lM9W87td;*2 z#aFgR)JAxBDZF0uHokrtc#fow2-Bv^3dO7yC%)*2pc(k?2%}>8;HMSkIw0(t=tzp& zblKrNFwxI%*2+Kl3YoY~m*w(VD{B8TG+169LisryNv2J$1qY=x0Ks#a?|3`kx2YV{ z;;)B3tf21i-}>!l>VEdtw_B+D5vN$Xo_gLhD)P&z=Piq(RLJtHSjAc)&&zR&^+Kjz z{&swb3i=(eZK#qAwi-hM>caKvfT0?*BEL6X|1+mP?U9Wyh}M^1eQy30i9|NI&lsXI zDVA>70K(hNilt>(n~)bRiq)GC!)%CEtS-9(PY>mQ7}4T*#rm>;A?5_P>Gk}oDaK7F z^P8=vO~>;OK4;$4oqtA8rF+3Ic2j-+l~9`N1rV$oOS!HZ;3LW59B1h@doC&G9r-#ed&jj@}K7mOz6 zIkydcPDNp+4EIlOGvZU#p#9oq-=ov`%iMBjNnOxqO=MI;&S@Ms<+B<2 z`_tpUAdas-QFVmYN7PM>RjXvLDf?dceP?>tq>G0wYSr+iFU=2-bg;BB zvUbwDe{gi5c?u?08|Gl^CiQi6g!T1yPI`X#AIxgij?m#(#`=V;j^bRRG?fxZ8G=T& z+QIe}_H`IT)X(q!vLSMBX#TtLJ104A?hAubczfPmQNBYN4>g<{_;T!azcW50V9Vzf z(8Ar>oFaeys)tbNWzC|zyG4x&;^LCImDc0l3pW_F*Cw4{T-Cgt62NmUEl**Ua zJv3(4YI4cXI7Qz27s>~Z09_FN44Qywu&uoOPeNSY-M7|k|XV*wV`P8d~SZzhT2e5 z6XPg!bQGNad*0pdp_ez7Z;ORY!`CnO-$Zk)xFpKElUuLJyYn1&)nE_&rATr#=kA}c zK@G)mo9YX`&dU4l`1fq$uM()@yN3qaH#AEI51U*v#d(bBSkB!WG_!@z&AV$B`g6r~ zZF3Oa)7}%hH|$f4_{Up<<0GuG5vqLw2UL7=i@MIP}WeP6{0&;={E-o)SSnw~F6>K4rxRoB zu{m#R$Z6z7%IlV!RwNj83~#^G*85rs}RkTvx6zvg{WOgqq~cbmqv)ohM)Z1`9t zaqzkpED@;`g8U9!r69DR8yUv6F)f$q*(`hiMGZNF5ehqTmH-BLVc-=&%mIw4jhNe* zi*H1bmA!9lI3H3m7>H~UtqhMWgn;ma%PN!LwiG zIsaf=*q+yl6_+aycvV^X6h8B!zKyNZ)kfL09oE(;l&_VovKGYuq17cvC>abR0~*4I zlQ#p&`5(<;TB7)jR(+ec&Am3v_79IBL!soYTAuHdPvb5&AMf3{+xJD~veCfnO|?x0 z2X5yQ?}_9szlS-#@1@=jZKrPdOmp9;|8<^jsZm>;K!#8hE1x@@vsUMW5@ZxS`*-9* z#G;yv;lkG37Htbka;-Ga`B&fvlA&?Eso}TUv=?_~`+eJ>tsPKwD4*XQFvi=?*YX|O z7QTK6Ma*;F@aQ3wTnr<_8ge0=yd6j`{iu9cyakapbSlDb2_x^UNubkGj@`m%@=@M4 zr96`!J-N${`5aGYwcL~{G$DA{DRcuyC==F$A!MXT=U>c*tV|AUSwcxJt{U|pp3<^Z z@K@7%mxYlLek=NmLH>h%0o|&)KCX?_J93;~Q-P5_k&FnbmtCo`zZFuy6!NOcNGN%i zZ!$)c4qZFi$)ABbu#nZQ;0&nyIAB2%B8ZsJAE=Q2} zBguP#I8 zBQzPRqS_=;ZBkbm#@8#fP0{)eBp(Nnt0gn!z~$1mZP?|6Cyac|&a5oyq>-kyZRMw( zg6#h#+ef`KU^0Oo$7`BxEZYZZv7h@Cj^|o3xCB zEjay+2X_MbD2RN*Z;gHdBSG~GYjyr=GA7+V&9Iip(xx>hc-Cv+eO=H|S~aJrlux5e z3DM(0+|251zg>#@AOy`bw_=(Fk7;J5@n2iKr_)7;ai*&-CXtW$-vp6cq2#kL@~MX0 z3@0}t$XFz~9!P%E5)=1#()^d!=yt>XRYP?N60S`wm%oLon8sh!7U@EYSpTA^pd!7r zC|Uu-q&gAyU|_$VeV1WlpPrx08zhO-5UCe*PV=gZ*g(DIJ3h&iMDx;ov_}Db4f#CB zd5b@X&3~8I#aD*Sah#KA$bY~#G~|mMCpC;tx=m86dSUOMg|y##(k=%Qy5@H$1VVA_ zyTbDg{xI(ld~ae7OMSeZV|o6JW!ZgWj_a)Gr}MqRANc_Vj(rnLd=J%wohQTlKnSaC1E#=2Dl*;)+no#GUAhx=%_jN{V^*qjG*jA{t(g&PXBQ{{tUt#;t&2H-ldC+e9wLH z?}5%M0{&b45m!9NzQxmhqv01hmL~VZ&!^J)K?fw7z0 z7qj1Rz>EPaI+Xmg-4sZ^4I}^P(6v}RG(w3pjNH|b@50HQ2=d>NyV zF>O7qY`4s^Fh&ZsY8WJnBN$P)sw<#V&9Qqr0$r!o>`NL(27Hb^tzoHE3CibrLm$J&odMxbji| zA_JC*chroxi>9nqh2EejIcm(BaWd!3GBHOt__)*X=@M>0EG+kSR-2uX3bg$)91#l3KE4mMpK~(!CiUWvqGi z6*RGr_=}s>Q0Y?IM)|(I_8XMsYY8JdCseX-4-V+ zk-7FoFivfK5epF%`?O%U{X$EnVY|C7sB^!qc*1?jD`pB41KOIQ|K}&mmL-XYz=vIy z;?5h!(6K6%2{1NS1~GCJtK5b~{;sIGH*yJ#_hn&Y^t#=a(2yvolVg7=L=~L=O%UV# zf5i!5y!6YzrI~V3_=^WQ_7%Zrv(_h49WS6ATZV_|#X~>AkzY{o>>Hjk@lkvY=jm-w zrZ7ew$OLH^O*j)4!GuOK6DBetlbGO8Ch#Zv8YTjL4HFs0gx}xSpwP4(v1mLKg|?w# zCIvIT&mTsld_-%>`INf_($4ex?VBwqk*lPH2)q^3d7tL6Nyo>L+U$ zIvm|YN!#W@p}m7^tY)HY77e2xYAV`Fr;5hIHtb#qhCrJ+c16wi@QSNkDN~SQ)~RdF zsIe2%DTb?`PT&+@2aybZ^*}CfDlsMaPY*D5KjPIP_V%775zZ}4O+@CpB+^PJ_|Z*7 zo<(OV(YPIh)2CT{0^eKG>&p1-|4T+s{RJ{n!A!KlD&psJ4`$0`kID&dCt!W8R$dMtgpv2Mo-U2Tz-qzw&neQgfP+k z0mK;#c|IkSiQ#XSjQPtSSJc@NX)3ICq~lzWrxV23LOz1w;IS0a!qN=%DuY>X+YUZu zuj1^s8{j3pp2v&2G1zc^FTt1U#(pTk@r{OVctIK~WTXAqP zm3QUAZ%vcKv&BOft|Q5kHs~wdjj_xej8{}5CQe)B|CMllqOZzvK86_7t^3-YURbkg z_sHcmp40Df8J0kAE@Dh+gHo5x&m7EizU1mlp?M^s7NV?GTxvM4T|d>Qc&pr)iord4 zMKeQJ2S8xY@|IM3C}%la&7Y>k&hqSIYN3|g<#p5HtW~_$lqy-jW7_*iY9BYJco~~~ z6~43hMH@Q|v5!TVAB$8o(Ug+4y8J>&w%7oF96Km=70WP)fn(G^TU>L28ecp+D0k8} z6K@#Iae7m`K)__Jc9$esFe~dGZ*M^t$$LEQFNBGL-uZ->CF-G!k2AcyXRWAUc&oU5 zy0~q+lnug-)aoKHulX?rYH7Bp#29K$U`z?*%!A!U{YfoFv=U$MlX{RHRRWd`-**>zEcYt?ySC`QLgc5WsIIObTDkGV=iA-#Hty$=S zF%+25vLZ;L#h@~d(#2W%Q zgDTJYIc+y+$EF?;Zi-#RrA2Gep{Yej9xgiiSkdvh{KZW@IYlSt@z*PQd}$lt&Ln^t zoxyWw^zhLJ&7Gka_grytq(zp z+J%~uPvU7z7Uto}O@e=~@T6CXjLEG7m2f$sOJ$R*`6UP$lD7_Jz>Q9B#*vDQ`zOWCu@tuDKuV}6~s^U+#eN4kw|f2=yHW#;(Y zjy771Jo)w~cG@Q~(;G&SGye92e2afv(3U1I#~*O%5FOYjw=Oo7bkIdt>>0{sAKyQ+ z({9san7!&{yejRu9Dh~T(G!0}BIu^%gcL}YGjERbq1{BjeRZwf(j#fyZQLydQ)TRY zzYclHCzR|?s-M`izwYngKTs-DFTGVXpeh>tdGUEQ|9hmwo#k_h7ylP{5?pADC_#=5Vj zCdaz#Bj;~nZoTMnApCh!xaBC;VHehwf|DK409d02beB)MHw&DBkTwLp>(FZSV8zXU#$& zN_*0x_-^ym0Qk+?lS*82f=7Qcra)6MH6Tv$-w4KPPr9!r=}&H&8o()pF<5)jJwwo+ zbe9JcukmthoJHm2v`5Q#Kp47tfRZ7`s6AZ17ct3*ahI$V(L%{42Q4%6 zAAthExngRfNzj2&`vU~J+l2!S++Rj^F4JT@N?#n{GL3j{nyN7gO8QZ*RHO=Ze%^g4 z8C^7Gf8SMgTt2>c9d)R;{5ho)b+E8uyq zx}B|g`=cM=A!s~PqjcRLOQYANjAyq)p7XSe!Oc1GeK+R>8HHU-dK3D5AC7Tw6OT@k zXs?8eUlPxiXfAQ8$xsp977^VR8Qo?9Hoc0ap`I|IX_%TXl^y%X`9qXF@_7a=E)lIP z%~jI#hkukf$(?c}M3^YwpA#uSkIt{>5~*-SJ%MP6RI1!o_a*3jH0xNQ7qsNIx`$30 zhxt$_^JpNGe(&5ijG3!po(N|ik6`9RGP5T#vnDY!1DVG{nV+?rI*cZR#%u@^?uD`~ z+5@^!CW|u!O=9K;GV>-f8Ig=Nf>{vGWNMg&VGJ(zsygGlV!Pw^S@xS-Vh(Vj%rotV zNz9Xh%;Jemb|mvu1oQK7CP%|O9mf2kBidvLH5(>a3?Z?G;5b7-lp!$QfbE87qYUAm zeuiJDx5P`+#la*)BAF#kr^8zm-3p~^qJ?@zLo@qx?dd+%RSdj*&2z z@3R$bEic%;PQxt46uzLR1e^YE<~U!a=Lk7Y;Rqnd`37${E4Jr2&m-|{j&pz>3|LI` zg6p{Ny>Z`F{-9a$*Bs{w{@}ow9Op~?A?lo>u^@4VKSW9N@dx=nfyCKy-y7q;A^wnA zaW}`=&c8J9a*lH!|B_izo#Q-&ynRDFCGGY&Jf2_jhs_FSj*RB3cm--h_Rnv@%J)UPgo&vY-r@M6juv_=(9!)>) z@la9%jcm8>EiT{7akDwxU^@9|9acpi4O z7g+UAo*{-XdBf9#nf#&zucAckyazJ{BE7dP-KQ-@&@*Sbv!Y5k8o`VsjLAbHS9RJu z-RIon=;!EJRgUv3TWVEGecExB2EKgV#KU%fXR?IS*6;;g#i9M&NV#qW1q~<|L7`94 z>~qcp3OE!`*rnTvL#l~P!RaewTECl0-}BRQoY$^f&zW+ZADS!?l+KlY6Ll_o`k)nh zk5J(tX#&ZYe0qJ((Mx|Wp)OL!uDQ~6bclIi4m4#ijznthT$STo@2O@WyU4!gvMCbk zA@+)g7+o~d_8-LL@qMBwZ%LAmEuqF*l~|p0JiY&MG*aB=!M=3wYMsC9C5dP{TdC5o zHvYxK->pN)10g&de(B+$5Y4BEw2!%$`m!h0!~dts(Eq(MxY`BP%fDp=K4DCWhFKoY zJRiXf*X%_8Im^VRT^wnU%r`74iw^mYKYLun&q0 zVoLdpHhWu7XUZ}*luy9ngFD5MRGZS#(`N5XS#A8XIn|}V5T+DwxmjzL44by;`qJBS zTbI!DDpw_eb|6z)ld#R&rfar3(pzzOLiNF{3S(XfWLDz>j?Vqg$G8mih%ks*!)HVv z@uIwzv0)w|Xp$g=9cfgG-@9Z8X4X_?@Oam{M%$V}Pto1B$y!tbzZxBu#GKB{q?2t+ zh|@`o30|=YQgebVK0y*6Es2{fiH(+8CQHrHGSg(4G1`lp?A4~D!n)_=Oj#I%QDJQ$ zv;K#7hHA7`DZ|kpYOAyb@!v+LO4(FNRH{tMD#fhQlm9AGIi1X)lOD2(3zddwDHkon zcJ85ceU_v~$Lgeq>B+ocE8Df(a)+~A_h`P=FOeOZV=RzyI!W{r>5e6bY+SVDSmr?- znNhpCM;C+Kp~7*x;&kQr2?Lkap%Z|+O>0;n-LW8gf$Uh}9xLq(Tzp@r641IKp*K@* zSh=b$6YJoqK?w0O%pI?4xir{<*+h@cuh?zrbkw4LTcSbJaMaMQZAi8l9Cb-1LtnF+ zOIu}3+ip(V(WUALxUK@)(5>@_`Iq@?@o6@mw-nBaHJmaoontASYc`x#bZ~>G{5mEZ z3m>;xjiyZ}j9g)QeL{TUL$PVKaRpVeg%4W_XPXMD&4sf}Y@gW@#u|=rX`3vT&H z{&J4BiW=zumPd3N0~?Q+9}nUoXYgVRYA1puGu?- z`ExWTeNVtgb`*?nidsa5E?Y7f@dN&%*A!hr*g~@`VxkV?;D_O=%FTVDt_s+eat%bH z7f3O-e;|-ENeh(XEalphGD^{K)&Bfq9OS(j#dhOLr?ndjJhL|>$C><*w%^PzE2{XXq)wGh{#vivrRGN)CdA!l z`U@>1cly7I`-WLD^q3bXu^@u!Y&EAk5AR6~hBcD-QszW)M=-V*FiLG%BUZvfs@B~|iCrUtCImZ-GIZxTdBH|J_UCbtk*lI61H^L&UG)*hlm{{! zHO$sXDu#cRGV~@+e62%#g<3+{0za5@yOCP-L|E$PiYfq0qOiy>H1U0lZ z30k21Wn)^Lt8rE_+M~QMKwc=q^>-C)^dmd}tDL)Mk?E@4R)eN^33&{Q#Io8TObcH& zFJerKh;fnV;!HEUf8fZ*UJ3sJZa!O5Ea?FoXuNun` zYA}~*gdXm0>WvgrW}nE6+fQZE*A(VH+Pw`wV#z#i$~@tP&S3HJ;T|75I$$2$`&@ma zHRtZrMmw`%ub;OfUD4|==~dW{^x4{vVETu?U}0R^@y)+ig8_fxje%=Ee`3rtk6*y5 zAjj1vpuf9w^>%{32)?P3x&Go2MZ+L2#SD(6G`tM~TzH&O8m*J*jYKL(HJfzK{yw5=?5V}PjafDkF=tx)5c13?po?qdxPo%xIUQy>N@pp zXNbAa3w9tY@RwvMj0=2yY@+sHbK0@43H1J{{E7C!Y{|xt?DZ4sLb4?d^}*&W-<_(4 zz_`ndqg=6fdd2U(>w?UeB{|Oj81;`&JI;?btGE2qN|s1))pOIv2=h~3#-~JHmz0Xv zl)W9sERi`2Tf^OQPQ=aZwmjwK7{MKoTq1R4Fs5~F`F*f=oG5N)SL_0L|C1FTg+Xd3 zb~OX~pX6^}*d^!0@^*%s*%hB9s|&)Q^xYqKX5O&Yt8JrWxjU~xSvPZUlwQ|T#fx2f zbL)Z56S%6Qz_|1h--n5@`G~jhlnYNE;YqgxyN=SwG5R=eJf-UJ%=f6Be}y*9w&OM@ z>>daPomgg|mo2wN;i1=w`6OwkXpL25Sk449+e(&cZCYs^Bd=A-d#PE<>#*-0B(*WL z*+J3Te)k*(SD7&CxkNl9Tp@GGj`2I+nnUkhbD774c*^w%o!1+V5aCI8?hQxiX2YI? z^g(x$_8c}$mewc0*LBF4KqWOE5sy6jksU1ofzI@5>xcG&M|$a*Tgvs)F)bpw%wM&x z5{ymg%US}iSeGTF@)_t>`O;1mmq=B2&3(})5wj}cB24EJeSySL#`ksQnhT`n0-3qM zYdE7V_iApL@tr!nKZENf*U|Rgb(NxKyXg$QY(Rzq) zqxEoL4}H+1fCKH^ZW-Lh-CUaww_Ey>4S{x=;>qRx?mO2nBOJF|?mh==lUsy>TiO(^ zLhl=@ZMt!};6SZWI3MFiiTg#^t5lD>4%QypcV^_jM)tNGYB|`FfD30WyIT$kHuIXG zmG6yl+qBiXwFz`{oLj)~I=MWxXe&LG)>2~=`b6An;n-J^6T`_N9*Pg-*d7G0xHNbj z)&1a@)-yHzZ7?=x@%{uu_br8e+8ywW`rpWfpc=+zOkl3#%OPrS?-cJ%^hAt*#gRUi zk}cjda!f$4MI2D8lk=RX1^Qvk&Om0DhG__A>LZxCNTzurvwITL6v{NV8}^y@8xL?D z(Je8;OK{pyW^a3J1k)1Ev}%~PK&Cy6+0$WZiR(1e+ucH&iGJ{Q8#Ok4f(ZT9r71iO zAkz4dlS>eDZJUR+ZQ3isCTapHJ53FvI=SGHPe%PM4>|ch^V<))?1-)-su!%9?zxmlCWsYT76q&gOnb%#4MsLD_`a$M@{<5Ll-7uu0oXh&wD1=$ie)E2rn z>1z{#F{Zk1wPg(qwX{Mp5ljc7ViOpp>qX?rv=!nN57(hUoz5K0aGA%=58gJNx()O? zoh=J*YSD49P6te5597MzV?4sp^(La~4(B=7xn&AsI`{=1G{${*LJ*J&wF)WqRHBxC znlEuR-dv$XyAv^(jj3z}*R294L|GCTytYj}9s%jA*~laaxb%Ah7W_cKaklpfxQyB} z@(U*V0Dq)~9-X*#i946w8m23p>4dp=sX7BVc0-5SeNk-{x(F3;!rW;?asVb{;nEU7@Ou;^anQp%4WiC3CT-31&BIkliYGt+f9-lWWrhSQ4Y{nP4 zd=7f)DAnF`q}=DI%}(TM4h#!r@7Sffp{#=C{Jrj<;iE!s`nir6??&F0QLvV$P-a(?%X0 zS>U5ZfnU4?%z6wf16S?vRp|;&zr#1f*MV10hN<59%0Om+i?&_g9^GzkH?-sKZMzX8 zkgna-E?m|Hjxg`VY1jdfR+Fj7Zf0w6g&jMen5p4&KsnA`^w43(mq56eI_8Ao3aPX= zjbQAqT%Ih|_h*ST6%Q_$3dshLE%Ef$N*#?e32{cm?fsK0QB*a{ZG*VOafBa~n*%)T z7tsXhWm&=uv3H7N%9-T8X|ntWLYSkN9pPfi<8-Xvk$Z4Pe<3P%buBZ}8FQHNst+%9p;Xj6LMt9r_&S$sA`_#WA@M z?|Bmsa)vT5hcRa~j3b=si(pO%GW|Fw^1QsGh0=keW?tbl_!%O-sAPt?Eu}x*T^H`U z!X4vfsgH*S7pWd3`ZC3gmr0=kx;Jn>?uM+s<$jInI?)W}3#veY4_CoXuU@$${_VHNQ+a!Er}_w80|Tf&~iy-A`FTwRvnR$|nR@wlj`zp1uHJ$S3# z+L6$i*p(zQ1&JumIbg=#xZQMl_h|E#mJgyLfEIg074_g{54HB>j>(-tp^Y7N?;w@+g&< z4Hb!IA%|FO9n_AOh-Ya=)VU~x`IYo{)(?p+lX;nSeZX;{^OegfQsG;Uf?bF>EiqaN zf2h1RQ*0J(=vyc@i#ME0aIpE6zjP#R&_3!yaIodI3HgNYsC2 ziOv6Bio}tWzsu=eC2YI~F|SQveqA!#$g#wjNc^`2l-E8ZtreAZYdMxNCNe_ITp>oz zTI5j=%9#KWYmr1fC|Oe(AI!WS!fabxX_&ygv93~ERvC%mEU9F6h)r8-?T2JhYbq6M zE4|iLO3Eskepe4CtrL}1!(VN}G3;cnuc@T5BqAuH}3LiXX1yMC&Tw6U4+4 z#=HJWpM#JXx)Yg1klMvLM&aD@h|lInCh*nk?WSCO)0d+!{9|kDqRr8}dFt;GL}0j{ zvodE8&7A2i*mVW^r8)_;(GTuc`me1FT2~p?Zl@B`-9OwiK8%r)$mP#+N8oX}G**OI zmp+vqK8{`}Q;;jla_4(;#mhFw`{v@RAhtdnNtbN6(QzipW?feqS7!5iIZ2dAD?q3l zTLP5>dQz^d3@EE~x)U94oHK40eMNrC7SUu87UTIDDKT1F*uM0ll<4*4Y>7PNTFS-r zi>vz59Z3%MVu35UtX7U|hpvG|z6uA%Ty+JxQ*JrEgJbzl0WSx`ge?9Edj z5+tJPf6USQ&UUxfA>=T^?>6QVJu;#W$F%wLzX|_dE%t4GWPsMJR)&Y~l`kf3Ke{K%TA~o?|==il$Rc!TfKi96~UE{@+mH$417HFm7+X?8oX#&C{QKH*Oan&Wo`@)4M`rPe) zA)FhD#4%z~h)f(PTalP73l3VnaE5fHMDmD4nyw_N^b5hfwq%a)>O2V!SsW~SE^%Pc z>O8y?Dw4{)GG~nXUz{ux$-I_6K>4oDQ}MbB^S#m#PvuXav)D={p!{P*BDIT;MH){z ztjP%)XHqgpzB)>T;~h#}@H|&45{d9G5E+?#A0bznS0u(?)4If5lVchuLgz0(78TtO z*1f}S`INK=p`zU{+loY^N2HK(YOtdp2}QI1SRToW^l(=@dpA=;*8{$op=&pE$Y}2d zo4J-kn_WOjv0n`O7p?H2uCiKLU%F5^Uaqc{Kz45f?T!qKh$9Q_ZPtGZLH%4OVxpgB zHa{7&V&*4^i=n*}j1AE-iL^Mkg`hjO;V=J#L@xOu*0 z%lv>*e*-ReCmFKHnXE1IsntOMFdp^KpZ_nCB#}SB_#`Q}TT9AyI}&V(UWK81GHR3L zWubc)Y)|&Kg|<_UvX0OW7t`Qzq;oE$=%?lK?V^fV${py{gxvjE*Gf+&ZJsaNGC!2+ z+f!gqX}8Qrb$Wyl$}Ra=`$@`J%171@w5v9TA4^;HK*;e&^HUB%p?z#$l3t4&m*h$% zh^^?7%Qs@dk(%FE+jpv%7v+))MVeaS`)J9ScU&on!>4M>97!KqOH2i9DSc&;EoInr zhAkDb=_0mN%%+RkQVE+bVN0cKx|A)IvFS3l)Qe5`VoT+0x|}WbX4AddQU#l?U`u`2 zbRV`<$)+pWQeQURmo4>U)BV^|e>UAeW|K-kS4GA8pmJpwB&p(i4Bh_TdmfO@R4WQ6 z%luPi`63CcF8NpR&eDC>h8MaM>Q{9o)~)VLLSCr#L#uLGfTB}bVtTBqv^k~fh33?% zRn2KttD7IKTGO0fwYK@Os&&mXtIC>ZRjqHHT~*#Zr)op<<5e4*pQzf@Jh!T%`Day| zo99(+X`UamDMddwO$UGbV7lBj`{}+U8 zxeNR+i5OUofgSi?0ami`E+LtIBt^)B$2?mG;AucRzz*mKd`srla=5gW0{E6>Fvwq& z4p;=3OB#c60q_SE0XV=Kz=xzUL?T-HbI`0!2V|!%nw_Z<`1ovs{M~@#03L9QNFayw z*MNz{dH3tDfu9@byi1NCI}zvNfjskSK^Jc>&#Se;MSgGSAQWY+Jq!RX?+h(4;r|!_ znd{_$Pyi?V2LOl-|1<#PsiSmW2b>~};ir&y5#qq3&JK7TaU*~mq%lH?w5N%3GI9Rx z9pv8u9e6{Ih~t1J0Q3+6T}RM3{9lTQN&w`GxIq^vD(AxhDwV$zbpH&v0r&#&&9hIY zFCt=;n*k8#0BRAq6ebd)M4)kEH((KIjQj@hI{1RNPD|#=Acu@W82=~2>jlI?=d2Yj zWY*z-Z|EQw+eaEpl%#PP(w^6n#$r1yK2+*Y<`D+`?~n`ytyAc!J{eF1sDwUB;Wh~W zd!mv37@^5U~^Gu1C2W zR7jVArv?6GvXBR^33@Xn1N6xAC0yve;6d0b(h5E#jroW#oQ6Con~A1_w;5$IO8|=y zpG-)13Td>^xKn`J0O-tOd3IseLZnagiovMU7z-U(P>xt7T*w}q0rxmy6OkgF8AN+g zq!SXOLfU1(EkF}#jGG2n4#);H0CEBSXlE#2!y>pUxTQ|#XQ(wTXn4Wi8el^WsFw!l zrlF5CTEQm)bgXya!k;)Bum%A7iFU-Lz&!^5ZXyr7QqmZI81a>WMesu>@#z4BXx021@{Wsi7 z0BmR{wIS$$=>zb9Tcq*fJBS|v{8{*qC-Zh5hX31tI;o8?xd1KXt0eOpq2EReA#W47 zDFE0R%I(rCZm@xzZ&I8cX12+(N z2e=kM3SbccbY>(2f=J_Z=yHO@RyEbHRHq>T)jV{0wyGw!*anvXSpwxcva&%|m;1XL!)P zOfa@NoxgPwX(}P;f)Mv-B29x1(j;WwF4+Dq^dq}+0hNGmKtJFX05q4(1wfu9Ljdqz z@+}}A^g}U*1d$AR25DTn9&P{6&i{7aMyZ6N(Ps*NckkYHR^msa$A5I(qhsMbQSNx$ zeV(k|tX}+O!Mp@=LQ?yl%KK2LmthiOe-MR#IzY#*`+nb}F&-bjl+0_=<4J#7DdYKx zJRXAa8viIF%73u(y3-dfR$T{?2eu6tARtm4LU%Q=OC|h-@V!SRycALZ_y1B5@WB1? zZa;&y$$dYuSSR%YtYw1rEGmn(b;nYm=mlI7Zh^?3!QYl>H{&~nlF#u?huyoapo zqt|PfF3DfFBtL(ZE0n*sq*S|PRlyP=s35Va39*z$N%86>%Zr~|QIP-a@|8>SY1IEf z*V{u_;p_c<+KBiedE#AAnwKE(vS{H=yys22=S_AC1D+7sI&Hm0`$Lxsq>a@^uYPu2 z!KxWrlq*)NKxAR*b4#dV@{9A=73XUUSFJ43t}I)Qe`$lbg2E+hm#@*f(`Xc#7N;#+ zQoKf6xN?;?e`VPUTG%-Jw4jxU^dx{bDK0^~Vx_ip$(p<(#CyU81*KYK%Uii>)!NcE zR3bO-!g&i9&Ct^1;uVxO>ZKrGCmNQJN2{~wZ$*Pj^2!!)iF3%qiPmcRP z?0pG*omH9ldzU0PTaz|RLmS$Zw%F2rp)IAQNwc>}6Oy!)LFSSsH%(|ZvUEX2z}?Zh z?;AScptv9|xQ`>KxXqxV;DCq>Dmp4UE~tp}{r}H%&ikJCy*I#oGx+;`zi*=S-t#g=LI{*21h3Rn%AKt2Tw8Lw)J&di{Xjs z8D!vAl4GTRbaY~%-wuzuBd2CE)2scOdR{|EM^_^wHqK5>WyWXf8Zczo3nz;LUQcGM zA1xd|TDOsAg*9oIE)+Pn^Rz~@HuDYFvcEev=1W2=the!4@`>z|&^Oi7hhqI>L^ zURyUhGM?GJa&T>3binoXPaVz7)U`M5l*;>pY|0#&JzCd3J~Xk@jIlazllM+Pu(P;H0Su(z?PxrMY{|KFXS0KQS~T?bx^#Q(|)c z^p$lsNWou(fy~a}SZ23lG)!k^)~{zhr!oVXk>i;`j+?#xgLTd0MnJLhk z$0lb^)%6^%>&f&_`r$qeWA?P0CUlQXI;lJlbA0y&mkf?yc&VvpUC|{hkJQ=8FqO37 z$-1n_q(cj3(ThqW(bv{_O`Q#OeLW40&8E1b(mMQIf_g-?sgYK0+YJS!?;0BFo;ZQdDrG*cneoh2|4gQ?`8Z~0k+0M&>N6KdU#;;Sltv<2@BJqxT!+Sy`qXZ?xE91Gvh~R zhOJ&1FoJqIv0Fx_#-Ld7J6%S~HZN6Sp3iSSnHdn}hAGK01^wpp28J`zcXQHJ5239n zXgqUtVrB#?6>V_x@XH^Wt~)w0!DeH8bT%Als_W~j6LntK(%#c~QA1C2T~BjkbNiv@ zCY8qiv-s$;C?z8m2`Pl1~uMNVM zZaH=n41`8$2qC-A$rkOK}Zx>14CtKo<9n&21v zEj~NE)2+4m#CSRDRqzvIZ@>20_(Z$UgzwLTU!ry+!iay)_4w?DpACMi*k$lpf*-p| zO6m4S!M_9Hm&2cT6+Ul(Z{%*TJN`O+uE6It-s!3~&_54f7JLT@_%S*WFaBHj6yVd0 z527CMUBnN=uYvl+KZ<SnL|F@K0V6?lbtL@(SWOF)#40 z;}0*#^9mBr_v#B`$-MeRjJ!PZ@`cB2a;HZMq_2mraMDXCo=0B3@R&Z4Ooj$XqTFln z`n)!;+3WGTyxv5OcM#_!d*Q@b?`_1~z6kTV0jCA#FHz!c0&k1A)#GV5|7uZh{6S&y z?{qo;J%|68KjojY{E|A*(RBY$`MW6Ljj7&N)lVB$#n#ATLG#SpvP@c5zp2Q0s+4z#TLCl6bGac=&%EFbHz z=iP(@vdGV!VWdwl*3XyZ|S{ z*605goDce3Q+{!c%se&hy+oj^uySb(W8_I?wx$X!_s$!1B-Bf$slNgdf}e6U$##hyFhu<-g=E%g31; zt^!2)cVX$1Kc1&~F`gvbKh`h4_*0h8wJEQ{GVv+=AuNsZcY5_8(jScSKlE|S$N8D( zt%>q~|B%mr1iV`#{KOu=e{pt}J%17}&9~`sUgpIrqv^lZZuvMf^I~s}@RN&wZ}}TA zzJWm={IPw>5x;&o*Yc8g2W)A7@{ zG0OjkgyrLG#`9hf<^S?Q%g0%b=Y1@~&)>e>=l=@h^U)~(mA6~|MGvBW-;VO{`wz>< zIgA&J&hLV?Z?XJKS3o`{Ah}R}1y_FF@?raU-oHiiFSz4FmVcxKy#6Tv%kQv!tmPPh zQU09^Eg$;;&pVaHf1BkGqkpo-f5CTNX!%Dl|6|*u>A&mi$KfXMqWYuY9$&u09Xa{G zwD}MB<>LRVKfZ>a4gN6xvwtGL`@ZX^zXObR%fzSf@BgahkCcPf9p!)jb3Xqv@ODS} z58UeWuLrL=%Kxd~|09n;K6Y(oG%5dQ|7p`7s{{WF(e%H+#_~rW25%7$m9vwBzo0bA0i^1ko$??m~F z9exk(hkW)5{^Gw*pPZSPPQ#a(9vPp>$Pr0;xPN?bG&7ZkQyy^=nJ0-OxuAqEGS?DQ zkM_@`aiEiivvw+zp6Nd_nnAREUp>-4Ju;BSaY%Y>VsLgeV0CVugdZGnIww2(k4}zc z(nCBOOZ#VIwnm6@U{U`Jyyod6I2bvW#`y^AJvDlKl)S^rn;p-|eVuaQZkLJ$>ALPc zN|GCnv02Pls=v{fkDj{`3+tCb~0rvFBi$MYsIE=*X4 z2DhboFqEcecQ7-}PQUPGpF)o}DL-OgO%9Bt4WZ}2k%@^Jp3s2XbBvs$WBmhZm-^}% zc&5`6Lqq8)=GyaNGU1S(qGIX2gvUepB7ZltBQGn`K+ElN05#P=mLAXprZkU5z-;-E z&zZ8vOKI^5gW1|OFg6Zh_)$!ITR#$}BWhb|n1qJo#D|P+5r!Evfyfcbi@llEz3DoB zN2NZ6&VehKU%$Z$r;lJHBh9UqksP{@}k zc`7pmhkhDff1KyyJd7z`rmR^UM5c$PGFQxI#s}DI?YAjo`qVVj3}DV4=<%rf0x6U9d}v#@*GzG%uN5l zFoeR{y61nru(%kh133L;|QwN}UQbQpwoqXIC*XHc9RlahR?DGy4{r(J7k{+YGh zhj7-7_AhA+6v*Qs9@LGIe^AHIruT-=rK4Y+Qnv=IWtydw*IvxWqA#z3QL>G%RGZBHz81FQq4E zXQ;qiPijh?D46@~bd5=Ik-=PL?~g%#?e(T&dvPouXV? z?$fYY3R*|g8aCb5dV?-wY_Kqpq%GeU!X#Auz{DVxK-)_lYM|KLKI)L|Z4X3m-{R2i zJh{lB{`Io8PUl|+BOwL zbADr!DnpGydH8?PI)K;MVy-KpW zul@TPW>W@W-yZ1~YiQp(OYWacpBx*RnaR+=*!OaWjIG0Q(jHJ6O@?5G>_11TOq)J3 zOZC0qPb}8ENxuK*Hn~(WJv}?g>8&rWBA z336wXy6EImPMsXzy%+_9H*fKIS0V;=T>#?B| zjl6}^D>iEDD-TW|>9xA0^~TBB9V}vMWD*Vt;gY3T%zwI4L#=WdDv&;Jg;|-5YO=?s|`u6M&5+&b5{iCy@ zRDLDIb{0H@R$F>|d6?cXiU{M_uk`Ak5Bas9k9ymSNA^Y->6b{-rp)mXNYQUAInSNl z(JRKr#*JadJ1k>Ugz=A-u{pwc&@#4!8T)Gc;M3^tpBdkX68G(~p-l+&q^0xCyy|)F z?DUZ#bX@jm==rv;bcm46L`I(X1V%(&iSgyd{uOe~(cbvN*&S`Y(T?26s>Cmv-O(}! z>%|-*6yAlixPv(~l9>vGB_k&Ox!E0EGsBswUha;g`Ad{UeojFr_9{6d=ET)XjtDvN zDJ4flECTo-1yJ57-@VrDV~{{|cV|EMDy`3Dh?fCP_{%n|RYjDxN|WGZ_e}4VZ6il1 z$+iiX*fDW}w67>_WSo)qVsK*sg5JLAxG5vu+rT7mC`R=uR;Ar|dbib}#EssjT z3Ziwh2F3FwUy-PFT#0bsWPI8U%wvx7Udr-*`JgH9-6(GmD#aA|a}BvAlB>=(>o;tj zFtNBI44?4HA28(U6A-iHibC27T2qIOhUbZF>zp*P1A&>4i2)P$)d*?Lm#S1?vgl?|RJ!*tqs5R`7mD$jGwn?BG4o9hNp5)e=i*7p=7ugxJ#+$*NNd78YJ;kQ z-=E}18U`=NZELM#-!M#=VZ3($=c1+_RC^jL+L76rjPgl-4e~*`lx2_&7+&l$4xm@w z&hMBD#cA9PhaSSUc7F)3kI>T4A#ilcV%_@uC=+VOGTRn8%xt5r?Z*yVm6Drvd&Xfq zhIji<9ePOf>|5?|hWn?X>2N6=UXFodnHkQ5eOEaVM3+$)85?rnT~Q_rg&}@K?ftb5 zTc)&esO*0Z)svA~ZVk1cGQRIWM8sa#i7SVi#`-akyQN~Fc6~xf=$+C2kujg#y+z2~ zvq4N|f%7n&ajt!fX4m@bp%a6;k!$}5zgjh(&j6&3>N`7`eZ3^l9HkFT2D9whk=4F0 zSO#SR!v5>W^t6XJ(zCVC{)b$)yKU=O$ueAPGv?jSh{@X4N{mm zk>!vG_2``ECK#BQJmsHcbj}W)kWR)}Ps=59#=lGQplSto1c|B1We<#)WvBLmZ#x1%mju9MaebT>soU$A9Her!yzHlq56wJb&0d1N*r{ELo{y_S34A(PYukD%BwW8-sQ0T{$?8zw=3slrr&zL zN&TS{KXf>fOgNljn7*T#;~6>M)pKd)ncU#S86O)VX`e%aSDRgs9CB!OSWTZAA0Y2# z4sUwP=7CL;%S{e-1`A&Q;N%PkVbY8g>E+20Zg-Rasc|`Y#8$>sfC~XC8p~pa)y!M1 zF^Fhs2R*CRGK5RAm#AJM#i8z2XOEOB`CdD;EtD*qwp!a%swY= zh%xIhW=4;&OAB7Dw6U?-G%hk=)gF;0zu?0W`Y|{SGE_PR-wrrDZIE0Z4byBcsj`3$ z$DqkOwJx9z^!rpZgjf^0`6j9Fu?VH7N3NtEOqqTVK6*Ke|5nX)1TCY4{d_+irnA1O zdmLK-)DYtf-KgxrNFIeoWm`Jq7H-izgy!T?*sF9-BYF#QV8qy0M5z5jePuu$#N`kZ z_X82C#{F7AJvk~mqR5EX6qA;x)hMiJgGROVNpq%E)D@;1$rg<}WL%2yvpF56``LUj zOt;y5L&>HgK{me#(``1zrD3|srg*JGc4|{>rm18Px}VKxIKIv1@03hY`Bf=4>QIP& z248ey+SIN(9~huJ4{|MbG$e>)lUQRxmcM0O`tSXu2I3`}B{YF(dlLsgz=uc=+^WH% z`>^s3$eFMZ7LoZg129nZ3oyqfj{Erw_fH=+NxzM8HSVOHMOyqNW5Vb4>C@%s3{1^z zT8C>Lr`Gp+8-^xEk48XJY z7>*kzrZ?~_?^AiL2M*RX^w!});I{RfylYt4Nh!Ee3VyM7x}*;Ooh`Rr)*VL~6I0J% z*{7uJRZ{j>ywhbUyX@@2iCOI7|5}CbV&O47Vkxc1e=VvcpZUU7>fKU!m)w&(?LC0q zY-Z+}FZ+Z@eUN3x|LC2b2dU574j;tSGhg&^Df%RfPT*ktv_bzs?*oK7&$!p48xe)}Y`m`qXhgwju-TcsUKV_$yT5 zTB*XXScTNxsKN~Xdx#xBI&lJff^~3N$z7DpGpJ@0JRk64$z+TLL&aFh5B$$8Dko>w zog5et+z5GdUbn8RyKkp#)75^Om9w$w-CH(;h5wFjkr#M{_r^yj@Z24>^74{&W4{f{ zp7$|)&0B>ESLRQ0U*x?lzTC@~ts=iB^SLi3{igWxR6eeKm^d~0qjs5RyxRQHBN`8Z zx_q2BbDKlX3VGQUyJe2-gB(-&$I(Y7RY`t7_uR~&JimX7`C6IZztw!L$?uo@+EO+~ z*#P~zhKKUqx~*{Qw#u#BTCX6MQa^?1rS#COY!73%6hbDrKOSbIfY?`yl3wv)tncmP zGsel;ESG4Z<>E{7O-79QO^Q;lPsbjHEWP+F+yKPskzOcB+H5*;Hz>&CmXlfFUR zNE?iodQ*DS25~wY!iM$hga2no<<>-=8OZKL9~|()|9Y%S|FuH>oV@>wl}HyWX`C!d zx-60sPxrX`|)*m~;UB+jn@>J-+(@xoc8+_WvtEo*EvDXU~SN>ZY1*{o4sRiO9q zae+b#|4T~l8e#Pv%+MJdyN+dJ1q@N*DeO-1vSvJue;MmW)=0BrGwP^fQ+nObG$Jui z8X=|Gn}SH0I2S2ROd;b8g5-9r(1widuDQXN=!q{cF;sK!kFqKEBbvK^EUVIHYESMH zm&BLX7~(L@cez-Y7{qeV6(RN)TmK`Y$AHM`B~mHb%JOJ~Yq1LANdGKt0S4ll7eevd zqz!T=68i`$F8zBW`xn2M;3a+eo8cfGutVgZsFE?0*dohP;%prn*GbYwEQ|65=sWu5 zV*BNYM30p@BPlV31~lzW8;qZHZ(q+rpi^RDOMDgYByij%-owN(cEfOHln)o!btEJu zg0U77C)t96!6QfQh)g_@N;4Q9j-)u9kn~_m1Wn}xDBuJ2#1m{ovK)3sF~2O4Ivc8K zuS|s`WKh6M_#8l$i23eRn!#|Xpuh}8y_3}cxuz!9%7{VOcuq*bU||IDvn0>M zNbw3_0qR9N9z~|7J*?t+g(?3+JaXb-_ENmkj}u)JPNu}5BrBD&V1tHNl7eEzOBOw{ zXR%h?DUfQt?NKDUR)M7q}#fB``TMjvAhkCecsiSecsDeZ7Xe5DCESIk6YM_8xlnK^rg~wzA>G&B+1!)v?FJ4VI-;N(U9OklIVB1%MH>sQ zFr%&D#rDgqkQPG&$X^{yMKZ#gRm;HxjZDhBKn3r$DZYRB3xeNy@cYCH9>G7csqYD1zp4=+-EQdc38Z+KP`||0WU}>rJ^>reSq2iO0=rJ zzkPy5@LGWA*A%5bt_QtEZIlT5ya-R!%?C>1Lc>QX9Ogyb@E092W3_19emTKGYvP$< zTMVURNs)nTB9dyCv7$*Gz=jpPq9{70W7sg9XD;M8Ww=9GRDN-ZbWhuNrO6Dn1K@Xzqu+zn4gbl~Oi`|GxT{552D1pT& zGAh|<}N6Mf$*JAyAT}sOgce+FgJr*n} zk}elU(BCDiMVLaxqQ|$@tiomys8HQUiY4cZM1>xHzDN!vDxA>k#ge}jq4K^Bnf?op z5*TBUD&~EJJ_E_7xGD;r7@GRPh6z@{5Y72Koc*!NgZ1H+ekw&>tM6cpePeH(OVFE- zok!_+Xa`C!VXiKq7$F_6u#dknkZ$a30;}{>(hsE%C%p<}Ja1=dGwcwF0M*?Gdqu*H zT^&tnA;gscB>iqBB$ROQ!2SckHdUGw!szL~i@Ui-mHq;~JZ~p^dES0>E?*|GZ)*{L zG?ivB+_j;%P-c>l7IZ3!m?0#pUM>BxP7n|rI~H?;QCwRGT}YDqP;1_W=s=M`*`d;& z=05yVKx1QbZ!c=j&;hih{Xjd#VS~+w+k5+9!!g|4+zmUur{`cde!9V@bRBB$Y3b;? z2u2+v83EC*(-a^=GV>mVOk&r`G$=bn0hNuqfm4tc*Aq$$3b^c{RGPuCv~3*@rrL0s75;W9n*p7gv0uf)g;Ubs-e*rt+#71Wg}lpCqITIL8E zCD_F2$zhuC%mB)i)C`U!(Mz)^MabOZ8M1D(5Eb2GX;ZRQ1&td##4{5`A44DuF6@|B zWSO}YVqt38RCjY^*+ zsxC1MOe41{S%zMkPZ|t;hth%Qz;S4ZyOel2cQNMmAhWJl^1$d+dI&d0@ch>_qDdKS zewfh~Flc??uC7VZp$y8BcQ^H|i{W(#JTBw%;*Kru^D~>}t5|s}$7&r`IyPTsD^KFH zO=QUcBh<9)G9_~e;9@L~{0(C;VBk8f!x&ZuQ3ITDKI#>u4>dLSHgbWjSS%KXty2Y7 zH7~^*ipEZ>*uZH(f-$rzws8`$5cZI24AP2}=EN#VVN~!X5vs`;^HSz|IxiWL)`aze zw#>w=aE>6e=aPm@Kb3!m<7`S>IUkuVt}*1;&Kga zkN|8T(a#kxfEv&{@SLp7?$2C^)V6>LfQc%GqJL$+LX98xc5u7C;<=&;LU-#cUc^~C z*<)^$vmr3&u(M7d+Iz5tuAj=dBbgcW~Ia!XWk77nEdy|2Qlf(FPb9PPo%)1o7dz?kmD$jq=EDV1*(A-QiMDsPxeMi_5H7=-dx0PAPf z-W2>0f|(G584Ov{_DAi~8WFdoc_|ELeAP=iIw_fJDb7J)ktl9S)m~N(Vcmd;B)$1e zaeFF_+b`I!@U6BweuSWhVCwi0!uX)*Puk(wWlG7wI^h*$uS%Hc+!iLJ?A=n6agCN<8%d=Wqk3jMmw<71=L*4ZBf9yKSrV-@^AB8QAcrXztb2 zlr}WAq`P{7O>bb|X|Sa`n>%6ogN+Q zDk&U>K&~~Px9lTPw&XrIb=+M3m#h;{*fN9KGw-b|@w*tLX4Dx2UseoOspegb@LSo% zR@LcXHZw@NQ8GWH$|l!-Q(`UjSIy_Czs_hgD(eiu*V(9(Fs`&k5@kY7@iRVTJ7Dj@ zUa_O;L4*Zry2YoqHXms2X@@`2&+y3XbkHMboV4YT%oRIm(sig(0=w7()lLwUOtL!s zsL#t&l$#0xl{@1AWlPdvhOjLpU4)S>B=a)>q+$MHl|?iN7f*^!v=Zn#tr7_z;{J*R zv*e%gB^rP5%csp9;4^+m%Wq`J3D)|J)5A6+G1R{rN5B9~jRlI!*G?+PMfa69q+1#~ zda)6~H~7)vhDCNXvqmMKMgN3+ssLCRIYG zdM`fEnC|Xr?rCmlGV{igF6wFTYsNN#ou)K+bS0j4q)*d`3BQ>^K~jgsMQEX^gWtYI z@5jJeeA;J(D*Y?8X)!?B5JkO7i+*JT@fe6z_d{sr&Fcya?m{kLo+)%Zq7ARNsk))qVm;fw zgN}kc4pgpT^=2+Pn3|dNs{+$)YDCL`1&4}O4h&ISSKk>+#2CcyCn1Oeljug=i}iB^ z9hTpc7ga)8XabcBe&i-d;ED0G2UX8t0m_E71Xi4Teidi63Oi zBdN)WQ6OC*-J<)Lj=}T^&TUDiL#G~9`CZu_Z+?sCEj<(J$Eu?xk1!2aCujK7i??8D zpH;_rGnnp#?=-z-^IkX#{MFN^Z`(?Guy*QX1#A|pvGh!l3TdK&VFE3Eml!tYTFp{8 zxN&X%XxcS>RKG2KOzLm|K8D8qy>Q+f;PH`GARyx9X8K@Lce=g%_*S!sj?W? z-jfAO$a+;Teq2M)~x;|Q1b#RUICvxzFf{uo|ova$-@%w8zAvlIZU>2Mfn51?1{hDjo zs^FcZKfuDIBx6|=LB>hRxa6-^p%fBI7z3y!lasz8D`%WAsgfidwogpibTv#DqL?+$ z(PARP+D}!nx=`=lvKz~uheFK^<*D%4fQ-=uguLv2Y|=(EW2RnS3OrF(%ic(nR8|w| zAtb}mDEHc?lWO}yOqne{bIBJ%Ocl7#t=k#m$}yYIT=Jq2(~fqX0mni-=nQS_uR>%f zJK{*PgLOFF9AeXV0XqXNuKP)dp<)rvvKwVBw=EZ;$bf;!mM`(gWoKhUFWrFM&P-Ao zoPi{pnVgl66mr&g7qSbM|0?B6mfa;gB43=O{E9%-jCrYaz8)9) z%I!$DvP+D!6~AXr_?KGp>|%O!#HplyT&4i(9&?Qqt7dLD2Px(n_Rt*DwiuEinAvu5S-&1^Vjc`6~7k|-7SL^ z8(6NheO>9M=0oi~OLxgF1oSnG@cPRmTK4eSZ`Yy%8ymCD`Wz%i_0{O4vw_LXtp+2% z!gN$L?DF)xiQx|w6NcRm{-XQLzoM?-j!~zAl)11PWj^bemMNuH-=nsEbOd!V;%ga^ zLutE{!mlUFGBuDP>z51q(#Kc_a8+f7=P4&-hS@)=xdw4$0Vey!V6Q@NuwlN|4Qo;i$sZcj|+jkE7nJ3#8tw zen*L~#w94J)9N*t{Gpm4`g<8;tBuhq>GWdCYJ2FtdcdXP{6}-c*$-r6;$CN|@Hz`( z79GLxJD>B2@9SK>f(=YN&+k{SV+*3@2h!O6ZLg(^-8$7DtrY8+?p#&sZN>? zw6+7$tmp7X=#vm(6I5@Auo;>+MA!nw>k#^oM>0&<1dZ#&=xjKQ&MpipB6H-NN2F>a zL$ax4)jlVQFh5#(hfM3Gm&;6@>`gPcgT!Qzq>|a1=VLTgY~zSsbC(%SYXHM8gKf=^ zWw7bZhBcV%L0ov`{lMBn38*)IZM8|f7WQNTOCv%N$K_VfTXzl(TH}RZ^IeWigza!z z^8*e{m;+7(F{O2YL9MKLgyRn}+$7~G_#mdtD#1v<;{bGFn%2fS`a+l}EUPxreJENi4q;L3`r&YfIvYY|^c>DAw&yTs zL?jmHM1&-jgU$?94t>r=dEKLa<<>vX=se1V<7J%@?fTng6mqK_?I+D!U32>!nPt95bFl1@_dFF6r@%Pl?uk7fUbkzv&JWKBPTeZ z>oA6EeKOjmK-lwHozss3@3yfTWEoL$3Pw9l$;OhC^H{GiS|WQ08g%nJ%(Ao@zoEnnE&?aXi3Y{I1xAd~ z4x2y2iWo&;3V9E5@CTHTX8a5{Yf!0+9T`QCgMeN(CvcRDcUr35nIAw}6+i?bOiML%maCY>ow?7Uv}qmq(gfJv4$N1A!};RL zPaT}hA{L}fuAMk+f~53P0V@KF)u~pYRO{Sxo>sMb?Zp=6lg#d@JcF*Tdtlvz`0AY(^?11qe-g#r71dn9#Hzf9kZMmLVDI^8Hi~Zahy7`hxaSAwk9@ z+O~phIe4Znb|fGs+OVzdX~azR9W{V_9JyRUWXP$1otYfO@%el#X4_t!>YgI3tsD)o zwW!|2#IXkBqS@9aQw3ANjf1Omf=(9NoJnr9V7A?0rv0`Lt7ClohSF|aKTo$e$xOwD zvahGB14fgjUIYZ>-b0PN3W)>o?v9ILJwodt&@aZjF$q65ZLA{Pc8AZ* zL`2)S>D|ih^|IPvCyq*P$0;LfYyx)ww;lVx0`63$dDK+67`Y?Q*HqiyM*+DTyKPuD zu(1ncscqjY6GZQ|AUo7yJ9c^4#rY&3Gi^I|PEdsoPTF?a%te(RS6b@1-sT?htgvDa zrzQ{Rj(Nw!tXQ|g=wZMeS768Uu+vUjGO-)?3j9bfe0Hb=RoSbaj`0!~*TN(M8Q)XEfKqDKG`**iJV$fK?f04_0SGOKMqig!nyUc(zY zJMwhEoOfMK^MR)BE}UfIy5_-NxY+nxuDIZ;L>k=oJ565uowlz1P9xWT--pd5v){!su7)=OviE5+nRooVY}n4T{*Uvn7Xv1iayAj?-6AwL zOuNr`Q(&*{JlD)`qvzB{QuTwy=7<2tk(f+%^|lG#Cd9Ys=P}wq7NvZk(a} zq;0SOd2x(&=2YN}Yd#FGBuc$P9v#Zk$licqiDzp7EFCh7qnMi52!Irt-XehB=Dvfx zV%yn`rwPsJ#=XcQ7890f7>3Rr=*gW}`Z2wB%9dv5$BaVQ`31UVoI%VkXY8-p2>)ik z{M>%|on)jY;?BQt`+=HaW=?Z&yX!eAX=J-zz}xw0nVwQYfGE534w<5)_GF>T?);HV zRb?u&EaPLUD#^i;MO%0NQYLE_%fQU;#2FlJ&yvl_rgM;K;D#ZwH78)~`AKodFalC= z@@hxY0HS9Mcj#=6Vdz!*(cqII(^xK1U{}t6xMgz2U=1URU~QJEg$*@N)**1*e8!es zpVaNTIduXEP_kbcz}*cP`lzd%)OkFr-}MkTM4kNupz0|-_C!H2UrrFZrUKKeL{}yZ zgRcXrwu>182FjhU2vmvw@LYxOJvl+`zYS41wkm4HIaHtQ_cYhip zMwa-emh~vXm);cabdP4BH*5~@@)(NE1eG{W4SWF{CAbNPc3d!7fZ0ow}K z>cRdc?XSz~0>(z-f(KGuYR-4(`KQ78<{&H8qJcg1K_RPgog{L_2ex zN%|%~Lp+aS0e)6}4SVr$53SmBlfRJexrv!fay|vV=wwP2a@P<6I25;0+cVi`_OyGj z(U!ZkdseDqLnx4|j zdKjitaB3xarP&;7SA{voClw+>>U(~sqH=48VOIZH_C9O~UAOmqhq7vBe>UO~2kq4Q zbX4l_<5O3J*AkW|2A>Wrjzq|&{$E7b*MF7$?h&b8FNLRJ4>!+x&GtlRW)v!bl^yuGhY$sjQ+O1)?Sq1d&f$>0Ooo^QbYNmzhv zQUf>lA`gh70S-#+lBB7aUOsuftS%&DIWQAXn85N@kAK7YvdY+$R!IjVokknh8Iy<6 z{moR5Op3)uk_IbaLzAp9q>62*`&K7EEE8B|v_QdIN1nzKY=HM?Mb^5o3fRTdO=JB{ zyz~QOrm+fl%BMzAgV-ns1>7zAc!9xqN)9$u-IIXZicpc(?g1e`#YaF5dhxokih^Sd zLx{bKBI8AOCx+bo-;1+03M!^{+5O#AWV40R+)QuLif z8#E2nK;tK$Dvda=6^2`W69;+m+&bmbo%3v?+8RG8wQNM0Vlg&;MZ*Ve_O1h?)Lv1Ru)P>GaZ>p0(V=bpp(>fbvCtAy60X-H9ZW7DXX z6CE-x?s?r47Y@SO&Ma_;ugY_zM}!p>qJ{is9>f_>DiR z@lP^#9XG#WG~qHE&a3=m0v`vxsa(dbJfkpoSewoenlm=h|! zUW5*9+9D5$rW63(+(aUMSA6+apoQRvxPrK#?Z}!OyWn1((}Ah()<eVI4q$=w(oW5}YZF|qukO2cs!2y53Smj5=7HRYKK2MKY?9 z5b?;E4(DLF6B|I1kyb~Vk4i?$3ZW=y8pEq3jMc>CvNm;sf^Eg&)<&0j~GyZ?-X9$Y)yE=*ye?SW4~!!)5ys*minCTN;*SN5|Oj30@UO37&jE-IQ9mZbQ*16;f48fkl+s>}Y; z=n4D=pE&aQD5a7nhwe#ZHzol=i#~-t-G1{T{y=;CJAJ}u7I}+v{K9qn&Di!HcyW0Q zmVE3+jP3Rx!y(5&Sup~?DJ$Z#){diKxOrl)l7C151QI^lR#LA^>|OY=gk@#tle(`` zp2x(>3h@2hvV5VfGPDJtwU^Bo+Ahh2^_DCe!5S>vE3AtQZ5?PQ%hn3*n4#g9!S}tq zY?07#49Fq1@3YuOL0q`REASJAKc!m2<~%)fgi3^t9g)ty*Frk`zFJo8EuQnIz4v_< zGU?8*=gO6Yt4@}@X7!)6U~>R+-bV~MCKjE|;A`ef@f!1`@cHITYSw(2 zho^p;{6*%=hD)W0{CiBe=o<5-;uYpg<;~{H>NlA$>tAlZtcAHL*=-*+UpC)vzBD!R zOJPm`!NyObQTzW1hHi)r$?g9h2H5@wDT{pzOis8lhMc6&U~zTS=rhKSV$(kucpYn@ z>X4)ZW-!tN*F$2@2c@M<45p6rBmylGVPRx-^p`D`M15fvwznNu>07{#2@@S7wc}M~ zWfC1Sl`JsUJ8mcw|6Ru$4Q&Z%pC~(1;z4Q>4_noaub0ge+CLgvDQFLt$-b%M+frv- zfjY%-9L}rFg*<+$flW6*mAa z?=d8qTmpbk{$Lvf-TuA!tGkzSF^XNcbVg3%VmEqc#y->e|G_(5 zxe6J7wJ!D<7qe(zaja*FF*TC!PQ3cAtG#8hZz`}__`np!1FY#a2K^A3ovyqPzj3sv zF7{3NlWu~@z)#xXUa9Q=aagu_S(ll#CuD-hj6R#4hE#(KP!u~>OS z&$f*lHv=7GT2859+sVaZT|=fkN6eK#YOVYhexarrd6hr8oR{KprmE|Grgu{;?R-<( z5H=U1&RUtPw7b32Rm~{vUX*rzw6vwX1cevh1_(43?&YPK$pJM__-(T^m*3Pd;5Ri2 zD8tX;`FUmvzeT{6GxC!?jv%YrP#xGL-K$WY&x!vN3gYi#Jxl4I6WcHEYUX#Z_DPlr ziQW-S4S%ga&Z;0$-firjT51&bF_{X|yYX6^Qv|Qm%>kS5)78*M-QQRdi`SYT9tVm@ zj|Oc@3*xo$5e(VoIMj`1%^gN4-%8|*pUk{4p>s2ZREc-mRFSw>A?^neciRfYQ9Bq2 zT|FLvxjx2S1AbNmFazd&3X~!RpemsnNS@U{M$(&+^kb8TUGcjZ2SCj!cMkXTIC9 zdZYKOShAeZ2ApVKFdAww$!p#U=2@(Pe+woW>urd2Ct_`t+gLCf)41>AtYk1vlU z8(9QgLqKK~W%+qelw}PdGZ){CA2GYc9l1-V;AHll2J?1ee$4>Q1Fb4Mv_!Qtt%Jhu zrSKEzrHsTA_^yZNvD6&oC4k0&t;mdN(RC)`udZK8K^R-EbA`7mmiID(T!IZHtL>1A zE`C2M`c;r&IeSMZPvAU3C)<;1=xi}5IL{2;G6a)NNgbuNCVT!9)aTuWjr+^=XFnw z$oZZyB4-@8nB=CAkI4BZmW{m?Dq}D@LCHeogrfuv5u7NV57z;v@*9B3;GH8;Vz7Ew zNF#*ASDADfpe>30+=iH+$|e~%a!7{96mCL^jmte2k#kraDMGc_E|3ilicLg|$ceJx zO3|`>L{50+;RF<7fXI0zHk~x5;@Br>{VgKrk_aNF2*M(AiVP$#R5tz%X@f$=d<7Mk zebA@bzlkL-OeNb5+-w(-bB&Cd1kT+V8=DYVsIfOm(u<9Sn;5cRU~p>mlM+3K9S@_+ zNJ_i}4akki`5=LoFx_EscnLV>aJ1(rqG$08Xgb+DD5k};@8kBn_{$+E6$O$El>V3jUHu)|41^4cJ*(QNMRjL++LC>t8$E%`(o+;lHJyX86 zb~fO(yQO*w`6lR@`YjthGx=+jlvmG+9>VF2P5w;gTn_ZiKACqu zdM5l1bX#VlXO6?yLzyS8W#jX)7Yza#r#JGa+yFM+em~`7=NU!MT);;H=AoZlwJ<^g z(ST(F9Sssf*rI116A6K!exUr5A`m7}u*?^V03?ADUPIppc5+D?eFaO)xplRWmp*#t z0(f*3f|3fZU@6*Iu*HnF0*rl`*#$jF>!N2?W0!2Rvgny3OnS_wAoh;tOU;W4q!JbY z)2Lq4ViQTxGga*>iKt~tMOQ)VDiuRY(KBBp%~zs<9K@gkIEI6s`6l#1D#3nBq4h!F zOgC^2YRY?^;%UdGIrTOf2LW7|PH~CiqGwi12MO7l>qxv@>Z*}_^vsQ@Yc_gj>JI^W zrl@i4*qCT>00#2Z8GRDtDi%V|1R+4r?2)_`%q)bSc~0O0WR^JRF$Ng(XLknZnbi=D z>b}AqkcPEtL?ZAT7QQzMg=xC5@B-!=wtG-xlIKRxgg7mF=6hvWMbR^F4x?ul`RJLq zvNZiEfn)`Xu(o1s2_<6DGXZBPUW&pm$$G>O4srur$zfjjB@Bt88Z%alHrg+6xJ1!2 zi~czqJyQpS&5*LNm~KUzWl&gF0K8XpzKjdYaM3ftfnu{9cPPu;EBa>{tcB-r7#H~- zFX;X-dS>yLEE1*ZoT9rZE=8xr@-l5r9?m;!+u$Y}&WXkch&)#W4T@>zpl25SSgIC9 z&mk_XI&BENs4_MgSGhElt%H7 zOve@fhyC)n>Rm}~us415OrU`vQ3-4x4)Ku}W^-lwRecXF>yECdzeXG>aMv zuxxNLm%fokoh4O(a0t@z!swY-Nk5dn2dK_)UX=cMUIaZ;!w!0;5*+kQB|HUs=7-SB zrGI8G&nrde^0GdcB6v~feK?GsiAG|gFoG{GGf7AbI+aAs5E9k0Da8d8%v};Vf7xzC z!Q3FlHGj~BB!$p3p}}fI0%bMxh;`|sXG#cff(?46A2jHh5)Pqf`jh}YQ%G~6XMPwm ziM>gtL0Jt2RJPs?oDXSnJ)yL)9+uq@M$c4f>4F2F1R`ve89<7Uo(U2pMG{P}%t`%a z_p%0vD~z6bAx0kjJ>f}43RX~8rc_v@CF zqLv$#9zxFqd8?9*!_%N=g1$rP4tgetyObD0&jh(%$r1ES)TK!oY`%k@2}WDMpv|HO zd$fc)ltEe2qZgoOg4Z4JxQr`$Cg^>BW)6BLs)c<8MTnKmqGy8mY!g{Bz$h{^@G>Pw z&@&N#Fks+enK@nMoE=t%i=GK;2t9Mb_Z7d<_$C)%Rr3Ta)7WVXe$8osGkA-MxZn?* z1S}*#&m=93o=J*}p2=_)dS*T?TTIji7m6+8qi6Ev&H|VM#+p;~%3TA&8P=$W7Mub|KbfXWm@F+k5$ z`>Gm*$g z&x8QQCam~el3St$55q}Vxw%}?GePswGd~A`R6+(2NM$1hQn}9!;Lug1Q#nZKu(Qqf zrV<##*6<1m$6U(j79D8>#&jX{%u7W`A@t0lxnzWWK?pq)7ql#TCZvLmD(qYCX%xef zH;F8I=6;S&Op(e)iqk>QOtNx5dZtLyTL8nq>V+ZnOmWh<=$YcAanUnrhhvv1RgA)9 z76Q}EVE>^`oHSz3RlP7ApSu)cgyZ|OdqP?bc1^vvQ&m2AGumgyIuIScz7^h~X| zkDkdw!swZxM9?!qdD`fieR3Ow$Z!@dbKJr$Wzs#{yg} zsac^eVhrzva21Mk*nIcVGZ+3VwTvBwJUDUDGx^IrH7jsg0=29e-~(i&ui4GK6g`tl z3Rk!x*P81rTinMkTXK(}XC^CbnNUv#`;&G1JxhcgX1Q7DnFEaQaoNRI;_8GmQ3CYL zntN<=2R*aq0qC!q>!`oZC^9PRjD+h6hGq%+W|gKrl4nLQJ)GuQ}L`Y zkFwD-n^2|18qNe!V=j8;fm9ehvz($2qi5p6AQTl6Ip~>~e^_Nh=$VKXM$d$kJ&d0D z5*mLldgkBL@(a*25zL96nXEwlt4~p+yeMK7ov)qXYs2ta^!9l^dM3W*LeG3Y@~Ogg zkRT7EsYUlKx;Y*zHQI8ZA2*)@^vrXmH!M`9qGyIk20hcE`RJKp+EbusF8aDbra?dY z?OQaCfwj0hH+tr+Xw%{mSuWL^wCGkFQ1r}qKxlKKXa2n?5a}gs@ugs*OVso2QFo`6JhjBs5b0iL+F_x1?ZWJv3y`y`RJLc2zutrh@OeHqxxkK zNbObSbD(ElZ*SbyPN2YGIWmn}@CTzKEqdnl3+4em6F1<(=$TAxXg+!-Xi@Y`ILJ!8 z1+e_i{9+YADT6ot)h|=@Oi~p+^JVx!TV@J^LC-{sT=Y!j<)CLGl!cxNnv0%^^kMW& z5W?u0NDxNPgv7(>nIOOnW+n8roVL~qxNw_s_t%wmJy<)UY1(VrAO zbIA{~(K81^=$UYE!)ffGXG+DX-W|iv>`V|P!Geaar&kT{C6g{(=7FHcx;|_Y}(m%6m z20im2Cqy=SCZ+`@X`SaS+bh>=`v;C?36Y)kpg-aq^vnni&cLTe&wNu2yI}dP zVf0Md5&7a|2a4}DkReI;%7k*zGnf6I6e}%6Ig7ThMbF&8?~Yu^Zy`;D!BB=FZ70P| z($xWpO$X&cP(-DzDAe}y1zQ9?GY5m{nK{V+1@z2YsZ&<86QjqVXX5t;eDq8VTA|4L=p5%hhoq(LzDnL(;@2+2YRMMQ}j%Spy-(nA&j2sFcdx0 zAsF;bhms3Da~DK$_9hAh*H?`B>vzhEPl$-#AcHR(J<}yW4fM>k78Tgom~GbQAe~oT zk4`%Kqq@~#>ymqn=*;Gay}#4M{z6u=%n!LiLy)$q#k~`pfCL->j18*O!~uMSgBIt0qu%sG5Zf| zu0b3hJ(It5@vQH-Y&snUcR{LWcHE8;DdaQ1h;*y4Ax7ca&G?tX0sI0k&KOt(%!5@I zN1ST1PhNE~OJo*DxPg@?KF$Eli)H#0ve7e9eWyMs zZwCwgiqw16ZBl~=ZB=mLJ_|kb7^DB*#8{1Y257I|$69R9f%@=hJwRqm|s~nQ$NC^aiVlAbBw- zoTcf3iG7B&`dM;pBk)!jHU>Qt$4xl5$$pKv8txmM+T=vf+~*&NM$j|Cz^g>U?{&8kNL(O&C3sRmD+_9(07!GkbYh6ZLg(^-8(8n&)>IaBH^Ab8syMFEC61 zbV`^2{FE>OASy0F&@;mXpl7;-InXoTCslLMGp~_Em>+V7%(EeN4YAgWorquT$VSg> z#%Nmb2aeb^uQ8)(%{%Rvn`E%*&4x9Y>_J?3vK&%BKT5LYG#1@#akV$B^KeW6#gEGvwj zx#pW3ZvL}aMbBLG0Ee0osUw&dC{gsxHNZ*;p=UBLfPFaln1Y^Jq)>mWXuHwVKnJHF z1d8rk`*U={I_nHv2S+C*{4l#_9Ta{k=QmUExgUOWj<)H#SJ?Z478i3P4$rBX9H>kV z%n0d8(KC0V*fm?E*c+n78uZL_!Wrsp2$dn|nbBCB5s_G&6A{vrqGx{CuiW|uqw^>e z>b(^}6I?2=l)Ni%2NBN>L+F`Qh!ONmM2Vtjf|MIQ^K7a-7U>R(b)aUWXTtB93q2EK zI2U?mD=*fB(KB0epl7l<^i3qzKoT2z>DCINXTFqRF&L*CTG(!bo{8@PdZwIbG8Gup=UOS&@;DZqh~Hcem;6; zTLeAx%~ABsy-$Lkd08&>Oq{+NGt9sSK_-<$lo*%njEkO$s5#Ly5gTCp+31;@egO@- z`7*OCZN3U>1NRjC_xf-oZvK{UE^WS=70HR7iQWpMXR2u^E@m87Z~g%es}&_IjGlR6 z1Y+=IEWu*FRU;Hd&&2*U3q5o5w^(`@JrnWry#*+G%jID-MKCZiu|eMQjci5)lMxzC)SW3nAy8cOOerNBJyXhwqGz%=3v(An&jbe+ zt3}U5s8Fl*^QTp z%pB;M5JFu2>&#>!j?WiB^=|78p=WCKT=dK$nJO-NCgS8k&rDWGZnR*w4Vh`bZCX!I zxBYsa#k>?8H;bO>Qw6psjGmdrGw7KC-9^vzskzZJ^={?%xU4qViKCL+amt7qn}FT_ zZO8sE3q5oDmwipO4M;!GRL;h38;_<&%wz;~6C-YWQYMJrYth>!+p){TF3u+f z=$Tjyv7d9%Gi5Uu-5ljY&wP&$`nBUdtXMXBCU)9Sfu1?Ys%E2So@8}hmBNKT3q4be zi7fO?wGrk(&m=Q~o=Kf9ukW{iSqIE{O=tK(l@59)F1Y&Wnf`ZL+LlgR*M6swYrg|M z)Bg_iO#eI3GyU&C&-A|oJ=6dGBkjk3z!?AXVO#(p=Taof5Cchvv``TZev%45$s?A^5R$&o(=kF=avw9CJoCl zdZw6~S?HPcy#VViCwk_>2zus=AcUP*`Z2wB%9dv538N5pzJP8S$wwhzF;%|I*srh= zZnR%MXusU%n20++&+Uhcp1E70A=?dl=5u9wN(ljW*v=Qp6eYDM8$I(MWU4CDpl2dJ zrmB)6=$W_3WX)n(^h}(=;r1-q4tgfizzsuUYfkjconI1n3?pQtXJUHR1vy60Gcmj2 zlVQR}_|Aa)orRu>7;wwvj1fi8L`h-vOioK|sB@ubj^#wpgwr1DERO1T-M|fzfy%--7F{5_JkcS+Ja1BNdK_EcS1Sf=^c>`AKFnT792U%fv zpXH-x;?_cdo{2251Y=Fy-3||=gPuvNAwbUzmQUco>^_7;POk2|pX;J$N7JbEnb2g}=$Rl|^vvs_8(3fj zJ@efW$jbmdvoMOD`CeA0(V%B?oe9u08F~`*%**|SbkF6?#6i#GEXawTDeVc+GqKT@ zyR>@>O*^vDGkJ@4&lR#DNGwiE7oQTy!;gh=FFlOQ`ekQOEIy)UfSx%oOn1;Tb8rlL zW`r{rdgl9cqh~%ZjGp;M%1V$Qee}#_QK@I6XJRdh3@naB$fo|)qU-CQM+2??{ZTpk z=$VCNf6^=v_3(ZAhFtv*E%leqvJoI{CWWd?b3-ZD?ZMhlFhXJY(^(K9(CqUf1>@A1(yucO4^D>mqv z2!_!!LBMTA-2d-2FE2p|p=a*Bhk|2_2J_bQJrtRPp2--Bo{6(I42He z)qa8RS1BKmfvVp9M%;B(>g_J|>z4XGXQ($mB!RsTa0)d(YNPxatqqP=#B*B@AjK(v zW7DXX6AuAGXqc~%u`OgA8u;j$Z46rsgm2l&qY$l@cl zvBdzMAD3Swl_Oll>j{X!h{Ds9SnruWE>Ph)-s0H4a2bdc&i;PybS*5uw$j?zz7xYE z1H(kOAMe+A$bR2=(0XL9P-4jnZ%ORg;hZrcj?5nQoT?+=)3xiC#s(r0=f?J`-G~%T zwXp#O;c9IGb`}5j^EZ3FyqlA;4LB&C*>KUs)Uj#Iw9JN$TQ_Y^AK$!j^M;wDGaHV~ zj*Jd&Fr$A{deepxe9sudhV|=%|7SN-7x<#W%OY!T@@Co;c0*{sCgd3_Q z+)yosV{Emm@+xZY*tt;J5xAjK7Foy+x!63hPl98M^SQD;4Y;9GPYpNp_6XcivA!ii z(FR=Tl`K01Hv~!++z>1%G(@0$cw$%w44ymiuF!=WS}cuF2q5AL8MvXnviJmKoJv5J z37p!%t`ahYZ{dajLIkr)sP@${WCPEdiD==5P!`r6&D4h*8kUtuhymQt6)B5*?@2n#nPGLQmL+4vpO27O!mc~o4^yKZIwCaRtSZs;r-Gl_apJqf^%z|4)k zQj&I?g*|}-G>v6_t_k1{aq!eSBPjvgl{w&sV73KtLnqk{F5D0ZVYs0evIPZ16Jl3l z2bwGj!wub}CcchrljFM}l&Pk=3_R3Tsm}&@a=tE(+AuSb$dinr8 z2}cAha-s<-+|YS4Vi2})Lm*(VFoMDjwa}iN18(R;M#M?nG!j`8cZgk~Rl6?=H?&>O z*8ivAh8{sldD|(cJS;v4B*dkb*Q0fi;gFZ6cwD%lE&-MZ!3`N1^$*DX9Zn?b4u}aq zdoZLwV4lPiIn1oNfGp8Nhyc0G4L5YR%(yJLp&!be%K*YUgFc4dLdj z!VR6IB=Wmd5*m26(;N9CZs52Zcs^tVbwRU$V;7I(3JQ6vDg-yALITmi4dI3>B!sYq z8#*Eq0>QuyO^864K+%P+5&=j8CBQA9a6_e)D6u*>+)yErSVqP&`u4McfR+X4n9){% zu`k1pxI>7iKP-aoOP1o6WE5`bGA4x|T2o-(5r!Ko_>)BpqDgPY0QR?9xB(VYwW}n; zJoq3)7#m8(G*h@C>>4m0lxQ$oAi^-*&?_-$3;x7@OBG0mm;kPg2ApN6slWrVZ{XBQ z;YTba9ImI3T)3f9=^zsmo6gZuG%B@7dPyVua6>p%$pts`XaF}PYTUpLi57R@hPaA_ z;D$g5;D+GoL*9!15P}<8=D-a}_U744jQO)W1Gu45NJDjB;RZ;X$R#CVixZMo+4!ff$=EDt%lg+>l6|o3z_4yJg zRz-LDj^OL$FRxCg&P8g1KDugp)510=!-H~3zu;i6MIOh(ESm(q0d_A9#hStFN{Fd&S}i!3{x!qKYou(D$WkS#U!j!??)>H-s!;;Y8tvK!vK!f*V3Ta1~VQ zhj~08Xf2>A+>qEQ#oBdYxS?AySc|_(X%ydYq*44+`{h4W?`rDDrQ?0LAzUj)q7v9X z9PXtOz=lhyFaW}EL!ER`IB-L#8MKulxo|_bOP32H=!c1oSc-Nws1ov5pJjx((%G@L(?Mh(zhG9q2J)V^I&KCqNcty zkmCB}Ocmk(Hr&vA(95O2VK2{v?==KBbd#e0gy%EyfP66;1BJApQ%S@OAyKWp(s$|v z0fFlNL7j9^c%zzNw5J-?z6mDn-#1)1cYQx9_h)lRA1Xjj@8$yXNg-CVahO{qi zVhc9}Dkd1IA-Exsxg9fP-4cPu-I$>%+z@EcRHT`S5?~5pVTZON%gn713odM%N?nr< z+z?W7f|-=L*sBaX0yl(&Yn2m*8$vBNDm?@@1oBoT%g{^n%9|OIJCyFg4I%O_C5GUJ zK(1GE1a1g*X;KE8@4yX#VLFivy2cFL5Evb{*eKi(c-;Zd_t?{V>hnu+;D%7G!%D|W zX5ofFe71=!E`N+7)7;CH9Dy4`{K0?$*Rh2g0%KSiF5D2PVYs2Y74XKw4PjLa!wtd5 z8-^Qtl#?I=Hw0P)ZU~eR+z`T1xS<74VEodgS9s)7oOy;!juY9I_Z^f4|(V!IPT5UZgW ziUHh^8b6#l4&2Z-(F9?*AwUpC;D(?Kd27Un8={-Tfg7r2aW32t48ADbkVu;&-@*+c zk%b$g02OZNW8z_5a36ewm8%Wh5NJN!(8nNyO2_~LsoY6{RN~%w5IA7$h004P9X^wy zjSN{=es2!p=pC%eR?%TbU`!W+8|o7wh2VxRol8cF)1k02IHRq6Ja`!esbH55%a?na zY`CGl7H()K#Tmd2RX)zj1#m-@q{0nd6@nWQCyfg?Bu*L^ZisfcXP!7JYL93HdGAoT z%)W2qaS#=SkgKi=$M=yf!ts4_70!pla6{M&8IOF`>)9{^H-wWL^ed#`!V57|H(Z^< zWU0Cz?xgDN*lfrPe66^B`o|4W^<;qU0~MtYaV^}?IiYe4+|Yfd7y~yniB74$RyxJP z0}l@pI@r_LobJ20yE*;efE#+fzzr={7co|V zg>V)6a6@z(+E>F1arw#i+%q3=Lt)tsXKIwNLO z)*17Hk}TYiBCwvZ%D@c`+rIg4!VPV*Rmz4NIv-U^oW+@t1vk_zpg}4GbmJKX6nz+O zsGNf~0yl*D7lj)_v@qNdoa|w^q36-~bK!>GLd!3J8$vKQ+z@{@sd|zkg$pbP+)yb8 z`J&h1S#wK6M{hGWAGzU%u0%dn@lc;aD^lrj&#>s-bHWX+l|K4wzzy9VJcahP@1hI_ z*5X!uCTujkU+MRvO^Yv+A*eR&U_)?2AUSYDSUw!Mp~e3hfg8Hk!VO&ufz(dV1vm6Y zxon3XtsSEBka`l5&B6^mYILN98+s$}FxEaEg&ShxDBKWeQMe&E$PC=jXCiPz*GA!n zuFZlQLW^9uA>`%24Iz{THw2msH-z+IxFHb2a6?ECh8u#!!*D|&zznotCNA92JRfcd zxdd=SXjcLiwQxiDNq`uG25#uRbHNSmv2a6HE(UH$6TlY6qQB%h0_zgM4atzfw!udi zk^zIeDK5dl4ZX{O8+si(&w(3aGP!hmZ9Pi)poJUyt_wHxE~atehS1yf3O7`0l{8=a z{x{%;zAJL3EhdN{ut%2uO$crX4sP70a^Qxf;?!?TZ;?9uHQ076+LjA$=&P)9U58)g{~@@cQh^(SYutq!`VFgQ;D$OlA+q6yFf9z+(0LKK zAv?evxFI{l?1c*2hGDoN5TbBHAVuMZK#IT(ff9im0%b0^p=rVmod@;qE&JOigByB1 zHfaWK2)Q|ML*3yX!ohC@Zm3jD28+n!aU*a;dqPY*+W!xE zZvvlZRp$LaPxFMNS-K=?nzm_^mb9d#fzl;yLzkpUxKx-8pNXQ!r+E(3p{+>(7A`f4SmnG%U6gS zdZ*%sJ`&=FWJTnPlNBho+oTLB`lfU!A2;+XN^EH%$TaG{7B@75zrAvy{}RfiFz7mm zt`IkLAQ2hd5KdA;uSMT%fs)j_Vrbo~g&X>az=XPZsoCI$a?G600lNB<5PR&xp&4D- z;f7=?#6@Z{r17rx=+DJ)L-*Q4opqf|z#h+pBf$-!pSUHnBiqznp|$ovx2cbZqoCYjco+rk>~0XWXSr4F+Ce zD$2lvoZI))-zG%8Z7RkMy&e7&-);WNa24T(zKLfZ*P_o<+|aci63D|1l{4TP2E>n+ zUwbk9e3=|bKHPJWho*mI9^k7(ChcK%K9_fInZo4n)Krr&4mZ?AZ#ji*D~U!&4>zPM z?i6n5^N81q1u=_Bsu_P-IEEdhcTLa_S~o;SHF?d}4d#8x9WqgT+|WH~+)zLJy^kAu z4f{U!04#0@<7x(Os3O7*oydIOFZpi0hb7+nJ)4!Eqw|xf(PMK0&&>?+D-&WSXk>U_ zJu^i1ki5%G|CAQlLn_7IPZIWID~0_yzzyB*Y@MxY2=;EJDsKb3Um3Weaw;!}!41KD zh}|2^B8nS&ih4|p8=4~9HW6+J+fCTFNgv;y3G)qhZ8C8~-EKoP!VSR#`!zIUTWfNF z8$u?rUlZYmkX3BeFwWL(A#UgtZq@`hYEk8B+|cnp2hW-z5L*%#B z{3ly%+tsEuwY}H=0Cx!9da|JnojnN)i+tkvpW;w!eA_o|WbFN?a6>=yaYOHvDc55M z-N>$oVaDuXv>`x*8@iqC4D8^4MGA#~R$w)bO#dB5vqTm$?%bnlaDE4b`V{L)aw@ zaYGmoBis;z#JC}dvT;L`+2B&RAxP7>q2t-=7?h(9tPo(Ap#im{4QJtomhxath#Ojx zfg9rM&^A#r4JC2nDJk60<-A>s$DFu??`?2H`0e3_uzQ3}{s1@hsKpKaD8>!_D1{sP z5zSB-cnxj{sikp46&5#y!4+0K#SLAa!VMvA25#t4b6u*xfLcK@0A!&Cw zXpHJAtYw3-tp`5QGB={elcvIsYHZHyaQbQs*w8Ckd??7kW`%)<@6mLT2ySRq#AJCB zpWtvqaK*SGtY6c(p|A4kA#Moa3~p#sh@XK6W)9d3=RTD7h;c*uv;a2*Q5rXdjC!~s zxD0OSH5rfa*&vPjfHZDMo|485$#Y`d5FclWBOz`GKG0Y#ZU`=e8~WK%Woy7*MWvA- z#tlIf%996dANI?cw2ukX#Bk1ew7N?LKnc;LQwf=)D1M2+8@l zAvg_g=>Dt*>*0npBo<*E`J)J#fg56r(0;>2Mp@j@DFJRsv*+W6^3qj&+z`TK;f5+O zHFlgr4W{F@rrUSC&ftcgb~qpbU%cwLAw65!@uJK&ScxN(mOZ2nyZ&2%^Y?PhlUA*BWre;PMLWg?9m5*?u!ZU~++ZU|$#;)VcJr8Q~M z$^Td2hCV3PfkoE-LGBP*R$h-%>mp*&u*eqR#ZtJT=OWyY=t$b-6WkDlA#SLT^%dZT z?qOAh$PZ|MJUABfEHE~99v|X{pjbw@AxQFR+z@OpfMaChhDJrWp}$2TbYkkqfY2#R zn$BHjKdT4aQ2*wC>$-!ENNJq>OM;n7u96yb(GES)vwWpP8;a>MCadV9Db#DN)x z!j;*$p??;03E8#Pg%&B;8iwpZnPZOX8nEKki3GA8`_Vh zD3ZjV$C(dUIhJCxliz^CxM5Ska?41PM{GLCRq7HLN(^r3gd(^hz6r(;;g1jlnBazP z2ysKlvyf6_PbO{%BUu_Z1fj(Z-GH%y4~%d_Hx`NZu#G4Pl|JxS^bRk2G$Gr)Za5AQQqehGMsja6`{! z;D#Fh8*oE+WaEYohPa_aEUOSV)DV|?25zXru{KP@LfxH!vgx`?#`>;7PWZQ*(ELb8-qdv_`QzW=83fQ9wF^ zojZDC+z{zq8mT+L4Z%Q(RgyA%c5RhnLMtVO2(t^tm=3aWL%)+*#>ULR4PmUtJY&)@ zW542t5EYY+L``NuLWp4Sr!*TkglPh^j6N{N4Wa!ZKZs-y=3fPJbZV1j0 zHv~b58-gIj4L!<&^KnDBvdDbg5JD(!2zza449oFPj2psj0eoKu1-|@oW+=oBK_oFE z+|b@5#qqI17B_@_>rC7beCkQV!72t;cUfOc7572{D(R`hS-{OWoA#FFkU)A$@X})foK)Ft++McgU zyA5zdkBLr{i5vQ{C_@2m2sxRhJ5&j7=vlc)l*SFckbxV5EW!<;a~uV3=uS5TTHMgs zBjbi{NN_{LY|{oe^gSOpbefMFdMFDw^!6;=&>K>?q3Ho`XlsZYT9LvH!Il~0hI;-h z#tl6gH z>n04GH89k(V&zbO--@0!rw(mgcj~(JXROP;jQ>VOekaWyTD$6OSX(3c9F^x|`8<`& zW%1y)FLkRGKP%IV-+F;}A1}eIdWJuy04ebGBVBfYa@@DL8 zdv@#?+5()x(ERNe2#IJa`<)3FJ;m@z3 zw64lGiu465U3Cnl;~R4my(~*Y#!kN~k3wDd)-H}EE4LO9ZpZE|7wW-C#9Syvz7-X* za~BUxT!@c!GD93KkPlNO7vv|p%rmQeK=Klj#Ey(0?(HSSp1fol`B?Qi89OU}&Y^8` z9=s4f+g;E0p-H_!AfdEZ&z_Rsd4Np^`waa}s0!S!=B?C`Q=4QKG?S8;(5%4{e^Iz3~;U#uI+>Ho#Yc6-n>M8lJD$UCE zG@zuW=D(#0xcc_v-iEjznwA zIsZFNRBp!m$$`E#8Rm^s8>h*2Vsmre3>N#FETqiIo6uzTZ<5NE8p<7;&yVvnT%4^n zxqxSNv>?2~wN^cB;994kO=8(J`0Bv5aE%y14Uev+f>(mq(iC!k1-@4IZqZosFsv4E zus>H?C~U#$fLs|na=uCapf~4dsqWIiAtukw<%q9^t9C|WN0qT0I*kxDm&X?FQSr6j zaAdyLZ4qB-p?}uLVhpuXUd&m(sC$4YC=~DFExmZC;`T7GAuBh*&I^ zuLav4RuYL=hmVr8MB5TEKsJ`IwOQ5?BDL4-Q8q9on}C+Dg=az8(nK9!>q1erM40fk zpaUvj3yNvT*J_CPT2c^}uO($54?um4cv#*+FOxrrj8h%W-^cnbX*dF3Yr3?Vk{%i1 zOFFb^TrW`vj3!%xi!>SvhE@Hc%5}cUYe^+gu#51ue(3YHE@d_Nd@Tq2#Vq-={p%-RI zp%b5_e67XOV&JxXEeNpaWB}!Bt>yx%2w&^treq6pln@nHc-$-7!V@uHtMjkQ*Lnu+ zrBv=1m*RjO93>rW0i_!?57Hb;H?w$rzE;1GR06)1DI=e+#i|MTTC&nS9A66oP;SNf zTIAjmQ?nOcb!d32neYogmp+%l*Mj1Q<+=HW$je{Kmp`Uo zOF|t(UTqw+!*^WbJN^!3gyDkv7Kb77weTuN5{{-28ot(3yS{MV>w`{@~SaG1<53XL@*FQ9{p}VIK?X|3m=%Hc9*P4KL zENeH-t_*EodUhFB^$3^nwZ`CBt4(Uf#&tbRX$zxnvoYR?ch+>x1@y8%qjuy$;TxMk zO48C{S4HHSs1#KN^NmW;&6KZ2E1wk_C=nV}$k)0ajkfI1thaK|OcTd=-_e4c3J;4J zg%!8_z0wZ$L|t5wS-UUVwPUv!Gs?$E1&NrbOYA426v`({UNx}eYaNfg%0q_sY}&u& z0#uSb==p@NCBwMkYso0?@wGUM1$-?C628_NNt+}S9%9%l7uR9Z%#CdE_*#;@kesF zF<@5;RZ6d}$Zf!{=+khYdO8 zYe`d#VQQ=S`c@sIO1y|FTLu)*Z25Z z@K}|2n7wpVHZ`F&>cO(4t$*+E9t?!W596yXXSYSgID;-RkFSMnovCunWR|Z5;W;L- z>~WzLA#HZI^Hd!1wcxQe@xV0-%h!U(1?u7RwIB`oTHg!#TA0;BzScAB2D~a}6s?-) z*$E=P7Gx1$3zC4Z1$WHXs?AZeMMteURi-q@*P2clQ~{&SDPQXi(D`egfX-iAZTMP@ z<@j2sB2F#Nc42s|ZDQ}Qogt2zXT$*=NXF;dE(`-9U+dFulZu)F1_9Ce626uyKdfz! zueC@5Yq0>8uk~r= zYdrz;VBIXk*MiLPwLXmksY4l{bn2F{Kds~9u(COlp{(ovwMgOUp~JdU zWQ;Tg#&`i=>kKKRfUk94u`*IHO~TjuOL7$urGiyDG+(Z1(tNEx%hy`M;`I1he_`el zz7|VT`C69+d@V83_fyZFLqdk3uW&?=t)r28ojn$hyDSXvP%dG3Cmwe% z-i$k_!neZFoBGOxuXQ6|%<#43Yz@=)d0I@=ch^^gl z$)?L>8DIEX>pZ@eX58_$_>hpV1xdu$g5;?ATI*a7QBw) z@wL8fqx*cV?_>OGcmv0;2?aA`O#oBT2DN-GaMBqBaADTXgbQumyh6U#Jo}t9Uu!9z zSAy%j8GNl(5nl`Y_vmRrm2KU&f76x=P)8H+1)&sQ3;hqXEP|nM5A3A&$zUO03r6;k zuk~gse?DL9R%(6;UklD`zE(BzU%!t<3KN(c=-lW8TN?^;;tfaSYw>9AxYD3beZJO* zit@EilsbAf_*!31?*6;?n|J{l)}&Li`C515ohEIO=~Asp6YsVTW`c ztaL43>!TPyJm;s1^R>QbqZ*>tQySB_o)^B>aLCuf(1sOkz}JGv<7;91K(pe(&5O~b z8b?HYEgV;=lvAizqCgrC7UOH(?D$$dCz4DPmap}^86z!U>t^9={UzpW$sv<%`!C=L z1)c(Cjv$NqS}>3qzSb8bzSfm7U+c;gUkh*K^RvJ+%YP-l))?Vy!8GpkwSLd68NSwfc8D}z3*Ex-wH8NwEtIdkm&ey) zku#LzYe5k5wIGQ3S`fv2Er=q%797V?<>r!q<9Z;F++YL~(2Sec&7MwU!5-mKdcGj|==9U+eBb>~W+dR`d42o3;zX z*SaI{@cCMs4#U^_p=+0~kgs*U^0n>``C76f3iw)LyG_cFb^4ezJnl~lY7dfMQ({XC zL8ejnwS29a{Oy$s{ktfW!k}x2u8^;FaUwE&tsg?7e612KgI9~Mb+^#7dU%W9@U<|9 zIKCDdE>=%ip0(hU5|`|uLfMS2?D$$T6?%Lv^ygxHt&iJN%ymncfaPn!k?^(fIJabW zD5tt5=xg=w63xFJr<|0p)g(}&$@2GI<}bq4=A0TC^R@OF6*cB-{T9)tSBi4h@^Pkw zzZ@lJwEe%$*Xlq~%xGhQ>>M2r?h5b|3}_%CiZ?%HYV&+D~0_y;A?%***aU*5bX1{ ze#tk)VmyPd1$YN`g=iq&zZ(Z8hPDWI0R*iBIGBjc!F-6_8_Xig*Fs9zwJ~=a_6*BV zJQIhov27FawXofUeVg=sg_$tlVAm#-uhr`|L?gZyJX$oD)|wpfwU9~d*F=0RWEERA zy3rBxwbpX8Cb&Y5Do^vZ+W&vU*ZN@0*LwXc;A<^IYpQvkEwAkw)0*0DvOhi`jZIHB zw4t*nVPTO^9A6TLTI1Uuvyrj)pW2*))(0Nf_pWL81c2f&gSMW&KSPd_u16k?HhD~Hb_!@t)H@S$sn@l zLiUq3q=oCi9zjd^S}(U^@(*EMfT=dOHUE zOup7zL(tV2j zAVgR4368G?SIpPK`ZdkhdW268`C15P_*z>--V!`8b6|ly_u;fh%-7PV1$-@t(tIss z)Z=TxW%yd>W<0`&;WX+4(tIs>N}8`F&x!e3e4It>gnTXdKx4IhEw~I{>#3v4)_}cx zjVi=D_wCxcXE$~20XhsuDsN%efoTH^JFMAo=z3_+?%h1^-tiadAbPGv zkGd?tDi5nTCrbEQm<+L=^Z8n`n2QI!EWXxl4hypIHfAi%*TPEs2z;$`nbkC3YcI3w z4=FsimF8^0mfBe67n-2%VVv(Y-olNz?f^Wmai{(9F-b^O#7+PvLcZ1lg-5M6e65S5d&(0Mx=82c(nTq? zj1-Trb-Q#`^)!4fghy9ZQN-8!sC3qpm*s0=%ME52dV72=#DN)x!j;*4t^36s!vJZ% z7P@D1l48WyLhpu6h7o&;@U;*EW|_JNBbdP>-}61 z8NSvq>jZ0}#2N9mFf%D%>q<0*#dlf07QT>a_*yb6S-ut=31172fUos_%+?`ai^_wd zuo^92i>DS6z82FHXD?O5-$0I z#c8cU52JF%Qx*wdi-~)DEjWF?7Mx&E5Ps8r`XJ&jxd`enlEk0yGaoRrE*tlF?_9)itx4gCKx{ixD)cVZVvfc?R=Hg*ptcE!bq0pYe8uFS~p{C-~%JR z*87U`wMt^X)*Z}@<7;7_@%UPB9fq&9)lHbNOfxNF5{e> z;%lu}&Xk!^y3lW+@UdOmxuZAcYvKGPG(hQ}0bdISO01Hk58+O}<7@qg%q|pTI>_d0 z{Xu3K8#9Big|Qm*j7h_c{mR!uR7^G!HJJgsb27tF+7IK|T72t!)Anr%nQjrL3CuG3 zz?iRv_TQ3Pf~WXe-*$YhH?YKDD>i&BI77Y`1R-Awf{?HEZ5Eu**Sd{G=JT}>Lit+Q zYeQpLj(=jl)>ymCy!`vjP{`MUNMb~Mtpi7jlf~DGRi*#zOTTQT|Kc_E9vp~8Z&?0)u5o&PX@mS8Z_9HF z5npSETiEod=0tOCz{`58v~3ale61U#X`4MLYz@d3D5j8xTCD+JYkSDoTAku+!IqigYrP!v zwVsaoTC0wduXP*Rv|d@y-zNFe`QnDR|XW93NL z?$I+=z!ado6&RiXe;J8?=FX8Fp_O9*q{=t&&u#Jt!B@_e4m)}|`%`1SU2W5NKJVkn z?LOS?*}ZqGWW=NXOkM~Q&pQ$SeUtO;I2vTOKCaLA!)@Ax+P?{z7(F#VFlFb!{(Z)szYkA%y?a7%`>@8(@8^;DZvnAd zG{q*BzaCLp~=bq&U&eseMPTAvfJH=Gx z-u?JIpX{XMuNN6vHi-ly!|9uLFnY}tGMEtPb@C6g_;8X%7M=w1-VC`rH0371wl12S ze>lqF!+s7Q_H$?pVf57h;~eIi@-HS?<3O5|Y|S2VZ4Oy_U+&OUG_ciQZRQKDY#edj zhGt&o6WA^#Ge=xE7*!?UUbiJl7Uw`s1qW*CarD$Hne&qEUU|wzn=jUJ^jR;T&E$qqeb>?B| zgUXofBrT+}VGj5o*tKtX`>t(UoADaCvJoS_uS(|+9+?1jb3}l`o{a2vIRex*d^UTH zFG&%g?iKY%=t|tgZ3Y$zHcoL%3&l=ngS>=NnLGm2GSLSTF*b_ON=tB%6{@a?fm*Qy zsB=Wq6{)=ljl8`L`jphnUW`XccR9A`)~@Yd*E=*Y*uSx7kOvk@ zzC&05CN^FPE;+zz@Ci^5gajzu*@*~HWg!7do1;&Ff}|(`s*EpFm}m)5I0A*zDX7%E zue?A3We^ac?hXl1`c&+*>YP_-mO>}sNeNIL(qiDY1Skk#bYlP|K*4wd6Q(;bwe`SG z%=S49nx(baNH#np6j2_H8P=42`@oo_CO|ij8knEu<(qMzg~k>ms4CxfF|3^cxiIvq z6U|DZfJ-@2xutk_{eePa%aGSComI$H(GoU{i_AD#nk&7kn&-=~*9x8je{JYl-IvSD zPx<2B2rJE%$gg!9*McU)kn7g3>jUW$x06?`9~fM{0@)~CgeR3=#j-DbuROM7wm9z4 zJV+BO#X2CQO5G;>kbo*>%H5|*vATV#RB5A@JxD@3WH1^cyvH0AWHB)S>Y^xBs&u;a zVpj`1Yr$x9q#tKcrQRof*-@piB*5`A>P`o@?cK+%eUktd07{iQz?VPfHZ2Kt{DW5; z$9&dzJnTEZgEB(j@u^a0^YENG0I%dvEhGpHRSFDXln~sODg`?(#xn?1H8|#eDG)|b zh}1Wv03?D6aB90Q2g_%nq7KUF_R+9iq^VM86Sy<%s8ZYUj%Amc)>d|%{c$tm`c$b3 z9OjIvQXgYfYXK>H1n+EsQF`sV8~_OwnBm;aNhXl;quwPits>GqREny?o2wLESE*8u z%ImApP^D0WAyw)cwBYhnS#RZMN`)B5Hs7%qIpui=OVKF*xwM0XDy20eLAH8SDcCzu zK_d33QWr{IHL#;fU5vb@sZt~H@r1owlmsACgVFh9X7H#|GI*SSV3z`;bTmn*QY$2F zDytDyYOhC?lH_?c5M3hA^jVz=Rf^vs((!cUsmLb2gUK;Djy==yn*b7!E#{Q5hRW-^InoKq; zRb7g>wy1wp02;1nDn3=J5+f+G=uxFAaab2wi>Xo+Lt)CGN-+s&O%YX!QrQ1eR4L>G zLvBcwf=sDWqWe^7)rC~4d(l{{Cb2ZCEdH*l(-ccpkB++%K?)U; z!fqRpx)0TO83krx{sc=$++n2~kw=xPs*~arkEFh<=1O6T6i4I?RjO*S6sSmjs#H~< zl&Hum8 z*s0~~*AGHp5qHn}HN8V37@>lJjRPB0P@)0@C|8+0gu#af&)U$398~`S?POFhYkAa0 zR4%Db?9+{Kzo?L>uxc5=6{G1SlqHo)A$kafszFxcv>`hP1mR+W5_hT;u~3B+1yrfm zpaP`?MxR&R-#0iku(7A7Z(sm9r)wSFWc9k$EDr1JJ9G8GU~YuC`}#IOPw(&FxM2{w z979mD{`9{76>HX?0i}*2OK^u?r~VM4WJbM+GRa>f-C*>2ETGXi3y0D&jw?`FoKIL< zSjLQgHl#}7b+DAw2}g|@Z;&29iL=B&gp#7jr%GLo;tHu!H=*SLw-nX{C740|GUb2; zsYjL4y0DQgRSHs62&Dm43Suttj98ahka2})WJ;BS3?mg~rlTb2s0*cE(aZF$zzaJS zHkMkTJ*pI9vV)nJS>CP2JEBS<;w<$EsZz-0Y?TL8DTwE(Sel-rNu4gXLm&kkQJ@;jzX(Hl|9!Z$skeHf9)S&?V+kr7E+iQV^bF z0?W=0S`kMch|g1TM3sWa*2Dul1lHOKj|7iV~kHMR$rSwT_xCI%*AWWEjnDA@Wet1X(Rq0V7jg@*s5n z+F8)~YhN?E*2QvEshb#Qvvl~{o$UR!`_-{X9MFMee6Br&VIZJN)#2Eu)h(a`U=Yxg zV-koZqRJ0@jz^WkZU#nzkScX8UpY8T26c{ygB(@r{E#ZOlaKSMQs>*UhR(cQPL*qE zv*lZ=6e2mQ6bevu!n$J$ToTpJg0Zpg^`pTgz`u}Ls#M)E6rc<+9M-*^1yXmt@33cq z>h5Og@V=qZ6UQB2q;T}8UEPf`Mw$X+ynrh8At|JQDs@k>GK#2D;GhPhQ9x7X znkG$^dY7e2y`9CGP^HGLVdflFN=h;}7IvR;-w3EuV)*f?Qeyb=sZ!L#vC7P*O6|4R z7`f}mfkO5+#(g6U?*JwvgYZr~?$0q*>a2t+^%P%Bmr?cgcqz$?PmyAxzPtV`w1)b2 zs4n$aVMC@ERqBBR;dG&touyhK-lf5F)F@Xw%REM%^$(y@>K~IzvGgZAa(rzW&~;;jRW)s)1?Z73`#h?YX53Mw_>hn)1xZAe zg5;>FQtx9mO3_-1)F(|DSocFz_Zp^EclWLs!lm2{s#Lbu>h(Ds{xcDu6Gn3piZ4#Cmeu747-YrFyBL1@h=R@$%IG~Tc zj*?KNCN$aT9#v|>42)k5U*q^Sq2CPX6NZu}S*lc-4D}PhKxf@d_>8T9kSdjuuIyXa z*T1?4J2v*FT8zSPKsH~V649myofm3PB6$WjSbu^CjU_eNByGg-DFRcaF(Ek*kd z;JZLl!xPZ|VyYB^g;c4}Q2%2d&6GdkTU7phs?;;o{1U1ZoY_>VGUUJh0TwAtU~Zsu zqf?=R1v&A_>h7Tx-D?K05Wz3l(P4%~SqA`8B41@%#g*Ky*g`>cKuN6WGl(7%aN|ecioSN(3?uSmNq1d5~mrQeAT6 z-M!z$PoiN>x>2uk8$clM!n1BCzJzz0^f8$()tWT%CF@YC)Ke(5qExA8WB|cR*HWea zhyqKTjip7YQjODXR2^;`K{aDck|SjSr13)iIh_6wsfsuWx)suW~CRSNM#suTnvRSFS8suW5*q)I^mHINOT1!;f)Z1z)z990Ub ze7} zRH^1XGlq%~G!9;c0yDQINsx~t!&37k$?~l(cL`N05Ut*Dx}Qyk>Rz+P&znFk>?GHG zE;X!Xn8rP-RC6!0W~frPvO}b)Qs@@wq|HG0ye*^NC#TYp zd`dT2psZz(bLLx(2%W3+)8C0nZk5y;b!6XR3aoxH;+E2Ut&vJd1 z>ufttBu8U5F(6snw-)y3M6!C_>D_B!j`k%R`q!_-;%^{V>WQ_9Z^#|v$*>AJD_7b zm3xBj-rj!1>^>d2%Z>Cr)}4t}V}&PJ(Y2@3>A zEG)2ytw?;OvCeox+GLt4<%?JJuU|XV+jshEe7Y%|fBE4bCN|^4`lv+5MsEwLQdgmp zX5dh$;XBO`8Wbujb~7RCr@u{zdfQZt8+tqZCq8NZ%5W7@rN%eonaAO1IAchotN*D- ze)6bNXEETd49KR`?Zxo(Vsaq)aL+{^n)A#9e09hS`zcDNWjB+5QBzIAII7fj@NS*# zd(-IXQKfXn&5_clN=+dXxD^Xx7L!yn{_;grRH@q|qnf;C>+R-!TR+MLEYHpKKt)*I z-E%5WNwvP5rb^wxeor`vIZxhtH~T*J04!AsHiZnTR9Qrox*YkQIac!B`Z7yA;6SCQ zQlDUmr6#j84bVc)49z^xN_R?XdXi`+_J8CJXI|k;u|=d(Se*l^)bq~PIa3Y6-sNM0 zoUmKt4oPi+&2ux(tD{zDo<-$FDJYp#DOh!}dxH)sR4Jx}T^n3+gWb?fs?_yvLo}jF!2|m>G-G3e5NmQkl|m+4ndDyYbP}_Qts2JJx-Fzi z-NMb9*w#HSSH;OyuRc%>XuGtUYJ1i-Fe@5CK#O z1t(#|^+K%eJ`){bWRejXn2vv5vNn9a5EYrVuSg^`b~!_qvo0JiGkLNn&0r1^l|iCP zVr}n0Ppg%WA-Da|w5GOS*dM=^#-=A5+R)iu*jcd1Ck{+ZaHutYRX&SoPzTH&MjHY|RH^6L{t&{pz&1olQKepH1N5m}N6-U{8#Nb~L-mm|M`?a=hpa^Ud!4 zwBJB5;uxlckrpRDMM5(aofpue!_?ALsn=Ml6b4sV@suis`*)+t<@6}-M{PB6(^RS1 zO~~8!oj8mteS`Hn`$Lv0C99n6dpGSe(G69KUFtAYsV97@R1LG_s8Vo=5hnL}704ph>O5uQcvqzP} z`T2w@Rqj)zwtG~mXML(v<@}O>D)o5Du~))c$ZHTdmi3ktRq7o9RSFlozBQs;PR;P- zDWZ(pjPx8;>e`4Z_0yOt^|r%MrS8n4N@4fas9_#e>K>LDr|f_#g`k;KDTL0XO3j&% z5p<44L(F*y!v>CeoIecf6Ne29%yTC?b!pC*nGrJ(nCC*1Kndu9qgYQUS34m#tLGkv z&1$7*tmyAv+c&hTw?BtP>fDQSZOD$9+n05<9Fj7Rtg7}$9Ab#^I{&AvJ1 zh4M62N}dx_rT91tmIa>SPl|phUsuW};8u$Jq#|_>L(U_NC1XL*`=ToKN%uBG2u~`k) zqe^K=yhBI-QH0E(O0h*~zhNRn*ghYN$*kj+fGVZg^Qlt((p7w_6vAXtrOG5VDo!2u z7;UuUbGn1NU{Upk_3PIRt?pI6$@+DJ{p;7jj$);9ot^ZGwY}H?->~K^Xiu?U-|1>s zaq>0Y>#!ssmI3OFl~Tv5$c>~8%!ts=I`nMif>UI+!AcyNTrl7}&NDe)fc0OBDz$L5 z8>u?}iS-vyr7&$^VHZ-R7OasDqUTz$j7J_8V3mheoD(Hfsqja_d=M{>~GPG>lKFNWJ17O_=4C07FqkpBG^CBvhp?FB4W{JMaCD? zY07Xk>VQ&O7Ez^YScNfF3c`>o^7;ZK7u8Nremp63o#JoIv=+I zer13B-u`%55>gfMWL_p@&Y(l=%gqCW=q-v&T5YIOUy|-APe_;=osUWvrL^Bry-mYL zzwG=^>8k2!s8R@zuBxJlD)mR{tSK);l{y()Za6(lZ;vX4I55LdxH6L}b@C`N$1p&e zDuwRZoTM00rO>-!lVQXj*v|Iu-Z5}+ix~ru65ehRLclDO86u`i;Ykry3f&S5>MW|% zeVJ6L3sL$xZq+Y-mJ1?-k@^(tB%w;d8BwJ$Ggax#gr>0g#nB^VpJB;rq=DTaRTGdx z;z+1cn2Q3c)U%kaL#h;&2bp1)yv|XjaB3l;N+AhM!5DOw;M>o;ckYC_boEv|m8ue+ zYN=Am^hv7J`*A9cv-^@S`BW(+#r=@&y_@82|B~w@X{e)1t1Ltcvz|%WoC#iK1U^*? z&+@5K@(MzsFjT28p@@+r{>)%LpiD1)ZAg`pya!Y%=D%F2QcGbd!CnsDW9el@s8W0r zj2~`ZUcYVy_873UyY%UhDuv~COqIgelS!4TVMklL>0-g_^c~#K^%<`yS*q027#sM& zh${6TMX6G!#Z;-^Ff%8(_m4?{T%PPL?5$fgSPsxXeRNd-dWW7+SrsZtMzRH+}ctU{{Pa9rvcRH-tDR7t2( zm!WLBejsCg*TYoMx_%dzqoYcl2Jh~?nIO8GC6a1&-7~GU!<#m`ms#l=k*a;KoA+PR zRH<8)u4HDEF6l|q5$xR28&RdYaefjSAd9fur%H9hK#5fn@9- zb@$0EV`FAer7%`wo-t{dv0tcCjEc!dq9!w7_d1zjDDBUrN@1G7ETa#MsZy~1L{usC zh?pwX)8wd92iYiKgfUbpI76xw1R+%lf`BU3)5L<~nZ#~$8T@7znMajk2&GD4uMLf1 zIsS>MQbTr^xd+B$*q*lS-8sB#lYYOOB8d@Er5-#|oGhx;+nHNhuN8KL`#Hlq(1p0U zZK+ZZN-ld~oEMF;=U>!4WZgfrKVbXS5HCnWRqK8a&bo@Ux6+gL;$uE4o$599-s8p5 zGlN~IcYzJki?{W5G-!h90-NZwZei1-niI{n0afa8Y1<<9sZvi$(>8lhFcUkf)N|6f zSsE4<^#^Io!9G9_QbqH17Jd`77iZ2g_p5rVrTMyT0!NkVoha?rr%Lr6D>_jYRjPM3 z+AXhg;=|m86rhrr6SG6*=uy2(b{UFbwi3O1zToJ zmFis^QKe>e#8j#G9wk-kIi4z8xwL%KY`nbTNStJT!oEAl{46iuG}rtTjdEq>21GlR zi?1^Wx9!@8y~T^SY}+H>C=jj$2oaYPEm3~)er)rYs5xYA z@KvYWh(E6%U6Y%jx7QiQoQ7JpQ?Omv=8c!`+K^s@k#+BZJ*v*#D}gT0Po!!me=rQK z!txLQRDq>8B0niV#iY$^#ps4RFOd@Q2vAd-K|`7j)Y90-{FFT#6nB85)BU;0`QyFF z9F=l@yjH|phj`t}oVpj`7klB8yTyK_4Ty9Ik#29yFCN}?fcpaZd-MHM7@EIprr3+t za8*sBOOHeqDvo(4DYtH|ACs(ACP-*c#1M^u0QuD{TLGoGZ9q3FeA> zNf+;LM^|n>fA`+z1t*+z!tCbu^&18|b=!>?jJ*fsR`|XpbLYSd|8JkGLH1$V*@nYV zx!idLna%UqvgZ=98St%4b16 z(L^2PYO^ROB1|Y(uy`ots?_G+Qm#fvlq)F+OSzIVkOv^MBfcQ-px3W4uPf#1Zq{!J zX0F3ht{SDylmPU=(9kd0G_IDYFm|ga4m#-%Yve8&mf-n%uO*dSf)~i9T!9yhv&Se# z7VKVDgHO4FAf#Mf#y2P<#EMmkd8dF1qEm)zWKWx;Pq~7mDCMfamnn?5l&k5I=YmSj z`$|_RpbP@a)ki|gl|B`HRT%|^$x`TW8_JcEDd4u0D+pi&WB{dH!GJI(SLF_H8Ol{o zviA{F#Dxd#4;VUKFD<~jSsf6mPLdCV36aWvDhwwg<)@q`8eyfm68R-WD*crvQWgFk zPbxi$87aj=7mgBP`IqWqSDQoWSuCDmXuhnK79g8eV;kd=eF2fml#x%QV%7LWssh#t z=vhdq2$6~bP;NzuR0SAU(c@wwRpCd{=Q4;?ebVn7k*Z&p0%;=E5cDT`c|pP)vsz0+ z9jAM>am*IqvB!7(Ey@V(+$U0%@ZeoQq|!oy&=9HCO9{bkiB#uE2|-|pRKrprjGzE2 zEO$`=5=Mm@*IIDmK7blEVNf#0Ea?~0M8tyaL$Ap zh5aQ(q(VM$S~w(9L8e41(Nik5>g+;EP7UVoMrl+GRC{gk8)@WEUj+H{?fhu~s!;V!`q*M(x$CDpN zJAei4?DdFLh|mJ9G@D3;`e>D1tm>UUkqRRadZHsz(J5cPtG>z-sVJ(&cKS+mh+Igd z+9@SoeS?1Ksrpx=A|jQ#JtCC~JR+3}jzFZk4YgeTE4Gtr7&-$Y)dxZ%6`H z#Yk-u9htJEQYl0ap-{EG>YKEKK*0WsM#doM8w|qZ>}+=wp$aJqh*Y#n=3s2ijUG9Q z{3u7H5*O~-7$TK(8X}dr10t1^Bt$9^6(dr80A-TDTDrmLku0FmO}=9mN{jP}nGZ+5 zCnQqgbx>M5;mFC)(bJ`@C~-t8h)_}#`9!KYD6Wu5wHz%EsHU)!QGyxNFH_V7r5=$= z>%vC1L@G!zm{J-LsUSwJSg|gNAValSnG&fW!$?J$=~xMN3KKgW_h<7?}$i+h_louBvK)lvsE4tsUV)GVrhDgNCo*qm3u@g2p6j`AW}ix zrQ(Q4g}n5t2VehueCzJOUTPCmcvdAIX7|~6tWgh^C9UIohxh0{2_tPt{M-te@fsZqAPtCAHIIsh zA#Z~BtHG=m5UFbZo!x+!tBj&m^GkLDJ|rPhQ5F)ZDDjC@bjL)h8g6z_rKwpiQyMil zAyPF`235dlb4sMz2c5s>aj4w2g)vH`Vk}3bT8=oiy5mz@&)#2)t6dl?YyMpv(1B!p zu3dm(ARtoJ-s`q?r~+UR&{JoQNTtdTYuh7IVRHl{K}e)p#8(auqG2%P!6HYbqL~AW z${oXU{=K%IkMoICQ24a09g#{)n=RiGsSwE$saSxb6V~2a;F753aaaZGj>V^A`Tmeu zB313Z5Y*{rSKT}oNZrX&I>xceluq47mJTc1EN|-mrAXoEF}=EO86!=BFg?-!bwL;i|>PI9*s(13mbQv}7i+Cx?i%+0pqQ1L+1X{zm?=qQ_ zu-LHVC!}w0hqC1AUzgyYFhuEHZFxTYT7u^&1*PV3^B8s3zYdjBf2mZ8B}eg*pHGNX zZ%cAsk4H!=t-r1rfxe10xy@HXq^kd~C`&e7Cd>FWc%AWm9+65j?ub-;NJylDBqCBl za@0hsK2~Euq#811V2M;asqBpZ`l#YWs%)<#5vkr;Ln75UH4&qoj)$qx5vgc4v=__A zf1RU@ZH2t|;}NOq{|j~0Fb)TpkjsWaUJF864JR-yL!`nWg=0}D*M@!8Tg=D4x1=5u zsS5U)7@iDIi}~aivKyd>S>F_qYLEdwEUVZ$?CpCUB_UEZe8oohh*S;V#DLzgkK0_HWv90Yw#Tv=NaC{Vyg`Ay`PHf{{HWQe8sj z&nHs7o0?xjq=GY>NL4`o>tDwrg$c|JbZ&Hltqsj*;-OKFNQGZnM5_Hrrw-T6lQhgo zEo0@x_l?L`XA`MrNNt2fsz79jRG!QcsY2Nih*T5*Ik_$G-f!X%8rG!lY$DYic&ABc z%XF#Mq=|P}hZ3pYi9#z%qMTu0C9<@<*xSjNG8q>Ib$*HXI zypTwRp$#k8t$;S|hgobZ8kY>a=ZIA2aqy6QdPJ(4Uz#z}5~;4^$5R_$h>28;922P^i-}Y)kX7Ysp!rSyYPo%;izGs-#)QD7a<1CR1?^S|~jx$6m91F*&F=8C(G8(i{MPp}@BIMBgrYstV zk(hOE%f%3>?hu3(^h30mDF-4Vl{6VF8yt}e0>K6Of^xBgOu5A)QXOLDc|GH(51Qm6VMwGZ?s-@u)nE-X_A8%A)$~zj3>9IC zR1}!GO=vxiwj*O{(?iU1^9q-Ngh&;Llt>kb4ojpeqlVQC)3`^ZYWfwkW{6Zc!<9v( zLbpICZ3e$-ZbYQA4a_4_*(SF6lKt9XAqa_75X3|(h+-lYL=lk+l88tJNiibT&U$7S z!+UP(nPV=?jki5CCQ`igFJxPoz3i=5m|EfJim% z+pfiVM5;&zW8jgAR982Ypq(FkM@XcS6_G1WR)yGZlQN{}tJ0x7BGuGiP-069L8ejn zE!SaH0ajkQ(0>8_r7-9^ovx5bwL1|hk!l)FwnGPEo?p`U4G2^IkP>*1NR<)(e}hPM z2gj6_PTqT0BGs`kF>{7Ug@%jO6GObtE*zTCm3ae$QwHas0&lR>r~@~N#6^fyEg!Mx ze(UBj0egZMj)X{s$GIhQLZl)-qW*27`PZX}lt@)C7@s=%`!@3z;cEWi)X11f^*WI{q-sY|%$UXk!9gga{<=zO`G^$JTcz=(iB!J$ zD2P-W^ihe9joxN$4l>Zx^MlwkKBP+x2JSKyWmr+p?fdC(OOvuq#kirj!++u-^H+we zkVsYkRXp>!Df&z$QoYTiMtMZ45e&G30r8{dKfD-zzUnmx^4C2Vd1(45^8jBRGHDO9 z^SQixO9PYtfTo&+aYU+4dVksXrqR(OQt662CQ?oQB;#R0%wm#i#$OhW!At3NB=m#U zQzE09yk_eu=6zcSxPT?zyp|)^FRaJb}(10{`3Ac-nMA6S-G*pHq=EOgC%`6XLy+ZCoYwOwm}+$4=nPd2on zvnOF;kxv|-7l#_)+rDZeWA8sEQqB4|pGbAJOt~Ij>UuUz3^Qi4u?+ztBGoNye+Xe) zU>l;Oh*Wp70s2I$w)@!nf}1Fe7!s-4{wJH8yK16DsM5?y$vvGMu zDyD@Cv>tPcM(SCAQX*9=bvIfXXy8nURI~mKl`zX11KVNfWC=gcs%gi7UmXyd43X-M z_Pn5FP0a>8CLlZw5)BoL4ojqJ!(-c8Du}X)RO8v;_(;D|v_qOE zQo-(-MWjL-&LUFbc3^Wvq*{E39}*q+Aa48zL3E)M1EJZ}o{(m>#IfXJwB1D;)#-gNcF}NpGb8=ib#bES`UpV=c!0Mzq~a~q^d-Ej!3mA zB2ocBbxjG%bl-(Ldt$hG@vk{A&Xu6_WFbRB+}s*k{w_VDU6+l9!Nthd&)f$P6MCTZHx-B+^li z?eiK8y&b&)kxH}Y5vk_?NxF(pq(Yc1B2__BqXyG)hUxYlTXhGuBeDD)$>iD)$>iD)$>i zD)$>iD);*^M5_18HShPiCd@-G-5+c>2rz0Yj=twb8ii#z!m;v&1zQHw$s+lHI z!S(`vN+yx2JR(wEghJ@V)Q|4fDNCBp3(SDfd4OgaNyieY7JQna-)IB8*Z%k)_Q#!` zirD!vE8Q0@__GJFi_Gx8}$q`Ebm zNVO*yzi>{zXeZ2p2MyzJ7T`uJl+0vilrHJ3(h=<3 z(Hjw|x^R9H8X)VU+b2?W!9a;s66HRTs_UmRyHJejAd^Vd^_e?CXotqOQhPNxrA|EG{&Ach`R?zPt|>m{Q=vrO5QIGRjvCyIP0p? zfAXdOY^7iGnmS=u=nc!i$u89M6C31Lc-v%aMJ%^n;2Ldl3!5I*oVW=P5UJiMZCk`X zk?N2%ZLVdzHXbq5vh6} zly>VAsd^p}ohXY))$;>Uh5{lLa$i{6ZFy3S|7d3+28w4t6x~r@pUO zNA_SL0VQNXQ$VCTHzZPZrHE9pWyVCRp5I4As(8oe8tzL$s4J*f3+yDK>MGVuh5&=F!|~pmk-$a$K0W5_f5*zCqWJa)A=+4f44DT zuP~hz|72h~r{0u zD=bgv9MKy@YOmFzY+yPv0nLP4f@fiH)kGanXPXSIB20KX81t24g?&b^#|O0cRrEKjFN+Dyq3nGH*3Yty(!qOLVFR|yWz zYbY2}^oLHS`3YW2D#3(Wgs1ZzpQm$x)!_4VAP9LnZ{`~WJe{(Tr=!i$=jlLFl&2%t z=?fDrPp4H!DwUe|m99`g83a6?yF;FiJ{3clUY;&AOQ92&vxh*pLWi^%xGhfy0+=uu zKzTYnRG7-#@e{+-xfa`Awb(-1%l)3=tw<@4#*DqgefxF{?~<)LHg0Ua8n5#5&A1(4 z&ZRSKRXM17Fkb2b?Nuily{vGzsjX7HyHr~#3JGJklEq7B@vBQPD&uVTKCW>}uaZwB z38Tk;ED4Mr`KgQ^D>WZe5=M{6!JgM|5Hl$J*l;9|tEsi@h z57NX+|B*$G;m_`4A9I_~7y?F*DR-aI!|L`KJ*ACW_C*;z41l^Q%IGP@F&*?`R|`BZ z!3Vw)JCnB*>Q3o@` zb{JGM7(HhbUoz|%J=^h)Ww=Zzt*s2k1pRR{;`)r93LGtr89g6k)KAzLkKmm(U2}=G z{8ZGAJSd!#OdzH4yh~nMMPwtW6jg;Kpi0qomC^I4yuJzz*aSrwGJ38-qb)y`^;UkS zRETkG^BsGUQ(@{bqp$>({~XQCXY^>zh-jYlQ%28)l2;Av7(EvwuW3fl z$gYIZBU6K6^vKNMF?wY1@EJW2B#fRFlC~lf0!GhXkI^H^^P&|;Ag0giOc*^CC?|!M zjJzDBA=@iN@vuZ-7aNIBV^d{LGkX4x<6P*6Cya!+IHL!}X&F7gk!BS$dVU))dMf%I zqvs_VRTKWFtdHfDU@viuo{D~!2OM-sL7RsKtNQ(1)q9K{N9$(`lLif9x{69gAx^=kkNC26so8k0iy@X7)sS}L_9_h*67Wd zj2=X2fu@_y=)qgJ=Egv`sD8+2^k4+ad5j)934HR_2RQN8`ln<(K|QJ*VSi?TgwcZ+N3BwpR4P|d=phuU23h@U?H~}a|MI;N1bu@+c)-`Ly-lo$4Wpv7fQdPm+4!9 z7rK^>rS?3J(Sw-mU?ygkcdPM^7(IwMOMOB{4{|wMH{%St#5_h%Wfr3c z!gEYupV0&Hc`A+=J@D9?c);Fj89ng0Ks|g$52OL3r*?Y4=&8l57BG5h7qJ`gx`|P= z`2Cic(L-6t=%K`C^w1qMdTQ5EvqeX(!LfFuxe24E39?$K0!F5co`cZ&YiB{{uYJvE zW%MwXWAxm_IM_eK@L0Q(y}x$9Iu?loI*`nhwTCba1dN_K9HO(j1yleG0(uU|F?v+_ zVbAdxJ#UqfAY}Ah%U2GL=9KXTJx0&@A){v}ALlcA&bMXl7(H6rZ26YagGiRq!vYkY zu&(GYA{ymR2Gpt1xRqe!ZLc^ zWf?thXK^Nso^flKImhUcl9W$0jr&Hx=n=z@&*%}ukI(3#9*$L}WHH6)*=sL_F;5&Q z4Z-n6;4q{{w-igQk8E?iE9HZx~gwgX9Urd)#_4Rlu$&1hAVWPgf{w%bH`gWWG zsJ{y50p!}cX58MF6GqPi2@vN(DLeaoJy+p9N4XHSv&>@*qvrusO8sL}DVC*!M~<&e z7(Lj}bUDW(q?Oh`-HbrZ_}ZM!SHkESKM-Zfrpsg*pDda2@ADWvnsLYI;X^`34jGplWsH27*1<`gJ?y?Y`w=gXO;lUu4%Z)*~Hhj%`?})u6^_bD4 z7tqnJFgzuUo`$7-;!n_c42>nl=(&plu)&Uh#vQMX3}73!&xFx4p~*(~g)=aIHGGW& z`h8T9kkONp9*NQOW}BrnqvsktuLP^u3`Wm;BSz1e zEZdOLvx$v1V)WpYP0Z*)u#nO78EWOsjG6K$e2dDT&**uEnqR``fis)YQ-=K4Kfoe| z3Cs<2ZgeVCu#r!Evf43v@GFba^L3;%ZXl>r9jRqdocP-j`KoM2&)-UIgp8g*WEef3 z%rSaG*%25$lj@TD!|weiei999(v8`S9-b(fgu{ghqSmB|FIk6dIgWb@g;tc&^Nb82 zSm|0u&mS>~ zX7oT7GkRbktICaq<~OCfE@bpPri>m+mC^H9iqV5N@)X=dR`Lb zP6ngr+B%HHCO(cDDW^OVF?yuQVA&N+wp640IXY`=9yTn@1bguN`3`S2%epp6N({WPH)TA7v z=dOU!0|PgXAbE@)$vDTi=Da*BX7ohDkkM1z^RSGbyZ9NX=3bxC)0}6v$LwNw z&rQ8|^kunv+e69IQ5a3G1npw#QwgI7sdvW4LogYmxxUv`o}SPUJ9akEE;{>eStS^7lzUEo4~_o^xP|Rxy^sT=sC94 zwK$K_6Uh`{lEWviqk`YJ9}I*&lCNn$8P6&>_N9=~BP$|ToT$KQ7%#DB<2Y6nHA{!` z7(LULQesPsLF7QMVf0)?Pp@3)e~2_@hGDw z!-I^T4DtU4qvs`#DJ|FG+Ph)&w4BDw8AcBpE>=$r@qlCWpes8@k4%LgqX+%D2%~2@ zk4cD$lpof_xR88hGhy`Lac;@%a4>amM_;S|sc8Q77gF1VmH}%G{?=b8e}8KJB3$h{ zr$)w%o(GJI8ZmmNcO%;LvqU+Yo?}Y*%TaR1B~VvAMo;3hjGjbd89jkS7(JfEFnR(> z!szkD+Qh-=@nl$qoP{skyBzf?qsJ43j2_QJ89koBFnT;m7Nh4%6vd27SRgoJVbot& zDbuB~&Uiu^Uz*Y5i;sfQ^I?5dqGO}CS(}4&==!TrNi%T4&JcF+wP3BN*v*8jpZ+!> zTvIV_=I_@wzOg9#RsYE+PCj&H^@kHh^U#*ju=|5J~n<1u>9V!&G&kWH!Ei{aOj2_q&G8jE&5u@jFY1ULcGG+2PG5@sA5HBFUy3avmBM};FnXSMw$7Pq2=*8~GZ*j;Vd=UD#Q$$R`d=OmL_*epa)IJPUjOF{7t_p3ms{iA=d3a7V5(VVE&{ z7;Oj;F?yb7`$GuZ0^1NJ#prpN4bW%w%&KDR3(n>Fg9{f%iJ+hz4SP7$Nc9Su;pt%X72j&}P^gs|X zdayI+GkTa>n$hzb%jm)23M-y6dVu^KRj!|}-6Kjj#_&Ge4Wr^NbX~oU`97=+N1y_lVIW?GA^RQC$TvauY@m4w(Cl9-N;~7(L}aqi4Iv z=y}#>^i<9-2^c+(m-vhx+>N<$1gc`k?k(n{efZM4$LP5%&FI;T^c89jGrJi-TRH0lEsxiP$Zmwj`}3*~7>k31)4^zd;O(-AUy-~)}- zGJ4<|DcPDo@2IjhVDE3z=vPQrRLtl>o{KPgvhsndnZGuj5BbQ*{HxO*9-{}zr5HVs zm1x}ij~q96Gel!vei1Nwketuxfio|`I>x5UAz<`qNK8;2{YMcpgVDnlq4sqqGKB5( zu^4(gZV4DYnmv!v)6p+o#b@*&OctYuUo`Ewg&Iu9J*L}td`@>z7c8pIWb`QV2O1y`j>QlNj7Oc928^lh7#=M z;60XJR)o>RH^KPf=4H2#So(Cx=)rP3X7pg}$z=4@u%iLzCQO&UgZp{XAP=Es^gNBR zfe(xrJ^xXR(X;Hdn9=haX2vplIL{=E9=Z<0==r#tNSA$_iTI2j^ny%AkGxO9=)po; z&eASB&Af+W%k-@q>^}=HyNsu3mwiSi1PR4%88Ui0msPO{9FK<K$b9iLY|Kl zoN7tA3?IYjiF}GNdVZJ9=y^C~^!%7*6*78;<5JIH^prV3MZ)O03}w^x0~zbP9;SlU z^}DznEu&}IY4GmOn+c*DwolA7s?~MRw9*c5+UQ8sKa?A*~CF?zajei9lW>!{mj^mM~OiB%HiKBK35q0BB6V>-xW^mO;hEMsG4FnTan zW1cZ-n6Y0NJ&cOUMxrJ&VD~ziVJPk2w&mbju)#KM-=-SGUbM_bm?kjG=mTR$53D~C zqX#`AX7uzlIY!SxHVW8^4WkFnkkJD{$moF}VD$7fvEY10&&@0{kI};r%ILvf8ydrM z{1Y>JhU_kL4~)mKJ#E{&b9mP#{Tebw5+h>tJb0uyS&W{yGq)G-9ES0luo>LX8Qy_1 z<>t0!^gJlJ?16D!G)97Skn5fy>;9Sj0o$*JctIMfTK9W!)>Wjv`VC=~_SRYHRIjP` z9xslb8SFy6_@J;x?8VzATPt!pzYC0>&$@+8k7`ad*9MH9$E9tH*k|-SDNWn#LBUMy z7(LHP<7R1C@XjBkEeHDmJxCSJ*BNt7&|aK5%iOQ(t(NBNwh0`gr+1>XTc6R>d#vb0 zS&W|E*=V=C%87gVgcP8Xm=m)@r7R%E1(rZ`CvMg_DR;HENt?d7Lz2E1Y&pGGw`2zo|mwWHyJ|2a0-e>*1 z&;CB=JiimnpRn(?m_JKPS4}j3%8GJs#S%n2m7A|KmUnI1inGP@JG(ZEHCeb4AVgeT zR5Wi~>G|7mFl3?@iA$CzceDA!4{z>W7I5ysMN69xy+;Z?!Ot2Ff;cc5mOr!vub2qe#x_T5H@*;?_(2^dD1t-Z}7^3rqa?qOy)9 zr=>oSDa}0%6D?R)`E32+0Vm>3M(Fr$_U!6cibjxbw^UmPj~U`C#$)%0I|per<8l5D4Cn zb?p4H!kX#%MUHpFaovDdH$7?t@c#)2ohe)3(Dtbfz`rMgbqy|UqK!ucT zX<%zBE=N-D(WD9hKm3$GgOopyl+UTpy~j%#E(27k$}KAzk^7(~=@?oPMy%Oao+khNWJHK8#bElR_<w+K3IwOaXHUrUB-xK|mk1}&(bg43stCQSG7?!mNvLHcc&+W1_E_-mC>(7bK zLv&%JJ0e8auEQg`_D6`WKKfP)S+DLopMBse<{coqAW0#*?v=Hq;IDXw+yHLX!+1CA zBf5smM<_PSLv&%Sj*UYi)~=U_r5O7L5rbP}5nboV%1Wg6RXubA%drV)5nad&Yb#CE zA-Z6h!OBX638HHoR#hBYd-Op?h@VAt4U7<7q6mxV5*sZd)ANe1Xyg;ZB%9%3dPVtfap3HBDyqJ99kj=4jRoCf5-j-YbynnTZXs2(4uwN$_<$?T4hMn`TkR}s`? zr(M(p47=1*fyrD0KyL+RSNK0JJuaRsi=UA`moa%^-;I7}C%c~5O5@%gArANz^sP9T zHM4C`U`rIICHC@OxQ~R7&#MEwL{}TVHu#R6zT-X65!$(r)55112{&*Y(Nswg8l2V{ z(vaY`IIS+x5CpnN=(R-}5+f)*>mpHrL{P!|@#zGt7x$}y#c&XyC>c1d-XsmJcQ`H5 z8cXbExCCuq`g+L%#PxAn{csC7#%XmjDnL~l<3fD1rfc4tm;A#LvBEDlffSsj!>)>m zF;OY13T;EB=w^!3x>!D6g@!={6^1yit57>7|6rpnEtd*0jsd=-5hWG;6${!AL9dl| z01!w}GfK$va9aJOf<(N26O1Z~(;6ma)xZv?bv(+N#%Yzll;E^v7&ogw8O1%E7H2Uc zrQ``Zn+gO8PHTart#p$Br`71;v?O`HiDY!9ZvhMwxj!rJ2R-T7SJnb;$VsS=)4C}_ zW?9vg!C;n!(}K7#P7AeUaauP?vx;$Ap9pbU^6i}{W*JOtxICpeE!Z9K_7SEm`J{o= z2(3^ERt{T*n0w2xr$N>8KWyZNe#IbV4?tdCW!h>v3^USb%O|qYnsBDsmVYBbU4;$8 z2Bg%IU&_Zwqp)5HiKqNTX&2VR$7#U_qk;ADo3a+_8`4-CAF)Nn*dOAwDju+C zDpSnzZ^_aojnhH}*tLz1(<;XZif-oNw90=jMT>D-6k~Cdfzx6VSm8uCElM%erf^y) z2Zr1brv;hfv}B!9p;Z^+wC+V?t@tiGb;Zw&HY$E=|4`#xPGiG3hl+PNtzyw)XT z-1{+w-Z0Rq6bpb5r?rFzI1i_Vl3}%LL>^A7;vrF|cqH{*p=LOd;!?K3X;r8VPNY6g ztKu)BQIUr@E&4#C;uGSuU|)k$x#6Ry<3RO3PPA%}Kb{;GHrSDE_{~^5V?IJ(V1!02 zK(ldL`06G(f~(x&nh3Ot;a3J!zQx(&5l`7&#{PYEiQI4kyP;eYp z8NjKL(=j>;Wl5z{h#o?ruJ$TFt{nsd_FsNCf}n3O2=C(CnH^O~QGnCJ2sTiD@x5Q?f|FdBneJSM1^o#q%`ENl5WsfIJAA6e8-8<7UvT)ANB=UPPkaX zbf^=~D%N%16GT^(IGh$l(3C{m3d0wE{g?qy=E%(3hLSeSTvcFf(izqSC73~dopQi} z)Wd0MUD(JLrv)hnQ%VDzmez_D>y`*I?#4_|#c4st%@|~+qa@5MOzaq~=wI8}uK zP7C686-PKNlx3EB@blMnVNbh-Q*S9e<|Q5;P759j)PuUDX@AT5&3eegNElzO#@vY% z<;z`S9!?9zIz#2yD4A_HyV_YMupDWj6`3BmQpFKY3*lEM9yl5?Yz-!~PCa~_7Nh}A ztLkwDI`K=8PZegh0H;;;Ji7spnao0~>LqppX0ifrPjxV$EW~M1;^VaFPT{nQxUxmB ztvXevG=fkCr*$l4SPEd8qfBvHyRhc3da4GGB`W(>yI2mVMT>JaPN*M589YsTPLMEU)YS)5iif0wKVI)HX+rcog^IG{@$xIrM=sd+24!^$@6#G0QJP>wmJ z!F_g&VS2|<;srRZH;Ix0oYu-hI*M>wHLoTw|DYA@O|ib^o<>_ za9TC5vTzQkC7LYa?@QHQ6yUVPNaN$Q#7N`gw73q(E;Ada)o9;uvP=n1>!L8cBe#?V z;hnhlZhRS2a5$}s1gEu!AEw)=+Hc^aq%1x@i;4Q~x(c+0+Mi+RQrCvPpT$-oefzYP zwyL_V3A+kIls+_<{NXn?$VU+_RZp30)LFL`l~T7`D#c>2nB~_KoEAXRw&ZolLRx9v zUUY@JNAa7Twp<=gt7lXsn=X@O{3?9TfJGioOAGFBTFfNGX+aX-WRoYo>%V}R3I zXLMk3T01c46b*Q+Php%^w%6fsT6?O9(;BWOVs!igFcmtS7R`qCRs4X*ILg>o$VW>) zP79}HNCmgAP|AU4TVB>=re$zi7^HBg3wj;6%X*9X*!PyyW1Lo{%@e~@g3}uC2j+-% znDtHJw9aM#0PFFenxAN6PT{l$K4PPLIIV$CV8|M{i{sazVl!k7!iU+Q7N=DygV~^F zU5XDn*H%D?(@IGXhtmSiNXwbVX%QioKbAd#L8vH!xuBsBo)_V?%BlJgr$ta)jMGB@ z!z_!0pxo^nxh5HeU?ENmM)nY=btxBrK2B>NSAGdj3(jnu79V8SZKXPRi``UZcZN3PQ7uya-Cx-RUVH+2>v=^^8!HbR_M zATl^DPv&r1q3kd?t-%i^PyOBZ4PJ+aHRKK1IITPJO+z|mx>Re@;5)5Daawzzw1POT zeKLSxGiz~Lw`2V9oS!R*(;D))jjF@#kY8y`<9dlx+0gYNP76aDcCZ0X3!((4H3ZWK zniYRbkq1sgdqg;`-Nb2O?x-VyVd##21#nt>9Zn0k?=UgjG17cv)k|iKv^cH3{2k4q zuf{knMvie>ki|GH7|41TRbk~f?8~(wPHVT~v?x`a*6tKe3t!~pw2+pE(}F97(}K*$ zX(4`y(}EzxX(2+0(}KoBoE8LF23lr}kJIY!a9T*Es1}El-Kds2d{-U?tu;8U-HOwy zH8`z13*oe8)?%u5T{kx^N={LvuReUf7!=r)qk1=Lq%Ae z76oQ*%fM;Xf0spWSnLXr;Ismf;p zv;pv`sS!>K`jzkUa9UJ3Lphul1R+iff*7X-QH;}qD8gw$65+HUDTLG7fvZ9zreb(6 z8nLS1MMVQ_4~=nJdlH-$QuAI8v2?|Pl>t7ChBb?UE zz?1t1t~WH|ae<%1Y26u!J#3W3YFHb1({^ESS~mqAK2B?u%;omu0-V;!AG;Rk;j|*z z5#hA18kk2rKjzL5rzJZgr%qO&*lrUYQuL@aJP)Te;t!NqZ6U}=u6;`lPHQy(_Vhyk zcFIH~LB#6|Mse8XCa2)}cQaz-cuew3n7^rZE9~{TPk}r-kg? zmf4Y;YNnyD)m<$s|2n}2VU+=E4gRegCjVY-{zbTItP9OjG{$Ld4dmOqws%PzZu}#n z9jjNC8xJxi{L4{tR5O;V9!@K9S)5iPu{fMq@CFz|d+Q3iD7+`gawZBbaZsTeob?eHHg=q>y!!&QjWs(Tc99(SDP zsW`2xJ>->#)2d*=#b#^S_(v~>UoO*Fr5r9_l%f99ED3yd$fO<3&ga@dM=<$2G}R=G z!)bNU`}@8(jgB5pOLyEHaebWDurDKC6E?(DlTma6olR;8 z_HbHF&+!Yf8PC9JRdDelM=RMlEtn5+dV^U+a9T_WXKCgsgT-l$kYk$&r-kDtoZD>a z;*(JPr*nsSw?NDlPHi%ATC?1NXoS;(N28V!6NLOYLx9slA#q+4;>$0H@^(3gEO3NYOl;);lDU z!)aNU;IuqPCQfTQT2s|aY_ZMnH?67pBleGvOT*Ng4bAB6Nmy9q6UW!Zq1O23M{Q)B z{l_@1F~9P0T35-G>#?z}XT!uWV-6de5g@{89bo%I2-^ai5haDwx`z$W$7wZxiLEbq zM#G39POJIbY;NwIjN-JKpI}pSk8QA;pd`j=H9yV9C9Qh%xjaW@T6q2I0kZ_B1>?ne z@NMGSjSB)AIJb7M!JBMG8uKeu!dPnz91BAyHT)E-W-JE$O7rw%1^d|woRDHQF!nNg zU(my8#UeJ$fLL`HoYo{{+dNjXy&=xl;ItaU1O=xRi&EJNPAd+@J`ogdsj|K>W(MDj zI;W1t-r)*&!pUaLqZWC_(+GytAR%1^I4ztK1~@Gah!IW;L1LU1MA!oEATawu$_)(8RcfG;0Mot#|SDI5ah`o!@P6TKGG` zX~}seV>56;?dOX}v4PX)$gZ zr#0>olr6$(y~*OVFdG+@8k`oR8=Mxq)FE(MSNb?DOb=Y?pR>VUOp`_Yc*C@mi@W2m z6In5CWb)VF&HIW>oYsV2XXCW?iTXKFt}r+))O&=}l6GfsT1(jAcuyB~*Rz{Lk$GW& zg#j*ICOEA=K28g-(KqlX;}NOv4L(k*{MY#ar*&C?)0&*ZY2gRoJ)G8rG)}7q={cO% z%m}A-b&S)RdI+4>nOQh3oW7c6n1|C^NsV#J4scosnu*gw=uDi}gkNI>Z8_6SOD#Jv zY(Qf^POIfRZn@NQJ`0kG(?V@UI4v~BQneG}u)5_(IILD|NQl!qHDV6!W)2oA#m#Aq z)588Wh0|*J4s#E2S_s#xs0vw6Tpi+-;DMO~8{~-(r#)hvmgW}Vv>;03v`|nFrv+C@ zQ5A7oZ_UWUXWtCf4AM9)$t8``l6+#E7PGTNoe-x5AFNm{P7AIwE!MA&s94MFQ)|{k zjMIWB#%ZC<1#ntfn_VvEpz!$kVy_`C{}S?j_8r=`X7 zaazUFReYQl!ersJDkU|pU|Lt2Zr=(x6^#R}&-cm1X*sF9;}3CKDL;eLO5{FH%Sp3w zT6(v#6)!STNbJN>$jLZmOdNLqHyQiC6i#dMSKLU|x`y=^;IuGpU}G2Jv|3-44x;y3 zbc;I~yFBdToG8I*VQR#F&c|uVW-i_wW#P2;I||52`&qCwP76Ej!{D^u%A%%mT3c9L ze@NlPpTcR$Vj_jp(ltT>oEAM}oEFA(!D&tYiZ+-jrwnrBDi5cH3$6~Q<^JZ9*UGv3 zvww4uYySpL%l!?UmirqxE%!HYTJCS)wA|l^z-iqgmV_zR{xJpi545bDUZvJe#FPyp z=qmEg3dycprMWQ`Q#v>*&|TJu<60Z!{4R@IX3?hTHx)ru7$FOJ2$7K}%2V*{KP z7nUJTOO~2xoEB^^fWKtowE9Olt&5?AHcb8KUTw0aX?wdF5ZW%JSw_;aIIYQFVd%?j zfREWfZnb~hBMGUUrVVhi5T`X+SyA)#7H->l(mf@I1SZmUv2;;NEfU4UY27GYRXq(( z3*pgKRTSa0ZkNuQ@-jHBHXOO(_AI?UoEGB13`61EOq^ER17eP0fHY1E-LoM{F~Vt~ zcf%&bh#jz`_V z{nQ({Au{}|^{kTwrv+z()56TuTW2OTg{gP+>mkdiseeNniqn!=$>Ox&NN`$k1URi5 zFk6Q>EiN8phMhLl;k0mTA;D=eJ(=LAb%Z!A#6-C*PAi!{a{yW`!67GS_i5+(I4vos zhtukiq_HHN_N4%)B`NzjEhg^awBYn{T5yIqt@E%IMUwdE36=v!)>Hb1I4#K`z-dVq ziqo0~LkZ4u@Exa2D1g)Amtg#G^KydI+8g4u#!^YCu_qI!g^?_c(}K|AwDw|bV1^M+ z>!Ss6TKO2KbrTEIv2peMS!nv4XA+ziU5CJFt#%XX^wmtn$7!J#Wa6~sdlH=1yZ9w& zz|(QwDBqF7X`RAbwA0U(2|+@!TZTBTDKCb&E83gdhYX@x9AYcMY4r)^RGh(SW%wAJ zR^(F%r*(5SPHSg~)A|r~72>pp#9Gh5X;nIwOoG#z0Nu3zi;VT{JGnq>zd6>U!)fK| z{b@5nw8Qr47IN)RTIsXiw9)>emA)!cotkHqa86F)v=%E?%FHP3(vzek*tug?gwtxr z{Yk6WoK`ywl-MOv?&GxDe(LKEVP7 zI4z1KMugMaez-V3Hp~7d6wa+PaaxdDoYr7 zhX4Ui>oRHEBKC1w*GbbhXHYN`JDir()CwP`b(^&1;2fX>siOHh3%@0AeOB6T`n;;+ z%hG(^F@eKrb$mzKt&h_pAPZ}vESy%yFJv(k;IvSZF?vGfuvr~1$U`EWEQC0%j#tt+ zEy^OC7P`w3;I!^=L!iZJ)zIj9Xq?vG1gEuuZQ9_pe(dA4mU}p@wuiHDT32M@w7~Lz zLZ6Oo#zq2tZgNwA(^?ziwC1O9TCiotIIWIz$p0FoZsX>bmc|E-HV~zKxDpBOH6Forfq9drT9V76{GP}lJh@3G`G@K z2aB!tfRWn5+bGeiJr1ZSkYlov3AgMPVlgmvFf)%Drjb4rjoyd2pVy5PH8_d-F z4;5i+)aU8q+_){>-P^{!se8-0TQR(LjT=8{Ld%LBE#q6pZCkr-+&SCVZ&*Ff40#h) zOc=Kwe|H(dxD!rD{+AAlhp^+MoXBG1=2hD|*Lk2RD|#)cDVxUY0h@aNM`ifR)n>w` zj_rv?$0v)q7M;k4bMDTaf+<^TU8Za7_Hvh6u9Q8j&kf)-;K8P5ab08H5^UeZ_T9C* zfkR_SkFxE_tAX65hlfqw9Koh==qCqj4mP!ic?Ym5NK&w=`(>piuqK`tH-NzOUA!vw zVN;Fr5hCK?$N`>R?^IcOBx0ONQH)EJSXGM{R2K`IS|^KYk=i%s&<*UWCZL5)Aup^v zG*Jhedb_MUM3}&)-hnj-hx8t>V27}&;Sp>~6k%agq65hQh3)ZO`38Mi{Y?~{YqQ+_ ztl#|b!@#DRrOo8$>s&Kco5miA`ew7N%dfG2blX49*NF$S7ITu8lz%TiAR9LIb00Q! z39G?}O+gUCrmo-@1hA><5H_XF(T7bzQV=#(&5tR@Gh2mCO_DMftJHk2d_XaD5WuGJ z;uZ(EQ73>z9}^dk5v7wQrLd`)(qiD=*u8_t&&3eHzQ+JE0~X^RYeCo)?%YU46l0sI ze_)I0bX)vP44Z0~n-#AYZ0bei)Kh+UujeAkHb0e0>-iQfgEWVp>!=Yq=&dkp>Zj7^crAi_@N*Yct?6RRD zVMnRpT+t8&`k1!Y`Jxa;P`1>&MFA2)1y|wIY1%BVtARbO9#wo8Q|lQO1}2TM8{e$yn%CH+dCQC9h7LW!%pWF@Vx@H0 zRS_W{Dn(VHZKxF8Okq>+ka8@-dMm}(Ck|ZSP8>iDg|MlQqM2b{ zkGi;sR(r6iI;kKN64R5yrjC)aYG4PO8jrGOz@}bFU{f-TYsKbd6!&0LoW%mz6a)!u zYN@2H?2iC8b-V|glH~bfka}nOtWM$y6gE`{ZRprnb`rE9=Y&3N>b63#sZ%&?>yphz zLJnmR7luutmMm=QHfdHdZ0e2>HdW4V?_{zEnVW%4RWl1{U13whsSyjCDyK$J=VdTb znE$Ym`>?5pATJ+i+G_cD`v>+`DcIEa5)f3>a7}`0pHS9Jd5bg(n^(fADL+}-h4t`Z zQ}DsSW_|poEIq0Gd(v3Tj%PD2f7})oV}AsjddPyCOfk!UAWNGxY)Z#oS#bogDU6`# zW;1D(inZl-Iol=1sMAe0`sr%7b zEB=+*sQ7oIjf$7-AAi$vS7P_Z%}T^}u&Hv1*xc)wLT_BNR4En!A#Cb&nx{P2 z6iSBGt`YgLDVTvUP>V-W-xbe`GDV6VriD%YNfav505FcUM&yUBP*uqi|wqdp;Q3Z)#c@&Gmk@gx;X({r#X$WKza2b+R$stN?^23 z4>kqiSthU~fL3IB;7S!ouqlLJop|8jz`~~Bu}(dF*c7B8Z0e^WYznhl2%CD5-2g^L z2b+3@ogjiuK^DQLAPHboaHn8Xy|}VPudRBcOlb}_)l3IoK4<93E`ySZ2rdojI+k!GbS_O^LSI^0(~h z;uKVaNDejy1uAUnOJX;#dImrI0 zLl47AVdfkWDLB|v{{%L513%2broM-dlCt=4 zDJJT>>-wX!)c!jRoOSJ(>nzj>=?Ac>oe9|qLzF(lwy>#*K|TsNsd~m_qt3dWsFb?P zrBW;uidlX;flYlRDR~{TkXBl^zX5@s$c9Z-L`AacGFiqK*wk_lHl+o3uqkE|!lodJ zU{jDBF>Go%t1*C0Z8AEru&G@zW)=<5$MtxtyL9%l#iuRpn7v~8o0rU9!B@&f_PuWC zbvW464Fa2LOkh(m6*|}y&4%{P_y9a_FASUF^Vxxo1~%1cX;RaemZ3>ukTS5TORcw< zk9}`x<1uWi+O~WQPabUQW#))=nDtG;raBqmv$Bh=`Gq#-G;Hc|8{LOZJ%#aW;H4bD z29=v3YtVosCkvaZmceY$1OuCTyRCrN2%BoNMM}e_W}!&=X7&V*5dmy!kszZ~2^ek$ z^`q)T*c4vBV4wn6YU}o`+`=#z`d)WwY6)GhtKjLOQh-L7nPIEo0^2I|{<4PLw)2 z8nCJFBoE=;_YK~JhBah~d#KKVm?I@OI)e}5n})2H=~AspgAZDV!lrJ3(h9<+Zju26 zn^p^(`V__w&-ul|u&JNgs0J_fTa9U4uLx|aJA_SPXu}RRfK5S!5pi>utR9A7`tV^> zr4elEatoWf910n_s}O8zzk^NTsy-%WJ4RaA)GKC;w6LlDyumuGIEGCzatxb-EQU?N zKxSZ5Uyoo@m&dTF%Tur^e31{ELRubd3a%7v3Njxyh4>+C3W5+eg$N;R3K|b#QxISo zXi+vkY-)gmO(B&8HihrXqo5Wxb$JMzI#>ucHP6DPtX>RkN+V$TOxV=!2sVYnVJh%o zQxFIV$kC@DFtDlHJ=oMf#`9oPj3!r(FKR(yphSOY;Unkg9oxrAG8uwvSe`nDQYzp@pvtU!`76vvoGlES) zztX@w*c4SBz@{JwVN(#quqlXQ*c3z&YzmSHHU&u`*wikvPo(U*PeCz)n_(rg)d4VUVs{}SRHt=(> zse^&ogDOdO4I2Y*+Aa)i>bAhchfS?L1Z?W(u3f%H*wh|{O&ttjQ?es+>SP6q?KaUN zMNde>^I=mjQ)0D+AS1cllu&FZD!O?XwQUlL-c-8eL$D?8YfOobk73jMhdZ0bIHrMISo30T+^90_a+ z{lsmV9oML)1AVRTBeL?Z!`LRvCs=E+)~Gv1{{4vg7vZXVxn*Pwo7!oXs47ojQ-4LY zV{ro*={4TRl<+S{$x#y$8q;E2?Mht#H(*m!p^8xxs1RIVG0R_9DUJ7uimsK$`kG-= zD>SQQjg8)BZ4NTf)U~6MMtw%N8VtPHRFpwSIk)epf141lsTeob?eHJG&-^RHRRo)Q z0(l-MKQ?94_=pFD@?cZ_8Spf-wQT&WmQtFjUoKMuX|gU~l%f9fECYOX$fP|C3o9Lz zxRq!;j>+GnsU~3@Y-&Eef9QMD=;*5!4exMr@c9xaG zejLE2Zg;lMCN%{6u&EdLg|yS-hXT5`tmuTz^PE+kG$4-d&&A6jU{f$3;`9cyh{C3j z63)`hMSlyM!f)f?*d~Ha;kXIsHtEazqhY?msZAzq>J9EdG=fdRqwx^1DHIatH4$tI zMa5B#9(07TsbxH@3Eoqq%G0o^i9QBO@j3y0>gd6yZjWJ87h9r~{9s{`bsZ6GinraX zUSW%EzRI+wX8X*x8P9Cttv4H*(bSY2j_Ghm#W6RJnmoaqUJ+Lj;@pEh=HGH3p7_p_3YZ zhE+2b1AZoK>H{IEiTtvnSd^kZ9R@blhHRTBO11~$Yz=Jc_%M&72%Eac74C!?X3X

DH=Y}nK&Hn(16CG z4QIio=JH}q2%DOd0h{9I&^D28h9<@>OTnhz$G6qUX51Wpw}DOJZx1$w(<2=6!_c*D z9ahPFL^|$q3!8c-hD|+_f=xX`GZa?lE7tKrLKRX=!=|b&Yzl)btau8WdS41Qg}528 zsmD>a2sU-Lg-u~-PGD1rZedgCQip&|UF*Z9Fg8n|Wd9bN9 z)EGNY0GmS4OxP4cXTzp`hY_@8rJ0smc464SJ%s=^^{87ewOq)8WWuIUTM=vujZtA! zm*cRy$msU{e|r-_Tlq1R*nE zQ*04xUuPl%ENp670Gra{`LL;S=_)>K3SqKfQ`MLnTbFSK)4IlV`_`=nHua)|K?(L` z@uKBR7cap0W)W2K%Kg6(Hl=qfC-;!q20L*SaxzXCQDWn;`@hN9|D|D554(}7RUY{U zuqjL%*w}@zsmaCCLG)gWUUiv_T^@FEPL#l=Fd1S$=fkFCGZ$};vS3p;J4VJyH?v`- zVN=*?9|ktHnng{+rp{+^{l3dJM;bQ8#Y7r5C2NF2uqk-Puqlk`3Y&V^z@}#XuYgT` zQmg}0to>sO>>p@ZIlW4)n}{h}L^c^8mV!>qd8KR)Lz5!)W%_5++?Trb;NGzn;;X-ZO>Z(vjJ zS{Au-1=KpHlM?%9x}7{R8{yJ3@I#Et^6DTIJoCNo3~n?gku}@#e;I8MmpFOZY?CRDI{TFQ}aXE6ju!iY$};P0co0cI@Z(zn|h}Y zo04*Ru&McyG?s+Zz81iyBxQ3ac%E6H_^>JX`>-iEL)g?iu@yy<_~$8>gRrUE5H=+_ z1h6ScdXyU26bvN>HZ{2bY>Hok@x#r_ZXx zGmKzUA1?@-DvM!Lx3Mq|Hidb{gH6G82-wuy+(bJ4ZA`?6O`#WL!lvYV64(?r+6tR0 zGvAShP4O1(^ta1|FrA^;EhE^}Uov1*BmNs;Q+H;=rY;I$Q`b>fA#7?yto01oRJ9|m zB(SN;&`tY?WUOz$hzqp#J7YaM*i;$4KW`?8cGy1MLazO3EB(DUZM6TzNM{s_RHx<{ zm7J4Pu&L7&x@2aQcIipd5$xPCD~3(s{v=j_Y`QZ7*c1$u*d<9H!lgV>6FPhQA7pl+ z7}G&EZ0a8}%h;G1uqlkym}g8HX6#qk6ry6Xk*LWGIHQ-$FqAIMgiT?Zz$~K~#;_^0 z{|Gh(NeVXgxPwhy%0>ZOu|8hN!5PA)Ai!-!4>kos2%CDGit}MpH&bOkYziS1Hife` zG=`b@PYjzHU{9H6KE(osuqlWnMg*I>;BaxWU{f6|E%8dqK*IZ+^`!OiaNEMBF3?iK zI4>*4j(-t%2d5u?swp>t4bgArQfmAA9+o^;}_zXDLS z4$c8OkSdz5v+!Hk)EA`frq8Q7zAnwz9TOz5sYj*V2C%6oWlfX`n|c=QmQOkHgaVHP zHlvbQ5_3Y8z^48z4~cNH5W%Ki&45io7Qv>_IgS7}b&neYEo^G=;bBwz^-(d(J$nn= zw1G|i+=oq_>BFYJn+2Qta29Op-6`1A2?1>%KrdiRrE=A3`Z zMZ?^&BOq(&*T4~EZncq(#NU(pjSv~0!NMzdzk2O~-DK`!*c&Ci;c%SQZW&#s zW^WskYh0maHBAf!$mOrfH3%$f^lAWlb|LD4p}9s0hEmUY36!28xe4qLtL2HG^$Ftw zG&TC)0h;PHG&jM9VBBHoF{iKMm{Xb`rq+i1(qTp=_)8E*dyvD~`X)Vkh98vg*`h0s zZ$uvN8=5=YqyRWdn8n6f7`&0&+>?iOtn2DLciDCt({uN0M#G0CWgEsf>hjhF0rE?W zhUY%&6=D4*%o556@XLVwuQ-0fklaBps81Nsu;WFa$4^4oYXDoCI5c+=+6(${mDR?It`R*Zc`C9CSqfzDu8!=;R3 zoRA_-k~Wgh?&JG3~PCC42CggV_xhf#g`{6wdrdfMeQq zcpTII2*=b%-`XJS)$&u!xvQ8r+n6s&;h64~wTOTR_-d{Jj3O8u#Vzd)J!iOlgovmX zi({HClXD`*sSW!XZk1x)DPkz!;+W2nWv58(t8C~7mPZrN;+T*Z=4MUQ;h4^qnOTGh zj%gcaWe$8j`k*4j@0<wS4Yg)^8q0 z*k11}jI40ajf_C&5 z%ZttT$_Erf2LX=hV2ESVTyf~B<74qCQ95Z$ies88Ee39jV}bw{Fa}T@6D%18aZCq| zW{d5Dv=~>=Sc_V;r(zsa+v|#BdLB9TY@?of&Xa8Ot>VCrfMt;8&=ZE)5XZDsz#9RM z$>?ZRC#GRDjj(E9vQKbK^7`daI3@&u-U{QGxNjlVWD5^i*RSR!1vISUXQa<%;Fw_S z#cs~wn6OR5y)8ljc64pox*niKO`zvGtb_Tzph-d#y_Tvb)Um>=jb0mk$4=kz9_UC1 zblDyZg#8DfVkBlDGNUPk2FG-UXbA32Mu02M7<(WvI3}!9H5G$nx=0it5fsPtetbGt zRK@*jkmI0i98+(SYSud((;$3f32x^|hb}?e*FP4(VBq7J`r#I7jAQC#)Giz2LVUBP zYu+oD{KFEJ!Y?*~6uhLvu8N4kP^sAEV;s}P^7$InFl^AMLLAdosJD`Tu-;1b4yJKn zcbNDzqNK8JV?i;*mR>9EAi*(d^VOxDhhyp|6(nMBxiw5`Ndr3^)A1;429D{a1ji)9 zxWO^WDDL5yIEw{1CI}K7(*jAGOD>*y1KPs%I@Z%=jUJ9klINQ`bP3L#5snEsS2OmN zwLlwklIG)>@B`-?H{s&0^DtFdb`po}&<{CKTo}g$by^(LP13Al9MdO49Fu%|CzHLC zxfvW&C9|L@L_TRCHDYm0#ncGuyzBv)zW5(Dav#U^0KChqOj|8K-u?j&SqjJWjRchx zHQX#5(->(KHm`)_QhuVe3+v(InBaqcY<>KuEPb#18`4t$AK{oDuxKMw z%<^whU5Y!x<=CzMx(#EmtSSN=lh}p=91|W)plB%^6T}#5vv5pE0xO&t#{?;c+7yln z4FN-Lh+~3GaZIvKsnDtmaZLB3u~vMSox0*@MjI8swSW9s$6by6s#LtgF%^qGdt>dx z=H8Df^d4a%_QnDr#4#bv6KrM^XqNzdY#elH3YX@Fz; zi)d8j5snEy(5U!?IHtj(RGI7o91~8sp;ajUzt%w& zQWW5rFoGEzQ`J$%G2H>3T>f7WyPK35NpP2cu?+qc2315g|MJJq9tn0of zh^{DcI3|doDT;g?)5%a5_A{XBFl|@~t4>ABgEOoNN-%@^I^}=`sfT0Iy0DQgjtNqX zSd<1hCao1K)-4fa+>M!_ierKdBNb()qa@5FOzh}a^fG-b@M=h6sp;0kF(D>9n2DL? z-DJEY91|jrQJ)aUgi?-Id4OYrc#?{x={Xz|r;wWtMvI z^F16BJmw`HTz%kv7+OUsJQk=2bs6HA;D_e-!WFwSz9n1^FRvCdFAW-_zwW>-7Q z1ePNav?9|3SE@L|F(Lfw!~>>vo`aYe>(s-?F(G(}V|rYHK>QNKs=}-m;+R0-3vo;@ zu@f*8Si+3`jK9ni;g}!^a7=Kga7;y9*`lLXohnnB!!Z%0U4^9p);E+Xj%gRx{8dk3 z&0k$Kla7?EnPBk8uVR)>rXYa2bC621+#ep@D%#+pa7zRQd(|zttqZ~^B3<9zq zOmIxP_+f2(IHoBw5`;LWnf&D778V9W-l}mpCYm`s924#Zhd3rI_+lKBXqzqH;+PQ0 z;h3O6#WCF{7H9nI8;+627#tI14#&h_EvkVIpdJ0?k(yb)7Dr8FXFq zlLE?7(`U^aWQ;TlW4r*z^d?bKfMZ%&NJq*sQLGNu`8BU5FUO!2Y*e}SN9mLm!lNmR zW14MoOw*{&1jkf^qZS{>L`^D=>7oF~Bt{w^$0SA?AIHRXxG*OSH3pe;M3Co&WsUaz zAIs##wHKKuqu5{2!;wG2@J?KNH@-{)Voh6wn;LZ$365zGKg{5mzJZUDviSHVChEKE zD$rSKf5v3mu=gv3W7?Xqk1#~(LuHF&8XM%J2DGZDOg8GQ+lor5+bxx1u}RGG>j{qO z>ZIg#$U<6a-QETSdNdoy)H5oQO_#|szTlV^c{nC5xWh3qlMu%QNrYp9oDt^!ZDrA0H2awY|T%!F{g1%kJ#uwj_C=EUjuh>Kp#|WhO9yTlbkG$sZxgeLCpro zbgr$N*N9`9WQ&x>F`a@U<#`oYhcS?qh)1Ale(*d2EhRXna;iSWG2sL_g=0eh!z_!0 zpxo^nX$}~KU?GkPM)nZLgo_a&j%goPehH2V&TJf0CCXp7l`4e^ECa{XkBxlrb%(_< zy%Xuw_6+J&M`~$|gFjIa$23mr=xE@W9!j2yyU};>Iy9^yZ^*_m-HC4+(kauWTG9vK zX&s7V+5@E(#4+uY0R)>?i(|SS+`Twxs3<2I@RF#Sqn8rMsLV_F~Lm@u?q2OHp+ zAo6fbm_E>~wr}1b2TntKL^!717RR(33K_bi5RPfD!!fPr;Niwdi(`7pjFA?{w3l~0 zhrSx)m>4<6F+mpNm|!3?IHoU0IHuh(j%jxa$AmBPaZE_d!!f~?!ZAVS38^GFCVW>O1+_S)-64+Y&O$h*nHI-n^L8Uf2^ zT>Kni%{&~F6rAH*{imf2M+3)nw&0jv4scAL zX2DPqf^WchUk`zq+p=&>-(`^-7P}(9PB^B1f@6Yd+{ZETDYV5gEoO%xQVj6G&6~To z=o9QZbPI!Hni}DlYyCPWmkHQIHs!<$8=|iW0D7&x2d>qpsD6!f?kda*bS{&18{_W|7{_T{BGU!@CSBPUeKM@%m6E62+ z`-;BVnAg5}v~Wy!3T&x^kG{8W;M%_tbBMz+q2XfpgzZ`5R=aU%Kv#A+CYcKH(40mc z#ASajgkw5rFTK`GV*>X2DjW%p3E8L z<3Ul;mHzy@eDR7|vrnJjF&n1}GiJ?MVJJ;=mM&gsJ@Deoqf_&UmQ8Ndn>DLsjg8(G z;+Wb{Nu%!4tp)?nHx*?-NzU#2>E9NmWSfd{W8Dt_!Pl98ZLzkl-2ifql&bDgRl%sJ3 zlfOe#O~N=FQwP1j?|ake=;4@j$DP74eHrnZupy?Jq?++BKQx77S{51A-Y%(`oGYK91?F?E5$aus9}+s~I?^$_U5Q!g4<-Ybs{_RxE@e@kmL&VS?!N8{`#344l_!hRg!m<~8wXOkL& zeH_zs{6cKTGjL25T)Zegq#O5B`LuU6ZpC+YZ^y+%;F@4Q#OV!Y5ydefC7h+1tMC@b zgjX;)wux{|IBvqZP5OHKXqazsYLkg$n&l2eBODVv8V`YELLqTp6XBRpR2M@T2u2!>>nSO#-=wLn$g*l zu&~G{j<1VDt?|u|+Q>NjPvMw;<>Q#Hk}20?D_zfqiDAYZHZ~(bgkw6u_JFh=GQhN9zupV zCJcxXjtN0x91}#@IHm?RIA-ZLim{NUaZIp#X5pC7hO=-?GkCEk#4)vJ;F$P1v`yrX zg(k)=OyQW`WpPaH{BDC|!rvZ_38zOfj_DDLV|psaF+G*SF+D{y6jtU2$Ar|5(*!=}i{LgxNU3F(JCeF`-Kx0>^Zvk7L60z?HtiF~KE^ z`0;O&{-%@g`0GSgj2oGJnWXpUaFKmI`uH|pJ=N&B`-+Mt{5l)Qv`^IU^Y_7LEz0uVxwM;h0uZW1O-B920_O z;+POR8^`o(3}r26nrW$J2ZjyYYY1>m-*L;Omh)MVOdJzxE5b3MF)EH}Hx8>?epCR* zbZW$`+07grjtQ<9$AtZB8pre<<{sjh5YFJ3A|w(#FmqsoJn`X>+e42S#{`)L|18t{ zr$Zr1rAA- z#W5`ma7q4$zT34EG-@4J@n4WjwB>{sRbsUr4t!%}k zWE2uRaTIbgP8k!2-TzI-{x6MV`idK=TGz1t0vr>j4Q%W}98>G7(n0iIi(Yk^j9ngf zaZZ%rm@pY)Kj-6^WHT3Uj560eior3R^1lMdbcHi&F8 zJ}iY}dNIN=$r>rfF>$FB;F#vIz5*Q6J*=t_iGmd%FOJ2$4~&g%V?!Jh7M6HohTYJb z4Xbc-h?^gdNo5p;rXwbniDT*?;g~Ll6525JqkFZ0$6F${{XcF$d>qqcWev?YIHvQYdrA%ojH2yg>7tZcq=tuMx>35SdKw%P z!lSFID8ez_E}b>yWpPY6a)TL$-X4w#abSj_aBen^=>ajvFhCl|gznjpq!{6t(7R!i zVZ@FCI3|REStc_?jAKGh5snGn5*z9)9MjrN921Q8Xg|a;-QeMv*0W9$921-ojtMi9 z;+S@$DNMb?;FzZV&Ec42RlrwvO2;Bea7+`RoA!T^vA%sL z7ijG_$9i-)raZkrZ6=6z*goAtuKh_Xeb$>c+F!KNS4FB*^NbSC$tfJuV#N}f8Kqr% zl5_++cg%`$Ot?RZ6(F1Li~z?310{Az$~f|HOuv!Yg`lE5sqp5 z;o|t%rFDR(U^M0-b|#Jqa*JcyE`5F`jPtT$?0C1hJ8<<>-PhPZVEa|cEz(fcy5E7j zt}6YaFMY^L|J7^i9k>vS-Z1kCcA<{vY>=1nwY;|w;g~kKjZKHHoOlQj;FvCxwk={G z$8?=EZF2^NqXCCwl9~!|Ot(o}4$c8OkSdz5Gv-Q%j_DV&7z%JqD9IQ-p-ON}FUUh8SRErA(<>P`CdeWj6S~V0;F#`kL!iYm z)zIj$_k^kFB10EDYxC)kno>bh9_GO&c83k9{1|av#U^a2Af~iYy${MJXIp zQ-EVy8{(Mer*KTLWyUzBj(^5Dre|Xu6A<&a0yTMvFPBrA+56F&dtJ@eJUCaWmSH^U z*Q+@ZDd!7d-+X0At||z12v*M55dPOgb5+X9ne#=%%9;1cBJ7s>A2>R!9P`fEgXI{> zYoX2Lvk#I;sL(buc z4q#A8a5*@UIU-!nMTf`b+!Wz*`s-T=_AbTcT*JHrTn;2DT+V$mSIEO~o_IBYA@eP~ zizD30d_*1w8+?R_Iz3ztw(3|IBw|?A@yYqKWbhO*8nMOYbjh+nr1sS;bOXzf321RS z$O~hqChBlGn`Gn^VS>xSkgm8K%rhY_XGnz05k**Bj_5!#Kw*1)OTIzhhT=`P;&Sd| z{pN=p2A9($Z6-fQCcFF;Z5r1|)YHwxl<%~Ez)qsUb*{{f^jcCL^JM{C&QJNWn)nYY z_Ci*JkIR7|#O3@8zo3LP99AWEP{mL%ondqIaXF}jg18)cEnf`(3SRsvo*-q`H}u8k zd!;KBLk9sa=iU&Pqn!YUQu=_pc(f>;G#tg{OqUh|x5ec^01E{JC@yCK*F(MC?GlpU zR=}7;T^HYLG+Qh;gD82z({1t7F)nA?>x#?yJys(&!FuinG0NzFNJ zQ3q36!>H?Qj7#v%hJ=GpMgdAO%&H*luqKd#adg;K5itcSMO9%prc!jXHGCCa!cDss z8pa43Rfx;E29356|szKLV7@4#J=5SQ~2X$J`|N1Lw_a6DX2wN#LZJzP$MlvM*e zTn7?%TeT3pV}(yU@! z&aELXr-a|$$z<<5IjMMu%PA3k_Qu+W&Apl_^lmf}dt(6*;&PU8gYDsRP%>!KhJwOBdPC-XGNJJ#oZr^%Xv{0D$)R#^B^3_&}rL6XJ4Uv4>K5+k>8t^V0ix z(W*guc=Cy|-?rgBTn-}OB%%N=2VZS)Iru4OOcUMPW$BL*2tCo^a_CfC&WE|EL(|Do zR1IE@zLQzL;!U%b(>x5X%AHaVl{Xn&&R_qFa5;CPmMj0tTJ8f@T7b*BOX)RXe=>=+W%^d&)sVze)0&6NK}>cq6En-Z$#_S&97G(WJ|Qj#r5vyF z0G9*tBo#~3bGRJHPg1#u%YksJ3IkjY#O*4Ma5*T;EcM{$d$=5U%u77X(HMF{DLfXa z2Xz_Za^SZl@pBeu##!zX^KdyR))^|tM#6GXTi$Rb=0Bmpi5?i4O3kM_$Y zP1Pcq(i|?Qi83q&%xX??ITvBgU-dMW+|~UIE{CxkE@u(qR4XvDdL(;)^=Rq+RlgSp z)<7~oS9f3-2yr?0JDW3C1{ehNN{j@8=Bst_!`k+6Ia6gM2yr>Mpc&zEFc|XIgTv*} z%;Dj3Mlw5|A{cvf4HkScE=RP@mTz%6h~#iNP@v*+?pIvS)36ZMj5D|#$Q&-`ekh~{ zI>6*n(@urd%=I11jdp5QQ9G<`j*`%I&Cd!bNAD2TER-?QD2(v}T+W%IqyU%GSx83_ zE~gg1eYAb!#+_{X&}?N)I)mj<{TG1BRTzfFa<@8B#IoI>U3@%5G%9*y0 z$6=zryRHv9OYJXUPO6)B2wcvNgiV7XN*|J1T+VnXPrg~wHMpFoO*RIXvjdeitE@yDTB+o$a;(U*!PyyV_c5D1xL%r@Z{lg z_-J(?)?v0pDO}D<2Eb`K{!@b!NUx(vHOc|Yz{hNKAD8na#;<|$+em{-%#bw*>bF5H zE(h3Sq&?^agUi`u>*h7$awgk+(zu)%$S;rAc^SBzQzKjsj^ohNz`{ard3MV+0T_@SOjzFfQlEHmU*SJf|^@ z>(7GA*%0D#FtlL@8{l#v@^CqrK73qG&j^=;)8`7gRCXB@GIVDlT+Tj+%i*zaGEG=q z&Y#T~X>mFGcn5D-F2?1^nQ_;)bzNI%VJ#4Whq<^ zzR1VrAT1A<16K-{1DTJ@LHrPx13`$(L4**O1C58c90;%s%);f=I9v`=NpLy%E-dXF zF6XikmveU^T+VEZ%dvVfxEzgu}6B) za5;>oO{X2Xe8%E(e&XYDZebiBmxJ1FS6oiD9i(3)F6Sp{T+Ye>mjeSgZUcF^94R=* zxBAaY8IA@nXQkkB{uf&vIe%r* z@Twmjv|%YbL>iZaZeega(<59C^ef-x;c}>QhH|(Z2tr&A1TiiLq8OJ0QH0BZB*NuD zQV5r`lenDe7~YFUtUeSj=lTSfgVa1+&go$drExjcvScWL%ef@*Oi(Yf0IL6M;2Ys` zW(S@Y0HYC)5BwZ1=k7r4VPPaz!`lLH+Aa((=jOn}$K|X(1TN>Nu3f%HT+TI$%egzm z<;adGz~zYTHqjyL^oP>$d|b{SDY4o@kda*bT3pT;{_W|7{vDKwGUz&ot`L`VK_W7^ zoS#CXxSU?9gQJDZxm#d3bNDFN;BtDga5@L*?n^>|5WjOX&zIPh9WF^izE*dwto-X5xZ1=j1J)Y+Th}1}UTgkExaz!H zM#i|D9cGCd<8od`v}60o;;ivrri6bvN{%|=|28gX3RE%b1S$kS&S#dtu2LHB6%}1A zjn!~*{`0t;voxz@jg8(G;&O;g8g-9uH5hn-sVD=oac4@@ntQgG*iD^rUVT^z9>WeXDNZN4wIrPTuaTd~zy8fG|guccJn7x&2=3!q&ye4djsV1pr{L3^_ zxSZ1?qnhlKn@%_1+q8lk*Z@+41!L1^(zu*6*zbjOp?1KgRqXpX1F*OpjH?;AoPH55 z=R}tKAt`s$XSii=`l&6-Z_xRJlE8BvL;TT%7~Naqp+Q4B+8%n3_HSv8#`%wY;b@%w zKsP4r3@e5GIKbuH;%uEwzvKt{uPU2<%P)kbBLkO%xA-^}qJel@CN2l&L!91V7ExRd zQo^Z?xvFe&IgN5`6X9}j+=O$R^!4M>FyG+RCKH!4*ByvPxEy%kyoRN;*5nnuFutX` zn@|5oqmVeSiEue6DvoOOpd-ZPEa72I@FEmdp2p>j{~v?Pxh2Nsyz@2Sa%Q46RsESQ zula+fH8p?K{_zQEY_=Y&t8sGdw8yRQ+DO}ETJ}&1PnQ}e$%?)gr z7-r02V>1FoxSU(q{t&{pz-B~A;c`C11{mOSzQWcQT$5+S2$%CcHaB+<-r#bcWK(mO z>Cw8HAxYtKo?+vXL1go}9LAcF7GC#xKpes4lo(tN*KV{lM7W&iPzhtLF>ovloz(Et zteUYH@H25amxtV%*9Dg|8QC_Emuzp0vo*M!usyzfEeL&5G2OsK$MNkIff06$@z_9ETm~%&Um&u29@#jd_E9C+Zc;BoQ2Do z#fvo|E~g^{m&4DYZ6eFg%=-9? zL}5xNrExg}ke?4#F8+&e{;40uRg_IKQ0ut+Yps z%hB8fi@40-av)0Ma!^nYmjjo<<*drc!e?6y)eO2f#kd^FC5_9Gd}3Sk94$52rmy=Zvw2BjZq|2eWoKw;s9xeyTrEocr z8C=fx!^aK2%;0i98sKt}oR7k_VDT02d*Z{2KgIlupJ#^vbU%GQ6%>JB?`6ml|7 z8Bt>6u=~Hs*#D(*IbU-lRV!c*;l{2N(*`znAueZfPCAI*Ytb$4WbE>=i*up`mxIX= z`#B$%Bb&K+bCiY4xyilqKj|hGERD;-PWv#poK-Ap8ke)3#r202-hWBsa=4gC<8ow; zPzaX;&ls13FnYb`3$QnL{?x0$ctk!j{#$2+xQTdgN0>;%Yh^Vmjl}i;0~F% zoSF!i^DZc%4O2h5SDS2U+P0Yiq3sfyWh5Pk%lR5ZUv2|@-2QQg{o^x|kS-D1@LoK` z<+LdaX1>AYyhFOD}#pU1! z@3yYu%9zUpq>wlgTn>B!T+WR>j)`zNTs$b*rrzOlaBCsKxE~Dx2`*<6bklx?jP>pEgLdt=#d>tOoL&t3Su;Vj!}jSGa_vu9 z>GR&S(f%hRosko%PR%pQIVY!ZIZG8AV`h|g=}FQN?A$Rc#^vDtBvydZKLcD243yX< zNgu+cJW&&RU;FQ5cA*&4K{hVuKV+7%F*9&E7^^YQm^94TuecmU#bhHZpC>#wqT#nRKfXlg4+H!CX z(1BFZe4R1Z;&MJOZ8v>h)$vtnzV4VH!R35k+HHW#c|z7inYf&PN4w=yPCTI?Pyn5g zB{3&d2`=X)c}SGT<@_xJmjhXZ%R%Qj0$k2rZV0rvoB@Z&)sQ0%44@VFW|>umz0l3S1F&) zt}-OoU!QH;=xq2!xm^CLTtkm5ivZSn7XSa#(A>auFnyQjhy7F070pO`?ybXe1Lbvo z+1Rlr70sJEEH@#HKYa6+t{qaC;oGE#l#fD^3&SM&8rx59Ig;CjT|2Y zIE8^lhvPMW6ks4E{M> zSja#X4ebew1Njs=eXo|2r>nUWG2ln2qMepXWDn(XFiF9fl^l7T%vsUgMBlz?>-x2u zx>h&fu6a>OkFxE_o%7tz!=sA!MW~_*eTRaq4XWsa%sW68L6Slh9h7-m9_8~zSp)bz zFvf{nx+ad~hR8>VsMA9g&6J5N5#vMy%{q@8mspdD7)%h0Dq0~+GLf=7ARu)EtDFgF zQANlL^Oh#+P(|xx))HZYDtbF+EUrv?RG=cn@0<Jqak$lKGI zJRT=#a5YxthH7wWN%@QL0kdY}ENS6FfKFB{TfX$Pj^#XA&p*yr?L@0kvFEcId{hwx zA*u+EOCnTJd59{~=IEn}ASs9{D(A-(53{HujET@ru}aPN$_Erf2LY<+?hsX^x#B=j z-=!BHBT6TUNKr*oq{YB(QAH5II>Z1nKo(<{Ul3J38dH~zDk>wzg>#MEAhYmbc_TELg}4y=ML}ffP!l!>)?B@=&SRtYcKs zJLU5=sNuUng(0fwgQ&NX|6sk9>itCHz|JZ08G(`tT8IV35LmAVC$) zm$a1}5ul1jc&H*tp6|^#H!*!yXM!r?Z&m2nS2hmXkW(i7CXYiE?T>Iob_q~+B8P2V zso6-#f#SlbBB;}%iuOyhicv)$cdK=3sXXUUMYl0Gv-~Y*7BmycC)H9T+~Fhl@*FjS zIxmB{-~5M-+^~Qcr0k23m-jVowfs2y2N+!`RMFQHj8N2YO@fr_>K$p0mPTRovWsVU zv`D+K9&TB#J{Z`nkKdG~@0EXD8f)1IHskW|*`i|Xk5ENlwAde0%<_k2X(K2gTpZnM zD~PMG#}8$wCz&39N8pR1u^YYE!5plmkOOxe}K{VEiZ&4c+KQY>F6^#{JhYjzciV&d@&0*6y_#v)ydBQBYZP>Aa zV+Fq2po;J_$CxGzst6+xdZI%W(W$7SE4Zj*M2d(iS_thFl?AAxtx^w_`wXh+kN-ue zqMK36m49R{_rdQO2B@N2l^hiIXR?G6R1rQLorJQaQmGA0!4&FhukvHsK_FoNH3~!D zU=ZGO=3v8Es6vVYR1rongDUEKlu<>uLMOQoN;l}+mkR28tnX-nwm6@d`LOTxA*u+U zgN~pR&MMY*-)7MjB@R^t5i~`Sk19HeBQtMuN!pvyX6B*g!5P*BC73~dopQi})I$|% zUD(JLRRk$UEJ_1Z5yYq!E7mO$WZaFJpo%Jj3?mg~rlTZ0B24V)SM)M{EAVPaVyRiv zLlq$=JD7=?<=tewBUBM0j!~ZwRfJNGS9yRcf_RdOrRh0T5#%SS+(Q*XI8}uKstDqC z6-THdlx3EB@bf)X5j;#K(!)a)!DE5VHbxb}Z%N|kto@~sFL$|ks3H{W43%Rhv#25n z&oY7KxB#ulbhni%j!;DizdG@N+1a9s;IU3Ud{hynA*$#xh2_uyjC`svtA(f{(CI={ z(F^PZ5vmBX2vr10fGUDJMio{4gDYEf)T((hr8!g)A=6b@3YgWLqKbB6&0qB-*8J7w z235pZ4plS{ajJnp#_(7@l)b+iBQzXU&x!+UAQ_*lr(qZfQAMEi+O-9i02l=HI+8;b z>Eeey$3qph%198Ril+0EgBwa140+?kp^9kc@K8m#GaI6cu;7bPMWSuCe2XeVB#SDd z0u@zsucC^cgl({9v_Ta?=1@iVLLoKK0TfcxMupVO@Ewbcc52>2?eK|}+1}LrsDN_x z{#MOg86%Ct7%xB-Ef*yPsG_qA=_o=K)!=u5EUE}v!A2G9TkdJnsG^w`Rn$gxCa9ts z97Fi1B5G1mMY{r2kr-)wRFN2Id{hzF;YH?oWXvQg8)4tZ@hHg=jB0m<;T;tt4DZCX zcgCn9?1h*b`ef}j{4j$m`Z_*J%HpGmn5gfrD@A9i{Ru8Z)J?`_LtgJ|!R@m_+N$a{ zCtM&5QTmA2f`X0@@=*g?)srS0b=GZ0rPN&_m12=X%<`)Vs_4q34V2#v8k93b)}TH~P8L;EE<^pGCW9(kXY1xQqKYQi zBBfD9C*zayBiR!OB%z|#Z(7q$)BNDs0wGc*pc)62Q1v0I2&bbdR1x|gW?3Wzgs7suT=^xaA~>^AMdc`e-Dav3Ca?@tQ6(Gs;13@bRrC&|Q`;k` zQy*3I@q(zLF;YiI16A~3@{HPzzJt5au!hXl_rYd_=Sazo&fwedO+!}7bg9;)!M9n5 zqKdA8(h8!A_R0W)ovuX{-GcGMbAF~Us^~Er)xe2_4Bc7?Rdk(06|Ldm;l@adDtf_;krq{S9q&I5 z{acJGVq_z8s3OQ>R1pkh237Qh2vu}Rj4HY$g(|`q`KThK<)Mn;N}-A%^HD{JAEJsN z2vJ3d5Tc5p@eox60hWPTsG>d&RfJR$R1v-_kAl{Au33*3Sa(T?D!Q!@s%W}J68yu=g8jO!Bg214PZuC$^A7?)G88}+T@ZLCm>rpR>i-z{MyR6cfv0Wl8nH3( zbEu-*0?c460~<;Nhc+-g*dBk)k`V&~+AFA*yJ5A~L8VTztj$8hx{| zNc-l|LKWR6Afk3Yh&HIAA{Ne|iqLSed%|$oxY=$T8qk#;sz|0n!cU+9d}|&0b0JjG z-S$FgO&b%is3JHLR1vasTV_YascA!BtGiNG{&o0a6-5;d6~4=0`S(imFTz#*!!09Y zRMBR$M2%5Je?YWjOJ#A^csEnRzpRN-P5-x1MH8WlQB70`u2@(K4y`p@-!|SYD*Avw z|NiGuMW<_4$r>BI&DtC!ySmA!q)~V1R)c}(n2ItGA?Nn}^luZQ&Nda}#=0H;gFkHk zmEj6&cpp{tedKwZ{C7F zGQd}dOr~w;b5-?lCV#7@nuKwvqIPeuan+{P8@eQZvF^Azodl?&FCbnMHpIwW zs~P|DLsO`tC6Q50_Q_34%=b3EnH$&u5QGI|(_Lv)(Ngw%A64`g_Wk5qX)(st3{+8h zgen@xaz7yDZn}$B$)?9`QJz8P4@v^ha~R@xCd6pG6~XuFouSe8(0jChOKUXFf8+~C z*a3$Yo`Koym8@uFyrOjHrfhd8~#ETX6) zq=Zu&Juq2eQAKzmf@7NqRfOXvoZFaGw~^adW*#J27v4znuwi1DF-^A_ z0U}hJwnq_Fgdg8Eg*$;i*t2f^8qp%pcpAay zsS`9%fGWZ%VTdZifEb~Q5F|zwL6nUu8pZ~fLKQ)pMis&CnT0As8_q%%ox+PXA*yIv z2C9gkBdDU0(8Rbmq){ zDne>$R8hG_6=86N6;Dw`7pG7~h?{{b`d%7UwA`YKFdHYRB1E^SB6O)kpo%`=qlz#+ zc&H+{WD!4px%4-kgvWnaWW|+7fGT<>8&$Md)bFE;Q120{NZK7P8e>=~UdRS#QAK!C z?W2lt=`ukTRrsi)IuBKJeSj)@CLf@RcIS`T4QnATA|bQhKoRZfQR-g^oEo5tPDrDQ z`XfDuDgucpKowmXql%^+0#)>;EL0IrU(GViLlvD(jd98jP(=uui7G2HQo05WXm_*a;arI3zCT{LTyE;A~Z%t6Xv^ifGV07@mwxp z4h~fWSBxsc{xyv%`X+M^QAG%6P(=|g2p*U@utA>qwbF%Mcv!!-YyK?M`%rqss3OQL z6la-16+x6n6``OWst7KFDtb#s7Cu*mnabzHs3OTFjVh9SVpI{cv$T>BRRkZbSS_ju zE`uui!FG?B#aTQxg!XffhuB)Q2RO)>4W3* zDokdrZwOFDT09?Bl#{OFqlyqF3sqDusc{9D|Z46&c{QAM(ui#JDEsG@z2uyE2o7A%b_!cO}zsG_&9sA*JDH;d~JDOH&G)2JdY zCeo-PStAre6~Qw`6=6(QRMD3Vs_5kZ6{w;EVjY-b?H^NM|3J&i=~ZgoL`+#PvdQ?c z6sqX=5voYmNHMC2OQir+G@JDmpo;EdRfV_^tN?j&EM{R~Y-~F|L=|CSi6>^*4XxR* zYAxUTRzZ@1DuV3=V2w;vQJ)A^^iC+D4O2h5SDS2U+BTU1q3r^iWh5PkD*6&b@3sLx zYXA6z{o^igiP-izZa+|1Y(2IXO#;{kS38;Be1j_5Cf!qVNNg`{@02b|sl`orsG=LB ztE#6#6(Kyjs){01(Jj(hQ(hKTgd;bYVd(9liVz287z*cRql&&L<`@P@ql(Zy8{I6sF#4QAMvfRFTX|7F7gCf+~U|Kowoz)et z%o5Q@6~W&}6~P&zinb9|bU)MmAnS+wY1*VoTGEn|?n~N+ zE@_{n3zW7rDU0HSkaP*8O=!{rf;uCNvRM{E5M&g}C?Gocs)!5XE9kJO-~u}DD~N-N zpx}%G&Is!J`~Cmt+A?I4Y`$M4>%>8)Sc*E38!?VP+tbc{j%^2UUcp*FY8Zgahg79!BD#iqH!3qKf2w zB2*C;+KMVlnfFMdig=55^==suR?`=oWeipHLLO96^*9TBQ%F3P6uBI)bf4se3jb|_wsA*zU@Y}=h?fM~2-`+=4I z)EPF~Ua-rlH8H`grFE~Bxp1Ow*6j47)tY_iZD!I zl+gs^s3Pcp3{?b40#)>_5LI+8l>&Rk233SdA5{c_k1B$|M-_dG1?QrQZe)?Us3Q1K zR1wbFpbTsAPaIVQYXO5QdW;$JQAH3*h#0D9-|L0RhbqFkbzW2vXC&*84CO26Pr@3YcJ9aYcaLM&Rt+Q-<0azC?PeuKB= zy#;{V`}gjUEtoa{Z~DW9O-^S{JOuDiMHh;0i`YdKT`j6@&Y*BK5Tc5traV;9M@5&t zbATLTh5Cj&{1#R8Y0=%}c~$O9qQ2oVL4+!LQ1sSA6@5qMM0rs~Ka$Cihblr&%n4P5 zD*B}u5+zYZFXcfMK^8+5p>Z4rs_53R3$&=BBVHd>bgdc{W2o!sr%oGG(f3_c(Frc9 z=>B}DqD%9kiq21De{Z zzXPXGu-4uoCX_IAJKY>ycidQPz&HK0$sgudu-7jU)60F`#=v>~5^3h*VaGW`hy~?T zGL8DH=I}#1_Vo|;86j@Ji_e|=_gZ_+Vl)9vqeL8;Q%g6q4h;|OiT{+i8Q~7mx-YDuy6e4|z9V6fuxx}9j0on6$#Pu`qiVS`L@fR;o zZ-ZdS%W1lkBAd%}*VG|N9NyQTn5s$7bu&p^fSb%6Nah8Ah0d)_&y6QDH)f;^d*Dtt z?x}`kwEo1Y`nDtexHBbXY6MQvPwm~mkMlCgQm30G8jr?u^>3+zvm21B$7|D_ey&DI zKZ?9A4cNn8T-nepv9oet7||Y_J-a4-uIou}MeOtZ&4#~IQmKuL-4s*x>5JWfqa&AQ zpNjBruT5WUkV_*LxwJZkb$8|7HwU={gIWi;gr`3Tw}jwt1Z?U42W0q4 zOG#l%i$r7aY+*|fV1J7q6t;wYicw)puvL+g&D>{y;DTA$N;8keVM`0%P}tJHBBjCw zET=-S1o05ekq6B8nFmpaLTtPGu%(UIp+9%ngDsgda$!rX8V|N4wk@v(TY?9a+vu<* zu1fO2mj17_xje8XY~^CD7Q&XW2*a%`n)t_HOWl~|%FFNL%NK3blF)|}o!ThsbsvV@ zhj*Zipyw`ZDd3$l54NO*1fhW~ZIKefvxP0;0yFv^2n=kgUkZc)l&y3?3P1v=-~zn5 zz?Os^kGXELr;reWW8nehNXGH+AngcK~4pZ#EfD~FZ({xg9x^y`BZ+A16wMV3KFpc zTdI^=QqLi52@~Obu%%}s*phVP2DT)#(^zK^t6~m zMRDwm!Ip|q8rt`j%tC3{YZCUhK*{wnppxx#OXjiL))|@&gcK+q9kztxw6LY?MXlnn zr4M5d)S}sWGk)jmVi7Jd`6pEyDw&;a*aA8YuLC0oYTve8~S9-4~ zYl(c;v-AO*RrLKa*wW`LxX5I)^nc6LCJ9?Y1)%ShS%n8%lARw9wghtsWGw+(f*4(G zKG+hXzziq0jwyu{U2OukgnXdO^nn2eyQqVYX{TE^O%@DNb>S z>bvZRQr{xQ%`ppG`l%GCNIlrn3sRyYkHMDUf)W)MAGU-KxS>#aSBQ=wC8!+d*CCm#`!D@U?(1-K^{*zdh4KSd5%aqLEM* zRVsxTf+^J5-k1++1A&0;m+uWPXdCpxo7x;xDMA%e*b)NHRu><(gj~*7xd&TfpkbAoXEO-|}Hg7}b2(68L&PZ0T7xf*5QGvKVX$5)Zb7=LBr&x6ohCWh&OnkQTz0 zh{>+NQ~-k{WeQswCT!_3%=sr|3~Y&^LfFz;gqct#4SqruTmOWq@=)=w@?c4;6PBVI z@L@~f4BNQ{rU2*!^g>k#Thhr7YukY>&6l3Qhb=AVD|>gW&>8a1ObA=zHirXS!mVE) zwuA{^9JVB-P0hEkB?Jm#ODI5vE&Y?imLB7-;E@Kl1X&1M`X>}fCCUJUN2Tl^S03X& zY%ueM%Iz#28i|?ZP33n-DIC3lR=Gy{NK;@8=fRdXOCfo%rISW0qd08orN}M}rGiyD zX1-k0Bwcwp0+omM&)w4Q%ON zWKQzp!j%}Q`&?B(*wW*;&QZ1CHDF6;L}Vj$QEG;4VM~o(ItI4%m`TRKmd-$>RGlZ4 zVxdS(@=FnH>5?etRY*c<3|BV5(}Q_oOI5GNvSj0Bvr49$Sq!|xkOH9OvEkP24 zEkSbFu%!-GqX%2+Hf3O8OM7UmI_Y6+tNMn)mM$0A(nQ@Nh89l3R$&NR;RG1yYotEi*ui3YaRWob%t8JD3cp_4MOrD5wV+sCf6#2$w&Wo(-0o*dZHGfWZl zFzcFtEp^eu$7B^-`CV1!By8yc8{CC0J%awLdYB!0^(&^!nw*VNvaqF$OvNWpH?XDC zZQcBtu%%|3r6g==39=OMqHhwmv^oY`O0(#F*b>fn6R;(;zc_3O-h9{+HrajH60SY? zu%)Xx^NV0hc+3x5${_z$XRt`I1r~O6VegbFrjk!N_`0yA5yVsZs#m8jYzbe;5I_Su z(cYfk-8-;)-rI|7OR1WfQb%tFZ0VlJ5IlUpDcw-4nl<@hONa1IHE)sOQg@T49I_7z zTe=*DHY#lCYUx0*n6LQ!j`%`*b+9lahJ@2ElI}Nzt!I^d3ZBmOI^IeTmQTVTe_VYLq!NN z1}aexff?KK!Iti4mK)ZES$>0HOT_|P!q&J8TM|f(fi10LgGj=b&@2pWX;BQegz}a5 za$rj=au2oyfe%}PAP!rCC=OeKCSIup?gnFJNdO>OP5EmCB){y zmX7snC<$9CmMOz1u%-Q;V+0D4bw&O2o@)%YwA^#F_#h2f=edQjr9+BN+bI{iFQrThgHI>&$%icsMj``S!qr{OfzURO{GGPVHw(6ONKi_xH0f5@ z(viPo<_v5Jifdp?XV`^91DbLOTf+F0p>ei_EulS+23xw#UTLjd%m^%O2@er$3CV>^ z<_NaLkH=PBA~XLg6p_M~s)SHeDSt09f8np2Fvmjkie=z1JOo|Ld@&t(sIc$3|=OVM_~8Ni+XZ zmm2ikW-7{HkiuuzO@CWZl2sM+jCniUryMkYrMrs3mL5c!N68mRY#f(3KqLpYL^SbH z>&()!@j1<mj5LSBD6^8i=vGPxgS$8+gq8><=p%^GXuCxk7LMRwHJ zU1x4OI8-md)!nu19~`Gz?iWO#7eP$?7^1s`3c{kV%}^#9&LvDvoOO zpu>kPwezqhzSo_EEj9kPfi2w-hb^7;XTX+PpiLFeQe&GhFxu37h5hkCQ8vBV(2T|& z`NfWW^zbEl(B1gv2W?=S{U=~cPq?t9i)F}l$VpdGG11K!)oq4{7;NbV>K}Yq4Qxh` z1Z?S6Dxe2j`Yg52GqPa77;NdQRJYKI!oZdup{j+Z7-%BRkR)JBKcI3+Co*&cvoDyM6vtKc=CC=Tr(-4C#J%LJ?Z8rnwV55^IET$@R(BbEWExpsH9=##3rDi1C z+(?a>b4@&116!)`lYJ9mOE-s^o6AoQ#bHazLGoZrI3>j5zPI0M6+6TjYzbcCuqBA{ z!eEkTF#!IqZsVvP@5TABy8#McqnQY}hiUV8$z^mf`7Lj~t8 z<$D|05`H_dC7d3`VM`BK*wSNh*wSMO*wSO%hQiF;z?KkO61J4FuqAY^*u_)W(%TcT zC4|ibTY4Y~TiR@4OBjtK*b;(U*b%x{WJaDG3*S>flCX@L2o29+!AUyw| z$TIiEs5UYqA{u~vz`iPVN0+AZ^%aX z_HpSlf-M!gu%+=1Z0Q;gw)AA+!Is_`xUeO_lwz=@77w=6l!PsnA-)i{1eTHqTe>6; zTUzuQu%#37!Ip6PYNlZhZ0RJH7>8^Rwgj(vVN39xAGY))y0V2Qm|fynb z?hB_&3kR8zys#zIRt&ZTWmMSGc{r?I_~&rgeL=Adg8FM)oBfJs}M2{TY@MKTSA^kfi30B z2ee}G%gKBwY-wTA!GSFyI$J9tYzZ<0Tk3!Pu-=;)*wPgqYzfi1uq8Yi*wPpBDXarq zQlHq2Sn|qY_{;-aqDJWcIwEPwTG&#%2V2tYIk2TAuSipIVN39n54M!S(Ad(>8BEJb zrrEdj8Q9Xl{*_@%dbhF#CdbGmb_keBoHB+FOYQn^Db{~U*wWo$Pu21^)}IGk!mxpb zT@1GLk~9##*P=_@rC8-*6&H#k*b)XqtmjEA7{T zEp2C3ldz?JX4mafc>g5{TjFFQ30smm!f3E1IL2X1=+hOpbhm*mE&2Zfw)79OJFwjD ze=NuT2Q(|KSE+RovAkDgOYvd}*wW8quqBxz#bHaFDtWM_)vPZMwsb42$_Jic2FQzJ zaheDE#@0q3wuFf#Ow3%^5+r$GOPSGOOW7D~>1-52D~5hFuU1*owC*+?LhF8R%K$Ob z*%88)?xyc|S`QzvKR#@K-0DmbTR+9+hYMSpt0bX~2DY?Unx~`?p(a|-mL^K61!g#~ zrR$`rs-uA|!9SX+iej*(o20QOoGfe!M{d}Lp|b;9LKtkrP`ExnZ0U2d9YYUE*b3C$7<>U^-JzsU<*!lpf@K!hz_!v&F{ zE1kwViC{~3jKP*LGAV57JgCBon=NeV*NCHM2-9><6A(l65W$w<;=z`#!Dy{F0=mu} zqJ;@3LI$=pA%rdA)Ze!j{kq^1_zneInQr7TR)`cGd69dn92? zyhXeEbQuuD7n`MvGfJhF|2z+Dsp78yTe>knY-z}cEnUR2@?lFA@lwwNTgrr_kqEZb zgtBRSxAgUGL!6+s-54)N3tL+CJ38NP28cH7eTEacw(nT!kDOtn?dMkdl1O!EZuvpt{g1(xAW6WM9tdGe!&D0F6&u(R9(~vn1U_sD0w1>Y01M8AEnUkZb74#Hp|B;K zwLuxy;-5HdDQi!e*FM4w`LHF3Bt#6hH1K+1^1+sHZk-pl1i6JR4QMX0IWIHD-1+jH z!_`xLzRdo>-mgmjK@?SY_j9=Gs?yK6(tE7*AxG77xDbohu=Wu)q1;o}%ky|!-dl*l zmU_d5O-^S{JOuDyOYaoj7O@LkIw-1b&Y*BK5W<$EraaivM?{ysbATLTh5Cj&{1&$K z3DMo;c~$N%QQz>GAc8I3Cwl9_mcAi#qP(!Bf04&hZVtOZ3tK9Ceb~~KYE+C)q@$NQZD32^c414$xv-@#=YuW% zeLmRIfdp)+-h(aujSpLDOTd<}mzjVqy&Q)v{U{Dw0zm$-U`yBXj=}n>u_v{6b+5^F zZQQcCd-E2UEIQ9H^x2k5yOPbvZ%h@q0^F_d=t>2yX#3{lHg7p;GY*4|HKvKZ>y)h> zDCc#b;p}RCPiRwJ{2~iJwDDXIYZ`?)MJAva@VdI0v0T@-O^9RtoGG+&>;+_^rE`*Rv0NQ<5zs;P>9%Qfo?$vcYzt@n{)JNDBk1MjA4dFh*c1D8h)LG=QO$Q>KC8Q(4lKCe+5MM_hf6F&0CL)Pe zi&$1?3Vnu>s-w$Lf@D;NQXyX^1B60Z8Gp=_JZDsD-dCDJ24&zely3JKN}4K8D%nFL zqB<#bB9fG$B=b%plPp7t6Hb?*gfqKQ8A^8bl)2v&aps#&*=8_P9m9PeT)-NpeNExQ zHEEdq>aH`Bx(f&T(XJRn0EYRliFqGCwy9*ggojQ3< zGL*0rrVJ&qNGm#4OF|!TgcA0dML0%@9?o(fux}b?DBN*Us1`U%HmE{cyp zZ5M+lBJIBzy01UlG4pa6N?Ba}j5Czp%AjwvAr9c34IT;2l^J*mwId0cuGhS=@uUnT z?RHhf8Hh?zRd{oiqM3nyiRjLe*H@td&!7l>hSDXdkm8r9wAliw5c8mW|K_0k445h48knxF?^Z+N0*@==@^&B#krX#PC2-Ybhy}MC~0&99M zVkk*BZWv0^i#rS@j$$4|34(~B)Gl!=(!ygX)jA9%iJpdV)HKG=>ZH~EqJ@xTvM49* z`$~>RX~^-{zMehO)0X2t)(tUElAQvSz(779LkZ&18A>Ql%TT&O)GE$U!mgGf3bB+* zUJV&aw=gxsP{LgghoOYa9uY(7Rh9_qyadNN<{v7#%TVHRMroCby_%pWg zrC(vEGJNI$dlfyuu!`$Q#S2QZv{9782C$Q8ILr~funsOm2`=c^tc$D4()LQfBAQ!L zOEoUFR|red_s1AYIR8{0k;!H$Hjpv3NivjB0q9v|#^EuP(1W6x_3aqwITyqaz76)q zkhKIu31W1$`4~!w0=;dVp#&+WI0=Rl@`3xUK0^sIWhlu!r3^KQs`D92pMbKKeT}72 z_I*=vWk0b$u!X`-SVO-op+kn!t5Tlj=m)9pS;kO4*#s=d1i)t~ZQ!np!%#xbP?|>M zGL*h7#VHO^eV08Ug(*@j?<_;JOAHxGd{l445iXHnW1zDWs<%` zn!&hI7SOl`_hAl7i{ptI566ALXDHzf(GYaN>FL8ekDDoFMM=m|f(Ru=k;_n8gyQlU zN;zmA;6i>)P=XQEEmL+_kU9({tqU92GL#@ig;44-l(bf?SeHbQp<1j=8A_0GF^1r# zp+ul04D9Hw=w#ZK=hP5|(*0J4p@fiZU?yZf=O*JEV<;iuY<2M&O33AWm3s^&h!?9^ zR4-&GLB34o4nqmT6)N-?N)WfHIL1&yUfR`xukSFF;DD~uzMVNQg;sDTv`HOUmOeuX zZd)U_@EC$&y28jDh7z)MvdUqbVV2!&YNr~{E<*|8(^MQ|D8ZpGa=?DLWhlX6w>r2C zB}jdS(jz`Y38R|NPeGrRKU#Ul%d40{8T)SDffgz!%$+VkfD@Amz`034;4?#1Li<7PEJ^c zZop?K-N}JSRx6wtpcBx0QXxZ0CqJxhhoQ7UdIF!J)XG=(u1=vdhDnsdUYztO48io>NAw%g-6i6k?0E0*6 z5*A413in~7DV@p_Svst2Gf%Ai-YA8m7t1QwN*`$ojNv?n(iSNskD+w(Xl11E5oO_E z(O>y;WbTDh!A=QgzFgBJ8A>ZHLum<%(_tvR%*;g$C6=Tzl+N}TO0r4gGL&SK#$_mR z9xkAWd<>;pYqZBRu85&@wz2ax%%u?5QR4Xz#S=dkXD9^`L+J{>m|-Y=1uqprD;6!3 z1ui4?7)k*dO5ewJQq@8%HUul68L{?^G*78IGXfT&i&E=i%TSu(rDGUMkDFu+L+MOZ zN)^r%k#);DVv_en45dq>oL3RY_IWRlhbDc)nxMX645cfCp;VpP^JnrKM;f%1}B=>gdg2D1AAy?+$z4DW^fPYS!jwDBXg0s(Gsnm%5uYqYjlSiyP>C5Rk` z5{3_#q4c{LL+O0WP&yw4QoDCFhSF6bL#ctAwHSa-5;Wz;T8W zWO0TPHpmP^>2ooL()n?Q()kI765hyVC?PI~p@gRdLkTjMp@i^0LkR+(p@aZFLkT7B zGn62}G%z1SsU&15A(n`tg!c-NQOi&|-)AV@G8#jv)iRW9xfq6$2EgEp~8Dk5Yk zL14zVd<>!z{l+45h3vl(04KGL&$!54YHc2Qe@;z-}=gLkZ2oFq9U@7)mH# zc`v=p#2r|f3VIABs+j2Ixg$HQk2pgKe&P%zh++&SNMZ~nNJe8Q?IlBrT$j|eQ(lXq zbVbBaLTnC0={UcJk_@G+Oc_RDC>`(|BmR#}>FZzcTw@HSR?pFDy9TWH+(L%ZEuPq6 z1xcs|pg}PSvpMD7g<&Y&;5oPqrBhymq4b?lFOScy>Fnz6+>X04G1=xVT@=IM!%bQl zMF0{>zNTNI45eFqhLWs^Jcg3&-9}}|I(=vfZNpG{g_$!9B`B_8D4l5+4s6OH zLrI20hoOY_JQ_pkc6(E`atR}_3?)273?(ENE}27IPbE>KRhP=lziJ|9o0w%_w+4T! zCd%JS&0qMd_>XWJ8D}V+X{M-ghSDz(Y(^lHvqr($;4gd0nMeN5W+=@?0na>=MT?72 zX8IdeO5^QPL>Gy&Zt3ji`1@aHD4n25MRRPlHoNB_4Z3O}Drx3Nb*VwmLO?=A8M;yU z?7Hc1<0DiR^Ne{r+^1Y_{z_*OV<;OZj*a6|o$JRmXhuMf>&?=#@fVs)WhmKv zA}x~xQQ0tE$m>5Y>O0Yi+m7e*?v0Zf{UME2J3a21g$$)*=={&FGdCR_hLWzh6AUGO zJLf1Yh*?Zh&G^d~O)!*>i*2gOI=SgM^S(`8T)=wdB1{;YZc8$hj%T}f8A>Oz?c)r< zGL+D-qWQ-eN`;C=3K>dABi~J5lzcbc##McjHKAyN2}OdT^fvmi<`lEi5>9XS&9aBy zv)o%+vvB?+Z#WBQKPVgHx5Y|xcwJ_Zd!5TtsiQv>?sYclMzG6J`Z3=Sr(by(N|>tS zREQhI`58*sKE&w_MiFHwAts!qnfvROp)^^JZDI^195>z$+c1ZGa7s37d!IN157CJpu6$S581#t z`%f^Go^%;Xm&lOo0FmBL#Y8t_&M=zcA;wVp5cLl}tOhnCNP?ks8x_!FDBVTv^K2&= zFvd{2kLng0M;M0Eqg1uf!UEdW3`v5a1d9ltp@g_#^65~LgrW4RVJLC##+`;3Ly4@9 z*>*E<4mLVj!jH3R=AgsR%TRik4>Nj07)rB|Z1W6B_5<-`4MVBcPxeh@C>;tjH+PZg z^H_@P_Zk5XIzsS99zzMIgg!$F9b$~31TS%h5=8kKO4U@j1VahZBtvNiwT@n;KRt(5 zLr|bO(BXUxrRBU><1>_&4TjQ1E<*{!184e%p@b)y#LwR% z?M(;P`In0<1Ix14Whnh9KSSvnDSnrsgnExLltk|gLumsQ&N7sCu`7xgO1N|xF_em2 zh7!KhHju^9J_>W(2Rw!nY=oXl>o?EgSo|)Jp)@bSP+ICSlx8IvO67K%?wKm_oCZCiFpjA z`@`wd!XaiPFGC5n6=Ntt8I_@QJ`SrFetQ&#QZ5FXIiD$n3?)3p8A@2cCK*ciGj*S# z1b>F1bgIwPfdd*B_6rx?n{3hEwmPkSZ52Ys8A=ev z8A{0WC=8{1`G8g|{%tZJ%1~OAbZ{6-h%UiUg3K_K&UpQ>-kTYQ(v==V3DLO>B|I92 z(iih7tiw=JpV*98^6Fvu%)?NkM(F-JB55hH45bc_p`_V!8A`88Q*jwe@RN_BREVLm zrGqn=mXl4hZ`olON>Bfl8A^J$vgJh?ZLmYYOyZOgIo5}zcKx>$>%SyJ>GNSv)$%v2 zKaZh=VFL@h7(?l0X&`#9MX$On#VQZ0xKI=^lrR`#J?Ao%WHA?C9OYvuT^FJsmR-k; zB^gRsX}=Cb=|pBV$xu3j*>$@V&Kr^pB~B)i3?-Q(jK)xcW1OLcK3y3~pEnGpmj5pp zN*|U5+j6`Au^js!(5$pxrPf8n@;;F*#fv2vO3%g^N-{@^Gn6=0@)$~OtS^tDbQ`P6 z=a^sy$ctlfNC*1H))_uS2@}g0LkW^R3?=NnfcOC$PsmXEJbk~*dbrm9_=x>+n=?gh{a;*uxD2Iv z3JBV$7w}pKrFlvU5kaE$9BHDIS`LQ8Q2L-WRdqBBCHO~ERZ)zgbh9+pgp*|`;m8fQ zXX)%Pln@5nFchxO&rrHswqxia$xuS`Y=~lvF_h4{u_wcTxltHO@PTcayguR#C8QK% zD4|(mL7k7G)SZ{1giU))fyhw$02f4tq13}Vi5Nh7#kG$-&BFe1;N2BHxyw z6b+wbC~d&4IAJIax(p@Br^8S>Mxw@)aOGVdLrG$G8A^H$N z@5#$hLQj@tC_!i$N>`z8V1hA*($%9flwOH5lx|>VLWUB?8Hb^Sr`KR8of;0Lt50Pl zE<*{eATL8n-X~%xVWF)IrB}>*BpFJ)MZ3CJ2831g#by~}C_S5pp)}#Iz)<>!{0ybD ze1_7+EGwU(G$CH<*vBWQ$UlaNDHar%-$Q7-K>GT&vp7L(14c&lAuPv`q4Wx!KW+wy zHtcrmqQ2LdOGB#u$h7$T}j58(<)AuVw2|+Q~ zNYH2mZ2J!xVJOYdP{J^QQAQJtGnAnJF@_Q(35L>xAwy||N`bv%!%)Jb&rpKEXDC77 zGn5`=!MO~j>sVwiLkT{Vp@g$GD8pL(6K5!u*i+`Uk1|6(LkS`Y5o0LrdA%_C7)m&| z&dX4O+%lB*XfCliFUpyFhdk$S^;DnVXMbStS0x`7Mb+K?9PYZR^s}z?%U1fZqw2Zu z$-~-5*@SXGwq9Pq+w$H*jG@#QE^Kl-bK)U@$548g=(dPmhSKGt+U5)jM*|^4NovYt zDBUEw?41MT5G&L-+~KzjrB90PCeN#KpA+>Bj|n1%(*2^h9z*GyGAGK*Q2Ia6Tbgph zgraZ1n1?eb=7cI@B|RgCL`jCyzvW>lK^9{up>Z4rL+Mb0p;Z3*45h0ghEgAO+Ax&9 z<1&;sxeTRy^D&g(laHZvc7mbQ;4zfCeTLGS1VagXnF)r{Z{rN5r{WAHu$T^up>!Rz zIsJ)DYKme)Mh3b`{s7J6>p~=I#{dB9R%s;b&;n}Glhu-!iVJUWa}YXLfQs`i(2OS5 zb`SKDqLBVXYHGSoL-ZQlN%!8tk=?laqs$(K6wP@QAs<7?6YA1!y}J<-?1ybg?N}!) zw@z(XM16^y@(&RHM+kpqUHVuJ-;VH8(_K#Zq5XyvWYT;pb#N|bcU#43)YWfWZYN=;NxPX z`gmRX1@IhL`Y1guiBF`arPC>sZH36VK`%qlPp48F%csNF&Ve2t3E`EtrH)LeCuk@` znQ?OT83cL}fd&w00!_jh=o9H}e6(npPoz6*`5Wf22l|HxwK5#FYD}k_l++}OJ36Wf zDIJ{GjHsJ%s>U0P1@|I*{O|am6V^28U;fgtCfsD0hjX)e^LV8JKmKc!CKJmWfoS^I zy#?KBo`=Jz^u>V~y0P;{p`BjriGOdacBtpJgY6k<(*grX_HaM_GK(!{pjd!qdnSVa3eOPGCX?qSA$*Zh)s>WP>nY z!@CCXoxN0JDpgb$%aV8Gg{q2Z(!^J0YaCX zk42vI&MrIE^l#atqm+y_W5Gfh8nW%URz$BC z+PXPxYet(k%@$e8Qk2=_T`~F!;Rc9P@kvOBgJFU8c`!`u-@9{=14Kzrz;e?@HY!OA)j9Iil4CV-l!ri}z<2${TqwCWwQE(wDUIYeUki~XjWq>JH_ud{2 zau?Q@L1@jG#ba$)FV6l{!9wJp&AB99l8!pAuy+ccV?mbOna`RL%>fso2BaKHu$oV0 zplVSZ>{Hn3P#H_UT#_Dd`l8;R-rYOE0s_Xs z()&A%^a+TE7^QA6reSs912vUj6vi>w*7H}tF-O^-OV zV@Dt3#P9*N;>QO#vX#|v=Fzi%WN@zxeT6sDnh|41;f+{dmR+7L)IOT`r+19(mR_9> zpAJk1U-oWx9+FR&?D??iBh5RPeYzY+B;}I=!~wuuAJ12qIs+RS=8vJQ$jD6pR`xYc ziOMSx!w-(=AL>4^W8ZN9AlYw)H>x!wrMo$qg@7NUO$88)402JyH%jwShfl^;z{h@; z2A?u~+PSX>G?AU0R8UqV;YTz^78tw??He4SH5Vf+p#bVDAB1IqQVNFcpof93oPs__ zfH@s?IA(a}xoo^MHF0y<@!F*AMzD_sB zi=twpmyV#EK5*N9Xh;-}e#X;}FRG+y$G&}o`$Y5MshZ(Di5c^pUQCLx?D7i9F0^9& z+q3UVO|UJLxLGyZ!}<=6pTdf6K)yk#FCzwR3^l+hX;_d9HqgKKOwl||VV36@n%}9a zUh6qVmU!YiZk*@pTQiP%tLJF7T>_46^W3a8W7*d{v17HT$^EV8%pEJWW*qk=&q0et zf{cH=jOC)6T42NArsMn?MRvq*LcKf;YsPpA8jmD$#K$XH1r=Wl!jfgd%Zey0PD;K- zEsM&KqF;#OIo6Cvlu%+z3tomV!pNGD!(5SFd`H%VTsBU4IG`B}0_g(WSTph=6P%^vtTbpl3k(hC0&Lc# z3h$7ae_;z}o18{v`M0n|{@!8!!e9Dd!f9lbzUah+%gppLW{Xw{m=$17GC7;@Fk`}B z_L39Z|A$#KV)~_f&Qe~LTTm1e+gTv#=Q+WbevVosTJo?I(QWSddsx~X0<&!?M;ia)z<`rG&jRmD7G-VXPYJI!C4E!|Ym zG=G6KtJ*Y8Nwx3}rxfHUPdrk2QdPU@5kII;OvBG7(lR*^l?~H{eC#)v2e?Kh9JNz6 z$W}&wzs4H*vDS`UacAaC|3xRAYBRa$P-L!a<=aZMIUt z>QD+sy2eU3yHc#xHHJRCF0*K?87JQpZj4U)Prjgg>9x9mZ-~Ws(weclkuxH-z8M7m znKxr`%N_QL`?0x=%q`%0Ahac8Oyh%?HDh%vSMJ#S+uO&v`OY2O;jf0Zc{S7IjXeC* zd%*0^S7ZC87MUIHrZJ>9537C~&WANKzBMBq8r1;k&1-~^tMh)73LEf)K)E*abdab9Rx@GTtC4vI< zKk#6_5>bN&47j-Sm5ADR2Hkx070mfc1PfcTf_)w2zZShsRTY0+=1Q-2a$Ae{FMwT@ zML$kOUGdRR0uqG;*J8U4C5dMx``2DCYJg|+NeBv{0rVhOHEL1Q<5QEuK8H6r@PUUE zvo{r2uB9NS9&LXtaB87bmw*2eQ;8@{)62cS` zgsM1ocZnk5*?bbB?mj6Y2z2&c_ox|h%qJo0(0if)B!FmE9nBTESra^0i4@Pya;j~= zF2j6{H9|($;Th+56Mfbh$wEAqN*_VsucPopMpt@8&vJo0~>&HUlMozQ6W?)A;^!66|~g zV%l%e(eA~(KXfpaHVv0xMv87vHv*(Z0&m^dk_QZf0be&cTd_%USH$$V_y47s~+APe+Go{-Ii%Z#Gb| z>5`g$y3x+*Z?``#pqd(gMo~E(v!a-)8jqBU7ecA zWWKhZ-+q{~3Od?Y$wFwHY3i#HiWXa-+cKyl6n8R=TC2%>L4FlSg67?JbshsH5doZ=8wbE6JiBE{%t zzWvb1Te2n+_uCJRXu2p-@$G;6fi4`VBxfTdI5^`x9ktciBZbQQcXZsb*I1i3qW?ju zqJhWcSf-i90sTkceqew`s6*awKk%)aO+8BiflO-=J?lAQANO*bLVXLeBU%D8kH@tmT&=9NiXiC z231Ir`|XFB=$)!%v+$@>{^H+$K-qM3Z0+8Lk81MuQ6^Jrq!}D_3Jd6{LHFT&lokgL zmKOTmqkbCbM;yH6nT{+yeTeU<{Zdwx*l#~jgp#7j`S!z6??!Q9HHEJrFzz8xgsy_- z;nA-NN-$KqWy%f<(&*a{rY>w?^X&&p(ZDEmzx`lp#foJ?Lm8^Y%H-P*l%c1h%rva< z0}mX*(XQxZ+Lq^pre#CvJ@M$<4-Cl$Wqp;ypu>j9fybUZWLKFEo7912 z$(wTf`iIaFLSyK*HFDGaN+a(IBa6QMz-*nYa^AhrPKd%&jc2jHfEFPRiceFq|Lq4l z^hFLlP3jrxF(G!VgY)eNdLKxQ=ZS&Z5pW98T=UmLG!;b|k&VBjuad0w@062rW^MQ3 zBbp>K4Jwf}^J}o_huIZ0+c{A4d(D{Ie1#5}GVf^qpgb7Ay8bf{y8hGqh|O64NiX00 zO>`#A`ar)n`o#bceUGPfrpY%G)Xrxpf!uX!zL>oUYRB=A<+C;%)K~LWY~+x*LBW0qbllMa}%p(&O|Qkv8rbX+5JODM)`i9mGmbfnq;fiiBhsLXF8H?mJOUa*aY#DHHfAP zKiR(sqRG!3>YN2T=YwJ9=HggMHgfp_98?;?B`W4!Hr`B%4e^v3t>P|kM!dPhG?q{B z;!Of@1sI~3Ld+SHToJwkS90bZ!@D}&yrqL4dsZmoabt5Vfjj2wQcgH{&Rh4X~|;oYsDl{gweRP5mZ2 znrM^zCmdh7CWFT*m^5#aTIb6aV;l-FJ;3jyehL6nAS)(39XU4ufJvt1ES37721j0q z3r?8bW5jVA6(%qb??Z z32(>UC_LBwI{{3AS)D>K{W1YC6?AX!T-&{F^Z_9~&5~Pj1xVo^aTy=SWUypjw{6Yw9o-w+xAAmg(WIbb zZ{N@$%6?-z%7!QCslZ~0^d8#Qo^$y*+f6&Dv^;Vz2y$wPvDRFCSqO8&Ot1kvx1F%V z-_JUuzoJw*l3p}FI35!#Xtbn22b}&f?e`}gf}9*f<;*FRNzDZ?QIrXe?>WcjTknps zhp?n0X1h35js~;%=sZcdv=oy-0>GrHBmhiu!61kMm?XKt#OeW<=z_(B0Wk5YM6>n3 z4l7%o);O0TGs%i7WHA6m(W#f>nCGIy&j+ez@x#e{q%d%&mJ}x)f~`2V3zY;q;MEkf z7--m4uOHTXGXz6kmh1?&VSjO7UzkKHfXb=$31d&Ix66~|kTQ9(ngE}Cher>?XVA54 zL|=rO%ke=pLaziek|%KEzZ^qV%j96|z8xo``|k^z$tD-Tgx%|OuoX?f^;4KC8sar$ z?>rfL*)o~EddqAxS!!9XI|?nIdy@f7MZD&-75mHGQtB;hW$N4VfQ&Y{JG;qZk`X<+jmDA#zwBZzZ-Tm8k-oYv+O9sKx3GU=#oo z9jk0SIa&F$Pv<2Al(Z_$^3wly0Hz|2F&&%Rw{F3Wa8;{i!=hHpVfUp!nq&f#e%@Ik zEXN%P^I|$onK2o&vE}!e_HFt(vBNSV3^u3BwF3$>!IsVqg3(6Blwg}N8@K?bNl>p|7R6wQ??NZs($V3v?MIiE;w-i7=0Mq1q z#mT%FQrLtSZpF}#4q^F!vaPkQG$qt}EVdq5kXDJc%2TbK*29_h$B6y$4lPZYBDTJV ziwhUP6a+H(Z`6rIYfhS{q!8gJT4hQj{{+QO24FfM zjWyw90Zi{=%axF9Y-kaT4q>o|#W3sh1DGz8oh*7l2#gj7v?4ic#F(L*}u-5VMN;D*S)T{*ovvEmGj)@rcOrK>8LJje*U;^%<@FwxKgRtdBT(wU(S ztSiUi($tm4;nCEeHUzx*|O*>K1eB!xXx3N~@rZ%F6*Oixu zjRvNRo5GmQ#@q%YDB#^t1u!w?j6Pb|qEr#-C~Hpag7=j<6xPa181F6kLqmd<|2MF> zr6>g}v5{c$ae#gMN;v{3=X?ALS#7++7&J&R_zQnjP!;b z&2p^TG3>`ji<+SI=i8 z4uDC|GqpqBvaKC*SB?0AKLcC%P|Bnp@dUy?=k}fK}{HGbXM2)F)RIy zGiyLSR>N&=X0{f)UZBTAcGGZ%>+JKEy_rjPKI#mgEG!1M_jS>#pG z)rJ73yJU2s7{ft+0MmUk%Gi*$la4fG1y4ZXasEghKw+ja(FT8 zRwq6T6AdO5ZX@>h?m(PoCfN2b9Ax6N(WX?v+lSlt^t2O*fXU3-8-rflctP)y{w$RO z+bssbgvWwFzAT~LJ>4@xL9p{42#Nxp#H~>i>$T|bm7QP&xI2genU@G0AHqafi$gOg z1OL(doUnh#{vGTc);?h`#;?7F8S(*45J`v_fN9O^g^{gk<^RZqO}sC!+Gkz4a|PdY zZMij?%a0p1%$=amPuS-k`{NAzV^|bb_wI8z{}c7iUF=G)veFMbs!muFG<@wXY(lxu zS}$M4+dAhJT-dawQXR{}g-tHULXr&`55UwZy3KtWZk2|drCw2O3>-WAcX|E?M7d=H zAIm5dPj0{HvbW2hL#$9=*~7)=p1nz%!_)w}>ulniwNvg=QD5=Ts3QOqHfW%?9)JlG zTFi;^0+_Jfhsls2A}oLjIl+k@_r@Xs(--A54;dTi>EGkX@0a5|0CIfUvP9!pAs4tt z*}#+y^Z93oUErFn8#_@>ZBKF2b5GB%q5d7HhWM^$fMI>zPQAGU-yO?XT?$~rZOkL@ z4g#D*z@d5%0Zg3GGRy)<%e<6OgEt8i%MlcD#@}hQWw8G_M`e;KIa)g4*;- zJ^R3E6X{ahqJZIP37njuKqJljTLfKIo8D$mS@uiV)1t89k%}MoZxQwo!oIyWeVPe7 zw?ba}fQB`PKW=)rAj}sK=8ihpFY8=8_#KMry&6IfkR-tTf-6$+{H4^v`9lcsRJ{|R z;L5G3hV{i1%B6|1vx5CRSsno`mwdrqi)`$)fMLX%2 z@(Rysz}}s^^z_LM_-g7PuM}>)tv3DK?mmv3h30!6(z+mWwyk2!B}u$;Pf6``f`J|At;s{ z$Id~}vM4B@^vtv--B~9scTOSWtFiGp$F**JU5F2wa_nV@p~i$0q*V>x3(PRQCDfy{ z&UQPq>TGG;#ON10(R1MN@(N8_a4X_|5^*nf<6b;U+>Eh9V{}a|-29?;7p_mGjyoE0 zc0})QU%772;67C4^3%7FJg1j5S?g9I39D?2F2YWDjADH1Jn)Sl3Am}#{^ehB@aJSvH zW7DR9?}GUf8}lcns#PMpppbMiW8bqk3P#7ZEd&X0(Gf`HQ;76?n9Xs4y}lWJPGdU# zGaDXq%p@=q$Bk#_?G{xc@HzzinGLuW0r}sS!4&p1Cg1vIu--8Ln1@ZFd9*9T@BcdP z4T0YX+}m%yD)>gCy)rm81P3i+U{!^vEPQeaf3Q83o{Ci|{wX2gG>!4S*teca@5#oj zr_wyQw?Y(h8aE1%MqWl+iEC4}CzA(=lo2n+rYe19v0fTBHdX0A5`4Rlmn_t#Dt!Ud z#^TeH09o$YRHZ-49BEWs88qO^`Q2H)k8H-g09#pj2}zB`(oIYx<}WxF275RXqg7IT zES3jGjPq)9JuJXn8(e$wY%XWAZ1C|35rr#8rUg8!MrsGt096uAv56>^0*woqEx23WL7U)bka4NskFtJ)5>!-Z(`M8`DnN~_ zf-2FOV6pIYgQHY6E|8$@SaHb@to8KATkH?qbD;9zrhBMK0oHrPd2OwNhe2JnwpIa_ zSNL4Qjsf0h;Fc=|_;O`rYZaW!Hz;;&t%5&*IUCtp8Fh4Qtti3N)_qkrfZ_~t7yNVly%LoOsepp_jEIpq|j+yHN-9V%pB1e zJR9>X3ZN|XAh#njttfgZswjugxcKH*wu~I}E2$cMwIwva z%JB9Iie*&uD|$e=jcR@cv>jUHrC;$ndf_LE6`DLO^8hTq?ie_1oFuyAL zJ*r%7nApJ;m*O3Z>x{M)L-$4PidQ16V}6wZ5*W{28;|eUW^HJSyBPFD8)6Xetnsqv zWd>eA?YQPw=8cUfF|;byZdXOT4X;vE6?8+TXl7!5RgBwRczqSB1q&k_pDKyWuhJKw z4vJr3y%l4T8$M*S?gJLN#bPeSjAC7!eXr;N?vv};o5`nmam=r>X{jI)+sOz5kCnWt zXKQ|y#kmX)f*|zFud+{Pt@)L9<65!&U675;uVfU2}YkpNS zksnRhUP+@j=2sFwt8+UJd) zgBDTD&O5I$7K;-`v#gYot3|D1##kjED3<&90~m5q9VIXE-Fq1=wog-8HO315z$7@d z$}**dC1Q-RN?u}#F#1o)c*K9GS>bvZ5DNK=KHD|1{%6=pTDpJ=ftL*1eq9XULvgm>m6&HiJ)C+{=Wm2dz z*dd!ctW{QdwUjDNCm1M_^CU#S8BKX)mBj#!m^9|K%Hmr$r7%lMjoBsN-&BJL_CRQf z)+$RL=kx&*@Durkv6l%XZ=Kb10AYl9Dd&3Lb z2EFKRor+L}6uDMeW6*00v6D?$?n5UlorPm zGain+9G?)Sd6hRD>VPAgz2oYotSGToSrnn9B-n8Gj&lg!MKZHdT=KzEi5nZ26)T{5 zFjw;9ri6o~Q>N^&AdRfDOkLQ(#wv?a^jMU-R#~Q2tXP*s@XFPg@v3_lkZ~~vnQ2&| z=@kcd^j35-ZOd~))3TxT@F=p%Vn{YH6EdH3lX3Q~vKVl-x_DMue4F_ycdfE0UaVqK zJ!_Rk`7)J9R#_C9vUjbrC~i}~zEu|U(yk7C{m3ed4jUo|?$fJP79BR(WMfuYblV!a zg)3yryTZsKt1M>gWR>%f!E{0po@zWhR$26Wnu>j^EIRZ>4%oIgTkLe$tqzV=7NrCD zkWq-ruXsRa7>ZkS?l$EN?@l}p5q@hzD z=xozppfSkVISE4j@v+zUep={P2gXL6k1nS;|xO!%~{F=y5* z$6DIdeD0j0a4Hd~6kku`rX&kc=7bY&1EiY8gZ;qDsrbk--ygCP1H7Mb8w3P&VeqJ& z%L1uf;y$c3rBk_ur6aYk%bUt?j#4nt((JU*xR%%FkV{j zoV-= ztsH$_$grP`P4@93Fhl<2VNU)WhW+G&ocVYIj@neGO@zp5~WaYu|#dEh0&4Z|E`X zO^;|8_B9wjpjMV)U-KJICL)G?6>fi($!Fj9k&&7Htri<(<*5qH{HESH5omY= z5<}`$`;}o&sW9vz5Qcpg0%h3qjnaJ7;gfL{@UfqBDL!TR?y!BkV?mbH26_oHLAn6E|p==SW**lI5}wED?mmk zD#IRcB@FwC3R{L(W!OhC;%zYVt6MY?J+WIZdZWk$!2A#zQ#Y8!8UZsVDnI=qa~KLD zhP^5bt`6Z)Qm$oCkd=Lqx@)o_!+y#|3>Pu%C77yC8&bJ>{OVN2I{bJD-H&D1*ImOf z4#S?c-6p#Q^=aElA2!3@wqk9phGAd#kdz8#mSJDNStd4yVPB68ZhUYQw^z*Pdo<(h z-|BCXJiLJnd�ezI}#${pJcxl9mjf$wh#Z-hVFk@R3MaZzP|1z`O&=zL- zPi5GLdGi?d4QbA>8n87kIaV%rJ#LBPo2bLo%?A0Re1c)mc7jIQ05j=1e5(jp&+-)o z^FpD3)PA{oznA4})PJPr+f>Prsl#fSwT>V7QC#8!s4Vv_HLsx zr070TJcnUF?Z=eZ(xMm6eY4uFPM^ZxPPxE+`U1+NF!;2UPx_w59BF!gB+|Ar9hNJY z12NApY8lWdW82Byx#K#wAK$%s%jOPj)vjq@*S*pyTt{c;8W_qwbgukqbnfRJO zcW2j{uI-)OTaIJ#+oyGFHg4)@XUSVh$L1|C07?~jlH)s$PX&%-Z$rp6Cn9&L631cl$s4z% zN*%$vHQP6JrOF%$Jiv^>W7y9)3PmxqmIXqvx#^RrMO?cy9+D#ZJJHE4o!uON4~t2KyZ{s6W74wXFJN!<$$o#e0 zav1hi_aV)rfPZ8NNu}ySrxYTFedDY2*hY_3rJpz>C%;`75q7Hy!0tqLiIkakIyD8TM1}WH>B{k+@bf{_;hOV+{MI z_SmMHyk=9odEchvxq$V^@o4T%H)jPT!nZLPk*0O*I2==urY&sy-0fBKcJ3*l`SWI@ zpM8nkGVGgsBTu5&T7$P*zfxQ@Oi-0N)8jo|RIDboEvd_$amB^mZjuX2f?c%pm^ z`&lKN%is!&!f6;2&eHV2#8QrCRmic8Eof!f&%$vN&TWzgHnXsOgHxM)4EtG2!UIwN z{w^JGUc+r{3=oNXxXfe|qjVYe%qos*7$(NBpS6mIHSxXf#i=nIT(NiEk8d5I<87|{ zYvJBXqKrt>Ir1o(%{Nm4J>1(rQTseYFs42H3b~A7e zHac0tkFaXypu-=-_RSD-lN*O7P|7*y_}sNW5%(s&niHjDW6lgD+gvBfULH@@;NB+s z$^J!fZ+_-b=N#eZd??J^T(DlG&*KZ2D>m~=x$W!W-grug#XY1(tJooCM8M92kU1c`<~UIkPA7*y+Ma-Lowb<#dAR- zX-E|d_>6CZ+MTx`E02+dkmeQV^xUFFNz7ZtZ7mP?HiBa!zSO)0d~bt$!*A|HDVTt# zM>yoid^p0rebsEYK;2R-Fl^r_?hOLLy+OMT?hPk%*oNYx;NBQp0b`S_V%9CVw?A;- zi@8AOihC&fdxYnvF7AzCD^h3(Hmua~ysz5WBHY^s&gU5)M&nf0;NBR#GHO!QsdC(& z%!7MF1Dd}XXJf{E1GkF#VU$ztn+*w>p!0&OF1NWAd zt5|p{{XqcSo80$dsT7|R0QV-{AfC#bhu)3Gs!Xz zh=u2;8S(7m-gpd)GcFnqc(^w?ZB3Qr!M)vu>RmX?3_1&e^(#h+g$AbRb{F0dPKFk) zXGTmj_i%5lE%XZ8qSI-e_@Sj?{fJ0z#Zfe7l;9@#xVI_U4j1>v#bzpNDFl2z@{^ zf4KB-`NErwdn@6_mxFujkVRSx)_%w&*4fPD_uL1&=4*KY9TnH19`5amu%~KS!us=Y zZx~9kY>VOEz9|hvZ>=CY^s6mc>0pf(iXz+_w!X1ib8&C~CexN!r&n$IIZMhS?rkt@ zDK*OmnK6TUg2EjzxTi+u&WOo(TARSViJ^`0f&S0p-ehQWyA%%V<83pvJ*i9;*jzo_ zo6Z16!M)M3%EqJE820JaynnOw3RRfpWB%LW-by&e#Bgs1M6H%jH>2G0W|_dGOPnRb z@?%8C7t>+N!M%OOv~SbTrLjP^O+LcCL72%pxVI_Gsan{$*kDabn{K9N`N*YO)Rjrl zCH9~{TK=k-|5@A{r;RWmb8v4mk;Kl5k9)($5DOq5?k!y)P7&^H3u>|zLqFQu@>ki` zTH8zswPOFr#G}kYRyjRb^Ox4W)+cO#q;y;VRwB|VV(Z0RT)4P5jE>CLM!jCwD%(aP z>5O1Ety`pt(%-fu?rlh#syZ6n8~mfGswjqg!xb4c)`XMAy}g?)SJOvh(>Bx> zj$n3Ts)glpKHOVNHV^Jij;>NXh+naX%N@nN=^1>8d(-20u6Zo(jU!XJj!aO66>lG3 zAk(N74b34TGygf30X}B_qGnxUqp#NA7ef+nO^y1*23L3 znFz6m!%GcqzDE1Q(%rW znQ+R_C!RJjfiS8F_qHe;NLMdnBo6M4gHtA(2lpoL6XD*r@lE(1-!bnICKKY`-V5i| ztui2pFE&fJdqyMt${6M_BClcgwEDTfIPOi#JxUa5?$@Gna|bnR+rZk#9Kzt#pG)rPn?T#&-(LNSJe{J6KT%P3<*+DdMdsq(;6v{9uZ77il!5Y)$*PH=asoUAc2wZMwD`&cB$;PZ~AMb?Ng{ z_PNjg*kgYj5JlC!``o*%^fFg^jg{W)sCw?>^04+}Y(lxat(ULiZJqN9?qs%s{B=yY zu*vDniJP$=zU@TOZ4qOuG{n8_6xHrc;@$>DxgFw7?i|r&ZBKH6sD-oyxpD_?|d`7Lp8S!-2s zGI4L&&|;;PxVQLT=B5qmIKAKJn24Rd&l+;;vLsLWZQ1?&IngRpvrKU604N1j+47m?ZD%l!kpwz zM?U1}C)>C81pHq6NviPvA^H6j6?OMeeBD3f z(~dShcXo5b>`&Q+o%@}T>e#ye6oT(cyLjG@Zb1K{O|O5C^d0Nb74Yd4F?dLiCw=ZS z<10n>F(aD**#qM%ME1o@ss^3$mGXxHvHgKrWO_U!oM@26!_wdtd*X6D(jo*P`1ZV-aoBCxX-y#-d=>;|{8t(zS z+ntJtTZ>LbsH;FeE2>W~u;J3%ruOdNx9>!A8Fj+=sfeXrZ>FyO7;?11#>yP&_O}fi z<>zX|{J#)$o134LZOHh}xs#>OAmG;!@E!H(lWnxXFEyn5sI-V6JR!Rorq@@cm0-8% zfz-jpsLYKI)TjF*%v{s`>21?YqENtvv&giYp1#0F72Y?HsI({-*CMKE;G`AQr!UwY z?J6J>)6*B(kjZ-qZWN7(0v8;PJ+VH0krxG?o!tEf;KAwXt8JWmTHGEIe0eWbm;Rm}D3ZJ7ga8w8@iTy> z71yV~XU+-{<2I!HEK}4wJUazOp}0gelPJBPrw%Sbqd9I z^=XDp@s)P!bV(L*d>V0lcLscWnWi9$wUdw7aqR0Kv4C#mv}-Djs z+N5c=rs+l#o3?3Mx|b$R(x!9^Ny{=Sp-Z|*H_{Z@1jN6%AXO1XsM|P-AcCTfxUdNB zEAF_=IO2ec0xF23qvQO4zvnsU-gEBz2AGk-|Nk@daZ2uU_We1}Ip_Osjl1o(U`{4; zj!huFkUQ}&sYd*#ApR4G|D1IEmivf2v8d-H@!RS|G9xw_zN?k-4sS;<3fxpWkqRzD zZu&D~8A+!y;+JAXgUh@p<+#8maAe1^qky!f@PbP)11;GMMY8|y3_RNGkHyFYGkTXIQod}%A9d-H7gMs9H> z46`!tkKpgj8>iZr5SdccW%e{1A9Lwl8*&Q16!6rgD}k^pMx3XmvY0)MSsa3W1V2HB zB=Ce69nDCERTInE6|!ehW#P~ro4~hp71Dbd(p!&+&Vqu?%}-wu=8x7)_Mn-`xvIb{ zzH7J#v?{l!F1Hri(F>G2!0-K!5N1~&OHj+NLGH0+$noDAxtA&0 zl}*FdLIUvgJrb@!6lCOy7xNA%)07*;9iSsPo7{CSZjr!dk+~=8vA-CH`pry)<{TO8 zAU`tE)}1fW-?-stHX7g$Zp&qj%wp_*qSI-YV@P}zMH?(h4__rwe3D1UvY;qa%mkq= zA=e-VW_RJfQ&60Neww*o{-OIn!LWhHCu=V zD3xJofZ4X3;PI&EQ$^0+5Xp)Qg~HGPk?A0UZNigeBcBGC#ZeGhaO4PbF>|X2mb^FHy_7CXU^nSn755nIdiv@XqxxNUS4BVsR zTo^vWigtN_5ah&zfjx!4XC8A7?=N?8UOdgVXxuy{%-nfd4Hk9`-{#(B}6x|o+4biWQ|Oe zkI(3bbcYDnE^{95@6%Bp@6Xhc%ll)~L=NvSTBY?gChw0PP;X=M{-RzPQ)%8`1oJgU zRRP}Loiegw-rtunrVxET@9%ps#jx<vZMW`j8_otNvq2c|(;E5W-x8?nPTWSabeUMp%Jv=Ie0Tl1|m{foSP{F^W=-iCv zE=9jBepMwltuRF>q;Igls~Z|5GJ+;oXdb7q;#Z-J#V1T}D@Na!Zdd$Cgmrm;mlkF4 z?eqS=$)I4&YluftW{sC~D>6`WZe$WNlWRsxY&N-@lo_lLCt z#aE%>{UJhZ!X4hCxl<{sUB3N%ipb^tT`CP^ zd}09td>HBA7aWjR^&Ip5-io|RA|CIr^qeT>{mDeF4a+4r8zsCyS*Ie0_eViVWO;v| zl(-eY6oo^(bmeHUs&E_2|gTct*zYLrdHOzgq? zOx2X$$asA>R074EyuBc@Fu{?{4)iP=r3?!TyHVHR#!bE3EI6{PDrf0;!-Z4$pD*hX|ASP_g+j5omCdK~<)*Kez19AK zBbS`@XS(gUCFo>^#pibjS8CBzWjumR0wk!gG90F`51W`=-XC1pk$v8ubY-ceaZ9AL zmR`de8@I+}bsXmYoTBoW(OI~MIY07wf8&<1x(e|A5I6FuD%1#-!b7`=rX7bF6j^k5 zf8(}D)?RvG$DyH}ur(OU8O2av3h@3I1!mh6?~hU_F?qZ{OlC@EeYZp>S<$q`Y*d>)^LLZQZx4ggd5%mj0BfI;h5(BQBs}u?Vao7p( z?{2Ib{Pr8&h#cNuImQ;MQyh}^E{A%B$`mQK#)kJ-{vxSRk-EIU@?oh_k^8(qx^VrH zoQ;m|*tL%@P0(89ctws%LjyRhQWl(SwR!t;(q)C zYK!X$YYP)_C1xi9_vxO9#lf_q3y#?3CcI7RijtW32NAlz1ao+QH>0|+4lqQ@kcFIEjB|?jhk$MB;`9EH%XXD}yg!H+ zt5~{T%=?3UnaUmBAB3w^=<)s_?o@G#_lLanr~?b(@c!V?mpGW)Kj`+yjqh zk^M(75gNDt#Er|i9I7+Swm33}_lInqsdCusE$f-rwW{QOx^;8jL*{ z6mafolt94S5tjG&5%x}I<`!0G!uy*{ZXdsO10pr4yuZgHm-i<|8khGcMjDs*M?D<7 zOvz#%@9!G?HtZh0m|~tdQHU-+`7z%=hlMzldj4Z^%~X_(F<9PT&4rQW{nZq)U|Ncr zB`B2S#pU}kQun*&LJXFg&9EZY+=R6*m*mjCy{E0U=D{e2-e8JC-P!cvcEU?XZMs#P zOfvde^B@|f<_T#O%jH9oQx-**_ctZujo$;>Mcb3>wyuaMVHq9jW$Xo5%NU<(JCpEn; zkN5W#df*)}u+mP|&YZ{lt6O7(JG{TTb(p_uf64i)Zp2Jkb+~S2y&B$M?qZqD>aJH+ zyzU3KZJssn&qiqBdNOkB-tCIHV#^{(Ww`bO$ddf_kWOPR@9$%g$NQ_p4J(W^_}D$n zjSoWCy_}tvB8T^f@rPB`T@qUA0|{%am&mBIuTPjvC@1X~+Ac>_r%>$)}#!o-HZwhiDe574qM@9$S^Q~VfC z5{H?oC7Fh*qOo{?Z1dizm?BhH|Pm1YjRuxSPF4>O46{WXr02?TP>zNBUC0F z-rp0J_xA*DM>IZ!htV=eJESb$$emh(G~_99;{%*LB%jW2UsauB=15cKsU>`7F!ex$ z_ssn9AHGpw0U6lHV%{HQMMh@kx2Z6YDetdoUW)hkM4I>ag!29((Dn@P4<*X*Qedm9tGbQoM8jd_0%DDQ9Dq$uY7HAHMYhxf-|@-F0wPLOY=8Q$Nt z^_YGv?{C^9hH-g+Xzfnr{atD&>1WORv!hrCtKt1kTQ9XjnLHVRJ~HhqqS#p89}L_u z8auo{$vEe?=IN4$=aBa&Sri~ziud;wzB6gw9if^9+l7W`p3aP+AzXo3+ma|^9$fQE z$?{!smjA1~zc_C`@9$D-Skqw|cX)rzyO}k^`@4$+BA@q%VPSZG?`Ns>elBlSZ~~HY z`JxGGh$`vF7gbY~(EZ@4mf<{!S@WD(OqPPihsgVTe~3&@ zzyE1?e=~}(Ni)1Z#2-X4@9$pr`M4r^@EcR~QTQRP%Q|XWA~5_cwi_=iu`G?jM`?H?uG9m(POtmrlXq z{ml%7_cyCPifb0lHA8kpadlEMlMR#9Aw`|i@f_aYjI$}RwM8$~eIvvByN-W5^+NaC zD3i+I*Vp*v^ZtI8h?Ms?vkwxP(q^5fb-;_y&k^rWD~kJUJ5LO8k(3skRWCHZ+aqY> z%KMvj9y4cnf9SY|_xGUPI5cA@qdda<4QeS zO!59^??ABG7m9K=yO}ZJU(S*zU7rB){#Wq+pnj?KQR-{ZS)Q#zR@Uf?iGYVxi@80lVY~qYs%a5Wtws%NY|!KYrDEPa=5oni|pOwnD_S? zP8{|YFACQ3RgQgHdzJS`n*xSE?_v7cck+W!??S#?7fQZcr?JLcNf?qpwqx*nIf3_Y z)5qD?2cDdxym~LM)gF4cUhPV8{-d$|gDd@jmBQ+rV-|TLgbml+NwKZ7RSm)M{d=T) z21|(3uYBHL>xEQa%^@ejt@lr8^LtIc@53j)|%}8?lv>o!YEzdAG3<1 z8iw&M?ji@D;$cnN)}7D$JMp*T{e@iR^=*@kk-3x7yuV*Od)}W!=JNh-MbE1`haGk9 zznk9FR&4*kjU+hh&4xA%_SkP5Eb__6BKc5jd|RgtjI;k7v+nTz=53=wYmO+|0uDWV z+wRm>%8rR?#_=Gkw01D`zqU#2fAHZ3sf>8OZdM6;0$Z{=a(RDkZR~yCOF*X87`eQ^ zw&m<@@l!wL{k5%QSBu{ZGImH>AaQwrZMdGpz{rQypgfZ00-`z+ACe@G6>pecm57HrU)lYI+qX z#GD8?c`#BQFXFluym+?@Z~z8Tszb~>&M7Z;khdAAGf1mN$_d82LYN1;XKgIxMoxHt z^U;SJV=>BQ#2@6vnw*gDSQ@Nx3vP?#)8qZIIP!pv)~f}dqFKx1{rwilL@d;T+gNVH z`@`R~L@Akqr$;#ChiNqC{k5+#<`#6fAd7%8?+*gu{h@ao-XBipV20wS@ctNEma$o8 zAFmR&Urf6fbAib&p>d4CLxSAt1cY2)@aHnxQK_c`kGh_4;1aS$2aAA?sX zL#h_JD8T!Jb)x+*IAs|*y8o~oKl2PO8r`w;fZVOemniY=c&UuOrHk;wNMyN7pP2~Q zu^m%QJ`r%?IHn@glpz8xM7!rAj|eFJ4i}A4upF-8lt-2b_|Ke*5+dMJJlf}VFnG+~ zz*Bom1bh{az$08-fJ0?Dh=7qL0*>1rf(R%#f>>|GPlX@?%0!8;^7oPmh^9!0fVYwe z81Ysl+)Lg`B4ES|F>-wqqKYdJUpB&h5qwPe5Q%^&ogo4iXGjD@ogO`xzX6P!d+!$_ zV6Gq$@QV=#i6Nd?A|Os*jT&Yg5KHyfS!49u1m z&^dwD@QHw*h6p{4(pXniGWLr@x*A?;St5uFuEXlMdy*gsH+3|2k#EZsyb|DniOFm1ks2)JU%jBhi~Wkg5P=_erqQdNpv zBH+i^UNCL+*=O#=`jD3zrCp z;n}Q#8DokFh|vw336UA*T=lAIABIRaA6w$9In*0^TlBLnS<=O)fkbBA~`>?gaOt>Lqd>v?vjfpE>=s zu0=seT$dpNJ`DBuls3k@j`@Hxeag!s%m3SCBE)vfAp&X=N(4Lwh7v;rd}p+?AQ6x? zj`>3nVIC214dxz)2)N<|D=Ba85koOWs1hRJx8j9#&9@kdLj>gFl#2=w z0i`?%5fB?~xl6m|JX4N18A}9Q!&|g#ejp2i_~Nj1r)NxrL-|C&&e!~p69J{(T_Rv- zwN{mzJ9M+opR@I$Ll`0;K>0z`%l7QXBM$1Rov%sPI_&rwZTeHcb1K=AuBx~QeB$6j&V)SBLdzP zNhdKYN|($6BC%^nPl^cGh5M7x09m9imk8Je10{AzQdCT}Qcb9#t}|tIp%}|SK_Xz+ zu&gpRq@AR7#iwO~b2VORm^jSbFGN5F#bP5tlNGS*kgPD2a(OZPRwq6z6If+5!4wg& z3;lmq-n!5dG5nxE5-4FlhR*`fO~d&(@ZyT~H~QY0Z#M8JQ2rZ8f> zP7widZe8Nao%3unwRQii75AM3E6v%0G zbt7GDrCm-}?>SXIy4P_C^_*e7068ef0_H@68=FpW<$f4%Y`Rr*qPf;10>TQ0zAa)H zQ{|)+{jVpIuB}G|uK%72>D&$xuxE<&W$zrI8?mDM#uk2KkLm%Jvfz1D&pheAu~j%G z0`{zse(Mqed%8s@DntbA=@(^4o>1U%0F7$Qi8-Ot>qRWwi{&BFNSX-PvqxSMc|<_U zmgNnORWPqUJrQtWT|5OEB4F1Q&qxGZU!t#vk;mR2a*!zzFxN*SV1$!MIJDkJA|Mrd ze5^c~L_ks193tSKNd%<*GPcISQui2%fP4rMZ(%_bd%tcT?u zNv)qN{Jghj>QSzBAfUjN)zR@qk-sNXzvrdh{DI}cV>J9`yF~oa3>2x%V%YT8zaCm= z{r00fV++puE5yrhGWAD}EhEWCpK0};iQp4nFK!=4Y73WayI|k~;c^XgX3i`Yr#s=a zjCt6z2p?}-f0mM#su-#oDlEE@_ z5PM3aIQN}q(lTO{t?z0{=8G9tRQJC9BS$nJa01341i$dBEH)jbT}RRa z@L}5S`}8p=w)FP)LLUAp@vyL@jwVJ1|H)z!C#`WYb{D5M zvNLWQK04aJWAsovGJWa<)?jgS241pV^s=V1Jn^!SUOL1}JH2QuI~tQ14=Nc4iLl4t z4}RpSFR!d-!l#Z(`V;WvdnzJtlYc0`*7%)`zi+LaC4S#!WX+J>TRBZ+A2l*8Os9Ug zvP5Lq{7X7bkUdg4Rb=2G#aa7vlC_N`jp`S;$c>YMi$vNRu@#W;*xhVwt(+h-T=@|h z?p$tM4TL5AwHw)Z{Cyf~gt8tZgXfLIl@%g8)5x$j-FRW;c#%OBl=vn=b~TWc3=1V5GO- z3k|B;vPXcco78-4nVj%sCI-_pnJaffEldv9!RCnZZp$7GjxR^uZyIcd8n^$*ekk5L zVQo76QuF~tFe8)sd!#iC{F3t;)rkY)k1y{=I-lQ(VKtT~WPJsW6gPdKdH;S!yciK@ z`y_4Ze@BSOiZyCHuSM2{Y|)G5mc7{=OvscF9EHyb5-5y5h6&`b&8IxupBF zUQsbC-#8-^zCdEd)r903vJ>OVYvJt&@HQkdj_K{6g5#_9Ps@bYv*CLC+o2_LN1rsr zssq9C)iZ#wI|XewIxMP0W+MK!cXsf>XSN5lSr*I3zWsamNnOep)mwaJyP8La5AGnN zOO}skA1*)!e`zu}kj~(0AUapS3mJTqmqDsL;V1L+8D|Dm)m)SGW?65Yi7_cW;yu3l zPct$(^at}aJ6R*#KC$}G!SPf6I4zTV;B@SN4v$!0NmQr6$C}51(Q9eu%`bWWh%8Qc zeKX-E{R#xU7bT5eAelm)9;F^2O`&MiHNOdtcVVgDdYK;47h`cKkCSfe83-QXuRphqfN`!eM-_eRUA@?`<}!*DdHFdpYV*GpCj` zo80Mpl+5C0+#27csP)Bmu%d%4P703Hik4`b>VxnNhunO=Iym0_0;Kn?=Hc@XjTTDt zddxO^*B;wrl4)v=d--C&^DagO7rpYLJv^=*MJ=z}ux_i9RCgPaS`9DPT(oD~v5`Yt z_w4CE{8IG$q+Yu_;pH@B_#+qXSwDQ}j2)x9_Vpazt9xh1+ctQ6OAws46`95)h?>cE zG4cB@+H)#2`)!BUf~MGgc=xay_gfL@U5NY3LLG=v3Qn}>**)k>r`^w5#;ym`$jGrH zAXFfg16*Y}$n#w~#0B+SJXxZ)JccCZ9`vr>YlC2*wNUtH5p&5xi8+3WpG1vlkFQ&X zsE3*lvecVdYG{7DgV~vq7fUGZnn@6NKfWI3oPjr?DS=eNW?ip2v@y)rZ2un{e~G}y z*JCvw`1UOL$8M6QpN-d|XP=ZQ`K}_4SWizA1J=&Obb5 zXC$UvPWc-{F%u-8K}kA5%-}E20*Kj|lX=?(V&3HgF>&0H05S350x|LF0Wmwc`H!`9 zd^tc&e7QhOd;*Ax0n!2OH1nlmnqV?_#eyFe<7Xp}g0p@8{$0b)szSfeTeoBaVURO$ zwnzj2yA7P3g22a?^$n)?Q|%l#*&+$woP=K|;j=5^@MlQ)bz_8IC*jXY!oR8vCKT@Z znAg*e;qKI){lg-S}`vH$J`5eX=*Y@#Tzee7U0=pB8uf>4Lc1Z*aJO1AC{; zKdUkFOR~3p1ZKw+?slFf+uEz4nfsV$2Y35x+Gd{_cRMeIyFFnp{>8XkWIBbrg(MGm zOXGbCcT3g|@wc*UVnKp|7a7dM-F}qy=ZJAiAkk`?XZZXxh-xBtdGdbrz5eB7;eCKq=L$(Xp?OIVm3OdpE7eY@m2 zr&5$ZE-D;}v0KFFl$-P;sl{8z$-O3Sxi@SvYHw@@O_KrDR zuN)J1JKfZ5w9@_o6Gxo22!{$j?)K*A6nFb~NGZCR^%UVpwwUZB`S`4UNOy?7$?Dk; zG7%3JW`PHLFYZWPcoaKhc`U*@F~j_zi@Rmhc(~iuoOS?8JSrEKVdg(@c*kz@1c*H( zf(O*w*tlDq>tIaf;ckH)#HcEOyS+K<8E&=Qz(b1emBO13+;)pw zqX;+Nl8;}wAGqR@#@zzdjGnI#Oa&liDhWb^y9GNNHH2@AyT$!8)DQ%^|0{Y>Due-~ zBNgH53MxPXsNhd1It^X9%g}F&UtJh?doidH%8X@G6~7v-UHmrF+ltZm<@BleQ#g=y zakrP@m0lWm`z;3jjtv1rS_*f20IdUHVgiuVp`$Vbw$+JMMZ^p$?iNjjlB*QMOmVkZ zE6^WQXmGcPurhFQwr$oRxGuDILRNnX`6E`Q2~tmJy+wE*sRM}oVRiP~)JWuA6$w`-Y@i@Sv&!QFmJ z;#T^ghr4}~gS(aJ4Ynb}_}QEZ?)Ea&lg@pme~;Rbn~E;(c2b&MYpSLcEVDwmTZqTT z-J+E&?iLFRyHN^viwg+$YCD>v>}ZU;ZD48!cY6tw;NmH-3ckb|vAEl#tP!+%8E)R0 z{~#3;r962ksPl%0@HVVx3E zW*L61jD6U|3Y6r^Z&>213c)bfw;~$oGsFeeYIEJ&?+RVx}P*uWNdi_D=xDCG$N8UQB-ajRId;%>EBtW0sYka4?(;AWsC zhe=r2F5%9b4>U?JDgw2v@1l!`(vMsp1sw7J2DW2NvHsV1z?o;@}(+!eNs-urB>$LAdoN zZt)(t1oCZhWDf2Y**a6@SjjBz7Qz=9&w9F{xLb(NQ8Bv!t}dB#AXeM%!~ris9fy7D z5F6RG&-l38bqZW%NzkXNu&VjE+rQ&5z$r?MyWPP-z(gF}Eo3R&EhHZ97Togs{F9E9o+3rG86c?+uK=W@0ANC zL%v!N=xlQkRKLo{-5zIhF76f&F}1FtGaKBk)HZv*#oZ!MjJrhzD(-fUoc&jAhBdPK zgu&fH7UOQ`pmwTJ2Ut9+Kfns9{)qbl8<^Bi_19QCY;2<^PTn|1<(Ol&Pski;DvaSg z-0kP3l04k){bSXUaw5e<9pu1FJeZIFhJ5a1L{W6?8$ zyZvdxmc)n=27e%AaHjZ%ZFpUAq!(jv(zixb>!*2y`NkX|~a z6hmQ3S7F@kXevuKUPjCCg1fyd(v<^^UZWY;exsi$+$}t$aJP^=YuxQ!Y(@`v`+%tf zi@SZe8r`+F+tPxbGu&;l;BK#0BQQ!j1*XCncT2OOrB>DAf+!3GrWX<_uzUD?@EoPP zO&LWS)n09Iw_hbO0CCmc$hZvd7L%00-Tult1F7vhOYDTNx(_5Tv1wv@GO%98J%kkN zGUz-eM|rs0uhIivLE%4>H)oI@T{)+Qg-BB}T)m@&XWO28b z$YfS`gR0_nKeTQ0SK@B}!DflbS+3Z!2yzovdn>Y(L78(pjiI9CHBNI7)ZdZ9-CoGL z^>MdXpl7FXw-|qE+%3HMxLcUfecUacZ1}j_a%z4F?iQbgakrNs|202lmBIuTPjvC@ z1X~+Ac|*}N;%*;hn~r*Isx!5;UqkhnxZ8I~8$BPm+mjO%S6sgO2hgz^KB1T@L-68E z$+m51K$#l8CCjB+lNuWAhvIIFQE6l1Zp&o?!A{rWZtF0AIG-ntjk{fEgX(nKxLre< zuN{KB{l1U8#ncw0aJLXSxLYhAF7Ea`DiaRw_DPGoeG(_3jSr87yDg1zx8LXF5zmpP zj8!|#9BFa4rGmRXs1Ml^+${qeS&X}dERDN`fz04;=cjPDPo{CVPv+rnQ6d+2i?|%z zExz(_w~)EGTZH# z!oZE!JPz(wGS2y}d4}ZS`M}+NRdBb%bUYV#J4A`C zErz1*8yVc~Tlu$BFLeJPWi;gQKH!Y6^UKHG{yY&G-0cQP6n8tKb-*X5&j;?dL2$Pp zCREGdZbz6oT?07H8{F+r?Z$yaImX?}Qt04rF`mc5-A=cU3U$YAp9g+`i>bxkBDr|W z9FtY6`Ku69vZDFdypGx?)GkuGR_C=zc z%@*<~{^cxr(hdK+akuY9)t+<%s}|Q+jQSfl%IxVX=eo>Q3bZm?^Yjco^ zrUqB-f;m%lt3l5Kt3^W@5N-VJy6NA>N8DA+H}rP6H%Mo~zcO7xhj($eT})HprzQ+K znoeu9Svk1di|Fxgv$dSPQ*$XXyZO=_=)ZBg$V2lC<^=L2Zo8gK*=M~0(YMrVtcjl( zcl%*FpW-^x=;+{Xb;s=}k-CuZXHHwNA!ap6Gvi+t6ucB4cY9yTs3!a5mitV3TfV{# ztOuEe!r0mz9fh5qDr1bh{VXRAyeB>1JalaV2;9TnVqPtPyS+pSwu;|Hmj534ZtakK zw>EQE-)djGx8k*X9`5!#^kHAVx9Ttb>V2$Md+6PITw*g)oc~A(TXFV-x-rsQtrYfS z4|iJ?+d5m-5bWY^&twU?>&KxFZhP#4&2#6DT|69Xy@<+-9IX__-NLGi(;KWJin~Qj zI7`z56H9q)eS@5)*@`x}TO2px+{U4Vn(A(a`39#p1#!0@i4R0mxLY{jyoP3MYfbiW zx5y;UYj~=x9geq?T9H*8)#yRTo*h_K;{4vp!&=EX4EES>8!Yn4$71h_l z_3Uc#V|a9=Hc0Ytx453^<8Bcb=sXV4mPYD%M-7{kx*IJGG;j*iQ|7*T#4^sa#=vRv;BMdKC;L3&ZtIiGz2D4vtVN#j z%mQ8Y)xweW_^{a6U~>;YrdM%7OyO?fC5^jWr=aOJcCPcxA+nzzWwtu-gIGISR}F>ARr_^=%XoIUf&Nz zVHDI{TznucH}i2kNItzV?zUX2p9`hM-J;!7xLfIWxM+-u%H6{bXK}Yb=2Vp6Zh!CM zZcn(l+gCfd+wmUm7B~kNcl-O$#ogYRhr7Ma!`;3sA9wo-#24dkZ%^TFvuWJzEl-2H z{Zb*^ElyvJ8n#PO2dImz6;}SPsdc&@g=h?bv%q|16Ms_ zzy>DfMJr=*uUoE zZdWpOA9o9X26y{yAAbr5z3sJlNxnl0q6&*DQ}b}Q5ar`;kx>VCi?8BfQdtlz{#t<~ zTsEaiARl)tspR8sC7m?xmdRNzrH{LX3p7@XyTzBm-EMzY*&4KuJJ=3s+$}_D+%584 z^z8G2UUBlF{Cp_x_Wk(|4(=9d+g1^P35El+XmGa=K66;FWCnLz;^A%)or}B0Cs=#P z@{*219aeh?ZAa2&r3_1sJ`0}(aJTGoI&NUmSaP|=-QEe*DZwNAcbim@Jr{R-RECO+ zyG5Q0;chR1lCb1XYA{RgH^Y9(Kj{hT(!cu~<8JkC<uk-D0Qx47l5`F{}Bw+XtClcS@@3r7{ua;ci7o7z=j`$29I1 zbGqVg!7rgTY5A@HU*K->L#60R%dP!mIqVn!T z-BMNZaJP4`y*%74wq9x6Ei^!0982>QG107en~%GNVwu9-LW1K%LS=o^5hDX6DIa%x zUkZ2oYgEDtt%Mb_rCIS4Ga;;ajAj{$XW;Y=-MyG{mbKIO?~R9*750xQ_K#*qMO@j+ z?T3rIy-``N8w~FDXEHn`g#`Au;@2`nDILhi-B!v_RY!xng?|iH6{T>ubuw77!#b=R^yT!_+ zxZ5W~1g*EY+m|2?#ofxHX>qq)GGp8=K0Ms*c&yfXBf#QrsXQo|_No|ni(3l`?w0W> z?)Jkz?iL}DZ;QK4mQTFgUVRU4#R=~AXD;qm^6B7iKP*w>O4}*(Jlw6sZ0-d2VGO9Y zhJzM^yM?=pyTzxEyZsrC$xoTbc-J!@P^M40G=|%5G7)0C<>GFc|H$BOVJI=U+jotD zyJbl*e+d85$K96txZAg}l6>4P=AMGMTg+tnxLXJHK$@>pO)5*9GFI_>1c?#@&vY zmC*##xLfr96z&$1Jlt((jJuu2P61o7!QJB1$K68U<8C4FakrhUI9`tIGPv7$tTGpO z3m=NR#aSCV!&>|&jk~?vo-%jCc#O$#c;w)IuoDln{wR_VDctQt&lJYR+S=c2#kqAs z+%4o5cl(g!vKz*E>73oa)9=g8cTdj#0o$+m=&6y8s@DA;+;vrHn=8drC6%sqx_S>T z#9}mbucv0(1I(X#8AfS&Zy|-d{ZYKJ=~m5&+Z-2P+YKui`nHI1Xb|IWi==CtGbkJl z#10drbGx|PTItI^?iR74`^LsxV`S=?EqyotysBrubl>=xAi>?Plz!{sZhJ&0Du}y1 zO_U+NR2j0kTja!?P$jtAv*jTXtd0kE>_2F3BB9gt>@9%1g)D`;#o%}rxZC=83beS} zS3EQBwlu-r{)m0r;BGg#xZBUTxZ5R#aJTxuw|Pd~?F8w@L~ayi>NC{`w-ZCS8QLL!cJ`V~bM_4Z=vjB-|Gy7( z?aLZ6)xG_P&}2X}4B%sPSHFG?HsSzQ{tW6fvlt7BZ0UHuk9dBMc)rk(nML;`rdfq! zT4H0uObo!Sm#IGl$NO+itgpHuv&4^V$*w(`myDOKUQ@UW`bP&Z>LVi2DPvzPqFaOL z4mV_a-7@yVOm4~u7jri=b8=>zTjBvXY7#Qtm;svWgAJ(fOAVO;H|7C1=7BL{K3ikn ze~1}gi*;%ck38MjcO&-O06bdQkU86p{cJb(vkS$}0(96mdp)szti~a*L%a9v9qJqO zlKD_@{M1e)v%7IWgM2BNn#n9qhgs)^f&b$h4_p5T6>L6|F#wSgNOSM0fcc(PE(GqUep06{QurX z>g6bAKpd~2+7^EyJERZeX5s6Np*%4&5BxH3#LN@&GKaZ?oB0@)2oc!Ug9cp^`Vagv zkd>*-@l_sT1>v@pZ3Q0{p?M)^7KF@$T;^d9%0#&!ia}5Y1y`i326^%KR(iS_o^TI* zWVAWG6$L< ztYRbW(s#h9dYo6HxE~N*C37=|MA%${3-c-~l9cuDAjHiC)JeFIRS_<8KYC3Cg#)Y| z={Jgy2){8#<(LegB6XwoQVHii4GcF*CAm)niEb)bN8Zme)SVf7RiqCB5 z{wxCoIq9;4dec1vK~d=ZEJJRF_)h5N|A){mDgz8GldDMmifQc5H4g99J#rSNqM>U>9#qm!vn@KF%4&~MOPbwP2E!qj?)eLmbmK2=}J=#{8G{fp*3FkPNPykM6O}Q=F6LiI3KcF~ebsJ(j#;0vMBDi#p^^4u+AS-FR9| zY-aKO9Hzpb+dX^%s;PJ%Bjlw*%eJE=8y?1m1CU~d@GpIxFXKd_;#HMq@Qz*`Dm9LM z0$xh~MRKNeIb2?1{ZRarbeu$t<8X*eOQqw880)Bca9;|m6MBtE4eo*kMz=8@%|@7M zq2FkvmSk57^8$K}2xF36=`8dZj=;*!+2z68s>Rfbu~lQOPLekRRZypKLhLo)_TEA1b3 z_7B)!m_^JETn%OXmUbY&1+jLeuMHEdUFlrbx`VZ&ASWJ3xn@l-V}`S&*0JT#2TJjf zmY=5KOqZg>sdHCJ;DTP9L)Nk@q$FR%tt#xUgHjyGB+`F%bN?IU9v4IG+x4=ckU+Xr zl8^xPz&v94L0kUojVORl)VFnT+lF<>Y1vy*BV|9AWS^2`OMb?WahL<(qJ3f91Xhp3 zv>W%In7n+ZooSYX4%3bzR1H^6f95>=$rj=7|2i|3?Ln;yiF=V z0;pg)icULN>0Q-G@$oDy~?<&pUTccXHeM>ijj>lvWjK^MFLX5}ypVfF-WMp9c`_Vcs)6SIGcoKSD z`4duT6_F*RQZyAxu2Kv$VcLzS_0I|w1jYy}gQB%tw{Gg~+JY<?zNd!wbQ0-_mN$8#7u901`|IjI08&+rRglQ)$R_HM8C@2Y!K!R+P{8{2w#1OX4 zx&cFpE4~@x*^$ml8ok+LN&IZim}ysf7wSo8#EO4GZHRj9FzqJvhkEZWb}$oe#lxJl zeK+KZV$R%$@L(biB1;q-1+4^tDjQ`&zjUh<({92*R;F+MTsxX$!tE?O=l=;%q=QhI zcHHUYL{I0Wn!(asA}Th$-Od_e^swuj|FDxAXdJsaU4jYsn7%sU0sF^K*lCSF(`_q{ zaZ>S^cDCa>Qt`rwf1n-MI3+l&2|ty7VPkTbc64D!HbLC3ER|FV6&uY{@lDoP<)z4> zQs9`sSwJl8hSGZA@UC<1id6Yh)>1yoj=4sE6{W&O*;QiV#OQKRcHEUBM`@HD#h76W zpzIh0CfO9qj#6kbX_OuF0nOJ#*-<8wtg0dtcT2zUPOBLs51U@0LpC zO-wq*47Q11ky^!YPszU{+X?GGLD@0DEOdv0C_9!~QFc}JA?Mx0q5*T_!2q-Q(Kam&^-wx~=Jq4%L}XZ7>uNY!Tald2oo%2l_Zafy;++X7`*y%n7r zpT4$8NnDLAI0gx2NuyGT5kjF(r^H!tfIz@u%W}gD#s(!cop6q!2u(W@_p^y2r+ws&{;4h~{$^J@!AvSG^xR)_uUJ#)k0HmGj=hIJP|D?Ko|96;-T!A%XXE!C_9Q5t5~|8McGllOyvp6j>1(c zbWwH`cdFP&*)cCY>cHYBC_6gzB@VRu;%A%D%jmF49axt%Kk82c8n^z$Ew-{T%(ghP z1ZBr;ovCu{kIc@R!WS9O4$6+=b5!i3?C7vNalo;pF{{vFpE@`wJ4z40gsRA$m>gC+ zF~rX7;Dsm!l?|AqxFlo57>_VS;Xx{&7S*0fvp4+FS{8%}IjnXf$4g8O6GN+9)2Y1% z50K6Wq-&H@iSC0Pa+vOeU2+(;9q96sMZ=Y01BjWV;+sW=kVNBHEUvxA_fK80fd5!r zdml>17%Vxgt|>gi*pS28TUanvR%?GG6Fu_clERn?_q(nM+mPBPuw$>=jDNC84(%&m z)?D3{;UNPGt816Kv+2VPXZx7zZ92wAakEKAKkKeUqtsm|jbaI3O!E8T`6lOeHzqk} z5@N)zyR{jf9xe&veCZ=!YFG8OsVv!e87;$;Yf--=jLBgpwk_}H;#$#v&d#KHLSIjrIJ)>%f9>n!<9k;Cv)Hl|-Cxo6xVQ+zKvk8w?t z!y1mz!)Ii#Fy+_U$@0iy4G-Di+HO)O4Znh}(eQfe8jU#r5&fnSwomKTki)Vo)CF&_ z**1-r+6M5+VR_Q^y<2(*Ho$5VM@U2%YgtlnTyN9KBZoDdhIBGG{>th!=8(gtzA$9H zX(ga~8euNRECtc-Vd|L_orIn(qNB%-Qe&iyw{Jv-$~|_Fn=X1Q$6TjbqTo_4w-lHe zw(-qWfnb#!I_u;Q?1KVNjLUcoTba+6jXeu@cK`pvm5(norPC8W4rhdFMGr{zVT`2o7HY?a^ zB23d-X$_wo=84W2*s!e^S{a9#dV}3;ptq|Bnwuw6k6~QQBq7bi4^Tprhq!!GFGj~| zdU5RFWg6Sopb59s;6?ZBQz6lh(hUAvdkZSf9C>1o5JWub1O!zK4NV`C2?TOO(Q3L2 z73O??IXb$3@1fz{&0^(`Xy!2h)uvzCpgP?){ZT{e*R+T?PN!XhsqM%SoVE_{Mg{9^ zxMMdg@S|98tOy%-{_+z%G-3Hbx8jvln((Jh=2>Gx4y(J4~_8!A(0U?a2S zFv^OI%*=1o?%{krsTwhS%O{7`U6&$>R)a8?LW%(%&ZTOYr z*Pf9bP;Y;{=WaRKD$w`c`lmc{L0S7QZ83jk}qQ~`xg58b)r^M`Z z4)lTDe)_C%^hlhQm>kA(Ipi>Wh8g60vLbGfU=HWVStmwBfrH`c+s#wfxL%YRV*+58 zh#oWjjUiMrXiV((qnLi|bTs`AhT-bube~R{q-V^OQEbR1oAIj)LyJ1rVqb=tMiRvLw{Zi#%s;6`^OKj59yxIXL97S);O++k}*;wF{dvRN3su;!fz0!%{NX zUIw@K+mW0Xk0d|)y+RH<>7FpISysI4h~ny`cF< z+0sjMRoB2-@qEJTJLvRU*mzDk=^oB0b57@7A|;2-sbS`H4PeJra@d?J?Z$ya8RZc( z0#~tIn2^Ibo{Ivr+fK+`+&0enoW0_rJ8tK)N-i|yFd5KR3ydLEA@(6b-HoF8*R@mI zq#9Mpf9u-i-y6-p@RxZoRwI-2rBBSc(x{gz=XD*Cw-g~*OOq&Pb3VtI@Gob{)>ELa z4sPq(wtaAD>qb#t&DXlF4V!vJg|zOyTejk*2yzvNfMj#;W|;pH$%ZYbcWr|8&z1BK zY+aAd-(V0qV(sGnL6IZFF66AB*b!izTOX7-g07yP0fg*29k~li9fvJvV%J#a2-bCN z-?S|l=SbiI_uXmS;Ee~Zmkp?j)>Bv^I4w2mZ`>$zJ||W557H;|$ziT|-N4q(Lp{Cn zX3$@Mc@W0gqV<3#mFUKI%5JLGIP920g#XG!(ZU?sxv*#z)*$%s2FQ_?`NG z^RG--J~^!JVWjy2>~R=EQmMO9b^UY?Lgxuyu#+C~2m4bTBF-n$GC7bg8>b8TjL$O< zaMdZ(AE&6DIg1(n-5P7+$CAV5oKEMTy3Sa!ylq-M7jpsTXl120<4`4-iw!Xn*Jj4Q z%y>3M4x76tWmJ=5&fR0mJNGa*u)ML*4HaQ+SNBE^_qm@9Ezru6!{*|i50>?Uc{zdO1@O^^ z1zB?4)+pih_`hwomE!ITYQacXT4|pv#a>-wI2*-f7HNQA2GYW=sqx? z`)8IAhYk7Uu(l>@L}JM#fH6O82M;@gq^N2rCj0gI2r3tQ1j4r}WY zGkN}Hwlugn8U2hcTNjuP0cDMb8s-VCMA%i!kvz0%D$W zzF;9{T*t@et~2qA&qYZ_WQ@$aL$bDik8CQLwW}o%I=kG|(R}F@9+M|~p9kh3Q5htt zL^gkbeeB!pvGd<)deeN|(3NgA{~x8Z>G|<|4EDtDSKN>9%ZFaposS7h13!U2$<%Ng zUHau>fQ0@?h1MM1%zrO?CcbTVntvNRCe#7P1MU+;J+Qai=HJ2o2Z|0a8%cj=O8HOf6zI}^4Uh60?5(V!{tf-TLqZNqi`X&E-7V{Dh&>CPAr+-$qkTP+o!=?R-kwfY z$zcnZ`Vs1A@X{DKGcVbJGdUtszBndQBFP-)OfPe2b5?Bo-Erm?;_;izd8`x8d$Yg? zYNOegM!eKfEv2ScaYD?AH%=aml;<|M6az2bVJ_}|LzLn##oGUsd(WwbiW`g#4MHut=lme%4c42Xq(8q71pbcb7{U(7`{C`!$=dodT7T!T=_VI6PbgA9E)g7Y9o zg|L%25Tuw^2k3an#uk&q7QKY}JVGpLW_)``cJTfZgI6a*sy3*=9W|&+++OY2J8aEb z?8%E>qN7P}l`eX60&2|Vf}M<;zGm#7yq_5{!`vjGmt)vkm=y+$K7kZf@e>0w43Z~*8820! zQR0F9x`D3Ey+eIH1H4AOWL4OEX!nuBsQV2)2*u525Lzx6zcOL}jthD5Z__Y4EqABc zup9a%o++(0HlmZ|LZHV5qd(nqLor$&2j? z@X0bf^(=gb+xCuXK?V)jM0$i;&k@P;EDpyfVX0bvO6YLGm>*=%;esv0%>)b;hYLnO zxQBFvCvx7_3o5|!Q#h+HxBH*v*#B_rzx1)U}1Yd_If|W8c)E%5Y;CEPdkEyd%4k6UIdJ{-?f%gCy7}m6SbjWu)mCQJ_`v*k2oO$`VAU>W*PT+htXEozok>Mi z;BWgP;0gS-~qhpPYr(14taZU&Jj5VztX4Qg%Trk`g?CIZ%!%Ob+?B7&q?cda7 z?cY>k?ca;BqqBdXjLn?=dkM^D_V1;znAyLV!B}Sh7QMFywgRj%u}lW@N_|kX3iltS zf31Sy35Ce$(+b@pta`D?STJ3t%$f{sY}HTA_%`#L+(I&|Gr$8ybAqiOIACYsev@}NqVMnp%o5pQ&W+S+qq$6n4f zqDVr`_qkxWiowVValwjn;uIrZR-K1RSiMn(*XkyYwbeUK4XuX#$HZf~V62&7H4HCO zg{yJAuYchFum16FM@3wH3#!rOf~|o?f%)2?R~lD~*+?XV2^D3v*p5UxkjDjEeT@uN zMlvw0Trm2_P*su71zU|PG8n9RPKFD%`aK-Enmz^_Mg%I9VQ?3bVb&Mqf~~$?j4kwl z5LhkF*M_7DNV`{a5~I%rqm>L67X~z%OPUMD@o%aq&j+W2)I@-NT58t8cDVo-Y|WV= zc{;Ki*@blgw*in>Il2-q*qYaKyR%u;Wj%wBxnO$y&OOi8kwob zs5Orw4mt49RmpI{WXTM79g5G+@f>huZ@0daTl0FX)_S(TO?OqIJje>$IX)aYdX#%3 z-bug#K910sX4v@7xF&Av@C?*X~|6RW`<%pB9T(B;H3xc(H z5p8{O;8IgT^=|7ulTEworxTdN-qZ1O@teAE)B~^G&oMTb>rTMU+b{abFSDY3z%4ou$C~r!K7oc zC$glM$Xwt35-u9uxb`Xj;(D}PFxGI;{T{PGbbrQC2kSX-FkIiii)XLstHxw z{UcdjD8_P7kPFuR3t44sNIOX1g zAC?KMGMZqD3)cM?E;8wLp#}D#uCdtd(#nh87PqoNAN54fh(20(D3nKLsHa}o>2Q?3 zlS?R`70>*57{c_3kG8!;A5mw)$7+~J{t(J)boBgPVsJ#zokSneL=zhvU@AC5^igP) zdxTw4NAyuh=mfsP7ZQCG+Mn~zt|s~@ZLYZ~lEZy-{tyJwn~6RW8SLqZW&WRtK4MO3 z6oz0Sq6PYBg5JH}x#Q@tTmwT7UiafV9xANu8tfg~x^5j1H<$sU_Q03w*+JBq65}0k z0nhR}m~qg0ML8Ed*&SCF;O1N{JQ)<9rdV z-sNf0!eD%yIg<^|+wWjqWRCePK<;i~Wm|tw$O51i*Zr8C0Riy$`in8%Yyn3uI8Vao zj+qjVTEY7!Vq))uc@5MuhLYtR=3e>u2BM3jFeyqr>)&AV!OWe3`BfvMCwHFKl6JqHg1K~v`TB&5Cza)VuhU{@m8!Ks+z7YHI zO{5PnWN!Z~(^T$mYjstNI~ z)Ob^eF*tEd8UxWD-}FI5{R%|A(u+Enk;$yn7@R{uH!Lt;n;t>fe@57KUf3ibPK^?u zgIe#qj%D7bF$?9zSgZXqKN=k0JShk^H6rqTUgQk^Qm1GbeLPO`%C)%~!51L-nF#(; z1UFrlg?fbzo18{ErP|z%kY^&~Z3y`aFJTJCtn4@2SYfZ%^GvTii1PU6ixKUkh~>>* zw86|w<{kFT7nk>s9pJ2j6A?UccQb~ey!j&t{SFwuXbR~27Yu*?-})Z}*z8Q7l*=p_ z!6Dv)GY*fOD;Ti_?TZ(744vQ6-mzeG@92V^$MzrCy}-;{3x^gi*pI)5jbOq2`N{wC zz2X>T0n5GsTSfWfzlTVZ>U$2@Xi$3`Hrgwcv1JBt<`rBBs7aVfBXbi~Knl#A=L~eh zJT@9+DK;7;9vcncd2BSA-8h>E|K?&(46(<&B*NX2AoE&y%AA0wXJ(^)5bIRtL^b~T ziL%ioavYY#Y_!L*1X(s39fJ)1!Sa>OQgzOP;Y>aHCi$o_%M6$0anPxKHrhL4<7wtM zthO{8ZGvdeJe_jbXgG_*0fWy*o6XAd^iUkc(aL4nXxYE`Y&2P}g=wc6UKX0Hwi2%D zs{vly3Hxxlu7X+&BZrNKs+TQY_8rPbJApwEPBLsX$YM4c{tm)AsgrOf>m+=E`?1ti zPzXz*&qlj%jLOjrhrCi5R~WmO$3|N%mE^I}pvM=gBiRy#_j#nJ@GIERgb()g#`My9P%(O};Uz4*m=1gan@!9PVGmF$X$1IOT>qAyGJCSr6#bb$!ECOV&p zaoTDgsYZAM2cw`!%@c9f0*ryiqrpEhEo{v+QhPxrLeIypOoTE1Df%NeWgOW#Y-K(C zQ*@h;f6|ID_$PhJ;JymXPGV&u0V{;6mP+qc~~Yo|F7<`y)cgMXsH!#@>) z=jP*|itupO!9SUP=HQNavlBSM_Z1 zPh}rKUL_F^|1@qiwD>2TvY}_74Rpp%@K3U0x%ejvV*FF|O^I8v94`K8+>HtTNuxK) zro`{zpNg(QZRp%L?xUy;IdyjMPvx`K%$Bg6Ox2A0BHjRH8e<~*x5C3F4t|PU`l5$)~MW?U<5`;|o9omOY7zh7E7j|Uh;&x>j zd*e@)&N}W!cH{A&rTX}%iscriWZGo>CedExk{PUHN8N6WtcgafmpIBY{Twahg z7jq_}y!`a%bxPuDWWg~=C`%fZLW~d!RmrPt&;bGghb_uYFBlv2!rdX~7>dw@6uJ1P zO3Y4*f0}rez?z;n{L{o)sFPfa41ik3 z4Q%jFlwuC0)Wtthj8?H?+4WF{X0bBCKT*d07J{3B5;LB-o@2J6lNno{6NZ)zrDop* z|HP2!a5iKi=N9Aa`okDpf_+5I_y&i2meIr0a%G(tJ{OzpT8eJet@BZ z>(nv+3Cacr64V@Oj>aPnb`1V$@;qAhn4_5-F$w;u`ez(33H}MzJ5UT@Rhhik#Xspj z*ug*PKG?-SAp>%pWT+uD)v^KPpl95T@+2=!g_^tVgIQ$KuI2(f9CAlb?+7 zPm?ca!BknD{2`g>5x0wbVkX@0$xmV%GWiQQqpO*Lf5yN+)x0ofE!DuThPp$+3=gUX zmvFG4*mR7I;)F>?KWkoyMyWX2s;-980iL1cio~HDhI%gr1$kKhJY;acn9pXy%8g*L`N@v|`gsSWw(a5jhDrsd+<2^I}_sJ{%)%%2wjRF4fpP>n9< zPkt8vRDbnY_@{;`(nik%{;B@9JiH8m__o;e5VxO#D;BJvOLLw+&y{kml=$T*?|x^YBkP z8+!OBGb0-OQv;R{2mjOnW1EYAsySfrPc;XM5c%0-;GY_=uy-yRPvhhf&yg1Y^f@y} zn(xLdh<|GQnO^;j@lO)i$SnSevK0QQ@mkK;#6L}apO1g4Igr9XL6E{fu|y93iE$

*%!7k}(u{L{n|4C-kieX_@HZNiKa~g_!jsEY z>fShr-L>QRboi%fy}Wij?Zc5l`bTEDd1;*G=LY{Y z?GMzjny>QkPt$PZ78v|f^HL6oJp2=f1qNv|t|81~sS?%`>sLCMFUrxE#Xm_GlYVKQ z_EAty9|`M;q7?p#A|L-miI0DxWDNXM(y`bR_o@2}_633=Jo}xmuA zk;K@%)pO=nQQ0xgS9uPAAK9JH^bKRqf07-y#XsRA#y>H+c*`7W#_1vt(@roCaMdZ3H-dOSRnd=_EoR|fRxsyH8f)Um;-6;C zrSl!GGt@=*Wrps!9VJq7Jr##4!5nOeS!L49_?Ou>EGK;(1V1e+Q${r@X3I)b-j*J2 zV96D6@lP$+=i#4PaJ30bI<8%s*Rw6_IQC(3wfLtt8UDOx?`K~kxA>=)dgkdC$#={3 ztnrrnY*y~a;P-L@N4(z*T3}t!T>n6Mq3e>c)r+N2<} zpjUzz6O80)pSIMKR=hHXmE6ZawF2J}G1c7)^9_vTh44?UsCgL4X$n5L0YjPg=0GYRv!kAGrTVHsDuvWI_aJvk5mg!uFDPje@` zV5Yw%{^lLpXSbCANw48?A%e)o94dU{sA)(ob~*8E(Uwz_g(JCt@5Gw z5$9rpl0G^23+R(n=N$Y~+t;ZGo1>e#7qMsJ+jgh9uVBZ-G~;;i@lSJK$NmQ&Zjdzo zY3}vxfbI?Sxi_-+IXAyiSfu6SpXPpq-7R&&eD0^&)kJ8nW>b>JKh4FFWt&bSdR>}v z;XSJZc%rt^_NcOITBy6x(m;xkAZ}#tYr{<$_%YQt4~9-w_X#%5JWTk7@K5s&`|PCW z0{=9x5y{S-EXiJ(PFC?x^UM8YpFjN5yf?*}n-2{vjelB@5w4QUOX8snHunksi4&ra zf1;NZ{)r-7Z_UR)%`4%Q7dx0vL1`ZTX&&sJ1@KSv(1#1)pXRsn9)pK}TF{b@f0E(| z{^_+aYb`iAjelBjE{=(KhPTa9I=8 zP=p7XG5)Fex14B_8_oEP@lUz;IQXXpxRz(}Pm`v&_^0vbgf9N6tTc4+PZb|Z*AlvK9Yxjs=ONUS^U$)Px<(#(#a|OQ~BLvotrY$V zT0n_f0dX0>M0^@~I z!OIN#|NWk4t-aUU=M?yij_><^{(L^9XFuz**X3EaXRYa+;|v|0`XdiW>$Q~cAK(>xpy9RgYYuDQ0@!B9Qy^?LI*7ym?25&lWm$Ps6WPl|t9 zyST(FTvm&DK@t8*-%^Bs()T3rPx3m;Y4Pw+bisVo;Gg)U_@}k+eNoBkwxk2#y>H-B>ssq#XqfE|H5ItoGJckU9XFOVszju z+1n?41Rw%QUiYR_3R@5j;Y}>#6OC%!BQL^dG5$$3LfZ`^dCcIS8m9yOGq@M@zX9_W zB+tP=t$Re8ii3Y*N=o6MmSGCeIGyv8M!WuL#QKLz|HcnScqT!W{H5_vjT>a@+laLv z5(#?%)1(&~%!6I?HU0=46<5_R{^^W2Mm<&IGS;8FY-_|&ie+0rCZjR_sZpjbG3yCM z+lZA8)@X7Nmy0a?!be%?0Mj>CYYzUY5vM^gZRv_HC8hD&68NX}2O?_4`U6Z@5&o%Z z4paAh_@}1DY|BOXr>6BxuG^*Xrc^QhiBpAQ{FBT8PK1AgV-o))*+ZqA@kUjcrp*5f z_^0)UMXj1}{!xD{6uV>UC(aU~X|>3hu_XSf>7!;;=+=F*6i*=;QH+0r(8E8?mXX-S zKQ&-smB2qWPDfpt7rF!wbTm!Bk_%N4{z>+PNK}gOPn<{=o zW9Ua)Yx))2TC=rxHN*asz(28Mf@T@OlxdrR{bC`Kk029@z( zEdXfGDgCHZ5B4{U*+}tAG5n%grZgh$D#AZCAC$3-{Zf~~KhZy$s)~I4Q}dWK)*>f^ ze`>yrEmz-pJehNBkYDB zSo{;uBm@@!B=O1QU}HJ#i!uI*A!GcLGkgM@v~ec3IXG-@-00w+G@UX2X(LQ-7~SD@ zZp0?|#@936TbT|_rZ;}m!$0X8T>O*1 zLh(- z4gP7<1?U_2LLdLMX@7#*6ycvXeJO!|+B75`sKq~FoN+)zc;apoUy$GlVK;j7Y0*Hs z`7}o2;-4@$mBc?uej@zS=1%4Wec7fjnS4aAvG}J=uZHvH(`7&qUu>3c_l*AXqs92A z&HwtB$3JZzE{T6?+3ew;HlM??^6*ca0Y>pl9h-DX{L``s3=}Z~H~t1?({i@-^(~t@ zXtWF`%hBSWSaw0nWoCeA!8yNZR@ZX7mE!DsG;FlUjt2wbFd(I3y=(aj$K)jbscnX0 zkj#kEa)enFwXtJIdjkK|auc&GURquJQ_J7W$f8W882{9AkBlx9V>l>@e`@)Zj50Q4 zG5(2tHO3hehw1wT|HPmeY$Rwr0=9fXMi@#tycFS|I80!a(HAE0Pc2{PAd{SpHU#Nc zjJF@|YsbfcNfvLrG3>`ZMs#MW53s~w3D%R~d`yS3ZGmNvanFQ2PiW^o@KF}>Mnx+& z{^0}cG4vGlVFZ~*78x&tIX;Am&^AL_6aUfloXt=55CGlwnB7BeyOjwd8f0js5BGQY z28bj?&oSgd!=M`|yyS(#$d?JQufYktA;<7gc;1yeyVa&^D`5W%+Sm4XMmY;O>&NHE z?DP5d$AJBDSQJ%l_k~NW^h#IyW-I+`N7V~|D-UhAvI!OJwa>!+$SsZjSa=SN49b2~ z3ou!$qlHaDXHGQ8a7R%#EKyK}9irPJ#+E=NewnDY*yc<=xO4L{UGit2>CJzQ0=&fKP%n8*3rmvV29qJn=(|l~$IVV)O zQzk>az|f1M|6?Y9X8%bK4^j3Jxs?IC*l^#_VH2M6Ps_E8v7`8s0QTkNN)To1iyFrU z>>{*2FB@&u#Zeb%*k~=^eqlD+1-O$nBHx_sSWTT)HX82S$85AyH#lsxX4nsZTf|1| zDZxft-H~LYHU8XVqwVn7Xe*O!G}f*@i#v*mrE zT@Sm>EC@&TLaVSiLUnMtRLV3s`(Q5-Fa^0AwB-VVw<>-M&ejXzv<{%bgt88qlbS10 zsCm-m<9rx=oL_*3v$`%dcce}3gkWLPHCLE3ydN@`rzulE0YPfLMxpEd$lZ+$2&tVo zw7PXs+vWgM*@c(?kHdY~@|5nwU;j@27G$6A)4;;= zCK@YQG-3uwV`7&z%p-IV>AU0)Q;NAAr*uzAN*_e(e0EKkvzQvY+mOD4l^e5b(qBRX zeRj>gFUYP5XZY-z@X7?cCd~0IShC}_O0%IP$*u{p+CY-!3O5gl)CWO^xA`1)P3CQq zToF0!n#_BoSYt5?7&?@}{0{{yVt^ye4Z95Hf3RqX)ZA}nDZuP#JetWG--Ur$Bem?B z%sWp0u>4|5{3llu%N_v-5__6M+sRFS(J70LV<=`G2w$!@~%YS}fJ z@3Yh$b`1p{yC(BfCOAzr4Qmcl&g{h=yT<65!>*ykZR2c@%C1?-oMc~X*frVfB*AHw z-sq%0`);Hjo0sxRH1D$M9=pc85+1Zi%Dzzwm}r`@%TVCicZqi3*|2LUfMU>t%!jgf zvBi~U*OW~+#ag!9{%CZPR))P?k6lxC^PiJlQ??zgyi68uW$%&KW^Q7kmE9)~staWg zvUr9tzhjjw2J7WR=wy6$jV&XGT_g44vTMrF@t_qUCb80EzR3^8n3HCLHi8F~+X>k< zWzc4{t|YsrtV7yYF}tSh1JcGUyQT~m?r{8ySEqe;O&R(s#VUNCna_PlOF|z$>(oXL zZ6N9vbKiC!V4hB}Yx0LtN*=q$6cSN1qByyaiy{e%Mg{0m*nwMiO%AI>6bJ)|BIW)? z3P1v=;AhA>_n)#CLvQo1D9NtLA12mASTXF(@~=Q1^T&<0<)Qo1zVq)x-{7!ovKJS# zYx3v}g8Ub3i0>oM21^6QKmy8Nj@pqIaczTlnH(EWLaE9BFUhou2oF#xstP$*DVo^< zE^6~Idm#HNRNx0A^w>3F4i!@Va@Je)39qMWkjtK?3zhs9=m4Jpk>!gs^Z%Wy9V8X z!>)OXC1Th$lLlELD1k`}WH91C33d%d!K87ctCRl9{&+i;)<9&a4N%+4Q`xC_>>8`M zj@0rNCS9uvY@A~L#Uy<4jXG=`9Ci&|sK~~}Rb^>=m8XibR$N6juEge+&#tM0?MXQ> zrcNrC%Iu|xUBgtvXeN^itaD&k80qH-Rmo|9&#u9w5-BQT*FcOOwgkI|QD732VAoKJ z#3k7^Ob2>ek6lBVbh0`Xsj8J4^Vl`vY0%NClUWE=XPEk`YPUajNEw;XdnL4G*Hpb! zim_@;ykswy7_2F?>J2DQObQ})&Ez{dw~pC0rml_1Vb@g6lj0PIxSFdrN@0o=C&LW8 zrfQ26s7PIQP1PUSRKYrmLgn0^juCXF>NQfT1WfqytHoYp{m1MY z27uM3G`ohmR(8!~98^W8ZLksOc+dbXyN3Oc9>AIWBqwrECJ}*MGx<)Gj?b={{8g!k z$-mVN=P5h?XV^7UcwB$VPS*02UQ{mGF>K2Cc2jkzC>ekJp@*m#nQ=4{%Hm3;5G{m4 zo#ajay*3aC*lbOwhPFX3Jc(_GH&h`-F1uz5dM9PqRA2a)W!F@PD3dTJ&7k^177*b+ zC@u4FElSH_*Hl02v1?32aM(4Z@Sv<*b`3=+sRX;G8fzR3QKY!ACSV1eeg`xUkA6*1 zf`QX5Q+8O8#_SqX7dEhA*HDTci&B?eLosT_ie=S985dl}tFUV*L!+Y1G?WNX!|@!w z6`f4m@|<7_wV~8B8?$Q|k`2s+Ealu_oPBl;11?h+k6pu5u2Q+nuAz9XibeG-yN2@h zDv#MU6mqqTM7iu5id$6dvul`^c6DI(V|EQ4w#5#dbSS%q4%^j%Wl7u7k)bi&1fbjQ z*e%+zpu8uFEN0g*S^HE@G=p|R6u#7WcGxu(U!Y>2T|z9QFrCu z!Hf&d9Yo`w^JQ`H{YT;%Hz8+?LHIf_N6dIO=KEa9jOm1a#)pup7^tvjbrh+`_jwje zwHc2fnwcl#&x!axFOOk5c_};leuS&_-ec@Iw;FDPKF)kODrM%VREp*B@Rj$(d>=GM zoAQ}>h4Ou_s)wgfmFD|Aok)_6m(eo3@O_#bzK|)lb6jnD6r$S*jW)3vW@7pfEHb znlbZfq^`Ey@O`%Nk_E!oE@WJW?}OEk;rkr4&gDsG(eWhTXQkDAbWaZ72e%@F+E+nI zPsI1xMh`d3daCA&s?5cFpZjfam+$i+W*D^xIo+uJh3T?t|0{lz<@>CZ&TQ69ogL2F zYwPAu?qLL|+&4efDxnLV+2DW*z2y#O3>3&B;j2_rYUnz7Ix&fX$h=LgS7r>YZTqfrr_z zdqKX>A$Y5S%EfV*o?41&_FGQK_n9qq^q1iKd@#Oy9OZ9zHxz5my3%|fSa5?mJ7u_3 zyT)v_>Ec0d{?51(|DKTVbG39JSVvjD&pVXTV;}$a#C)I6*`S8#^EC}=p1v!5pB|s@ zgRTvWssWfCCotd)K#`=|4WU-@GzY_n%lCm<&*S@yTE5RH`96Io;`>|`@qK#Oc}O~s z#(7LVZ2Cyc_qj^=K2Ik3J`9}X`#_fD``|!{;rrZ`;QNdw`99!`W*8}$JrlCkJ`*`| z`96rt;rrkzljQqA=JI_I-sk&3;PZVDz~}pLeDU}`5a2v-DZbBtMSLH`67zkKFH8j^ zzR#%7_qp*ze4pi(?_;mr8w#T>@Og{n`+VNz`&`E`F5d^W-J*P-|FoU-pUC(5d@A4pEb_vs_wXGw^{U$Fi8_&)r6(6HP&WN#Y8e4kC!d6^ZQ zfba94o?`^0ksJ&PQ$2tet2PjEHZqbhageD&(F0C zJdBUqrFag1I^X9;q4%tyvB2CdqG@E3@AGmqy-f0bzK39opOu-~;&(A7{ADkB%FO?N^L-YeC{CHl0>MEWGyRP! zW%0YDh+Zkm`X}>!+VxfO92>38=lh(@3c;aQ%gtG9Dk}NRh^(9bHa;R%G0&K{!+rMa z%wOrQ5`3Re;hjsS={uG0^J<5|Bj;kJ7o_q8OPc8sKNdru_zva!IB78{5S5MIi!{u? zm1%&hc9}Hf+3{SX$GNOv$qgE7>?h*;tf2EJTxVE4T|kbmxQqBcI3W`(!Gf5@B-M<+ zOm-39XH&wcCfRJ*Wb)gvl?zyps)KV{4Yw5YeOlOY$klT-y)|^Q?ZYf>`93S9`6u~4 zD;3!j@qK{Z2^!un>2A1%CEoCmP0Bx^@p~zO<8JzZbwN}8YYC?(#~Pk9(o-uO>8bX} z%c=FQ6l-;f;nYTC*X8?M7uh-+{(%{EFN!vNgE{1?znJgyEGI8w?Jv#u!Bid_3K&J? zlV6Mp8wqN|kN7^7vXhYD`^=K9gyIv`r^0-Ljf9eXACx>c5@;?@@O|KbEd)APYqH1p zK_an*kl_0usn|VG+q=*A!G7$jB0Fv|->3Hf8otkUNxskEpTPIw{&DJIYV6WWjW#WP zz5M|*5S(?7eJL7y?Dr1$;U0NVYy47lP@zu`xQ8F3RqPPe8#@oXC7s~=FjQ&2&m?wvjLubx<&YNhePH)2#rJ^@m*V^2 zc3*wq^L>^U^L->cv`wV0g;{Im`Xav12rs-sPggEwz75|8za75M!^j(zvoGfR+;90l zw$G`%D=|e3tK1eUs1k$^AOP_nGvr=i&P-D#7=u!QQHwWW{_R?w@AFv&;9P z*HU~R*=;S!_rc&3tj2;+2A$P7`^ys*9^dC3(PU_KD-%+R@59*XkJym&s&Ne47MTS`{>&| zz7Isjd>;!`E5FhtKzc3+AJi?}I19 z_xZq!N>;bM`$-c(lJ5gilJA2wpMdXEDjm>@wGS7kL-{@^VI2IxC&xS6(Oy|fxSc*U zrjJZc5#I+g!}oc~3y1Y`X81n)J-!d3bNN1a1OWg^Uiaow3R{TzKI#*VYTZ{}gwGOu zA8LeJ&l$4h4x_Cq4E=4YC|>OR;tU*i+#sJN>3_&(P}JyqjM)}Om!)QT=(8?n;C8Z8pVd>@#;v0AhD8KIVqI1Q5E`{1>u_&!4smSFvmsPd7~e(1N{ z;TE$i`dHFs|nABpv&r6tGw@cyewPL;xrwYY z?}LR^lJ7GUb!A@YUY$ip)AX#*_mMpzkMF~YWHH|dmK8E)I(qi(#!cKEySI1rbo3V5 zpswjV5`3Rd6hbqGezdiwXW7=8t-Y%m_Mar*2PG3U!|)3Hc}c+OgCs2+mT2uqroUPQr~=`G*w2@W%)kvkEW`k1m6e8 zV$fKNoGjnxa<*K3KTcT~z7N9Sye7kJEzS3NlNej*p_uQ3w7}Xzw-S6GWEU0}Iu=gA z_d)xI(WRu1B;N-eL4xmtW{Kr;DZbCDl6;>$6j=E_2f5rae4iewb^h>r z2adwZ_Zbbr_nEMKpU)!><@=0E4DmxM;`_kG$R@O@-*Fg|$k&QUW}FvBPLKC`gRA$*?}m+vF#bof3nxnXpN*Nt!V_&yS| zIfS~+M(*%^;O_E$Bn!d=Xx-Zb?;BgN(A@Y&#(O){0Tb%RfA;x4@&=FZ14%kGe4n=? ze&zf8{sep<<^=tR06+G6e4l=w?}PPElJA4QrzGD8Jy|i|2SUsD=||td7bf^Vg9#{4 z%=h_nlJ7Ij#1t&w2jh%Gn!(fa@O_p?1L@}FjKt;ptYkdeA@4fSfolvygCs7dBtJ3V zXFGF(zHHN%O+JeGKCgxI=Cv{)h%Yuvw|ho^`H2#IpMU#5!1oy~&G%{Z`99~dtbD%D zza>k(1m9<61h%`#_NK_&yN$e4m?Ga6EC? zs&oZhrbm&vd>{Bwz7OtRp&o8$>4%#1MqNr-SFWCEEg*Uj;>#W~f991v;ojkPN z&L&j2*LwL3a!aFsg732~TG$kH=0szx$M@MSx-DXC2}FFK3q-Ze4igOjk;9NEx6AiA zBD(BZ`3r~@>KmDAE#C*1yr8>|5#KsoE$S=&eZCJ2G|*d*?}O!{Pp_W_r{;rqY@ z`PneU92s3r^IJ;sea1~!|Pm~Er^x>_Sf+BtTiS(J2c@apTA+(Y7YSTuD zG?|lHs8Q*zgp1W#4>%5neWHC9jOyO zr{5AFstNr6W<)ota32$4ItxzE?IticMPnjL>TWkRZ$|L9Bl!F3Qn$y^cOe5GG@%`I ziW~6l2>7)i*j8Sb`XBV z(f_P2^%ZRVaE`R)I|d=N@0I{-&qaUypGF8l?*$-)fB$>2=$QE~sT9!=xDdA-TaaRgq%P%4^lP5=Jk zi-P{q_^_#kh^_#{Kl1x-ZMjfOA z^t^poAvzN_WSEF>j;KcJQU(pzWBisM9rg#N5GpxGyyH}QtVtoJ5xJ5KoA5LFm|+uQ z6c6$a!-j&4csOu$q@P8P?K5n)I1HQcm-${knwm7~=rC+3!4$;Js%T>d(e70+xv$*X1$vj4c zPh8+4Ie+agban(O`MGsRYavKmX%NbMfV`}EGgRzmS$@lh+k00n88s^Ke6hX^6F~)`- zP;Mv0*kr(=L5oXbY%*AGpv@IyY_e;l-C2xHwgoK?(HCKCvO6%Z6WzFgnJ+s-OF|!j zQHW}z>}>Y|)9Ba*2$dYV3m(p8H-t~wm;DDy$-~%~LV{2grwl*@v|c=q7{QrRLJ;WX z$g*>!Ko~$2scb+BKmw=$oRykj3UvJ$=xx~_sxi9&TCk^cM@Lt0_wLpLKIe;spvsj6 z!w#*@t0l6Qb zc3g~&$+7Vyz?$4?l4%u@BcM`L6?8+TXl8=3$q`m!h06Y5gkZ3>?b@}yqje{el)4mk zkoy7aEr(Mx@dK~XS(kh{QYzRPCKO$4{*|H!5yr-(Q^_mPp z_b0<8Z-7auV3c5WlGe9oHRE^&rJ+4y`AH}ZnJa=wBiag+UisDNi9z#>P&KyqE1%15 z+jm2J6tna8f>?|VixV(1R!aHRqE-ovP5CuB3w05y(38x2Kcl^#Z&Qp-_)ETmOM7N1 zc#b7vFgE2+vP2j?7NF)ID!JjrP|eH#j`H6aU9Fg6e_-vNv;K_QR=kg$iifeWit9+l z3nH$VstRnJViZQjY|#rFlY_CL3l-S}aaCCgsp5U2tmWk_v5Na_QqlM46a~YCE(Vq7 z@A5v+rs4xEE|p<;8Ml?j>NuCYUfiBnM*-%!`wyE=!NvJ_ooyW6D-2~@J_p&r5ecqJDq_5c@uw%rb ze<-0X&t}q-Ql67A_o2E!!x$>dOu$M^0CJceL_C|Sbr>}qo{gz=BXW2)ldzOWaf(A+ z-;*AZ!W1b^LK&XTq_0bXiqz%VO!|(LsK|Yu4P7{XNy;WB`oO?Keo!}){wRgYl^Go) z5KAR2{U}vJCww^!SM_;0-b#7QvtfY6P=}HI!=wEdv58B-;Y&CyGuO(qsX9N;4p>nV z?19h{Ezd?CWp|P-AEpvb|NR8J3Cn%_OWAMXLk?gaD49Q z;NE9f*Ph)yn9EtouDu;yTej~y2a`IAOaXCTry(Fj5+}a}Wsh|mJOw*$e3rtkZfQkWGUwcXdQ9hm)?XG4c=v4h!4gbL7MyE?Ee zX&Q=nHgww^yG0gy%6p>7VxA3?wNK?3$;`5w!j~G)V&aDu;k^`JpkkkALx+Lb0j6R@ z5}?B&b#Qn#lnw{E-n}#ka)6z7zsxWsCwR>?jA}ui2XvTc3La%MpukMDrai{)LbwUh zOpLM$l{vmD^U#WkBctqc>0@%VMK&@$_H zocTfl%A5Hcf*O6zfzrbZeE9;8MZ2OcEzaI7*ViAi`+d3m{kq;UI( zjYjT?%^2|gvss9P?>`dHcsp{&7$SJk)A=#e1ZTX88PjFdjQ1f^k`@;h#7N!e8BaqS zW_%tt#+mc6_RGa7v={k!FJtDu{D`~`KhZ^@?Ckp?nC87l4QSIIG_TRenfp*FGhyRL z(kXNiAnj)V{yv)3enP;uc?Qpk5-3Ky2cL*P7A%!%%>7bvhgxnh8K9yn!Ju2 zs6CVL2p+_jdGVq{2>n!GD*I8muz=OhH z+IPmOXL7#DH)0-UT@A2OMvMu*yp0~-A*y{h0|!V>Kh@(>>+(FyO$&j!{{@TQhX>(u2RbSr9)$LXQ5G^3?$N`n=URBH zL|+%fgJ5K@7J(V^XC36^&xHrQjx)a)9)!obD09xO)bN)`|IB?XQkcM^jxOq*U~5A` z&c1wdYwwoU?cG?2;8#!A9++VfT`%q(4NDQt-j8@{p7QDxeF{4r*8J>i(y1!b7xiP& zH;C0UY#@kwPL0%t4-fK0=X7=UbYPjlW~Sa?(e3JJZO2l=lc~or%4Yl`O~c*Dp-DrO zzu7yWSaSdaiaW|U(bpqSbGFHFsn(>~*V~753gKqF3Wa7ih_DC^vT5`nFha?+WzOrQ z1A*Mqg04e>Ightw-0w|fX@E4Jo0xOI4Qg;f|DYkw(_;b;+KH}hYz%u-GC9!R(1!U4Nb-gNMFJK1?eeWc0bw8u;zY2iVy5qQuK^N3^cNbdlx`*@oKHZtt5MY1v@GyPi~ z3}gl#^zH;aXebE}8d7*rK86P&M=3t)@X5H+d>k5J0`QdK(}5#>n12g3fwD3QKf!q` zOC-<7u_L1sqef6p0o1pj^9Kf~pkUk%dMNQq3I-ejrh(Kg=iWEfe(tmRiLodtF+2$Q zLKhstgNA%~(Deo$6vv1tF!P(Y#KMDYxfpnm2EhCfgZ{k!Jk|)9F;V%MAEXvTfx`+C zg~3^r2p$B1fd{=QPhf|r+U(02&VdIpn5s?--f~0r=3v?u{P;AwpWcx^>A~i`N%}sF zPLBGtNGCn-8EM4^Ua+IJ`>ftItJ`2GKu@CsYXlW)TuXU8c5>DtW+&>@yiZH1P$oSv z<|FglWMV@v^Z)~Qkayuhl5qBK^WQ1&O2UH@!k)tJ-aYNRdpmbu0(j7g9UJX9B3zk^ z(24g_p`{a=-zM;&$MY!Wh!ylsQxUGfjBRlg%#=oPFOyuqHcCJY5AsBvyZ5@uq_5WP z+ugJYyC6#0GXf8SX2Q6T(98wUmNJq1?e$N=|^8Q?TGwSfm+vkYq;qF$}eyIxmBU)DVIV4~<+TnkR3V z^Ni;h(Qd?XUccuUgKxBcANO1%295?@;yGGv*MOCtTLcff-V>vL0e=jw>ej+4iyGPw(v8N5<2jpgbc>O?mfG0OD>8>(zWnXg$G@qk4lyW zFDs&`I4Kd^ZCr*FeN+_Bg$I3;5?fmI!ntqWz=J09w^J@~UwATQQW$({=ac5s9ByCu zvRI^TWg$?Wm;<2<7CoW)^%y}44=Uk6cu)!Po}D{8Xg_W3IyY*wTxUb+HM3Elvgmq2 z12yD1VH{>(xabKc&cK79xCS1y&n_I;l#w5W2gy))D24~2J(twv4ej3X9QdPv`o$x-^iHomo;%pHKwcGJ*_=^x_ft>C6ib4w57Fk zdxuORt$WALT{r;GiKZjj(Xj*e=vdOZb8qW*n4?|E?yg;1vH0r_vW{3)e0Nah$gm1I zH^?~xjB{Iqyd!9BZ|_3L*1bqwQ0_SF+=o?Tg(KL~x@UV&Fv*d?0}jQ~xWV%|QeG#| zK~bDi%>u#c4>SFZDrNDFQbb2Z`HJB|u6Rq=t{uJY9eX>m$?lK8+@ht*ow7+^706jy40ZO6{e!N^l+bxe;Xf>s+ecY+u?Wi<>s$+R|$B~NAb=jIDW_w zR?)OuV_R}G=xKUfOOI5ge|ADd>BPHC3M8iJy^zm;C({7eIPSQeqI4FQG5WV?tg)X6 z9@IeR54+AZI`)kW4C}PPthm`zasXy)Wueb~H^X5;jF)RQ<1aIsQxcHEde9CU)+dZ= zlFf$oCch1>T)=wB9yIrc8}gQE(>s850$$kA%#Oo_2Nl@%Y3;=~{NRB$1vLKzJP65` z$Rl{rNl16Yos#Z`8(88EJVq@)a05X-W3tlLT0o->8qTDTZ(1KemvDNVslje~pX#2{ zIu-jr65FZR`$5^L-&4^KsuWh|oC^?CGw_@SES)x) z)Ao*?TRV4l^a?J;7o3hk&-1YwJ+CKNgO1k`ko1!A1*fCOb$sk--G|C9j}szo{IdIc2|-HhWw zrqZflP@|=9qW-~$8>BkV{cl^y=?RwJLv@Sp4H$UP zr>JVtK@+CnfjHY(_GEtiQn)s7?#3BK>p4G%d9bQIi~9@;RjhY%hBOiv3)bd7#IXRG>V#EK5Wkm_U`W7-O(%XprnY3Y0es2 zU%k{UC_^GjNyhS7cpt*y12q@duiHX~avM9iY@`6+6U?cw^_mNV$=S<67|Ioi4xz z7(@w$Se|8<7df!bnJh?aM9L0^6+|KIp0$yX3%L;*rj|p8>mo7orQ#K*K>dQ8Ky#QI z)b7fY^YU1f9XT^tfs$Ccnr5wD8niHi29qH<4UVE?=xwm@`)c&e-;JO~Ai<3jq?y7I+@2jzL@ z5$^e!ga_q$+(k}oLR9rT#Fx(FGzcE1EFnAyx#L0|&t=C556YYKZxB>05O`3I=a_r9 zbZ*(j&uwqP#2@=}tLNs~1kB}VvpdecRWr$Q;6WWMF?#mkLGX$_E?yi^vFV*_;2Vp9 z3~+O{akT6 zgb%Rx55*4o7#@V=*jlkQ#UvVd(7G26>*dVAgI=2N!g=N+1CAa-<@D?YV>j@iHx!pc zU^K*P0(@flS@*>k;WOWJa6(m%n#=J)G(xTCjN}{G9G`}vs3Y@fAVe|F7NGZSB%B3ojqNYE3`a-O(lH5uC?d-=81bsq5kO{Y;o) z072m{QE*99EmLR2q=mE{J%FX3y^fC8H_1gC;{*L4I}D0tXmq<24(rvn8QT7Y!f9-- z-Iw&oEmmg$Cx8dhai)z&?|ZUOujTohGhT1tL8+4PARJ$9-@OZ)m#d&V_BSWA_BUs; z_BW@n_V-$>=YUQX4*+&q#r zU}066-;EveNYq%3x-u_hQ@~5*S}yv=rl;llpA3zNj?+e5Ae-p#JuuvNkUfn|Br(m0 z$ZOtG0HxqT!L#BNaUhxssL5sw{b*}VPqVExTYFbC>^~+RRbo~-J)CKZuzA1zagqHo zAra{mvH4Y8Tp(qbijN0tgWx5<&r6rmsmJS@#cU)J2c6JdkS59s>@wCBqu9u9^MEu} zb?h79aWMKvQ&mv{9)u$@Xsks}79Mm7TduwzjZNENGs3{g!Z2G)!-K9AV+%bX1RJkb zBxj8cNK1VjV>`@ajw7-QiwgsqnG2esY07c?htZ{^4|WHMj$m2QR13>x?wg~)ajqGQ zF1;|f;j}!V8)MwD?#Iat+#W?*WusT&L492A7p!eg224@B@F%zP?!WP&Pe z7^zOnG-|`A5r@KqWK;t4kJDfoGV}c-(cU>OuO2yA&|94w`qGO+-P`ZcRTYOl0}uLs z{>b<^*G4>(5MWv^A7?N_Fh;43Ww0+g@F0Xlx{HTT!h@z`PA%}DX6$a*i3+3QZpXoP za4+zljaZ=>c+hL*I0LwD81-Z*#_9_P`5@S41Zi%g938ao8GW>_FgJ0GH1MEiOn*1N z7E{fQH!~fuVQl=TyhR1s7+}NUAq?~+HWDm8E@9uk@i^Lp!h?RD-#^#~JC0$PxU(Lt zUz8@|!-HOy-!{=7bu>+|Ok&tc_B}F@EgT-`8XOzGtmBdi_A7G6Q6{)JIXB@5LCj?* zvFT;#8<@!iJg8TbkwEbv^P9eqAHzH1=CJ7i69bX-&Kzel(UhN0+->3uqNtQcgCg9# zBpOIJFJUAOJcxr+CSME>()`5mpe@v5=Hm+{AJJF+| z>}XHIgKlJ&#Y?LP4|=zZERt1pwGliB2c$5%P>kWAG(6}NGRoMHwv&!Eos%|G&>u>hI4%}kIF4}wTSB;Y~oUnq>2rUwUT zK#{!-R|YwXqAd@Sw+D7#{R8 zy&H_Qbif{mb0+&~2n;_%cu*d8c|4rjM0gM<^mu5#hVUSns*PVFlV%1zoA4moFC%Ll z>}YL-2gxNIu6*IG@!ts#%JZrQo@%xe9+Z!6tgIkBC~3>QGb<-wRL2mg@HxVRM25{K z2$p_@@F1p?J0=jUST67&bjdjP$}&6LcW`WI5PJfp3az7`Th6j4j^%(Zr|gQ1*Aus1 zZw)3rqg}ESgjdXgieNl(TecFt3sFEY1riB*$NnA<3vk>8GPFfumkQkg6K$d-c?Qd;Y;MTXy#y9UmNs9e$0nK6(WAY$rO#It_)UDFwZRVYR1VhAyXe z&V-d47GEQ=>#Fl%JI~UPc8MA=%+L`$7cRObo2S`r(3&Ke(%T?|0$>(*7*pVh!2-3f zISu=GHs3Qk(KoEQ&?+MJ%9FNYnQ(AKYMn25Z0uCAWm(|b>+ zk2lcAX2vgXXud{&+DzbV8gBTi$;+nm8U`7ozmmRiL~8f0o!uSA``QKcjxo}D#+Ha~ zxN5f7eva-By3s{Gl2MWJaVAfVu?*}rYEycX;da(!Ml*YHs#y+tqVGhXKQw$~bd=jo zIJV>9!=4U~@0yAr7tVoq_=E@4N>_l;XGWpNj*cI)6Ac_)i-Yf~LGXTj__AG**=S6m2BC-pe$Z+G`9Mkh-8%At5AhvGA zcA*#Bg^Ad%j$+e8eMqvC#dji}+Yry25zp0eJVD*!)JLL#xOQ-nBm*W0H}Gu;{1F8H zF#>-i4$LR6yiwYV-;-hrKccT*d{3%}rS(u0Gxxx-9mtJjKYt%X)Sn509g7kAp*ZSb zZfZC60^P^pVGQ(bVrm`tQuSE`eGEY_pO@M_2G5AQ^Zry9p6t>aBU?8fqaFmQnx8sH zI&-rmflW;^x=Ju~yZ$*izWZZ{@;CER=XjkS;%-he?sK@B#YByQ<*Dxa=*0^#5*OMc zM;w5-es{f?dt@$=ogXYr-7Bw-tZDjcCE($;$9I1!2zG9mm%0}-j;Oyv3cKRBpjzPeuii9S@j=^W7{U^3R#bX}oizd^9+I_CiGY+`QE1 zl2OK~s~^*PLd>VEs5h!H^H@DPR<#Cp{s`~*p3W3XRO#7^@tWI%VAn14Qr}Cy1|mDc zicO*^#mfDjc_oJ*H2EG#xU;I`3WqVsJAazm<0Nv>jJrGZia~jKJx^CMf;ra(i&7~y z@p*}qp%c}Wd28ykfvC#a??zG|4}#sx=O9Eft&|ldmO{K}o1Vs9vNqM@Bnqbl;id%# z%-~M@5G+om=9o%x&8JS~oc$dn<)=u>4S2(x5=og;Dk*ak1;?D%tA3*VXz}#(`_tff z_m&_y`{Q^?eTkRUmwJg+2wOW&hV@SLnPEMav*WKo#)Id?b({1jXU?tX5ff~N=t38c z?D#Qb;0|LXM%|h503Kvr3@aJti+0l)(tiDBNMe#jmFzdKT3^rUGreMl1G{Xj7e-Mq zJ%Rg~=dmvCmLigg8D~&#BkA6TBHDo>`VETc?qqRvG4y?=COHu<3cee`_XI&#BZA*o z9Q@=|>KhWgwBZ|lUEJMw??YS|gu4C;aeX6MTX8KgO^?HwB)_9rPFaSO8x=;N?)M?8 zhY{7|V_0FaGS3{u=!(G4qTk(eWN;Ar(k5NBS0MKw=tDu!U5%j6Mm=S!i;q^pF|HzK z&mkU}?ZpvEdv>z)Fe3X2BHM+~&pDAH8R;~F7kI;~b!0$H@lxrk3tozz2#)tai@X1I z4gxum@Udeos(B1%bhnj&40rxM)gzvUxPU?5gC2#QjLEfhcvhvEqIRUn-w4zu1>~uY zH9gRlJuN}db1$N8_mk2-M$ahaYuP>9E}q>rbW|2i(dPKH2NxV3oam#KeAm$l+gLdE zjN{ZOuIG0$z0)4EEfEjsn#a1v?JMrDALDrhM$oyFULTDGh*2cW&@EnuFakN%VLWS&$d169?tuMGmsv`O~ z8Uz*zN4WMM#i~?VycaPhb9=vwh~J8cZ}Kv8)5NG8RAJNL95Fa072bxTK`pXZwzgb% zyx;pT!SQpxkC<-r^om7u8{*a@;Fy50dT#T|%B>>ofDH`k=f2bR-dU)b>3R(Ny_|_4 zO{c6#Xk3f0aqa$4X@^iWb1i%fW`0Rh9`$bZR(0!fTm`eG2{T6G#WS(1jsLbU4e;ZX zIsemq;(^GFDwyK<@xMnaj>G>spvA|oNXOuv)H?*YZ^1jMcfb-BqO$DW*cA2(G9oa` zvJ@;!O6})WWj%#US%r}NEp&wverLskclbOx^uar+AJIUc!EQi#Cdej_G4-KUuEVH~GzBx$a555+Wu1WC$ zd|G}w;uG@FfMvY=SO=CZmhB$0Cw)(Z)lc)_t^MkkHGrlUu;}F8LX7N5uVC@{$e#2W zEG*YblLiZ!A9k|Hp0GM}kv-`ezK(kxW+Vu4mP2a^O+b&0X+5zW7-Ua)5-Ul2H5R>m z#UydRCKC;LnfUL|Qd-yvDmr9w0>sS;aj^m<$%tNOspl~lsNAyOKJ>fS_ zP&nEVgl$qbdeTY4xyYVymlTp5cQVH1aNh~a$lGDc>_rB3hv@+p5&BlM!-Plw^Ar$d zyw6iW;C-GVv)3xR*q%@oWwCpO53)GhPT2zL51-^4rU8v|z6`O}6o0cKyBqfO zQs1n|k|u8aGm2>2DT{*!_5L?2vZL5`D*erhEI0=EfR{9Kv+cx`B)?gaeG|5w__oBh zQ}(UJ->jf4@y!bKD%f^n@#o5*vHiGc6Xa5rc$^}C=uqd>m@tZcR6WkCCs6gdyi`wg zC_aZC4jqUKrGG%441XTYNb#tKKcD+Lo9PkW`cVFS4!ePYe7(+<;QL=5e?IpLX(tjD zFJ08*bo`q`k3XOPp`=W_Ft5GXmO~ZieEigyGNg zA+0Z99^Nc@5dK>3Y9yR3EB6XkZT`Ea^p(((x2NiaVH5J&~q6iExk!{@-^Ic!ad8N{QHt%BmIe+`d=gUxY8jVObu>A z^oK5M-n2@3{qqU6VT-mm;;q8)fPta%F1ozR2q5|{s;gTdtJYG%ZmN2)6N zO|e$sY@(EJ#T%TYRa^&T2~Db&HDB@X@`ZO{&D(Fi=KRLwLYsxbbiHD30zNI=#5 zQ9DD2K~OT|v&pgXBvkpzXSI70Z)E(c6jgb66 zQGiunm9(m7%bKrx9BGx8;B?1CKM<1>@}fIJ%bM3NTi2o5W5=v{8L>i#HBUi4G_3jZ zK;l;PugjXB{LL_0Xle9jB_{E+IwRJ6`2#2o?fWMG5Tzm0Ylk&Ir6){)<4qM#ev*B* z?}kF4m_2t%);wzo=k{1BQ+hj5c7-z zoooUzPbucUNyI$Uftjy|n5RrSS)GbZJtTVJA?9fcC-irh!c(}ly9q|8OkPyL(}D)*1+7~Ni; z`j1ko=p7UCf0pHh^*;t#!hIDo!qcAgBr0@)jaAxd>5s~VZ;oBGq!{-VxBofx1!@rAM3j2Than3v50w!P*M~* zi1`|LTV%tyrf#~hnaWivcMORu zwgCsfp%rx4t`00qS|%dIJl%H3ZjqIZ@}4NN7%|Ue?Nd3{M`mSB;Y*EYr9=s0p5hBs z>?7vsFc3R{zF>B)=x|6K9K<}O!!V&L3O6Ep*Uktn(VLSEn4>r(qs17HFx&$aMdU~A zOKJ8-Nzhsr;3MVMBwjm%?Ij|5i=kC6*wo$-Iz(?>2RlS>3eXP7{2mz~_f%#(n9EBN zlSJ>y-z?)Pqola@2H!vDf-)8I{YT>3FCb?$uUVq^tc9T^de?q{8PmyX?f0dlM_OEh zHzReQXD!4sr1n`Hou73!{-om+qR`L_;IZq_xSC3??VD`jWj4>!>3(AV4d7#qd= z%xm;<)-|Y z*K!>;``@ciO!O}8Bt&nkJ7~-aqWA0%kmx;Uof_Ssg|jh55p$dQoevFcWcI(yGR)L5 zxhW3Odp7KZh((Fsb0$JPt378Y<5FZdXZA|;p7S>AEG@}(mUNOYeJH;AlipOEdZcX* ztX09B_dt0}ijqX{ITQ5oMOiDH^ea`eBBJ-4Cv0$s=so8bm}|^=8)uYt*#D9FOd-^(Mv%zfMXB+IN}}Dod(So(fHAE&KM~}`@{Afyg@aT=uK~x=<6b) zcirna38KLCuyudUvDP7a*L|1+ZAA3uV_j4)UR5IfY|iB=7#gCEF6y0N(SV1!@2`%C z-uPvR-e?XH(R(fy2*AaAb*eqJ6w}=M%TrT~R`et9G0}V87O4%N=?|C1V4g_*Tx1RR^3e0)@YSt%uYglfl&ilCys@?6p=QN}~%`fL& z(fL=PYm15A+8cUAZ_^_hqW3%uA5bex^qvo6TSXWXy=UD_qBq8lSvQv<@@w;1?W4&M zbco*bKNy-0em)pqz}MJe!sIdanCT2G>jsHAVWb2!Ne%1N6b-*k2-uZt~4Kq2H?o244)jLH)UlK zUT!5be4dYTKiWiCP654l zArd;H{{W8$;xSC|QPH#Tnt}B+?Zk-OU}Jc}xdt&6m5b6`O#rMCp)m{I5n@V)855PC zkt1;!3Sxk_Dhw`&S)w-uO7vdv$uJ^%&wW3`#YArjrmE9|w>+FzqW6NQ(fwGW_kvF{ z3`ZwNeOd%7vT%vC;u1vfBDp1c+g7ZN6{~!<)&);XIa4M*Fy0QHH)O(OXnZ^wQ9pD5#{57~f4%HU0Ra8j2!*H$BxdoF_43 zp3{p-5zfCB*Q}6S6#n94`4bbp7k>bzP9=IX{vZ^nGecd-DQQ%Y+*^yd)B1)bo@0cd zR#kn6=col9)$gJz&($Y-FF4n8wA!wUq0=%^86?OOz2`sZ1#!sL5^B+_J!dWz6$iZN z6P^RIj;Xx_S$s^!a{D4BT~lG8mnZ*6q;U?>J0XMZMTy?YH-J%rc;qD~zekAPr#u)& zCCh@B6;V{2lzf>L6PF=HVl0me4w92|^khqmg2fbQw|a65e>>$u_j4$d!r;>-eA0YM z=K|4nu}Is>$^QX~bZJXwYkqm}9&Dq+S>5=;qY}MKIFRUFLhKX0wV-%>2THG*6J??jY5G8=TvOSk!IxF*8 zp+8?NXJIgcEcuc>;-V{Va|?+Nna~iu`8s@S-3iXlIm9|7n01%T{AaD@Y?ITd8vdQN zR{q{){=#1hceC6GDdOHFodOHH2=m6%)N(u}}0CWi)mVOY}ZugrYL%P(U=L<|lap~bc7ymXsB2_WZn7704-1nQmHd$&^(04wGcb>LM-zjgIb(hZd zRkLFag7O5X9iT`2pg!>(Md>mr5S5MIi!>~JglT|lsdmbu#@Vdkw9jd*u^&tHUIJ)l zaN3VuXG|TuWts+p)Fpb?V^bwqiUl!?OsW}wne23e=)Ls9gi%ehx%5Jl-=*VRz!DW( zupDhP_oWYqIHRkW1k3RqX2*fkO_!K+jZ2TR?eiF^63l2*K=bG2a6kDHxg~lp#i_(# z>9-}_OCM&5FZ~ajls};HdntkAwNjjYK`9t1uA-{n?XDDS zb(QW%c9}#P;IZIZ{>kVl+tS}KgDx6wS->1(Fue6@JAUF zwl2)UH{LRWW-MDTR&ra=dYpS%hnUHa#2{o-+{<9Tfswov(Rb3DltG55xtp6n8$shHxZ0IHqW7`^+QySdVb=yzIJg>U0BUPRd$q&b7KX7e z0PxKhfcoYOfPQlYLiF|pAbPt3C3=^|36U;f`6nf5G0}U)^%5u|dfO)58R6v*L zz2ckHKJU;a-&H5)S1sFr#Sf@%5zIr0-Yb4VRf~uqj2)5&NL-@#3T#;}(@sQ*-i&J% zryMk4Mnvybb3!3{FXP;e6N1Q9>UAYf$^@&dF>n1teUOvFa?mc163q_9MyHD$)D&m-rECYw+G7 zMDL_8wupo;wuywu5WW4xq0TwNulih+xYN5#pT`Wa-&>43|7gf~auL|vl)>U2QlnMu z5HliR=fOzn1&3nb#oNrq`FMyD3bE=8c6pHl2M#6+(i)MngE1Z9s&;A}y;8`9oB)zn zL5J%iG4iG2)AvFBg0xHYUVTnjMD&*I$octOVAfhaK(m%h^j>`vwuzXj)#otZO7!M$ zTB4La$K9h!nGZ)q@6}Hja|_fhNF!iG^oBr)-q3DC^v1z`n4$P6L~q6h`%O&c=7Fs> zi)r^_D$uzGp%T4U-^2?UF43D|r?DY;MDNv4*w`YX_nHyT=Mmo;S>+g_H-p#2O{zAS zT$<>O2DD~GTa%nBUGrv{wwFKf!bI=2-$6%GO!QuZgU+&;!Wm*Edar@KIup7?Z`CRs z)*~+yZ5Bu<&p!Z#cv*Fn-V20-|?liQd)M zg&=y%@d}no)%p-bZ|Mf{H1+!=dZVBtqW9#dN%RhRvW&ieK%#djM-X^g4x;JzBffNq z&38Q1JVl~6mWqbxUD-~ecW6!-!NZiF3DG-Uis-#=S4eF)#6o*lq>aTTlPu$aSa`i6 zBc5HNH*aZRkBhT3kLWFzF@myUqW4-1KEb+OX3$x85jq8wSi}&*tZyAo`kJ=C?l2Q# znz={xW^JKY=!!3|=)_M9$S_E*`zmg#U`FXdMzBb2YzaGDqBj?tL1>{bd`g8L(VMH& zbXdUr(jj`UlLLX?EjId7LS*B)p-c3pLm)?I8t*T52n%w1&te4cdPHx!U_R<3 zONriRJpQ7R)ot(MFrG|tMP*qGxT)xrOxcT12ejghUl*rCXxQsl6+47-QIdtm85xtAt4ADE5yF~9uszmQHTEt?achf~O^=-o1 z4~c|5fNA=@^U!S9e9cI1n$|DLo;hXKd|%X4HJ#7;bC+#R7)r5h>&Jv-Vo-uXlbY}a z2s9AA)TU=gnz7Qs8Z8n^~+ROY~;R1RG&^ks{pqcKZY8e^r9BzlccfEgO0MlPN>X6r%TL7!R1PPQ4(w zQOrgni5NtPU2I1p?J6RAZ@fX8DkJGK3?KSOQ&o|Rq(M|TB7??Sy4^BD=7-Frb;a_&5nPW3+!5T}t|3 zcVLlsZ1Ly_mK9C4uv`|zlTY;C{L-)l(Ob5zgy_BbZCvgs(OdW6Bciu%zjMuFiQXKU z@F^pXzhMw;zAdjr@6Asm4xtI^sAP!VGGvB^=**xzWf;2~cA}!`#B;lX zG+JPC!{y85WOXGZlfsCn~xcN+=hzbV_8tfF;a=%EyF0RmJc!B zuP_~$Ot)gQ)9|~un;@{^I7DxKg%Z76K8yH;=-qlsSd!>1IYIvsjmy!efm*K%w>j*t zjSFSiN%lQ5kp)`YC3?#lN15O{L~jbs{o~f_&^PdfKGD1NdQC>ebyhw$^4%1s^AtT4jb#~eb(?Y1|ttURK3LHGmi^Z-`+1koE~2@~!Sy<1N~*%Ypj zzP>QRL8E|UpW-hnM@#f(*#(6=%>YrrIlpLDSHSsq88!+y`yLG&1=;anARGpyRIGP} z|KgaOBzkwWhoU5AL@C_PEQ-XA9qkFCci~G^5b@IL61@w0bY8NGuGSL03pgN!(S>3R z2Zq`uj^lQDSoo=oGB%{`q;HCG~&7c@;Bxp?ZE<7V645czROcK30 zOkkAJ7bb|_?P(4&$t8FL>_e^33oTxATU5&iTHId#!qDO)pr!W2jLON@rn=_xz5srwYv!Up+VvrGB$$`#NJa`X0}aQe9wN?j*%q#@RTv@OOOQl|is=c3o9Yrm5$XFow~W^Te>kC)wymmR1}?YEi5PhG-c)1^D8PhF&2Bqg$SOK|*LSY)^T zxGr^39Qq=`J`98TBqf&Wo;!AA@RB`Fxy_w8G-wJjQKEBsdcyS@%t>A65S(m!U)-Ha=g;-nw{eW=oEv;0=MKCY*l#>Q$tI4U!^OhkIr-Mbe zs4n%C&3v#R^>Zg=DL_2(AM4(EYY|BoBDuUS^>Z7^TqoE?0)S5a4iW#}i8$KBvNqXu zZlpbk6bgOjqjjm@N0FMmXO7IZ#RTwbYHBi4k3j-`bkYjdJ?|5s0WSj)bE?FPMr9i+ z;)R`eqRrfR0f#JgniIEZsDMe_81D~`pFbNhz7jE>7NwM}EAz6szmAp`_PgJTBr z-^Hh>>`c>g6p4BjC39{x44{$XzuoT+FxzkVi{rwOx&IqLVb|45yxDX~bs$5HNQ2whbL*2wY!D{Vazg&+?J?)X&5-FK;qjCWoQ+*rfxsp7J@L zL&qiG(Bo4jzU2}*Rp)w-!B?7gH<=$|DUvR6aR;-!-aXq#Wxp`>YvhZ-Fxd67*h<1n z5DK5coj4pUhVWa+#>8Pk9n&G3d>ez4++F;4ysC0~hiE zqDEze?YZ6qBk~AO|69s;V0_dZxj_Qb{~MDgd|ctc2v_th>NJ4*SEO@1I?{iPI7td# zpGNGkk0SCQ^%lhYwy4%ZEZLzC0Q|tODV75xj48ZBD$Pq2qWf{U=;{>9J8TE)g~y@7 znbd*qs)x# znG8S6oRVW;8L+(YMXm4|`2*L?OxJaxRUK7n7S)5W9@HNGi;L3Y^r0Cb1L5+i*B`V({ST5vzu)Vi)XQ_6h$W zGY7X+p;zMrLr8)kvl#Dsi28>I`$mp()3O5Qs|`81VI&7eVXj%!VxN0$Q-zj|trO*e z^EPM`vTPA;W_FrOwvUuFFIv^4$U{q|A?L!zDcW2`19Hasd56f37)q52|h36qWLGq=ggLa96!9bR6x0JVqKD%AjEL+;&CCy{%% zDEr9J=ziOsqXf+pRbQ!BxCxIQT8bXgtwf#Wuv+3ja50VBAIH*YR=J0;Y|1@>hF9Ku z1V;6>>)AH*C_fY!OEdSly#27AdFE3YbZS7H9x_&+@EJ^h^2@Wc%)n7%^uUJD;r%!v zg>51k+YaH~;WOx!@&nl%+jsa32DJRe*^`3&qhS2}5d}WN&!b4HkPeKr+T@Q+j9w%N z0#+Fs0Lr3o91MrUgJu?)uNS?Nw(5w}r->dM?1Pn421EQ}yr>kkI_BMurTOJjPe)J| zEE{Q7{7S)yoadrW<+tLlHHO&z6Qh^*d(tr|UjM}CVcf50W{uxtP?5&9xXCB;vHUqY zZg51#K@KAvVAr1Gxg03SAiFwA9lp>4>EZoH{P*LugNhR=z}jEr<0z&}`^TMBV66_9 zOZ(yAr7m1_zsMN^Rpf>EypS-%k79yQQJI}9J$1;3S$N1l%}z(vl+CpKkE*AKWt$Iz zipun&V?i0c9boHZPtH0PZ(6(bMT4|zz$`qCXKQs}tH5>%w3kDZ40IJ&%5%k48DeyD zcc*IL`*tX9G?rA{j`7at^i})_JrK@}OdPPsEy7#m_0dt6iofR@LWk3rdq|!Pr!V#E za%IdIPT!>MIn=Df>8k)lG|0im#bJC9g%ePc&iS0aieK?H8Wnd|>oK^Yo0X`|08;r< zEf_}5;n#5bDvyYci%$_lL-3MHm>rlCN?-3?rshj!SB^<#SN6+Pq2fp4^9rL0mDk!IH`pJ4<4h?kX&fyH=&K5H zyhMcJLYmlj;ESy>pies!dN6}yCGOw>?c@OZs@9qNM$#Frbbk##>?RYdwVbm28Jr`KjBqfPw<*HTf5;efH0rF8$ zDN!g#tqL_=9ZZW(R!2ZSrV952q`0fTZ+|@DCJjdhrn^AC$vbLzE>eJe@(U+A0pydP zTX(bq$Y-TND8B^Ar@s`)7dk+`sz0C*ChuT5O+H^NnDmih+ES`=l{hAm8K>ouU*0`6j=T%Ms)^e2$r)GANlh4@aEZnDQF;0mlU+6`Dem zrXGzGEvgNI>iH-o56EW<2|`tzDHn+%;n@KBreF^T9SQ_G*_?8f6bJ*z5xprmUx4t>MO7i^>JiOM zfPB?hnn52_s1!36AwH!U1Nka`ggTg7&3caoS}G6COh1Wvr=eon zXZSV)(00rx@xreVgjAad3cqbfF>}m;aBwZ-KA7sPg~)a&K~P zo()Nwrfu4!ZQ7>vk-lH_5t_atrPQ{#l||B!rfnoiYm&ZL6?9eDRk|z6`d%M@6;yOp ze2Xp$?xKr}>w?OzuJ}S+MFnwJ(AEFQ0rDv!1;~d=d_ca&8!*a~B98K;GcdTkvd*ajK)y*IhJA%# zIE_hh>@t&tv}908#0()(H+hZM%XpH&X=@mUxj_nsT?_!`!Vr?=1M*FRc4`t1G&MH_ zfP50di&=oDbnnHkjgbr*Kt2fvfP9XU0P+bb0ptU35HSHnFzYhh##kEwafrgtS^Toa7wa`(p@WOg-u(ikjruCDPvjD^i?0QpFT4kgtG2J|G{- z%atsmX94+0U#;{6kdH+A%FrkukdI_|3P=tC`Pi1t8o}--fP9SDoYHG<8~!+T)mIkJbPVX2_PSvwNL4=kBkXT;)N!$6aXnQGjP9>LqI;JKafP= zh}k&!7;#u5JU~8D2jOhfZ?9m1o%*eue8R+>&Q1Lmbs&5~7Q|&LuCHW;VD?v2f5l0_ zN)p#1X%$NIQdQ+36@1fy_A^CIF8uUNa|JiFn5YEUG8?wlNE^4122;?`j=%+&Ldr+K{mqLdF!kpWuzR59XFv_e0i#S-7WY{$MB+o| z767ZE><@eFDP;3X(E}Xq4^JnE4W9q#nsAOfS z9MO=LF&~g=<{QCj-~kqoY1_zM@>|a^Eror|t_dg&r?VfR%V;a0ycw-nwsqsG` zGffQ}xm|BA`b>N7csvp)3WMT@k)93_J2o_A4?&>Wv8|;kqo5N!#Wo0$)6b)HLTskN zD;nhzgvjZ6HqIeL3MV66+KHzB1x_4*|2R4(YnwP?JcLN&)%6e}*$;ZR4X_w1r$!K0 za@{IiFD*ofocKGRbhKFCd7>n#oA<3JXV5*$b? zA(+M~9LUxy^Kh5Us8Odbgt+x2IRa=@QWD9U0XMDzH*U^#A%(xX=YQ`Y7)C?T-i(=wa2AkC4Kz>DIrs{@! z=AYUD2yq|_s0j|FEzp84O6@b-d@|Q%S?Xw3&sbEhTFL`}oV6qu06@-om`n`-AZOy} z2zm&a5&+1V(_|6#0U(*I3aZQjK+eSBV670$iaryr_=cPZ0Lf#*rkn$SWU$$>$$uaA z74Wj<1>_|hTTYKTOji8>o&!oc=a4Ex~-u+$+igW~jkGYK=WLFx@$D~B`279@=(eGVHg{qVAipu2!{#S{w`|adGlQZ63oYdq?nHZNiiQ?+XBqT z_8)Q2H1D#UBFgp2v#xe*Bcq&RKF*uZ2~mLg$Z3H|+6vIBCiW@;cyt05lCn%?F&{<5 zL@o{HBMDVZkpMiBRLe9WsevSi@W@0`e?T$IoTtTP6lU*6SI=z9fca;xFTE_PvNM#& zstRrxWx(V!$6$6T<|E6GasvCuTpiqGIz}>^Lnf3O+I|#7I*>w%1U#Q#p)x!f-!BKT z4!TgYP7fljv`bujCWy0`kF&1~$R4(#aYGM5`n zYzyFj!QYm-^7l>VFVZzvxSeBCzX;yK^URJ?=?<}P;qAz_5HDv?-on!?34f_2J95~e zdYF$%$YMSw6pQ&7Pz3YQqZrJ`fRbQ7dSn%GU_N>@#eDP#iuvdfLd-`mLNOmbg28LvS(H?0DUvEIchN8WiCx#C-J0A?Bk9V+!-JV}(|gY^yQb ztQSEV)3OUT`=)%Gf=cP{BYrKlfsFL31h2{w7A@?e{Hio%*U3mqt3-p<;)?q zw7f~T_h||GJ`>vWu!XmKIb1tYi)n#~tg9FF_P=5q5L;}XLV+y!9~OUwmYSron2!tT z$y|(kkZhzz(~anTWkIcLYFr=lan2iCd-yVe@$-$H5OQsbQsW zmUb_>N?Py{+mxFz`6aPMpG3;Dnfx}JLeFmWruUK`Tk0>RG^H-Z{cq{mQk;EZU<`GN zr9uOhvxz+8fx)%xa_7BVaw9wFgNH2n9D9iKtU}DkCEw?cNHNNe;N}AnMh@U^zI__8 zZ9QFU)hGKfruk3cvE=0`K+msGf_fd2)#cBBo3MRG#UV=QsDqzq$%VBj@k>Y2m&B<}P zt`bai6$Q}8d|du|N}u--4~bA$b57m|8cneLT8f)IjU!nxAD4fKqUPSqv2;+{LGdvk zmw$@FB}zmwA6eF7ZaL_#beNAT?#>D3;}Y)O=xK1VGOjClRY2i20Z%8O%rfV%Qcb%~)BBYL^Q*@XAZm)hg!W zs#G0<`54wAn2%`^XGGAr-TOEvQY3@<7&Z=LPEX{@D_rANO)@%Pn2)R9YfE#Ok8753KhN^S z$}yOa%-)bpsivr|IOZcJ&>CC_hUDn7x#pR&ZI3ajs>a6?h~kd{8|tl4B!!rdYyL!- zEBDbA^Ks2Zlqw(dQKbqOgwgEkKI$!l`B?K}Dw^aXJZ!toIbPpF!pa>yWjC0Qt8fB6 zn8V>cBATuP=3~xcKGxr#0p_FJ;2~FPU!TEiH&KHKO$3O*YfHdrTmZslKTzs+6Z0|0 z%Zmt|f#*nHD#^($7hcE&Y0`9*SCYg14g{KZ5c3hHqQQKe@J?br=FCMlB&a`6Fdxf{ zVLqPKkfXF4OdIpYV%lD^OZ20OKq)*C%}QbgcQj|2NQzS{Uh7w~(av&y8f6MGAJ@JW z!+TbP(VA!B?3))-90UX0glE0XZHCTT%7&O}9$-Flw4fDsC+E$4+?3}`gZ+p?UWLPD z>?i@AvUT^_=WglQzIitv_pK|3M03q`_EoC`1?X`Pnjy7Yd)CgUSI3y%u2 zxd8K#>B=HNC`9X~=X}gZM!^5cx?fZnkqeOoO>I8rBT3mD(9>+Y)y+x`VZjyI>|6xs zhiH9Mkt%$EHd8?X=A+h9fceOh%W^5qN2xAnN)0d{8H4?(!F*(>T$}Zl$JDGn_96;% zW(x%Shf03m!dh z&@*I1TQ=;;?M^TsSzMIcVQ*6~7;CZ@6!IZ5ZLyMol<32TPd|o~xdO~bkqDhPEaabX z+?~f#wK13TFdt3xJj}-pcm;^5;$c2AO=*O0F&!BUO)n_&jX7?MHd^~GnkJF-N$@%RKa+r@B;rfQPCbv>d zQoJg}wk4(Xnv93Zc$*fmxxPx_vR-Q`R+GwBfzvg>eAFGlIGB%&Y_#PV%tz?-Cf=y&{4*7p zvwu+(^AQ(P9pyox50eOaE-C$ zDdywZy&_hds?93539^n(#J!%0uxXCa*fCwE63oXNjX8{!snCb*fDZZ%*n(?7CTtt#0($O^mVD>;Mt$U6xUw&nOPv1}u`1Y?!j@;~q| zCYX=R2}{jjK6=Y1&=|H>;1(NK_pM8Cx?wje+D?QwE9T=CxZF@Q`m*(;TxWo4-hnE$ zWFAn1u-yOriqsciK5qRXn9{vPbba?SE*jnY(|oj; zkJ~=Q=pnN}bnDws-PLvDu9Pgw-EZ@jjcz&dV5W}=RlRrJpW&LE#(X@dJSRe8R+MgF zpCuD^oRrZF^JH3bcz4EY3V3pAdQ<#t4|Hwrq zy&G+h$}b(=Jk%>FS#T|#^K#q)9~ngda@gqViMBj8V6Y@N#$yYZJtiL451}H>zK1Ew za(uLNwqE%<=jCJ^Qy4=0AX&zX>0S!CsecYYmoTpUN89sEp4HL=aLzy3GvsrwW`oB1 zM*z3fizi}e14)u&?@9DQ^Wdh&j*dsmBd%$@mc~ZC$2+3V`*iQL+Nic2IR9cR@i1A0 za|ho3F#Jz8e4hQW&;HOor26i6Jl9fBi1-@n<(7)~|5$T%hqU8wByi5voI*SPpH1?9 z^foy-5x;C_6z!bj44WOgbD}dg0dzzOXNznL8Lm=A3k3O@BHDd=!USiji?~39+X4I` zDST`+Zw8Nz9_}F>>}RPEU+2F!{-_;CMRq+WzKy`6Tf7Q#K3^RG76bl9@<`S-zEJ5xVPhtvKf*m4}w~!Eiva$dU=S2zDpkdjP&;n_73m~G4qrDL3#Oe z^cY;pK5ccuGI{*YrCTXaG^W|CvtD@c==i43_ot z_U0@g>drZoX$3^BjtGdF!#M;RiO(wm5S1Hx1iD^CKvdbPC4i_qw-XSR{!8bLgCA`T z0a4{y4J}{f-H1=nd>6YAHA$yEkASE-_q1dW0a4Sw%*%JKoG|K2Bw0BAe#{q4c9t^{!*K?S8cv0)g>4v!=!$O{)B; zE%@r+wMz6q+BuU~Hs}3$HtrZXa%8OIPmYXSG78D39Dn9QHi^~;tb3jZBirb(nb5jL{%>!Xqw)s(CU>-qgB;=5-ZitLH$N=aC_e@S?CW9S0QfHb(G~zL4<+p=1a+CdWj{QM91y#hJ zBxMeu14S`*GB@UVX%lSZFwj8B`xrYQWF>*YT*%<`B`=EIN@V6Ic^_lPh@*$GLkhMN zepfjGa#`>p^AmO^Tat|`EBT{V+H9!WU!4kB@F0gnF{u^Xx$LX+KJ1RE6$$icHk%iw zBdq8tSr|=_7=#V%4hawzCXmCeEG`1pMpf=|i-X-^t6pt5o5dXkVS4tX9&fWZVH4xS z?vysy@Mv0KcjTAC?#NGt-LcdtBfkW8M}H~oPPqrWll>z2RN72Fm7b~WX_5dQ_fe8W z97;EnJp*_uX->4umgm#3JC;Wt?2Zgg-h25`D}~Fw&NT?2Fjt79p<(yOVjK zBt|%Z-N|iX)@?QiY*an&wG$`zP3R)Q(=n>o^jK-V0GC)G+QjGHpQhy_D)x;KdCtroON+kj8PX3O(h22p#t^=!DJb~Sj zRV?qp?vRkr8`z!9A}L$p5PaC3^0K^x-O=LBs}m`o!|7mmG7nI`MI)9sfE#iYggjIm+0=pAEOpX}Xo$`Cg5sY~`JRRmA3c10xp_rGyjr0eNtX5Rn9}_9G zCLQ$|=1s-BA!LS>5?qgJp&}}3L?~>A1iGVQlE{UP@L+csLqRsB`Jyayuj1VztmS1C zQ=$9yc7;{Em2b-%EF06zitA--BUdo6yo}oWL>vISx53#O3{(Sd3k`~C=Hu^t zR+?6TzXLKfO%eQ^3KW4IP6~gARH)iC{tnv#l^fvikk*>)Qz|in7`gy|Cw>itwes)C zjY{~bgh!SCY=3-Jn5Da%%DW}E#owvCN7G{OLveqAB~)ch#wut>y_UyuUw|7hOY>k@ zg1^I-VYh2Y9{x_{b;3@GNXEMoz9BGEsBmT*{GG}#2}6bIcNnofi7;n(m%>S8|BI!}JG|2>51=W0VnxHNwN+A$1T391ee{?&Gq< zke;BPI;?6@p6+h;nS?KL8jxT%T6K4D60nj4e}}XRrFp5U@{kIHzrz$Y`4E4n?%R-G z?q%v0%96&N8=t9Xa-?A^V0LqYzY||HfkfV8sN?{ZoEow|k0^ItOI>)|HE^+=rY zV)oa4Q3BWliGHr12{ji{GEw&DV-3Th8D6j!QW}Pn~ih$JHko%VB*ByypO*lP8uJ7N1QZ5 z7(Q?k1qp##pdNLg)!k&O(O~2G7?j3?GAMZdZd=}XZQkPVG~yEwuH~pgq_pw*m@W3GROxnlo-lUJzjE~GyJW7yxtn6Tdr;vFjU0Mf^N>ijRVhG_R zxC+HNY<}nS<{`nPk5J3llK9ZUL*{AxKE{aOSLSZFX=5JI$lo-XWhwLyl@xBHgRe~& z*=TVe`_T%40JxaL$=kuqPi&n@>)Ez1vqtR0Y-}2tr)eV-TrE~?!>3i43y^u5Zm`)s zWS*u^KxH*uME%utk5O4oKTB#d$UNu~lT5}vJ&w)fbL{|x$UFtq1ewRyZP9RK=1y+( z$y~8z5ga2wTTx#o$C<#XMywsVZqyn@Q_jo>06k4VBI^Tyo+d)v2`K^SnT+{|Rn`aS zVX`XdItS1*8BX?EA($n9@&RuCJb<3bmvQIk0D2f~cHomm^2xGF7#uhN4l|Tx$V2NPtxWO@ zS`YK*8MH64NGK=4qV+IC1ql|dN7fe-ELsl<*alMQyoc7%$x^= zgN9C2v>pTnt!JXbN+2o0cS^hx(HrdirngOmCPu#;UlggqWWfFq5;J{&9yS7YOcZ{k zRgnS7g7c`r;PQu0$crCj`pfbTt!K(9>ahf^N3yBtbfT75)ozUHw&KSpp?)k{&-9mx z?n}^mINF_}q^Cb1v$zOaPXXPc_1IaglhvU0O#h_tnKaSB*aEd|l#LBNQ|Rw>IJmK> zCTKm{aO$^~Yox9;T2G1?qV0+OQj1SCc4acv9GdRiXf4yzTeacQw4khFY_O;fa~d-J|VHW%tWn$cBzSv1McP@dp=XgxD7g^{LcJuE-UTeO}TE4U?f zjAS;4DI+yZeIST*@G=rPeSZ*{Ak9c@%h!Tf2Rfr!+k!|d?V7PXh_h%t&8Gvhhe{*4 zTDya2`Ysf$r}d>lgooBMqf6FukEhgdKH?L}SW+i`nd_nxonWPCb7&@Dw z0Ig@{1qn&#%FG)<5tTOUd)i;#sZF8v6p0{OPZ4s6)}xG~oo)3*g4Q$ZG<8bb>^#;0 zMeCXMJvL6)017UwC#E>x8V;Pw=#Sjv<@%G&ABIs24xq1`&t=xyPSA2_HnzPQR~p1c zsus7o|Hwc#Z_s*J9ljl;J4pu&)4 zZDdlv$ZFg9e!+4TQR#OgTiboIIcs}0OTu4j$vM^7u6k%aNywu0BovF*6Ho-L$D}0zaiH~hG)3$22unA0Z^JVR*TgL$T8|f@Xgwamp!IkZLF>WxOTCZ6m)T8V z#hhv~1c&-&`|Ad!?bX7f5fQ#Zv>u-vqVvNFCG&W<9=KQsq z8caOj3=~ZdKV10Rq;R5Q!q~SX?UYmIuWgox*3Pe0ocz{Xs*W=ikzrhw~rl;XIuEfH#_UUaO_T>nwLud1?cL z>&%xqUuU~If=81Z_3i(}9^&+?5Ur>EK5kwVU7{FTPdogVIK9Dy6l?*Ogi{+mFtH#N z^RV5=v5jT4qV>$faTCsM3hzhHgZl=jHpS3-=FM>jqC){%4j?>X%o!5!qBA7mS*K4Bw4RUv zv>u*YLF<`+p=5GsJvJn0JzjulJyMuv6&*Hz2BfL(4od9&VIxiR z@#0g2ZT?F{*z{(@d`$KvEj;o`;93c&H-7$yY-XJO+Y8ikK(QPcU$=5YYmO-9k8$cD zY{hB*3n-XSGhPDON~?gO>+@em`9lgnOKqO#=w_8*qE}G>eYBqWZ=v)B_xM>?bKXbm zng3pjo4cs5Xg%{kK~ZzJ{aHFF?V$K*J@ap-aETI8v>ukVm|G6IFdbUYf_w6U)+30a z+)=n#8HxqBVh|QsXW&8@)#UI^9GZnt_|@j_xrNrD(Y?U)`LUsOXgwV_7_J(9iH3{|(c5yVX@C?AZwJ6Dp*T`(r zWpnYL$hJN6KsK5*{$m$G>sfLw6iFdk&tf@f6D8E6Xg!PJug>Ouv>ufzTq8!av$$ka zl{aWTrFenVns;bDF|Jf@&KtCz_@_LRH)uVJeu)F_Jgz06gb9}ettW5MdMZAj0a}mT z_aRqu0~w(8h#EwwYBJG!z-WioQ$B-eJ$c@FM0~!UXgzsecah7PAXWbt$}7p^HV6U} z@UrDsB`_5YT2I+AqV?p>{Wm120JhKDapYADt!L?!Jg0zpjcoQ*JXDPH6?P}5)4K5!2QnOzOJ9ehXnlE(K0<<2jr2wr*Z1H>wtw*ZMn^FU`9>!olYS4NZ zD%WPc^D#ARkG;0SoY}&GDkU3$Td+s{$82-iV{ZqBX8GS2wj-*8>R$fK!ic;>>tS;s z0|8nOX&K48V(Fvj4SI%bXv>Oc=kY-7$cR26XK_(}hrN5jV64ghypRu(X^WKvq(mQ9 z-0~Pw<_pkzL?YCC&O-hLhvRivs#aF#J+vOvJP)mB#Vs;bJhUFB0T!LW*#xGSlt!(- zveiucm9T$k`mcOXO;NNSN0n#7AzDvCoI&eJ=ssGHqbgcYIXz+tTF=UrvTt4q+YgO| zoy|sm&JS3dZ{;_ksAz{u_qgEW+T*GhIZd^44#&?2SzU>x6lPn9*0b`{GC}m#ifYi6 zuykOfITC*1Gs#f6E8+TvwdSGqto)X2TT)7|$>=!`t!MTAyhZC-y`K#;pgRyagVwWV zB3oz3d^5f&jn=b99@>}`(0>N4XN@e4zDnV;UTdeJ)uggj;B*bpdUOXc4q6W*8*MrI z>YqBjiT7_-y+{RS^{W#RsX_gI4^sr|ltXUv5cFcgLDDVY#V{1NO=C{#v zatq0j99j>F*}R9=Gv!Q*7Pjl##cKlrYKLJ}l@AazR#s!IOocw+0`$?E`{enbMeE_V z5f8{bv>w?=Dke@ay@dhA(0ZbuNt8qDS<{J;T#Kb2b8XFioNH^XziTc0Kc*au*0btG zOkkhCtnD$pT#L6q!risclY(@Mxb{*S7am&AS^u3ED4K4)Ubj};3@N;ZTkEyDp5Upn|uE=1r7DO4ep0%T#xmrIa8`dxuhdgkyFweH4 zXgzB$7iS9-AO|O}jxG0)^n0}?C5C7{^pe5j!i;9;l1A&{{D;$}XbP$W3j~3hs0bDm zY_(u67eVV;H#c7dtw)ZoA|AxAyNKqFqV?z*yhH2J<9FIT7OjUXQpcVc-5ixth)%SwVv(oRjW!i53<6p`+DA>_3%zYgl)N? z^+oc;tV@tUH zg>s$2p!I0Uyx(BZdZch3qbOPrgINu3$G|WMpc$@_iq^A!6}G=95`SLHc3?BT{`S1Z z=GkGgz=y+27|jGz%z+u z$4Bd-?vagbSBTalcN}Gd>!J0KSfvwv!v)X{tT06D*?>=llA6N4LFYHzoDb1@HuSME zsJ44Ec))cg>$dz1@w6!@%AxgaY;z0g#x@q>q4jWa%H|8vdbB?dt!HBwdjbL8aI@)0 zs>O&oiRg{-dOC4I z3abmrSPqJ!^>ltzRvDYqD(PfZpsvO`W6ChPU(kA(6^o5zO;*6pn`DI{RrZEyv>q-K zSY@=r6k1Q`7rDr!P4M<87ohcQdL?d*3tCSM9jqtX@-%_LlDvo3Ljvw1CTKk*l;u6N zo=vYL4zHTZ}9J(e^ykqV;V07kh@h>9uT7fYw8jh_|9&t^FXgxfY&ii!lwA!e)E}Va{m2Wn}*@e4)4F8J_pKpI$WPcnIK~>*<*HKHw z2M!^=hWau~eU&HbU2l}Yrq^-`b-l|b`3QQO9Gr+>wiEbt3!Guor8_4&V|}!qu5BXQ zLWZkU&M_hKU4J5?-B*Ct)3slO+e7Q=8W33yy!p4rr{{Q>HG9R z*0nTfwflthcL(3O=SKdpsp1gfk z(M`0TyhWs`pHH-&v@i3zQn~qJ4(A{xe^0a?p($F={4Wr#hb=W|J&Vp1v>tlRww}hd z;*oy)wn+C#{*_AiC_A}mvi1Gg($P06JJ00Vwn>2nYwLg8d!(8qIWI;o8cuSsWljdT z>%pCOTrI=73*s3r=My1ZwOzIpgy>;j3&DxSn891w`rmqC)&#d@b3+FPj`Uo70#En) z`*15h+IGEOua|clP`fBZTY|3X`x|L&p6OF*@_D%cijVM_OjUV6+sJ_$Tel55yEfl< zYy@vu$MAr#=cpip@IgcawS-zs@oTV(K7wG$@y(X~fZ#JaNKHS^lxyRNEK;6DrQksAG*hbP| z3^fh!L2uuyY4A_^bAcRS-mphML)<*LkxOE1e&cv5QeIO#g%xkVQXYN8@nrmdSFJb_ zwnGidH4Jb;($7QO=W5#}{l`rD`JmlZd#2EClg8t5bGbi)(yVQ5tmGc6WTm(kpzbx) zPG{EcoGA{TqVD$EsY07-Xpn&Jb+wa()?sK1K-*P2Uua#1HXF19wQWMfG04>QD~ASn z$aP3xhV~foOTej~(*}&EHOO^C^ZJ$Msx)42??y!45_{`2D|}rb-Y-h zsh+bA`9XX}*>ubaRpdxUwd66B{0fx3r#S|^6O|GctlbD0Z<6)-pnWsq6;|+YvPGb2dFqQS5F@Y1SD?sG zpvccQ$17Y@Smp}3k0))6_q2HPC3?7JfS^;X{q7dNNQoXs+qc^4pxuuLt-dijebF0$ zp!b&Mcq=cd`7Ph-0_f9LeHn*~`g>aps#bm6V%|q=pVxv%N~*TNwmww5ePfFYI~|5N zYCA;Zmu=|wb5V@$c<_^LMkJj8+xuE@sHIOm=Tx`!;{-_~IXQINZ+{+ocePnQM1=83 z;~22vEX0P#&WqlNzJ3~g{dRMFHGG^@O4~1sccX;2`z7Gf1s}shcKpmYMW=gS3^24Q zEk@8@gJHbg&v&91n+QN}^`M>dw)^;ODWOC@ijQBg!d2AJMpD3(f#k1#Y(dqruqUhY3 z=J-3xyUFM~0y;`i7<*cti}D~r@^Dg$!c0_>Z8K_m$Zv4pk)x;FWn+|)2=D$@RQMcJ zc&s^oNItcq^*!WP0I9O?gvIEh=-ReKGvl}dkGJ8Nz`g@#r@S-G&OYGRupc#Ch8n&! z3#qkFix0%R8L|bTQ#Pla4Q+8eMVQ6i59+XD7`FcM=yY#8N`fNcx<)yq*lvF~-rd4| z0$MwAz}CWW)cwNYU@NrX0v4~B<>JiVCbtSInsu?fCHeFAkWs8n&QGCK8#o3Dzag|u4$w4*V zz&~$#IRCcraX9UVAuUvcctGC3J!=wL_&C%B zYeNfl@NwAN!A=%p0v~q-b_Unr5?t(2Rv7rWOnnMIP8ea~Ln@~S4q~L#+1wasr>x+= zL-zRaap%GeJ~cW9z&-5VWY$<7dGK*EGy#0v=ao<6!^a^3_%=R#93DJi#udQFeN*OK z5%{=nnRgC8?pbQt7sAKEmn(oqnd9ty*}2LI4Zu_EB)v>MB`Wr1V}1%!WA^YU?pTL8&G3I ztr}ns)9EtKj?T z=(Cnf&C3S-!h*ep6V@bBpg2{#N+N8JQZZEMxl$og3Lp1p(tRZ=<`!8P!pHG8VD1+j zw|uD#hza2OLK4%0mI~Y(8;WC${3{`5#EJ{sDI^a*E?+4FWKv?a7x=h*leAS6JNUTS zXloJpxcd_LI8oy|uw2_p@*aE~SFr#-4uk|g4vr&~t!O?0d|XT7htTEH7%Wmghtt8w zWh=oA)qUl-DNzU?_qS;*IK!IqWmMZCP8`I8IoQkqaB)!^a^8ip|FOqAYW-;${)na=|jGfO|lx z(ETa+xKCOrHq*?C&yigU&jz!>!N(chD?5$=J`Ng`OKSgM?djlYL614qXTz_kIX#<*l65mH%eAQTcuQ zm6IcvxaXm5X;e^)(@Nw)R zCW0rYkm7c)Ik+@EYK71U>ok|Yj zC9#%97^b<623>R>17$6h7_* z_WX5sVar{gH}G-H<>2GCB2PWesWIW}r%*lC&yYafJrckkNc404Sx^HZeB2H0l$JXK zC;`1h>JH;{^TW~h;NzByCJ5o<*0an0Nj|r8yeDYk;~MDZ@ZjU7usRPut^pgqG<=+J zo04zggGU z5#;!)yv4p(4#*QP4byY-6iM&M6W@)Vu>=RgR+hlWy^0-EGiu_^=#;d@hl^t){BUC# zCdvC5kg z_&B-br!8j{BBhPjv?9?Li^9iE!hEnTkS5u3SuFDld|Y<|A7>hF;p3!|06vbC5I&BS z#|$6WEl1M)Oo)Yx>oYvC;pg!R{-oROGyC0J_MCVA?yfC8d!M~)OAm1eqT*4H1|Rn- zfsdQ4E@FuHB)AI2Ic$C>@Nu_O%UCJoBRvm3PR>S~CL8#;eSCq3{7p+)mg2)vNg4RK z6E<4h$9}YwPB1e)krYYJ=53u&PX_#sZTlglq6zyj8(RP$w~qPIzj!_^c$nHCRZgj8TdH#h)E{T(XII8gLVLZPx!bF+ayA`amAKJkd}AT z8q}A;yNn|6aa{rer%XW7$)z&Wz{&&tW4(QcNveTlODYdO4)ZSsAID@Nd>owYA$;5m zxcT$p<6g_1UjiS8U{UzEY#!}z941TQ0&|MaX(#yFAmmf72291)vv=%)vkbqA!N>6m z=ENu%Q`OWWF;m_$E_~dXGDc4ZeB5UeXbacBDSZ&EsauM}$MLD%)Qe=fRBzIhYi&U8 zKuqL~jd9`QUMmU&`oqGbEqf3Ed~~aR&nUIMs#$e4NpU z7CsKkhYuh3KPmV)+yqnjxXZwh=I4zEA9szt_S1ZT%EReM3m1gO5X~06q>hA3hHG zL-;rlLijjj2;k#neevPrNWeDGf>Rmf56qHiIv}!+0{acTKq(1)9Qu_(LoIyVWg&dr zwd29Zt+()TmM?mojmE2p>nvc<^!0BYfN{sP|~bg^vUu_bM1^ z3LnSPJovbs+>*+!z=EhbkTY5Enk$1yhj%8C(@zDF%J2jp@ctk+1s}IQh@{<+WSkkq zIrzA11F{E`CXH#mIEbe2!obJJ4wE_;efglwIKJM3SoUQ>BTmv6B?BL@tl^uMXEQP$82M(aGjhN5l!Ng#%v4W<5pmh=E%zl8U8t&%|IDoHy36>Hco$=6i!r3 z82fg_Pr2Is6?FwX6dykBi>Pz%Of_$$*2dEwd|Lt^H@l1ppJ7bPwjX;r{I-}Dh{(En z(T0}yu?>h-l}Ue?UC&iO37t3homy&=#=*yJVDuOKXgVDe_&8&67r@7Tg85(&lT9+r z_)F##z{l-OIn~5YZr^G8+m5TzMd0Ib#hzEv*c%HUw~LB{cYMt~-u7p6?&A!=z{k<2 zPzWD~<_jX6zIMcIXnyje{nOI!_Umb~w+mn&e|#I0UlLm&JJ9ws;)kZO^RkjpFQ&}1 zhu-u2TUzs=@uY|IaP|Y`p2yX+yY{sG(q8tLhS&+}sJ zaWH}Am2vYTM=SCUi(mx@aCqK#opJqZ&Qv z2;t+l^ROoE>n?utmvGcDm z(lr0o_Q#t<*z{(@d`$KvEj;o`;3f&EH-7#XZDySP7r@7TiyLZlmN@?^PCbOJIL-eX z3MSNymmmcn_h!l;QutX4;Nz~R00!`JAE)#M56f6r3O?>1C~ofMn1PS`SBjbtHJZT3-DctA?n=YQ-Bkb|cNg7IP`m~{4y6^q$1ToV_&6w6+(S|LxEB_{$02VK z__*89HUX4N;Nx~1__)PbjT87dWVi5fm{N}jAGcd(lP;T!kIJ?^TlpB^<8CSrA14QG zqJ%7b9Q@TGe4I)Zt`TD}vgc5oEPNbLK3YBaI9#c8@Np&O9(-I!g9jh?x&S`z+nE49 z?!_4&K5l6NeB9aqK5jt)d|b^0l;_~%)~Dd(PN(7HRy_iI+_GZuaX4Ewn=FHy0iHDc zDLKXkIe?Et(xUKjNL^JFKJF&y$EC~6qOPa1U0Yc9*`xZHAT#3_&sYIF42b zAEz5X%rw}KDCC3Vz{ji?wm)@8}!V;$BhK=aVXA*k3-PF$Gx$bz$%m-uat_& zY9GGw7*ZC2j{_G=)O!wp#fty5@Nshj_&9Bz45 z0*Z=uDBq>oYmcjv&p)o*$ngu{LPWfj85ajQT~ zpb$Pzb^znS$00HWAE({Jpsaem3e1{Ge-!X>my1{tAx?iNN=RJqnFwnXDTEy>fRFn^ z2p=c6kkasRB!=*DT@T#Zt-ot6{6A^gO6K>nZtH<>jU|<;x-b>o&-E+?XzWyGTrV%__#}Ds%oTxk3)J) zRVAh1mFLg^#-oqOk5I7C!C{l%eo(vMO2lI0Rw`ABR8yA9n()bqF8F&4a?n{oKLF z;Z8yVAII_(KCU^0k3&wh+rq~s%O_#i=Hbqpz{l_pCKO|eB9R={R*={biPM2>8`Hx zLzem(Z`tUS6Axy>Wk6J*-n-7bxh5CD$3b1Pb!J8Bd?~vq6uWk8PQ%B&mtB@5X9w_c zpOBSBx{AfX!N=V!s|(3k4vNFaeMwdso3jXf9CS6-8B>PQ{R$t4tXOO$YqA1%-X$vx zsk;d+TmT=3Wdf^=R+xs5yN8QR+7Vv>ANOtt9|s+*C)x@hhhPXF2SNxR2SNxR_ii$d zS0B$d@NsV-%Y67aq)_-cJh{cVZo)rl__+VHXULmAzy^izaUe;K6nxyyN6X{G#Mv*L z;Z(XPd>rT&J`U$!Y$b4uWKYwDyM7G+rwtF=A1CaO%SBMtci;6Fmijk7_05)gy(j8j zAC|zT4{!=~eby$q9lhnP1cmkz!=@7;WLumIrb~BDbjAkoar;HKg^VKs2OoD-MBAJ& z!SU}RPKj{)@Nq8|Sq{AXT__de>s+?vt_^k_QqNJ`OE0=TCZh2y0T;t@2C==LUnl14HIBm8Abm5%@UJLiji?7moox z?j=qITKKs89vwbzRG$o^EnDD^!#xv)kGs={k2}wYk9$io__#yG;N$kC;p0}t0esxW zA$;8C0{A%iG7I42zLtiM`%W4@u1nzKpptR#_0dtzPVvZDPS;@$U=P%=x*fn|AFeHj z9_KnL?gZYu=*ET^PKGV}_C^bk;z7ROcqZ7k^*XYxP?sfe^Qqh{e##;71}N&))&~Vh zt%=iXRm|z-tekB)0|s^LgMj~vXF4as_%Ker?SSUNWsz+!X^v+eg&c54v~4a>kfy~8 z(P?43rcc_&VES; zP!jTNKchK5+m!@=&hDrs-da$E@9v1D7zKyw9YisoK{0P73YP^qXE6w~H{A!&u9dgM z#Nit52HJ)00^_|58&@a>_k7d->FPXbSUugm9;=@M=JXY`$GKHdI(7mchi*ZG?g6Gz zeRJHGYEWOQLFkk`f)dWfdtKeAacuk=og*i#_g>Wd3)K6{=6Ebs?^vo{yh8TtMF#t6 z0dfM!S^%c9E-51n&RgVn^%v3Uiz}PsQ>p4srK&rnJVkSH_Z9yu5xA!XU(d$Fe(}x)|3CM)0qRB$5+e zpmrP?6;P*>{KEFhv4to5`dX2pb=9IJix#&oJa5z6G>6#hH3 zEP{;{e_}$(;E~}&t+?49m1Z%sqBp|Yi9U>%|oc z1~Aw2Wq`sk5lv--_PzwuHJ1%utmzPFEyD{UN+x64qEZ~!#_u1e_@u;q*>Qk4nOs$6 zy-Ur{+Opnd=4V4$?{f3AsjPQ}`Pp0sPWSa58|C$AR*Cxkwzc_fYxmo>Aj-x091AfPnG&m61pbkI zG5nQKZ^wqsx92$uxvVYbT)f&&%D6-rf#-7al)Gd)OBuxR(V$O4VLFm9SL1()b1~Xm z0(Vcf1o8W-n&kKEYN8484DAWu&4OS3N5=+^4BKu?Ydzt=xC+8QR2$FWQ`VA8;@$Xp zP!sJr13L=&i9h(?u?TLkg|E%VQGDa)qtk~Q@ZV&L{uuF~7wvg`q<-7Z^IOl})4Fug zl9h{=M3+OLqZdiV*R$eJ<KA(_(7oJ?bav|;@A3ND` za;$%Nw0B|u;6a(}_@GyZfKrf!Rw1y=4m_Vm_q-A>J~l9TpabX6{Ugv6NPD2;+}=Y+ z2l{(c4Mi z_dz)iV&kmfibK86JtFGu0c=WkUh&s)I(}d{iQiSZIWEBxI06-d!eZV^k5`rAk9s8H zZbvvDC}&|!!@Wb)Rq-yVNIsk#ufonJzA9ImPK#OIKQfF#GwF9#ZciF^yl40bA|h?^ zYi-2vv7sL7ZPDTy_r^G3f!FNsnU=X6fS5c)%^UxhwCydnNF4DW89CL14>$FbM<)8M zHu`Yy@qWA|9tJW|KQ+IJe_IfL00KTRMm#bT{r`e!Txh{S49gaVwePCj5yTH3IU?(l z$^7;p5>qEB_Z>mh(7-UR)+Mp;3}Q$7Pl`Iz{$3lz!DJaqs@zq1ZV)@v+ovzxwXr+H z$YX;^OT-9I4S_(ChCK630|y?jJ1X< za0w=9_PgF35abZ-=y0JE);*xn`pM<&!!j8@WXl(23apv|80tOJNizdKW>WxpK+XAq z1K9o833_9Z=p{+?Ocjmm6_pS^Mln3hHzX%|Jxy~* zkY)gyXbhSN&2lqw=M{GbX@&-dU?%qU0z?^afjyn~l_1&4L0lY8C)!ncAV^dsL4S~7 zZ17@lHh@>B3Bl2`iI(s8NM5Bu?> zKzuXfb<^aS5D3AMKe#n9;AcRjg#(fn{EIc;)n+I?W%lk zI^OH&cZ0az5nx^Po^tWKDlf6|2a1858G4ib!63SKC|&$7(($R{f0d38 zioY_l(S~!W;;+o6w%%|hS;;Vw_g4SPIn~qNv-;jXp;x=G{Kj>ckomTw$dA5b*I3Yg_a!D1)a;~L@eRwGDa6c-#&g$n!Rv$_K(4%b@3>xFm)GkI7Qm$ zd)Vh&zsLSYrw6K^5`BJ{ef~l@`df?t_CFQ++)=|m|IbB=ZF6UT3dKXr!tPhj?Rn1ArIuLCDLu;V%uvH$VZ{zdBbT+xM1_?=InZrf6@ zG+^Hc%v$Kucxn=7))81E@hbi~AF8s9KThGv)QP^sOg^6rfrKt*a65wbKqOBkd@Ul~ zJ{Pwrm{@KEGWGd9iz)BfG;oM36malMN^?C1w!5TM5(|hf@?~@I5u7}6Kx{vR^Ep_u z@}2S+p(a#6Lp9y%FIaQUYps$d&%Mk7aVq0cFs>FGIt2ae*H7+`2o$qfEE3rnNP zg-4TPLs1)uKSs01hJZ2H$99{3fB+ASA)tXwaTs`RhJq%NGIT)wAKFZzs810DG?j!? z{c@bgavPL#BFWTb(j=5-r3{Sf+dF1!jqtpTt^e`m`19-1mZ+)+r%L@Naqk5y!Dtj? zFf#aL?ZIIQBGLWA-vgsZ%-IuKU2;FJL*ViI!C`&}nXE3E0ZK`ZFQ)rW(y>dzOG;2W zHU%g=ivJqrzQ>JP<~>p>{Le_shJh^y#gm-DEfsJiXcUV3b!OI;G5MXj5NBpFf8ekW zJ;{9Na{Rd!Ju#8^hhm5^8RbbY`onu}7vpP8s44>}KZK0AB^5`;(MZuMEwM)gC?$(G z?=@^4Ys&)h8&@u})$)ggMSkpBD>_mNB>ep&zn^7DnlI-N*(*v* z*q3+}winq~L$IoF$N`rZiBZ|qTu=YVh#VZS#ccoB0THMix&zK3sMxj)Q{uDn_z{w1Kf>3C!8(Lf1H`bR#YqgdaJ}-e*z7Xg0)kwP z9vDCqL-U~p1O&MKMJX~R8ss&3Bp99<#8gXrxTe{^{e zkN;V~tMT9vwI9d+%TAnK64t}}EKtn%QkYIK@jjsZ0vAvPjlz(bbt`013EWm@F3ilj z4HResdtO}e_ROrWLyHi_;us|(_Yyod6avppE8dft^`laXR2I+9Gd(-b>pG`r_m-~n zckk(b7N94QBtC^v=GIn*xojo{ZfiHbb8#UHL*H1jikqG37%DcH48thd4}U>)I7kQa z(JVwVR0DZsD>7e^5gWYNVu>*)=6N4pC=D=i$&Yc8Psa9g9%TW^-ij(l2ILc0*-jkh zqtpHUDuZV}ZdSGiqleil!+^8#+>K62*ud?jfZjDza9{DOc)dJk=6Z$CFbfORFG_Do6g@>3EeTWEJ zmzi~=(3t~66y&%CxQCp+*dSE2l}=+Ip31-y1oA({53q(i5c0iZlFI3jT*D%vtz_28 zsEo;8$k|Cv&M}r`I=W1?1Ywnc$q2o?v`H7XikFM@mHf$x-i&0p|l6zqTZ^5qqd-aH>AzkswcsGA9)!%#Y zn_9Qxv+>@BQ6|XT-Z08Y{M#!T?r4zfJ{5O>2-cL#{`n!=47aa9$+-P>$+Snd8Gft1 zG)`_04-EHPTbO-1dg}3XIzIM2b=Z_5hH~W#$c)9+PmnRe?}7bMS`%OX%fw>fE(wmN z#F}VM;yi0&HK*OPtTk~dR%>HTERdPR5Z9l$n-j@guM%tGI&MeI6XqD}7Pd@@HSsK{ z&d{2GVz<@=AN1sOi-LSmv57T-Ftn?+CJ@4+ZLA3dYK=9~VXcYl?3VBmtO@Keqmr5Y zvksd+%;p%m5^LfoXg0R}#+pDS><3K+wI)D714*#f1Sn{tBr#?HC}^fo)S3VRO(nrv z6QHE52~e6$nxq))GnmU+6G-H&iJx4KF8pR3YvMWB+c<0D0qI0&O*~LwP0UPN6Ce~= z6W6;@%iswpSYxaSGz@Gp)&#Z?;7Hn<_>HqB@Etm}CT>t`;=|}k=JUpyKqSWmV(42F zSTw9Pf!8Le*Y0{)@%Yw6jc)!g6-!{6S`#(2CTdVe79Urp=GItf{^0JXXH9HJs_b4V zqu0-ZCls74#Q)1)X{?FWv?i{BUQ!St*NWZdCf69{AYtToXbSQBeg*2Jfr zlE{5Zgxy&aCO~h$yVhv`Gu_o{^5L3-s z69^^N#D8GKWFJ^VYhvqfV@6QGY{O(4ct6JMeMG22)Z%?vEH*2J?tYobZzG_fXTJ8J^Z zQk^yNmy#^8Ciuk(l_FXbuW;4`9*RPUOV(Ly;*Dxe{H_I31>}wGO#9^vD%dU zL{^*ax?*kTip5z`@hzGc8?Y5OU#La8#J;$sH99@`?fQ5FT#r4+kI0ofB!HE%0dFKM zrQ*gIw#EjC#38}rs<;^jhdL{>jSY$tmR;U}c<%BpZIDM3u#7cH#hs3zNh|I_G9(mh zWbt=tjokTBwBx(c>7jj3s5OE+`hTF-h+)Pr$c(Rc;SNY!bh>}t6UPkwuIwK)GnQ15 z8UHq$jKF_)Jh9C9gSAstGM2~i)sH2(Tl6?Gz?=Z$ zpJ9A!`H#|Q|Hh%jFn`);*CW8Ejdm=uB~KgePaEyY5g>N|u=Aca+Px#dr;YZfjdppw zol749K5ewaEfO37K5ewu{BB0Ock)MDUH!);*rA*eZ!-H%TEv%nruo6u*ysO49pZVC zmt7w3=5L&f~ag z4$s$ki*EQ2^{g*GBdRSsBd&`x`KkHY`FeQTqo*Ft_P%mcWi6bbC2dhAzoD|S66Yv! z++AN;2^f|rJ0t1Fi0-0zZRJ^&ENx@e+^WusGb=bGtaL(YWTQ$@QSEY5!x_c1JZYns z=9n@dlk_{A@UT>SS!R2kOE{5Fcvx6K?kLFk{OgU z?C8{0gS8x+`mBj+(7Gxst17E288cCeDJ7d5rAhL05{i-^O;R1iVKURLt75t-Gti`| zItV2d8!?2nSj^ZMv}JIhI@~adQ_@;-qBT7JO015^egGl`5kma8I5v)I$O)E7x)AByv$>dch>!`Bx>?f0Y(MWT@Y*A^kWhU2-q< zT!ZZp*~K!opW(=*Y+1L#2|anbS50LvI@J=dYbNo!0{+B$s!J1(ZJG7O<`R!uUOj5% z>glbRh1iLiQGt^ z@T6pwYO?OU-`gu!Y|kRo%ntu!-S?!%;*o~3a5whYhx3W^xF_8GgLASL_>}BCB9}eK zs@Z>rQzdOJ+7T}tg&B!ra28`){px&_Lz}RgU83iHI6JguQf~NE;s*8?P#usuvH1g*pR~V0Dgqz5xRu6&fCO!}Xr^V|-k z6?g7VDpb!G*%drhB*y9mpX(g@so{pJ*)9yaFL*3-E}36X%o42g5~s>IBTZ)Egk;sN zwMS)7?7^7Sf~y4KNvY*)q1H-UYc^$i1iV8WH~G?O3fx5|WjdYPPx%q)$tAY|o+_i} zYk{J!2~L9XEkU#97LKlGnfMB}h=zHZ)85sO$HZxW|Ks>vI0WimecJR(tb}9>HMc6Y z?@~Q4D?6Q>>SL0PKq}#5Hwc5dkt!wGQP>ISol{UbrP2qAD-{>)J$>15dyGQacuc#D zr<5Wr=7tFrVv6gJI#c~6hDy}U)I=Z3-qNmn1!L|!>V?Cn28u{)x*B}s-EL7S$d4`@ zNpp%WgvB<1iG!e2H;!f2*v};;bt_lOUmTCI*6Yhz5%y-jW6 zB{+lIoVsM2gNt7FrdWgB=HPCR-U4g1PKilrzeACGJ$4uhnf=IHz?6RFkowoZY_a|@ z73K3&(zLhS@vWv}8;&Gh;zdhy+V0GYE_+P;_|B(LPM#i8=jS%do-go^Hl&FP@&?t@ zm@F%$ev6KB(NXXeSfaO{hon1padx9^tNe^+KH#8~Fu!4)d@$Yjl+q)o$!s=mCvW)@+H*_1~qLcf7jq=@Ry%$cbo;&)0b-WIl^qp$Zs2f?@p1_%0j&4(J3 z4>#@`+uVPAuYA3cpS}S)V>*fXlA{88Yf2AF8$efs#|~K3!6@Q)YR7{1_Sp|f@f#?I zF~0a8M-*>g@B!&F%A?jelaX;+PKKB<&hmG*B-1RkAL=k)by+#2B{Qi!g1Y{CRE`gT zX3i!j!w)Z}NwZxy2nmX6HQVAFUl;xovm zrIy8+y7H)uWRGEy_bk&1w5Iufu>8CBDo!MCJcvr-N9rvcK~SXb3bsj4+q6~1s+ zq^7FxX6Cv^#;=r|ZK<64RU4Ce)SnpnD06S*HPo?8n}|_cK7MS=II*tVt~8&bB_r?b zVkP@23x8|gS-(@>!qSxD%AEY$x91Vdbi*kq{FpMK$^`60k>RXp&9s?z{7(5R3-ia{ z5us>L)z2@~3b1Rh_j%excQ43%*B1=PD3q~EYSCf!S)nWyUCB96mk+gy%wu!kV{^HZGT1RXqb0$&eZcwhiua~#7L z7=vBK8Dp7Sz&nf%O7z>UD~-1DA9Y31X#M-I{@SFoWQmOMbor}2)ugv6;}F2I>BJA! zA)9u?{nf=xQ~sw`>SmxXm-wwxD}PapNKeTf67)Z5Mt=_j#|FX>s_VfSzHA=lC5Q`%+&mlWk~-+D*- z4kM$#hqJwoD6`ni+3$__aqHH1WTYRPI1Dd7GL0XAQYC5Oz-TW*09usLQ12K%ETx38 z0cJo9zXFWUHzNinm1iwbV5Y@>yp+Q1LC&xyP;d7=#%q%HPaN)rbd}rF_ldp@L z@3A@}3d86AdWT4G+s!k+o0)FK9)b@Uh?4Xird$n?@H4iYJFK4hV2b24=8(6* zHK|AG2)?U?XIHS9^Yc2fl3ny1(_M=D$c! zd+(sd_+Pu#Wi`6P_L8`#SHnqXourq;x2OH3rg~fm4WFsUg|;*(XhL^&_3znbyXK`g z&aK~VzS{e9(W9DEgdkB)@m`u9Yem^b-#c@vl{ev;`tn-+$F1(%xobNQ@y%VW3zsZf z+`f15!o{uqTl-smHc~SeK)^2cD5Ly>3DE|#)y)yO`P|C^2X+*~zj;77FJk(jM`Kwp^ zj*jkL_8`V-B+S*md5d|gyajSkM*>$gUhjAo>7sIW^mQw_bGINKue}Ae@7}qY3#?V{ z>~HVv+G0MbxykmT(=JYSfC{(z#KNuS#|kpH`lLp;8iL$vK<+4$t@N@ZS9sZ6(qlgg zWx19;!Xw2@Jg#}6AC=@$9haEFr>c>dK!QhTq}WVPkHbi_?Y%$RBFPVN*<&hFr(xqB z26-MgK{*|EJvxHGx*2~AM3SHIvLQWEXVz*A&yhH*R?|=$>9eWL?oE;4#~m0++xs?K zi$p?}CczErKhMV{b`!J|?Xai6+kzSVvP4E`@7voCadIO1?|9a+@vAQbiB2gq!$Y%^ zZAz_XgIFjzm6Q!jkv!i_*~yzWjC7B^9rG2WQNGZMyQ!{tySwU?WYB6a6uO|SYcRFY ztmI%IMoQRaD_aRF72%=OkFn>L?kzhroAwvVPQ$?cmTh{iRtzV>H-lE%(cQj#Z^1j$ z3F75Wi93Ld< za2OTFdD!zRd_i8(6||AF30Ck&QR6qb1O;GmKNWsKAL#HC0R|_ZoxCbNX(efJD)O5< z%!F2mS&1(BoRO9+fadVSBR!MztBKP*5JY{+3xz4yR3dp#^tvEHHOeJZI=Qe0y5&|v z4isvK99clno~J7tDF{Bv2@eO+!&v#vSwwKRr~M%b;i_ zPP9#RQ7v?aj!a$Uj0DU9MOS4ebrIbS6a1=lqee+S{IU;S4V{rgUg-l*vXaW;e4fiu z-8qY{u5wHhQS7luYR&KOG)z-Y)-DES?)`&BxlgBpyoz`A43DkLCB=1b-v7p2%@4oKI! z>b{u6GDG3AdOAfy;jD^52dhYgQX~pdZFs<%h27)DCpis9Oh!>I&eso7qRN$=Bn?Ao zCh(s$E=U#54aG2q!m4aP$z^)H>MBz}!BEo0%}zh{;QWO5+N<&S7ms9sRB@!@J+g|F z9)wfX?`yl#g0Dka%~eH8t4Qf+9BSRARiw1LtKBAnEFvhARFTqTiYijt-MeRBYrd+s zij*Ggw5r`v-`|naB0v}svnnQ8#Uw3ASs(5ra#=+C%FtyMlYC`kk_s+P{z5Yzy!@i` z-Gh@;g-?eANdPMO?s84>!WH4*Dy|g{Rtoaq{o$!YR~(S5_=)n%B_EFqe)(NGBa>Xo zD}!GStNX#(MMWITS{kDf}GCW z`5PXSt8@(~PITAst4H27j5mu{#Wy@kpC=sRcObo+)5jK-Z#ciJXG`laUmgy>pn1@R zrkY==e8b8&^e>3g3V~6s#IL678fGpl->~uxE8lP=-%xjnoWmhL3&3y6J_}%}cllWW zmweob_0W7WFvLd)d@#`X5yG+c0RakD9}pb9$vQgH59kL3at^01ZJt+ndH7uTgZPG8 z2+PD8UYpMNVqngAd0fTgVks4TKJx+T;sSN z&sqMm7AR8}^hZ8Rkil4cyEj0L#jg1bC1D9SY|pUv!lvx_HP-OR1lzFKPWS^wnF9uCiaz2*rkq{!4s<{Xv6K$zgz4^pe(A_Np!SAc`JdHlW#2-!nvrgp z@T>gK%KsdCsc^N`-eB9mnlDML(Rb#nw%Qvu(wdv9+G?-A_0{q}8OAI3vvNNx_w%T@ zpYkrJKiiClCGUxe%H)>~?S1XP16na^pEc}ne|f7M#?ORo z-r2jQyV`;1pnt@!+#$w;L8G__N(t2tMA?gP^_6MEq;vI^Y4w$9^_6KEV;p=3BE9Fx zT`j*JWiS5nb5T4w#@zVLDn2r;`&^WZ`Nydwi{Gq5M)q+kAE4&nqaJfVQ)M5|RzFj9 z)V74BvmEWOOzFBuZwsQC>zc2u_X_13a>h5r6XdKfGx5UY&54d*CeJH*eE5vy!o%Xs z@=|$KVEL+|gYm*R%Xi~fJD^jFuIfuae!2rcQ53vIT~%57ZWFJQt6+Jr`i>EtN+cKM za+dEYzYm;b{vwwtY`KdN=8S{Q8K(V6A~!yzyie7e49I{2lYUSK&lS#8KbC~DG?uSo zRX%h$!O~0ROEUE2*UBE3x;MPuiGW-ekV_&LIp@6JFMhYV1HD26(Zo--VkuIX3lTX6aS!Nb}R$&URO3B9& zO&w@KbVUyqC|__=?cAp3E*JD!8Tdo8z`gz>O7l*t&G3R~62&{dpcdmyB{4SwX$Hyw zQzLFNOoMRgBtwA3ullF}a2dupWv_=Zj>50o@Zi?>ZX*XWj#7MLgiVIZ@JbcPr~(;_ zTl=@B^=UY5TX_M-Az-`PJ@N}~(|@hF|e0MIwNKIi*cn{ef|fS*zbq@=RWaZJ4k4RBR~5lW;i zmCIS71eF30jx}2{VS)3!6uJJ?1syme8EMLuN|DPhZ!s`#Cy zQ+PzZ$6-H08t{UV&(&9(GF7X+%b6HCY}H4x)km?_N3q#guDz_?9br7rzx1p=iXHZO zl$)yhC^iKRAKKA<5KF@cJj^XxF!%(z-gD%2<}=~EgBLU5IAqyOc(qNlOcpy+pIWQ+ zTj(;96MeBkU!+c})r!6Lf^s_S9lN*pboB?}3>Fsj?A*LPl9OsR`%orQXVz-$O&5u? zYBjA1iuBp;B}L+#T8&6qd*9~n1>0(o=;(_C_for!PFy+{jY#opskXcuLiuBzw;sGu|A&VS|^1Z^&eD?jH@r?wR5>)tZ`WwNuu)$Mm%$zlTuqgYK`2%QRp_ z%iWVXUSAuG*_ktsnAsyI3*!1<%KRRI=NIkw2~&8g-dgllEYc0paU~|1HDO6>Sp0i zne9I1SB`>D>8rvjkFs}jt+nzfE041BC@YV$@+ccDQ0MnllW_G;P~}mM6n!}cwp{WV zq{^SH{K?9nJc=tnFMhI_kM894u`&}+NozjkiRE6FR&4U?DEM0VU95hT$HiF~pAg?n z-W@)w@^Tf5WO9Zxd1A<64JReaKNP3@Kr|s2>oc`>R5Ia|Or6o0^`(*q1!>^Kopp|AilMa-wdgYpP z6*`omv_elj*@1PTMCwQ>c)_Yy(v$soq}A|epJ%1!S|$74qvfUU>DX1dsanibO=wmV zn$?76HKExsoj2%=RkcKRXvZy||E#_$9QI?7+*H+9g|Eg}h4y~q-=uA>@ikJp3oqXj zvX(h^;l+Wg+l7~lLwtM48prJ0Lw4aUH~Hm~j|%Yx+%dN$Z{?*{Ug}ZwQs=*?nIA5Z zm!!Eo{3iKO_+`v#;)UT)afXifihsma<|T8ljjQ-#@)?Ek^5nN78E+1c6}n29;&Yl@ zl^p0?)mM#);$B$kW8(7;#gEP^F?p2I7JbOYZaMOQ!Ej0w&S!frN=b8<(w1E4AU!3A zv+BYb&ylk5F*(aKm6uAcBnN&Z=&CrOP@=r!tD4C zV7_zc$e#k0AH9xx+u0w0%>_rcaYmt<^dusW745n?EIw9dNCT9kAg}UF^Uz z?%dTyx$M2Ot;6=TVcQ)e&F|iADzfE{r*?Go@95|gp}*TQaAjZru06fB{94&|_jPUN z2RO@Io4WhW{7BR<4TXi+wI>BSw$<3QhfM95+;~y23{qgeax+`D^I;iQh+sP(`w6*7 zv6)ZZwnA*-lW!};wmn#&*jC8)?CD|Cpj{n>u*r?v3QeKX*|tJc>$Vj-Ki^j9f`)B{ zTJmj$=)6U@-exmq*2q2Go2X@6*}9`+vr_dtw%Xdlb){KN?azuo#A;UN zKjG0glJMYBX-1S)z2Lom)>>VBO(^-yt$4&QFOag};b%pWsrgv>nko@aw8}ZzBNk5i zl=9*7vd-|Uk^mh}d7PBO9;^6;sYdB4{vtg1?#Sc}U7k1mWamkl7ylU(z zyNRAWY4n6ASxNl4K4^&kNEWBgiY0JX%QloNtD+}5Gdrzvk%JEIRhMxEY7Jd>;Ho@t zbO7hxJaTjuPHM-`x4=0JroL(~@vwFqnZjy!YNQB(G*=zb6FQhWVkNbj&Xg`X`qS3K z=aMu^#13i~Syg8wBcIZ_s+Lk)P$QMjnOw3kSe94ZND{C{-dofn(vx#T3}$li#k6cY z)H(GHq)7stXeezd7dqOea?uCLLrEKoPOdVha{32sP@Hhqk&dY_@K)>I-IcF=>dL3C zeCo=l{z3AotDnaia$B_@fC#p24~CyL!scNArNb(#Z@j*pSDZs#^JL%um-Mcj$U_@?vh8s$X6E$D>qUuBVm+dJb5IHaEp`5NEiW^GOQ9?H%C~7RDD>* zF2DL=m8ci}i#SYKFY9lL@I}3_YWL1MmhPP;hXs!8#`XQE6{)#ob@PSw-lqI9`B!*l z@)G5nf#q!`pAD}SOmrak_MwQ5hm5C6uH2iIr>95_{$B1|g2^k7y`fx5z%RxB)cig; z@#p?3GWGj7k}Mw1)JPsISbip&$m`||MOZPKRJv-)Rq}#4Q={ag$`6o4`q){HwT~P0WC5`11^*7Ge~iW^gG@%( zRFjiIK?n(@c_f0X{I)aaZ>lykvlf=@?Op;p!UP3-r2B)Cq0>tF9e{<@r{JeZiXmPk zEE|JmORu*nO2mmXa(bNqT(_cHLnVTdBde#@Q-9%%9BMBf<>CxQ@&{toi?evp2Xjy_ zI@=zJ)(@ z6AI=0BUn>cIDbp!VGIgadAa(@@F=cIAOoGGADpj9I7+3fTuG)Tn1m9!b-~=6@L-oj z(O@SEb%w4$U;_pEN&MGGFH_#&0jkdbA^|FYx5d3VxcFAN;^lBwWgPN)ju8qgwHoz0 zoNTp872m4jTUC6kif>i%tp*F=uviGBXHREWYxOf+4aOO;4ACB})xHkL%DxV~-gunG zmkn7WmOK!DvQ&S^rXE|ILb42L5yZ+uS_CGXlchppv{auji3uTHEORL@5z$a0|K^58 zJ4IX!=~-ONzN^{Mi>t47IxJ`@Kk6Y9ohd%*L5;eFIb4i|IV?8P!W`BaWeamyW>mj0 z2Z9#n0P=-7WGffuM6Otv!=<`~IV?2F7UmEnD>LxGa_Vl5i?d2<*RR4 z{X;d2)iq zh@bI_AN49jH1@>}ZCl1?vQKczfK{<7t7M}~3?$|eGcu=$nZ?M;wPS?H@`xGntrRh% zBjph@@XmXq7Y|-6?kPMtf^rAp1alP!Q4yZ=-4RqgN}jf2BMo%qb2YiJ z$do4Xz;SSKB2&~0`8bd&mveGV8}x(+P9&fwo;RHM_S8b*klc+%0`$qwFCG4oKPdD* zxX5Q=5mG2X;semw-{G&s_(93R4oE-_HT@b_P$flLP~!b?@khU6tsH2F&CC&YDsrFJUw;2&KbQAvz`oj`5 zKGc$O5-mZ5MPLO}7+1m9dtDcMkt*PqQ~l6lzO!ODR`e)FrnZ#mI|@OVi@hdQgLJ;c zLnBg%ywr?bT%~wwLk*y)GH08TvI>;Y2rb2`dyP zYouIU#bVM^{ejREnnO?ZZJ@x9e57$dsYR$Y5~u~|yzO+aa8>m}Cba`=B_>#MH1^TM z8BeJfv08X2T{@&QWpP!SqXTsGgi|+_FtJ_i$+}K-LqlvL4UvX!;*qtbCp@|rR7Yuo zWR;?`>_C5jPF>J}6`){la>`1a$Pp&WOu3T(j#Q1AP0jzVzV>El8oChmUD0GC>_r>U z($tDp2h(rB^lvcM0kcaG_)N#!9eX=HT?nx7MO7YN?Xp0281-hXRO-9|-&`8t62s*haH|0Wdo@-KZ6*}6=o}A$) zmn<*c=t$BE4WWaj52cHrClZ`sC}1S$3_lxFG221cqAt>ec1MD45A_7{a#f|MMC7YY zRW4`pCQM#o)jG$CB7USb^a>=yFUzQObVkpFDVMxhI8k&}ZJ{$)$(7FVLl-|o0Q{+3 zFgB-`s$6oRkFG+24n_K7b9xJM7-Bg0`dQmNV;SV67U8O_MBaq4GdvnN=z)^SRcWQ+ z9)3!pTsW0iGI`BFD+YJy3_!|DYf2vt6!4H2`Sd#V7UYnNGo0wd8O*)Oy#VKpe!kpq z`;D&WH0Q~!N-m^fG5B-(6sIFC(XlYP!2>^7ZKQIgt%e&~gj}i}=e%8X$5A5u$$DUT z(nAQGuBK9uqg+rLaNZn?P8|Xrv6R1`@^ywV#C3Y_WYp#k7m z18|#7X=>i-6wxW2tMGGXVB$Qvzzo4u1{L9k5$u;ovjflThzX2gr$3fE^f@eBhO-l zDS&8k8wL34B8Cmo#4?IU;I53~5iqHX;&G8<;w+y!?yB0ZvF)NMN0uuN0U%YVP-+^qyq)99%Q7=B}=Dz1c$w39QDHOa$U$lyoL_`ro}3oQRlV& zoJN!Ru-@25k(n$hhEgqXuc8!Hl%k4KR8fis3w+;4De5ZN)jz`1dC;e&iLeE+qj~6A z%;{L(MEl^2)`AU+WLBEtp)gq;v-3Hfw1Tc^fpRj?w}8)S?uX3ni61l;-4> z1(N3E6@{}TV{O)pNPjd;>oCv?ZHGEzAJv63Z6s@#dk0#FVDG@@Bk4B#_DH*TjkJq> z=?RbUP%arq?J~Pcqwpc^@qMt<5(C~HMp<4lOE!}I1}m>}c&lmo?xEZ~-cLsR3adV8 z?=*)eLGM9_C&S;J4o`%=Z*}x<-gbCWiubg`lM?TChbP3}2Mq`2;-cI#+tQFQ~bO?(qdRPIjFuZ5icC!%G0$2$GA0R*|yfZUZPTj@$iu5hKfROd?LXlJf8j&_J)w8NimaG3FWOSa6fE{VBcP3H7*wR~*-_C|wW zUHb0wt4m>}Umbene)R}D4o20(uO?ORSKH;}SHEgM3|CfrWTYUPI3`O$X!L(!F<3bel+!zP3{bycto8+pDsMcyuoS;(|5Gd zGU(RLJA1cuZyjkhbpOtsJp&g*-{mW*H~2M)^NGgd1*BSN-7w!0u?+cBJmp6FMiCBK z<V$^8oP({az232EVSHOs!i!&E#pF2WT1P0Upggv@#D61&8netL?Q4Kha$~ zyqVH-%sjx7n0tV@a>)b4h09_K!}x=x&nbVf6kYm*;UgY`KR94Nt$KgZE*}AZ&~B#O zA#7XPJgsn_m_N%MLNE>$&XpWCFb*LORdNV%;c&p@>}jr%={9nGUfx*p$soxW#4{s< z{I-EUpC)f941VPmh21xxXkQGe$n+@`CJ8z7fjKH_!jtJ)C@9KVSaLMkC`AtvBNI-} z_?J{$a=?73p8J==KA~E8ndgjiFSG4PdYQJw=GgHutBJqHZ)PhuG2Y270(uZWU*#rl z?aMxflRr~U{0+28HSx##%IbUEL3i`8NPy2gjq-VPunS)*A9LZ7MT^__E?&5})fyrO zZ+?FIAV&H@Ct&S0TRXpwRzmSYWbf1?_?LAKB@SlpP~s+*4kg#@$Ua0rKz ziO6zF(Y(uYO0oKdvvR-Yn5`^U^p?NBl^jZZz|5gE_c9Ar4C7zc%`f7Nl_iz_W%!(j z;9uHLnDNVC-xt4dh9k_}bJwok4%>CxW-pJM=|4%|_O0e$<%gvfH{V>idh$s;|sdS#m|^nGEzhkP4N@ zO4d}t<4l21k&8>X(uGvh!+)IXoy@5gYFS0J5SS&vni-b5*EIhU0?B*6ZBI#EuFlpI z>xxR?442$x)lF`CQirQDqaq1%?lrBe>Ev@<(@s}PJepwE26uAbb=Kam*oK-BTA=j^ z0D#$;G1U@HWD>OrXPHV`sfv^fUBLtB$e~;)=&T-r1aYc%oCyHX!o)x*7lVnPue9M$ zbytZ@MROGi;z4s+fU9c5WH%_ficGL1s}w>D$dPWGRU=wKVG?RYxyiyRm$MpHX`;YMji9ij-r256x1Ys{wro#|Zk$!3ED6r71eWzut@*^BcBB@R&Q+vg z2h~@0;G=jt9Xfl5eHRSgJ^xjG1KYx{zz;g08+oZqvY}c^GLbJE!b4s3IXdmazQ(FM zACSYj-S2T^ChO4~o9%Y#^84O*CPbFE5Y*04X^NMucIFy8sM9{k;D^)DXhTsyF!wk$ z-s9z931sQ4mO)1-`hq~VoZ%9-xpgrB_P}P;KzD{LLAlZi`IJt%Y5^ouCn%gH4Ga%- zc!;+}KUcL;F_P(Zl&JfO zUc^=DgN4Njk6I83v^9Bk|LMCDoh6^MY^&1g$w;`tbvS@IVAZgru0WL#cb{KouvKBG zLT5#3Z7HtKszFskRRF4}NOFNWQvuFMP8d3JII}YbWzp?0C}%h+MG{nPNnn#v@}|b% zhJlWToT+fa@F0!mgi{sfs`R8upyWoRMDb&8)kqDgh_ZH&R!1Q(+R8HLdWqPC0Sd|3 zjfa%#tL9AlqZOE3)Cf!M_;ZVCI7s!SEUX6)I&&M- zL(mh8Nkixinw<3z*Wj$11WxkmK|onpCTXq~=PE4-#(GGjU63Q|eO8@#xnF>k?gkke zL8zWEf}kifT?8exU9zgj8P$1~z>n&1YJ`BFcNdDMU>eowl;?}iPWxS-4!HM7P6uTE z^T@LT13d6igiFYhKD{}}%{NE0F#C||^$L78m6bONqa3OD(|`udm%#89)#0weA97t= zwQ^3;n9&BQ6xOe%L`E|dR8t~Dedbn8iBwY}5s9y6CWf7v$aeD396=fD=L?ZC*3X_F zb+LXfmZ5c<73kVpY!GVOgJ^{fQwPN-POxMAdOOenQOA)D$FP6%6Ve!RM`QcEu6G*vBN3mY5 zWXsm8mBf6#ns)!tP9QC2txkQhL>J0;0^t((w(T}TSEA)hVb-;@6G-uSQdfU_p$MND zSvIRqFilryZ*KP&hlb)RemkG(8_+HP)vBGkOrPpWu7Ou5~_jfgzK6#<*K4QT4l$IJ47cZmt4s~ zMmzcQ=mM5r$^S2c@5tlC%^aF zt-N%-3Yrp*+PsaQ4jxJL$e;Bc`W6HO0y3irkBw@ppHT*>=5inkvvj`aRt-64gEppw_i<7UQi|wiNy4|tPmTP4o z4vBSvxL6&F7FFo$`yup|#XyO0R!ian|#KH(^+0At5u`nW8 zw#tB8K>%AXu=4W^1L*vEb zo8p(^<>MKHwekXQl@%!RkBLS=2jlm%J_Wy4F%A6af24A zDp3qP;8f(s!Vt%Ety$|YZZd~`{CAF|!@lLA&V&EKlu>zl_QcQJwnKYe7{x0iS<(WJ z%18V@`Z1A_`$F|CX7w#*`El2vzK2Gl%9%Vyoyo%;uwQ`)Xyu`1+b})U)6r}0-a+hU zJLv6Jykj1O%Xln&i^uB4<|8z~q7-I=&{;yy&AT-&}vLN>8ML(NojT zTHF8y3DSXFl8jBjl$-OT0~AfyOIztCPT36}q;XYNMJqm!kp7g;RZVL{VF83Jtg=)t zbUwepp0Z@p2To~nIA`f5$6E2Drm|8%MtSLt@Tk_v7gi6XEcG98 zN(Y@K84SO2aZbx0^hJv7j3)ZLhQ6e-pbsivrEo@${S57K+Cur0{x!?0BNZff|lv<0gM{CY%hb!M$Y0$1_p3rFRTJ3O^Evred86!X3kAAzOU*zeSU2edPtHqSK+_9m~@ZiMZJmQ)q2UE^k3S!^}!o#Wn97Zr#;ZF`} z3U0_H$GxR2NnqcdYnp$ZBzspiHUB2^k;&?Qxs9B~Dc9B6!p&4L2MRoLezmW|87?_} z$AAvGCaMJ*icXPojiG?$TyvIgss(4{b5(WcD*8jg;Y}2ALXlRu^W_i1j`#l9P_q6uy+WBDD=Kj{cC3{=@x^`{Zxr^UW=v&xs0p)a&LDzq2f_FuO z`}*yt6gGEsw%YH(boX>`?r3dTEZurn|H`ht`lXoeUWVzOo<2)2wTU#T}iCbnmu$YD4PwdMK5Fz`$i`p0Rf}$GxE6hQDb1;mD zSL@ohdH(LM{%sw-ojqN0yM9t1bj)`uCDkBGUg2Cr~MZpXi5N3&H)5l%mE<6 zns$D+86CePl}{iz$F4oS_8sH=ExS5)bnRwzb*i=E{JmYfc6aaWO+L}^{m zrt!@!#XU_>kiZOmd5)itqleG&&`a$q>$$ZH>U*wRqQ7HPPuGI=CQbskO=>2)-}-cZ z&)#&ZXTNxm?3?F5w5y|!zCOROXC`V>Uvv6WjS+>rP2Gn?R?J$TH=)*7SL+OVg)crMeupQDVB2 zk^ zEy+}_p(H1MX**1=R63j~R|9|IX`tt&!;>Uv!a|gWvm^|(A@ua_2d35ZmX+(D=W3AC zV9%U$Aa2N;*ZMqv-%FG{Z=Ng1gYvipT3uuL<9^d@d9`jD-T)eJmTfDWuPNHoZIg6) z$C1}%P4i!H;HNh7Z~6Mv!)9Fr4|o`96DN#5-3 zuf<24W5d>;ZT<@W{7|+YXiW`TIbC^k`-&&M?aQW|l}lH}@7{hy1l;aeS`N_SW5-6_ z6Pw?f`eUkpYUjLGxm|<@S|{-*2@SmuOXWQd2@SoBo+W(-^sF(*kkvLeKb3k`vRXqg z$n8Zv6mCd*MUBCBL>+fOk^xQUU^}Q~4MZ5pH z`E$HPQh{{yqFx%{xV6DlhO-9#bmJ!;QFK*u8aO4nfj{Mf&M=DM2Ik6#U=6jBpIlVQ zJeYIRL3vYI!{qhHT0Htvt&u~ZYnWU)C#8rpm7cC9deQ_QVRaPMJs*CBrPZJo$V*A` zDKXbJ=MhenhPE3j*FecgkZ!3i!{n7sREuN{BtXv}mPxCeC)Gv#xh5V)(M>hSA0j{h zs@+u8LuB}eY!NK<9fyV;=|}UVEq5FmR`j7hM0V`l+jV50B0b$Zy73O>zWL(u?JdpA z{HF@&-rWAoQF2o-OEJgi2iyHEYjUw*m}SiH>}j%}($G8AbYCLN4)@&1JdHT7_8XI( z=6M2X87hm7!Pj#mjn&(_;yFk33B-g_{sijz3;WtygO6@@>cy=sZ!rxiX+Y2bBK|?I z!pXxz@7c;V=s68pX&BLvt8;p@*VTseP!EwLGij@VF4uDah1z;sjQQFTej8vQvv;Q~ zFx@$`d0z1t8aVK(cB1Dv)h<~Qo*d`)A4nfaN!A;v1N~pV3As|agUXkk^L{lod&DSC zKB$CT8u(y%a%%{y7fFBi1VB#C(@?KeVv6unJMA`*N|%-LbILVP8tVZPXL?l_$PbUK zgaokeyMfY%r{rGV5E6RZl&!EKEt0>eKu`7&=B!q0 zXz_uZYLP_O?|wAcFv(1%ViML zL?qoLC%sW+h2b*QVkoKzMMf4MANZIG7p3yX(y(#>1T+=%`BFhGrU$75=bfmtY9 zI}!Xen6IF@Q-tRl6UW$E+avauOtX)x?H}I)K0$fMv!A>lV{7|QZ2<-S)Qmo1c}bse zJ=hNT{cp46*eX3cW*eP#e>FbMo{gTh7O?4rp0ySHj3N#(1gylyTE+)?>zVO zmtQNey3}^@m%RZTKg{1I{#)KR@|T}0aQYMcWnTctPw|%@Q*itX{N*9){Lk{2%?+IX zEBs~m0mq->?**=Z+aqRB^fCLK(gHqP^*ZHpupN{;{w4lKeaHWmQ=Lw>T8$NfP1JEL zKi^rMh*HS03Pwq|5HW{`T;;e|C&)nH+5@s#eY(?smVWSx#(uMlO8lC z4(KPh@Hg7=MRYSA!|b>@(5q&tYvku^)iUIg~Zg+G0syvn|tF zR+ot%1CNWmp9Iec{9=hui+*Byo~C}{efTu?6R2Gz{?o1lQzh9~X49za2(5T+kOf)$4V-VUuI>my7N? zECGiOPLDC+^n0L({-^7nQeJD*g1!fQM#y{|e0k_&_eH_;8Sv@B^8(mx{xmv#6Ksd+ zY}m#e)9oLfD*G(=>u#UZzYPxE&aitlXUl^65_2tB~?s)oz^^K+U4W~~C zo_|X``?r1nI*4X}X;o^4|@npVZz9{XJkC4^8HegLep@l|8f0vbK)<>#Rv&4wLD4 zrevPA6ymj!_w~*q9vcsBK?HU_%bq(Np7&Y6WkLT-CyV|~@K1|=_B+5)$FqN^!RiM@F~){DkP| zn%yifc02bu@t6*dX9WGvGP+^wtH%HBjBYsY{qyYJpCg|0vS+K~@jNweKXouxB zY^(nt1OJ>;-JX*_3XW&T_D&&Sg)j3<-706qHKKO(_?IzayRsNc2DUP zzsolqtpv|^w0B82gh^#e0%1G{^whLL+1Hcg6*Iz z$7VzHKmP`ioo=|GZxG$eI^S@N!{_e+7iB#PF62kwa5;txx{Zf+m>k1}Za?8vZ=>^{ z1ixPPzu+vc>@m|pq_O!AbpCbNg zO(nnMXuE0I^JSX#tFXzmsfoYs(PzPH)UT$sfTPb(n+4`Ddrq4TDDtiVo6UKyO^fG( z^Q?n@jchV)KbXVm)(@`;`foc~^e=!-f9{uQUjf^@3hg`1-bsufJbhuw?>P9UUju!9 z@N5Uq44n1<=~iy^x9PtiIoPf3F@w~{?xX3?f}_6Ee_1@H^Yl-Ei@aYF-Sf@^PmH`@ z23xzJ|Mb5WkLR`iU&xGiQKwt~k9%##+2Xf$bi6?N&sbH;nXwwYLh@(40~}-Hj3>a8 zgZ~%7Ru;CJ@o_MRmE|~enDMI_-R}2-{^^YVS#XTiGpt^Am>k1#@60IbWo2dcnql=a zeXzsKQ!OJ0c^$_+HS-LSO}Cko!0}Ev^MZ`t0=70aIpw{x?u73K56`d1mV?*G{xjEs zi*l_G*kSSwpDdfqH2)&(Gc$W%n)xvFXuFy5EbzRB3+F(K{(0d_u=Qc|ztG0mxMwf4_odMD!ruhjVR8(I{V)72aQG}2X3r+aq5p;d zX&E^zui+T0FS33Z_tZtpz_XCb^Y@}n&g=SIWbgKNkk_$|Y3O;8y^q;pbi?K^7~T3t z*y^J9gQH(v^c2_*<9~|37X|<4jmTki!v+5roGQ9u8&^&KUu1N{7mGf7Vo7%#a%P_i zJ?_ofW^+3z%W?P`vs9yjRcuf51h#&w%YPeGC`!KLS2G>V5!hhw&WXZ}g4XpD`kb(G5pm zn*B#k72UA;hS+xYS2Mcd!fxNn=!OgZ{}o)+G3#Tq4M$mXvUYJC`p>a;;jnRF&N+ba zapst9Ih@WI=JkCHp3GmKvjKX%+s`pO+hNbF_wW~4_RN~o>Db4~IS(5{$TU2i`kKt2 zap zxidvKnU1a9(QWRcjJ^cCKIm`H@>-v)LbGV+L1;jIY?q50CbZC3*MKEKx*?+FtC;X1N-xiPOH5_es zadSz(_&BijXY{#vGC2D1#qkbic`t5(Zugz>T$1rz3bw;|42K;qevMN_H(bzf%;<)r z4_}=1v5PlAw{gtM+60bvym%it+V0}4UpbCZ~eUHtb>_4c~h?%AR){}&u%%e-;o zvAmAM=JO^%51Y(86CC5@JZl#_jNfpSHLt~ps*B@j_j&U&x?vluvFE%yMRz%di*n7k z<*>G%cOM|^IWOKx;BR}gjVE!B&olemeQGlQJJ=4(YdG3y-p@EyGCvHC_{O{#8@(*+ z&vx&doEOFKats%8UIG_3d09N3*Kp`LKkj{_&mRvxWX_*m@;jcbvgWVL=!WgNj1KcR zf}^bY+cF-*Mc(&_4qw}w&9*bS#C<7(0yLaKZn6r;2X4pnou<8!qT^U%NifK(}$&Y-`VG4yQi{h%u-2dGLqfdAhcL zVV`k`$ML1gyYNF9{lnmRwl4gSjQ(A4+}DfF6W!|KINlQ$Wo@^}+AjL%B72wSFx?g{ z2ZYbK$oc?>(+x*FaM2zoyGb*ksYiohPF^;&}IS znNPtV&&S2T0OoM|vw#>I7ynNui~b34wDsaoX7mH#cz;{`dl~(U;D}LNVz%Ni`Ik%r zL=5wi>z!me=ud#dK9~Hg=w?sH@l3hI z{7Md&WBtM2)lL3yIa&1Q!Es+KnOxGBOaVvTm)JPZVRDwt1VleyV)p`v(+x)qa7k9~ z5-T@syX4o6JNTai#B*WEA2`|jlH=&-OTLoP4HtBKKaa6`$zO@bb@*oRP{$?zEV|jm zaoA)@-1|;99Pd1rHkJI2qrY8xg6Jmy(y`!H+3?cKGk(M2TV1*w9M82&*JeD1my(x$ ze(77mq0go7&Ug%)t&FE=U&GeE1wDIaU79_!F3p}( zj{079y2w`F%O-*2J@&F0;JBwQyGT5q*RVYc(DSluMEAVQ!O?#%Tb0qRpBHqq35WBq z0~GYzohlEF~HC*VkE#o&F_vU5UcRwu*jx`5f_E-7mNIgs}7FS7r38!7-j({>F^{ z2>3}PJyzSl)b3L|7*F1E9Dg6jo{T3>55I5erOx4P?bymfpQS5BHys?u*tqmI=n)fG z8e_Hdm~F3*a&7#)0{mX`+C6CXG8}%2^S=yziTJN*0dpALaf~5XEP)v9c!iB2b{LQ0 zxZkh1&Z)|4I?oE3-Qc+IuGl3W&uchreuedcLjLPA9>ej>y5dK`(KoL6@r=i?jj`D3 zijRWF@ZWT^x<@-+Vb6}`!SgM!^%?ANrQJImR$s?4)?Jz1JC5VocjYA+zuClWOZ#5A zLv$D$Nh(liaWJWh!@c&FkH*C*V>V9Q5<~WWq^U8Qu zx^9LGdORzgZn&WTrsTU#J`27u>i9Pq-TKU2(XTp7bd%#aY<1Oi=u!8pF3flg+p`Rv zugcomakTYS*47-R!&OfJBEE5z=^t%+)vp={hw&J037LQ5ROS6Dc%AgT+U{RF;CCEy zu3jLr(+yuGIagc1y4s$1b{N0m7;mrsu2V%f9PPO5 zL~y*PEo%XXKFiE*99HhKnSdA{mMsIXR=LZHXA|Wvv*(c=#&0-ceaq~fs*w2)QU;a*b ztj`|Tz(eeDU7 zWBk{S1zUfhpIqCL(G5p`xVBAnE7x&6kFMPW-TEH-U)u?eetvCcPsjFLF!^uG_#c-{ z*TL|j;IVtn#zvF*f_S`K!_m*L{Sr8Aa_!f_bGX7dbKS`$zvH+!ubY<94aalpy6ESo z!*w=p#5?(Qb`NqmeL0|@-)hvLZvb2?ov-_GCyW1mV0)jWzSljK(G7>sb=_}(!-m)W zAvj`>*S!QTbo;vu{|h*teJjUQrb^msM6TdyX5wy@$*VY<+KjVDm!@{wKg3)^@85-wF?PS(T03 zj>Dd-Yz$l`IoHQKkL7iIz4BiF-{3KS3LUQh4%iOkF&s9$A=<_AI*xb98zwuq>vO{t z@CPLG2J7c`jIFIZaiQR9=8@m>E-+&X?~UtDe59l|wFut`Pt(Q>Kb7HUGkhS!FA5`n z{|(Q9BSw0|mqmA-4M+dH!TKAA)2)s{UwxL7oxb`U@I|W2>epoSZP+gfY8%T?dC;a_{Z+x^}M;f2pV0v(4;dW4rNKyGPvP7GUxIdCO^*kPf#@0?ZNrEm_~b zWjXYabIXn3Le4s{^_iz@`)|o&;J5TZpBr+rXX`ERgC2Ff7eZ%PgUq=5j_>-cqv*(>1$aie-Y3RAmWOA5%$M)Po&bm)#^aJ3y zch>!0MmO8uCjMKem-Jh$FYOI__Re{$;piK;J_(Lz+^s*I<$VTh_cA)%`Y~`kb8r0& zIPRlc|1{(OYVa8U%NgBpujJfz5BPB~^}X#I;OMKj{X00Gmh(5>8P;EKMA>ltYCza<{aPoxZP#1hDCoCm^t-?@RhqdYYZvtWr*)SFyI&ZLXAl`E}oDB{;Y>0NWIyw&f zZ&(aZyn}660gkrYkkx&I)%_;vyy3lI>)Y6EgSERICev_H)`!5+A2$39INm)r{5seU z<2U?P$+>;G5mhh852~!&e^zwkcN}%R-E3ut(G7>rw`cd%?biR}9=!bk*bd`Az~9+X zuG!EIqZ=;d{2e&vaqc+D7&zc@e6jN0u>@N1-*JU=h{te2xAz1NYp*+&1E#88cia!Q zw!?;Zyb&C>y5mh?4(ERa5brH_m<>6c{$W787v1q$aM=8gKQImsE6Z@$>W)8ls`A>n zVY)pA&;QQoFM@Z7erNP;ljGQ)1?YKaFFf`PGW@vmI*;M$LC@OjPQ#(Yoz_OJ!SiP+ zZ`)g60xzUqjNx~_2oBxujCWwG@152z*N_*T@465iwz_LJn8SD+TYom5r5W9@>1OnM zz-CYMzw1%3$-#HK%X|k8m-7}tjJJ2a-^rrem=@#YT^|A87;^qeJZ6Wx499(RcT-7s z9Dc;z_T1sHvK+_#e)k-RCLi7IzRX#?9UX^l@4gGVy@wdjri{mM-0yd1<&)<`^-91^`-4ku+{Dxz!yXWUJIfjdR z{hH`rujjyXu+?MKG5Vp)F>KFzY?^j-@8;)4e{qM@?hT~azzqN0~weJ4~@mO6P zN1wkxYxn!D-7kr<{sCxBzV975(G^8|Upn zj^k*H2dodrGvWao|Lrgy!{)ANUPpR(m~g0Pq>n+p~6Qw|0qp zu-*K@c>cD33>^Ko{gYr0liz*-U~vZIw|~i~LH{yff#@4g0EgW+njP#Quj8ou#&e;W zO^6L{oa!7de`5{wsW+j*Z~Zv%|(@4y#K?Cm_bjj&3K5-UE(%w&P)N^qG$6ldh-X=qDYYQeLMU zwz8~VcHeP$S)T>OSlaQY;ArcPzch~EvFB+~?suH5yoRkUsN<#+!C~7?if4g^L4BuonnHA;!HP{YoU$X;;)nyxXg64JKHq*&2-?8<1 zWNupsJ#4;hamKR*Y~!=>#QUD<>^SVO?OO3$xo>|$cnCBrj?{XR0C*Q)<)zCv{CKaOME-kiOYJDv$Y_tECpX8eXP z4Z8J>G5j|-57l+`@}YzJj^TYsDFx%YQ3 z1&91@8*>a(_inRu$nU-$Y=`AFT*$l$9ChzD``fe6%3Yt)Esha&={B1ec{hUXFqs?q zYwb?myWb2h_}>ODbbA-L&}YA6<$VvhsP9jJ3;7=a+hOvb;_p@Dehh5SGUIs~{Ab|8 zZZCpOj?uGs^==#I!*}TZ7qIDouh;z@Fo*S9$LQQ-dH(}q_(~6&{f$n!4~`EE&x7{P zAMZ#H&H{6ooCjwEtiHy-K-lvx3A*LI5?sh!299@|2k!veGZ)<+>;lL0`oTW19VXwf zJ+Dp9BSz#f`Xl^}cc%w`7#ucv&}?h^82^uht?q{HzTj}34M*J{v}ff)cpii2cfqEc z>GKk}DEHf7yLU{^{{=_?*$yn`>9(I~2@Z5~Z1*7i+oy``^cL_%&?#&C4Pa|i%Gz%4 zRH6TN>yz=!-F_E1-kG=W2Ja01Z-DKvvJ9J#Kv_NKfo&cQnLValw0qAEaLDO-9Bl80 z#`6`h>1jBV*<*bv^zZpQa3RP10uHlb&$j?!lb(MENBj2JJs!T*j&WcPk9Qy272afjLVf`oCcZb=^4$A7B$={n) zR%fqMU58HVOYk>QR_88o#3wu73by;`W6Y4{F?(42a&LJMdagTIeo#<_Edl@_tJM%8* z^zb#hisuOR?Xqz!`dHWf;JA0Xdcal}<9QSu_Uy7Tjln(t=TbGS*@s8c~0nx4Q zT^|G&@}B}n+jW^=U(i1cj&|&N7Ho&fHyq?RN#;4n{Y7THef`?fMq<=(k&R$@=P+@zA5bTTTH-KiM)(bSrm@J?o>5 zw%8bG2X%2Ab>GqoE$Y5yA-JGhKewYn=PgU2S-YU~78@UCgK3v7JHgyvc3*6^<4^t2I5z-zNP|P5X_# zbidViwqJKk+x0izaO28_%NFk2ym;xt&P`jkoSe}YL0{OpaPi_x7H&ED+S_kjv$Fm6 zwi|D~eO3F7EA7(4xU}Nh8<#CS`S$gz+E-k={+2bjZ&=m7=Ee=HZdwq?`T+_iYYq6G`58*%N8ZN-K5wJUDA<+f#u7cW{k;L43{ToHN2T|;HauSAC1 zR;^jJ0+rfUtX;KidirtK=_eN#l2VsTrGhS9E?DatIrTDq@_U_*HT|0LqQfEhh zM@nqky&KH06)#-CZ>8#rr%JJ&)TO-K{;qxfwFP(jFO5o--3vs!xocN%SI??_o4fk@ z`C;TnJqN$6thev(+BIax!7eJ>%AI@odE_B84t`l#*L3ae>REha@soLt$_{=x%X-Q1 zSqEGWg}XNG**dhogI!g|wzW47nQf43%GB*mDBNkX+xdO!-mP8M^q%b7v#Hm9*Sbh| z+Yk}gIyPI;Qc*_)gF$x9!_OFa8vKmq8fI|K{5Ie?`_C-lAl|<}xg?lK)Yz{dvQC zIK9mO;+PoVPW~E0GxH!EyOxwHSvtw<1Y5aHwRNV-!w+-H50ha>AO8(EsUAb}+0)1P zu*=)g#XRbhC_5d6e*XGta5hP8)L|`7sH|CHJu%N)Z>&E>vS zwd=*TF>ffbkw5aO+Sn8R)=(TFW6ax0m-5Cw`?GvZX>ZKMQSkj3gpBVSpRG0h`8x}y z^4gf6E-~oj&+(>w3w2IMA&+u0dE?If3hAh$^A>#emV2U8>@@C7`bE>nQ@WI49&*`E zfz4tkqV05j+P`-{G&dhc%RPQXx;* z^K;uHo?+c@j|cB9oao_bpK-7m4^w}Fi7B9pd($kNO04{5U-X|a*EHnDVz z{ULq2{blEE|3mtWNVnKu(vy^ynxqve^Kr+opQYh&f64xEeTx0n{p{(f4e9ee9VhrNXh^p-q^C8cr#GZ$G^A%Xq-Qmx zFKkF()R3Otkfu+U{q(rnfBqxs)Q2OjI(tUHZaS#Lh<_ewbpWL=BP}~C{Tk9C!}H%v zx`qE7p0)^i)XUTDq(e_n?=(&wo_+|Nm;WZxdHIi%Rs&1^dr7O`DgBeA)sK{Znsl!3 z&yvpdweh8e{~TWa0YI+r7f9#&eu=c%gv0q?1T3^vr2ih!=Sjcbq?_9b#@}T3Kvut| z+1zixB7SR|rb|dak)^LB{cM(ALHemIy*e;LU7xBwk8XS3QMJc0b$@NI+T)mNk4I^b zc%Sp}aDUZ4$5i|L4}J~e_oGdC-)Z`#s(p^B_W3lwI`Kl*KEI#+Iz`o9wc4@ve)lzg z?ZVz!?XdRwThbQ0vcuB3C>@0)XQ7P&rV8tH!04@7!-@rx6Y zZV&%$4EcF49@9YV9>)A#4VbU9d|4pR7$mLa$es^u%$yR>B|3T6#Nt-?F7bG3oobep#gdhV&Dp&sQ2RY5ygb|FlS-!;V3gJ~7glk$#T!#gV3d zOTL-q-@vXX_D(xHuD8c-D$^tVAn9#fe>Bp2NWV;aNu(c*9Z_aP`aPtreqW6A2Vw`2 z3nKlqq?d60ha>%Kq(4jg!btxfX_NnHCTu0wUc_+Vndw(r@Og zd-_%FoJju&=@!!4BK=dOA1D2Eq<_Bnaz4_}kzUUAXusorm-GhG*T!}F_jT_hJvP$R z|GKA0e=gGBJ_sZERYT)yC&L^}Ha@ykdrCmsFc_*+Q# zke(a-n@DHnAHS3IQ(QkYuD^x!CrC$sJO0P{0%a-bo8$TiNk2(?U!*@8Um@HOX~tWv zx{vf9!s|!nZ@IsDl>O)9|E9h@PFO{HqG+by32PhD)_46-`(eKu*r%?a_{N6o zUuUJk^Fd>LKJgzKuK#;|nqLx*L-NKdCIv0h7NhYa(iUII(>K(oi+2&Dy8Ue|IZiyD zo(H!3ox{^6?;KAmUBzn-D_%3UYL8L^yd{OXHcKrq770;0#!({V#`2U<=zUwDF{&bI@oSfdrFs@)~`{5r5Dw3X$H&uV5a><%y9d zzMvhcBmE3tF!?)5q(6atd*&AM|A_RLv-F>n{_k1(Uux^dnGa+9)Neeibf-K*`gqA3 zKb87_k#y95{33Q0x9hXx`U-xb#mYZ5()Y9Ldk^VJk?!SJ(AJT@Cem-N#rlDX{`?>R zUat8e`;Gqy^N&TE`O5LX@}J*2^;<>={ukIG-vQ8)XzknBl}K3 z|8ae!qka?S(LM`Fw=4gIYvF$%>EnX`7ScZ*{It&m{Qo)DU#<@Reyyi-Cy@0M6NqI`lh!+>>pjSOk?Vy#;#u2U zDE>3S{|>%@xr^&j{wY6-J|86+{p*xpik+ql`NVJ5Ea&>1$p63j1=Z(CUlQrRW_?E1 zUZnn@$PrV8I*qmF~=hO%4`_rkf#opGwEo#qGAEACP zkdFR)>Jwl;)L%~h8Sbx#AuN`Dr?O)Gjt`TbAL-}WRnPcMzj1VP;^8wVkscS*T<`UCXS)My-YgVhibLG{UfBqeiNCW|Nm<{8z4KcvH*Y70-)Op+Z!UsvY^U3{}DUyytz-cfWggQ^x@^o$h__Io~<&dH>({oO?b`U(x?n z)aUeeFdcu@IDOqe5gOWG#q$sG!RWQB#v4KQ0-HXr`g!OxVeQDrD&d#R@B4p{^{fCE z{8-PbkI?=^rk#3}-bQHiKY~8rNBahYzd^n05#TEZQ;*a0ElSVTH$wm3)_ALXuvfzW zDeb?ypW6rlgEh>LT(3S%J$c&tgYW8FSr31MZtFkCFWqE)W*=8me{(lbbXohGrA_`R zeOCV(=YiymoyqHJIfo5C$MdW+SfTQ;CePau?gGBi+TXwp3cbKDG5Buq`#WI6 zZw#@WZi{?Qt<`_+HvGj-Y1jGI zV$TQf0Ni2lQT!uA1(OD!qCPMD=r?!<{Jsrr^0aoI=SAMHv-Y>5Pmck=&)|CkJKv@9 zvGya}eD*NyXRRH3sBc^{82d3WN4v@M+OH#z-N3(P?LV~r2&2b3{MGE^v;zx2*7f=G zXgc4z5$Hclv+-};32rfy_5ZAnw+?x8w;K58FW4=bFIN3n_sgvJz4rVH#(y{T|01y2 zlXZXGfe-$v?=IR+KGyv^{;wC<^ncw`(6a=*Rp(!SLto#T?ZCF4^#>SV^kG8zyZ-sm zN9a9d{juNOL%`-g)}tQ>dVzp4e*$rtA)?IuMV?>q2U>|asg4V>E@5P5vI!CP6sz^e`B7p+-;v;q9(7P)7< z+S-Z7R`$~0*1zE*_OAhG`n>`C_umV=$@;$^e#XGY&kcXT+n~gLAFy`hM{kxi_$xd= zMtjcSZ^7Toz;`KsH;8}z7hu!3jmXpWSAY$ljeB{^`3XQ9f8+R5-yQsJragpS!cU{u zM)cv8SAdP*8_|d1`+)Ac^&OXy?%zw2Wy{S*Jv^|=;)l5cf^|B%qb{6W|EgUM$d2L7b- zW7Cb?taBOI;*U+l0|Qrp&Hii}h5w7d#-B|uX1`PDY5KA0HRv<)<%q$0Hoczx>%$qC z{VKf)M%T0Hw-~RB_I}m3P4Hud@6Y--{UP*}`Apw8{W|x-hKED}zoO=kk&tUBDb8Z8+^=>X;AMO)S z@!ecwJkdu#-dkw@q`}uS{yi;z2>my6{=&Vn%j9A6@0&js{H~?_Gpz5f%y^G7|6yQh zhaQ{p_am19Z&3WUT+_!tZI|)4^m4vK^jG>r|1D$S$48IfmJ^Ksa0bo;|1+@Bb4#;b z{W}pp9Q}oK(im+ zTbTbF2E&hT^kw^9z%S6}yN_Zo7}wzs|GH0Of8Pmg^y|(+@6T8}^zN?m+5MA61OGDo ze2fnSenOA#58+?W1HZ)JkAqJc*yHnA*7Jb$*Y$Ki+Rndj=jf5n#yYm5|L&F@qvuxSD;s}qWxuyi=C}22y`aBGa{T-$p2t2P z_&wT>>ik>D5A?P*gRuuQLLcM*R{V>;In>%eZMRDG82s1JTj*={ee2^lT(jf3`n<>! zBx}>>Z9An+{waO8Js1uYQ&OCSTj|FW1TXE&kv3U+w(s!C$)D zm5ly9Yw7=&^f&v`vlDr}1K8|$5Bt*x&jVY0)r0?ZZzMGT*z+pzJ3+hgtA}_1nc+Nv z;@eZ_4cAWq8@+pe34KH^ygv54i}l_QZ0qe|e}3y-z~=9I{=(k?ZsYwG^geITGft2A zPWMl#((^;cdw?%&yx!;H&)*KbLZ9#LV}J7y@Fj!y>+g9y{dl|l&2Rg9=6UJ~-S-09 z`g%+Fvxk5!UhTaT|1Yq`i@m?@e?Or9t@jUk^ZhjK$80{aZqu!N=mCKKUKuXMk<}eckw9#&azRsFz5uN~xTs{}Osc1Zol(_;l< zCjLp*@7v$#Z`@RTcifHq3BAm|?D#PG<5;HuL-@n51D~?~)C=DBF<|4*j{gAuKnvda zOvaxE9@PFjw_#r&1U7%PbAb3s@Oz=P6aW0oVTSYl&tOkvKBLD@;)Q3j4!2(T%bVjT zSDpcs`Qh(Q^ymB?!1vpDzX8AB2yE-wNqp_zvTORa^Rvk7DcY?bu=8u=<8KE(XwN@^ zJ?sS*`rg2WZ`ZWT?=IF;W?rvvyLKU;i`E}|x(j=^<@bPX{$2Rrm2<#`@2*>!?^D2E z)A@Ggq1Ri0Eq>iay!vWsf1R}xKj^L72EV60-r4m>#J6|S-{fi6XQ9`NoB-P|G)VKa74oK>M?G z{ktE>UkJS3;2*O7Zv&rJc+YCa`y{Z;$M}2p;4cJ!55FjwTrml3^x5+Y?2+JO@%f%S z^z;3p-yZaTWv}(`0>-}Ga9m-b-=6#Mhpz>mv-$BqS$nYu{8!6(G9U7>2mN1tcLv5j z-!uojMxWoin%i%7O1r^b?eWXrgRF;j`St9j-qGI=Z2aAOE9`_D0j^+5GsQ=gE8@GWZL`AEMtzuf10~=KF8(xu5pU z+JE0l<~s~*^X;R)vGQhM(}#Wdmz}-9hX20P?fKolN^tWv=V=%GSl7Nck$*obpp8#` zW_<(r9R@#$KX?*Y_znN|eG2|e02@8`Jq*6T3uyG%_m9ZuUh9uu?;}2ax!`|7$J;Nz z7nk`=e)exg9%a5Q);@?oe**Zq2EPn_02F+M{`;xNJm)UJcY+TM`)?;+Lyo+D?Ee+u z2SDd8d;Z<+`TKtS<2K~;nptZne!1>4&kH^>p4j8Vz>~o6X+QFGV=u7He_#{+KM!c} z*@3;pmsfzF((w+wko}z(1DpTi?c|dyPXQZ$4n*k3dEggW|4RG*!GT|Z9-?o?-vf8I z=RXG?;Jm8Hv&E|iK1aOr17P7N`f%V1^^PGxv(E>+1%z_4iXh>+c1=-sbxT{`($alb8M{kr$!I4cb0{e&`z* z4JO~YlV(5PfaC`iU|Zk7$xq+$m8YbG%GUt#rM?Nkp0Bmy8Iiw%H?`~c0Qt_22YKA^ z9rytG%U)oMF9tr*9?uSZ1^E$uvUqTS^$hj{8+``EU%G#a54BeNy{*BUTk)d&?(iV> z0e7pg#RI&Fdvc|WFZ8^g_DSe@2=I1Y&%xJ$@27wb--8MHY%j3nhv+wN-M+N;K47cI z9K=4HdH~puPkeK;jA!zDkoxD!X<*ZzgXDLwdl#_PD-L3>!GwQ?|3BidUkrH2@cB3N z=P>X~6&}1EfBz`3)o%v35P#nREbFKMH?@pSB*}Sbb^VDPW`5;OBWm!U^CfZTx@09!k5} z!@=*7FMkry^lgax)%MQ=7qtHn^7yv*1Dm}Z!d{E|_~(a?L(kU&+WbQ~`acHj``3X# zW$h~<(_5MUA>flX{yT`LuLs_4@O|``^@zQa{-4HwOaj|_hW-|Ndb_pr+z|ff8Rvnm zJ}~tCcKb7|&X^9$)!`kCe-EJ1bNHtA`VYSC()GJ(H~tUT;pb(Ag`dN}i2cd7zn}di zX*Yfj<1e=e{fytk_)C4Whpun<@7w7={H>O~7M6@$i+>e)we^mW53H;LoBogVwd7Om z+sHoXcR%f;I{pauTyJtS_z3lt677Ft@GaO|p|9z~$a&~F2WHi@4-Zbz^8y|Ulh3KQ@J0t%@|FKN}e-Ezdy$0CmJG#Q&JoY7ho@LmZ zvkcx1fA6*DuVtApXwQ#F*+0$3JEI-;Z}iQyKWWb+Pouw%{gU-PXyd;Z{LcfgFqnAZ z)<=MC{iFC>rsbd7gVC=sp6q9te2;zydnEcjruJx*_-E@Az~^fcm*C~Eu)aTyz`@n|(*jLF%-wtf?`WW`@=6isxzBeZIkPoK)^GRcPpb=Lt z9-ImixeRMyUT?#i3SNHf+;~{a+uyqW%_Y&SeyZmZ{ZPtRo0W!rp7G74s8R^@hN|P- zniNgNw@1M(Hl+ToD=Y)KFXn4WteECEEpU*YxHlQKk#wZB_`biLw zo31j`duY1a$dw#M=cdn0g~x6_a`e=(iSW$vsla`4>*U#!ZQnL4H7)}yRJpTBKL*WO zMeglt)=JfCEdZCKMLEzL$dyXfd~RSaS1FXD1nSC{^^5g94ViBbo+?D8MlN(i$CbuF zsk#6e1`2V#mTTna+@tkcGl{FsIv0>N0_oHm)i;euQxY|rNoAzSC1oMg1-@~#)i|0q zGCcR4Rk&&@ZiFuw4o8AqW3E~cxtQugZZ-;;JAWZKa`q;!rwhw*Jy(inD^VdVRda>V z5b(`K?mH{VlzLHF+8iGo4u{8v!m*%~t2e?%oWH;~FBlDngD^ke2=e6$GYa1;jbbnx zHgfd~rKl0+8;jxa$Z*iiB?6SfnbHNm7zsl6&D??@4bf+caj_cC$`jRc8B&Kc&0_j} z66NQ8L;9t3=BBbrqpfQlVX?0rC&CjakIRj3(p%IbnP=s5QLd0wxd1Mz^|cDY8g9`Ie1OTuCVltjVI z6isbFu2290I?6+ZsGd*a8n@LcoUc}j@oY26$@OshaK~5j6vT~^VlHpPw?M(^*yPak z;)L8+XVBRe6oO5&Hj$ZhssWL6Nnu>c9o!1ts)>jE$}uC&T97|}%hb`4p`nw)s}O2B zW*P021#vxTwK$%-A>h!Vh; zMU_{I&P-Hij|qqQ>Svne+I013wb~f379uy7T;b;gO`+mK2FN334vk!)7*>!a+TxPq zdHPMQS)X&F5M;hWw&|Gtrc~4&v!BB21{ld{AG=)f`KdnJQsux2Pto z7luq%Zxvw(%5jW<&V~yKw-<(^BhJj^2NsfCt%gZz8H&NVYB?IrH|q`lCWDP6iU!l$ z90wcKYNq&laE>{{v_X^d8dM##!xq2DPc2I0u z{r2D>7LPwqKT~ZCayAC_3eCakT*9iEY^FX~i5B?l|L`$CSkC3=xF^rh807B8e7VMq zKiMg2;tYSHQy>dJ=_x9Cw~P=&lgQ^V*IW!jb?$X0clQ;xTvowd{MdpoxT_~yaHSl# z02Kj)WiU)itJT?;q*-eWaOGwxqFG#Dv!b$CFj#$+0lwqY6aD`oqnU4sMsiK=Gn*{%id z7L6MhvtQ*)xia`Fkz8<9Od@csT?{=}S;429KVF--EHx7^LfOJ-dl)2ZMklR5!4;&dC4wx19dNqisHn>D#0Izm<* zA(ea?Lg$jA5F1q8eb8-0ux^!xTUUUsIvywG1=4DQ=o+~Xq*!c7QsmO`I_oQjskvZL zM+&zQ!;L3VA#P01ks8cZOJGuKHuA<45o5msXX)CpP;?gxl2|2P1PQd52^(XA=E$Gs zbF2i!$abC3b!hoQDOWM0kkMD;;sT?pBr7e|&dB7BL)t6t5?3I%rw}Go79#miASRJu zx4p^ffln(skW$lQ#xlerkUvNkvh-$W6@e@dos!kuyeaGDVT|EKG#_K~TM3cN@+ohG z`DT(xQt2LRt&%ieEL(t>i$5qX6d*`Ll+|a@VMRQW)DMj1VGv5y;+RjS)#kM%RmCF> zr&_9EfjgvDu%J~|}jHpA13Q!H34WBnVcYDV3=BY2#P*Pis_%zlkJ}nHJ zg?PRmkCINhY6Zqnv=Mi(kV9(PW!A*X>x%{jv+V#QlzRI5W5%(pXqM}zacHnOuhEul(P-`lVbX%QjI)#E~_c|vg3Ri2JE zi$zSQSuj_j8%+hhdFV`oa@LeYVEAY17A-wGb}q|zO)s#o?E%PCa7v zR1}X*PV-iTaN^k1_*57gAvDTp4@i^}uBFf{mx<3D%dj+Im2$%}-fkvD0p=-v6{t=T zoRNKtOq(h=>WhjeK59(uK?kYZb;nx;80REG+3r4yWR-J^VYxmVO2xnxrmz>#HJp#B z6P9!4E0uBSgh^D+p_7QN>XCPb9f)X+&xIA@PSp%&BvWVW|6p#j4a%W`f z#-mydCTbGbwbXhUE0c{~W}`}!5N1n!-a*l&B$=s6(jDg;!4k7FGA4GV z!)%&SA(~8;rHr$YB9|%(g{+Bk?rcP`9+xL6L`(j%Txiyy>{DryGo+3yGp0mI8Y992 zO0i)cVo@}burUaieVIhy@@RyFw-_kR9X-|dFXyE^&5X&ZVH0QI4V$;iV=2q5e5LkQ zLLLhlTs)xuW3fz?ngy6`!9ICfS+KtR0@|#nQ!s}+63hC}o;mHA>GF>t>ykvKmS_id zUGo)jKKAO8tJS_2UD~F$jJ#9IWt9a>LPm~5N1x1evZX%PY>32SafCI_hpI=rr9ws_ zE^RE3oI%(aWu#g5W~kp+XK{E=8E{2~P$=iA=8{LEMQ80X0*Gl7F;xW<6EC5Ri>nBk zEOk;5oFwvfn%#-5YOv#sBH8A*oRdbBDbve19W$vntThj{c>;@?oyRdba%Ng0kHFa> zSB-~)VTV^r1xMrd4x&jMklNS+VYRE)FV!Q|mqn@3S4x$Jp_P}njx}h2suY|feZY46 z;9EmpZ4zrEc`*cDUUkzcRc^!%x2%%{urG@mk^{@yPxy_9DVI=?KTWg^2oP!7@i*B5=;LS!t#3nnGs7Udab$WG@Ey7DO1bacVJc zcP4n{>63h$ND%EcFD({aRj}X&J5S)>#PUj@mBB1cwUiQVsb#3|@lhek8YGb%hj!Kw ziw}-xC92a17QCl)i>7(##FQ#QOH7A`EjTnj$p&Z|q9X*evCqaZ(`H6MAx{O0EFo!+ z-z0nx-({7ePXEHThUCy`LSUBIHqF?-kl3_|A7wji$o9bu z-v;9Kj!m9koNP?peyX~Vl}mO*D=xZWK3Cz9-N_@Bf=sE&@YzVR^1z46nR-#mm;g3P zU1+?FI%MsOvxhYTAKBlPs+aOxOI}7$wVm*iDAXzu7CpL+JnbbRiP|Yq*-6=>#%=pD zne2ZWf5?Z}Y?b{UbQ<9?ii!zFI-LpDuUsZxZkC2`YH?P&IM=G0ZDh-(b;wrM?XZ>l zk(Sk{E1;B3%1zLrjl82H`QezVk~nI&>xq~+r;W*~u<3HO>X~==V(1lNUu*IfLt-H3 zbd^GkCqZh5khiEOM&l~JJUf>$1urOs@Jq>xwnJQMuofuIM|lZUodaPCeIJ$iU>%K} zcuHh!%4R5^VYejjL^0ae1xC)_YB2OPtLAXl$D8x!P3Zaq7F{$How zEY)7ip_B?D%+5Kdj#&k&gWFdr#(DlK!IaKr;-O1 zLwkPX+1pX;(_k}2Tq7tKcIt@$M?V+sp;nWciN^PQfOVn0?3w`;bg5;;tvHY7#5KHG-uU z?{spvFlT!*Nx40yY_n9(B1%k{D4E-#Ig3ZK7ksA1R}oUgC14X#K`m@VQ7J(~G?ddU zx@I+(xqKRhu|1sc^h3+m?c2E1ia801+`B}rq$^uhDwXwgvxiMW_JCv`N_R(nri5R1 zXAT!#fk7B3YMVt4>_SHDqPDB`~i3uN%th zQH(UFax=U-U8#<&7Uf`^=G&nY!VHNpic*-+!Y#>nE0lKwgq#Dk)iBi4wF4Kh6 z73ZCd`w233LHl6LB^6@rnUbj}6xE?zlMOTHloVep-egm=w5G$MkwsC)w&Z#!m}@LG z5!srA+BIk6j9iJ!VM8Gqr$OaRiX@5Fh?a})q#k_9Ne0g*~VWd<7G9w|q*=VdiJt-m}FQo|Mp424V+@{o`?| zdrYNe9xVc;3v88aE4U73%v7nwNjRiUoCt0^9hg$U$r(q9*euSRaB&`xR}q8o_#+ zfLxSH#N|l69+e3meUQ*WDK!f!S3(EzRPDT1)n8`M#ycRVvC15wwFSFUPm_}$I-lPT z!UmBd$^K*}g>ktu+_AGs4kdl8ej%=f%h!QiudG6QLOYw{^U0xX%AxfVms3cg9^to& zi7r1AN}ZAeRhmjuM8McA$@SoyrcdI^6&F1JvB|h1G09Qtm{Wv?Q?6#!hQw8Z_I49& zI~kd?7QQAej#uqdyLU0wx4=unQeADcvUI&?IRYe!>I}7CErC+hbg!;=eqAJ|gPrU> zc=J1K92He@YIQX!Y+sQ?ldib$9iC@b=kXqkN0oAI8Kd{cMA)?p@MKDpl~#E>Q?1c+ z6uKKNyF{{a@QNn;0mV2<+JZc@DpKTVa4ITSs8Qgwkz8fp*EZ#Bz4{n$iR78O$oT&P D)r#}x literal 0 HcmV?d00001 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/Magpie_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/Magpie_api.h new file mode 100755 index 0000000..95111b3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/Magpie_api.h @@ -0,0 +1,226 @@ +/* + * @File: Magpie_api.h + * + * @Abstract: Magpie FW api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _MAGPIE_API_H +#define _MAGPIE_API_H + +#define MAGPIE_FW_BUILD + +#include "cmnos_api.h" +#ifdef MAGPIE_FW_BUILD +#include "vbuf_api.h" +#include "vdesc_api.h" +#include "usbfifo_api.h" +//#include "allocram_api.h" +#endif + +#include "hif_api.h" +#include "htc_api.h" +#include "wmi_svc_api.h" +#include "buf_pool_api.h" +#include "dma_engine_api.h" +#include "dma_lib.h" + +#if (SYSTEM_MODULE_SFLASH != 0) +#include "sflash_api.h" +#endif + +#define A_INDIR(sym) _A_MAGPIE_INDIRECTION_TABLE->sym + +#if SYSTEM_MODULE_DBG +/* debug Support */ +#define DBG_MODULE_INSTALL() cmnos_dbg_module_install(&_A_MAGPIE_INDIRECTION_TABLE->dbg) +#define A_DBG_INIT() A_INDIR(dbg._dbg_init()) +#define A_DBG_TASK() A_INDIR(dbg._dbg_task()) +#else +#define A_DBG_INIT() +#define A_DBG_TASK() +#endif + +/* Serial Flash support */ +#if (SYSTEM_MODULE_SFLASH != 0) +#define SFLASH_MODULE_INSTALL() cmnos_sflash_module_install(&_A_MAGPIE_INDIRECTION_TABLE->sflash) +#define A_SFLASH_INIT() A_INDIR(sflash._sflash_init()) +#define A_SFLASH_ERASE(erase_type, addr) A_INDIR(sflash._sflash_erase(erase_type, addr)) +#define A_SFLASH_PROG(addr, len, buf) A_INDIR(sflash._sflash_program(addr, len, buf)) +#define A_SFLASH_READ(fast, addr, len, buf) A_INDIR(sflash._sflash_read(fast, addr, len, buf)) +#define A_SFLASH_RDSR() A_INDIR(sflash._sflash_rdsr()) +#else +#define A_SFLASH_INIT() +#define A_SFLASH_ERASE(erase_type, addr) +#define A_SFLASH_PROG(addr, len, buf) +#define A_SFLASH_READ(fast, addr, len, buf) +#define A_SFLASH_RDSR() +#endif + +/* DMA Engine Interface */ +#define DMA_ENGINE_MODULE_INSTALL() dma_engine_module_install(&_A_MAGPIE_INDIRECTION_TABLE->dma_engine); +#define DMA_Engine_init() A_INDIR(dma_engine._init()) +#define DMA_Engine_config_rx_queue(q, nDesc, size) A_INDIR(dma_engine._config_rx_queue(q, nDesc, size)) +#define DMA_Engine_xmit_buf(q, buf) A_INDIR(dma_engine._xmit_buf(q, buf)) +#define DMA_Engine_flush_xmit(q) A_INDIR(dma_engine._flush_xmit(q)) +#define DMA_Engine_reap_recv_buf(q) A_INDIR(dma_engine._reap_recv_buf(q)) +#define DMA_Engine_return_recv_buf(q,buf) A_INDIR(dma_engine._return_recv_buf(q, buf)) +#define DMA_Engine_reap_xmited_buf(q) A_INDIR(dma_engine._reap_xmited_buf(q)) +#define DMA_Engine_swap_data(desc) A_INDIR(dma_engine._swap_data(desc)) +#define DMA_Engine_init_rx_queue(q) A_INDIR(dma_engine._init_rx_queue(q)) +#define DMA_Engine_init_tx_queue(q) A_INDIR(dma_engine._init_tx_queue(q)) +#define DMA_Engine_has_compl_packets(q) A_INDIR(dma_engine._has_compl_packets(q)) +#define DMA_Engine_desc_dump(q) A_INDIR(dma_engine._desc_dump(q)) +#define DMA_Engine_get_packet(q) A_INDIR(dma_engine._get_packet(q)) +#define DMA_Engine_reclaim_packet(q,desc) A_INDIR(dma_engine._reclaim_packet(q,desc)) +#define DMA_Engine_put_packet(q,desc) A_INDIR(dma_engine._put_packet(q,desc)) + +/*DMA Library support for GMAC & PCI(E)*/ +#define DMA_LIB_MODULE_INSTALL() dma_lib_module_install(&_A_MAGPIE_INDIRECTION_TABLE->dma_lib) +#define dma_lib_tx_init(eng_no, if_type) A_INDIR(dma_lib.tx_init(eng_no, if_type)) +#define dma_lib_rx_init(eng_no, if_type) A_INDIR(dma_lib.rx_init(eng_no, if_type)) +#define dma_lib_rx_config(eng_no, desc, gran) A_INDIR(dma_lib.rx_config(eng_no, desc, gran)) +#define dma_lib_tx_start(eng_no) A_INDIR(dma_lib.tx_start(eng_no)) +#define dma_lib_rx_start(eng_no) A_INDIR(dma_lib.rx_start(eng_no)) +#define dma_lib_intr_status(if_type) A_INDIR(dma_lib.intr_status(if_type)) +#define dma_lib_hard_xmit(eng_no, buf) A_INDIR(dma_lib.hard_xmit(eng_no, buf)) +#define dma_lib_flush_xmit(eng_no) A_INDIR(dma_lib.flush_xmit(eng_no)) +#define dma_lib_xmit_done(eng_no) A_INDIR(dma_lib.xmit_done(eng_no)) +#define dma_lib_reap_xmitted(eng_no) A_INDIR(dma_lib.reap_xmitted(eng_no)) +#define dma_lib_reap_recv(eng_no) A_INDIR(dma_lib.reap_recv(eng_no)) +#define dma_lib_return_recv(eng_no, buf) A_INDIR(dma_lib.return_recv(eng_no, buf)) +#define dma_lib_recv_pkt(eng_no) A_INDIR(dma_lib.recv_pkt(eng_no)) + +/* HIF PCI Api's */ +#define hif_pci_boot_init() A_INDIR(hif_pci.pci_boot_init()) +#define hif_pci_init(pConfig) A_INDIR(hif_pci.pci_init(pConfig)) +#define hif_pci_reset() A_INDIR(hif_pci.pci_reset()) +#define hif_pci_enable() A_INDIR(hif_pci.pci_enable()) +#define hif_pci_get_pipe(eng) A_INDIR(hif_pci.pci_get_pipe(eng)) +#define hif_pci_get_tx_eng(pipe) A_INDIR(hif_pci.pci_get_tx_eng(pipe)) +#define hif_pci_get_rx_eng(pipe) A_INDIR(hif_pci.pci_get_rx_eng(pipe)) +#define hif_pci_reap_recv(sc, eng_no) A_INDIR(hif_pci.pci_reap_recv(sc, eng_no)) +#define hif_pci_reap_xmitted(sc, eng_no) A_INDIR(hif_pci.pci_reap_xmitted(sc, eng_no)) + +/* HIF support */ +#define HIF_MODULE_INSTALL() generic_hif_module_install(&_A_MAGPIE_INDIRECTION_TABLE->hif) +#define HIF_init(pConfig) A_INDIR(hif._init(pConfig)) +#define HIF_shutdown(h) A_INDIR(hif._shutdown(h)) +#define HIF_register_callback(h, pConfig) A_INDIR(hif._register_callback(h, pConfig)) +#define HIF_start(h) A_INDIR(hif._start(h)) +#define HIF_config_pipe(h, pipe, desc_list) A_INDIR(hif._config_pipe(h, pipe, desc_list)) +#define HIF_send_buffer(h, pipe, buf) A_INDIR(hif._send_buffer(h, pipe, buf)) +#define HIF_return_recv_buf(h, pipe, buf) A_INDIR(hif._return_recv_buf(h, pipe, buf)) +#define HIF_isr_handler(h) A_INDIR(hif._isr_handler(h)) +#define HIF_is_pipe_supported(h, pipe) A_INDIR(hif._is_pipe_supported(h, pipe)) +#define HIF_get_max_msg_len(h, pipe) A_INDIR(hif._get_max_msg_len(h, pipe)) +#define HIF_get_reserved_headroom(h) A_INDIR(hif._get_reserved_headroom(h)) +#define HIF_get_default_pipe(h,u,d) A_INDIR(hif._get_default_pipe(h,u,d)) +typedef void (*HIF_INSTALL_FUNC_T)(struct hif_api *apis); + +/* VBUF APIs */ +#ifdef MAGPIE_FW_BUILD +#define VBUF_MODULE_INSTALL() vbuf_module_install(&_A_MAGPIE_INDIRECTION_TABLE->vbuf) +#define VBUF_init(nBuf) A_INDIR(vbuf._init(nBuf)) +#define VBUF_alloc_vbuf() A_INDIR(vbuf._alloc_vbuf()) +#define VBUF_free_vbuf(buf) A_INDIR(vbuf._free_vbuf(buf)) +#endif + +/* VDESC APIs */ +#ifdef MAGPIE_FW_BUILD +#define VDESC_MODULE_INSTALL() vdesc_module_install(&_A_MAGPIE_INDIRECTION_TABLE->vdesc) +#define VDESC_init(nDesc) A_INDIR(vdesc._init(nDesc)) +#define VDESC_alloc_vdesc() A_INDIR(vdesc._alloc_vdesc()) +#define VDESC_get_hw_desc(desc) A_INDIR(vdesc._get_hw_desc(desc)) +#define VDESC_swap_vdesc(dst, src) A_INDIR(vdesc._swap_vdesc(dst, src)) +#endif + +#define HTC_MODULE_INSTALL() htc_module_install(&_A_MAGPIE_INDIRECTION_TABLE->htc) +#define HTC_init(SetupComplete, pConfig) A_INDIR(htc._HTC_Init(SetupComplete, pConfig)) +#define HTC_Shutdown(h) A_INDIR(htc._HTC_Shutdown(h)) +#define HTC_RegisterService(h, s) A_INDIR(htc._HTC_RegisterService(h, s)) +#define HTC_Ready(h) A_INDIR(htc._HTC_Ready(h)) +#define HTC_SendMsg(h, endpt, buf) A_INDIR(htc._HTC_SendMsg(h, endpt, buf)) +#define HTC_ReturnBuffers(h, endpt, buf) A_INDIR(htc._HTC_ReturnBuffers(h, endpt, buf)) +#define HTC_ReturnBuffersList(h, endpt, hd) A_INDIR(htc._HTC_ReturnBuffersList(h, endpt, hd)) +#define HTC_GetReservedHeadroom(h) A_INDIR(htc._HTC_GetReservedHeadroom(h)) + +#define HTC_NotifyTargetInserted(h) +#define HTC_NotifyTargetDetached(h) + +/* WMI SVC module */ +#define WMI_SERVICE_MODULE_INSTALL() WMI_service_module_install(&_A_MAGPIE_INDIRECTION_TABLE->wmi_svc_api) +#define WMI_Init(pCfg) A_INDIR(wmi_svc_api._WMI_Init(pCfg)) +#define WMI_RegisterDispatchTable(h,pT) A_INDIR(wmi_svc_api._WMI_RegisterDispatchTable(h, pT)) +#define WMI_AllocEvent(h,ec,len) A_INDIR(wmi_svc_api._WMI_AllocEvent(h, ec, len)) +#define WMI_SendEvent(h,ev,id,seq,len) A_INDIR(wmi_svc_api._WMI_SendEvent(h, ev, id, seq, len)) +#define WMI_GetPendingEventsCount() A_INDIR(wmi_svc_api._WMI_GetPendingEventsCount()) +#define WMI_GetControlEp() A_INDIR(wmi_svc_api._WMI_GetControlEp()) +#define WMI_SendCompleteHandler(ep, buf) A_INDIR(wmi_svc_api._WMI_SendCompleteHandler(ep, buf)) +#define WMI_Shutdown(h) A_INDIR(wmi_svc_api._WMI_Shutdown(h)) + +/* USB FIFO module */ +#define USBFIFO_MODULE_INSTALL() usbfifo_module_install(&_A_MAGPIE_INDIRECTION_TABLE->usbfifo_api) +#define USBFIFO_init(pConfig) A_INDIR(usbfifo_api._init(pConfig)) +#define USBFIFO_enable_event_isr() A_INDIR(usbfifo_api._enable_event_isr()) + +/* BUF pool module */ +#define BUF_POOL_MODULE_INSTALL() buf_pool_module_install(&_A_MAGPIE_INDIRECTION_TABLE->buf_pool) +#define BUF_Pool_init(h) A_INDIR(buf_pool._init(h)) +#define BUF_Pool_shutdown(h) A_INDIR(buf_pool._shutdown(h)) +#define BUF_Pool_create_pool(h, id, nItems, nSize) A_INDIR(buf_pool._create_pool(h, id, nItems, nSize)) +#define BUF_Pool_alloc_buf(h, id, reserve) A_INDIR(buf_pool._alloc_buf(h, id, reserve)) +#define BUF_Pool_alloc_buf_align(h, id, reserve,align) A_INDIR(buf_pool._alloc_buf_align(h, id, reserve,align)) +#define BUF_Pool_free_buf(h, id, buf) A_INDIR(buf_pool._free_buf(h, id, buf)) + +/* + * This defines the layout of the indirection table, which + * is used to access exported APIs of various modules. The + * layout is shared across ROM and RAM code. RAM code may + * call into ROM and ROM code may call into RAM. Because + * of the latter, existing offsets must not change for the + * lifetime of a revision of ROM; but new members may be + * added at the end. + */ +typedef struct _A_magpie_indirection_table { + _A_cmnos_indirection_table_t cmnos; + +//#if SYSTEM_MODULE_DBG + struct dbg_api dbg; // move to firmware not in cmnos +//#endif + struct hif_api hif; + struct htc_apis htc; + WMI_SVC_APIS wmi_svc_api; + struct usbfifo_api usbfifo_api; + struct buf_pool_api buf_pool; +#ifdef MAGPIE_FW_BUILD + struct vbuf_api vbuf; + struct vdesc_api vdesc; + struct allocram_api allocram; +#endif + struct dma_engine_api dma_engine; + struct dma_lib_api dma_lib; + +#if SYSTEM_MODULE_PCI + struct hif_pci_api hif_pci; +#endif + // structure to be added after this.... +#if (SYSTEM_MODULE_SFLASH != 0) + struct sflash_api sflash; +#endif +} _A_magpie_indirection_table_t; + +extern _A_magpie_indirection_table_t _indir_tbl; +#define _A_MAGPIE_INDIRECTION_TABLE_SIZE sizeof(_A_magpie_indirection_table_t) +#if defined(__mips__) +#define _A_MAGPIE_INDIRECTION_TABLE ((_A_magpie_indirection_table_t *)0x700) +#else +#define _A_MAGPIE_INDIRECTION_TABLE (&_indir_tbl) +#endif + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/adf_nbuf_pvt.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/adf_nbuf_pvt.h new file mode 100755 index 0000000..eb200fe --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/adf_nbuf_pvt.h @@ -0,0 +1,425 @@ +/* + * (c) Copyright Atheros Communications + * FreeBSD specific prototypes + */ +#ifndef _ADF_NBUF_PVT_H +#define _ADF_NBUF_PVT_H + +#include +//#include +#include +//#include + +#define __ADF_NBUF_NULL NULL +#define __ADF_NBUF_CTX_BUF + +typedef VBUF * __adf_nbuf_t; + +/** + * queue head + */ +typedef struct __adf_nbuf_qhead { + VBUF *head; + VBUF *tail; + a_uint32_t qlen; +}__adf_nbuf_qhead_t; + +typedef __adf_nbuf_qhead_t __adf_nbuf_queue_t; + +__adf_nbuf_t +__adf_nbuf_alloc(adf_os_size_t size, + a_uint32_t reserve, a_uint32_t align); + +void +__adf_nbuf_free(__adf_nbuf_t buf); + +#ifndef _ROM_ +a_uint8_t * +__adf_nbuf_push_head(__adf_nbuf_t buf, adf_os_size_t size); + +a_uint8_t * +__adf_nbuf_pull_head(__adf_nbuf_t buf, adf_os_size_t size); + +a_uint8_t * +__adf_nbuf_put_tail(__adf_nbuf_t buf, adf_os_size_t size); +#endif + +void +__adf_nbuf_trim_tail(__adf_nbuf_t buf, adf_os_size_t size); + +__adf_nbuf_t +__adf_nbuf_realloc_headroom(__adf_nbuf_t buf, + a_uint32_t headroom); + +__adf_nbuf_t +__adf_nbuf_realloc_tailroom(__adf_nbuf_t buf, + a_uint32_t tailroom); + +__adf_nbuf_t +__adf_nbuf_expand(__adf_nbuf_t buf, + a_uint32_t headroom, a_uint32_t tailroom); + +__adf_nbuf_t +__adf_nbuf_copy(__adf_nbuf_t src); + +__adf_nbuf_t +__adf_nbuf_unshare(__adf_nbuf_t src); + +void +__adf_nbuf_frag_info(__adf_nbuf_t buf, adf_os_sglist_t *sg); + +#ifndef _ROM_ +a_uint8_t * +__adf_nbuf_get_priv(__adf_nbuf_t buf); +#endif + +void +__adf_nbuf_queue_add(__adf_nbuf_qhead_t *qhead, + __adf_nbuf_t buf); + +__adf_nbuf_t +__adf_nbuf_queue_remove(__adf_nbuf_qhead_t *qhead); + +a_uint32_t +__adf_nbuf_tx_cksum_info(__adf_nbuf_t buf, + a_uint8_t **hdr_off, + a_uint8_t **where); + +void +__adf_nbuf_set_rx_cksum(__adf_nbuf_t buf, adf_nbuf_rx_cksum_t *cksum); +void +__adf_nbuf_get_tso_info(__adf_nbuf_t buf, adf_nbuf_tso_t *tso); + +a_status_t +__adf_nbuf_get_vlan_info(adf_net_handle_t hdl, + __adf_nbuf_t buf, + adf_net_vlanhdr_t *vlan); + +void +__adf_nbuf_dmamap_info(__adf_os_dma_map_t bmap, adf_os_dmamap_info_t *sg); + +/** + * @brief return the last mbuf + * + * @param m0 + * + * @return struct mbuf* + */ +#ifndef _ROM_ +VDESC * +__adf_nbuf_last(VBUF *buf); +#endif + +/** + * @brief num bytes in the head + * + * @param adf_nbuf + * + * @return num of bytes available + */ +#ifndef _ROM_ +a_uint32_t +__adf_nbuf_headroom(__adf_nbuf_t buf); +#endif + +/** + * @brief num of bytes available in the tail excluding the priv + * portion + * + * @param adf_nbuf + * + * @return num of bytes + */ +#ifndef _ROM_ +a_uint32_t +__adf_nbuf_tailroom(__adf_nbuf_t buf); +#endif + +/** + * @brief get the entire packet length + * + * @param adf_nbuf + * + * @return total length of packet (sum of all frag lengths) + */ +#ifndef _ROM_ +a_uint32_t +__adf_nbuf_len(__adf_nbuf_t buf); +#endif + +/** + * @brief Clone the nbuf (will not create writeable copies) + * + * @param adf_nbuf + * + * @return Read-only copy of the nbuf (including clusters) + */ +__adf_nbuf_t +__adf_nbuf_clone(__adf_nbuf_t src); + +void +__adf_nbuf_cat(__adf_nbuf_t dst, __adf_nbuf_t src); + + +/* + * @brief check if the mbuf is cloned or not + * + * @param buf + * + * @return a_bool_t + */ +a_bool_t +__adf_nbuf_is_cloned(__adf_nbuf_t buf); + +/** + * @brief This will return the header's addr & m_len + */ +#ifndef _ROM_ +void +__adf_nbuf_peek_header(__adf_nbuf_t buf, a_uint8_t **addr, + a_uint32_t *len); +#endif + +/** + * @brief init the queue + * @param qhead + */ +void +__adf_nbuf_queue_init(__adf_nbuf_qhead_t *qhead); + +/** + * @brief return the length of queue + * @param adf_qhead + * + * @return length + * + */ +a_uint32_t +__adf_nbuf_queue_len(__adf_nbuf_qhead_t *qhead); + +/** + * @brief returns the first guy in the Q + * @param qhead + * + * @return (NULL if the Q is empty) + */ +#ifndef _ROM_ +__adf_nbuf_t +__adf_nbuf_queue_first(__adf_nbuf_queue_t *qhead); + +/** + * @brief return the next packet from packet chain + * + * @param buf (packet) + * + * @return (NULL if no packets are there) + */ +__adf_nbuf_t +__adf_nbuf_queue_next(__adf_nbuf_t buf); +#endif + +/** + * @brief check if the queue is empty or not + * + * @param qhead + * + * @return a_bool_t + */ +a_bool_t +__adf_nbuf_is_queue_empty(__adf_nbuf_qhead_t *qhead); + +__adf_nbuf_t +__adf_nbuf_create_frm_frag(__adf_nbuf_queue_t *head); +void +__adf_nbuf_split_to_frag(__adf_nbuf_t buf, __adf_nbuf_queue_t *qhead); + +#ifdef _ROM_ + +/** + * @brief This will return the header's addr & m_len + */ +static inline void +__adf_nbuf_peek_header(__adf_nbuf_t buf, a_uint8_t **addr, + a_uint32_t *len) +{ + VDESC *desc = buf->desc_list; + + *addr = desc->buf_addr + desc->data_offset; + *len = desc->data_size; +} + +/** + * @brief return the last mbuf + * + * @param m0 + * + * @return struct mbuf* + */ +static inline VDESC * +__adf_nbuf_last(VBUF *buf) +{ + VDESC *desc = buf->desc_list; + + //for(; desc->next_desc != NULL; desc = desc->next_desc) + // ; + while(desc->next_desc != NULL) + { + desc = desc->next_desc; + } + + return desc; +} + +/** + * @brief num bytes in the head + * + * @param adf_nbuf + * + * @return num of bytes available + */ +static inline a_uint32_t +__adf_nbuf_headroom(__adf_nbuf_t buf) +{ + return buf->desc_list->data_offset; +} + +/** + * @brief num of bytes available in the tail excluding the priv + * portion + * + * @param adf_nbuf + * + * @return num of bytes + */ + +static inline a_uint32_t +__adf_nbuf_tailroom(__adf_nbuf_t buf) +{ + VDESC *last_desc = __adf_nbuf_last(buf); + + return last_desc->buf_size - last_desc->data_offset - last_desc->data_size; +} + +/** + * @brief get the entire packet length + * + * @param adf_nbuf + * + * @return total length of packet (sum of all frag lengths) + */ +static inline a_uint32_t +__adf_nbuf_len(__adf_nbuf_t buf) +{ + return buf->buf_length; +} + +/** + * @brief put data in the head + * + * @param buf + * @param len (how much data to put) + * + * @return new data pointer ,NULL if the len is more than the + * space available in the head frag. + */ +static inline a_uint8_t * +__adf_nbuf_push_head(__adf_nbuf_t buf, adf_os_size_t len) +{ + a_uint8_t *ptr = NULL; + VDESC *desc = buf->desc_list; + + desc->data_offset -= len; + desc->data_size += len; + buf->buf_length += len; + ptr = desc->buf_addr + desc->data_offset; + return(ptr); +} + +/** + * + * @brief add data in the end of tail + * + * @param buf + * @param len (how much data to put) + * + * @return previous tail (data+len),NULL if the len is more than + * space available + */ +static inline a_uint8_t * +__adf_nbuf_put_tail(__adf_nbuf_t buf, adf_os_size_t len) +{ + a_uint8_t *tail = NULL; + VDESC *last_desc = __adf_nbuf_last(buf); + + tail = last_desc->buf_addr + last_desc->data_offset + last_desc->data_size; + last_desc->data_size += len; + buf->buf_length += len; + + return tail; +} + +/** + * @brief strip data from head + * + * @param adf_nbuf + * @param len (how much data to rip) + * + * @return new data pointer + */ +static inline a_uint8_t * +__adf_nbuf_pull_head(__adf_nbuf_t buf, adf_os_size_t len) +{ + a_uint8_t *ptr = NULL; + VDESC *desc = buf->desc_list; + + desc->data_offset += len; + desc->data_size -= len; + buf->buf_length -= len; + ptr = desc->buf_addr + desc->data_offset; + + return ptr; +} + +/** + * @brief retrieve the priv space pointer from nbuf + * + * @param buf (nbuf to attach the priv space) + * + * @return uint8_t* ( pointer to the data ) + */ +static inline a_uint8_t * +__adf_nbuf_get_priv(__adf_nbuf_t buf) +{ + //adf_os_assert(buf != NULL); + + return buf->ctx; +} + +/** + * @brief returns the first guy in the Q + * @param qhead + * + * @return (NULL if the Q is empty) + */ +static inline __adf_nbuf_t +__adf_nbuf_queue_first(__adf_nbuf_queue_t *qhead) +{ + return qhead->head; +} +/** + * @brief return the next packet from packet chain + * + * @param buf (packet) + * + * @return (NULL if no packets are there) + */ +static inline __adf_nbuf_t +__adf_nbuf_queue_next(__adf_nbuf_t buf) +{ + return buf->next_buf; +} + +#endif + +#endif + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/allocram_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/allocram_api.h new file mode 100755 index 0000000..f86d34f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/allocram_api.h @@ -0,0 +1,51 @@ +/* + * Copyright (c) 2007 Atheros Communications, Inc. All rights reserved. + */ + +#ifndef __ALLOCRAM_API_H__ +#define __ALLOCRAM_API_H__ + +/* API for Target-side startup-time RAM allocations */ + +struct allocram_api { + /* + * Initialize allocram, providing it with a block of RAM + * (an "arena") from which to allocate. + * + * If arena_start is 0, a default start -- the end of + * the application's text & data -- is used. + * + * If arena_sz is 0, a default size -- which uses most + * of physical RAM beyond arena_start -- is used. + * + * Return value is reserved for future use -- it's an arena handle. + */ + void *(* cmnos_allocram_init)(void *arena_start, A_UINT32 arena_sz); + + /* + * Allocate nbytes of memory, returning a pointer to the start + * of the allocated block. Allocation size is rounded up to the + * nearest A_CACHE_LINE_SIZE and the returned address similarly + * aligned. + * + * There is no need to check the return value from this function. + * A failure to satisfy a RAM allocation request is treated as a + * fatal error. + * + * Allocations are expected to occur only during startup; this + * API does not, for instance, guarantee atomicity with respect + * to allocations that might (foolishly) be attempted from + * interrupt handlers. + * + * The "which_arena" parameter is currently unused, and should + * be set to 0 -- only a single arena is currently supported. + */ + void *(* cmnos_allocram)(void *which_arena, A_UINT32 nbytes); + + void (* cmnos_allocram_debug)(void); +}; + +extern void allocram_module_install(struct allocram_api *api); + + +#endif /* __ALLOCRAM_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/athos_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/athos_api.h new file mode 100755 index 0000000..ea658fe --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/athos_api.h @@ -0,0 +1,188 @@ +#ifndef __ATHOS_API_H__ +#define __ATHOS_API_H__ + +/* + * This file contains wrappers to OS operating system functions + * that are available in the Athos version of the operating system. + * + * Target software must always use these wrappers to access OS + * services -- it may not access any OS services directly. + * + * These wrappers are intended to provide OS-independence for applications. + * Using this header file, an application should be able to compile and + * fully link without any other OS header files, source files, or + * binary files. + */ + +#include +#include "dt_defs.h" +#include "cmnos_api.h" +//#include "HIF_api.h" +//#include "vbuf_api.h" +//#include "vdesc_api.h" +//#include "htc_api.h" +#include "Magpie_api.h" + +/* ROM Patch API */ + + +/* HTC API */ + + +/* WMI Adaptive API - required for WMI SVCs as they refer some of them*/ + + +/* WLAN WMI API - required for WMI SVCs and others...*/ + +#if 0 +#define A_INDIR(sym) _A_OS_INDIRECTION_TABLE->sym + +/* HIF support */ +#define HIF_MODULE_INSTALL() hif_module_install(&_A_OS_INDIRECTION_TABLE->hif) +#define HIF_init(pConfig) A_INDIR(hif._init(pConfig)) +#define HIF_start() A_INDIR(hif._start()) +#define HIF_config_pipe(pipe, desc_list) A_INDIR(hif._config_pipe(pipe, desc_list)) +#define HIF_send_buffer(pipe, buf) A_INDIR(hif._send_buffer(pipe, buf)) +#define HIF_return_recv_buf(pipe, buf) A_INDIR(hif._return_recv_buf(pipe, buf)) +#define HIF_isr_handler() A_INDIR(hif._isr_handler()) +#define HIF_is_pipe_supported(pipe) A_INDIR(hif._is_pipe_supported(pipe)) + +/* VBUF APIs */ +#define VBUF_MODULE_INSTALL() vbuf_module_install(&_A_OS_INDIRECTION_TABLE->vbuf) +#define VBUF_init(dataAddr, nBuf) A_INDIR(vbuf._init(dataAddr, nBuf)) +#define VBUF_alloc_vbuf() A_INDIR(vbuf._alloc_vbuf()) +#define VBUF_free_vbuf(buf) A_INDIR(vbuf._free_vbuf(buf)) + +/* VDESC APIs */ +#define VDESC_MODULE_INSTALL() vdesc_module_install(&_A_OS_INDIRECTION_TABLE->vdesc) +#define VDESC_init(dataAddr, nTxDesc, nRxDesc) A_INDIR(vdesc._init(dataAddr, nTxDesc, nRxDesc)) +#define VDESC_alloc_vdesc(type) A_INDIR(vdesc._alloc_vdesc(type)) +#define VDESC_get_hw_desc(type, desc) A_INDIR(vdesc._get_hw_desc(type, desc)) +//#define VDESC_free_vdesc(buf) A_INDIR(vdesc._free_vdesc(buf)) + +#define HTC_MODULE_INSTALL() htc_module_install(&_A_OS_INDIRECTION_TABLE->htc) +//#define HTC_init(dataAddr, SetupComplete, RecvBufferSize) A_INDIR(htc._HTC_Init(dataAddr, SetupComplete, RecvBufferSize)) +#define HTC_init(dataAddr, SetupComplete, pConfig) A_INDIR(htc._HTC_Init(dataAddr, SetupComplete, pConfig)) +#define HTC_RegisterService(s) A_INDIR(htc._HTC_RegisterService(s)) +#define HTC_Ready() A_INDIR(htc._HTC_Ready()) +#define HTC_SendMsg(endpt, buf) A_INDIR(htc._HTC_SendMsg(endpt, buf)) +#define HTC_ReturnBuffers(endpt, buf) A_INDIR(htc._HTC_ReturnBuffers(endpt, buf)) + +#if 0 +/* WMI SVC module */ +#define WMI_SERVICE_MODULE_INSTALL() WMI_service_module_install(&_A_OS_INDIRECTION_TABLE->wmi_svc_api) +#define WMI_Init(dataAddr, pCfg) A_INDIR(wmi_svc_api._WMI_Init(dataAddr, pCfg)) +#define WMI_RegisterDispatchTable(pT) A_INDIR(wmi_svc_api._WMI_RegisterDispatchTable(pT)) +#define WMI_AllocEvent(ec,len) A_INDIR(wmi_svc_api._WMI_AllocEvent(ec, len)) +#define WMI_SendEvent(ev,id,seq,len) A_INDIR(wmi_svc_api._WMI_SendEvent(ev, id, seq, len)) +#define WMI_GetPendingEventsCount() A_INDIR(wmi_svc_api._WMI_GetPendingEventsCount()) +#define WMI_GetControlEp() A_INDIR(wmi_svc_api._WMI_GetControlEp()) +#define WMI_SendCompleteHandler(ep, buf) A_INDIR(wmi_svc_api._WMI_SendCompleteHandler(ep, buf)) +#endif + +/* + * This defines the layout of the indirection table, which + * is used to access exported APIs of various modules. The + * layout is shared across ROM and RAM code. RAM code may + * call into ROM and ROM code may call into RAM. Because + * of the latter, existing offsets must not change for the + * lifetime of a revision of ROM; but new members may be + * added at the end. + */ +typedef struct _A_athos_indirection_table { + _A_cmnos_indirection_table_t cmnos; + + /* TBD: to be added */ + struct hif_api hif; + struct vbuf_api vbuf; + struct vdesc_api vdesc; + struct htc_apis htc; + //WMI_SVC_APIS wmi_svc_api; +} _A_athos_indirection_table_t; + +#if 1 +extern _A_athos_indirection_table_t _indir_tbl; +#define _A_OS_INDIRECTION_TABLE_SIZE sizeof(_A_athos_indirection_table_t) +#define _A_OS_INDIRECTION_TABLE (&_indir_tbl) +#endif +#endif + +extern unsigned int _data_start_in_rom; +extern unsigned int _data_start; +extern unsigned int _data_end; +extern unsigned int _bss_start; +extern unsigned int _bss_end; +extern unsigned int _stack_sentry; +extern unsigned int __stack; +extern unsigned int _fw_image_end; + +#if defined(__XTENSA__) +#define START_DATA _data_start +#define END_DATA _data_end +#define START_BSS _bss_start +#define END_BSS _bss_end + +#define STACK_START _stack_sentry +#define STACK_END __stack +#endif + + +struct _A_os_linkage_check { + int version; + int table; +}; + + +/* + * A_INIT() handles any initialization needed by the OS abstraction, + * and it clears the application's BSS, if necessary. (Application BSS + * is not cleared if the application is linked into a single image that + * includes AthOS.) + * + * A_INIT() must be called first thing in the application (from app_start) + * in order to guarantee that BSS has been cleared properly. + */ +static INLINE int +A_INIT(void) +{ + struct _A_os_linkage_check link_check; + unsigned int *clrptr; + + if (&START_BSS != _A_MAGPIE_INDIRECTION_TABLE->cmnos.start_bss) { + /* Clear BSS */ + for (clrptr = &START_BSS; clrptr < &END_BSS; clrptr++) { + *clrptr = 0; + } + } + + { + /* Copy writable data from flash to RAM. */ + unsigned int *srcptr, *destptr; + + /* + * The _data_start symbol points to the start of data IN FLASH. + * It is defined by flash.ld at application link time. If flash.ld + * is not used, it is defined (on the link line) as 0. + */ + static int *data_start_addr = &_data_start; + + if (data_start_addr != 0) { + for (srcptr = &_data_start, destptr = &START_DATA; + destptr < &END_DATA; + srcptr++, destptr++) + { + *destptr = *srcptr; + } + } + } + +#define OS_LINKAGE_VERSION 4 + link_check.version = OS_LINKAGE_VERSION; + link_check.table = _A_MAGPIE_INDIRECTION_TABLE_SIZE; + + return A_CMN(hal_linkage_check(sizeof(link_check), &link_check)); +} + + +#endif /* __ATHOS_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/buf_pool_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/buf_pool_api.h new file mode 100755 index 0000000..c69e1b7 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/buf_pool_api.h @@ -0,0 +1,50 @@ +/* + * @File: buf_pool_api.h + * + * @Abstract: BUF Pool api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _BUF_POOL_API_H +#define _BUF_POOL_API_H + +#include + +/* endpoint defines */ +typedef enum +{ + POOL_ID_HTC_CONTROL = 0, + POOL_ID_WMI_SVC_CMD_REPLY = 1, + POOL_ID_WMI_SVC_EVENT = 2, + POOL_ID_WLAN_RX_BUF = 3, + POOL_ID_MAX = 10 +} BUF_POOL_ID; + +typedef void* pool_handle_t; + +/* hardware API table structure (API descriptions below) */ +struct buf_pool_api { + pool_handle_t (*_init)(adf_os_handle_t handle); + + void (*_shutdown)(pool_handle_t handle); + + void (*_create_pool)(pool_handle_t handle, BUF_POOL_ID poolId, int nItems, int nSize); + + adf_nbuf_t (*_alloc_buf)(pool_handle_t handle, BUF_POOL_ID poolId, int reserve); + + adf_nbuf_t (*_alloc_buf_align)(pool_handle_t handle, BUF_POOL_ID poolId, int reserve, int align); + + void (*_free_buf)(pool_handle_t handle, BUF_POOL_ID poolId, adf_nbuf_t buf); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void buf_pool_module_install(struct buf_pool_api *apis); + +#endif /* #ifndef _BUF_POOL_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/clock_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/clock_api.h new file mode 100755 index 0000000..e4c9b27 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/clock_api.h @@ -0,0 +1,21 @@ +#ifndef __CLOCK_API_H__ +#define __CLOCK_API_H__ + +#define TICK_MSEC_RATIO 1 +#define TICK_TO_MSEC(tick) ((tick)/TICK_MSEC_RATIO) +#define MSEC_TO_TICK(msec) ((msec)* TICK_MSEC_RATIO) + +struct clock_api { + void (* _clock_init)(A_UINT32 ref_clk); + void (* _clockregs_init)(void); + A_UINT32 (* _uart_frequency)(void); + void (* _delay_us)(int); + void (* _wlan_band_set)(int); + A_UINT32 (* _refclk_speed_get)(void); + A_UINT32 (* _milliseconds)(void); + void (* _sysclk_change)(void); + + void (* _clock_tick)(void); +}; + +#endif /* __CLOCK_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/cmnos_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/cmnos_api.h new file mode 100755 index 0000000..f660f7b --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/cmnos_api.h @@ -0,0 +1,628 @@ +#ifndef __CMNOS_API_H__ +#define __CMNOS_API_H__ + +/* + * This file contains wrappers to OS operating system functions + * that are available in all versions of the operating system. + * + * Target software must always use these wrappers to access OS + * services -- it may not access any OS services directly. + */ + +#include "sys_cfg.h" + +#include "xtensa/config/core.h" +#include "xtensa/hal.h" +#include "xtensa/xtruntime.h" + +/* cmnos interface */ +#include "printf_api.h" +#include "uart_api.h" +#include "dbg_api.h" +#include "mem_api.h" +#include "misc_api.h" +#include "string_api.h" +#include "timer_api.h" +#include "romp_api.h" +#include "allocram_api.h" +#include "tasklet_api.h" +#include "clock_api.h" +#include "intr_api.h" +#include "wdt_api.h" +#include "eeprom_api.h" +#include "usb_api.h" +#include +#include + +#if defined(PROJECT_K2) +#if SYSTEM_MODULE_SFLASH +#include "cmnos/sflash_api.h" +#endif +#endif + +#define AR6K_ROM_START 0x004e0000 +#define AR6K_ROM_ADDR(byte_offset) (AR6K_ROM_START+(byte_offset)) +#define TARG_ROM_ADDRS(byte_offset) AR6K_ROM_ADDR(byte_offset) + +#define IML_SIGNAL_UNUSED0_ADDR TARG_ROM_ADDRS(0) /* Cannot be used -- aligned */ +#define IML_SIGNAL_ASSERT_ADDR TARG_ROM_ADDRS(1) /* Signal an assertion failure */ +#define IML_SIGNAL_PRINTF_ADDR TARG_ROM_ADDRS(2) /* Signal a printf request */ +#define IML_SIGNAL_UNUSED4_ADDR TARG_ROM_ADDRS(4) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSED8_ADDR TARG_ROM_ADDRS(8) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSEDC_ADDR TARG_ROM_ADDRS(0xc) /* Cannot be used -- aligned */ +#define IML_SIGNAL_MASK 0xfffe000f +#define IML_LINENUM_SHIFT 4 + +#define NOW() xthal_get_ccount() + +#if defined(__XTENSA__) +#define _A_BARRIER asm volatile("memw") +#else +#define _A_BARRIER +#endif + +#define A_ASSERT( __bool ) \ + do { \ + if (0 == (__bool)) { \ + (void)*((volatile int *)(IML_SIGNAL_ASSERT_ADDR+(__LINE__<<4)));\ + _A_BARRIER; \ + } \ + } while (0) + + +#define A_IML_IS_ASSERT(vaddr) \ + (((vaddr) & IML_SIGNAL_MASK) == (IML_SIGNAL_ASSERT_ADDR & IML_SIGNAL_MASK)) + + +#define PRINT_FAILURE_STATE() \ +do { \ + uint32_t epc1, epc2, epc3, epc4; \ + \ + asm volatile("rsr %0,%1" : "=r" (epc1) : "n" (EPC_1)); \ + asm volatile("rsr %0,%1" : "=r" (epc2) : "n" (EPC_2)); \ + asm volatile("rsr %0,%1" : "=r" (epc3) : "n" (EPC_3)); \ + asm volatile("rsr %0,%1" : "=r" (epc4) : "n" (EPC_4)); \ + \ + A_PRINTF("\tepc1=0x%x, epc2=0x%x, epc3=0x%x, epc4=0x%x\n", \ + epc1, epc2, epc3, epc4); \ + A_PRINTF("0x%08x, 0x%08x, 0x%08x, \n\r", \ + DEBUG_SYSTEM_STATE, WATCH_DOG_RESET_COUNTER, \ + WATCH_DOG_MAGIC_PATTERN); \ +} while(0) +//////////////////////////////////////////////////////////////////////////////////// + + +//#define A_CMN(sym) _A_OS_INDIRECTION_TABLE->cmnos.sym +#define A_CMN(sym) _A_MAGPIE_INDIRECTION_TABLE->cmnos.sym + +#if SYSTEM_MODULE_MEM +/* Mem interfaces */ +#define A_MEMSET(addr, value, size) \ + A_CMN(mem._memset((char *)(addr), (int)(value), (int)(size))) + +#define A_MEMZERO(addr, size) \ + A_CMN(mem._memset((char *)(addr), (int)0, (int)(size))) + +#define A_MEMCPY(dst, src, size) \ + A_CMN(mem._memcpy((char *)(dst), (char *)(src), (int)(size))) + +#define A_MEMMOVE(dst, src, size) \ + A_CMN(mem._memmove((char *)(dst), (char *)(src), (int)(size))) + +#define A_MEMCMP(p1, p2, nbytes) \ + A_CMN(mem._memcmp)((void *)(p1), (void *)(p2), (int)(nbytes)) +#else +/* Mem interfaces */ +#define A_MEMSET(addr, value, size) + +#define A_MEMZERO(addr, size) + +#define A_MEMCPY(dst, src, size) + +#define A_MEMMOVE(dst, src, size) + +#define A_MEMCMP(p1, p2, nbytes) +#endif + + +#if 1 + /* String interfaces */ + #define A_STRCPY(dst, src) A_CMN(string._strcpy((dst), (src))) + #define A_STRNCPY(dst, src, n) A_CMN(string._strncpy((dst), (src), (n))) + #define A_STRLEN(str) A_CMN(string._strlen(str)) + #define A_STRCMP(str1, str2) A_CMN(string._strcmp((str1), (str2))) + #define A_STRNCMP(str1, str2, n) A_CMN(string._strncmp((str1), (str2), (n))) +#endif + +#if SYSTEM_MODULE_PRINT +/* Printf support */ +#define A_PRINTF_INIT() A_CMN(printf._printf_init()) +#define A_PRINTF A_CMN(printf._printf) +#else +#define A_PRINTF_INIT() +#define A_PRINTF +#endif /* SYSTEM_MODULE_PRINT */ + +#if SYSTEM_MODULE_UART +/* Serial port support */ +#define A_UART_INIT() A_CMN(uart._uart_init()) + +#define A_UART_HWINIT(freq, baud) \ + A_CMN(uart._uart_hwinit((freq), (baud))) + +#define A_UART_ENABLED() (HOST_INTEREST->hi_uart_enable) + +#define A_PUTS(str) A_CMN(uart._uart_str_out(str)) + +#define A_PUTC(ch) A_CMN(uart._uart_char_put(ch)) +#define A_GETC(pCh) A_CMN(uart._uart_char_get(pCh)) + +#define A_UART_TASK() A_CMN(uart._uart_task()) +#define A_UART_CONFIG(x) A_CMN(uart._uart_config(x)) + +#else + +#define A_UART_INIT() + +#define A_UART_HWINIT(freq, baud) + +#define A_UART_ENABLED() + +#define A_PUTS(str) + +#define A_PUTC(ch) +#define A_GETC(pCh) + +#define A_UART_TASK() +#define A_UART_CONFIG(x) + +#endif + +#if SYSTEM_MODULE_MISC +/* Reset Support */ +#define A_RESET() A_CMN(misc._system_reset()) +#define A_RESET_MAC() A_CMN(misc._mac_reset()) + +/* Assertion failure */ +#define A_ASSFAIL(regdump) A_CMN(misc._assfail((regdump))) + +/* Report a failure to the Host */ +#define A_REPORT_FAILURE(data, len) \ + A_CMN(misc._report_failure_to_host((data), (len))) + +/* UNALIGNED references are used for ASSERTs */ +#define A_MISALIGNED_LOAD_HANDLER(dump) A_CMN(misc._misaligned_load_handler(dump)) + +/* reture the host interface type */ +#define A_IS_HOST_PRESENT() A_CMN(misc._is_host_present()) +#define A_KBHIT(delay) A_CMN(misc._kbhit(delay)) +#define A_GET_ROM_VER() A_CMN(misc._rom_version_get()) +#else +/* Reset Support */ +#define A_RESET() +#define A_RESET_MAC() + +/* Assertion failure */ +#define A_ASSFAIL(regdump) + +#define A_MISALIGNED_LOAD_HANDLER(dump) + +/* Report a failure to the Host */ +#define A_REPORT_FAILURE(data, len) + +#define A_IS_HOST_PRESENT() +#define A_KBHIT(delay) +#define A_GET_ROM_VER() +#endif + +//#if SYSTEM_MODULE_DBG +/* debug Support */ +//#define A_DBG_INIT() A_CMN(dbg._dbg_init()) +//#define A_DBG_TASK() A_CMN(dbg._dbg_task()) +//#else +//#define A_DBG_INIT() +//#define A_DBG_TASK() +//#endif + +#if SYSTEM_MODULE_USB +/* debug Support */ +#define A_USB_INIT() A_CMN(usb._usb_init()) +#define A_USB_ROM_TASK() A_CMN(usb._usb_rom_task()) +#define A_USB_FW_TASK() A_CMN(usb._usb_fw_task()) +#define A_USB_INIT_PHY() A_CMN(usb._usb_init_phy()) + +#define A_USB_EP0_SETUP() A_CMN(usb._usb_ep0_setup()) +#define A_USB_EP0_TX_DATA() A_CMN(usb._usb_ep0_tx_data()) +#define A_USB_EP0_RX_DATA() A_CMN(usb._usb_ep0_rx_data()) + +#define A_USB_GET_CONFIG() A_CMN(usb._usb_get_configuration()) +#define A_USB_SET_CONFIG() A_CMN(usb._usb_set_configuration()) + +#define A_USB_GET_INTERFACE() A_CMN(usb._usb_get_interface()) +#define A_USB_SET_INTERFACE() A_CMN(usb._usb_set_interface()) + +#define A_USB_STANDARD_CMD() A_CMN(usb._usb_standard_cmd()) +#define A_USB_VENDOR_CMD() A_CMN(usb._usb_vendor_cmd()) + +#define A_USB_POWER_OFF() A_CMN(usb._usb_power_off()) +#define A_USB_RESET_FIFO() A_CMN(usb._usb_reset_fifo()) +#define A_USB_GEN_WDT() A_CMN(usb._usb_gen_wdt()) +#define A_USB_JUMP_BOOT() A_CMN(usb._usb_jump_boot()) + +#define A_USB_GET_DESCRIPTOR() A_CMN(usb._usb_get_descriptor()) +#define A_USB_SET_ADDRESS() A_CMN(usb._usb_set_address()) +#define A_USB_SET_FEATURE() A_CMN(usb._usb_set_feature()) +#define A_USB_CLEAR_FEATURE() A_CMN(usb._usb_clr_feature()) + +#define A_USB_GET_STATUS() A_CMN(usb._usb_get_status()) +#define A_USB_SETUP_DESC() A_CMN(usb._usb_setup_desc()) +#define A_USB_STATUS_IN() A_CMN(usb._usb_status_in()) +#define A_USB_REG_OUT() A_CMN(usb._usb_reg_out()) + +#define A_USB_EP0_TX() A_CMN(usb._usb_ep0_tx()) +#define A_USB_EP0_RX() A_CMN(usb._usb_ep0_rx()) +#define A_USB_CLK_INIT() A_CMN(usb._usb_clk_init()) + +#else +#define A_USB_INIT() +#define A_USB_TASK() +#define A_USB_INIT_PHY() + +#define A_USB_EP0_SETUP() +#define A_USB_EP0_TX() +#define A_USB_EP0_RX() + +#define A_USB_GET_CONFIG() +#define A_USB_SET_CONFIG() + +#define A_USB_GET_INTERFACE() +#define A_USB_SET_INTERFACE() + +#define A_USB_STANDARD_CMD() +#define A_USB_VENDOR_CMD() + +#define A_USB_POWER_OFF() +#define A_USB_RESET_FIFO() +#define A_USB_GEN_WDT() +#define A_USB_JUMP_BOOT() + +#define A_USB_GET_DESCRIPTOR() +#define A_USB_SET_ADDRESS() +#define A_USB_SET_FEATURE() +#define A_USB_CLEAR_FEATURE() + +#define A_USB_GET_STATUS() +#define A_USB_SETUP_DESC() + + +#define A_USB_STATUS_IN() +#define A_USB_REG_OUT() + +#define A_USB_EP0_TX() +#define A_USB_EP0_RX() + +#define A_USB_CLK_INIT() +#endif + +#if SYSTEM_MODULE_INTR +/* Low-level interrupt support intended for use by OS modules */ +#define A_INTR_GET_INTRENABLE() A_CMN(intr._get_intrenable()) +#define A_INTR_SET_INTRENABLE(val) A_CMN(intr._set_intrenable(val)) +#define A_INTR_GET_INTRPENDING() A_CMN(intr._get_intrpending()) +#define A_INTR_UNBLOCK_ALL_INTRLVL() A_CMN(intr._unblock_all_intrlvl()) + +/* Interrupt support */ +#define A_INTR_INIT() A_CMN(intr._intr_init()) + +#define A_INTR_DISABLE(pOld) \ + do { \ + *(pOld) = A_CMN(intr._intr_disable()); \ + } while (0) + +#define A_INTR_RESTORE(old) A_CMN(intr._intr_restore((old))) + +#define A_INVOKE_ISR(inum) A_CMN(intr._intr_invoke_isr(inum)) + +#define A_INTR_MASK(inum) A_CMN(intr._intr_mask_inum(inum)) +#define A_INTR_UNMASK(inum) A_CMN(intr._intr_unmask_inum(inum)) + +#define A_ATTACH_ISR(inum, isr, arg) A_CMN(intr._intr_attach_isr(inum, isr, arg)) +#else +#define A_INTR_INIT() +#define A_INTR_DISABLE(pOld) +#define A_INTR_RESTORE(old) + +#define A_INTR_GET_INTRENABLE() +#define A_INTR_SET_INTRENABLE(val) +#define A_INTR_GET_INTRPENDING() +#define A_INTR_UNBLOCK_ALL_INTRLVL() +#define A_INVOKE_ISR(inum) +#define A_INTR_MASK(inum) +#define A_INTR_UNMASK(inum) +#define A_ATTACH_ISR(inum, isr, arg) + +#endif + +/* Tasklet Support */ +#define A_TASKLET_INIT() A_CMN(tasklet._tasklet_init()) +#define A_TASKLET_INIT_TASK(f, arg, t) A_CMN(tasklet._tasklet_init_task(f, arg, t)) +#define A_TASKLET_DISABLE(t) A_CMN(tasklet._tasklet_disable(t)) +#define A_TASKLET_SCHEDULE(t) A_CMN(tasklet._tasklet_schedule(t)) +#define A_TASKLET_RUN() A_CMN(tasklet._tasklet_run()) + + +/* RAM Allocation Support */ +#if defined(__mips__) +#define alloc_arena_start _end +#endif +#if defined(__XTENSA__) +#define alloc_arena_start _end +#endif + +#if SYSTEM_MODULE_CLOCK + +#define A_CLOCK_INIT(refclk_guess) A_CMN(clock._clock_init(refclk_guess)) +#define A_CLOCK_TICK() A_CMN(clock._clock_tick()) +#define A_CLOCK_GET_TICK() A_CMN(clock._clock_get_tick()) + +/* + * Get the number of millisecond ticks since the system was started. + * Note that this only approximates 1Ms. It's actually 32 ticks of + * a 32KHz clock. + * + * Returns a A_UINT32 value. + */ +#define A_MILLISECONDS() A_CMN(clock._milliseconds()) + +/* + * Get the frequency of the reference clock, expressed as + * an A_refclk_speed_t. + */ +#define A_REFCLK_SPEED_GET() A_CMN(clock._refclk_speed_get()) + +/* Spin delay */ +#define A_DELAY_USECS(us) A_CMN(clock._delay_us(us)) + +#define A_UART_FREQUENCY() A_CMN(clock._uart_frequency()) + +#define A_CLOCKREGS_INIT() A_CMN(clock._clockregs_init()) + +/* which_band is either A_BAND_24GHZ or A_BAND_5GHZ */ +#define A_WLAN_BAND_SET(which_band) \ + A_CMN(clock._wlan_band_set(which_band)) + +/* Called whenever the system clock changes speed */ +#define A_SYSCLK_CHANGE() A_CMN(clock._sysclk_change()) + +#else + +#define A_CLOCK_INIT(refclk_guess) +#define A_CLOCK_TICK() +#define A_CLOCK_GET_TICK() +#define A_MILLISECONDS() +#define A_REFCLK_SPEED_GET() +#define A_DELAY_USECS(us) +#define A_UART_FREQUENCY() +#define A_CLOCKREGS_INIT() +#define A_WLAN_BAND_SET(which_band) +#define A_SYSCLK_CHANGE() + +#endif + +// Timer +#define A_INIT_TIMER(pTimer, pFunction, pArg) \ + A_CMN(timer._timer_setfn((pTimer), (pFunction), (pArg))) + +/* Set a (possibly periodic) timer for "period" Milliseconds. */ +#define A_TIMEOUT_MS(pTimer, period) \ + A_CMN(timer._timer_arm((pTimer), (period))) + +#define A_UNTIMEOUT(pTimer) \ + A_CMN(timer._timer_disarm(pTimer)) + +#define A_TIMER_RUN() \ + A_CMN(timer._timer_run()) + +#define A_GMAC_BOOT_INIT() \ + A_CMN(gmac.gmac_boot_init()) + +#if SYSTEM_MODULE_ALLOCRAM +/* Default size of ALLOCRAM area */ +#define ARENA_SZ_DEFAULT 12000 + +#define A_ALLOCRAM_INIT(arena_start, arena_size) \ +do { \ + extern unsigned int alloc_arena_start; \ + void *astart; \ + int asize; \ + astart = (arena_start) ? (void *)(arena_start) : &alloc_arena_start; \ + asize = (arena_size) ? (arena_size) : (ARENA_SZ_DEFAULT); \ + A_CMN(allocram.cmnos_allocram_init((astart), (asize))); \ +} while (0) + +#define A_ALLOCRAM(nbytes) A_CMN(allocram.cmnos_allocram(0, (nbytes))) + +#define A_ALLOCRAM_DEBUG() A_CMN(allocram.cmnos_allocram_debug()) + +#else +#define A_ALLOCRAM_INIT(arena_start, arena_size) +#define A_ALLOCRAM(nbytes) +#define A_ALLOCRAM_DEBUG() +#endif + +#if SYSTEM_MODULE_ROM_PATCH + +#define A_ROMP_INIT() A_CMN(romp._romp_init()) +#define A_ROMP_DOWNLOAD(x) A_CMN(romp._romp_download(x)) +#define A_ROMP_DECODE(addr) A_CMN(romp._romp_decode(addr)) +#define A_ROMP_INSTALL() A_CMN(romp._romp_install()) +#else +#define A_ROMP_INIT() +#define A_ROMP_DOWNLOAD(x) +#define A_ROMP_DECODE(addr) +#define A_ROMP_INSTALL() +#endif + +#if SYSTEM_MODULE_WDT + +#define A_WDT_INIT() A_CMN(wdt_timer._wdt_init()) +#define A_WDT_ENABLE() A_CMN(wdt_timer._wdt_enable()) +#define A_WDT_DISABLE() A_CMN(wdt_timer._wdt_disable()) +#define A_WDT_SET(t) A_CMN(wdt_timer._wdt_set(t)) +#define A_WDT_TASK() A_CMN(wdt_timer._wdt_task()) +#define A_WDT_LASTBOOT() A_CMN(wdt_timer._wdt_last_boot()) +#define A_WDT_RESET() A_CMN(wdt_timer._wdt_reset()) + +#else +#define A_WDT_INIT() +#define A_WDT_ENABLE() +#define A_WDT_DISABLE() +#define A_WDT_SET(t) +#define A_WDT_TASK() +#define A_WDT_LASTBOOT() +#define A_WDT_RESET() +#endif + + +#if SYSTEM_MODULE_EEPROM +#define A_EEP_INIT() A_CMN(eep._eep_init()) +#define A_EEP_READ(off, len, buf) A_CMN(eep._eep_read(off, len, buf)) +#define A_EEP_WRITE(off, len, buf) A_CMN(eep._eep_write(off, len, buf)) +#define A_EEP_IS_EXIST() A_CMN(eep._eep_is_exist()) +#else +#define A_EEP_INIT() +#define A_EEP_READ(off, len, buf) +#define A_EEP_WRITE(off, len, buf) +#define A_EEP_IS_EXIST() +#endif + + + +struct _A_os_linkage_check; /* OS-dependent */ + +typedef struct _A_cmnos_indirection_table { + int (* hal_linkage_check)(int sz, struct _A_os_linkage_check *); + unsigned int *start_bss; + void (* app_start)(void); + +#if SYSTEM_MODULE_MEM + struct mem_api mem; +#endif + +#if SYSTEM_MODULE_MISC + struct misc_api misc; +#endif + +#if SYSTEM_MODULE_PRINT + struct printf_api printf; +#endif + +#if SYSTEM_MODULE_UART + struct uart_api uart; +#endif + +//#if SYSTEM_MODULE_DBG +// struct dbg_api dbg; +//#endif + + +#if SYSTEM_MODULE_GMAC + struct gmac_api gmac; +#endif + +#if SYSTEM_MODULE_USB + struct usb_api usb; +#endif + +#if SYSTEM_MODULE_CLOCK + struct clock_api clock; +#endif + +#if SYSTEM_MODULE_TIMER + struct timer_api timer; +#endif + +#if SYSTEM_MODULE_INTR + struct intr_api intr; +#endif + +#if SYSTEM_MODULE_ALLOCRAM + struct allocram_api allocram; +#endif + +#if SYSTEM_MODULE_ROM_PATCH + struct romp_api romp; +#endif + +#if SYSTEM_MODULE_WDT + struct wdt_api wdt_timer; +#endif + +#if SYSTEM_MODULE_EEPROM + struct eep_api eep; +#endif + + struct string_api string; + struct tasklet_api tasklet; + +} _A_cmnos_indirection_table_t; + +/* Module installation for cmnos modules */ + +#if SYSTEM_MODULE_MEM +extern void cmnos_mem_module_install(struct mem_api *); +#endif + +#if SYSTEM_MODULE_MISC +extern void cmnos_misc_module_install(struct misc_api *); +#endif + +#if SYSTEM_MODULE_PRINT +extern void cmnos_printf_module_install(struct printf_api *); +#endif + +#if SYSTEM_MODULE_UART +extern void cmnos_uart_module_install(struct uart_api *); +#endif + +//#if SYSTEM_MODULE_DBG +//extern void cmnos_dbg_module_install(struct dbg_api *); +//#endif + +#if SYSTEM_MODULE_USB +extern void cmnos_usb_module_install(struct usb_api *); +#endif + +#if SYSTEM_MODULE_INTR +extern void cmnos_intr_module_install(struct intr_api *); +#endif + +#if SYSTEM_MODULE_CLOCK +extern void cmnos_clock_module_install(struct clock_api *); +#endif + +#if SYSTEM_MODULE_TIMER +extern void cmnos_timer_module_install(struct timer_api *); +#endif + +#if SYSTEM_MODULE_ALLOCRAM +extern void cmnos_allocram_module_install(struct allocram_api *); +#endif + +#if SYSTEM_MODULE_ROM_PATCH +extern void cmnos_romp_module_install(struct romp_api *); +#endif + +#if SYSTEM_MODULE_WDT +extern void cmnos_wdt_module_install(struct wdt_api *); +#endif + +#if SYSTEM_MODULE_EEPROM +extern void cmnos_eep_module_install(struct eep_api *); +#endif + +extern void cmnos_tasklet_module_install(struct tasklet_api *); + +extern void cmnos_string_module_install(struct string_api *tbl); + +#endif /* __CMNOS_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/dma_engine_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/dma_engine_api.h new file mode 100755 index 0000000..6996cb8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/dma_engine_api.h @@ -0,0 +1,92 @@ +/* + * @File: dma_engine_api.h + * + * @Abstract: DMA Engine api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _DMA_ENGINE_API_H +#define _DMA_ENGINE_API_H + +#include +#include + +struct zsDmaDesc +{ +#if 1 // BIG_ENDIAN + volatile u16_t ctrl; // Descriptor control + volatile u16_t status; // Descriptor status + volatile u16_t totalLen; // Total length + volatile u16_t dataSize; // Data size +#else + volatile u16_t status; // Descriptor status + volatile u16_t ctrl; // Descriptor control + volatile u16_t dataSize; // Data size + volatile u16_t totalLen; // Total length +#endif + struct zsDmaDesc* lastAddr; // Last address of this chain + volatile u32_t dataAddr; // Data buffer address + struct zsDmaDesc* nextAddr; // Next TD address +}; + +struct zsDmaQueue +{ + struct zsDmaDesc* head; + struct zsDmaDesc* terminator; +}; + +// Subclass of zsDmaQueue for TX +struct zsTxDmaQueue +{ + struct zsDmaDesc* head; + struct zsDmaDesc* terminator; + + /* Below are fields specific to TX */ + VBUF *xmited_buf_head; + VBUF *xmited_buf_tail; +}; + +/* hardware API table structure (API descriptions below) */ +struct dma_engine_api +{ + void (*_init)(); + + void (*_init_rx_queue)(struct zsDmaQueue *q); + + void (*_init_tx_queue)(struct zsTxDmaQueue *q); + + void (*_config_rx_queue)(struct zsDmaQueue *q, int num_desc, int buf_size); + + void (*_xmit_buf)(struct zsTxDmaQueue *q, VBUF *buf); + + void (*_flush_xmit)(struct zsDmaQueue *q); + + VBUF* (*_reap_recv_buf)(struct zsDmaQueue *q); + + void (*_return_recv_buf)(struct zsDmaQueue *q, VBUF *buf); + + VBUF* (*_reap_xmited_buf)(struct zsTxDmaQueue *q); + + void (*_swap_data)(struct zsDmaDesc* desc); + + int (*_has_compl_packets)(struct zsDmaQueue *q); + + void (*_desc_dump)(struct zsDmaQueue *q); + + /* The functions below are for patchable */ + struct zsDmaDesc* (*_get_packet)(struct zsDmaQueue* q); + void (*_reclaim_packet)(struct zsDmaQueue* q, struct zsDmaDesc* desc); + void (*_put_packet)(struct zsDmaQueue* q, struct zsDmaDesc* desc); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void dma_engine_module_install(struct dma_engine_api *apis); + +#endif /* #ifndef _DMA_ENGINE_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/dma_lib.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/dma_lib.h new file mode 100755 index 0000000..7b44c56 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/dma_lib.h @@ -0,0 +1,57 @@ +#ifndef __DMA_LIB_H +#define __DMA_LIB_H + + +/***********************External***************************/ + +/** + * @brief DMA engine numbers, HIF need to map them to there + * respective order + */ +typedef enum dma_engine{ + DMA_ENGINE_RX0, + DMA_ENGINE_RX1, + DMA_ENGINE_RX2, + DMA_ENGINE_RX3, + DMA_ENGINE_TX0, + DMA_ENGINE_TX1, + DMA_ENGINE_MAX +}dma_engine_t; + +/** + * @brief Interface type, each HIF should call with its own interface type + */ +typedef enum dma_iftype{ + DMA_IF_GMAC = 0x0,/* GMAC */ + DMA_IF_PCI = 0x1,/*PCI */ + DMA_IF_PCIE = 0x2 /*PCI Express */ +}dma_iftype_t; + + +struct dma_lib_api{ + A_UINT16 (*tx_init)(dma_engine_t eng_no, dma_iftype_t if_type); + void (*tx_start)(dma_engine_t eng_no); + A_UINT16 (*rx_init)(dma_engine_t eng_no, dma_iftype_t if_type); + void (*rx_config)(dma_engine_t eng_no, a_uint16_t num_desc, + a_uint16_t gran); + void (*rx_start)(dma_engine_t eng_no); + A_UINT32 (*intr_status)(dma_iftype_t if_type); + A_UINT16 (*hard_xmit)(dma_engine_t eng_no, VBUF *buf); + void (*flush_xmit)(dma_engine_t eng_no); + A_UINT16 (*xmit_done)(dma_engine_t eng_no); + VBUF * (*reap_xmitted)(dma_engine_t eng_no); + VBUF * (*reap_recv)(dma_engine_t eng_no); + void (*return_recv)(dma_engine_t eng_no, VBUF *buf); + A_UINT16 (*recv_pkt)(dma_engine_t eng_no); +}; + + +/** + * @brief Install the DMA lib api's this for ROM patching + * support + * + * @param apis + */ +void dma_lib_module_install(struct dma_lib_api *apis); + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/eeprom_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/eeprom_api.h new file mode 100755 index 0000000..deab32a --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/eeprom_api.h @@ -0,0 +1,32 @@ +#ifndef __EEPROM_API_H__ +#define __EEPROM_API_H__ +/* + * + */ + + +typedef enum { + RET_SUCCESS = 0, + RET_NOT_INIT, + RET_NOT_EXIST, + RET_EEP_CORRUPT, + RET_EEP_OVERFLOW, + + // add return code from here + RET_UNKNOWN +}T_EEP_RET; + + +/*!- interface of eeprom access + * + */ +struct eep_api { + void (* _eep_init)(void); + T_EEP_RET (* _eep_read)(uint16_t, uint16_t, uint16_t *); + T_EEP_RET (* _eep_write)(uint16_t, uint16_t, uint16_t *); + T_EEP_RET (*_eep_is_exist)(void); + +}; + +#endif /* __EEPROM_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_api.h new file mode 100755 index 0000000..33dde31 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_api.h @@ -0,0 +1,70 @@ +/* + * @File: HIF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _HIF_API_H +#define _HIF_API_H + +#include + +/* mailbox hw module configuration structure */ +typedef struct _HIF_CONFIG { + int dummy; +} HIF_CONFIG; + +typedef struct _HIF_CALLBACK { + /* callback when a buffer has be sent to the host*/ + void (*send_buf_done)(adf_nbuf_t buf, void *context); + /* callback when a receive message is received */ + void (*recv_buf)(adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *context); + /* context used for all callbacks */ + void *context; +} HIF_CALLBACK; + +typedef void* hif_handle_t; + +/* hardware API table structure (API descriptions below) */ +struct hif_api { + hif_handle_t (*_init)(HIF_CONFIG *pConfig); + + void (* _shutdown)(hif_handle_t); + + void (*_register_callback)(hif_handle_t, HIF_CALLBACK *); + + int (*_get_total_credit_count)(hif_handle_t); + + void (*_start)(hif_handle_t); + + void (*_config_pipe)(hif_handle_t handle, int pipe, int creditCount); + + int (*_send_buffer)(hif_handle_t handle, int pipe, adf_nbuf_t buf); + + void (*_return_recv_buf)(hif_handle_t handle, int pipe, adf_nbuf_t buf); + //void (*_set_recv_bufsz)(int pipe, int bufsz); + //void (*_pause_recv)(int pipe); + //void (*_resume_recv)(int pipe); + int (*_is_pipe_supported)(hif_handle_t handle, int pipe); + + int (*_get_max_msg_len)(hif_handle_t handle, int pipe); + + int (*_get_reserved_headroom)(hif_handle_t handle); + + void (*_isr_handler)(hif_handle_t handle); + + void (*_get_default_pipe)(hif_handle_t handle, A_UINT8 *pipe_uplink, A_UINT8 *pipe_downlink); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void generic_hif_module_install(struct hif_api *apis); + +#endif /* #ifndef _HIF_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_gmac.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_gmac.h new file mode 100755 index 0000000..58dee8d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_gmac.h @@ -0,0 +1,257 @@ + +#ifndef __HIF_GMAC_H +#define __HIF_GMAC_H + +#include +#include + + +#define ETH_ALEN 6 +#define GMAC_MAX_PKT_LEN 1600 +#define GMAC_MAX_DESC 5 + +#define GMAC_DISCV_PKT_SZ 1024 +#define GMAC_DISCV_WAIT 2000 + +#define ATH_P_MAGBOOT 0x12 /*Magpie GMAC 18 for boot downloader*/ +#define ATH_P_MAGNORM 0x13 /*Magpie GMAC 19 for HTC & others*/ + +#define ETH_P_ATH 0x88bd + +typedef enum hif_gmac_pipe{ + HIF_GMAC_PIPE_RX = 1, /*Normal Priority RX*/ + HIF_GMAC_PIPE_TX = 2, /*Normal Priority TX*/ +}hif_gmac_pipe_t; + +struct gmac_api{ + void (*gmac_boot_init)(void); +}; + +void cmnos_gmac_module_install(struct gmac_api *boot_apis); +void hif_gmac_module_install(struct hif_api *apis); + +enum __gmac_mii_mode { + GMAC_MIIMODE_NONE=0, + GMAC_MIIMODE_MII=1, + GMAC_MIIMODE_RMII=2, + GMAC_MIIMODE_GMII=3, + GMAC_MIIMODE_RGMII=4, + GMAC_MIIMODE_MAX=5 +}; +enum __gmac_msg_type{ + GMAC_HST_QUERY = 0x0001, + GMAC_HST_REPLY = 0x0002, + GMAC_TGT_QUERY = 0x0003, + GMAC_TGT_REPLY = 0x0004 +}; + +enum __magpie_regs{ + MAG_REG_GPIO_OE = 0x00052000,/*GPIO Output Enable*/ + MAG_REG_RST = 0x00050010,/*Magpie reset reg*/ + MAG_REG_RST_AHB = 0x00050018,/*Magpie AHB_ARB reset reg*/ + MAG_REG_MII0_CTRL = 0x00054100,/*Magpie MII0 Control reg*/ + MAG_REG_STAT_CTRL = 0x00054104,/*Magpie Status reg*/ + + MAG_REG_ETH_PLL = 0x5600c, + MAG_REG_ETHPLL_BYPASS = 0x56010, +}; + +enum __gmac_regs{ + GMAC_REG_BASE = 0x00060000, + GMAC_REG_MAC_CFG1 = 0x00 + GMAC_REG_BASE,/*MAC config 1*/ + GMAC_REG_MAC_CFG2 = 0x04 + GMAC_REG_BASE,/*MAC config 2*/ + GMAC_REG_IPG_IFG = 0x08 + GMAC_REG_BASE,/*Inter-packet-gap*/ + GMAC_REG_HALF_DPLX = 0x0c + GMAC_REG_BASE,/*Half duplex*/ + GMAC_REG_MAX_FRAME = 0x10 + GMAC_REG_BASE,/*Max frame length*/ + GMAC_REG_MII_CFG = 0x20 + GMAC_REG_BASE,/*MII mgmt config*/ + GMAC_REG_MII_CMD = 0x24 + GMAC_REG_BASE,/*MII mgmt command*/ + GMAC_REG_MII_ADDR = 0x28 + GMAC_REG_BASE,/*MII mgmt address*/ + GMAC_REG_MII_CTRL = 0x2c + GMAC_REG_BASE,/*MII mgmt control*/ + GMAC_REG_MII_STAT = 0x30 + GMAC_REG_BASE,/*MII mgmt status*/ + GMAC_REG_MII_PSTAT = 0x34 + GMAC_REG_BASE,/*MII mgmt Phy status/ind*/ + GMAC_REG_IF_CTRL = 0x38 + GMAC_REG_BASE,/*Interface control*/ + GMAC_REG_IF_STAT = 0x3c + GMAC_REG_BASE,/*Interface status*/ + GMAC_REG_MAC_ADDR1 = 0x40 + GMAC_REG_BASE,/*MAC address 1*/ + GMAC_REG_MAC_ADDR2 = 0x44 + GMAC_REG_BASE,/*MAC address 2*/ + GMAC_REG_FIFO_CFG0 = 0x48 + GMAC_REG_BASE,/*FIFO config reg0*/ + GMAC_REG_FIFO_CFG1 = 0x4c + GMAC_REG_BASE,/*FIFO config reg1*/ + GMAC_REG_FIFO_CFG2 = 0x50 + GMAC_REG_BASE,/*FIFO config reg2*/ + GMAC_REG_FIFO_CFG3 = 0x54 + GMAC_REG_BASE,/*FIFO config reg3*/ + GMAC_REG_FIFO_CFG4 = 0x58 + GMAC_REG_BASE,/*FIFO config reg4*/ + GMAC_REG_FIFO_CFG5 = 0x5c + GMAC_REG_BASE,/*FIFO config reg5*/ + GMAC_REG_FIFO_RAM0 = 0x60 + GMAC_REG_BASE,/*FIFO RAM access reg0*/ + GMAC_REG_FIFO_RAM1 = 0x64 + GMAC_REG_BASE,/*FIFO RAM access reg1*/ + GMAC_REG_FIFO_RAM2 = 0x68 + GMAC_REG_BASE,/*FIFO RAM access reg2*/ + GMAC_REG_FIFO_RAM3 = 0x6c + GMAC_REG_BASE,/*FIFO RAM access reg3*/ + GMAC_REG_FIFO_RAM4 = 0x70 + GMAC_REG_BASE,/*FIFO RAM access reg4*/ + GMAC_REG_FIFO_RAM5 = 0x74 + GMAC_REG_BASE,/*FIFO RAM access reg5*/ + GMAC_REG_FIFO_RAM6 = 0x78 + GMAC_REG_BASE,/*FIFO RAM access reg6*/ + GMAC_REG_FIFO_RAM7 = 0x7c + GMAC_REG_BASE,/*FIFO RAM access reg7*/ +}; + +enum __mag_reg_rst{ + RST_GMAC = (1 << 9),/*Reset the GMAC */ + RST_MII = (3 << 11),/*Reset the MII*/ + RST_OTHERS = 0x5df,/*Reset everybody other than GMAC & MII*/ +}; + +enum __mag_reg_rst_ahb{ + RST_AHB_GMAC = 0x1 +}; +enum __mag_mii0_ctrl_mode{ + MII0_CTRL_MODE_GMII = 0x00, /* GMII*/ + MII0_CTRL_MODE_MII = 0x01, /*MII*/ + MII0_CTRL_MODE_RGMII = 0x02,/* RGMII */ + MII0_CTRL_MODE_RMII = 0x03, /* RMII */ + MII0_CTRL_MASTER_MODE = 0x04 /* master mode */ +}; +enum __mag_mii0_ctrl_speed { + MII0_CTLR_SPEED_10 = 0x00, /* 10 mbps*/ + MII0_CTRL_SPEED_100 = 0x10, /*MII control address 100 Mbps*/ + MII0_CTRL_SPEED_1000 = 0x20 /* 1000 */ +}; + + +enum __gmac_reg_mac_cfg1{ + MAC_CFG1_TX_EN = (1 << 0),/*TX enable*/ + MAC_CFG1_RX_EN = (1 << 2),/*RX enable*/ + MAC_CFG1_TX_FLOW = (1 << 4),/*TX Flow control enable*/ + MAC_CFG1_RX_FLOW = (1 << 5),/*RX Flow control enable*/ + MAC_CFG1_LOOP_EN = (1 << 8),/*Enable loopback*/ +}; +enum __gmac_reg_mac_cfg2{ + MAC_CFG2_FULL_DUP = (1 << 0),/*Enable Full Duplex*/ + MAC_CFG2_PAD_CRC = (1 << 2),/*Enable MAC based CRC insertion*/ + MAC_CFG2_CHK_LEN = (1 << 4),/*Check Length field*/ + MAC_CFG2_HUGE_FRM = (1 << 5),/*Allow sending huge frames*/ + MAC_CFG2_MII = (1 << 8),/*MAC is MII in mode*/ + MAC_CFG2_GMII = (1 << 9),/*MAC is in GMII mode*/ + MAC_CFG2_PREAMBLE = (7 << 12),/*Default Preamble Length*/ +}; +enum __gmac_reg_mii_cfg{ + MII_CFG_CLK_2MHZ = 0x0006,/*Clock is 2Mhz*/ +}; + + +/* following are only for F1 phy on emulation board.*/ +enum __gmac_reg_mii_addr{ + MII_ADDR_RESET = 0x000,/*Flush the MII address register*/ + MII_ADDR_STATS = 0x001,/* Stauts register*/ + MII_ADDR_PHY_IDENT_1 = 0x002,/* phy identifier [18:3]*/ + MII_ADDR_PHY_IDENT_2 = 0x003,/* phy identifier [19:24]*/ + MII_ADDR_AUTONEG_ADV = 0x004,/* Autonegotiaion advertise*/ + MII_ADDR_LINKPART_ABILITY = 0x0005,/* link partner ability*/ + MII_ADDR_AUTONEG_EXP = 0x0006,/* Autonegotiation expansion*/ + MII_ADDR_NEXTPG_TX = 0x0007,/* Next page transmit*/ + MII_ADDR_LINKPART_NEXTPG = 0x0008,/* Link partnet next page*/ + MII_ADDR_1000BASET_CNTRL = 0x0009,/* 1000 base-t control*/ + MII_ADDR_1000BSAET_STATUS = 0x000a,/* 1000 base-t status*/ + MII_ADDR_EXTENDED_STATUS = 0x000f,/* extended status*/ + MII_ADDR_FUNCTION_CTRL = 0x0010,/* function control*/ + MII_ADDR_PHY_REG = 0x0011,/*Phy Status Reg*/ + MII_ADDR_INTERRUPT_ENA = 0x0012,/* interrupt enable*/ + MII_ADDR_INTERRUPT_STATUS = 0x0013,/* interrupt status*/ + MII_ADDR_EXTPHY_CTRL = 0x0014,/* extemded phy specific control*/ + MII_ADDR_CABDET_CTRL = 0x0016,/* cable detect testser control*/ + MII_ADDR_LED_CTRL = 0x0018,/* LED control*/ + MII_ADDR_MANLED_OVER = 0x0019,/* Manual LED override*/ + MII_ADDR_CABDET_STAT = 0x001c,/* cable detect tester status*/ + MII_ADDR_DEBUGPORT_OFF = 0x001d,/* Debug port address offset*/ + MII_ADDR_DEBUGPORT_DATA = 0x001e,/* Debug port data */ +}; + +/* definitions for MII_ADDR_RESET register definitions*/ +#define MII_ADDR_RESET_RESTART_AUTONEG (1 << 9) +#define MII_ADDR_RESET_ENABLE_AUTONEG (1 << 12) +#define MII_ADDR_RESET_ENABLE_LOOPBACK (1<<14) +#define MII_ADDR_RESET_SOFT_RESET (1<<15) +/* flags for autonegotiaion register MII_ADDR_AUTONEG_ADV, + All writes to this register should be followed by a soft + reset on the phy + The list is not exhaustive, only required fields added + */ +#define MII_AUTONEG_10BT_HALF (1<<5) +#define MII_AUTONEG_10BT_FULL (1<<6) +#define MII_AUTONEG_100BT_HALF (1<<7) +#define MII_AUTONEG_100BT_FULL (1<<8) +#define MII_AUTONEG_PAUSE (1<<9) +#define MII_1000BASET_1000BT_HALF (1<<8) +#define MII_1000BASET_1000BT_FULL (1<<9) +enum __gmac_reg_mii_ctrl{ + MII_CTRL_FULL_DPLX = 0x0100,/*Full Duplex mode*/ + MII_CTRL_SPEED_100 = 0x2000,/*Link Speed 100 Mbps*/ + MII_CTRL_LOOPBACK = 0x4000,/*Enable Loopback mode at PHY*/ + MII_CTRL_RESET = 0x8000,/*BMCR reset*/ +}; +enum __gma_reg_mii_cmd{ + MII_CMD_WRITE = 0x0, + MII_CMD_READ = 0x1,/*Perform a Read cycle*/ +}; +enum __gmac_reg_fifo_cfg0{ + FIFO_CFG0_EN = 0x1f00,/*Enable all the Fifo module*/ +}; +enum __gmac_reg_fifo_cfg1{ + FIFO_CFG1_SIZE_2K = (0x7ff << 16),/*Fifo size is 2K*/ +}; +enum __gmac_reg_fifo_cfg4{ + FIFO_CFG4_RX_ALL = 0x3ffff,/*receive all frames*/ +}; +enum __gmac_reg_if_ctrl{ + IF_CTRL_SPEED_100 = (1 << 16),/*Interface speed 100 Mbps for MII*/ +}; + + +#define MAX_MDIO_IO_LEN 14 +#define MDIO_REG_WIDTH 4 +#define MDIO_REG_BASE 0x54200 +#define MDIO_REG_TO_OFFSET( __reg_number__)\ + (MDIO_REG_BASE + (MDIO_REG_WIDTH * (__reg_number__))) + +#define MDIO_OWN_TGT 0x01 +#define MDIO_OWN_HST 0x02 +#define MDIO_REG_WRITE_DELAY 5 /* 5 micro seconds */ + +/*************************GMAC Data types*******************************/ +typedef enum __gmac_pkt_type{ + GMAC_PKT_IS_BCAST, + GMAC_PKT_IS_UCAST +}__gmac_pkt_type_t; + +struct __ethhdr{ + unsigned char dst[ETH_ALEN];/*destination eth addr */ + unsigned char src[ETH_ALEN]; /*source ether addr*/ + A_UINT16 etype;/*ether type*/ +}__attribute__((packed)); +/** + * @brief this is will be in big endian format + */ +struct __athhdr{ +#ifdef LITTLE_ENDIAN + A_UINT8 proto:6, + res:2; +#else + A_UINT8 res:2, + proto:6; +#endif + A_UINT8 res_lo; + A_UINT16 res_hi; +}__attribute__((packed)); + +typedef struct __gmac_hdr{ + struct __ethhdr eth; + struct __athhdr ath; + A_UINT16 align_pad;/*pad it for 4 byte boundary*/ +}__attribute__((packed)) __gmac_hdr_t; + +/*********************************GMAC softC************************/ + +typedef struct __gmac_softc{ + __gmac_hdr_t hdr; + A_UINT16 gran; + HIF_CALLBACK sw; +}__gmac_softc_t; + + + +#endif + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_pci.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_pci.h new file mode 100755 index 0000000..485a659 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_pci.h @@ -0,0 +1,49 @@ + +#ifndef __HIF_PCI_H +#define __HIF_PCI_H + +#include +#include + + +#define PCI_MAX_DATA_PKT_LEN 1664 +#define PCI_MAX_CMD_PKT_LEN 512 +#define PCI_MAX_BOOT_DESC 2 + +typedef enum hif_pci_pipe_rx{ + HIF_PCI_PIPE_RX0, /*Normal Priority RX*/ + HIF_PCI_PIPE_RX1, + HIF_PCI_PIPE_RX2, + HIF_PCI_PIPE_RX3, + HIF_PCI_PIPE_RX_MAX +}hif_pci_pipe_rx_t; + +typedef enum hif_pci_pipe_tx{ + HIF_PCI_PIPE_TX0, /*Normal Priority TX*/ + HIF_PCI_PIPE_TX1, + HIF_PCI_PIPE_TX_MAX +}hif_pci_pipe_tx_t; + +typedef struct __pci_softc{ + HIF_CALLBACK sw; +}__pci_softc_t; + +struct hif_pci_api{ + void (*pci_boot_init)(void); + hif_handle_t (*pci_init)(HIF_CONFIG *pConfig); + void (*pci_reset)(void); + void (*pci_enable)(void); + void (*pci_reap_xmitted)(__pci_softc_t *sc, + dma_engine_t eng_no); + void (*pci_reap_recv)(__pci_softc_t *sc, dma_engine_t eng_no); + A_UINT8 (*pci_get_pipe)(dma_engine_t eng); + dma_engine_t (*pci_get_tx_eng)(hif_pci_pipe_tx_t pipe); + dma_engine_t (*pci_get_rx_eng)(hif_pci_pipe_rx_t pipe); + +}; + +void hif_pci_api_install(struct hif_pci_api *apis); +void hif_pci_module_install(struct hif_api *apis); +#endif + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_usb.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_usb.h new file mode 100755 index 0000000..addc17e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/hif_usb.h @@ -0,0 +1,58 @@ +/* + * @File: mbox_hw.h + * + * @Abstract: mailbox hardware definitions + * + * @Notes: + * * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef __HIF_USB_H__ +#define __HIF_USB_H__ + +#include + +#include +#include +#include +//#include +//#include + +#define HIF_USB_PIPE_TX 1 +#define HIF_USB_PIPE_RX 2 +#define HIF_USB_PIPE_INTERRUPT 3 +#define HIF_USB_PIPE_COMMAND 4 +#define HIF_USB_PIPE_HP_TX 5 +#define HIF_USB_PIPE_MP_TX 6 + +struct VBUF_QUEUE +{ + VBUF *head; + VBUF *tail; +}; + + /* the mailbox hardware layer context */ +typedef struct _HIF_USB_CONTEXT { + HIF_CALLBACK hifCb; + struct zsDmaQueue dnQ; + struct zsTxDmaQueue upQ; +#if SYSTEM_MODULE_HP_EP5 + struct zsDmaQueue hpdnQ; // high priority +#endif +#if SYSTEM_MODULE_HP_EP6 + struct zsDmaQueue mpdnQ; // medium priority +#endif + //struct VBUF_QUEUE upVbufQ; + VBUF *cmdQueue; + struct VBUF_QUEUE eventBufQ; + + // Left a door for extension the structure + void *pReserved; +} HIF_USB_CONTEXT; + +void hif_usb_module_install(struct hif_api *apis); + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/htc_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/htc_api.h new file mode 100755 index 0000000..ac053c0 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/htc_api.h @@ -0,0 +1,126 @@ +/* + * @File: htc_api.h + * + * @Abstract: host-target communications API + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef __HTC_API_H__ +#define __HTC_API_H__ + +#include +#include +//#include +//#include +#include +#include + +#define HTC_HDR_SZ HTC_HDR_LENGTH +#define HTC_BUFSZ_MAX_SEND 2048 + +typedef void (* HTC_SERVICE_ProcessRecvMsg)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, adf_nbuf_t, void *ServiceCtx); +typedef void (* HTC_SERVICE_ProcessSendBufferComplete)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, void *ServiceCtx); + +/* HTC service structure : + * the caller is required to allocate storage for the service structure and register the + * structure using HTC_RegisterService() The service must set the following fields: + * ProcessRecvMsg + * ProcessSendBufferComplete + * ProcessConnect + * ServiceID + * MaxSvcMsgSize (for message validation) + * */ +typedef struct _HTC_SERVICE { + struct _HTC_SERVICE *pNext; + /* Callback for processing receive messages. HTC calls this callback whenever a + * message arrives on the endpoint assigned to this service. + * HTC_BUFFER is a chain of buffers containing a full application message. + * HTC_BUFFER->buffer points to the start of the msg buffer (past the HTC header) */ + //void (* ProcessRecvMsg)(HTC_ENDPOINT_ID EndpointID, HTC_BUFFER *); + void (* ProcessRecvMsg)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, adf_nbuf_t, void *ServiceCtx); + /* callback to process completed send buffers */ + //void (* ProcessSendBufferComplete)(HTC_ENDPOINT_ID EndpointID, HTC_BUFFER *); + void (* ProcessSendBufferComplete)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, void *ServiceCtx); + /* optional callback when a connection request occurs. + * The EndpointID is the assigned endpoint, the callback returns a connect + * response status code to allow or disallow the connection. + * pDataIn points to the optional meta data supplied in the connection request + * pDataOut points to a buffer to send back meta data + * If no callback is supplied, HTC assumes the connect is allowed */ + A_UINT8 (* ProcessConnect)(struct _HTC_SERVICE *pService, + HTC_ENDPOINT_ID EndpointID, + A_UINT8 *pDataIn, + int LengthIn, + A_UINT8 *pDataOut, + int *pLengthOut); + A_UINT16 ServiceID; /* service ID to match connection requests */ + A_UINT16 ServiceFlags; /* service flags */ + A_UINT16 MaxSvcMsgSize; /* maximum length of service-specific messages exchanged on the endpoint */ + A_UINT16 TrailerSpcCheckLimit; /* amount of space in each send buffer that HTC can check for trailer + data. This should be set to the smallest HTC buffer that can be sent + through the service. The service can disable trailer data insertion + by setting this value to 0. */ + void *ServiceCtx; +} HTC_SERVICE; + +#define HTC_SERVICE_FLAGS_CONNECTED (1 << 0) /* service has at least 1 connection */ + +#define IS_SERVICE_CONNECTED(s) ((s)->ServiceFlags & HTC_SERVICE_FLAGS_CONNECTED) + + /* configuration settings for the WMI service */ +typedef struct _HTC_CONFIG { + int CreditSize; /* */ + int CreditNumber; + //int ControlDownLinkPipeID; + //int ControlUpLinkPipeID; + adf_os_handle_t OSHandle; + hif_handle_t HIFHandle; + pool_handle_t PoolHandle; +} HTC_CONFIG; + +typedef struct _HTC_BUF_CONTEXT { + A_UINT8 end_point; + A_UINT8 htc_flags; /* htc flags (used by HTC layer only) */ +} HTC_BUF_CONTEXT; + +typedef void* htc_handle_t; + +/* + * setup complete function, supplied by HTC caller at HTC_init time. + * HTC calls this function after the host has indicated that the service connection + * phase is complete. + * + */ +typedef void (* HTC_SETUP_COMPLETE_CB)(void); + +struct htc_apis { + htc_handle_t (* _HTC_Init)(HTC_SETUP_COMPLETE_CB, HTC_CONFIG *pConfig); + void (* _HTC_Shutdown)(htc_handle_t); + void (* _HTC_RegisterService)(htc_handle_t, HTC_SERVICE *); + void (* _HTC_Ready)(htc_handle_t); + void (* _HTC_ReturnBuffers)(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t); + void (* _HTC_ReturnBuffersList)(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_queue_t); + void (* _HTC_SendMsg)(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t); + int (* _HTC_GetReservedHeadroom)(htc_handle_t handle); + + //void (* _HTC_PauseRecv)(HTC_ENDPOINT_ID EndpointID); + //void (* _HTC_ResumeRecv)(HTC_ENDPOINT_ID EndpointID); + //void (* _HTC_AddBufferResources)(int buffers); + + /* These APIs below are for patch purpose only */ + void (*_HTC_MsgRecvHandler)(adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *context); + void (*_HTC_SendDoneHandler)(adf_nbuf_t buf, void *context); + void (*_HTC_ControlSvcProcessMsg)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *arg); + void (*_HTC_ControlSvcProcessSendComplete)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers, void *arg); + + void *pReserved; /* for expansion if need be */ +}; + +extern void htc_module_install(struct htc_apis *pAPIs); + +#endif /* _HTC_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/intr_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/intr_api.h new file mode 100755 index 0000000..3755241 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/intr_api.h @@ -0,0 +1,150 @@ +#ifndef __INTR_API_H__ +#define __INTR_API_H__ + +/* + * Interrupt handler, for application-managed interrupts. + * When an interrupt occurs, it is automatically disabled. + * See A_WMAC_INTR_ATTACH() and A_MBOX_INTR_ATTACH(). + * + * If a handler returns A_HANDLER_DONE, the interrupt is + * re-enabled. The OS calls the handler next time service + * is required. This is the normal case for a handler. + * + * If a handler returns A_HANDLER_YIELD, the interrupt + * remains masked. The handler is called again when + * it is "convenient". This gives the OS an opportunity + * to run other code/handlers. A handler should return + * A_HANDLER_YIELD if it might dominate the CPU for too + * long. + * + * If a handler returns A_HANDLER_NOENABLE, the interrupt + * remains disabled. It is up to the application to re-enable + * the interrupt (via A_*_INTR_UNMASK) when it's appropriate. + * + * Note that many combinations of interrupt functions and + * interrupt vectors are NOT supported: Callers should use + * only the macros defined in cmnos_api.h to access the + * interrupt API. + */ +#include "cmnos_api.h" + +typedef uint32_t A_old_intr_t; + +////////////////////////////////////////////////////////////////// +// this is copied from mercury/cmnos_xtensa.h +/* + * These are CMNOS interrupt manifest constants. + * They have specially-chosen values that align with hardware and or + * operating system values (see cmnos_interrupt_info). + */ +#if defined(__XTENSA__) +/* + * Enumeration of low and medium priority interrupt numbers + * which match the CPU hardware configuration: + */ + +/* XTensa Level 1 interrupt */ +#define A_INUM_SOFTWARE 0 /* currently unused */ + +/* XTensa Level2 interrupts */ +#define A_INUM_XTTIMER 1 /* currently unused */ + +#define A_INUM_TBD_0 2 /* TBD */ +#define A_INUM_CPU_WDT 3 /* RST_CPU watchodg interrupt */ +#define A_INUM_GMAC_DMA 4 /* GMAC DMA interrupt */ +#define A_INUM_GMAC_MDIO 5 /* GMAC MDIO interrupt */ +#define A_INUM_HOST_DMA 6 /* HOST DMA */ +#define A_INUM_CPU_GEN_TIMER 7 /* CPU general timer */ +#define A_INUM_TBD_8 8 /* TBD */ +#define A_INUM_TBD_9 9 /* TBD */ +#define A_INUM_USB_CTRL 10 /* USB core control */ +#define A_INUM_USB_DMA 11 /* USB DMA */ +#define A_INUM_TBD_12 12 /* TBD */ +#define A_INUM_TBD_13 13 /* TBD */ +#define A_INUM_EMUX_CPU 14 /* EMUX CPU */ +#define A_INUM_GPIO_CPU 15 /* GPIO CPU interrupt */ +#define A_INUM_TBD_16 16 /* TBD */ +#define A_INUM_PCIE_CPU 17 /* CPU PCIE interrupt */ +#define A_INUM_RST_CPU_NMI 18 /* RST CPU nmi interrupt */ + +/* Number of interrupts that map directly into CPU/hal interrupt bits. */ +#define NUM_DIRECT_INTR 19 + +#endif +////////////////////////////////////////////////////////////////// + +#define CMNOS_IMASK_XTTIMER (1<>2)) + +#endif /* _MEM_ADDRS_H_ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/magpie_regdump.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/magpie_regdump.h new file mode 100755 index 0000000..6a599f8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/magpie_regdump.h @@ -0,0 +1,42 @@ +/* + * Copyright (c) 2006 Atheros Communications Inc. + * All rights reserved. + */ + +#ifndef __MAGPIE_REGDUMP_H__ +#define __MAGPIE_REGDUMP_H__ + +#if !defined(__ASSEMBLER__) +/* + * XTensa CPU state + * This must match the state saved by the target exception handler. + */ +struct XTensa_exception_frame_s { + uint32_t xt_pc; + uint32_t xt_ps; + uint32_t xt_sar; + uint32_t xt_vpri; + uint32_t xt_a2; + uint32_t xt_a3; + uint32_t xt_a4; + uint32_t xt_a5; + uint32_t xt_exccause; + uint32_t xt_lcount; + uint32_t xt_lbeg; + uint32_t xt_lend; + + /* Extra info to simplify post-mortem stack walkback */ +#define MAGPIE_REGDUMP_FRAMES 5 + struct { + uint32_t a0; /* pc */ + uint32_t a1; /* sp */ + uint32_t a2; + uint32_t a3; + } wb[MAGPIE_REGDUMP_FRAMES]; +}; + +typedef struct XTensa_exception_frame_s CPU_exception_frame_t; +#define RD_SIZE sizeof(CPU_exception_frame_t) + +#endif +#endif /* __MAGPIE_REGDUMP_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/mem_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/mem_api.h new file mode 100755 index 0000000..e3197dc --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/mem_api.h @@ -0,0 +1,10 @@ +#ifndef __MEM_API_H__ +#define __MEM_API_H__ +struct mem_api { + void (* _mem_init)(void); + void *(* _memset)(void *, int, unsigned int); + void *(* _memcpy)(void *, const void *, unsigned int); + void *(* _memmove)(void *, const void *, unsigned int); + int (* _memcmp)(const void *, const void *, unsigned int); +}; +#endif /* __MEM_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/misc_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/misc_api.h new file mode 100755 index 0000000..3b5da6d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/misc_api.h @@ -0,0 +1,34 @@ +#ifndef __MISC_API_H__ +#define __MISC_API_H__ + +enum hostif_s{ + HIF_USB = 0, + HIF_PCIE, + HIF_GMAC, + HIF_PCI, + + // HIF should be added above here + HIF_NUM, + HIF_NONE + +}; + +typedef enum hostif_s A_HOSTIF; + +struct register_dump_s; + +struct misc_api { + void (* _system_reset)(void); + void (* _mac_reset)(void); + void (* _assfail)(struct register_dump_s *); + void (* _misaligned_load_handler)(struct register_dump_s *); + + void (* _report_failure_to_host)(struct register_dump_s *, int); + int (* _target_id_get)(void); + A_HOSTIF (* _is_host_present)(void); + + uint8_t (*_kbhit)(uint8_t); + + uint16_t (* _rom_version_get)(void); +}; +#endif /* __MISC_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/opt_ah.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/opt_ah.h new file mode 100755 index 0000000..1a9ba30 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/opt_ah.h @@ -0,0 +1,8 @@ +#define AH_SUPPORT_AR5416 1 +#define AH_SUPPORT_2133 1 +#define AH_SUPPORT_5413 1 +//#define AH_DEBUG 1 +//#define AR5416_EMULATION 1 +#define ATH_FORCE_PPM 1 +#define ATH_FORCE_BIAS 1 +#define AH_SUPPORT_DFS 1 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/printf_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/printf_api.h new file mode 100755 index 0000000..afcb837 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/printf_api.h @@ -0,0 +1,15 @@ +#ifndef __PRINTF_API_H__ +#define __PRINTF_API_H__ + +struct printf_api { + void (* _printf_init)(void); + int (* _printf)(const char * fmt, ...); +}; + +/* NB: The printf module requires the serial module. */ +void cmnos_printf_module_install(struct printf_api *tbl); +int cmnos_printf(const char *fmt, ...); + + + +#endif /* __PRINTF_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/regdump.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/regdump.h new file mode 100755 index 0000000..6b8df63 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/regdump.h @@ -0,0 +1,33 @@ +#ifndef __REGDUMP_H__ +#define __REGDUMP_H__ + +#include "magpie_regdump.h" + + +#if !defined(__ASSEMBLER__) + +/* + * XTensa CPU state + * This must match the state saved by the target exception handler. + */ + +#define RD_SIZE sizeof(CPU_exception_frame_t) + +/* + * Target CPU state at the time of failure is reflected + * in a register dump, which the Host can fetch through + * the diagnostic window. + */ +struct register_dump_s { + uint32_t target_id; /* Target ID */ + uint32_t assline; /* Line number (if assertion failure) */ + uint32_t pc; /* Program Counter at time of exception */ + uint32_t badvaddr; /* Virtual address causing exception */ + CPU_exception_frame_t exc_frame; /* CPU-specific exception info */ + + /* Could copy top of stack here, too.... */ +}; + + +#endif /* __ASSEMBLER__ */ +#endif /* __REGDUMP_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/romp_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/romp_api.h new file mode 100755 index 0000000..ee476f3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/romp_api.h @@ -0,0 +1,48 @@ +/*************************************************************************/ +/* Copyright (c) 2008 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : romp_api.h */ +/* */ +/* Abstract */ +/* This file contains definition of data structure and interface */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#ifndef _ROMP_API_H_ +#define _ROMP_API_H_ + +#include "dt_defs.h" + +/******** hardware API table structure (API descriptions below) *************/ +struct romp_api { + void (*_romp_init)(void); + BOOLEAN (*_romp_download)(uint16_t ); + BOOLEAN (*_romp_install)(void); + BOOLEAN (*_romp_decode)(uint32_t ); +}; + +#define _ROMP_MAGIC_ "[PaTcH]" + +struct rom_patch_st { + uint16_t crc16; // crc filed to maintain the integrity + uint16_t len; // length of the patch code + uint32_t ld_addr; // load address of the patch code + uint32_t fun_addr; // entry address of the patch code + uint8_t *pfun; // patch code +}; + + +struct eep_redir_addr { + uint16_t offset; + uint16_t size; +}; + + +/************************* EXPORT function ***************************/ +uint16_t cal_crc16(uint32_t sz, uint8_t *p); + +#endif // end of _UART_API_H_ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/string_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/string_api.h new file mode 100755 index 0000000..9a15021 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/string_api.h @@ -0,0 +1,11 @@ +#ifndef __STRING_API_H__ +#define __STRING_API_H__ +struct string_api { + void (* _string_init)(void); + char *(* _strcpy)(char *, const char *); + char *(* _strncpy)(char *, const char *, unsigned int); + int (* _strlen)(const char *); + int (* _strcmp)(const char *, const char *); + int (* _strncmp)(const char *, const char *, unsigned int); +}; +#endif /* __STRING_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/sys_cfg.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/sys_cfg.h new file mode 100755 index 0000000..c2a2092 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/sys_cfg.h @@ -0,0 +1,67 @@ +/*************************************************************************/ +/* Copyright (c) 2006 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : sys_cfg.h */ +/* */ +/* Abstract */ +/* This file contains definition of platform and sysmte config . */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#ifndef _SYS_CFG_H_ +#define _SYS_CFG_H_ + +/************************** FPGA version **************************/ +#define MAGPIE_FPGA_RAM_256K 1 + +/************************** ROM DEFINE ***************************/ + +#if defined(_ROM_) +#include "rom_cfg.h" + +#if MAGPIE_FPGA_RAM_256K == 1 +#undef MAX_BUF_NUM +#define MAX_BUF_NUM 100 +#endif + +#elif defined(_RAM_) + +#include "rom_cfg.h" +#include "magpie_mem.h" + +/************************* Resource DEFS ***********************/ +#define MAX_DESC_NUM 100 + +#ifdef RX_SCATTER +#define MAX_BUF_NUM 60 +#else +#define MAX_BUF_NUM 40 +#endif + +#if MAGPIE_FPGA_RAM_256K == 1 +#undef MAX_BUF_NUM +#define MAX_BUF_NUM 100 +#endif + +#undef SYSTEM_MODULE_DBG +#define SYSTEM_MODULE_DBG 1 + +/************************* WLAN DEFS ***************************/ +#define MAGPIE_ENABLE_WLAN 1 +#define MAGPIE_ENABLE_PCIE 1 +#define MAGPIE_ENABLE_WLAN_IN_TARGET 0 +#define MAGPIE_ENABLE_WLAN_SELF_TX 0 +#define MAGPIE_ENABLE_WLAN_RATE_CTRL 1 +#define WLAN_MAX_RXBUF 15 +#define WLAN_MAX_TXBUF 10 + +/****************************** WATCH DOG *******************************/ +#define WDT_DEFAULT_TIMEOUT_VALUE 3*ONE_MSEC*1000 // Initial value is 3 seconds, firmware changes it to 65 milliseconds + +#endif + + +#endif /* _SYS_CFG_H_ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/tasklet_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/tasklet_api.h new file mode 100755 index 0000000..8ba85f1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/tasklet_api.h @@ -0,0 +1,28 @@ +#ifndef __TASKLET_API_H__ +#define __TASKLET_API_H__ + +//typedef int A_tasklet_t; + +typedef void (*A_TASKLET_FUNC)(void *arg); + +#define A_TASKLET_STATE_DISABLE 0 +#define A_TASKLET_STATE_SCHEDULED 1 +#define A_TASKLET_STATE_RUNNING 2 + +struct _tasklet { + A_TASKLET_FUNC func; + void *arg; + int state; + struct _tasklet *next; +}; + +typedef struct _tasklet A_tasklet_t; + +struct tasklet_api { + void (* _tasklet_init)(void); + void (* _tasklet_init_task)(A_TASKLET_FUNC, void * arg, A_tasklet_t *); + void (* _tasklet_disable)(A_tasklet_t *); + void (* _tasklet_schedule)(A_tasklet_t *); + void (* _tasklet_run)(void); +}; +#endif /* __TASKLET_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/timer_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/timer_api.h new file mode 100755 index 0000000..025a8e8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/timer_api.h @@ -0,0 +1,23 @@ +#ifndef __TIMER_API_H__ +#define __TIMER_API_H__ +/* + * In order to remain completely independent of OS header files, + * "_SPACE" structures are declared with sufficient room to hold + * corresponding OS structures. + */ +typedef unsigned int _A_TIMER_SPACE[5]; +typedef _A_TIMER_SPACE A_timer_t; +#define A_TIMER A_timer_t /* historical */ + +typedef unsigned int A_HANDLE; /* historical */ +typedef void A_TIMER_FUNC(A_HANDLE timer_handle, void *arg); + +struct timer_api { + void (* _timer_init)(void); + void (* _timer_arm)(A_timer_t *, unsigned int); + void (* _timer_disarm)(A_timer_t *); + void (* _timer_setfn)(A_timer_t *, A_TIMER_FUNC, void *); + void (* _timer_run)(void); +}; +#endif /* __TIMER_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/uart_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/uart_api.h new file mode 100755 index 0000000..392e513 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/uart_api.h @@ -0,0 +1,309 @@ +/*************************************************************************/ +/* Copyright (c) 2006 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : uart.h */ +/* */ +/* Abstract */ +/* This file contains definition of uart registers, marco and api. */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#ifndef _UART_API_H_ +#define _UART_API_H_ + +#include "reg_defs.h" + +/************************* Register Process marco ************************/ +#define UART_REG_WRITE(addr, val) HAL_WORD_REG_WRITE(UART_BASE_ADDRESS|(uint32_t)(addr), (val)) +#define UART_REG_READ(addr) HAL_WORD_REG_READ(UART_BASE_ADDRESS|(uint32_t)(addr)) + +/************************** Register deinition ***************************/ +#define RBR_ADDRESS 0x00051000 +#define RBR_OFFSET 0x00000000 +#define RBR_RBR_MSB 7 +#define RBR_RBR_LSB 0 +#define RBR_RBR_MASK 0x000000ff +#define RBR_RBR_GET(x) (((x) & RBR_RBR_MASK) >> RBR_RBR_LSB) +#define RBR_RBR_SET(x) (((x) << RBR_RBR_LSB) & RBR_RBR_MASK) + +#define THR_ADDRESS 0x00051000 +#define THR_OFFSET 0x00000000 +#define THR_THR_MSB 7 +#define THR_THR_LSB 0 +#define THR_THR_MASK 0x000000ff +#define THR_THR_GET(x) (((x) & THR_THR_MASK) >> THR_THR_LSB) +#define THR_THR_SET(x) (((x) << THR_THR_LSB) & THR_THR_MASK) + +#define DLL_ADDRESS 0x00051000 +#define DLL_OFFSET 0x00000000 +#define DLL_DLL_MSB 7 +#define DLL_DLL_LSB 0 +#define DLL_DLL_MASK 0x000000ff +#define DLL_DLL_GET(x) (((x) & DLL_DLL_MASK) >> DLL_DLL_LSB) +#define DLL_DLL_SET(x) (((x) << DLL_DLL_LSB) & DLL_DLL_MASK) + +#define DLH_ADDRESS 0x00051004 +#define DLH_OFFSET 0x00000004 +#define DLH_DLH_MSB 7 +#define DLH_DLH_LSB 0 +#define DLH_DLH_MASK 0x000000ff +#define DLH_DLH_GET(x) (((x) & DLH_DLH_MASK) >> DLH_DLH_LSB) +#define DLH_DLH_SET(x) (((x) << DLH_DLH_LSB) & DLH_DLH_MASK) + +#define IER_ADDRESS 0x00051004 +#define IER_OFFSET 0x00000004 +#define IER_EDDSI_MSB 3 +#define IER_EDDSI_LSB 3 +#define IER_EDDSI_MASK 0x00000008 +#define IER_EDDSI_GET(x) (((x) & IER_EDDSI_MASK) >> IER_EDDSI_LSB) +#define IER_EDDSI_SET(x) (((x) << IER_EDDSI_LSB) & IER_EDDSI_MASK) +#define IER_ELSI_MSB 2 +#define IER_ELSI_LSB 2 +#define IER_ELSI_MASK 0x00000004 +#define IER_ELSI_GET(x) (((x) & IER_ELSI_MASK) >> IER_ELSI_LSB) +#define IER_ELSI_SET(x) (((x) << IER_ELSI_LSB) & IER_ELSI_MASK) +#define IER_ETBEI_MSB 1 +#define IER_ETBEI_LSB 1 +#define IER_ETBEI_MASK 0x00000002 +#define IER_ETBEI_GET(x) (((x) & IER_ETBEI_MASK) >> IER_ETBEI_LSB) +#define IER_ETBEI_SET(x) (((x) << IER_ETBEI_LSB) & IER_ETBEI_MASK) +#define IER_ERBFI_MSB 0 +#define IER_ERBFI_LSB 0 +#define IER_ERBFI_MASK 0x00000001 +#define IER_ERBFI_GET(x) (((x) & IER_ERBFI_MASK) >> IER_ERBFI_LSB) +#define IER_ERBFI_SET(x) (((x) << IER_ERBFI_LSB) & IER_ERBFI_MASK) + +#define IIR_ADDRESS 0x00051008 +#define IIR_OFFSET 0x00000008 +#define IIR_FIFO_STATUS_MSB 7 +#define IIR_FIFO_STATUS_LSB 6 +#define IIR_FIFO_STATUS_MASK 0x000000c0 +#define IIR_FIFO_STATUS_GET(x) (((x) & IIR_FIFO_STATUS_MASK) >> IIR_FIFO_STATUS_LSB) +#define IIR_FIFO_STATUS_SET(x) (((x) << IIR_FIFO_STATUS_LSB) & IIR_FIFO_STATUS_MASK) +#define IIR_IID_MSB 3 +#define IIR_IID_LSB 0 +#define IIR_IID_MASK 0x0000000f +#define IIR_IID_GET(x) (((x) & IIR_IID_MASK) >> IIR_IID_LSB) +#define IIR_IID_SET(x) (((x) << IIR_IID_LSB) & IIR_IID_MASK) + +#define FCR_ADDRESS 0x00051008 +#define FCR_OFFSET 0x00000008 +#define FCR_RCVR_TRIG_MSB 7 +#define FCR_RCVR_TRIG_LSB 6 +#define FCR_RCVR_TRIG_MASK 0x000000c0 +#define FCR_RCVR_TRIG_GET(x) (((x) & FCR_RCVR_TRIG_MASK) >> FCR_RCVR_TRIG_LSB) +#define FCR_RCVR_TRIG_SET(x) (((x) << FCR_RCVR_TRIG_LSB) & FCR_RCVR_TRIG_MASK) +#define FCR_DMA_MODE_MSB 3 +#define FCR_DMA_MODE_LSB 3 +#define FCR_DMA_MODE_MASK 0x00000008 +#define FCR_DMA_MODE_GET(x) (((x) & FCR_DMA_MODE_MASK) >> FCR_DMA_MODE_LSB) +#define FCR_DMA_MODE_SET(x) (((x) << FCR_DMA_MODE_LSB) & FCR_DMA_MODE_MASK) +#define FCR_XMIT_FIFO_RST_MSB 2 +#define FCR_XMIT_FIFO_RST_LSB 2 +#define FCR_XMIT_FIFO_RST_MASK 0x00000004 +#define FCR_XMIT_FIFO_RST_GET(x) (((x) & FCR_XMIT_FIFO_RST_MASK) >> FCR_XMIT_FIFO_RST_LSB) +#define FCR_XMIT_FIFO_RST_SET(x) (((x) << FCR_XMIT_FIFO_RST_LSB) & FCR_XMIT_FIFO_RST_MASK) +#define FCR_RCVR_FIFO_RST_MSB 1 +#define FCR_RCVR_FIFO_RST_LSB 1 +#define FCR_RCVR_FIFO_RST_MASK 0x00000002 +#define FCR_RCVR_FIFO_RST_GET(x) (((x) & FCR_RCVR_FIFO_RST_MASK) >> FCR_RCVR_FIFO_RST_LSB) +#define FCR_RCVR_FIFO_RST_SET(x) (((x) << FCR_RCVR_FIFO_RST_LSB) & FCR_RCVR_FIFO_RST_MASK) +#define FCR_FIFO_EN_MSB 0 +#define FCR_FIFO_EN_LSB 0 +#define FCR_FIFO_EN_MASK 0x00000001 +#define FCR_FIFO_EN_GET(x) (((x) & FCR_FIFO_EN_MASK) >> FCR_FIFO_EN_LSB) +#define FCR_FIFO_EN_SET(x) (((x) << FCR_FIFO_EN_LSB) & FCR_FIFO_EN_MASK) + +#define LCR_ADDRESS 0x0005100c +#define LCR_OFFSET 0x0000000c +#define LCR_DLAB_MSB 7 +#define LCR_DLAB_LSB 7 +#define LCR_DLAB_MASK 0x00000080 +#define LCR_DLAB_GET(x) (((x) & LCR_DLAB_MASK) >> LCR_DLAB_LSB) +#define LCR_DLAB_SET(x) (((x) << LCR_DLAB_LSB) & LCR_DLAB_MASK) +#define LCR_BREAK_MSB 6 +#define LCR_BREAK_LSB 6 +#define LCR_BREAK_MASK 0x00000040 +#define LCR_BREAK_GET(x) (((x) & LCR_BREAK_MASK) >> LCR_BREAK_LSB) +#define LCR_BREAK_SET(x) (((x) << LCR_BREAK_LSB) & LCR_BREAK_MASK) +#define LCR_EPS_MSB 4 +#define LCR_EPS_LSB 4 +#define LCR_EPS_MASK 0x00000010 +#define LCR_EPS_GET(x) (((x) & LCR_EPS_MASK) >> LCR_EPS_LSB) +#define LCR_EPS_SET(x) (((x) << LCR_EPS_LSB) & LCR_EPS_MASK) +#define LCR_PEN_MSB 3 +#define LCR_PEN_LSB 3 +#define LCR_PEN_MASK 0x00000008 +#define LCR_PEN_GET(x) (((x) & LCR_PEN_MASK) >> LCR_PEN_LSB) +#define LCR_PEN_SET(x) (((x) << LCR_PEN_LSB) & LCR_PEN_MASK) +#define LCR_STOP_MSB 2 +#define LCR_STOP_LSB 2 +#define LCR_STOP_MASK 0x00000004 +#define LCR_STOP_GET(x) (((x) & LCR_STOP_MASK) >> LCR_STOP_LSB) +#define LCR_STOP_SET(x) (((x) << LCR_STOP_LSB) & LCR_STOP_MASK) +#define LCR_CLS_MSB 1 +#define LCR_CLS_LSB 0 +#define LCR_CLS_MASK 0x00000003 +#define LCR_CLS_GET(x) (((x) & LCR_CLS_MASK) >> LCR_CLS_LSB) +#define LCR_CLS_SET(x) (((x) << LCR_CLS_LSB) & LCR_CLS_MASK) + +#define MCR_ADDRESS 0x00051010 +#define MCR_OFFSET 0x00000010 +#define MCR_LOOPBACK_MSB 5 +#define MCR_LOOPBACK_LSB 5 +#define MCR_LOOPBACK_MASK 0x00000020 +#define MCR_LOOPBACK_GET(x) (((x) & MCR_LOOPBACK_MASK) >> MCR_LOOPBACK_LSB) +#define MCR_LOOPBACK_SET(x) (((x) << MCR_LOOPBACK_LSB) & MCR_LOOPBACK_MASK) +#define MCR_OUT2_MSB 3 +#define MCR_OUT2_LSB 3 +#define MCR_OUT2_MASK 0x00000008 +#define MCR_OUT2_GET(x) (((x) & MCR_OUT2_MASK) >> MCR_OUT2_LSB) +#define MCR_OUT2_SET(x) (((x) << MCR_OUT2_LSB) & MCR_OUT2_MASK) +#define MCR_OUT1_MSB 2 +#define MCR_OUT1_LSB 2 +#define MCR_OUT1_MASK 0x00000004 +#define MCR_OUT1_GET(x) (((x) & MCR_OUT1_MASK) >> MCR_OUT1_LSB) +#define MCR_OUT1_SET(x) (((x) << MCR_OUT1_LSB) & MCR_OUT1_MASK) +#define MCR_RTS_MSB 1 +#define MCR_RTS_LSB 1 +#define MCR_RTS_MASK 0x00000002 +#define MCR_RTS_GET(x) (((x) & MCR_RTS_MASK) >> MCR_RTS_LSB) +#define MCR_RTS_SET(x) (((x) << MCR_RTS_LSB) & MCR_RTS_MASK) +#define MCR_DTR_MSB 0 +#define MCR_DTR_LSB 0 +#define MCR_DTR_MASK 0x00000001 +#define MCR_DTR_GET(x) (((x) & MCR_DTR_MASK) >> MCR_DTR_LSB) +#define MCR_DTR_SET(x) (((x) << MCR_DTR_LSB) & MCR_DTR_MASK) + +#define LSR_ADDRESS 0x00051014 +#define LSR_OFFSET 0x00000014 +#define LSR_FERR_MSB 7 +#define LSR_FERR_LSB 7 +#define LSR_FERR_MASK 0x00000080 +#define LSR_FERR_GET(x) (((x) & LSR_FERR_MASK) >> LSR_FERR_LSB) +#define LSR_FERR_SET(x) (((x) << LSR_FERR_LSB) & LSR_FERR_MASK) +#define LSR_TEMT_MSB 6 +#define LSR_TEMT_LSB 6 +#define LSR_TEMT_MASK 0x00000040 +#define LSR_TEMT_GET(x) (((x) & LSR_TEMT_MASK) >> LSR_TEMT_LSB) +#define LSR_TEMT_SET(x) (((x) << LSR_TEMT_LSB) & LSR_TEMT_MASK) +#define LSR_THRE_MSB 5 +#define LSR_THRE_LSB 5 +#define LSR_THRE_MASK 0x00000020 +#define LSR_THRE_GET(x) (((x) & LSR_THRE_MASK) >> LSR_THRE_LSB) +#define LSR_THRE_SET(x) (((x) << LSR_THRE_LSB) & LSR_THRE_MASK) +#define LSR_BI_MSB 4 +#define LSR_BI_LSB 4 +#define LSR_BI_MASK 0x00000010 +#define LSR_BI_GET(x) (((x) & LSR_BI_MASK) >> LSR_BI_LSB) +#define LSR_BI_SET(x) (((x) << LSR_BI_LSB) & LSR_BI_MASK) +#define LSR_FE_MSB 3 +#define LSR_FE_LSB 3 +#define LSR_FE_MASK 0x00000008 +#define LSR_FE_GET(x) (((x) & LSR_FE_MASK) >> LSR_FE_LSB) +#define LSR_FE_SET(x) (((x) << LSR_FE_LSB) & LSR_FE_MASK) +#define LSR_PE_MSB 2 +#define LSR_PE_LSB 2 +#define LSR_PE_MASK 0x00000004 +#define LSR_PE_GET(x) (((x) & LSR_PE_MASK) >> LSR_PE_LSB) +#define LSR_PE_SET(x) (((x) << LSR_PE_LSB) & LSR_PE_MASK) +#define LSR_OE_MSB 1 +#define LSR_OE_LSB 1 +#define LSR_OE_MASK 0x00000002 +#define LSR_OE_GET(x) (((x) & LSR_OE_MASK) >> LSR_OE_LSB) +#define LSR_OE_SET(x) (((x) << LSR_OE_LSB) & LSR_OE_MASK) +#define LSR_DR_MSB 0 +#define LSR_DR_LSB 0 +#define LSR_DR_MASK 0x00000001 +#define LSR_DR_GET(x) (((x) & LSR_DR_MASK) >> LSR_DR_LSB) +#define LSR_DR_SET(x) (((x) << LSR_DR_LSB) & LSR_DR_MASK) + +#define MSR_ADDRESS 0x00051018 +#define MSR_OFFSET 0x00000018 +#define MSR_DCD_MSB 7 +#define MSR_DCD_LSB 7 +#define MSR_DCD_MASK 0x00000080 +#define MSR_DCD_GET(x) (((x) & MSR_DCD_MASK) >> MSR_DCD_LSB) +#define MSR_DCD_SET(x) (((x) << MSR_DCD_LSB) & MSR_DCD_MASK) +#define MSR_RI_MSB 6 +#define MSR_RI_LSB 6 +#define MSR_RI_MASK 0x00000040 +#define MSR_RI_GET(x) (((x) & MSR_RI_MASK) >> MSR_RI_LSB) +#define MSR_RI_SET(x) (((x) << MSR_RI_LSB) & MSR_RI_MASK) +#define MSR_DSR_MSB 5 +#define MSR_DSR_LSB 5 +#define MSR_DSR_MASK 0x00000020 +#define MSR_DSR_GET(x) (((x) & MSR_DSR_MASK) >> MSR_DSR_LSB) +#define MSR_DSR_SET(x) (((x) << MSR_DSR_LSB) & MSR_DSR_MASK) +#define MSR_CTS_MSB 4 +#define MSR_CTS_LSB 4 +#define MSR_CTS_MASK 0x00000010 +#define MSR_CTS_GET(x) (((x) & MSR_CTS_MASK) >> MSR_CTS_LSB) +#define MSR_CTS_SET(x) (((x) << MSR_CTS_LSB) & MSR_CTS_MASK) +#define MSR_DDCD_MSB 3 +#define MSR_DDCD_LSB 3 +#define MSR_DDCD_MASK 0x00000008 +#define MSR_DDCD_GET(x) (((x) & MSR_DDCD_MASK) >> MSR_DDCD_LSB) +#define MSR_DDCD_SET(x) (((x) << MSR_DDCD_LSB) & MSR_DDCD_MASK) +#define MSR_TERI_MSB 2 +#define MSR_TERI_LSB 2 +#define MSR_TERI_MASK 0x00000004 +#define MSR_TERI_GET(x) (((x) & MSR_TERI_MASK) >> MSR_TERI_LSB) +#define MSR_TERI_SET(x) (((x) << MSR_TERI_LSB) & MSR_TERI_MASK) +#define MSR_DDSR_MSB 1 +#define MSR_DDSR_LSB 1 +#define MSR_DDSR_MASK 0x00000002 +#define MSR_DDSR_GET(x) (((x) & MSR_DDSR_MASK) >> MSR_DDSR_LSB) +#define MSR_DDSR_SET(x) (((x) << MSR_DDSR_LSB) & MSR_DDSR_MASK) +#define MSR_DCTS_MSB 0 +#define MSR_DCTS_LSB 0 +#define MSR_DCTS_MASK 0x00000001 +#define MSR_DCTS_GET(x) (((x) & MSR_DCTS_MASK) >> MSR_DCTS_LSB) +#define MSR_DCTS_SET(x) (((x) << MSR_DCTS_LSB) & MSR_DCTS_MASK) + + +/************************** config definition ***************************/ +#define UART_FIFO_SIZE 512 //Must be 2^N + +#define USE_POST_BUFFER 0 // ENABLE a tx buffer for post processing, +/*********************** data struction definition ************************/ +// data struction definition +struct uart_fifo { +#if USE_POST_BUFFER + uint8_t buf[UART_FIFO_SIZE]; +#endif + uint16_t start_index; + uint16_t end_index; + uint32_t overrun_err; +}; + + +struct uart_blk { + uint16_t debug_mode; + uint16_t baud; + struct uart_api *_uart; + struct uart_fifo _tx; +}; + + +/******** hardware API table structure (API descriptions below) *************/ +struct uart_api { + uint32_t (*_uart_init)(void); + void (*_uart_char_put)(uint8_t ch); + uint16_t (*_uart_char_get)(uint8_t* ch); + void (*_uart_str_out)(uint8_t* str); + void (*_uart_task)(void); + uint32_t (*_uart_status)(void); + void (*_uart_config)(uint16_t flag); + void (*_uart_hwinit)(uint32_t freq, uint32_t baud); + //void (*_uart_config)(uint8_t cmd, void *pData); +}; + +/************************* EXPORT function ***************************/ + + +#endif // end of _UART_API_H_ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_api.h new file mode 100755 index 0000000..a9c3168 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_api.h @@ -0,0 +1,52 @@ +#ifndef USB_API_H +#define USB_API_H + +#include "dt_defs.h" + +/******** hardware API table structure (API descriptions below) *************/ +struct usb_api { + void (*_usb_init)(void); + void (*_usb_rom_task)(void); + void (*_usb_fw_task)(void); + void (*_usb_init_phy)(void); + + // ep0 operation + void (*_usb_ep0_setup)(void); + + void (*_usb_ep0_tx)(void); + void (*_usb_ep0_rx)(void); + + // get/set interface + BOOLEAN (*_usb_get_interface)(void); + BOOLEAN (*_usb_set_interface)(void); + + // get/set configuration + BOOLEAN (*_usb_get_configuration)(void); + BOOLEAN (*_usb_set_configuration)(void); + + // standard/vendor command + BOOLEAN (*_usb_standard_cmd)(void); + void (*_usb_vendor_cmd)(void); + + void (*_usb_power_off)(void); + void (*_usb_reset_fifo)(void); + void (*_usb_gen_wdt)(void); + void (*_usb_jump_boot)(void); + + BOOLEAN (*_usb_clr_feature)(void); + BOOLEAN (*_usb_set_feature)(void); + BOOLEAN (*_usb_set_address)(void); + BOOLEAN (*_usb_get_descriptor)(void); + + BOOLEAN (*_usb_get_status)(void); + void (*_usb_setup_desc)(void); + void (*_usb_reg_out)(void); + void (*_usb_status_in)(void); + + void (*_usb_ep0_tx_data)(void); + void (*_usb_ep0_rx_data)(void); + + void (*_usb_clk_init)(void); +}; + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_defs.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_defs.h new file mode 100755 index 0000000..bef0190 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_defs.h @@ -0,0 +1,904 @@ +#ifndef USB_DEFS_H +#define USB_DEFS_H + +#include "usb_table.h" +#include "dt_defs.h" +#include "reg_defs.h" + +#define CHECK_SWITCH_BY_BOOTCODE 1 //to be verified for ZD1215, OK for ZD1211 +#define VERIFY_CHECKSUM_BY_BOOTCODE 1 + +/***********************************************************************/ +/* for SEEPROM Boot */ +/***********************************************************************/ +#define WLAN_BOOT_SIGNATURE (0x19710303) + +#define WLAN_SIGNATURE_ADDR (0x102000) + +#define cMAX_ADDR 0x10000 + +#define cEEPROM_SIZE 0x800 // 2k word (4k byte) + +#define cRESERVE_LOAD_SPACE 0 + +// start addr. of boot code +#define cBOOT_CODE_ADDR (cMAX_ADDR - cEEPROM_SIZE) // 0xF800 + +/************************** Register Addr Process *********************/ +#define mpADDR(addr) ((volatile uint16_t*) (addr)) +#define mADDR(addr) (*mpADDR(addr)) +#define muADDR(addr) ((uint16_t) (&(addr))) + +#define USB_BYTE_REG_WRITE(addr, val) HAL_BYTE_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr^3), (val)) +#define USB_BYTE_REG_READ(addr) HAL_BYTE_REG_READ(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr^3)) +//#define USB_BYTE_REG_READ(addr) HAL_BYTE_REG_READ(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr)) + +#define USB_HALF_WORD_REG_WRITE(addr, val) HAL_HALF_WORD_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint16_t)(addr), (val)) +#define USB_HALF_WORD_REG_READ(addr) HAL_HALF_WORD_REG_READ(USB_CTRL_BASE_ADDRESS|(uint16_t)(addr)) + +#define USB_WORD_REG_WRITE(addr, val) HAL_WORD_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint32_t)(addr), (val)) +#define USB_WORD_REG_READ(addr) HAL_WORD_REG_READ(USB_CTRL_BASE_ADDRESS|(uint32_t)(addr)) + + +/************************** Register Deinition ***************************/ +//#define USB_BASE_ADDR_SOC 0x8000 + +//#define SOC_Reg mpADDR(USB_BASE_ADDR_SOC) + +#define cSOC_USB_OFST (0x100) + +#define ZM_CBUS_FIFO_SIZE_OFFSET (cSOC_USB_OFST) //OFFSET 0 + +#define cSOC_CBUS_CTL_OFFSET 0xF0 + +#define ZM_FUSB_BASE USB_CTRL_BASE_ADDRESS + +#define ZM_MAIN_CTRL_OFFSET 0x00 +#define ZM_DEVICE_ADDRESS_OFFSET 0x01 +#define ZM_TEST_OFFSET 0x02 +#define ZM_PHY_TEST_SELECT_OFFSET 0x08 +#define ZM_VDR_SPECIFIC_MODE_OFFSET 0x0A +#define ZM_CX_CONFIG_STATUS_OFFSET 0x0B +#define ZM_EP0_DATA1_OFFSET 0x0C +#define ZM_EP0_DATA2_OFFSET 0x0D +#define ZM_EP0_DATA_OFFSET 0x0C + +#define ZM_INTR_MASK_BYTE_0_OFFSET 0x11 +#define ZM_INTR_MASK_BYTE_1_OFFSET 0x12 +#define ZM_INTR_MASK_BYTE_2_OFFSET 0x13 +#define ZM_INTR_MASK_BYTE_3_OFFSET 0x14 +#define ZM_INTR_MASK_BYTE_4_OFFSET 0x15 +#define ZM_INTR_MASK_BYTE_5_OFFSET 0x16 +#define ZM_INTR_MASK_BYTE_6_OFFSET 0x17 +#define ZM_INTR_MASK_BYTE_7_OFFSET 0x18 + +#define ZM_INTR_GROUP_OFFSET 0x20 +#define ZM_INTR_SOURCE_0_OFFSET 0x21 +#define ZM_INTR_SOURCE_1_OFFSET 0x22 +#define ZM_INTR_SOURCE_2_OFFSET 0x23 +#define ZM_INTR_SOURCE_3_OFFSET 0x24 +#define ZM_INTR_SOURCE_4_OFFSET 0x25 +#define ZM_INTR_SOURCE_5_OFFSET 0x26 +#define ZM_INTR_SOURCE_6_OFFSET 0x27 +#define ZM_INTR_SOURCE_7_OFFSET 0x28 + +#define ZM_EP_IN_MAX_SIZE_HIGH_OFFSET 0x3F +#define ZM_EP_IN_MAX_SIZE_LOW_OFFSET 0x3E + +#define ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET 0x5F +#define ZM_EP_OUT_MAX_SIZE_LOW_OFFSET 0x5E + +#define ZM_EP3_BYTE_COUNT_HIGH_OFFSET 0xAE +#define ZM_EP3_BYTE_COUNT_LOW_OFFSET 0xBE +#define ZM_EP4_BYTE_COUNT_HIGH_OFFSET 0xAF +#define ZM_EP4_BYTE_COUNT_LOW_OFFSET 0xBF + +#define ZM_EP3_DATA_OFFSET 0xF8 +#define ZM_EP4_DATA_OFFSET 0xFC + +#define ZM_SOC_USB_MODE_CTRL_OFFSET 0x108 +#define ZM_SOC_USB_MAX_AGGREGATE_OFFSET 0x110 +#define ZM_SOC_USB_TIME_CTRL_OFFSET 0x114 + +#define ZM_ADDR_CONV 0x0 + +#define ZM_CBUS_FIFO_SIZE_REG (ZM_CBUS_FIFO_SIZE_OFFSET^ZM_ADDR_CONV) + +#define ZM_CBUS_CTRL_REG (cSOC_USB_OFST+cSOC_CBUS_CTL_OFFSET^ZM_ADDR_CONV) + +#define ZM_MAIN_CTRL_REG (ZM_MAIN_CTRL_OFFSET^ZM_ADDR_CONV) + +#define ZM_DEVICE_ADDRESS_REG (ZM_DEVICE_ADDRESS_OFFSET^ZM_ADDR_CONV) + +#define ZM_TEST_REG (ZM_TEST_OFFSET^ZM_ADDR_CONV) + +#define ZM_PHY_TEST_SELECT_REG (ZM_PHY_TEST_SELECT_OFFSET^ZM_ADDR_CONV))) + +#define ZM_CX_CONFIG_STATUS_REG (ZM_CX_CONFIG_STATUS_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP0_DATA1_REG (ZM_EP0_DATA1_OFFSET^ZM_ADDR_CONV))) + +#define ZM_EP0_DATA2_REG (ZM_EP0_DATA2_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP0_DATA_REG (ZM_EP0_DATA_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_0_REG (ZM_INTR_MASK_BYTE_0_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_1_REG (ZM_INTR_MASK_BYTE_1_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_2_REG (ZM_INTR_MASK_BYTE_2_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_3_REG (ZM_INTR_MASK_BYTE_3_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_4_REG (ZM_INTR_MASK_BYTE_4_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_5_REG (ZM_INTR_MASK_BYTE_5_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_6_REG (ZM_INTR_MASK_BYTE_6_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_7_REG (ZM_INTR_MASK_BYTE_7_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_0_REG (ZM_INTR_SOURCE_0_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_1_REG (ZM_INTR_SOURCE_1_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_2_REG (ZM_INTR_SOURCE_2_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_3_REG (ZM_INTR_SOURCE_3_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_4_REG (ZM_INTR_SOURCE_4_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_5_REG (ZM_INTR_SOURCE_5_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_6_REG (ZM_INTR_SOURCE_6_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_7_REG (ZM_INTR_SOURCE_7_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_GROUP_REG (ZM_INTR_GROUP_OFFSET^ZM_ADDR_CONV))) + +#define ZM_EP3_BYTE_COUNT_HIGH_REG (ZM_EP3_BYTE_COUNT_HIGH_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP3_BYTE_COUNT_LOW_REG (ZM_EP3_BYTE_COUNT_LOW_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP4_BYTE_COUNT_HIGH_REG (ZM_EP4_BYTE_COUNT_HIGH_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP4_BYTE_COUNT_LOW_REG (ZM_EP4_BYTE_COUNT_LOW_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP3_DATA_REG (ZM_EP3_DATA_OFFSET) + +#define ZM_EP4_DATA_REG (ZM_EP4_DATA_OFFSET) + +#define ZM_SOC_USB_MODE_CTRL_REG (ZM_SOC_USB_MODE_CTRL_OFFSET) + +#define ZM_SOC_USB_MAX_AGGREGATE_REG (ZM_SOC_USB_MAX_AGGREGATE_OFFSET) + +#define ZM_SOC_USB_TIME_CTRL_REG (ZM_SOC_USB_TIME_CTRL_OFFSET) + +#define bmHIGH_SPEED BIT6 +#define bmCWR_BUF_END BIT1 + +#define mUsbEP0DataRd1() (USB_BYTE_REG_READ(ZM_EP0_DATA1_OFFSET)) +//#define mUsbEP0DataRd2() ZM_EP0_DATA2_REG +//#define mUsbEP0DataRd3() ZM_EP0_DATA3_REG +//#define mUsbEP0DataRd4() ZM_EP0_DATA4_REG +#define mUsbEP0DataWr1(data) (USB_BYTE_REG_WRITE(ZM_EP0_DATA1_OFFSET, data)) +#define mUsbEP0DataWr2(data) (USB_BYTE_REG_WRITE(ZM_EP0_DATA2_OFFSET, data)) + +#define mGetByte0(data) ( data & 0xff ) +#define mGetByte1(data) ( (data >> 8) & 0xff ) +#define mGetByte2(data) ( (data >> 16) & 0xff ) +#define mGetByte3(data) ( (data >> 24) & 0xff ) + +//#define mUsbHighSpeedST() (ZM_MAIN_CTRL_REG & BIT6) +//#define mUsbCfgST() (ZM_DEVICE_ADDRESS_REG & BIT7) +//#define mUsbApWrEnd() (ZM_CBUS_CTRL_REG = bmCWR_BUF_END) +//#define mUsbApRdEnd() (ZM_CBUS_CTRL_REG = bmCWR_BUF_END) + +#define mUsbHighSpeedST() (USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET) & BIT6) +#define mUsbCfgST() (USB_BYTE_REG_READ(ZM_DEVICE_ADDRESS_OFFSET) & BIT7) +#define mUsbApWrEnd() (USB_BYTE_REG_WRITE((cSOC_USB_OFST+cSOC_CBUS_CTL_OFFSET), bmCWR_BUF_END) +#define mUsbApRdEnd() (USB_BYTE_REG_WRITE((cSOC_USB_OFST+cSOC_CBUS_CTL_OFFSET), bmCWR_BUF_END) + +#define mUsbRmWkupST() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)&BIT0) +#define mUsbRmWkupClr() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)&~BIT0) +#define mUsbRmWkupSet() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)|BIT0) + +#define mUsbGlobIntEnable() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)|BIT2) + +#define mUSB_REG_OUT_INT_ENABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_4_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_4_OFFSET)&0x3f) +#define mUSB_REG_OUT_INT_DISABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_4_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_4_OFFSET)|0xc0) +#define mUSB_STATUS_IN_INT_ENABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_6_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_6_OFFSET)&0xbf) +#define mUSB_STATUS_IN_INT_DISABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_6_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_6_OFFSET)|0xc0) +// USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_6_OFFSET)|0x40) + +#define mUSB_EP3_XFER_DONE() USB_BYTE_REG_WRITE(ZM_EP3_BYTE_COUNT_HIGH_OFFSET, \ + USB_BYTE_REG_READ(ZM_EP3_BYTE_COUNT_HIGH_OFFSET)|0x08) + + + +#define HS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_512 +#define HS_C1_I0_A0_EP1_bInterval 00 + +#define HS_C1_I0_A0_EP_NUMBER 0x06 +#define HS_C1_I0_A0_EP_LENGTH (EP_LENGTH * HS_C1_I0_A0_EP_NUMBER) +#define HS_C1_I0_ALT_LENGTH (HS_C1_I0_A0_EP_LENGTH) +#define HS_C1_INTERFACE_LENGTH (HS_C1_I0_ALT_LENGTH) + +#define HS_C1_CONFIG_TOTAL_LENGTH (CONFIG_LENGTH + INTERFACE_LENGTH + HS_C1_INTERFACE_LENGTH) +#define FS_C1_CONFIG_TOTAL_LENGTH (CONFIG_LENGTH + INTERFACE_LENGTH + FS_C1_INTERFACE_LENGTH) + +#define FS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_64 +//#define FS_C1_I0_A0_EP1_bInterval HS_C1_I0_A0_EP1_bInterval + +#define HS_CONFIGURATION_NUMBER 1 +#define FS_CONFIGURATION_NUMBER 1 + +#define fDOUBLE_BUF 1 +#define fDOUBLE_BUF_IN 1 + +#define fFLASH_DISK 0 +#define fENABLE_ISO 0 + +#if (HS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + #define HS_C1_INTERFACE_NUMBER 0x01 + #define HS_C1 0x01 + #define HS_C1_iConfiguration 0x00 + #define HS_C1_bmAttribute 0x80 + #if !(fFLASH_DISK && !fFLASH_BOOT) + #define HS_C1_iMaxPower 0xFA + #else + #define HS_C1_iMaxPower 0x32 + #endif + + #if (HS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #define HS_C1_I0_ALT_NUMBER 0X01 + #if (HS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0X00 + #define HS_C1_I0_A0_bInterfaceNumber 0X00 + #define HS_C1_I0_A0_bAlternateSetting 0X00 + //JWEI 2003/07/14 + //#if fINDEPEND_REG_RW && !(fFLASH_DISK && !fFLASH_BOOT) + #define HS_C1_I0_A0_EP_NUMBER 0x06 + //#else + //#define HS_C1_I0_A0_EP_NUMBER 0X03 + //#endif + #if !(fFLASH_DISK && !fFLASH_BOOT) + #define HS_C1_I0_A0_bInterfaceClass 0XFF + #define HS_C1_I0_A0_bInterfaceSubClass 0X00 + #define HS_C1_I0_A0_bInterfaceProtocol 0X00 + #else + #define HS_C1_I0_A0_bInterfaceClass 0X08 + #define HS_C1_I0_A0_bInterfaceSubClass 0X06 + #define HS_C1_I0_A0_bInterfaceProtocol 0X50 + #endif + #define HS_C1_I0_A0_iInterface 0X00 + + #if (HS_C1_I0_A0_EP_NUMBER >= 1) + //EP0X01 + #define HS_C1_I0_A0_EP1_BLKSIZE BLK512BYTE + //JWEI 2003/05/19 + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP1_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP1_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP1_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP1_TYPE TF_TYPE_BULK + //JWEI 2003/05/07 + #define HS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP1_bInterval 00 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 2) + //EP0X02 + #define HS_C1_I0_A0_EP2_BLKSIZE BLK512BYTE + //JWEI 2003/08/20 + #if fDOUBLE_BUF_IN + #define HS_C1_I0_A0_EP2_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP2_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP2_DIRECTION DIRECTION_IN + #define HS_C1_I0_A0_EP2_TYPE TF_TYPE_BULK + #define HS_C1_I0_A0_EP2_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP2_bInterval 00 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 3) + //EP0X03 + #define HS_C1_I0_A0_EP3_BLKSIZE BLK64BYTE + #define HS_C1_I0_A0_EP3_BLKNO SINGLE_BLK + #define HS_C1_I0_A0_EP3_DIRECTION DIRECTION_IN + #define HS_C1_I0_A0_EP3_TYPE TF_TYPE_INTERRUPT + #define HS_C1_I0_A0_EP3_MAX_PACKET 0x0040 + #define HS_C1_I0_A0_EP3_bInterval 01 + #endif + // Note: HS Bulk type require max pkt size = 512 + // ==> must use Interrupt type for max pkt size = 64 + #if (HS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + //EP0X04 + #define HS_C1_I0_A0_EP4_BLKSIZE BLK64BYTE + #define HS_C1_I0_A0_EP4_BLKNO SINGLE_BLK + #define HS_C1_I0_A0_EP4_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP4_TYPE TF_TYPE_INTERRUPT + #define HS_C1_I0_A0_EP4_MAX_PACKET 0x0040 + #define HS_C1_I0_A0_EP4_bInterval 01 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 5) + //EP0X04 + #define HS_C1_I0_A0_EP5_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP5_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP5_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP5_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP5_TYPE TF_TYPE_BULK + #define HS_C1_I0_A0_EP5_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP5_bInterval 00 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 6) + //EP0X04 + #define HS_C1_I0_A0_EP6_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP6_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP6_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP6_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP6_TYPE TF_TYPE_BULK + #define HS_C1_I0_A0_EP6_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP6_bInterval 00 + #endif + #endif + #endif +#endif + +#if (HS_CONFIGURATION_NUMBER >= 1) + // Configuration 1 + #if (HS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #if (HS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define HS_C1_I0_A0_EP_LENGTH (EP_LENGTH * HS_C1_I0_A0_EP_NUMBER) + #if (HS_C1_I0_A0_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I0_A0_EP1_FIFO_START FIFO0 + #define HS_C1_I0_A0_EP1_FIFO_NO (HS_C1_I0_A0_EP1_BLKNO * HS_C1_I0_A0_EP1_BLKSIZE) + #define HS_C1_I0_A0_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP1_BLKNO - 1) << 2) | HS_C1_I0_A0_EP1_TYPE) + #define HS_C1_I0_A0_EP1_FIFO_MAP (((1 - HS_C1_I0_A0_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I0_A0_EP1_MAP (HS_C1_I0_A0_EP1_FIFO_START | (HS_C1_I0_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP1_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 2) + // EP2 + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP2_FIFO_START (HS_C1_I0_A0_EP1_FIFO_START + HS_C1_I0_A0_EP1_FIFO_NO) + #else + #define HS_C1_I0_A0_EP2_FIFO_START FIFO2 + #endif + #define HS_C1_I0_A0_EP2_FIFO_NO (HS_C1_I0_A0_EP2_BLKNO * HS_C1_I0_A0_EP2_BLKSIZE) + #define HS_C1_I0_A0_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP2_BLKNO - 1) << 2) | HS_C1_I0_A0_EP2_TYPE) + #define HS_C1_I0_A0_EP2_FIFO_MAP (((1 - HS_C1_I0_A0_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I0_A0_EP2_MAP (HS_C1_I0_A0_EP2_FIFO_START | (HS_C1_I0_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP2_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 3) + // EP3 + //JWEI 2003/07/15 + // #define HS_C1_I0_A0_EP3_FIFO_START (HS_C1_I0_A0_EP2_FIFO_START + HS_C1_I0_A0_EP2_FIFO_NO) + #define HS_C1_I0_A0_EP3_FIFO_START FIFO14 + #define HS_C1_I0_A0_EP3_FIFO_NO (HS_C1_I0_A0_EP3_BLKNO * HS_C1_I0_A0_EP3_BLKSIZE) + #define HS_C1_I0_A0_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP3_BLKNO - 1) << 2) | HS_C1_I0_A0_EP3_TYPE) + #define HS_C1_I0_A0_EP3_FIFO_MAP (((1 - HS_C1_I0_A0_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I0_A0_EP3_MAP (HS_C1_I0_A0_EP3_FIFO_START | (HS_C1_I0_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP3_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + // EP4 + #define HS_C1_I0_A0_EP4_FIFO_START (HS_C1_I0_A0_EP3_FIFO_START + HS_C1_I0_A0_EP3_FIFO_NO) + #define HS_C1_I0_A0_EP4_FIFO_NO (HS_C1_I0_A0_EP4_BLKNO * HS_C1_I0_A0_EP4_BLKSIZE) + #define HS_C1_I0_A0_EP4_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP4_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP4_BLKNO - 1) << 2) | HS_C1_I0_A0_EP4_TYPE) + #define HS_C1_I0_A0_EP4_FIFO_MAP (((1 - HS_C1_I0_A0_EP4_DIRECTION) << 4) | EP4) + #define HS_C1_I0_A0_EP4_MAP (HS_C1_I0_A0_EP4_FIFO_START | (HS_C1_I0_A0_EP4_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP4_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 5) + // EP5 + #define HS_C1_I0_A0_EP5_FIFO_START (HS_C1_I0_A0_EP2_FIFO_START + HS_C1_I0_A0_EP2_FIFO_NO) + #define HS_C1_I0_A0_EP5_FIFO_NO (HS_C1_I0_A0_EP5_BLKNO * HS_C1_I0_A0_EP5_BLKSIZE) + #define HS_C1_I0_A0_EP5_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP5_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP5_BLKNO - 1) << 2) | HS_C1_I0_A0_EP5_TYPE) + #define HS_C1_I0_A0_EP5_FIFO_MAP (((1 - HS_C1_I0_A0_EP5_DIRECTION) << 4) | EP5) + #define HS_C1_I0_A0_EP5_MAP (HS_C1_I0_A0_EP5_FIFO_START | (HS_C1_I0_A0_EP5_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP5_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 6) + // EP5 + #define HS_C1_I0_A0_EP6_FIFO_START (HS_C1_I0_A0_EP5_FIFO_START + HS_C1_I0_A0_EP5_FIFO_NO) + #define HS_C1_I0_A0_EP6_FIFO_NO (HS_C1_I0_A0_EP6_BLKNO * HS_C1_I0_A0_EP6_BLKSIZE) + #define HS_C1_I0_A0_EP6_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP6_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP6_BLKNO - 1) << 2) | HS_C1_I0_A0_EP6_TYPE) + #define HS_C1_I0_A0_EP6_FIFO_MAP (((1 - HS_C1_I0_A0_EP6_DIRECTION) << 4) | EP6) + #define HS_C1_I0_A0_EP6_MAP (HS_C1_I0_A0_EP6_FIFO_START | (HS_C1_I0_A0_EP6_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP6_DIRECTION))) + #endif + #endif + + #if (HS_C1_I0_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define HS_C1_I0_A1_EP_LENGTH (EP_LENGTH * HS_C1_I0_A1_EP_NUMBER) + #if (HS_C1_I0_A1_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I0_A1_EP1_FIFO_START FIFO0 + #define HS_C1_I0_A1_EP1_FIFO_NO (HS_C1_I0_A1_EP1_BLKNO * HS_C1_I0_A1_EP1_BLKSIZE) + #define HS_C1_I0_A1_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I0_A1_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I0_A1_EP1_BLKNO - 1) << 2) | HS_C1_I0_A1_EP1_TYPE) + #define HS_C1_I0_A1_EP1_FIFO_MAP (((1 - HS_C1_I0_A1_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I0_A1_EP1_MAP (HS_C1_I0_A1_EP1_FIFO_START | (HS_C1_I0_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A1_EP1_DIRECTION))) + #endif + #if (HS_C1_I0_A1_EP_NUMBER >= 2) + // EP2 + #define HS_C1_I0_A1_EP2_FIFO_START (HS_C1_I0_A1_EP1_FIFO_START + HS_C1_I0_A1_EP1_FIFO_NO) + #define HS_C1_I0_A1_EP2_FIFO_NO (HS_C1_I0_A1_EP2_BLKNO * HS_C1_I0_A1_EP2_BLKSIZE) + #define HS_C1_I0_A1_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I0_A1_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I0_A1_EP2_BLKNO - 1) << 2) | HS_C1_I0_A1_EP2_TYPE) + #define HS_C1_I0_A1_EP2_FIFO_MAP (((1 - HS_C1_I0_A1_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I0_A1_EP2_MAP (HS_C1_I0_A1_EP2_FIFO_START | (HS_C1_I0_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A1_EP2_DIRECTION))) + #endif + #if (HS_C1_I0_A1_EP_NUMBER >= 3) + // EP3 + #define HS_C1_I0_A1_EP3_FIFO_START (HS_C1_I0_A1_EP2_FIFO_START + HS_C1_I0_A1_EP2_FIFO_NO) + #define HS_C1_I0_A1_EP3_FIFO_NO (HS_C1_I0_A1_EP3_BLKNO * HS_C1_I0_A1_EP3_BLKSIZE) + #define HS_C1_I0_A1_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I0_A1_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I0_A1_EP3_BLKNO - 1) << 2) | HS_C1_I0_A1_EP3_TYPE) + #define HS_C1_I0_A1_EP3_FIFO_MAP (((1 - HS_C1_I0_A1_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I0_A1_EP3_MAP (HS_C1_I0_A1_EP3_FIFO_START | (HS_C1_I0_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A1_EP3_DIRECTION))) + #endif + #endif + + #if (HS_C1_I0_ALT_NUMBER == 1) + #define HS_C1_I0_ALT_LENGTH (HS_C1_I0_A0_EP_LENGTH) + #elif (HS_C1_I0_ALT_NUMBER == 2) + #define HS_C1_I0_ALT_LENGTH (HS_C1_I0_A0_EP_LENGTH + HS_C1_I0_A1_EP_LENGTH) + #endif + #endif + + #if (HS_C1_INTERFACE_NUMBER >= 2) + // Interface 1 + #if (HS_C1_I1_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define HS_C1_I1_A0_EP_LENGTH (EP_LENGTH * HS_C1_I1_A0_EP_NUMBER) + #if (HS_C1_I1_A0_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I1_A0_EP1_FIFO_START FIFO0 + #define HS_C1_I1_A0_EP1_FIFO_NO (HS_C1_I1_A0_EP1_BLKNO * HS_C1_I1_A0_EP1_BLKSIZE) + #define HS_C1_I1_A0_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I1_A0_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I1_A0_EP1_BLKNO - 1) << 2) | HS_C1_I1_A0_EP1_TYPE) + #define HS_C1_I1_A0_EP1_FIFO_MAP (((1 - HS_C1_I1_A0_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I1_A0_EP1_MAP (HS_C1_I1_A0_EP1_FIFO_START | (HS_C1_I1_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A0_EP1_DIRECTION))) + #endif + #if (HS_C1_I1_A0_EP_NUMBER >= 2) + // EP2 + #define HS_C1_I1_A0_EP2_FIFO_START (HS_C1_I1_A0_EP1_FIFO_START + HS_C1_I1_A0_EP1_FIFO_NO) + #define HS_C1_I1_A0_EP2_FIFO_NO (HS_C1_I1_A0_EP2_BLKNO * HS_C1_I1_A0_EP2_BLKSIZE) + #define HS_C1_I1_A0_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I1_A0_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I1_A0_EP2_BLKNO - 1) << 2) | HS_C1_I1_A0_EP2_TYPE) + #define HS_C1_I1_A0_EP2_FIFO_MAP (((1 - HS_C1_I1_A0_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I1_A0_EP2_MAP (HS_C1_I1_A0_EP2_FIFO_START | (HS_C1_I1_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A0_EP2_DIRECTION))) + #endif + #if (HS_C1_I1_A0_EP_NUMBER >= 3) + // EP3 + #define HS_C1_I1_A0_EP3_FIFO_START (HS_C1_I1_A0_EP2_FIFO_START + HS_C1_I1_A0_EP2_FIFO_NO) + #define HS_C1_I1_A0_EP3_FIFO_NO (HS_C1_I1_A0_EP3_BLKNO * HS_C1_I1_A0_EP3_BLKSIZE) + #define HS_C1_I1_A0_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I1_A0_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I1_A0_EP3_BLKNO - 1) << 2) | HS_C1_I1_A0_EP3_TYPE) + #define HS_C1_I1_A0_EP3_FIFO_MAP (((1 - HS_C1_I1_A0_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I1_A0_EP3_MAP (HS_C1_I1_A0_EP3_FIFO_START | (HS_C1_I1_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A0_EP3_DIRECTION))) + #endif + #endif + + #if (HS_C1_I1_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define HS_C1_I1_A1_EP_LENGTH (EP_LENGTH * HS_C1_I1_A1_EP_NUMBER) + #if (HS_C1_I1_A1_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I1_A1_EP1_FIFO_START FIFO0 + #define HS_C1_I1_A1_EP1_FIFO_NO (HS_C1_I1_A1_EP1_BLKNO * HS_C1_I1_A1_EP1_BLKSIZE) + #define HS_C1_I1_A1_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I1_A1_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I1_A1_EP1_BLKNO - 1) << 2) | HS_C1_I1_A1_EP1_TYPE) + #define HS_C1_I1_A1_EP1_FIFO_MAP (((1 - HS_C1_I1_A1_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I1_A1_EP1_MAP (HS_C1_I1_A1_EP1_FIFO_START | (HS_C1_I1_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A1_EP1_DIRECTION))) + #endif + #if (HS_C1_I1_A1_EP_NUMBER >= 2) + // EP2 + #define HS_C1_I1_A1_EP2_FIFO_START (HS_C1_I1_A1_EP1_FIFO_START + HS_C1_I1_A1_EP1_FIFO_NO) + #define HS_C1_I1_A1_EP2_FIFO_NO (HS_C1_I1_A1_EP2_BLKNO * HS_C1_I1_A1_EP2_BLKSIZE) + #define HS_C1_I1_A1_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I1_A1_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I1_A1_EP2_BLKNO - 1) << 2) | HS_C1_I1_A1_EP2_TYPE) + #define HS_C1_I1_A1_EP2_FIFO_MAP (((1 - HS_C1_I1_A1_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I1_A1_EP2_MAP (HS_C1_I1_A1_EP2_FIFO_START | (HS_C1_I1_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A1_EP2_DIRECTION))) + #endif + #if (HS_C1_I1_A1_EP_NUMBER >= 3) + // EP3 + #define HS_C1_I1_A1_EP3_FIFO_START (HS_C1_I1_A1_EP2_FIFO_START + HS_C1_I1_A1_EP2_FIFO_NO) + #define HS_C1_I1_A1_EP3_FIFO_NO (HS_C1_I1_A1_EP3_BLKNO * HS_C1_I1_A1_EP3_BLKSIZE) + #define HS_C1_I1_A1_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I1_A1_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I1_A1_EP3_BLKNO - 1) << 2) | HS_C1_I1_A1_EP3_TYPE) + #define HS_C1_I1_A1_EP3_FIFO_MAP (((1 - HS_C1_I1_A1_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I1_A1_EP3_MAP (HS_C1_I1_A1_EP3_FIFO_START | (HS_C1_I1_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A1_EP3_DIRECTION))) + #endif + #endif + + #if (HS_C1_I1_ALT_NUMBER == 1) + #define HS_C1_I1_ALT_LENGTH (HS_C1_I1_A0_EP_LENGTH) + #elif (HS_C1_I1_ALT_NUMBER == 2) + #define HS_C1_I1_ALT_LENGTH (HS_C1_I1_A0_EP_LENGTH + HS_C1_I1_A1_EP_LENGTH) + #endif + #endif + + #if (HS_C1_INTERFACE_NUMBER == 1) + #define HS_C1_INTERFACE_LENGTH (HS_C1_I0_ALT_LENGTH) + #elif (HS_C1_INTERFACE_NUMBER == 2) + #define HS_C1_INTERFACE_LENGTH (HS_C1_I0_ALT_LENGTH + HS_C1_I1_ALT_LENGTH) + #endif +#endif + +#if (FS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + #define FS_C1_INTERFACE_NUMBER 0X01 + #define FS_C1 0X01 + #define FS_C1_iConfiguration 0X00 + #define FS_C1_bmAttribute 0X80 + #define FS_C1_iMaxPower 0XFA + + #if (FS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #define FS_C1_I0_ALT_NUMBER 0X01 + #if (FS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0X00 + #define FS_C1_I0_A0_bInterfaceNumber 0X00 + #define FS_C1_I0_A0_bAlternateSetting 0X00 + //JWEI 2003/07/14 + //#if fINDEPEND_REG_RW && !(fFLASH_DISK && !fFLASH_BOOT) + #define FS_C1_I0_A0_EP_NUMBER 0x05 + //#else + //#define FS_C1_I0_A0_EP_NUMBER 0X03 + //#endif + #if !(fFLASH_DISK && !fFLASH_BOOT) + #define FS_C1_I0_A0_bInterfaceClass 0XFF + #define FS_C1_I0_A0_bInterfaceSubClass 0X00 + #define FS_C1_I0_A0_bInterfaceProtocol 0X00 + #else + #define FS_C1_I0_A0_bInterfaceClass 0X08 + #define FS_C1_I0_A0_bInterfaceSubClass 0X06 + #define FS_C1_I0_A0_bInterfaceProtocol 0X50 + #endif + #define FS_C1_I0_A0_iInterface 0X00 + + #if (FS_C1_I0_A0_EP_NUMBER >= 1) + //EP0X01 + #define FS_C1_I0_A0_EP1_BLKSIZE BLK512BYTE + //JWEI 2003/05/19 + #if fDOUBLE_BUF + #define FS_C1_I0_A0_EP1_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP1_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP1_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP1_TYPE TF_TYPE_BULK + //JWEI 2003/05/07 + #define FS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_64 + #define FS_C1_I0_A0_EP1_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 2) + //EP0X02 + #define FS_C1_I0_A0_EP2_BLKSIZE BLK512BYTE + //JWEI 2003/08/20 + #if fDOUBLE_BUF_IN + #define FS_C1_I0_A0_EP2_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP2_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP2_DIRECTION DIRECTION_IN + #define FS_C1_I0_A0_EP2_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP2_MAX_PACKET MX_PA_SZ_64 + #define FS_C1_I0_A0_EP2_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 3) + //EP0X03 + #define FS_C1_I0_A0_EP3_BLKSIZE BLK64BYTE + #define FS_C1_I0_A0_EP3_BLKNO SINGLE_BLK + #define FS_C1_I0_A0_EP3_DIRECTION DIRECTION_IN + #define FS_C1_I0_A0_EP3_TYPE TF_TYPE_INTERRUPT + #define FS_C1_I0_A0_EP3_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP3_bInterval 01 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + //EP0X04 + #define FS_C1_I0_A0_EP4_BLKSIZE BLK64BYTE + #define FS_C1_I0_A0_EP4_BLKNO SINGLE_BLK + #define FS_C1_I0_A0_EP4_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP4_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP4_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP4_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 5) + //EP0X04 + #define FS_C1_I0_A0_EP5_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF_IN + #define FS_C1_I0_A0_EP5_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP5_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP5_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP5_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP5_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP5_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 6) + //EP0X04 + #define FS_C1_I0_A0_EP6_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF_IN + #define FS_C1_I0_A0_EP6_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP6_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP6_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP6_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP6_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP6_bInterval 00 + #endif + #endif + #endif +#endif + +#if (FS_CONFIGURATION_NUMBER >= 1) + // Configuration 1 + #if (FS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #if (FS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define FS_C1_I0_A0_EP_LENGTH (EP_LENGTH * FS_C1_I0_A0_EP_NUMBER) + #if (FS_C1_I0_A0_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I0_A0_EP1_FIFO_START FIFO0 + #define FS_C1_I0_A0_EP1_FIFO_NO (FS_C1_I0_A0_EP1_BLKNO * FS_C1_I0_A0_EP1_BLKSIZE) + #define FS_C1_I0_A0_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP1_BLKNO - 1) << 2) | FS_C1_I0_A0_EP1_TYPE) + #define FS_C1_I0_A0_EP1_FIFO_MAP (((1 - FS_C1_I0_A0_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I0_A0_EP1_MAP (FS_C1_I0_A0_EP1_FIFO_START | (FS_C1_I0_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP1_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I0_A0_EP2_FIFO_START (FS_C1_I0_A0_EP1_FIFO_START + FS_C1_I0_A0_EP1_FIFO_NO) + #define FS_C1_I0_A0_EP2_FIFO_NO (FS_C1_I0_A0_EP2_BLKNO * FS_C1_I0_A0_EP2_BLKSIZE) + #define FS_C1_I0_A0_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP2_BLKNO - 1) << 2) | FS_C1_I0_A0_EP2_TYPE) + #define FS_C1_I0_A0_EP2_FIFO_MAP (((1 - FS_C1_I0_A0_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I0_A0_EP2_MAP (FS_C1_I0_A0_EP2_FIFO_START | (FS_C1_I0_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP2_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 3) + // EP3 + //JWEI 2003/07/15 + // #define FS_C1_I0_A0_EP3_FIFO_START (FS_C1_I0_A0_EP2_FIFO_START + FS_C1_I0_A0_EP2_FIFO_NO) + #define FS_C1_I0_A0_EP3_FIFO_START FIFO14 + #define FS_C1_I0_A0_EP3_FIFO_NO (FS_C1_I0_A0_EP3_BLKNO * FS_C1_I0_A0_EP3_BLKSIZE) + #define FS_C1_I0_A0_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP3_BLKNO - 1) << 2) | FS_C1_I0_A0_EP3_TYPE) + #define FS_C1_I0_A0_EP3_FIFO_MAP (((1 - FS_C1_I0_A0_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I0_A0_EP3_MAP (FS_C1_I0_A0_EP3_FIFO_START | (FS_C1_I0_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP3_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + // EP4 + #define FS_C1_I0_A0_EP4_FIFO_START (FS_C1_I0_A0_EP3_FIFO_START + FS_C1_I0_A0_EP3_FIFO_NO) + #define FS_C1_I0_A0_EP4_FIFO_NO (FS_C1_I0_A0_EP4_BLKNO * FS_C1_I0_A0_EP4_BLKSIZE) + #define FS_C1_I0_A0_EP4_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP4_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP4_BLKNO - 1) << 2) | FS_C1_I0_A0_EP4_TYPE) + #define FS_C1_I0_A0_EP4_FIFO_MAP (((1 - FS_C1_I0_A0_EP4_DIRECTION) << 4) | EP4) + #define FS_C1_I0_A0_EP4_MAP (FS_C1_I0_A0_EP4_FIFO_START | (FS_C1_I0_A0_EP4_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP4_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 5) + // EP5 + #define FS_C1_I0_A0_EP5_FIFO_START (FS_C1_I0_A0_EP2_FIFO_START + FS_C1_I0_A0_EP2_FIFO_NO) + #define FS_C1_I0_A0_EP5_FIFO_NO (FS_C1_I0_A0_EP5_BLKNO * FS_C1_I0_A0_EP5_BLKSIZE) + #define FS_C1_I0_A0_EP5_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP5_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP5_BLKNO - 1) << 2) | FS_C1_I0_A0_EP5_TYPE) + #define FS_C1_I0_A0_EP5_FIFO_MAP (((1 - FS_C1_I0_A0_EP5_DIRECTION) << 4) | EP5) + #define FS_C1_I0_A0_EP5_MAP (FS_C1_I0_A0_EP5_FIFO_START | (FS_C1_I0_A0_EP5_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP5_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 6) + // EP5 + #define FS_C1_I0_A0_EP6_FIFO_START (FS_C1_I0_A0_EP5_FIFO_START + FS_C1_I0_A0_EP5_FIFO_NO) + #define FS_C1_I0_A0_EP6_FIFO_NO (FS_C1_I0_A0_EP6_BLKNO * FS_C1_I0_A0_EP6_BLKSIZE) + #define FS_C1_I0_A0_EP6_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP6_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP6_BLKNO - 1) << 2) | FS_C1_I0_A0_EP6_TYPE) + #define FS_C1_I0_A0_EP6_FIFO_MAP (((1 - FS_C1_I0_A0_EP6_DIRECTION) << 4) | EP6) + #define FS_C1_I0_A0_EP6_MAP (FS_C1_I0_A0_EP6_FIFO_START | (FS_C1_I0_A0_EP6_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP6_DIRECTION))) + #endif + #endif + + #if (FS_C1_I0_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define FS_C1_I0_A1_EP_LENGTH (EP_LENGTH * FS_C1_I0_A1_EP_NUMBER) + #if (FS_C1_I0_A1_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I0_A1_EP1_FIFO_START FIFO0 + #define FS_C1_I0_A1_EP1_FIFO_NO (FS_C1_I0_A1_EP1_BLKNO * FS_C1_I0_A1_EP1_BLKSIZE) + #define FS_C1_I0_A1_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I0_A1_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I0_A1_EP1_BLKNO - 1) << 2) | FS_C1_I0_A1_EP1_TYPE) + #define FS_C1_I0_A1_EP1_FIFO_MAP (((1 - FS_C1_I0_A1_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I0_A1_EP1_MAP (FS_C1_I0_A1_EP1_FIFO_START | (FS_C1_I0_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A1_EP1_DIRECTION))) + #endif + #if (FS_C1_I0_A1_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I0_A1_EP2_FIFO_START (FS_C1_I0_A1_EP1_FIFO_START + FS_C1_I0_A1_EP1_FIFO_NO) + #define FS_C1_I0_A1_EP2_FIFO_NO (FS_C1_I0_A1_EP2_BLKNO * FS_C1_I0_A1_EP2_BLKSIZE) + #define FS_C1_I0_A1_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I0_A1_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I0_A1_EP2_BLKNO - 1) << 2) | FS_C1_I0_A1_EP2_TYPE) + #define FS_C1_I0_A1_EP2_FIFO_MAP (((1 - FS_C1_I0_A1_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I0_A1_EP2_MAP (FS_C1_I0_A1_EP2_FIFO_START | (FS_C1_I0_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A1_EP2_DIRECTION))) + #endif + #if (FS_C1_I0_A1_EP_NUMBER >= 3) + // EP3 + #define FS_C1_I0_A1_EP3_FIFO_START (FS_C1_I0_A1_EP2_FIFO_START + FS_C1_I0_A1_EP2_FIFO_NO) + #define FS_C1_I0_A1_EP3_FIFO_NO (FS_C1_I0_A1_EP3_BLKNO * FS_C1_I0_A1_EP3_BLKSIZE) + #define FS_C1_I0_A1_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I0_A1_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I0_A1_EP3_BLKNO - 1) << 2) | FS_C1_I0_A1_EP3_TYPE) + #define FS_C1_I0_A1_EP3_FIFO_MAP (((1 - FS_C1_I0_A1_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I0_A1_EP3_MAP (FS_C1_I0_A1_EP3_FIFO_START | (FS_C1_I0_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A1_EP3_DIRECTION))) + #endif + #endif + + #if (FS_C1_I0_ALT_NUMBER == 1) + #define FS_C1_I0_ALT_LENGTH (FS_C1_I0_A0_EP_LENGTH) + #elif (FS_C1_I0_ALT_NUMBER == 2) + #define FS_C1_I0_ALT_LENGTH (FS_C1_I0_A0_EP_LENGTH + FS_C1_I0_A1_EP_LENGTH) + #endif + #endif + + #if (FS_C1_INTERFACE_NUMBER >= 2) + // Interface 1 + #if (FS_C1_I1_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define FS_C1_I1_A0_EP_LENGTH (EP_LENGTH * FS_C1_I1_A0_EP_NUMBER) + #if (FS_C1_I1_A0_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I1_A0_EP1_FIFO_START FIFO0 + #define FS_C1_I1_A0_EP1_FIFO_NO (FS_C1_I1_A0_EP1_BLKNO * FS_C1_I1_A0_EP1_BLKSIZE) + #define FS_C1_I1_A0_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I1_A0_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I1_A0_EP1_BLKNO - 1) << 2) | FS_C1_I1_A0_EP1_TYPE) + #define FS_C1_I1_A0_EP1_FIFO_MAP (((1 - FS_C1_I1_A0_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I1_A0_EP1_MAP (FS_C1_I1_A0_EP1_FIFO_START | (FS_C1_I1_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A0_EP1_DIRECTION))) + #endif + #if (FS_C1_I1_A0_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I1_A0_EP2_FIFO_START (FS_C1_I1_A0_EP1_FIFO_START + FS_C1_I1_A0_EP1_FIFO_NO) + #define FS_C1_I1_A0_EP2_FIFO_NO (FS_C1_I1_A0_EP2_BLKNO * FS_C1_I1_A0_EP2_BLKSIZE) + #define FS_C1_I1_A0_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I1_A0_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I1_A0_EP2_BLKNO - 1) << 2) | FS_C1_I1_A0_EP2_TYPE) + #define FS_C1_I1_A0_EP2_FIFO_MAP (((1 - FS_C1_I1_A0_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I1_A0_EP2_MAP (FS_C1_I1_A0_EP2_FIFO_START | (FS_C1_I1_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A0_EP2_DIRECTION))) + #endif + #if (FS_C1_I1_A0_EP_NUMBER >= 3) + // EP3 + #define FS_C1_I1_A0_EP3_FIFO_START (FS_C1_I1_A0_EP2_FIFO_START + FS_C1_I1_A0_EP2_FIFO_NO) + #define FS_C1_I1_A0_EP3_FIFO_NO (FS_C1_I1_A0_EP3_BLKNO * FS_C1_I1_A0_EP3_BLKSIZE) + #define FS_C1_I1_A0_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I1_A0_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I1_A0_EP3_BLKNO - 1) << 2) | FS_C1_I1_A0_EP3_TYPE) + #define FS_C1_I1_A0_EP3_FIFO_MAP (((1 - FS_C1_I1_A0_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I1_A0_EP3_MAP (FS_C1_I1_A0_EP3_FIFO_START | (FS_C1_I1_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A0_EP3_DIRECTION))) + #endif + #endif + + #if (FS_C1_I1_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define FS_C1_I1_A1_EP_LENGTH (EP_LENGTH * FS_C1_I1_A1_EP_NUMBER) + #if (FS_C1_I1_A1_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I1_A1_EP1_FIFO_START FIFO0 + #define FS_C1_I1_A1_EP1_FIFO_NO (FS_C1_I1_A1_EP1_BLKNO * FS_C1_I1_A1_EP1_BLKSIZE) + #define FS_C1_I1_A1_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I1_A1_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I1_A1_EP1_BLKNO - 1) << 2) | FS_C1_I1_A1_EP1_TYPE) + #define FS_C1_I1_A1_EP1_FIFO_MAP (((1 - FS_C1_I1_A1_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I1_A1_EP1_MAP (FS_C1_I1_A1_EP1_FIFO_START | (FS_C1_I1_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A1_EP1_DIRECTION))) + #endif + #if (FS_C1_I1_A1_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I1_A1_EP2_FIFO_START (FS_C1_I1_A1_EP1_FIFO_START + FS_C1_I1_A1_EP1_FIFO_NO) + #define FS_C1_I1_A1_EP2_FIFO_NO (FS_C1_I1_A1_EP2_BLKNO * FS_C1_I1_A1_EP2_BLKSIZE) + #define FS_C1_I1_A1_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I1_A1_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I1_A1_EP2_BLKNO - 1) << 2) | FS_C1_I1_A1_EP2_TYPE) + #define FS_C1_I1_A1_EP2_FIFO_MAP (((1 - FS_C1_I1_A1_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I1_A1_EP2_MAP (FS_C1_I1_A1_EP2_FIFO_START | (FS_C1_I1_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A1_EP2_DIRECTION))) + #endif + #if (FS_C1_I1_A1_EP_NUMBER >= 3) + // EP3 + #define FS_C1_I1_A1_EP3_FIFO_START (FS_C1_I1_A1_EP2_FIFO_START + FS_C1_I1_A1_EP2_FIFO_NO) + #define FS_C1_I1_A1_EP3_FIFO_NO (FS_C1_I1_A1_EP3_BLKNO * FS_C1_I1_A1_EP3_BLKSIZE) + #define FS_C1_I1_A1_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I1_A1_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I1_A1_EP3_BLKNO - 1) << 2) | FS_C1_I1_A1_EP3_TYPE) + #define FS_C1_I1_A1_EP3_FIFO_MAP (((1 - FS_C1_I1_A1_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I1_A1_EP3_MAP (FS_C1_I1_A1_EP3_FIFO_START | (FS_C1_I1_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A1_EP3_DIRECTION))) + #endif + #endif + + #if (FS_C1_I1_ALT_NUMBER == 1) + #define FS_C1_I1_ALT_LENGTH (FS_C1_I1_A0_EP_LENGTH) + #elif (FS_C1_I1_ALT_NUMBER == 2) + #define FS_C1_I1_ALT_LENGTH (FS_C1_I1_A0_EP_LENGTH + FS_C1_I1_A1_EP_LENGTH) + #endif + #endif + + #if (FS_C1_INTERFACE_NUMBER == 1) + #define FS_C1_INTERFACE_LENGTH (FS_C1_I0_ALT_LENGTH) + #elif (FS_C1_INTERFACE_NUMBER == 2) + #define FS_C1_INTERFACE_LENGTH (FS_C1_I0_ALT_LENGTH + HS_FS_C1_I1_ALT_LENGTH) + #endif +#endif + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_UP_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT0)) // upstream DMA enable + +#define USB_DISABLE_UP_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT0))) // upstream DMA disable + +#define USB_UP_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT3))) // upQ stream mode + +#define USB_UP_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT3)) // upQ packet mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_LP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT1)) // lp downstream DMA enable + +#define USB_DISABLE_LP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT1))) // lp downstream DMA disable + +#define USB_LP_DN_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT6))) // lpQ packet mode + +#define USB_LP_DN_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT6)) // lpQ stream mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_HP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT8)) // hp downstream DMA enable + +#define USB_DISABLE_HP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT8))) // hp downstream DMA disable + +#define USB_HP_DN_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT7))) // hpQ packet mode + +#define USB_HP_DN_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT7)) // hpQ stream mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_MP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT9)) // mp downstream DMA enable + +#define USB_DISABLE_MP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT9))) // mp downstream DMA disable + +#define USB_MP_DN_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT10))) // hpQ packet mode + +#define USB_MP_DN_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT10)) // hpQ stream mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// + +#define USB_ENABLE_UP_PACKET_MODE() USB_DISABLE_UP_DMA(); \ + USB_UP_PACKET_MODE(); \ + USB_ENABLE_UP_DMA(); + +#define USB_ENABLE_LP_DN_PACKET_MODE() USB_DISABLE_LP_DN_DMA(); \ + USB_LP_DN_PACKET_MODE(); \ + USB_ENABLE_LP_DN_DMA() + +#define USB_ENABLE_MP_DN_PACKET_MODE() USB_DISABLE_MP_DN_DMA(); \ + USB_MP_DN_PACKET_MODE(); \ + USB_ENABLE_MP_DN_DMA(); + +#define USB_ENABLE_HP_DN_PACKET_MODE() USB_DISABLE_HP_DN_DMA(); \ + USB_HP_DN_PACKET_MODE(); \ + USB_ENABLE_HP_DN_DMA(); + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_UP_STREAM_MODE() USB_DISABLE_UP_DMA(); \ + USB_UP_STREAM_MODE(); \ + USB_ENABLE_UP_DMA(); + +#define USB_ENABLE_LP_DN_STREAM_MODE() USB_DISABLE_LP_DN_DMA(); \ + USB_LP_DN_STREAM_MODE(); \ + USB_ENABLE_LP_DN_DMA() + +#define USB_ENABLE_MP_DN_STREAM_MODE() USB_DISABLE_MP_DN_DMA(); \ + USB_MP_DN_STREAM_MODE(); \ + USB_ENABLE_MP_DN_DMA(); + +#define USB_ENABLE_HP_DN_STREAM_MODE() USB_DISABLE_HP_DN_DMA(); \ + USB_HP_DN_STREAM_MODE(); \ + USB_ENABLE_HP_DN_DMA(); + +#define USB_STREAM_HOST_BUF_SIZE(size) USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(size))); +#define USB_STREAM_TIMEOUT(time_cnt) USB_WORD_REG_WRITE(ZM_SOC_USB_TIME_CTRL_OFFSET, time_cnt); // set stream mode timeout critirea +#define USB_STREAM_AGG_PKT_CNT(cnt) USB_WORD_REG_WRITE(ZM_SOC_USB_MAX_AGGREGATE_OFFSET, cnt); // set stream mode packet buffer critirea + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_extr.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_extr.h new file mode 100755 index 0000000..1886800 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_extr.h @@ -0,0 +1,34 @@ +#ifndef USB_EXTR_H +#define USB_EXTR_H + +#if 0 +#include "dt_defs.h" +#include "usb_type.h" + +/* Variable for USB EP0 pipe (USB.c) */ +uint16_t *pu8DescriptorEX; +uint16_t u16TxRxCounter; +uint16_t *u8ConfigDescriptorEX; +//extern BOOLEAN bUsbEP0HaltSt; +Action eUsbCxFinishAction; +CommandType eUsbCxCommand; +BOOLEAN UsbChirpFinish; +uint16_t u8UsbConfigValue; +uint16_t u8UsbInterfaceValue; +uint16_t u8UsbInterfaceAlternateSetting; +uint16_t u16FirmwareComplete; + +uint16_t *UsbDeviceDescriptor; +uint16_t *String00Descriptor; +uint16_t *String10Descriptor; +uint16_t *String20Descriptor; +uint16_t *String30Descriptor; +uint16_t *u8DeviceQualifierDescriptorEX; +uint16_t *u8OtherSpeedConfigDescriptorEX; +uint16_t *u8UsbDeviceDescriptor; +uint16_t *u8String00Descriptor; +uint16_t *u8String10Descriptor; +uint16_t *u8String20Descriptor; +uint16_t *u8String30Descriptor; +#endif +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_pre.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_pre.h new file mode 100755 index 0000000..b63e3a9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_pre.h @@ -0,0 +1,99 @@ +#ifndef __USB_USER_PRE_H +#define __USB_USER_PRE_H + +#define FUSB200_MAX_EP 10 // 1..10 +#define FUSB200_MAX_FIFO 10 // 0.. 9 +#define EP0MAXPACKETSIZE 0x40 +// #define EP0FIFOSIZE 64 // EP0_FIFO +//JWEI 2003/04/29 +//#define EP0MAXPACKETSIZE 0x08 + +// Max. Packet Size define +#define MX_PA_SZ_8 8 +#define MX_PA_SZ_16 16 +#define MX_PA_SZ_32 32 +#define MX_PA_SZ_64 64 +#define MX_PA_SZ_128 128 +#define MX_PA_SZ_256 256 +#define MX_PA_SZ_512 512 +#define MX_PA_SZ_1024 1024 + +#define MASK_F0 0xF0 + +// Block Size define +#define BLK512BYTE 1 +#define BLK1024BYTE 2 + +#define BLK64BYTE 1 +#define BLK128BYTE 2 + +// Block toggle number define +#define SINGLE_BLK 1 +#define DOUBLE_BLK 2 +#define TRIBLE_BLK 3 + +// Endpoint transfer type +#define TF_TYPE_ISOCHRONOUS 1 +#define TF_TYPE_BULK 2 +#define TF_TYPE_INTERRUPT 3 + +// Endpoint or FIFO direction define +#define DIRECTION_IN 0 +#define DIRECTION_OUT 1 + +// FIFO number define +#define FIFO0 0x0 +#define FIFO1 0x1 +#define FIFO2 0x2 +#define FIFO3 0x3 +#define FIFO4 0x4 +#define FIFO5 0x5 +#define FIFO6 0x6 +#define FIFO7 0x7 +#define FIFO8 0x8 +#define FIFO9 0x9 +#define FIFO10 10 +#define FIFO11 11 +#define FIFO12 12 +#define FIFO13 13 +#define FIFO14 14 +#define FIFO15 15 + +// Descriptor Table uses the following parameters : fixed +#define DEVICE_LENGTH 0x12 +#define CONFIG_LENGTH 0x09 +#define INTERFACE_LENGTH 0x09 +#define EP_LENGTH 0x07 +#define DEVICE_QUALIFIER_LENGTH 0x0A + +//JWEI 2003/04/29 +// Endpoint number define +#define EP0 0 +#define EP1 1 +#define EP2 2 +#define EP3 3 +#define EP4 4 +#define EP5 5 +#define EP6 6 +#define EP7 7 +#define EP8 8 +#define EP9 9 +#define EP10 10 +#define EP11 11 +#define EP12 12 +#define EP13 13 +#define EP14 14 +#define EP15 15 + +#define STRING_00_LENGTH 0x04 +#define STRING_10_LENGTH 0x0c +#define STRING_20_LENGTH 0x18 +#define STRING_30_LENGTH 0x18 +#define STRING_40_LENGTH 0x04 +#define STRING_50_LENGTH 0x04 +#define STRING_60_LENGTH 0x04 +#define STRING_70_LENGTH 0x04 +#define STRING_80_LENGTH 0x04 +#define STRING_90_LENGTH 0x00 + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_std.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_std.h new file mode 100755 index 0000000..7272eaf --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_std.h @@ -0,0 +1,106 @@ +#ifndef USB_STD_H +#define USB_STD_H + +#define mDEV_REQ_REQ_DIR() (ControlCmd.Direction) +#define mDEV_REQ_REQ_TYPE() (ControlCmd.Type) +#define mDEV_REQ_REQ_RECI() (ControlCmd.Object) +#define mDEV_REQ_REQ() (ControlCmd.Request) +#define mDEV_REQ_VALUE() (ControlCmd.Value) +#define mDEV_REQ_VALUE_LOW() (mLOW_BYTE(mDEV_REQ_VALUE())) +#define mDEV_REQ_VALUE_HIGH() (mHIGH_BYTE(mDEV_REQ_VALUE())) +#define mDEV_REQ_INDEX() (ControlCmd.Index) +#define mDEV_REQ_LENGTH() (ControlCmd.Length) + +/******************** USB Protocol Definition *************************/ +/* Standard Request Code (Table 9-4) */ +#define USB_GET_STATUS 0 +#define USB_CLEAR_FEATURE 1 +#define USB_SET_FEATURE 3 +#define USB_SET_ADDRESS 5 +#define USB_GET_DESCRIPTOR 6 +#define USB_SET_DESCRIPTOR 7 +#define USB_GET_CONFIGURATION 8 +#define USB_SET_CONFIGURATION 9 +#define USB_GET_INTERFACE 10 +#define USB_SET_INTERFACE 11 +#define USB_SYNCH_FRAME 12 + +/* Descriptor Type (Table 9-5) */ +#define USB_DESC_TYPE_DEVICE 1 +#define USB_DESC_TYPE_CONFIG 2 +#define USB_DESC_TYPE_STRING 3 +#define USB_DESC_TYPE_INTERFACE 4 +#define USB_DESC_TYPE_ENDPOINT 5 + +/* Endpoint Attribute (Table 9-10) */ +#define USB_EP_ATTR_CTRL 0 +#define USB_EP_ATTR_ISOCH 1 +#define USB_EP_ATTR_BULK 2 +#define USB_EP_ATTR_INTRPT 3 + +/*********************** for USB 2.0 **********************************/ +// Table 9-5. Descriptor Types +#define DT_DEVICE 1 +#define DT_CONFIGURATION 2 +#define DT_STRING 3 +#define DT_INTERFACE 4 +#define DT_ENDPOINT 5 +#define DT_DEVICE_QUALIFIER 6 +#define DT_OTHER_SPEED_CONFIGURATION 7 +#define DT_INTERFACE_POWER 8 + +/**********************************************************************/ +// Values for bmAttributes Field in USB_CONFIGURATION_DESCRIPTOR +#define USB_BUS_POWERED 0x80 +#define USB_SELF_POWERED 0x40 +#define USB_REMOTE_WAKEUP 0x20 + +#define cUSB_REQTYPE_DIR_POS 7 +#define cUSB_REQTYPE_DIR_LEN 1 +#define cUSB_REQTYPE_TYPE_POS 5 +#define cUSB_REQTYPE_TYPE_LEN 2 +#define cUSB_REQTYPE_RX_POS 0 +#define cUSB_REQTYPE_RX_LEN 5 + +/* for USB State */ +#define cUSB_DEFAULT_STATE 0 +#define cUSB_ADDRESS_STATE 1 +#define cUSB_CONFIG_STATE 2 + +/* for Data transfer direction */ +#define bmUSB_HOST_DIR 7 /* Bit 7 */ +#define cUSB_DIR_HOST_OUT 0 +#define cUSB_DIR_HOST_IN 1 + +/* for Type */ +#define cUSB_REQTYPE_STD 0 +#define cUSB_REQTYPE_CLASS 1 +#define cUSB_REQTYPE_VENDOR 2 + +/* for Recipient */ +#define cUSB_REQTYPE_DEVICE 0 +#define cUSB_REQTYPE_INTERFACE 1 +#define cUSB_REQTYPE_ENDPOINT 2 +#define cUSB_REQTYPE_OTHER 3 + +/* for Descriptor Type */ +#define cUSB_DESTYPE_DEVICE 1 +#define cUSB_DESTYPE_CONFIG 2 +#define cUSB_DESTYPE_STRING 3 +#define cUSB_DESTYPE_INTERFACE 4 +#define cUSB_DESTYPE_ENDPOINT 5 +#define cUSB_DESTYPE_END cUSB_DESTYPE_ENDPOINT // for range check + +/* for Feature selector */ +#define cUSB_FEATSEL_RMWAKEUP 0 +#define cUSB_FEATSEL_EPHAL 1 +#define cUSB_FEATSEL_END cUSB_FEATSEL_EPHAL // for range check + +#define bmREQ_RECI 0 +#define bmwREQ_RECI 5 // mMASKS(bmwREQ_RECI, bmREQ_RECI) +#define bmREQ_TYPE 5 +#define bmwREQ_TYPE 2 // mMASKS(bmwREQ_TYPE, bmREQ_TYPE) +#define bmREQ_DIR 7 +#define bmwREQ_DIR 1 + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_table.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_table.h new file mode 100755 index 0000000..31fe7e4 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_table.h @@ -0,0 +1,131 @@ +#ifndef _USB_TABLE_H_ +#define _USB_TABLE_H_ + +// UsbDeviceDescriptor +#define USB_DEVICE_DESC_TYPE 0x01 +#define USB_DEVICE_DESC_LEN 0x12 +#define USB_SPEC_VERSION 0x0200 +#define USB_DEVICE_CLASS 0xFF +#define USB_DEVICE_SUB_CLASS 0xFF +#define USB_DEVICE_PROTOCOL 0xFF +#define USB_MAX_PKT_SIZE 0x40 +#define USB_VENDOR_ID 0x0CF3 +#define USB_PRODUCT_ID 0x7010 +#define USB_DEVICE_BCD BOOTROM_VER +#define USB_MANUFACTURER_INDEX 0x10 +#define USB_PRODUCT_INDEX 0x20 +#define USB_SERIAL_INDEX 0x30 +#define USB_CONFIGURATION_NUM 0x01 +// end UsbDeviceDescriptor + +#define USB_CONFIG_DESC_TYPE 0x02 +#define USB_CONFIG_DESC_LEN 0x09 +//#define USB_TOTAL_DESC_LEN 0x002E // 4 ep +//#define USB_TOTAL_DESC_LEN 0x0035 // 5 ep +#define USB_TOTAL_DESC_LEN 0x003C // 6 ep +#define USB_INTERFACE_NUM 0x01 +#define USB_CONFIG_NUM 0x01 +#define USB_STRING_INDEX 0x00 +#define USB_ATTRIBUTE 0x80 +#define USB_MAX_POWER 0xFA + +#define USB_INTERFACE_DESC_TYPE 0x04 +#define USB_INTERFACE_DESC_LEN 0x09 +#define USB_INTERFACE_INDEX_NUM 0x00 +#define USB_INTERFACE_ALT_SETTING 0x00 +//#define USB_INTERFACE_EP_NUM 0x04 +//#define USB_INTERFACE_EP_NUM 0x05 +#define USB_INTERFACE_EP_NUM 0x06 +#define USB_INTERFACE_CLASS 0xFF +#define USB_INTERFACE_SUB_CLASS 0x00 +#define USB_INTERFACE_PROTOCOL 0x00 +#define USB_INTERFACE_STRING_INDEX 0x00 + +#define USB_EP_DESC_TYPE 0x05 +#define USB_EP_DESC_LEN 0x07 + +/* USB Endpoint attribute */ +#define bUSB_EP1_NUM 0x01 +#define bUSB_EP2_NUM 0x02 +#define bUSB_EP3_NUM 0x03 +#define bUSB_EP4_NUM 0x04 +#define bUSB_EP5_NUM 0x05 +#define bUSB_EP6_NUM 0x06 + +#define bUSB_EP_DIRECTION_IN 0x80 +#define bUSB_EP_DIRECTION_OUT 0x00 + +#define bUSB_EP_TYPE_CONTROL 0x00 +#define bUSB_EP_TYPE_ISOCHRONOUS 0x01 +#define bUSB_EP_TYPE_BULK 0x02 +#define bUSB_EP_TYPE_INTERRUPT 0x03 + +#define bUSB_EP_MAX_PKT_SIZE_64 0x0040 +#define bUSB_EP_MAX_PKT_SIZE_512 0x0200 + +/* High Speed Endpoint */ +#define USB_HS_EP1_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP1_NUM) +#define USB_HS_EP1_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP1_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP1_INTERVAL 0x00 + +#define USB_HS_EP2_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP2_NUM) +#define USB_HS_EP2_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP2_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP2_INTERVAL 0x00 + +#define USB_HS_EP3_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP3_NUM) +#define USB_HS_EP3_ATTRIBUTE bUSB_EP_TYPE_INTERRUPT +#define USB_HS_EP3_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_HS_EP3_INTERVAL 0x01 + +#define USB_HS_EP4_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP4_NUM) +#define USB_HS_EP4_ATTRIBUTE bUSB_EP_TYPE_INTERRUPT //bUSB_EP_TYPE_BULK +#define USB_HS_EP4_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_HS_EP4_INTERVAL 0x01 //0x00 + +#define USB_HS_EP5_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP5_NUM) +#define USB_HS_EP5_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP5_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP5_INTERVAL 0x00 + +#define USB_HS_EP6_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP6_NUM) +#define USB_HS_EP6_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP6_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP6_INTERVAL 0x00 + +/* Full Speed Endpoint */ +#define USB_FS_EP1_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP1_NUM) +#define USB_FS_EP1_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP1_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP1_INTERVAL 0x00 + +#define USB_FS_EP2_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP2_NUM) +#define USB_FS_EP2_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP2_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP2_INTERVAL 0x00 + +#define USB_FS_EP3_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP3_NUM) +#define USB_FS_EP3_ATTRIBUTE bUSB_EP_TYPE_INTERRUPT +#define USB_FS_EP3_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP3_INTERVAL 0x01 + +#define USB_FS_EP4_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP4_NUM) +#define USB_FS_EP4_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP4_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP4_INTERVAL 0x00 + +#define USB_FS_EP5_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP5_NUM) +#define USB_FS_EP5_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP5_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP5_INTERVAL 0x00 + +#define USB_FS_EP6_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP6_NUM) +#define USB_FS_EP6_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP6_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP6_INTERVAL 0x00 + +//#define USB_QUALIFIER_DESC_ADDR 0x8cff00 +//#define USB_OTHER_SPEED_DESC_ADDR 0x8cffA + +#endif // end of _USB_TABLE_H_ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_type.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_type.h new file mode 100755 index 0000000..7e31478 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usb_type.h @@ -0,0 +1,60 @@ +#ifndef USB_TYPE_H +#define USB_TYPE_H + +#include "dt_defs.h" + +/*********************** for Faraday USB controller *******************/ +typedef enum +{ + CMD_VOID, // No command + CMD_GET_DESCRIPTOR, // Get_Descriptor command + CMD_SET_DESCRIPTOR // Set_Descriptor command +} CommandType; + +typedef enum +{ + ACT_IDLE, + ACT_DONE, + ACT_STALL +} Action; + +typedef struct Setup_Packet +{ + uint8_t Direction; /* Data transfer direction: IN, OUT */ + uint8_t Type; /* Request Type: Standard, Class, Vendor */ + uint8_t Object; /* Recipient: Device, Interface, Endpoint,other */ + uint16_t Request; /* Refer to Table 9-3 */ + uint16_t Value; + uint16_t Index; + uint16_t Length; +} SetupPacket; + +#define mBIT(b) (1 << (b)) +#define mMASK(w) (mBIT(w) - 1) + +#define mWORD_IDX(bsize) ((bsize) >> 1) +#define mWORD_SIZE(bsize) (((bsize) + 1) >> 1) + +#define mTABLE_WID mWORD_SIZE +#define mTABLE_IDX mWORD_IDX +#define mTABLE_LEN mLOW_BYTE + +#define mLOW_MASK(u16) ((uint8_t) ((u16) & mMASK(8))) +#define mHIGH_MASK(u16) ((uint8_t) ((u16) & ~mMASK(8))) +#define mLOW2HIGH(u16) (((uint8_t) (u16)) << 8) + +/* (1234) -> 0034 */ +//#define mLOW_BYTE(u16) ((U_8)(u16)) +#define mLOW_BYTE(u16) mLOW_MASK(u16) +/* (1234) -> 0012 */ +#define mHIGH_BYTE(u16) ((uint8_t) (((uint16_t) (u16)) >> 8)) + +#define mGET_REG1(var0, reg0) { var0 = reg0; } + +/* (1234, 5678) -> 7834 */ +#define m2BYTE(ch1L, ch2H) (mLOW_MASK(ch1L) | mLOW2HIGH(ch2H)) + +#define mREAD_WORD(var0, reg0, reg1) \ + { var0 = reg0; var0 += mLOW2HIGH(reg1); } + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usbfifo_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usbfifo_api.h new file mode 100755 index 0000000..08c4644 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/usbfifo_api.h @@ -0,0 +1,43 @@ +/* + * @File: HIF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _USB_FIFO_API_H +#define _USB_FIFO_API_H + +#include "vbuf_api.h" + +typedef struct _USB_FIFO_CONFIG { + /* callback to get the buf for receiving commands from USB FIFO */ + VBUF* (*get_command_buf)(void); + /* callback when receiving a command */ + void (*recv_command)(VBUF *cmd); + /* callback to get the buf for event to send to the host */ + VBUF* (*get_event_buf)(void); + /* callback to indicate the event has been sent to the host */ + void (*send_event_done)(VBUF *buf); + + /* context used for all callbacks */ + //void *context; +} USB_FIFO_CONFIG; + +/* hardware API table structure (API descriptions below) */ +struct usbfifo_api { + void (*_init)(USB_FIFO_CONFIG *pConfig); + void (*_enable_event_isr)(void); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void usbfifo_module_install(struct usbfifo_api *apis); + +#endif /* #ifndef _USB_FIFO_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/vbuf_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/vbuf_api.h new file mode 100755 index 0000000..6119eb5 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/vbuf_api.h @@ -0,0 +1,46 @@ +/* + * @File: VBUF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _VBUF_API_H +#define _VBUF_API_H + +#include + +#define MAX_BUF_CTX_LEN 20 + +typedef struct _VBUF +{ + VDESC *desc_list; + struct _VBUF *next_buf; + A_UINT16 buf_length; + A_UINT8 reserved[2]; + A_UINT8 ctx[MAX_BUF_CTX_LEN]; + //A_UINT8 end_point; + //A_UINT8 reserved[1]; +} VBUF; + +#define VBUF_GET_DATA_ADDR(vbuf) (vbuf->desc_list->buf_addr + vbuf->desc_list->data_offset) + +/* hardware API table structure (API descriptions below) */ +struct vbuf_api { + void (*_init)(int nBuf); + VBUF* (*_alloc_vbuf)(void); + VBUF* (*_alloc_vbuf_with_size)(int size, int reserve); + void (*_free_vbuf)(VBUF *buf); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void vbuf_module_install(struct vbuf_api *apis); + +#endif /* #ifndef _HIF_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/vdesc_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/vdesc_api.h new file mode 100755 index 0000000..631f134 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/vdesc_api.h @@ -0,0 +1,46 @@ +/* + * @File: VBUF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _VDESC_API_H +#define _VDESC_API_H + +//#define VDESC_CONTROL_BUF_HDR (1 << 6) /* the buffer was manipulated and a header added */ + +#define MAX_HW_DESC_SIZE 20 + +typedef struct _VDESC +{ + struct _VDESC *next_desc; + A_UINT8 *buf_addr; + A_UINT16 buf_size; + A_UINT16 data_offset; + A_UINT16 data_size; + A_UINT16 control; + A_UINT8 hw_desc_buf[MAX_HW_DESC_SIZE]; +} VDESC; + +//#define VDESC_HW_TO_VDESC(hwdesc) ((VDESC *)(((A_UINT32 *)hwdesc - 4))) +#define VDESC_HW_TO_VDESC(hwdesc) ((VDESC *)(((A_UINT32 *)hwdesc - 4))) + +struct vdesc_api { + void (*_init)(int nDesc); + VDESC* (*_alloc_vdesc)(); + A_UINT8* (*_get_hw_desc)(VDESC *desc); + void (*_swap_vdesc)(VDESC *dest, VDESC *src); + //void (*_free_vdesc)(void); + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void vdesc_module_install(struct vdesc_api *apis); + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/wdt_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/wdt_api.h new file mode 100755 index 0000000..f480590 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/inc/wdt_api.h @@ -0,0 +1,56 @@ +#ifndef __WDT_API_H__ +#define __WDT_API_H__ +/* + * + */ + +typedef enum { + WDT_ACTION_NO = 0, // bit1, bit0: 00 + WDT_ACTION_INTR, // bit1, bit0: 01 + WDT_ACTION_NMI, // bit1, bit0: 10 + WDT_ACTION_RESET, // bit1, bit0: 11 + + WDT_ACTION_UNKNOWN +}T_WDT_ACTION_TYPE; + + +typedef enum { + WDT_TIMEOUT = 1, + WDT_ACTION, + + WDT_UNKNOWN +}T_WDT_CMD_TYPE; + +typedef struct { + uint32_t cmd; + union { + uint32_t timeout; + uint32_t action; + }; +}T_WDT_CMD; + + +typedef enum{ + ENUM_WDT_BOOT = 1, + ENUM_COLD_BOOT, + ENUM_SUSP_BOOT, + + // add above here + ENUM_UNKNOWN_BOOT +}T_BOOT_TYPE; + + +/*!- interface of watchdog timer + * + */ +struct wdt_api { + void (* _wdt_init)(void); + void (* _wdt_enable)(void); + void (* _wdt_disable)(void); + void (* _wdt_set)(T_WDT_CMD); + void (* _wdt_task)(void); + void (* _wdt_reset)(void); + T_BOOT_TYPE (*_wdt_last_boot)(void); +}; +#endif /* __WDT_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/lib/ram/dummy.txt b/target_firmware/magpie_fw_dev/build/magpie_1_1/lib/ram/dummy.txt new file mode 100755 index 0000000..e69de29 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/lib/rom/dummy.txt b/target_firmware/magpie_fw_dev/build/magpie_1_1/lib/rom/dummy.txt new file mode 100755 index 0000000..e69de29 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/lib/sboot/dummy.txt b/target_firmware/magpie_fw_dev/build/magpie_1_1/lib/sboot/dummy.txt new file mode 100755 index 0000000..e69de29 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/adf/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/adf/Makefile new file mode 100755 index 0000000..eee2bb6 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/adf/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = adf + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(LAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +# export SSOBJPATH = $(PRJ_ROOT)/build/$(TARGET)/$(LAYERNAME)/obj + + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/obj + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . +#DIRS = net os nbuf + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libadf.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/adf/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/adf/Makefile.ss new file mode 100755 index 0000000..8611c2a --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/adf/Makefile.ss @@ -0,0 +1,48 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/adf_nbuf.o \ + $(SSOBJPATH)/adf_net.o \ + $(SSOBJPATH)/adf_os_defer_pvt.o \ + $(SSOBJPATH)/adf_os_dma.o \ + $(SSOBJPATH)/adf_os_irq_pvt.o \ + $(SSOBJPATH)/adf_os_timer.o + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/carrier_apd/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/carrier_apd/Makefile new file mode 100755 index 0000000..c0eedf6 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/carrier_apd/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = carrier_apd +export SLAYERNAME = ../wlan + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/$(SLAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +# export SSOBJPATH = $(PRJ_ROOT)/build/$(TARGET)/$(LAYERNAME)/usb/obj + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SLAYERNAME)/$(SSNAME)/obj + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcarrier_apd.a + + +# +# Targets +# + +all: + echo $(SSOBJPATH) + echo $(SSMPATH) + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/carrier_apd/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/carrier_apd/Makefile.ss new file mode 100755 index 0000000..bef6db9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/carrier_apd/Makefile.ss @@ -0,0 +1,53 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/ah.o \ + $(SSOBJPATH)/ar5416_hw.o \ + $(SSOBJPATH)/ar5416_phy.o \ + $(SSOBJPATH)/ah_osdep.o \ + $(SSOBJPATH)/if_ath.o \ + $(SSOBJPATH)/if_ath_pci.o \ + $(SSOBJPATH)/if_owl.o \ + $(SSOBJPATH)/ieee80211_output.o \ + $(SSOBJPATH)/ar5416Phy.o \ + $(SSOBJPATH)/ratectrl_11n_ln.o + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/cmnos/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/cmnos/Makefile new file mode 100755 index 0000000..cf1f8fc --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/cmnos/Makefile @@ -0,0 +1,57 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = cmnos + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(LAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +# export SSOBJPATH = $(PRJ_ROOT)/build/$(TARGET)/$(LAYERNAME)/obj +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/obj + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . +#DIRS = dbg sflash + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/cmnos/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/cmnos/Makefile.ss new file mode 100755 index 0000000..24730ba --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/cmnos/Makefile.ss @@ -0,0 +1,46 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/dbg_api.o \ + $(SSOBJPATH)/cmnos_sflash.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/init/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/init/Makefile new file mode 100755 index 0000000..81da922 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/init/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = ram +export SSNAME = init + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libinit.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/init/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/init/Makefile.ss new file mode 100755 index 0000000..318ee59 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/init/Makefile.ss @@ -0,0 +1,47 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/app_start.o \ + $(SSOBJPATH)/init.o \ + $(SSOBJPATH)/magpie.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/rompatch/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/rompatch/Makefile new file mode 100755 index 0000000..c1cf094 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/rompatch/Makefile @@ -0,0 +1,59 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = rompatch + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(LAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/obj + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . +#DIRS = hif cmnos + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/librompatch.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/rompatch/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/rompatch/Makefile.ss new file mode 100755 index 0000000..1155799 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/rompatch/Makefile.ss @@ -0,0 +1,47 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_clock_patch.o \ + $(SSOBJPATH)/usb_api_patch.o \ + $(SSOBJPATH)/HIF_usb_patch.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wlan/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wlan/Makefile new file mode 100755 index 0000000..c05e4e9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wlan/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = ram +export SSNAME = wlan + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libwlan.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wlan/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wlan/Makefile.ss new file mode 100755 index 0000000..64e447f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wlan/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/wlan_pci.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wmi/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wmi/Makefile new file mode 100755 index 0000000..6a3704f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wmi/Makefile @@ -0,0 +1,59 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = wmi + + +# +# Sub-system source main path +# + +export SSMPATH = $(MAGPIE_ROOT)/target/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libwmi.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wmi/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wmi/Makefile.ss new file mode 100755 index 0000000..e39e737 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/ram/wmi/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH) + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/wmi_svc.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/Makefile new file mode 100755 index 0000000..5871553 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/Makefile @@ -0,0 +1,58 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = adf + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +# export SSOBJPATH = $(PRJ_ROOT)/build/$(TARGET)/$(LAYERNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +DIRS = nbuf + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libadf.a + + +# +# Targets +# + +all : + for i in $(DIRS) ; do $(MAKE) -C $$i all || exit $? ; done + #ar -rcs $(L_TARGET) `find . -name "*.o"` + +dep: + for i in $(DIRS) ; do $(MAKE) -C $$i dep || exit $? ; done + +clean: + for i in $(DIRS) ; do $(MAKE) -C $$i clean; done + +init: + for i in $(DIRS) ; do $(MAKE) -C $$i init; done + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/Makefile new file mode 100755 index 0000000..e0ab54f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/Makefile @@ -0,0 +1,59 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = adf +export SSNAME = nbuf + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +#export L_TARGET = $(LIB_PATH)/libadf.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/Makefile.ss new file mode 100755 index 0000000..4510371 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/adf_nbuf.o + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/inc/adf_nbuf_pvt.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/inc/adf_nbuf_pvt.h new file mode 100755 index 0000000..eb200fe --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/adf/nbuf/inc/adf_nbuf_pvt.h @@ -0,0 +1,425 @@ +/* + * (c) Copyright Atheros Communications + * FreeBSD specific prototypes + */ +#ifndef _ADF_NBUF_PVT_H +#define _ADF_NBUF_PVT_H + +#include +//#include +#include +//#include + +#define __ADF_NBUF_NULL NULL +#define __ADF_NBUF_CTX_BUF + +typedef VBUF * __adf_nbuf_t; + +/** + * queue head + */ +typedef struct __adf_nbuf_qhead { + VBUF *head; + VBUF *tail; + a_uint32_t qlen; +}__adf_nbuf_qhead_t; + +typedef __adf_nbuf_qhead_t __adf_nbuf_queue_t; + +__adf_nbuf_t +__adf_nbuf_alloc(adf_os_size_t size, + a_uint32_t reserve, a_uint32_t align); + +void +__adf_nbuf_free(__adf_nbuf_t buf); + +#ifndef _ROM_ +a_uint8_t * +__adf_nbuf_push_head(__adf_nbuf_t buf, adf_os_size_t size); + +a_uint8_t * +__adf_nbuf_pull_head(__adf_nbuf_t buf, adf_os_size_t size); + +a_uint8_t * +__adf_nbuf_put_tail(__adf_nbuf_t buf, adf_os_size_t size); +#endif + +void +__adf_nbuf_trim_tail(__adf_nbuf_t buf, adf_os_size_t size); + +__adf_nbuf_t +__adf_nbuf_realloc_headroom(__adf_nbuf_t buf, + a_uint32_t headroom); + +__adf_nbuf_t +__adf_nbuf_realloc_tailroom(__adf_nbuf_t buf, + a_uint32_t tailroom); + +__adf_nbuf_t +__adf_nbuf_expand(__adf_nbuf_t buf, + a_uint32_t headroom, a_uint32_t tailroom); + +__adf_nbuf_t +__adf_nbuf_copy(__adf_nbuf_t src); + +__adf_nbuf_t +__adf_nbuf_unshare(__adf_nbuf_t src); + +void +__adf_nbuf_frag_info(__adf_nbuf_t buf, adf_os_sglist_t *sg); + +#ifndef _ROM_ +a_uint8_t * +__adf_nbuf_get_priv(__adf_nbuf_t buf); +#endif + +void +__adf_nbuf_queue_add(__adf_nbuf_qhead_t *qhead, + __adf_nbuf_t buf); + +__adf_nbuf_t +__adf_nbuf_queue_remove(__adf_nbuf_qhead_t *qhead); + +a_uint32_t +__adf_nbuf_tx_cksum_info(__adf_nbuf_t buf, + a_uint8_t **hdr_off, + a_uint8_t **where); + +void +__adf_nbuf_set_rx_cksum(__adf_nbuf_t buf, adf_nbuf_rx_cksum_t *cksum); +void +__adf_nbuf_get_tso_info(__adf_nbuf_t buf, adf_nbuf_tso_t *tso); + +a_status_t +__adf_nbuf_get_vlan_info(adf_net_handle_t hdl, + __adf_nbuf_t buf, + adf_net_vlanhdr_t *vlan); + +void +__adf_nbuf_dmamap_info(__adf_os_dma_map_t bmap, adf_os_dmamap_info_t *sg); + +/** + * @brief return the last mbuf + * + * @param m0 + * + * @return struct mbuf* + */ +#ifndef _ROM_ +VDESC * +__adf_nbuf_last(VBUF *buf); +#endif + +/** + * @brief num bytes in the head + * + * @param adf_nbuf + * + * @return num of bytes available + */ +#ifndef _ROM_ +a_uint32_t +__adf_nbuf_headroom(__adf_nbuf_t buf); +#endif + +/** + * @brief num of bytes available in the tail excluding the priv + * portion + * + * @param adf_nbuf + * + * @return num of bytes + */ +#ifndef _ROM_ +a_uint32_t +__adf_nbuf_tailroom(__adf_nbuf_t buf); +#endif + +/** + * @brief get the entire packet length + * + * @param adf_nbuf + * + * @return total length of packet (sum of all frag lengths) + */ +#ifndef _ROM_ +a_uint32_t +__adf_nbuf_len(__adf_nbuf_t buf); +#endif + +/** + * @brief Clone the nbuf (will not create writeable copies) + * + * @param adf_nbuf + * + * @return Read-only copy of the nbuf (including clusters) + */ +__adf_nbuf_t +__adf_nbuf_clone(__adf_nbuf_t src); + +void +__adf_nbuf_cat(__adf_nbuf_t dst, __adf_nbuf_t src); + + +/* + * @brief check if the mbuf is cloned or not + * + * @param buf + * + * @return a_bool_t + */ +a_bool_t +__adf_nbuf_is_cloned(__adf_nbuf_t buf); + +/** + * @brief This will return the header's addr & m_len + */ +#ifndef _ROM_ +void +__adf_nbuf_peek_header(__adf_nbuf_t buf, a_uint8_t **addr, + a_uint32_t *len); +#endif + +/** + * @brief init the queue + * @param qhead + */ +void +__adf_nbuf_queue_init(__adf_nbuf_qhead_t *qhead); + +/** + * @brief return the length of queue + * @param adf_qhead + * + * @return length + * + */ +a_uint32_t +__adf_nbuf_queue_len(__adf_nbuf_qhead_t *qhead); + +/** + * @brief returns the first guy in the Q + * @param qhead + * + * @return (NULL if the Q is empty) + */ +#ifndef _ROM_ +__adf_nbuf_t +__adf_nbuf_queue_first(__adf_nbuf_queue_t *qhead); + +/** + * @brief return the next packet from packet chain + * + * @param buf (packet) + * + * @return (NULL if no packets are there) + */ +__adf_nbuf_t +__adf_nbuf_queue_next(__adf_nbuf_t buf); +#endif + +/** + * @brief check if the queue is empty or not + * + * @param qhead + * + * @return a_bool_t + */ +a_bool_t +__adf_nbuf_is_queue_empty(__adf_nbuf_qhead_t *qhead); + +__adf_nbuf_t +__adf_nbuf_create_frm_frag(__adf_nbuf_queue_t *head); +void +__adf_nbuf_split_to_frag(__adf_nbuf_t buf, __adf_nbuf_queue_t *qhead); + +#ifdef _ROM_ + +/** + * @brief This will return the header's addr & m_len + */ +static inline void +__adf_nbuf_peek_header(__adf_nbuf_t buf, a_uint8_t **addr, + a_uint32_t *len) +{ + VDESC *desc = buf->desc_list; + + *addr = desc->buf_addr + desc->data_offset; + *len = desc->data_size; +} + +/** + * @brief return the last mbuf + * + * @param m0 + * + * @return struct mbuf* + */ +static inline VDESC * +__adf_nbuf_last(VBUF *buf) +{ + VDESC *desc = buf->desc_list; + + //for(; desc->next_desc != NULL; desc = desc->next_desc) + // ; + while(desc->next_desc != NULL) + { + desc = desc->next_desc; + } + + return desc; +} + +/** + * @brief num bytes in the head + * + * @param adf_nbuf + * + * @return num of bytes available + */ +static inline a_uint32_t +__adf_nbuf_headroom(__adf_nbuf_t buf) +{ + return buf->desc_list->data_offset; +} + +/** + * @brief num of bytes available in the tail excluding the priv + * portion + * + * @param adf_nbuf + * + * @return num of bytes + */ + +static inline a_uint32_t +__adf_nbuf_tailroom(__adf_nbuf_t buf) +{ + VDESC *last_desc = __adf_nbuf_last(buf); + + return last_desc->buf_size - last_desc->data_offset - last_desc->data_size; +} + +/** + * @brief get the entire packet length + * + * @param adf_nbuf + * + * @return total length of packet (sum of all frag lengths) + */ +static inline a_uint32_t +__adf_nbuf_len(__adf_nbuf_t buf) +{ + return buf->buf_length; +} + +/** + * @brief put data in the head + * + * @param buf + * @param len (how much data to put) + * + * @return new data pointer ,NULL if the len is more than the + * space available in the head frag. + */ +static inline a_uint8_t * +__adf_nbuf_push_head(__adf_nbuf_t buf, adf_os_size_t len) +{ + a_uint8_t *ptr = NULL; + VDESC *desc = buf->desc_list; + + desc->data_offset -= len; + desc->data_size += len; + buf->buf_length += len; + ptr = desc->buf_addr + desc->data_offset; + return(ptr); +} + +/** + * + * @brief add data in the end of tail + * + * @param buf + * @param len (how much data to put) + * + * @return previous tail (data+len),NULL if the len is more than + * space available + */ +static inline a_uint8_t * +__adf_nbuf_put_tail(__adf_nbuf_t buf, adf_os_size_t len) +{ + a_uint8_t *tail = NULL; + VDESC *last_desc = __adf_nbuf_last(buf); + + tail = last_desc->buf_addr + last_desc->data_offset + last_desc->data_size; + last_desc->data_size += len; + buf->buf_length += len; + + return tail; +} + +/** + * @brief strip data from head + * + * @param adf_nbuf + * @param len (how much data to rip) + * + * @return new data pointer + */ +static inline a_uint8_t * +__adf_nbuf_pull_head(__adf_nbuf_t buf, adf_os_size_t len) +{ + a_uint8_t *ptr = NULL; + VDESC *desc = buf->desc_list; + + desc->data_offset += len; + desc->data_size -= len; + buf->buf_length -= len; + ptr = desc->buf_addr + desc->data_offset; + + return ptr; +} + +/** + * @brief retrieve the priv space pointer from nbuf + * + * @param buf (nbuf to attach the priv space) + * + * @return uint8_t* ( pointer to the data ) + */ +static inline a_uint8_t * +__adf_nbuf_get_priv(__adf_nbuf_t buf) +{ + //adf_os_assert(buf != NULL); + + return buf->ctx; +} + +/** + * @brief returns the first guy in the Q + * @param qhead + * + * @return (NULL if the Q is empty) + */ +static inline __adf_nbuf_t +__adf_nbuf_queue_first(__adf_nbuf_queue_t *qhead) +{ + return qhead->head; +} +/** + * @brief return the next packet from packet chain + * + * @param buf (packet) + * + * @return (NULL if no packets are there) + */ +static inline __adf_nbuf_t +__adf_nbuf_queue_next(__adf_nbuf_t buf) +{ + return buf->next_buf; +} + +#endif + +#endif + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/Makefile new file mode 100755 index 0000000..934b1c2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = athos + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libathos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/Makefile.ss new file mode 100755 index 0000000..a36f027 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/athos_main.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/_vectors.o b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/_vectors.o new file mode 100755 index 0000000000000000000000000000000000000000..4226dde72f735c6fdc10f8ec835a72e592d667d0 GIT binary patch literal 1638 zcmb7@F>ll`6vusSfpP)~M#R(z2?hwhv{lQHA$ONjx49hTE^Q?wtlY(E52Xo8()O6x znAuqP0_;qD20jBbD-wHoPU19ms1TMM`~Q3YJipk^d(+x#EwoV8TIe;*Yhd94LW>Bk z<&H;a8C`?fEwFs%zJrWKsYgi^#Ath;_J;Nrc~1IR$MdPSiTz0sv}?Qr9Q#<)R&mho zy2R>J*CsDrlaf9U;WA`&iH_Uu$fQPhG_>5YNi8xq9m5*w9iDK{bVg)o>xy-tsT~z7 z91e&r0(4;P3>{t5I=B%bHbe?+99;E6JyO2ln3ickNwzUCb;apE(JARZ$y7 zNrD%(Y+$IRLS@e0&=CoJ7I-INrnJYzq`hskw>xqN6n645fGxZXG#RXN(BGvmjPbUv zDDC{@)b!nP=1q{AW=Sy~iCMO^N>18Q>4!GLse(|Q2(#p=XV17_42ji)?DNe z_iCl|!NtnkRlbK1U55TI-(&FH$TJlW$k+N>(_-Dbz(g*Xwtm9n*iRXudSDBUJLw>MdL+5 zyH^ZFkuZNM=`BgWl63VAWxfwm{F9`=Ncx+kzf1auq^mtB*E_!)Z{3#kilo;iy(4LQ zp<%!2DVt_-lBy#_9hS0eFV~3n+2rKVoKM-?Y!*j??y@9eVFSA + +#include "regdump.h" + +/* dhry mips stone test */ +//#include "dhry.h" + +/* usb support */ +//#include "usb_defs.h" +//#include "usb_type.h" + +/* xtensa related */ +#include "xtensa/corebits.h" +#include "xtensa/tie/xt_core.h" + +#include +#include +#include +#include + + +BOOLEAN download_enable = FALSE; + +/* #define ALLOCRAM_START 0x512800 */ +/* #define ALLOCRAM_SIZE ( SYS_RAM_SZIE - ( ALLOCRAM_START - SYS_D_RAM_REGION_0_BASE) - SYS_D_RAM_STACK_SIZE) */ + +#define ALLOCRAM_START SYS_D_RAM_REGION_3_BASE +#define ALLOCRAM_SIZE SYS_RAM_BLOCK_SIZE + +extern unsigned int _text_start_in_rom; +extern unsigned int _text_start; +extern unsigned int _text_end; + +extern unsigned int _rodata_start_in_rom; +extern unsigned int _lit4_start; +extern unsigned int _lit4_end; + +/* + * This special table is used by Xtensa startup code to copy + * ROM-based data into RAM. See Xtensa documentation or + * "unpack" code in ResetVector.S for details. + */ +const uint32_t _rom_store_table[] = { + (uint32_t)&_data_start, (uint32_t)&_data_end, (uint32_t)&_data_start_in_rom, + (uint32_t)&_text_start, (uint32_t)&_text_end, (uint32_t)0xf002000, + (uint32_t)&_lit4_start, (uint32_t)&_lit4_end, (uint32_t)0xf008000, + (uint32_t)0x00500400, (uint32_t)0x00500940, (uint32_t)0x004e0260, + 0, 0, 0 +}; + + +#define ATH_DATE_STRING __DATE__" "__TIME__ + + +/* + * 03/09: it'll always fall into this exception if we enable this exception handler, need to do more testing, Ryan + */ +void +Magpie_fatal_exception_handler(CPU_exception_frame_t *exc_frame) +{ + struct register_dump_s dump; + + dump.exc_frame = *exc_frame; /* structure copy */ + dump.badvaddr = XT_RSR_EXCVADDR(); + dump.exc_frame.xt_exccause = XT_RSR_EXCCAUSE(); + dump.pc = exc_frame->xt_pc; + dump.assline = 0; + + A_PRINTF("Fatal exception (%d): pc=0x%x badvaddr=0x%x dump area=0x%x\n", + dump.exc_frame.xt_exccause, dump.pc, dump.badvaddr, &dump); + // PRINT_FAILURE_STATE(); + + // A_ASSFAIL(&dump); // misc module +} + +//dummy now +//void app_start(void); + +static int +athos_linkage_check(int sz, struct _A_os_linkage_check *link_check) +{ + if (sz != sizeof(struct _A_os_linkage_check)) { + goto app_link_error; + } + + if (link_check->version != OS_LINKAGE_VERSION) { + goto app_link_error; + } + + if (link_check->table != sizeof(struct _A_magpie_indirection_table) && + (link_check->table != 0)) { + goto app_link_error; + } + + return 1; /* successful linkage check */ + +app_link_error: +// A_PRINTF("athos_linkage_check failure!\n"); + A_PUTS("-A1-\n\r"); + return 0; +} + + +#ifdef SYSTEM_MODULE_INTR + +/* Mask of Interrupt Level bits in Xtensa's Processor Status register */ +#define XTENSA_PS_INTLEVEL_MASK 0xf + +LOCAL uint32_t +athos_block_all_intrlvl(void) +{ + uint32_t tmp; + + /* + * This function doesn't actually block ALL interrupts; + * it leaves ERROR & WDT interrupts -- which are fatal + * and are at level 3 -- active. + */ + asm volatile("rsil %0,2" : "=r" (tmp)); + + return (uint32_t)((A_UINT32)tmp & XTENSA_PS_INTLEVEL_MASK); +} + +LOCAL void +athos_unblock_all_intrlvl(void) +{ + unsigned int tmp; + + asm volatile("rsil %0, 0" : "=r" (tmp)); +} + +LOCAL void +athos_restore_intrlvl(uint32_t old_intr) +{ + if (old_intr == 0) { + athos_unblock_all_intrlvl(); + } +} +#endif + + +static void +AR6002_misaligned_load_handler(CPU_exception_frame_t *exc_frame) +{ + struct register_dump_s dump; + uint32_t *stkptr; + +#if SYSTEM_MODULE_PRINT + A_PRINTF("misaligned_load\n\r"); +#else + A_PUTS("misaligned_load\n\r"); +#endif + + dump.exc_frame = *exc_frame; /* structure copy */ + dump.badvaddr = XT_RSR_EXCVADDR(); + dump.pc = exc_frame->xt_pc; + + asm volatile("mov %0,a1" : "=r" (stkptr)); + + /* Stores a0,a1,a2,a3 on stack; but leaves sp unchanged */ + xthal_window_spill(); + + { + int i; + +#define MAGPIE_REGDUMP_FRAMES 5 + + /* Walk back the stack */ + for (i=0; ixt_pc; + dump.assline = 0; + +#if SYSTEM_MODULE_PRINT + A_PRINTF("Fatal exception (%d): \tpc=0x%x \n\r\tbadvaddr=0x%x \n\r\tdump area=0x%x\n", + dump.exc_frame.xt_exccause, dump.pc, dump.badvaddr, &dump); + PRINT_FAILURE_STATE(); + #else + A_PUTS("Fatal exception\n\r"); +#endif + + A_ASSFAIL(&dump); + + // trigger wdt, in case hang +#if defined(_ROM_) + //HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR, 0x03); + //HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_ADDR, 0x10); + A_WDT_ENABLE(); +#endif + + while(1) + ; +} + + +typedef void (*INSTFN)(void *); + +/* + * These are all modules that reside in ROM which are installed + * by default by the operating system. Other ROM modules may + * be installed by the application if they are needed. + */ +struct { + void (* install_fn)(void *); + void *api_tbl; +} basic_ROM_module_table[] = +{ + +#if SYSTEM_MODULE_MEM + {(INSTFN)cmnos_mem_module_install, (void *)&A_CMN(mem)}, +#endif + +#if SYSTEM_MODULE_MISC + {(INSTFN)cmnos_misc_module_install, (void *)&A_CMN(misc)}, +#endif + +#if SYSTEM_MODULE_PRINT + {(INSTFN)cmnos_printf_module_install, (void *)&A_CMN(printf)}, +#endif + +#if SYSTEM_MODULE_UART + {(INSTFN)cmnos_uart_module_install, (void *)&A_CMN(uart)}, +#endif + +#if SYSTEM_MODULE_USB + {(INSTFN)cmnos_usb_module_install, (void *)&A_CMN(usb)}, +#endif + +#if SYSTEM_MODULE_INTR + {(INSTFN)cmnos_intr_module_install, (void *)&A_CMN(intr)}, +#endif + +#if SYSTEM_MODULE_TIMER + {(INSTFN)cmnos_timer_module_install, (void *)&A_CMN(timer)}, +#endif + +#if SYSTEM_MODULE_CLOCK + {(INSTFN)cmnos_clock_module_install, (void *)&A_CMN(clock)}, +#endif + +#if SYSTEM_MODULE_ALLOCRAM + {(INSTFN)cmnos_allocram_module_install, (void *)&A_CMN(allocram)}, +#endif + +#if SYSTEM_MODULE_ROM_PATCH + {(INSTFN)cmnos_romp_module_install, (void *)&A_CMN(romp)}, +#endif + +#if SYSTEM_MODULE_WDT + {(INSTFN)cmnos_wdt_module_install, (void *)&A_CMN(wdt_timer)}, +#endif + +#if SYSTEM_MODULE_EEPROM + {(INSTFN)cmnos_eep_module_install, (void *)&A_CMN(eep)}, +#endif + {(INSTFN)cmnos_string_module_install, (void *)&A_CMN(string)}, + {(INSTFN)cmnos_tasklet_module_install, (void *)&A_CMN(tasklet)}, + {(INSTFN)vdesc_module_install, (void *)&A_INDIR(vdesc)}, + {(INSTFN)vbuf_module_install, (void *)&A_INDIR(vbuf)}, + {(INSTFN)generic_hif_module_install, (void *)&A_INDIR(hif)}, + {(INSTFN)buf_pool_module_install, (void *)&A_INDIR(buf_pool)}, + {(INSTFN)usbfifo_module_install, (void *)&A_INDIR(usbfifo_api)}, + {(INSTFN)dma_engine_module_install, (void *)&A_INDIR(dma_engine)}, + {(INSTFN)dma_lib_module_install, (void *)&A_INDIR(dma_lib)}, +}; + +#define BASIC_ROM_MODULE_TABLE_SZ (sizeof(basic_ROM_module_table)/sizeof(basic_ROM_module_table[0])) + +void +generic_hif_module_install(struct hif_api *apis) +{ + A_HOSTIF hostif; + + hostif = A_IS_HOST_PRESENT(); + + switch(hostif){ + case HIF_USB: + hif_usb_module_install(apis); + break; + } +} + +void +athos_indirection_table_install(void) +{ + unsigned int i; + + /* Sanity: start with a clear table */ + { + //char *tbl = (char *)_A_OS_INDIRECTION_TABLE; + char *tbl = (char *)_A_MAGPIE_INDIRECTION_TABLE; + + //for (i=0; i<_A_OS_INDIRECTION_TABLE_SIZE; i++) { + for (i=0; i<_A_MAGPIE_INDIRECTION_TABLE_SIZE; i++) { + tbl[i] = 0; + } + } + + /* Install basic ROM modules */ + for (i=0; icmnos.app_start = app_start; + //_A_OS_INDIRECTION_TABLE->cmnos.hal_linkage_check = athos_linkage_check; + //_A_MAGPIE_INDIRECTION_TABLE->cmnos.app_start = app_start; + _A_MAGPIE_INDIRECTION_TABLE->cmnos.hal_linkage_check = athos_linkage_check; + +// _A_OS_INDIRECTION_TABLE->cmnos.start_bss = &START_BSS; + +#if SYSTEM_MODULE_INTR + /* Install a few CPU-specific functions */ + _A_MAGPIE_INDIRECTION_TABLE->cmnos.intr._get_intrenable = xthal_get_intenable; + _A_MAGPIE_INDIRECTION_TABLE->cmnos.intr._set_intrenable = xthal_set_intenable; + _A_MAGPIE_INDIRECTION_TABLE->cmnos.intr._get_intrpending = xthal_get_interrupt; + _A_MAGPIE_INDIRECTION_TABLE->cmnos.intr._unblock_all_intrlvl = athos_unblock_all_intrlvl; + _A_MAGPIE_INDIRECTION_TABLE->cmnos.intr._intr_disable = athos_block_all_intrlvl; + _A_MAGPIE_INDIRECTION_TABLE->cmnos.intr._intr_restore = athos_restore_intrlvl; +#endif + + /* UNALIGNED references are used for ASSERTs */ + (void)_xtos_set_exception_handler(EXCCAUSE_UNALIGNED, AR6002_misaligned_load_handler); + (void)_xtos_set_exception_handler(EXCCAUSE_LOAD_STORE_ERROR, AR6002_fatal_exception_handler); + (void)_xtos_set_exception_handler(EXCCAUSE_ILLEGAL, AR6002_fatal_exception_handler); + (void)_xtos_set_exception_handler(EXCCAUSE_INSTR_ERROR, AR6002_fatal_exception_handler); + (void)_xtos_set_exception_handler(EXCCAUSE_PRIVILEGED, AR6002_fatal_exception_handler); + (void)_xtos_set_exception_handler(EXCCAUSE_INSTR_DATA_ERROR, AR6002_fatal_exception_handler); + (void)_xtos_set_exception_handler(EXCCAUSE_LOAD_STORE_DATA_ERROR, AR6002_fatal_exception_handler); + (void)_xtos_set_exception_handler(EXCCAUSE_DIVIDE_BY_ZERO, AR6002_fatal_exception_handler); +} + + +#ifdef SYSTEM_MODULE_INTR +/* + * All interrupts pass through here. Yes, it adds a + * bit of overhead; but it may be very helpful with + * debugging, ROM patching, and workarounds. + * + * NB: Assembler code that calls this loops through all + * pending & enabled interrupts. + */ +void +athos_interrupt_handler(unsigned int inum, unsigned int *interrupt_frame) +{ + A_INVOKE_ISR(inum); +} +#endif + +void +athos_interrupt_init(void) +{ +#ifdef SYSTEM_MODULE_INTR + int i; + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x20; + + for (i=0; i 200 Mhz CPU clock (PLL / 2) or < 200 Mhz (PLL / 4) */ + if (cpu_freq > 195) + rd_data = (rd_data & ~(0xff<<16)) | (1<<16); + else + rd_data = (rd_data & ~(0xff<<16)) | (2<<16); + + /* AHB Clock, AHB_FREQ = CPU_FREQ / ahb_div */ + switch (ahb_div) { + case 1: + rd_data = (rd_data & ~(0x3<<8) & ~(1<<4)) | 0x1; + break; + case 2: + rd_data = (rd_data & ~(0x3<<8) & ~(1<<4)) | (1<<8) | 0x1; + break; + case 4: + rd_data = (rd_data & ~(0x3<<8) & ~(1<<4)) | (2<<8) | 0x1; + break; + default: + rd_data = (rd_data & ~(0x3<<8) & ~(1<<4)) | (1<<8) | 0x1; + break; + } + + HAL_WORD_REG_WRITE(0x00056004, rd_data); + rd_data = HAL_WORD_REG_READ(0x00056004) & 0x1; + + while(rd_data) + rd_data = HAL_WORD_REG_READ(0x00056004) & 0x1; + + while(i++ < 1000) + ; + + /* UART Setting */ + A_UART_HWINIT((cpu_freq / ahb_div) * (1000*1000), 115200); + +// A_PRINTF("reg_read(0x56000): %p \n", HAL_WORD_REG_READ(0x00056000)); +// A_PRINTF("reg_read(0x56004): %p \n", HAL_WORD_REG_READ(0x00056004)); + + /* set the current reference clock */ +// A_CLOCK_INIT(cpu_freq); + +} + +/* + * rom1.0 fix: turn off rc if no patch/eeprom exist +*/ +void turn_off_rc() +{ + extern BOOLEAN eep_state; + + // clear the cmnos_eeprom init state + eep_state = FALSE; + + // reset the pcie_rc shift, pll and phy + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)|(BIT10|BIT9|BIT8|BIT7))); + + // reset ahb_arb of pcie_rc + HAL_WORD_REG_WRITE(MAGPIE_REG_AHB_ARB_ADDR, (HAL_WORD_REG_READ(MAGPIE_REG_AHB_ARB_ADDR)|BIT1)); +} + +void bootentry(void) +{ + /* uint32_t reset_temp; */ + A_HOSTIF hostif=0x0; + T_BOOT_TYPE rst_status; + T_EEP_RET retEEP; + + /////////////////////////////////// + athos_indirection_table_install(); + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x1; + CURRENT_PROGRAM = (uint32_t)bootentry; + // athos module install + athos_init(hostif); // move all the athos indirection table init function to here + + athos_interrupt_init(); // install all interrupt function to known state + + A_WDT_DISABLE(); // make srue wdt is diable + + rst_status = A_WDT_LASTBOOT(); + + // pump up flash clock to 12.5Mhz + HAL_WORD_REG_WRITE(0x5b01c, 0x401); + + /* + * + * 1. turn on CPU PLL, reg(0x560000), 0x305 + * 2. pll reset reg(0x50010), 0x03 + * 3. pll reset reg(0x50010), 0x01 + * + * - after enabling CPU PLL, left the interface pll setting + * be done in each interface + * + * e.g usb_init we mdid + * 4. usb divide reg(0x56008), 0x0808 + * 5. clear register reg(0x50010), bit0, bit3, bit4 + * 6. set register reg(0x50010), bit0, bit3, bit4 + * 7. clear register reg(0x50010), bit0, bit3, bit4 + * + * - wait for 200ms for usb phy 30mhz stable - + * + * 8. usb clock up, proceed reset of things + */ + + /* reset_temp = HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR); */ + /* A_PRINTF("reset temp is %x \n",reset_temp); */ + /* HAL_WORD_REG_WRITE( MAGPIE_REG_RST_RESET_ADDR, 0); */ + + + /*! move the whole cpu pll setup to host interface specific + * since when bootup, we have an external clock source + */ + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x2; + + hostif = A_IS_HOST_PRESENT(); + +/*! + * GPIO_FUNCTION(0x28) - config uart (sin, sout) pair, + * + * pci host interface will only have (GPIO1, GPIO0), other hif (usb, pcie, gmac) + * will use (GPIO5, GPIO4) + * + * BIT8 --> (9,8) + * BIT7 --> (7,6) + * BIT6 --> (5,4) + * BIT5 --> (3,2) + * BIT4 --> (1,0) + * + */ + { + HAL_WORD_REG_WRITE( MAGPIE_REG_GPIO_FUNCTION, (HAL_WORD_REG_READ(MAGPIE_REG_GPIO_FUNCTION)&(~(BIT4|BIT5|BIT6|BIT7|BIT8))) ); + HAL_WORD_REG_WRITE( MAGPIE_REG_GPIO_FUNCTION, (HAL_WORD_REG_READ(MAGPIE_REG_GPIO_FUNCTION)|(BIT8)) ); + } + + change_magpie_clk(200, 2); + + // power on self test + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x5; + A_PUTS("\n - Boot from SFLASH - \n\r"); + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x9; + + /*! + * check the host interface type, + */ + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x15; + hostif = A_IS_HOST_PRESENT(); + + retEEP = A_EEP_IS_EXIST(); + + turn_off_rc(); + + if( hostif == HIF_USB ) + { + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0xb; +#if 0 + if( retEEP == RET_SUCCESS) + { + A_EEP_INIT(); + /* read the usb descriptor information from rom to ram */ + read_usb_conf(); + + turn_off_rc(); + } +#endif + A_USB_INIT(); + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0xd; + bootload(); + } + +} + + +int main(void) +{ + *(unsigned long *)0x52000 = 0x7ebff; // set bit10/bit12 output mode + *(unsigned long *)0x0053fff8 = 0x0; + + // for debug purpose in case we don't know where we are + // keep this address update, so that we could trace the where is is + DEBUG_SYSTEM_STATE = 0x0; + + bootentry(); + + A_PRINTF("FLASH_READ_COMP, jump to firmware\n"); + + led_on(10); + led_on(12); + + return 0; +} + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/crt1-tiny.o b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/crt1-tiny.o new file mode 100755 index 0000000000000000000000000000000000000000..2c6d420e4e92a6bdc46fca16d4b556078a22f931 GIT binary patch literal 3352 zcma);O>7%g5XWcj#7#_T>m~x!R@60L6>8UOCu#uIqE6yAOC5*WF^Q01z5Yr~96Pc% zG?frUhyy}^DulSesiz(gr<@RnoH%hnAR$hiDv&rp5f>y5;F^Iy`uf=r-%%85w1W@2C&a2qk^c^^F?Qhhs#Q zyLXhWyu&#jM5>>mHCDY=Z|FL9uidAG6=!a#bkV+K&s#ZbyODjyYS!EBcu1dt-fCLe z?3C4x&sOc?yi+aNSE>cao@bM#P5D_nXT__Pf|H-EEETKE1*d2)7s~l!C70oVFBQra zd#RL56<4!n#^-}R=yWq?%6y`2hs*4U* za=!vgxL=XIS24wk`OD6W`Q?RNDizl|`8(kt&`HDi`%s(=2=5;?243c@*Xdn1E56?- zdr@@Ch#KP*HKH+9M^x==#zPFvsCa@Sic$Nc;^PzxSrIL&e1ZtRKlG!anDBvSOx5A< zj)+e%%J=lM@@u7mA0~)d^wpGUgju8(o^g86?|bQ${$PE$={8zvE1Sury)`dg-|BRm z=?!;nv(s`ij+GwP+0*r|_J`@=ppoA8`a`-@swVT5Bu?NtGebLuk)R{7gmDzvA0K;S zY+_VC%IyiAOjuV7cfiNs^R{YnmBBZ`r%;~PTm!Sqr~e0{T3-&%9JonC97!uRlvZoNKey}r-v4&2^aOJZ+(=H{Tki46b0B;m>jA*P4$ z%UaXSjU1BWU|vrxL2cvx{Rkx#Z{!I2d=5m!_5l>(cyFN3ejM+xwsAcC{03)$ z)t{sK6h%bhaVuv4ICd3jBmomBk{{}|b3v9X{ zim&WEPtM^fwnOgqm){y?#9*FxAn3~;C>{Fy9!T`V=auu}Zv}!rujffoU=jHHd=HiI zqCiZ)A=3S4@9EF(>xX|0oY%HCHXX0;4Eh@mzxU(}J$HbH+r~OMc-=(B*y=TD!|n7` zM5H(Vn2wD%Mlr7!a#qZ9$C*&f>w+~@%xC#&&HNT$(0l?sr#T5;*34%WfBYgm?i9+S znveN?6_ck9TjBw;=|zOc?tW?9pV1;UBx755FN=g0YAhFwS4V kIA;M{IC~NkXCPqgd%&1az_k!}LyUb4e6V)`W50a<2aCK%I{*Lx literal 0 HcmV?d00001 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/libhandlers-board.a b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/libhandlers-board.a new file mode 100755 index 0000000000000000000000000000000000000000..bfaca945b5e080088b196b0366f9ea04518fbb4e GIT binary patch literal 137614 zcmeFa4SZfzmG6Jf^Q38-zNJvGVuhz|3Psu`X#x}lO=$})77BfVs%R3Dlt$7dCTS^( zTA?T^NW`kBsECd-UdF+ZJHyO4Gxf?HaYO}muHG46m=PW4j&p~5ug-Pu_#gh?-#UAp z?B_|^q$rinWPP4}&Ts9#&e|_$zpTB_e$H8Re#czES{r&OX`@fbX51f)DfAr%d`S1Ia7mTsf&YiVpV^kVKl^2p%KfM-NuNUQ|2@^VuKSuj*RJ2! z**!4a)8BVxcjs{bVA8g(f6q0&-DA98-aXjY-8;s6-*Ep>TTkEc(D2}%&f%oZ`0lh85nLGmShmz)3>XmuZs(%FbfYMIp4OsW9YiFi#j{@40SiR zWml4XOTeh6ZqHr_8CSfs?fSuvfr0M9Q8gEL_jM0;jOI67zPo#O_W;T386ND|JyhnI zwu&-_BVE^tmau|Fm9&uT%tGHt5hM`VWjrwlQJo1tVItJRtNLn(+l%n|#wDtA( zQOmmb^>`KSZK6(%Mq@eJ+}FLaqT(s7qm?gIvbK)FYool32`>-pRnbLWyLME|;?6>gpL9 z=os$Y)n;jI8Z7{?@Z|!lCK70l1sW>5E?40q0|&?(a^=Ow+ux{a0n4YgFH6`dk>JFzS`x zqfxIs>QS#e-cheS(orvqu}gzvs0Kt=!g@I8yq4AHokMlZYGg}e6ScLevGLqSJXSTH zw<@c=?X_=Szv-g1kYYpxN|V=sB9G!dNm5Lb77JgNoS4)l7bl%h{|o#q1n-vgj^XaU zp^mnVeLMS;4Og|T-F(?a8!z6tuJMA#eVwaTH+EgKb7yVj--Lf-SL3Qx&5b*2FWkOy z)4I0pmulD-&g4SHP@q)(M?OWHkt+{aP=1tqTt#8}3aohSWYc_4Yph*g^ zT)$=O#?6;quz1ssRV$lTHZGRur5i8vfVNB5T(Wt~1*=vyHI50{co`v?_nIAtyC4n; z7i?L-Y5f|KblI9q*I%%B%Y`deQLHOhEw1%|JW=OnNpk-zE$23pmfS1oT)TOTE9~mt z*|Dd0cyaBvjqBUiZdkwew%Z zKX@Jeb7yx$Y)7#asyW`q{p6<4$w#J5`Yb4n*ysLkxVQ8?kLEULzLwcOoxjJTyFC;fb{x!+U zp&NG7HFF*w%+9+Ub#)APBrC5O8cJ3UcK3Fy9MAY%sm>)?xz8&8${tnxOxOydt+$6k zJod;WvcQgOt{Lp!TNd0g*wJ@ww?{~~H89veP?3j;*j5B|Pdx8giyghn@7D{09F5xO zaB_8*L6r{B={U#0=_4HIL6UjFUt!`e!cE6Hy%_ZmI>XuXu_MBQ z%w&=aaZWC$>Kwzu@p&I49SqvvnC+K=oamAH$u1^Yqn$RYsA%>AT=nH=JCb^M20# ztCFYxmwW1rvOFyCQ93LwWk^SjW1`KkMPH7QuRfg2iKg8SxjZ^E+-1}4 zQA6X|wlk{cPuUr6794kW#>=cPSW;k81I|Sc|)A$_Q8M0CQ8eri*4nBSW^RTx^7+5&Op@egCJL3Qz zalB8#*W(ngkK;Oj7#GL;1pIh*hU|by-%;5apX6F6k4xuhd_O8XW0@=C?D`@vgSr24 zVrS^S7-e=wMgMr1MRG2P?F{NwKHk#~ay#Rt0UuL4<2qZvKX!Hotz~LG<9J=q7~jq) zuR`T^#)~FpXH;tVcVuUb`+RK5&hUQ!*S(%GWoJy;8DWGjJ9&M>I65xYGs^9Z%Kou! z++IZ)e$Lt%4gR<;dl)j|bCc$lbJ>p}n#^;Ps_$CXv)R!yR>9`>))nT?PadD^wcu$e zU|-kqGRBlMa#^h>iDqXfv%>{_oyu4DeI3hfd7t0-T+J8G-5!@+Cvtl_uahM0-(a@XX*wTvCARd)wduPH!@^U-vM@d?&)`C!RdbTW7$eus#$!cra^QW4zs*ah# zHr~3Bw?AuK9BN4OO?J+F6s|UiTU~XeXHnT>CVLDOJ(haTJa+Om9`9e&+r@cT9!00) z)ONkUX@y-4@8s7k!o#lt&XWI=IQ56>qZFpKhvhhh1%2s0a7>Cz)P(as5Gc8EpCzqT zATCf*`Zqbp*~6F*m!8emcBy8-9qVVm)Y@;iGWyz5i`Twgob zuK^bS7l-lIc!tX5s-&ZYa}qD=!^QC`uk|>Uv&IyU_if-P-l}Erl5_Gf)$Lf{0KDtd znC1F3zIh#xx8fbaIk`Tab-x2mzaRDi7+t>4@CSgGOVNYv-zN`eACn5qx7d|l=Eh!(5#;r3*a*Xqx|rSpbB{bMeC%NM z^7T8`wheS9*?A~CcMNu4o1vkO!3^|v_V4K%4)_`m?(OdDN;3QWA~u6|Z|!+~cd|XG z%+5m@FGc2IoB0fNtjc^kn!~vzoX^hA?D(>ssu>p&U|04k0U1&Pw52qP-nni4!yUbr zq8-~dZ*5zD*_sPCtzXx+`J%0fd0ct)Dk$f1~pg*<>-zrWkI*!~KuAKQ5b- zJQt@U$$0Y-&y(^SY_wz%Sbc`ITh426Fqh;MERf(Of-Lp^c60FAMaVR{5|i$+GQLXanh%ByS`U} zV|`zM4|XAFG)(qpEcYGw63$6wChEb(@$P`H$0=UfVIHsQUaapI@bv3BdDt8AiS^wG zFFEN`dAL5!6a1bDGVUbr#5uV>ow3)Ps@K6@4k!5~IO!9X{Tb=2IY@Fh;pgP~ocD9? z{|x3Cick3nWOnxRVM6|mUj!e@+W52JL%A8R(JHpvTj5JM$ih`G>W$6#ZD7?6$illa zob$b)IR0VyIDXw9-3Q37e;YvM2N{0{V7{>#|9)_s-jBk^>HQRZ2?yEr{}~Xc_Y3fG zdcOj{90!^FUjiD9&G;XJ2O|ETGpwWJQ*R4_9}VSZC@d#<)rp+oE9VWNoH7x@Ro`=h zr<~Fkx<|?Ce~O)z5rvi}l|#nVEMRnQkeU7C{zopi0Z0G4r9!11{r6kBY5hVst!K|*i?WveF|f~aV;eJDe?ke51A-BLQWR=`Qz?FvjyV^# z4W-2|#9rAWg-jDy5JPUx&y8Zp4g5?pQv^n4pLf!qPXe%hbOHXINqGPn!?;2>;QsCn94eBIeuMGu-lA`e#mw{HXtD957Ok>t!Mjm4hf+ zLl!&h9u6u;t+nWS$*F8k4?HG>(eH$_pCl_i35?>MtuaAqRJ@_ySh;A8 zHPTm&1FnAIqB1U$n{msg{mGV>_a#w*Qc}B0btF3 z*b#v4Nj*;b6o>3yuT#L8_@$C_a(&MGIrpE$Tqx3Skty!yyP{y*FM^jpWVZg9fKb-P zH^aws3)RboILN{`07~KlzXhOtLKc2CV41NQ-J$C3VYMmNpA2*B6ULE(^lZZ+cLsIE2)SjBS0m&2La_Qs(T0H4?@5pR6&Jb;tDZAn z7*@RP0jq873|QCn27D5DFyQBb8Pn`q^<9h;hSjF&8w{TYW}Gs-82s*lRrl`-cp3O3 z0iOl_M8GS-pAMLE(ztDUTEM>_u*UY!2TU0x|0Upy!H)!d3HWOPZvuZSV9F!;tAMWn zKM}CX`Nsiwf`1xtH~4=CybJt`fVpo8eT${7k9Ns@HT*g-q`bC~k zvER_<*0U(wyYZZQO~di?nub<0#p)|2(G_os*=qONU(|3$T3oCdXg=#4&dj;8S?9Dd zDvs536I({TteZ?B>DehiR?Ii)i;pk|95elU&TNYt_c_$GJeQ+|un0f(ADBEw=Y_MM zyl*)Jj~d)Wa?6p4Z7cMRJNJ4ia;{tOA33bUIeD1ULx1fwT{87Jjep9I!suScJcrVF zM8B7l>(f{h>(e+vKWqAwZr4}&9O}0Tn~!sHeT*k@J_?86OE@Q$nWzUB$9oriJx=*x z49erZ3GBLog(o;LKIG(KZ^S3o#~5pJ(x>uJn9lQXe$RmR?gYmBoLryI);h9~YTd^t zaI$ZFZYVqh7wMa>I5fsPxjyIpocm8=?iHoOB2#>_aTGsfbBR*`F>fI{ARN~}@k(<} z@Nqj(cvOydz3xX&ge#AW+=EEdWK176?v@~v;(cD>1$d@`dYO;)F99oGqL&3sbh172 zcPJ3|3@{R@>cnmY%|<CV#=$r0jv)tTfB*fkUM! zi{LnYanm~MASz$nR91(|?SWI%;v8R*E{s|QeTWJ?a zrV%%hU2rZkd&kjNX&1#=O;h?&xabnU7EHtZPye2oT|>z)b5*ezd3hL)BNcd&!5&j zYkJk%y-hzRV9$B~NZ3hF|3p`|Q@(k4)k_I{s#rkz-!8c%U2;0$Z@%1cbLqgMTNZzQ z@$gILzV-44x4*CP!RF!SpLIU{<0R>R+23@xegA}~zf+jj#D)d*9-5bKY)UqWJEXp% z>Kjcuep7o8$p2WvKAT!DIllg1nEneC{72H027;#L{k6sm-)3ffZu_aoajP`v%kGm;mi z#plgPPE3p6Wdg;fgO|@p=A~6>@!M~jk<9Xa3YmDmHzC{hknd=a&F8xta(rZ&EOeUd zf0(#4ul!hRK6Bll2rLU>YetqJ?YGEcW*5f^+RK59N-^ZD?a`R`M-)g?(AHp#cjg~pV_-pUqHF2 z+*N*}Y*fs!j=I;PO}Hb;DNNLe6ZQe7QlHCd?MC-*BDq#%;&{c!b(OKqNcO*{6+;JimU)Z?Ttw##KlNA_L18l4Z~=j8c) z10Ifng`dLywhKjJd%~de9uLtQ#gJ9Ax}PK)taUFUw$A#CK*`N0Yw}5XV0RAIGopK=&6i z`L_Z>TQ~k)@S%Ph|33IQy*xKAORwr<2?v?{gMc`_hv4J%{ssJU9Axs}0;t_U#{Vr~ zz}Srcd4_dVc&h$1&R-tP&7nU^RhAm7MB>ljtg%icekJFJjLZ15!S{{w0dYcKD5uO1 zUSniV@ai{mf}fr<0QkIa$sb;IG$(kq*PP(hCUSzOy`<;62RBLS&+9|r)$ba?L+Nfi zaR~e^6};}JQ3$`+`I3RrJ~r@w?%_fHs}=m;RPg!z%H#`q85v(;mrZSz8Qm(AeUzh1 z-)hNVvS*-AuY}(-(A&?e+mG~Z9Tr=6cMP=gd5>#4hPvCVl#{mLn@=O$UtgrjzPS=U zKqONNpN>I35VOfXb~E-&xZNGE zTf<5u=*ML9=o;#{xjM4^_>zFR zS1EZjdFo4gWx$kK%KbKf?psQp4Rf#4o`Amq?hp83@ScFb1b#!ne*u0=z>k987Vy`> zw+H+U@WFup68yn{si)~Z0e=ttiGY8Y*!*Ql%2_mvq1|yEGLBWAj;r-4kW5@dQ0^}o z#xtkfACDZPKgSCqTp!`a2%jI}4H4cJ;r0mkMtEO@4@7t*!g2lQe&=a>Fv5o-Ot~-h zynn|rUuYW~ee*2e%$eX@IxWpjNApg&UzlalV&%FFD?2J<^ZO5XShR5D&iU^-iE=3X zq_Mc9_U6WeC)ZMI0#V1N)?$yZwbuh?1&U*hGVfF>R@Y-GzA20Y>UUxg%&lIgA|LSuYcZ{;0Ffg3FKQ0Aa3_-|wkoi;v z{}*s}44n0Z^{?5SShY)G^da`2DeMbP>qP0{;#JQRrO)L2e(6Gw%hxjG2hDwggW{Qw zW8Rm?yBREfR?~r-LKr6_*N5Ga>juvKDF@f5d8+3F8zY{#%0?NT0}|$igq@LNU{Tj= zt-;CV_Tu4b0M2E6vVD8XM{NjGI&Q=HHNYbFO@dvMlZRo)#QN$6;8mv5Cq15zyTE=8 zu=peg$vAn~f5O8tu&DHva8A0XqEF(YeAL|oUyqZ{xj2uvVx6sO0bz1Z9@ih?5$k&x zUUJeGud`LGi&ZJFkKmkKpU$BUD5z!^>>)0a zUp_)lEh~*KD;?c;m$UB(AKh1_$Nv~{#W#jcarU{_{KLb32lM|_(LVF{*wHp~f9h+F zx&1Fn!2;U#!2O|7ngdd9V=OcV5!VgFIkqAE;;m0EUtq6Z7!rzVX6A^wg!as@d zPb2(Pgnt&{Uqtwq5yloi-qyul^l-&#-K}%LA6Z ziq?z7qaKxUej&E|_`7ap6*JhgDV`Mlb2Oci^AuuqS$k0zSRUv}xn@h9=moxiVX zA8ew&g#N-PYng%JIhSNSD1ktp zzANAz3;qL#7Y7-gJZuxVYSe$a_ysuiy-JJ1=#114=hpxWOF0bVoLrym<5*u8d?QZ! zR1U7M5A4?f3m@PxALry@Z^6Sc(B6e!av{#rDY_9C$9oXI9;bL`hA`zr^PyPZWAMta zlZTDq5$k&h-pfT}l;@*j9$fedVIRRcxjvn(-Dd&SJ2)$DU9WUWpVC?}51zgZzr{Ew z*XO*SbN^>B502}ZDs+@@tKeS778l_fuXBR;I%|ILmGd~2e@=v}{PMXRnXXF8`ntBU z{X};43RHVO&j!1#LHzmu&?w6qmqB$dPuEvM-;}O|SGs$m(@qCOO zE&JH7(ItP!VIR)P!(<;u`ey$Ez7Z!IQFT*cvKxDFehqM18wYHioIFhSv18yg#aY5R zxs9jw>?qzj%i!yAiZ`~SN8n?9cfrfg$-~}>N32ifDLLsof*oD0cr_<*a(y~mN1g@D zI7l)|;0f&L+6R$&BhJb7Iq&D(|2VOu-3C^Hs+^bLT9ui=V<#v3BmN@rp@^rRWmyjL z^owb09zLemZp-?e&3n5CclP#Q-;xB6?R{MpJ}$C)j7aX|B2BBt$l#SxQ)IWF5BB_C z7%r z1pHR;I|6|yx~6w z;|zZp{Aj?OlWzq4b?|or#xG%9GWov*KN&FL$^QuWufb1c*y_bU2VBJemjPE(M`(l6 zgGFFtoZ(qu=5>Y{N40;^u;fk&_#|*C;055*17;jZmj`?*cvZlQz^enU2fsAnGr;Qt zZUtWwF!xk@11#Q)z^p49=ANck2fPVD!hi4i8z;+UURnNUVTNFGCR1-eJ#OB9nm%rm+jA?PA&;4c zaaYT2uJT9AQ)`^sH_y81uX~M?_m%w18)qbcsCR#)EF@>gSu>J{)8e!l$9Jc79p@SU^d)5-h}J=WW9y+>hxIi(t)CW3q%C}Fr4Z67|6=4{jQp!2 z|Ek#k$x%JF?glG8(^^OUr>zA;@~$Z8hO?KVZ{;#k_^Q8{^SL<_i z1($iYEeut27G<-q4D0CgMCR>=iy`Q6a*GJ3Of``+I-J~Dgi3c5Z(hc_(BnNFTqTc; zPGsI|@4?qPp#0?+0PWqr(>Vv4eibSnbdIy@W1S$!z!|J{rdlI$a=9z;h~w4VRB=l`%aLPKGuYCed4_i0B1eI z;o~?b*QayAJz34{Lf<{`tRe3TC3 zqxg(hT9hv*@r!Y-!9%?2`~HYu4?Yy}s+WPavg(0vjlw-l=~13@g4eoyPViBExAb{i zG8w{ke{+J@{khRSC{(4F^~{V^$k1KcDJyjFCoA~RSMZNj@PAjq|FVp)G-i(BGBfWh zpfe8Lu)kLzV*}Uh+`8^-_FP-BgDn|vw@O>~$@wv&8^8?oSc9P>Kd1Xz&(^~n9UBK1IEC_fy`1t{=Kg`$oSo_qvrxEL&hN%t-Yn+Bv zZ#n{2ox3(*)t}t~w}R=LOnxnx_YfGq2>j-NH-c{ocoUdsLMF2x{O*8n2ERYxw}L+$ z@Y}&35BN6lZwGt__;&-o6a1e8RzLK|0jth_A>a>zzZCEx@K*!=BKVsD|2g=-2mEF5 z4+4G^{G))s4*rLLzXASV0skfV{{~Fom;8SL|26Hnm|?YVt(PnB^3!*Q3{%eONdXhC z|D>2d<(w`G7}@lUfOY+ffVnPh4tN3hynxY>vRBabXg!_&#xVNQmj_%Az9L|Br}RN4 z(+cJ}rQx;U&Vae6X-~i#!TkYqPxW7aliv(}L%>_WZwYui_-z620N)<)tHAFGSnKIL z^D{ji;Ex8}1->ufYr&t%aG?Z#FvDseX?RAW_K_aS{HtgmDfd-6r?rB)m%`POp^p-t z4wk(!JLN2*+~+u^`^(!4Z79dc&pMpUiJ2N5b9r>;nERAt?m>~lPgjH_t|D6a^zPbNX5vG54f3vZ}wf0QNaVe5n?zo|; zu{qvkH_>|tR-Jn^d+dq_ZA5J0*63Nn3CY~TH%_i4A$HfNmP?MW{a?xXW}8)Rx$o&4 z_P=aw)t#kk6?81}B2wGJl+Vn^+jw7HcKNZIm5(zg&*wl&yQrGn-ehNEnNLk_-+lJ3 zq>X*N#By-Yz;I^HJO}p-(dU^FOy6phwbHwF<@xH=aQ5_Vi?HDT*;fx11uTlI}IL3{n8|T*m z3oAJca-s8+fdQ%s-A!S%fk?AHJb_i~tzbMmkQcsK?Y9^z2KIZ3AoJFhHW zM|~tdDWT_SADS@-XayGJX5t7vQ9Cb_kQcc{snfzyjk>@-du~>(ja5 zp4{dx*mihbuXI-7q#N5S)>rc|$>kpB09=ari^0k#Wb#)4qysX(Bf~k5jaL@`>)^w^Hu=}XtNbD34**nt zkny(xLVYs+Abgx&Y>%?^egeLPgG~PS0C9T%6h2PxBk;>{kjZ}o&}eMNKLH+y`2Uk( z9VMSu0puXNK7$;oOu;|%f)Dx5d26J80pW2!q3fr4n9lI3|2e@^f3+7TBMOc9(vY`M zh-Jgi)L+D-zldk!n9s(?yaCY4lzCD9AI@{Z*!M;+37A`;dvjwN~FeWy`jNe$7vAgPe|su#ZcUb1YdO z-==KY+~!cRm| zWy@CDviXyxY*dThU2RS5IcCeYl>Pa6B3riUoaUq0<6Wq?9wZypmeCt)9>pAUYDMBh_2u3W!m>qdoayo`{{d(Dnf@A3=ckZ{44^_$kO*?OF=uS|_h8XBfXrfgQ*L|IHd zF)?GoM#d+A&-{tW)W~$$k!kh0N3)k@YR)t@GO_-huX|6;nXHS_!RInlbEfiV<5y5N z;rX%k}UB?Bh%qVrd5rrk7i^#yV0LyPv))0(^<7(F~wK&<|p%tpKW~a z^FFt#Ds7xrXdK))v+MGjrB^>at*mI)Vq>zTDrr8Y_{FVN zMSZaTFY6X99H?rkt!<@hKc0Mj!`Cn3?9AjnF*rV$nf8x>B$Dc}zYaVHtJ3lGTo3;4r zf%@8kX(!Gae#yyI+h;A=QmWsw=snvGZeOxxas8Gh@452eRmJ5aRVzk{3lCPk@L+M} zNL9l~@zjG=ryaa$+s)e#TzSh?VZCSr$(mZJT%3PSesQf&6vK@3g2m^qY+Bhk>YvR` z&uwIG>Y_d=UAuXUPcXZ>cXsUQ9bR0!ZR7g3wHwy2efieym$o%Bb1m~|s`OY@>Cr5Y zbuVwbe9g8E7c5>JcJQP>w6(Wo6@Rtu-q*Pzd;kB6T^)U0z1@0pVHEa|KFQvF+eE4( z-({Na-)_p^C76d+_+)S1%fDHlebXtH!KcX9)JQ+L+I%YfbII8rnti#x%C7yjALBgo zH&*)h&_MQY<5Wx39d75=T0`bp$J=TUgcDt&r#=jl5i96d2fu?xn1VsaUB zo>rjVoS6O{z{$fl;t|LD9kSGppW;>iJl;02UnB4T#6fk^$-`cWN2KqB)$olt>C-sj z`mO`}HNa_SaF~yC@~}7I;TSlrl|u>Vq%?|dz{T;Zt<~ccZ@k0#PWV_~JM4Jz-i}ARGLcEE`^rBiWnFDsu+%^D%zOYnDc zea`zi_n*X*sVKdcc*U(9(t&K&<4?=*GyZfyC~M<&PZwkt7_X;s3nP9#xD@f)nXi08 zCjSb+GGj+)RT-P{uY*y#Ad}bqjpJ8;r}hC^IQOS)$Miw?a9=I_ZumI8pMa0kdp~>$ z2bugI0pj%jIeeVn$KaRaAd~+qfXW0i{wII|V>A9=GpwV+<9@*8^I&dH<&Nl(&rF2y zP!2g?xkEbYAMF0B{^!K?)ZcWmd(;_7&yM&s8Fw_F+HErWgsiQvySuARA0N}V*V&EJ zmb4xAOIP%Pr6r+!Y0CxGOO4f=BD^KSyg4D4p+C*>Ya)D2gm*^xwGr-%@L+`ZM)>s+ zrmxN8qJ8C<`eRt_h3b;yw+H-g{684*gJ7uO{Soyl$E{%XpQ4KbraD?V$Y1qX2jyM@vgtLiGh@>f4fdo!%QOnH?5^Kmx@tn@2i@;?oCYru=Z?+RFLp1#9m zG$tuul0Or7Prz#TpA5JGe1E{Jz`qx;+S5Y;bN}^Kbkp+^@WTP?UnPGLa4Yz+fY*Zm zTfiH@-wl}hlKgGJmxJkJOy@T6{|uNiOMVvc)!_dZa64@t*5oO_v^wBjSzl!SyC_gLGUXBem|Hzm_PR<-5KzQ!KB;#?*R`6{Bba8HGl3^s=7_y^k&$U^cns%_#FZN z4tONs2f*(OnER<6Qzri)_}+m30E{m4|0D2c0{#;)a^`;sOqk&>g5eGSIT&a7%iu=? z=A3>b;IDzd6Yyi;?*~kH>UH2-t4IR?yuu*5pIugZ-jNfTweFc@koSqFWg_{?^tE-_)vr?)7)R>=`v47 z_^Am0aulaEjx`((b`S3v>|5F2z#`v7`yp1Hd-hR$$-Ve_8>L&U=$G+CdCpB&+E`XeIL7^$+QD~R?cmc}unXMV%KubHm8yI_?0j2t@@1Fej4_Kc zbId1R_G0m~P~SrT zYNXced6Gw!`6kIC&XSYeBifXm@}u`pvOVa3CX`!_jBF(*^o_e7@KOX^H&7MQ=O==B znC5YwR^W_paiA}@Ij`(9h0zBkn$N}h2H=NrP9Am%9+AG<9q^17rcdd1eXj!hHNe7? z9OmPkJgg57$H2lbIFxWsDl<_JE{^wB_<9_3pR#ob)xAicy?HFYZyxqWc3(2C)Wln`hLUlGS9YaX*bl$7ILIEb#iiIZ(UXYiWN-V|KcTw?xE+Q;nG&=PUb9~H{;w{ z_g{VA_L~m9=g{=7^A27<fl+uwI>iH#V^v?|Iid7t{Z-vv&iLrUjV4_F0#A1`*T9vD(4fmPKV zgWc34K9f>dQd2$DF&F|CRQGoF??D%Y+`{VKYce^QQgv^4UsnjJPo_1Z{?mt1KdP~& zdhfuXx@+m1Ro$^Fz`E*=<^bncci7!VU_o_F03&(8j*u4cUX~^?k#GH)vQXET|5O zB>5E;Wyhe3Fa17cnH2W)4RN2kyX-DZuW~h)b_`uNs-xQqqHQ;xTv=EkExp})yL)3V zlx25Po$^=G=~(eeVX(^HYVj31n8MrUjN@DvW!v})faUSsFt+FsZG=3ooT5s zLZn6=b?G9RO@;1s3vefpn6}QNS2Jirv@SCP%Ek^lp`2F6=1So`M^1#UD(un~g%_7^ z2ly3RO+){{a6@MGH|!W**S&XJe}C^#gBlEb3>t=w%QsG}=g?Uq)$Qs!`}=nGT$?<5F-)ZTnms+eT|*6(slT-2+JT<#w#yqsLM`nbgPpq$ zlL1@2FYBqOMxFhG-7D>e?CR(R&~M0VMbA(N95>y#-5tZbI|ee3;T~N;$k0G{Cw<4L z*fl-FLvX0jiuVetZ}(uIX(gh4bX#WU=JCICw314exoJk(_DADjLg~OnK9JX|d)7HA`zwoO$8QIz~nQ`&g`b z>CBl7s>L+jc;d{NdV_7%qND+zX(y%`Up*VCjVG?gJeqz|as~~s=4A81!kU}ts%FG% z=O!7Lc_Oi7SI#<#U|ld<+RvXQ?Q<59;JGW)7tegjOsRd@tTSe{*1o8AUU~v~shgH~ zK!Nz?wSPzKMqVMYvHx^2N&Eg?w$!e<8m4tYZI z7tzL+soM?Cu)^G*`jKM|_3l4E!dN`HzouQ8%n|;gGvO8w6X??Wc`-+J_9rVN4;pFT zwcSHyegk{1=~D|Y^Q8anPF7}GI}d-7C5RDDFe-r@x- zEh&l1_X2@D?0oo0U!5u*cAM$b+(Ti??-rb211$a) zhZ4@o!!E@m*0&439;ftSs^t32s(=qv{I3AB{DOz;>wu5--3G7pNFTjzuI~+CzXn+R zzvNft;^bj_@rd=QoOO?-PtOV!CjD>2`8B}mP7ah|P9CQFu5t=Ao#%w(uBUwp0lx-V z^Gy!-g^P6ZuzSIA`ksK7oYJScou^OO>lAQ?^8WzN$@S^%bqYB9ZlWpyG#^wxrB9uN z?oFg`j`I2roRjNwe$1JR%Vgjqea1xjGCnFd!%;fm`=B~+w5x-x$pIZ z9RmYw2XIR~lg2`KpECJ9eVJU>s0|-99nP*NP4sm$mRRbnEDhJdlNH0t5|)Tz)dgn4 zhIOAqBY=!;I#p(K5h)S1g3axUsMa2`(#*s36#I38NK-%o=HdkhG*iQ>-U8; z)j1}PT%L5~@}wh|FGcd?*WY-Y+)4I>p2DAz9>-rC#VVhCQ!4SgjM`fM1%pVYaPg>2 z;R-OfTvWI!V9KEI>VT=6h0cH}lftzDhce0IBJVjSk2$6u=D0D!)W_U^14Zp+wJpN! z5$=ufz6c+P@JNL3cHFS4<*5Fj(9+m^6wffH-Dp*Yl7W^{;`)C=A#MCz^U#^I3Wdf; zPJdu=q0m%J8gH7f=b0yUm)0#g`K0=jPhNWR^Qg0hA2$|%+{88fGl55*hgy5_T%YW!OxsxbEMB(n=W*pJiGAXf{Y|BrjYO^m`iQFJcU1!u6|6l*Z0y zviVLn_lagr*)*zrG86@uPpW=hb0W`g$gAbKVoo&cR(v<$H2!J)p-jw{JU#G?gGL_@ zXFvH^wHyzX=R|UqbD~d#>s+7W6*b`;17|&^15W8v9rN@tcE+~4_Ti0dt6zqkrxjRe zhkY@?$-}UJ%HsVlvWiRbDt{ht8`!S_7C*@0jW{O{dnF!GKIS|D&)9GJ^i0n6T?h7S zGb&Ir$jK2s_5*K)tbG@-y zxa!HWh<|N{b+qdT0ZJES{ObX6{5Qj^eLxnj`=#=OjMtnt)Fb<^>!#;I@V5hA z5B^@j8^Avd_!2N}-{d!e|0&?j;GYM41^8bBrd%}_Hu)>Tv{l2DvHA$ZuL3hp7=AVQ z`2n|sDI@dm1XC`CyTO!&VeWfM{tfql&knekG;m)J_XhjCZqSG382M94s}c7&_m>Rm zbISecr*h2w&N2OJjvFI`vQ96x%kSFwp^SRZ@KtJwB_PQlizaDTfXJuw6R+* z@FHdUY~)3HAkA$|Pa?gA-YS_Ag*T*OJI3^Mc3Se~-^!uM1S@t~ET5B>_UuF-I<{mL zdb~OC@+`=XFN&?;!n+S2o1_bGkaS^9`3lLeIHP~|Haj%@``S)1PG>}~`YY_Jn0V{N z5%*pE8g^BjmKJMm!HdPI*=h03Ggx3Ro@qNQt~bmd5303T#i0Bf++lIJ9TjuRcT}i} z(154beUG#M#^}D)Q^#(yv$$*yhh2mnpREacpLxXphS*Blm_LY^Z}!fLXsPWvxS^p$ zer2a=ej$=2<@pxRe8uPm5eCkFkOQ`&&F^)+HJRwcBy@ z;Bu8~carloN!qdI7mk6mpW>kO%*Rc`dHU9XT{qDF)BBh}AP-aCVtraWz)m)O%nx#X z8gl&_U{U2)!Z~>u^SD^w9Ob_rr}SY5psvExRY?w zqqypDx;K%&+0v(b=j8gFA9Lp7G8y+jf0VUswP2Z9@Y+y}i1K^x1R!g<$GW zj^Pd0q>O>RT&YtzX8M_9 zuF0^ToY~Hf?61BP{@1tp+?J!5Cs*yan$@B-F;C`e$-Q+2p8%WZf8c}e&E4dGY9s7% z^V~jpiS^#6mCs7;xqa4%Skn`Qo!so(wujo;tOEc3tn<&i3zHpff?I#pf7wj>k@a|U z^|Jn2nIN&UQ{DfF_Go5`W=3bna7VK8nj!YaK4%^l%Z^l^NIz_(9?A11k1F#WYe$}+ zT^2$|9(^mPUE}u;a6#Irsyjp@26!)M&qyK#uU%5HNc|mC&uEO zJWO#o1{NRUP{KJ$r>GAX$J-8Hk5jy{z41fyQ0>tsF ztm61@fLC3GO#Wto$`3OBoq$lEjDHV&oZb(?$Lakzdim()%U&%yj*{}Cq9v=5!>U|yH}v{sWp95cr)(NB{?6vGSvr*J>E2$c z<2mNO=9s#jV{S=~sna>8KIfRaoa0i2sl&NH_c+JY-5gVIbKDZ))e)vW=Q6DkUKimF z5#AKx%Okuk!aE{-b%fg^+!f(n5$=ufK!k@Qyf4BxMtFaO4@CIZ2;Uarkq94*@LdtU zJHq!w_!AMnFT(dn_<;yN7~zK^{P_qUitxh`ek8(=M)r0m7z?54ICx@RjYug&bivMJsytBuEQ zb|)Litih@*-Pw^kSw^#vxd|WlKjQpu{O1kNnfYCbe5hY>8%ZtG^96L9v-1CuGw26R zj=sgNSApre(*v(QOVnz|(S!G4%kiqgO(fTZOf^+O@^Xp140=2y+U65^I29@nlPA2_F_VE&KD``)cD?c$@^8HI9%PJP3@<;( z__F{Djm>!Niz`L^8nEsMWb!WuEHgIaUzK4UExZ#D$A2As9RDzUXqP7cCU~V8GXAZA zP@jx{7kr%F_rb^M{Rn&s2bui+fH=L}hqCnk7kJfe$mAadG#Z=ne+3?h_jwb(a zfGB_VTp>z-svW!-$yxkJ)h}7c#O>If!pI4)AJjqI$f}S$4k1qo|{S#HD^bg7n?5Qn% z_PVC=UhnkldP7oZyYx%H!VW(6gACoUo2OiyhX=Fs;lDFEX7^F~{{YM84blGxLoYhH z(|FHx;w!x$UWBJGL$FcS!v%(u%W3UfWAsFFvgQ36psfPDT_BK$$<7G2f4q=Y=scTm6}6ov3;B(Y)(?TD_&x$5h@E@ZRTPsp(|_KR)?Ab$8C= z-~6)NcfZH*xsN5cKmT2)-0_0hg{C=4)7-S_wiCDoFQh^hn`TpLkIk5UPWDd&-V<;rFM%Wp zcxw1O{=PSuwT83GhtGuf(XHt1zn*tcuITC+8t54A+%@KtgAeo806uodf9d0Y>}T7d zv;XIRiPoD3XF}h^D}O&gw%*lbKBw9-`;UJn`Y1}Wmj%p?zUGHMF3bMFpC4_pOvym< ze`Jy7Z+6dP%hVAAK6cDEP}si$=yKwIqVI4;@GF`Ylio~0^BQlxEna6)3p|x}d6S*h zDP;foUj%;U;oS)z>stoj zj&t&`+wq9?JpkX1lfJn)FPBPt=vKnCpTNoW>Fjj?ShEiH9)O-lEyYP+9j?M2s(q5= z-i5!D>vP`Ex&Jd<6V#rAK(=PJ2u5jwj6V|)%G&s5c-2qHc-6~=#%BBma4F)qfa@b( z^+IJ2+4a;28_*ktpNs7cMwHQ`nbQ4KDCFO;7Lom%003|OxH&|>9POwpDI7X#pm}c$ZL!;GX8Lb z>(nCS=py6Lz@EXL{yjsyI&?TW?6>lIn+P8LzyBt^8+&tK_eQ-?cyP}ESK24_5X$(n zH|UM?PJa5JMN7i1qAxS7cE-48SapedXjt{^)dB0a61Gfra|B1&nO;v<)0a733sxT~ zVr((2es6QYs+-#bR$M#_Fd5AYuL)T7b63D>CyeJNBfWb9)}FLC1gv)bmVh6e$(||7oKOFEn@LvRc z5%{ryDUbYp06W0+8J0G+`yU2OdFSr~Xb1mO@b3iwJm8(+e+{??{B*#*;Oc0dn0r+6 z`@;Rp?-BiCj*)*h{-d9-XP-~~@ic6R@HWQ{&8v>;Kld%?w6tWi?#b-Am`U9zj_$e0 z{&W9@ErVNMLco2?mhIPzZ2E6$n!RjZ&GobJJAJVJk(VynTVKC->7i0h&#W2OocH+L zWqa!v?_FB++F6H6wc_nN3Vcg}Z!r8->8~5VTjFkhoK@va%~I}eQXe>1}b z)Qk$By^F*5t4@%ants||2f$|%=A@@(qmbUqIyw@Cx4gE6uR?sK@|z2rXqs(v)6+Cv z({~qu>e=+&1)zURBHdQkZJ(S#=r8K}d%H{|nU|h-gg7%b(c1@zE4g}ZdfsSU=XqR& zK;zBDWurQ6iLLj-KO7hllz2PTfy=O4y&$P7`x!efpjzJ}nK zeExymW!b(m7RjdB`qvy=NaILbt&5IT=FidA%XqCp@*911!RdS@f@Om7-(aA(7|ktz zhe4fx6=L4_mt-vq%V+9A@A37Z*KXY5J{M6(KY1?_vaCg#ISBh_B(N-vh>Xf z^Qo}(+{D>?cFBvXkiprCgJXqd_*Ype9rH{x@+g0sY4$|(7=I!nDUnOr=gb3)S~z;? z$U~(f(!9zsuuf|$*zmT-qU#k#s~fX^s<~oJk`*n-sf4jAj1DJPxqd1+#pC2@s#rg* z+l4?qPU+N~)6=&G>}dcdcawzs1p;~4`S7kASa%zT4LIr3dW!4Y0`_a)uhI4X#@5LipcjBu-h!?nzD_rq&;) z?^bxpDScXlRhaT$u^wBi@_Yd2k85%ed2X*yp96rVgM&M;+$Nc z^JC6jTqXk_=`$wEm+?`#8Lu^voVZx`BPV#JF(-K4|D51e|8s&@zH)+BndNB=fw@co zr~3tgZw>q=7G-p8J8l!M&iEbnt_7?t`Xa-)JE3g--NvoAm9ALQlZ;;QoNgdtpz&ln-xJ%j78^+ZUp1 zxz~kTg8$~Mfbw+Gesa7Z!o-pLH%9pU2opyxvn|5y5$=ufKJKa4-2)LGaqN{)3o!a` z&qRAQR;@m&|MWa;EtC?2?7Q^5tD^Yh#xJ!N3Tun&(!#nz72j+qtYx=`tq-Ye)Nf6_ zzv1|Ke?y;*6pP31p|IY~D%Mu30R9RGW@Fiwg=|j($80M@#a@Lx6#o;oZz12YFqn-^ zc`qRC3(IQqvHD-oc zk1F#`l0}>)$IxkXc{uyY`=c}Ppue3^ZaFgXn2)}3pXuw_gX;#`d-n$cP9An19-dZU zEq%_UzE3Y3P|nHqU4lofkG|EOb;>SLx)r84UWM~(fQ6rOn2&SvFfGD423j$eT!^#E zOvKn!7VjbWdYs~|#d*A{d$GO}JmXGI9`;6j;(R;_FFEN``dwdz4Ov`G7-Mx#u21L4 zhOF*|ZO5+;w-hIR!WA}T&7&k&_tMGrIq&D(e-h91qjXq_s!$boAbTdQyoUT6UxE*1 zZTySiL%A8>3?J&h@h^if;UMET0_u&;cy)ryA|5-#$>gtti{l@HkK?})UiSeq`L_Z> z9*ln%e5g;xzYji6??>R{^nMb)go8}}K|q||L-28WHTPJKgG~NgfJS38{%^qp5&!cH z>nQnD{YI3(%K!DGiaXAaE;Qn1#qD1$LUkr5_(~f-#FL2-uJ(`8`tL;`DgaOp@!z>^GXp- zY&ZL!=*c{nE&kMcsTOiHj@>+M?X;z{GV6I-%ZsNB=-MsoH?3c@b$#1qYc5@X!Ev_< znU6JScv^Y{1A2E)U&a6BOGj@*$M$rF=a*U4d&aS=STUNUV`-$1XQQ8`ghezn*y!qaAlq?5pOBmu{9XQtw!5uIslwb`U;Yj?XJ8_UmZ@iWu$M$cS&wHeon5>c|Yg=lbAz9`YkfW9hJS=#JX=G z|HjwDhq5+aHfL;;p9`;RAPZj$D2WUFr2y%GEc`0KGGjCT+6?Px;r)O({_El6`1ixB zeLyDv4uHxJGG6yN<=g*6isV4#iD> zvv`S@Jyp`%eKY-u;|svtCZn|hb6fNO!BvAd2Y>Z9+)I_^udO;{=gjHu;wkd1Y82YGhpT6j)2bulctiVpL9HXa~R^HAIQs! zHlAUs@X(6>zWlYj6Fp~WZfps2p-DYwc+z^>7GhUFlFe->OJ*}Sx4v~v;q1bDTdSi9 z%{LmOe{oSBQ+suevt8ub8vhH&&S|WYZMAyf+jJI(7lnk0NdEXBo~+~(n&~#h$z}Ks zBwKt6n$9Gt8qn^{%qZKkv)C*5vD&SpvDK`dsw|_~adFIm7bA0%owI;{&vTME?_Ma; zI;{$z_7M*1gVlHIR!UC%>48@tF4FpIOx|xU$5UhfL~@mLk{w**X_8*YO%Vpxev5;r z??`i!t4aQY$U1rYD(56J9b~sVxm?AZr1l8}?#8KHls_+*$~no~340yR$@NvtNoL-N zKqF52+zwN|D&{1G|A!xabWX0Xa!#Urlya$2`%8KAvINe&pF=%P@yh1%eB23k-N2&K z#u$~8huw}(tnV>+$w{BaYuBgo+UtPe1`d;%lW=`uKe7|eNe+p^#D5^)0$-f1lx&axl`Wfnz@$ZI@)B6GVIK3Z(FX14Q|6M?w-am$q)B9iH zm*XIl|2jZ*2{QhBfB|DO{;3S>sBjxTqWq<@@1pcqKIa&{n#y)8<|OI|ji~S`zZT~$ zk)vbW)YM~wsfUWHt-o))DF(MPo?o0nIUBvm?PNI0-E4aW(54KlziAD)8hlZ}Gr*ey zR(swOu=>9p0qgeB)=ZE3vaWzn0>3t3wUdE>PX!MLTmlnc$9B1={8_iBWb=-LZ6|H=tz-Qp?je-+T z6!Pgp#rp}zOc%2M6pa4Qhvt-MFA~!fi~cwLd_!Y;Oh>f`={SFm5$8RO%azYDYQIb2 z(C*C!R39ig@fs_|yB+una7^A`F2_?g%tUgPbB3$A#?vIdj+-J3to;cGPv5iWrMkm)-IcF##Am^ksiYn&}kK$L4Q@lr-Gqe&OY)Q~)xm3;>o(gi(cceK3 zWAmiu4CJ-2AK8iK43x92AtP$!<>I`bbN^>JXHeY=WHztvMW~y`FN6q-war0Y{sj81+s8G*NEfqhmYgm2OrXG@>&m(Jp>v54nU|+ z#@`7ar}u;KaeD8CFX14Qe*h4t_w(>^djA}LISw-U#{iASX8iZT0};2TDJa)OWUorQ;T%0viP|B(}X+?7ta-zw0c+lX zA!PEp$Bbo$bqi^4hSmRc2dsI+bpfkBzAj*`7cfUR`9 zdsKUxxZlUv#qY-EeXId*I{vWvkL>h)n)g zKs2V?dspXMa29?SI8N_9@UcyDAAAW1nZDl##OeJn@Ns${g;yCsCjZ|6jmBpDli-1f z&mcz%yDAyFzK72Uv~~9LD%ySe`sII!k-fH|+5h*JjTRHFO|`U~a};Y+)vZ>#SHrjkBI?O7BH;Vpytg;EKA-K# zb>e28G`ruMnVp@Pot^jQ&FoIPaP^U=Td^(3THO|;{kFy}x2;*%v})buWYcS%i2CxI zO~0#edb4TWx>X(5TAQz}d3?J47s=!ub=Wc_mR|9Hzlv=l(%xE!Mv7F{+Abn%xr@lj z!BYoLKb+hojRgCNU}?gCU4xPHg=xp2y$2b&tYl;&>884??I|)=EY#dnBwP7WpUj|_ zw-<@cySqij{(@mQ2j5mX6YorJwU0;{zeikOx$roe%Xil{NGJ?-&VN<4h03+>f>2!L z;$Wwe+YMK}%Effcr*ZVlZW!0Q$)Rc+m|VmdYD=~HUK-0`wRHv;-76g(->vHmD0gk| z67&=J$Cg`vkn#K}Yu};%y}(<6&*S_BFV0EeR*iEOc!S2FoFg^B5pzuj<63^`PlD{N z@s~d*do2#-uERBS!ewsfwEEGy;VEwCY%aiW2#&fG^)b|m=qT@39-?39?|QZqR+Y7q@f1fooK^0dn`{xzodd&A-Hf@t8l_DJ*~6fjwQ9*{Q1Q#_gP!5bx87Y-Ht# z**Tm44Aqyq5bw+K*?nVn&icC$#x`tZ>Ba1vEq#cPhGTj)?40%AMY!sKK<=6F9J6!Q z{}g^H1}ND(5VLc(JcjT#INq0Id@IKjz?N5fzly_UI3o*t93H$zspVM!#$$T014Zer z2evi-qe&JqiCLct5}22Jh$hIq)eski>rxz|ZeX;Qjpm20Z%!ki>rl zfbAS4{9gekgmwJC9OjYXNqeV_=h*oyLqTXON#5&%aNe^ekB7GucFt{jZ&oVv^MJ<# zCWmmiNIwau%+}wc-zo#7gMOezb0+jyV27JsCAaM@S68QQx3kWElGE8SBvg20x!nhTa2D)<1-o&}{K~5Xx($ zRpIiiEm*cD88IS4Jvd^4D_)~C3mLAX61^`zd z1Ph&PPW#Lo$nKOShO?#Tc?pdCgMCKqBYb`vTqOy=9PKmK|3}Z3sA|H1V5enbQw?VDpUM=jFks@i9ypOx@npV^&Z8|TRS7@j@-d_@8M(G~bnS9%{D#{y7wW~|BFfp{DX8CiP9+d1-|hkowm zL-akUWMTdkb&ztEDf;}2z-=D?67Xh^U*!2ymokrd81>u}BFsl}f^x=uWMfCRNB^N3 zWYcV-X7}E5h>DuH1PZb#pJ*R0l@jgYdx0RED*O5Ybi;mMk1>Ri$Og_~Q(l9Fp9E+S z*72VMR-1{0p9W9a4ibKu*^XS!c?_~?=Z;+euGwrckWJgNPAUc2RF*)Mq9(|u(r3n| z^23RC{KwLrj~EK$rl_y6@l23SK{jclpzMsVJB`{)kWGDa$foXe&q8EVW@~x@>#SCs z673)>&%^dx4jU^k(|zGSBBrWMGk?Og<>>fPwbYf;1| z$Mq2`55qXl>W8yy0P8TX!v9)CWMNp_>!;V40?)WikNLLrJ_&5s0MmBYq8 z3|KdfLkiAF$At0y+#2^GLK__K%ei*DFWa7f-z(rTcNCF@eI7o%MyYWMfbp0f>%-Dp z4{Xm2u!`Ki>{U%P;&!@c#JwgW$E^B%D&|J#Zl5KMIh70}0P|(I%|p2Z1+xe8FKJCH^RY ze}C4$e}6t7J_nG*{}KS}4vo_xm9> zCap&o1w7w}h`^&BlUf$JX%hS%iy)bZ3zb{q_XhL)dZ+3J%7vq)i9CM^A$z-Za>E7p zwN5FK8!r|M>JM)&$^)g*AE4faJ_O7)Y9#dIg7KJ^Za%%EJJY=&J+{aG12Lbu>7d8D zsq44H1T{ARr&tSmY|vxbUEMT#EcGzTZ|ZA{p~q5JKp(y2dTbx=Y2{c9J$3@=-6%bl zI=_+0I^*pe`3F5#^va;eYRd?EEItl@Xy?KEbECt>!-|UIg~UUp;^eN${8(}S(a#pk z+~BdP{HS;>o*tX2&|}>P=lW4PpVCdH!)6yWPnZchY;MmjxsWC3u-e52Tdb>yyK$ne ziDPckY&KikOnh6+V%uVa4lC84h}&X=4qIsp4Yt@|iyhHl&X(LcKM5UCthA;4qywWP z$BsjV6i-nh9ij^PU~zK1IO-{pR5K?=oRT?Fa%Uza`lsv^D=43FKKU*^XZ76e&iH@P zNd9;UTB+!*E(14MhwYlF!*<=gI;{PN-C1Mj`oAu|5eolppZO(F<>I*TjZpj1@k7U) zXr1?w-^b84gKzqTIN#@!*=;t$lh|~p+2X}@tl8qlbu7fII^P|ehh5Nr+pi$M)Z^y* zMu>BCMpj!PTw=MK5p&*@tbP6l67q+X& z74%6#I=NLfg>wHa(q$C9u5J;23jEu6l~B3#G8+/xtensa-elf/src/xtos + +# Copyright (c) 2000-2007 by Tensilica Inc. ALL RIGHTS RESERVED. +# These coded instructions, statements, and computer programs are the +# copyrighted works and confidential proprietary information of Tensilica Inc. +# They may not be modified, copied, reproduced, distributed, or disclosed to +# third parties in any manner, medium, or form, in whole or in part, without +# the prior written consent of Tensilica Inc. + +# +# This makefile assumes GNU make features +# +# Invoke this Makefile like this: +# cd +# make -f \ +# MAKEFILE_SRC= \ +# XTENSA_TOOLS_ROOT= \ +# XTENSA_ROOT= \ +# INSTLIBDIR= +# + +ifndef XTENSA_TOOLS_ROOT +$(error Please set XTENSA_TOOLS_ROOT to the path to Xtensa Tools) +endif +# NOTE: For now, we assume $(XTENSA_TOOLS_ROOT)/bin is on the PATH. +ifndef XTENSA_ROOT +$(error Please set XTENSA_ROOT to the path to your specific Xtensa core package) +endif + +#ifndef INSTLIBDIR +#$(error Please set INSTLIBDIR to the path where libraries and objects are installed) +#INSTLIBDIR = $(call fixpath,$(XTENSA_ROOT)/xtensa-elf/arch/lib) +#endif + +# Select the specified Xtensa configuration: +export XTENSA_SYSTEM = $(XTENSA_ROOT)/config +export XTENSA_CORE = default + +include $(XTENSA_TOOLS_ROOT)/misc/defs.mk + +ifndef SRCDIR +$(error Please set MAKEFILE_SRC to the path to the XTOS Makefile.src) +endif + +# Native commands like $(MKPATH) and $(CP) need native directory separators: +#fixpath = $(subst /,$S,$(1)) + +XTCC = $(CC_FOR_TARGET) +XTAR = xt-ar + +.PHONY: all clean + +# Compilation flags +ASFLAGS = -O2 -g -mlongcalls +CFLAGS = -O2 -g -mlongcalls + +# File splitting: +# Compile "split" files in separate parts that can be linked independently. +# This allows keeping multiple related functions/etc together in one file +# without forcing linking of unused functions (the GNU linker takes entire +# object files; it does not currently provide automated dead code removal). +# Files are split with "#[el]if defined(__SPLIT__)" lines using normal +# C preprocessor syntax, where each must be unique and consist of +# lowercase alphanumeric and underscore characters only (no dash etc). +# The makefile function $(split_objs ...) returns the set of objects that +# result for a given list of splittable source files; each ends in a -.o +# suffix recognized by special rules further below. +# +split_objs = $(shell cd $(SRCDIR) && $(PERL) -ne '/__SPLIT__(\w+)/ and $$h{$$ARGV."--".$$1}++;\ + END {foreach (sort keys %h) {s/\.(.)--/-$$1--/; print "$$_-.o\n";}}' $(1)) + +# Vectors, handlers, and other code to build: +PERLEVEL_INTVECTOR_OBJS = $(foreach N,2 3 4 5 6,int-vector-level$(N).o) +PERLEVEL_INTHANDLER_OBJS = $(foreach N,2 3 4 5 6,int-handler-level$(N).o) +PERLEVEL_INTINITLEV_OBJS = $(foreach N,1 2 3 4 5 6,int-init-level$(N).o) +XTOS_COMMON_OBJS = \ + reset-vector-unpack.o \ + user-vector.o \ + nmi-vector.o \ + window-vectors.o \ + reloc-vectors.o \ + $(call split_objs, memerror-vector.S) \ + $(PERLEVEL_INTVECTOR_OBJS) \ + exc-alloca-handler.o \ + exc-return.o \ + exc-sethandler.o \ + exc-syscall-handler.o \ + exc-syscall-c-handler.o \ + exc-table.o \ + exit.o \ + init.o \ + int-lowpri-dispatcher.o \ + int-sethandler.o \ + intlevel-set.o \ + intlevel-setmin.o \ + intlevel-restore.o \ + ints-on.o \ + ints-off.o \ + switch_context.o \ + $(call split_objs, deprecated.S tiny-refs.S) \ + $(PERLEVEL_INTHANDLER_OBJS) \ + $(PERLEVEL_INTINITLEV_OBJS) +# These objects have simulator and board variants: +XTOS_SIMBOARD_OBJS = \ + debug-vector.o \ + double-vector.o \ + kernel-vector.o \ + interrupt-table.o \ + exc-unhandled.o \ + exc-c-wrapper-handler.o \ + memep-initrams.o \ + memep-enable.o +XTOS_BOARD_OBJS = $(XTOS_SIMBOARD_OBJS) +XTOS_SIM_OBJS = $(XTOS_SIMBOARD_OBJS:.o=-sim.o) + +# Optimize a few things for size rather than speed: +#FLAGS_exc-syscall-handler = -Os --no-target-align + +TARGETS = \ + _vectors.o \ + crt0-app.o \ + crt1-boards.o \ + crt1-tiny.o \ + crt1-sim.o \ + libhandlers-sim.a \ + libhandlers-board.a \ + libhandlers-min.a \ + libhandlers-null.a \ + libnomovsp.a \ + libnosyscall.a \ + libhandler-reset.a +ifdef TENSILICA_INTERNAL +TARGETS += libhandler-reset-mp.a +endif + +all: $(TARGETS) + + +# Here's an example of how one could put a selected set of +# objects into a specific section: +# +#XTOSSECFLAGS = \ +# -mrename-section-.text=.xtos.text \ +# -mrename-section-.literal=.xtos.literal \ +# -mrename-section-.rodata=.xtos.rodata \ +# -mrename-section-.data=.xtos.data +#$(XTOS_SIM_OBJS) : XTFLAGS = $(XTOSSECFLAGS) + +libhandlers-sim.a: $(XTOS_SIM_OBJS) $(XTOS_COMMON_OBJS) + +libhandlers-board.a: $(XTOS_BOARD_OBJS) $(XTOS_COMMON_OBJS) + +libhandlers-min.a: user-vector-min.o tiny-refs-min.o + +libhandlers-null.a: $(call split_objs, null-vectors.S) _vectors.o + +libnomovsp.a: null-alloca.o + +libnosyscall.a: null-syscall.o + +$(PERLEVEL_INTVECTOR_OBJS): int-vector-level%.o: int-vector.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_int-vector-level$*) $(XTFLAGS) -D_INTERRUPT_LEVEL=$* -o $@ $< + +$(PERLEVEL_INTHANDLER_OBJS): int-handler-level%.o: int-handler.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_int-handler-level$*) $(XTFLAGS) -D_INTERRUPT_LEVEL=$* -o $@ $< + +$(PERLEVEL_INTINITLEV_OBJS): int-init-level%.o: int-initlevel.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_int-init-level$*) $(XTFLAGS) -D_INTERRUPT_LEVEL=$* -o $@ $< + + +# For split-source rules, determine various things from $* (extended basename): +# +SPLIT_SRC = $(patsubst %/,%,$(dir $(subst -c--,.c/,$(subst -S--,.S/,$*)))) +SPLIT_FLAGS = $(FLAGS_$(basename $(SPLIT_SRC))) \ + -D__SPLIT__$(notdir $(subst --,/,$*)) + +# (Okay we cheat a bit, CFLAGS matches ASFLAGS so this works; it's also simpler:) +%-.o: + $(XTCC) -c $(CFLAGS) $(SPLIT_FLAGS) $(XTFLAGS) -o $@ $(SRCDIR)/$(SPLIT_SRC) +%--sim.o: + $(XTCC) -c $(CFLAGS) $(SPLIT_FLAGS) $(XTFLAGS) -DSIMULATOR -o $@ $(SRCDIR)/$(SPLIT_SRC) + + +%.o: %.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_$*) $(XTFLAGS) $< + +%.o: %.c + $(XTCC) -c $(CFLAGS) $(FLAGS_$*) $(XTFLAGS) $< + +%-sim.o: %.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_$*) $(XTFLAGS) -DSIMULATOR -o $@ $< + +%-sim.o: %.c + $(XTCC) -c $(CFLAGS) $(FLAGS_$*) $(XTFLAGS) -DSIMULATOR -o $@ $< + +# Explicit rule for crt1-sim.o to avoid using %-sim.o rule above: +crt1-sim.o: crt1-sim.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_$*) $(XTFLAGS) $< + + +# When building the reset vector, leave the literals in +# the text section, so that the reset vector can be placed +# at address 0 (there's no lower address for the literals). +# +#FLAGS_reset-vector = -mtext-section-literals +# +reset-vector.o: reset-vector.S + $(XTCC) -c $(ASFLAGS) $(XTFLAGS) -mtext-section-literals -o $@ $< +reset-vector-unpack.o: reset-vector.S + $(XTCC) -c $(ASFLAGS) $(XTFLAGS) -mtext-section-literals -DXTOS_UNPACK -o $@ $< +reset-vector-mp.o: reset-vector.S + $(XTCC) -c $(ASFLAGS) $(XTFLAGS) -mtext-section-literals -DXTOS_MP -o $@ $< +# +# These libraries provide alternative reset vectors: +# Without any unpacking: +libhandler-reset.a: reset-vector.o +# With experimental MP unpacking: +libhandler-reset-mp.a: reset-vector-mp.o + +%.a: + -$(RM) $@ + $(XTAR) rs $@ $^ + +#install: +# -$(MKPATH) $(INSTLIBDIR) +# $(CP) $(TARGETS) $(INSTLIBDIR) + +clean: + -$(RM) *.o *.a + +# NOTE: Header file dependencies not specified! + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/Makefile.src b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/Makefile.src new file mode 100644 index 0000000..3d135c1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/Makefile.src @@ -0,0 +1,256 @@ +# Makefile for XTOS in /xtensa-elf/src/xtos + +# Copyright (c) 2000-2010 Tensilica Inc. +# +# Permission is hereby granted, free of charge, to any person obtaining +# a copy of this software and associated documentation files (the +# "Software"), to deal in the Software without restriction, including +# without limitation the rights to use, copy, modify, merge, publish, +# distribute, sublicense, and/or sell copies of the Software, and to +# permit persons to whom the Software is furnished to do so, subject to +# the following conditions: +# +# The above copyright notice and this permission notice shall be included +# in all copies or substantial portions of the Software. +# +# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +# EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +# MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +# IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +# CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +# TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +# SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +# +# This makefile assumes GNU make features +# +# Invoke this Makefile like this: +# cd +# make -f \ +# MAKEFILE_SRC= \ +# XTENSA_TOOLS_ROOT= \ +# XTENSA_ROOT= \ +# INSTLIBDIR= +# + +ifndef XTENSA_TOOLS_ROOT +$(error Please set XTENSA_TOOLS_ROOT to the path to Xtensa Tools) +endif +# NOTE: For now, we assume $(XTENSA_TOOLS_ROOT)/bin is on the PATH. +ifndef XTENSA_ROOT +$(error Please set XTENSA_ROOT to the path to your specific Xtensa core package) +endif +ifndef INSTLIBDIR +$(error Please set INSTLIBDIR to the path where libraries and objects are installed) +#INSTLIBDIR = $(call fixpath,$(XTENSA_ROOT)/xtensa-elf/arch/lib) +endif + +# Select the specified Xtensa configuration: +export XTENSA_SYSTEM = $(XTENSA_ROOT)/config +export XTENSA_CORE = default + +include $(XTENSA_TOOLS_ROOT)/misc/defs.mk +include $(XTENSA_ROOT)/misc/build.mk + +ifndef SRCDIR +$(error Please set MAKEFILE_SRC to the path to the XTOS Makefile.src) +endif + +# Native commands like $(MKPATH) and $(CP) need native directory separators: +#fixpath = $(subst /,$S,$(1)) + +XTCC = $(CC_FOR_TARGET) +XTAR = xt-ar + +.PHONY: all install clean + +# Compilation flags +ASFLAGS = -O2 -g -mlongcalls +CFLAGS = -O2 -g -mlongcalls + +# File splitting: +# Compile "split" files in separate parts that can be linked independently. +# This allows keeping multiple related functions/etc together in one file +# without forcing linking of unused functions (the GNU linker takes entire +# object files; it does not currently provide automated dead code removal). +# Files are split with "#[el]if defined(__SPLIT__)" lines using normal +# C preprocessor syntax, where each must be unique and consist of +# lowercase alphanumeric and underscore characters only (no dash etc). +# The makefile function $(split_objs ...) returns the set of objects that +# result for a given list of splittable source files; each ends in a -.o +# suffix recognized by special rules further below. +# +split_objs = $(shell cd $(SRCDIR) && $(PERL) -ne '/__SPLIT__(\w+)/ and $$h{$$ARGV."--".$$1}++;\ + END {foreach (sort keys %h) {s/\.(.)--/-$$1--/; print "$$_-.o\n";}}' $(1)) + +# Vectors, handlers, and other code to build: +PERLEVEL_INTVECTOR_OBJS = $(foreach N,2 3 4 5 6,int-vector-level$(N).o) +PERLEVEL_INTHANDLER_OBJS = $(foreach N,2 3 4 5 6,int-handler-level$(N).o) +PERLEVEL_INTINITLEV_OBJS = $(foreach N,1 2 3 4 5 6,int-init-level$(N).o) +XTOS_COMMON_OBJS = \ + reset-vector-unpack.o \ + shared-reset-vector.o \ + user-vector.o \ + nmi-vector.o \ + window-vectors.o \ + reloc-vectors.o \ + $(call split_objs, memerror-vector.S) \ + $(PERLEVEL_INTVECTOR_OBJS) \ + exc-alloca-handler.o \ + exc-return.o \ + exc-sethandler.o \ + exc-syscall-handler.o \ + exc-syscall-c-handler.o \ + exc-table.o \ + exit.o \ + init.o \ + int-lowpri-dispatcher.o \ + int-sethandler.o \ + intlevel-set.o \ + intlevel-setmin.o \ + intlevel-restore.o \ + ints-on.o \ + ints-off.o \ + switch_context.o \ + $(call split_objs, deprecated.S tiny-refs.S) \ + $(PERLEVEL_INTHANDLER_OBJS) \ + $(PERLEVEL_INTINITLEV_OBJS) +# These objects have simulator and board variants: +XTOS_SIMBOARD_OBJS = \ + debug-vector.o \ + double-vector.o \ + kernel-vector.o \ + interrupt-table.o \ + exc-unhandled.o \ + exc-c-wrapper-handler.o \ + memep-initrams.o \ + memep-enable.o +XTOS_BOARD_OBJS = $(XTOS_SIMBOARD_OBJS) +XTOS_SIM_OBJS = $(XTOS_SIMBOARD_OBJS:.o=-sim.o) + +# Optimize a few things for size rather than speed: +#FLAGS_exc-syscall-handler = -Os --no-target-align + +TARGETS = \ + _vectors.o \ + _sharedvectors.o \ + _sharedvectors-for-reset.o \ + crt0-app.o \ + crt1-boards.o \ + crt1-tiny.o \ + crt1-sim.o \ + libhandlers-sim.a \ + libhandlers-board.a \ + libhandlers-min.a \ + libhandlers-null.a \ + libnomovsp.a \ + libnosyscall.a \ + libhandler-reset-unpack.a \ + libhandler-reset.a +ifdef TENSILICA_INTERNAL +TARGETS += libhandler-reset-mp.a +endif + +all: $(TARGETS) + + +# Here's an example of how one could put a selected set of +# objects into a specific section: +# +#XTOSSECFLAGS = \ +# -mrename-section-.text=.xtos.text \ +# -mrename-section-.literal=.xtos.literal \ +# -mrename-section-.rodata=.xtos.rodata \ +# -mrename-section-.data=.xtos.data +#$(XTOS_SIM_OBJS) : XTFLAGS = $(XTOSSECFLAGS) + +libhandlers-sim.a: $(XTOS_SIM_OBJS) $(XTOS_COMMON_OBJS) + +libhandlers-board.a: $(XTOS_BOARD_OBJS) $(XTOS_COMMON_OBJS) + +libhandlers-min.a: user-vector-min.o tiny-refs-min.o + +libhandlers-null.a: $(call split_objs, null-vectors.S) _vectors.o _sharedvectors.o + +libnomovsp.a: null-alloca.o + +libnosyscall.a: null-syscall.o + +$(PERLEVEL_INTVECTOR_OBJS): int-vector-level%.o: int-vector.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_int-vector-level$*) $(XTFLAGS) -D_INTERRUPT_LEVEL=$* -o $@ $< + +$(PERLEVEL_INTHANDLER_OBJS): int-handler-level%.o: int-handler.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_int-handler-level$*) $(XTFLAGS) -D_INTERRUPT_LEVEL=$* -o $@ $< + +$(PERLEVEL_INTINITLEV_OBJS): int-init-level%.o: int-initlevel.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_int-init-level$*) $(XTFLAGS) -D_INTERRUPT_LEVEL=$* -o $@ $< + + +# For split-source rules, determine various things from $* (extended basename): +# +SPLIT_SRC = $(patsubst %/,%,$(dir $(subst -c--,.c/,$(subst -S--,.S/,$*)))) +SPLIT_FLAGS = $(FLAGS_$(basename $(SPLIT_SRC))) \ + -D__SPLIT__$(notdir $(subst --,/,$*)) + +# (Okay we cheat a bit, CFLAGS matches ASFLAGS so this works; it's also simpler:) +%-.o: + $(XTCC) -c $(CFLAGS) $(SPLIT_FLAGS) $(XTFLAGS) -o $@ $(SRCDIR)/$(SPLIT_SRC) +%--sim.o: + $(XTCC) -c $(CFLAGS) $(SPLIT_FLAGS) $(XTFLAGS) -DSIMULATOR -o $@ $(SRCDIR)/$(SPLIT_SRC) + + +%.o: %.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_$*) $(XTFLAGS) $< + +%.o: %.c + $(XTCC) -c $(CFLAGS) $(FLAGS_$*) $(XTFLAGS) $< + +%-sim.o: %.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_$*) $(XTFLAGS) -DSIMULATOR -o $@ $< + +%-sim.o: %.c + $(XTCC) -c $(CFLAGS) $(FLAGS_$*) $(XTFLAGS) -DSIMULATOR -o $@ $< + +# Explicit rule for crt1-sim.o to avoid using %-sim.o rule above: +crt1-sim.o: crt1-sim.S + $(XTCC) -c $(ASFLAGS) $(FLAGS_$*) $(XTFLAGS) $< + + +# When building the reset vector, leave the literals in +# the text section, so that the reset vector can be placed +# at address 0 (there's no lower address for the literals). +# (Can't do that on Xtensa TX without load/store to IRAM/IROM.) + +ifneq ($(XPAL_HAVE_IMEM_LOADSTORE),0) +FLAGS_reset-vector = -mtext-section-literals +FLAGS_shared-reset-vector = -mtext-section-literals +endif + +#reset-vector.o: reset-vector.S +# $(XTCC) -c $(ASFLAGS) $(XTFLAGS) $(FLAGS_reset-vector) -o $@ $< +reset-vector-unpack.o: reset-vector.S + $(XTCC) -c $(ASFLAGS) $(XTFLAGS) $(FLAGS_reset-vector) -DXTOS_UNPACK -o $@ $< +reset-vector-mp.o: reset-vector.S + $(XTCC) -c $(ASFLAGS) $(XTFLAGS) $(FLAGS_reset-vector) -DXTOS_MP -o $@ $< +# +# These libraries provide alternative reset vectors: +# With ROM unpacking (the default): +libhandler-reset-unpack.a: reset-vector-unpack.o +# Without any unpacking: +libhandler-reset.a: reset-vector.o +# With experimental MP unpacking: +libhandler-reset-mp.a: reset-vector-mp.o + +%.a: + -$(RM) $@ + $(XTAR) rs $@ $^ + +install: + -$(MKPATH) $(INSTLIBDIR) + $(CP) $(TARGETS) $(INSTLIBDIR) + +clean: + -$(RM) *.o *.a + +# NOTE: Header file dependencies not specified! + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_sharedvectors-for-reset.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_sharedvectors-for-reset.S new file mode 100644 index 0000000..4dfa8ca --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_sharedvectors-for-reset.S @@ -0,0 +1,40 @@ +// _sharedvectors-for-reset.S -- Reference to pull in a shared reset vector +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/_sharedvectors-for-reset.S#2 $ + +// Copyright (c) 2008 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include + +/* Multicore build flows can use this file (_sharedvectors-for-reset.o) + by copying it to _sharedvectors.o early in the linker search path + (to override the default one), and updating the memory map or linker + scripts accordingly. + This file pulls in a sharable reset vector (typically + shared-reset-vector.S, which requires the PRID option). */ + +.global _SharedResetVector + +/* The following allows this object file to be pulled in by reference: */ +.text +.global _xtos_sharedvectors_ref_ +.set _xtos_sharedvectors_ref_, 0 + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_sharedvectors.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_sharedvectors.S new file mode 100644 index 0000000..d7ec870 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_sharedvectors.S @@ -0,0 +1,37 @@ +// _sharedvectors.S -- Reference symbols to pull in any shared vectors +// (default version, used when not sharing any vector) +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/_sharedvectors.S#2 $ + +// Copyright (c) 2008 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include + +/* Not present by default. Multicore build flows build/use a custom + version of _sharedvectors.o that may pull in shared vectors. */ + +/* .global _SharedResetVector */ + +/* The following allows this object file to be pulled in by reference: */ +.text +.global _xtos_sharedvectors_ref_ +.set _xtos_sharedvectors_ref_, 0 + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_vectors.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_vectors.S new file mode 100755 index 0000000..db51d34 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/_vectors.S @@ -0,0 +1,94 @@ +// _vectors.S -- Reference symbols to pull in all required vectors +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/_vectors.S#2 $ + +// Copyright (c) 2004, 2006-2007 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include /* for XSHAL_VECTORS_PACKED */ + +.global _ResetVector + +#if XCHAL_HAVE_EXCEPTIONS + +# if XCHAL_HAVE_DEBUG +.global _DebugExceptionVector +# endif + +.global _KernelExceptionVector +.global _UserExceptionVector + +# ifdef XCHAL_DOUBLEEXC_VECTOR_VADDR +.global _DoubleExceptionVector +# endif + +# if XCHAL_HAVE_NMI +.global _NMIExceptionVector +# endif + +# if XCHAL_HAVE_WINDOWED +.global _WindowOverflow4 +.global _WindowUnderflow4 +.global _WindowOverflow8 +.global _WindowUnderflow8 +.global _WindowOverflow12 +.global _WindowUnderflow12 +# endif + +# if XCHAL_HAVE_MEM_ECC_PARITY +.global _MemErrorVector +# endif + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + + +#if (XCHAL_NUM_INTLEVELS >= 2) && (2 != XCHAL_DEBUGLEVEL) +.global _Level2Vector +#endif +#if (XCHAL_NUM_INTLEVELS >= 3) && (3 != XCHAL_DEBUGLEVEL) +.global _Level3Vector +#endif +#if (XCHAL_NUM_INTLEVELS >= 4) && (4 != XCHAL_DEBUGLEVEL) +.global _Level4Vector +#endif +#if (XCHAL_NUM_INTLEVELS >= 5) && (5 != XCHAL_DEBUGLEVEL) +.global _Level5Vector +#endif +#if (XCHAL_NUM_INTLEVELS >= 6) && (6 != XCHAL_DEBUGLEVEL) +.global _Level6Vector +#endif + +#if XCHAL_HAVE_VECBASE && XSHAL_VECTORS_PACKED +.global _RelocVectors +#endif + +/* These don't take up space: */ +.global xthals_hw_configid0 +.global xthals_hw_configid1 +.global xthals_release_major +.global xthals_release_minor + +/* The following allows this object file to be pulled in by reference: */ +.text +.global _xtos_vectors_ref_ +.set _xtos_vectors_ref_, 0 + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/checkvecsize b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/checkvecsize new file mode 100755 index 0000000..ac02e5c --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/checkvecsize @@ -0,0 +1,71 @@ +# Script to check that vector code is 16 bytes or less +# $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/checkvecsize#2 $ + +# Copyright (c) 2001 Tensilica Inc. +# +# Permission is hereby granted, free of charge, to any person obtaining +# a copy of this software and associated documentation files (the +# "Software"), to deal in the Software without restriction, including +# without limitation the rights to use, copy, modify, merge, publish, +# distribute, sublicense, and/or sell copies of the Software, and to +# permit persons to whom the Software is furnished to do so, subject to +# the following conditions: +# +# The above copyright notice and this permission notice shall be included +# in all copies or substantial portions of the Software. +# +# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +# EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +# MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +# IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +# CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +# TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +# SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +package Xtensa::checkvecsize; + +# Perl library modules +use strict; +use Getopt::Long; +use FileHandle; + +# Program + +use vars qw($objdump $maxsize); + +{ + $::myname = 'checkvecsize'; + + # command line + $maxsize = 16; + die("Usage is: $::myname -objdump prog [-maxsize n] files...\n") + unless &GetOptions("objdump=s" => \$objdump, + "maxsize=i" => \$maxsize) + && @ARGV > 0 && defined($objdump); + my $file; + foreach $file (@ARGV) { + checkvecsize ($file); + } +} + +sub checkvecsize { + my ($file) = @_; + my $od = new FileHandle "${objdump} -h $file|"; + die("$::myname: $!, opening pipe to $objdump -h $file.\n") + unless $od; + while (<$od>) { + if (/^\s*\d+\s+(\S+)\s+([0-9A-Fa-f]{8})\s/) { + my $size = hex($2); + die("$::myname: $file $1 section size is $size bytes.\n") + if $size > $maxsize; + } + } + $od->close(); +} + + +# Local Variables: +# mode:perl +# perl-indent-level:2 +# cperl-indent-level:2 +# End: diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt0-app.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt0-app.S new file mode 100755 index 0000000..78e63c2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt0-app.S @@ -0,0 +1,165 @@ +// crt0-app.S +// Applications downloaded in RAM using a debug monitor (eg. XMON, RedBoot) +// start here at _app_reset. Such applications don't have any vectors: +// all exceptions are handled by the debug monitor. +// Thus, this file essentially plays the role of the reset vector +// to setup a few things before jumping to _start (in crt1*.S). + +// Copyright (c) 2005-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include + + +// Assumptions on entry to _app_reset: +// - debug monitor handles all exceptions, has setup all vectors +// - interrupt related state is initialized +// (interrupts disabled or enabled for debug monitor's purposes) +// - debug option related state is initialized (for debug monitor) +// - any MMU related state is initialized (all handled by debug monitor) +// - caches are initialized (cache attributes not necessarily correct) +// - entire application is loaded (no unpacking needed here) + +// Assumptions on exit from _app_reset, ie. when jumping to _start: +// - low (level-one) and medium priority interrupts are disabled +// - C calling context not initialized: +// - PS not fully initialized (eg. PS.WOE not set per ABI) +// - SP not initialized +// - the following are initialized: +// - LITBASE, WindowBase, WindowStart, LCOUNT, CPENABLE, FP's FCR and FSR, +// cache attributes + +/**************************************************************************/ + + .text + .global _app_reset +_app_reset: + /* _app_reset may be required to be located at the beginning of the text + segment. However, the constant pool for _app_reset must be placed + before the code. Jump over the constant pool to solve this. */ + j .LpastInitialConstants + + .literal_position // tells the assembler/linker to place literals here + +.LpastInitialConstants: + // Keep a0 zero. It is used to initialize a few things. + // It is also the return address, where zero indicates + // that the frame used by _start is the bottommost frame. + // + movi a0, 0 // keep this register zero. + +#if XCHAL_HAVE_LOOPS + wsr a0, LCOUNT // loop count = 0 +#endif /* XCHAL_HAVE_LOOPS */ + + // Interrupts might be enabled, make sure at least medium and low priority + // interrupts are disabled until WindowBase, WindowStart, SP, and the stack + // are all properly setup (which will happen outside this file, after the + // _start label). We leave loops enabled on new exception architecture. +#if XCHAL_HAVE_EXCEPTIONS + movi a2, XCHAL_EXCM_LEVEL + wsr a2, PS // set PS.INTLEVEL=EXCM_LEVEL, PS.WOE=0, PS.EXCM=0 + rsync +#endif + + // DO THIS FIRST: initialize the window start and base + // before, so that windows don't move under us. +#if XCHAL_HAVE_WINDOWED + // We do this even if we are assembling for the + // call0 abi, but it's not really needed. + movi a2, 1 + wsr a2, WINDOWSTART // window start = 1 + wsr a0, WINDOWBASE // window base = 0 + rsync + + // NOTE: a0 may no longer be zero here, because + // we wrote to WindowBase. So clear it again. + movi a0, 0 +#endif + + // Now, BEFORE we do any L32R (or MOVI with non-immediate + // range which results in an L32R), ensure LITBASE is set + // correctly. This is necessary for RAM applications loaded + // using a target-side debug monitor -- such applications + // don't have a reset vector and start execution at _start. + // (This part is unnecessary if running from a reset vector.) + // The target-side debug monitor might have set LITBASE to + // anything at all, so we cannot rely on its value here. +#if XCHAL_HAVE_ABSOLUTE_LITERALS + wsr a0, LITBASE // force PC-relative L32R + rsync +# if XSHAL_USE_ABSOLUTE_LITERALS + .begin no-absolute-literals // use PC-rel L32R to load + movi a2, _lit4_start + 0x40001 // address of absolute literals + .end no-absolute-literals // (see handlers/ResetVector.S + wsr a2, LITBASE // for explanation) + rsync +# endif +#endif + + + /* + * Enable the caches correctly for this board. + * We use the "safe" sequence. + * + * NOTE: We don't *initialize* the caches here, because the + * target debugger agent (debug monitor) has initialized them for us. + */ + movi a2, XTBOARD_CACHEATTR_DEFAULT + cacheattr_set /* set CACHEATTR from a2 (clobbers a3-a8) */ + + + + // Coprocessor option initialization +#if XCHAL_HAVE_CP + //movi a2, XCHAL_CP_MASK // enable existing CPs + // To allow creating new coprocessors using TC that are not known + // at GUI build time without having to explicitly enable them, + // all CPENABLE bits must be set, even though they may not always + // correspond to a coprocessor. + movi a2, 0xFF // enable *all* bits, to allow dynamic TIE + wsr a2, CPENABLE +#endif + + // Floating point coprocessor option initialization +#if XCHAL_HAVE_FP +# define FCR 232 /* floating-point control register (user register number) */ +# define FSR 233 /* floating-point status register (user register number) */ + rsync /* wait for WSR to CPENABLE to complete before accessing FP coproc state */ + wur a0, FCR /* clear FCR (default rounding mode, round-nearest) */ + wur a0, FSR /* clear FSR */ +#endif + + + /* NOTE: Future releases may clear BSS here rather than in the CRT1. */ + + + /* + * Now jump to the application. This is typically the + * C run-time initialization ("CRT") which in turn calls main(): + */ + movi a4, _start + jx a4 // jump to _start + + .size _app_reset, . - _app_reset + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-boards.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-boards.S new file mode 100755 index 0000000..1800b73 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-boards.S @@ -0,0 +1,237 @@ +// crt1-boards.S +// +// For most hardware / boards, this code sets up the C calling context +// (setting up stack, PS, and clearing BSS) and jumps to __clibrary_start +// which sets up the C library, calls constructors and registers destructors, +// and calls main(). +// +// Control arrives here at _start from the reset vector or from crt0-app.S. + +// Copyright (c) 1998-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include "xtos-internal.h" + + +// Exports +.global _start + +// Imports +// __clibrary_init from C library (eg. newlib or uclibc) +// exit from C library +// main from user application +// board_init board-specific (uart/mingloss/tinygloss.c) +// xthal_dcache_all_writeback from HAL library +// __stack from linker script (see LSP Ref Manual) +// _bss_table_start from linker script (see LSP Ref Manual) +// _bss_table_end from linker script (see LSP Ref Manual) + +.type main, @function + +// Macros to abstract away ABI differences + +#if __XTENSA_CALL0_ABI__ +# define CALL call0 +# define ARG1 a2 /* 1st outgoing call argument */ +# define ARG2 a3 /* 2nd outgoing call argument */ +# define ARG3 a4 /* 3rd outgoing call argument */ +# define ARG4 a5 /* 4th outgoing call argument */ +# define ARG5 a6 /* 5th outgoing call argument */ +#else +# define CALL call4 +# define ARG1 a6 /* 1st outgoing call argument */ +# define ARG2 a7 /* 2nd outgoing call argument */ +# define ARG3 a8 /* 3rd outgoing call argument */ +# define ARG4 a9 /* 4th outgoing call argument */ +# define ARG5 a10 /* 5th outgoing call argument */ +#endif + + +/**************************************************************************/ + + .text + .align 4 +_start: + // _start is typically NOT at the beginning of the text segment -- + // it is always called from either the reset vector or other code + // that does equivalent initialization (such as crt0-app.S). + // + // Assumptions on entry to _start: + // - low (level-one) and medium priority interrupts are disabled + // via PS.INTLEVEL and/or INTENABLE (PS.INTLEVEL is expected to + // be zeroed, to potentially enable them, before calling main) + // - C calling context not initialized: + // - PS not initialized + // - SP not initialized + // - the following are initialized: + // - LITBASE, cache attributes, WindowBase, WindowStart, + // CPENABLE, FP's FCR and FSR, EXCSAVE[n] + + // Keep a0 zero. It is used to initialize a few things. + // It is also the return address, where zero indicates + // that the frame used by _start is the bottommost frame. + // +#if !XCHAL_HAVE_HALT || !XCHAL_HAVE_BOOTLOADER // not needed for Xtensa TX + movi a0, 0 // keep this register zero. +#endif + +#if XTOS_RESET_UNNEEDED && !XCHAL_HAVE_HALT +#include "reset-unneeded.S" +#endif + + // Initialize the stack pointer. + // See the "ABI and Software Conventions" chapter in the + // Xtensa ISA Reference manual for details. + + // NOTE: Because the _start routine does not use any memory in its + // stack frame, and because all of its CALL instructions use a + // window size of 4 (or zero), the stack frame for _start can be empty. + + movi sp, __stack + + /* + * Now that sp (a1) is set, we can set PS as per the application + * (user vector mode, enable interrupts, enable window exceptions if applicable). + */ +#if XCHAL_HAVE_EXCEPTIONS +# ifdef __XTENSA_CALL0_ABI__ + movi a3, PS_UM // PS.WOE = 0, PS.UM = 1, PS.EXCM = 0, PS.INTLEVEL = 0 +# else + movi a3, PS_UM|PS_WOE // PS.WOE = 1, PS.UM = 1, PS.EXCM = 0, PS.INTLEVEL = 0 +# endif + wsr a3, PS + rsync +#endif + + +#if !XCHAL_HAVE_BOOTLOADER /* boot loader takes care of zeroing BSS */ + /* + * Clear the BSS (uninitialized data) segments. + * This code supports multiple zeroed sections (*.bss). + * + * Register allocation: + * a0 = 0 + * a6 = pointer to start of table, and through table + * a7 = pointer to end of table + * a8 = start address of bytes to be zeroed + * a9 = end address of bytes to be zeroed + * a10 = length of bytes to be zeroed + */ + movi a6, _bss_table_start + movi a7, _bss_table_end + bgeu a6, a7, .L3zte + +.L0zte: l32i a8, a6, 0 // get start address, assumed multiple of 4 + l32i a9, a6, 4 // get end address, assumed multiple of 4 + addi a6, a6, 8 // next entry + sub a10, a9, a8 // a10 = length, assumed a multiple of 4 + bbci.l a10, 2, .L1zte + s32i a0, a8, 0 // clear 4 bytes to make length multiple of 8 + addi a8, a8, 4 +.L1zte: bbci.l a10, 3, .L2zte + s32i a0, a8, 0 // clear 8 bytes to make length multiple of 16 + s32i a0, a8, 4 + addi a8, a8, 8 +.L2zte: srli a10, a10, 4 // length is now multiple of 16, divide by 16 + floopnez a10, clearzte + s32i a0, a8, 0 // clear 16 bytes at a time... + s32i a0, a8, 4 + s32i a0, a8, 8 + s32i a0, a8, 12 + addi a8, a8, 16 + floopend a10, clearzte + + bltu a6, a7, .L0zte // loop until end of table of *.bss sections +.L3zte: +#endif + + + // We can now call C code, the C calling environment has been initialized. + // + // From this point on, we use ABI-specific macros to refer to registers a0 .. a15 + // (ARG#). + + +#if XCHAL_HAVE_HALT + + // Assume minimalist environment for memory-constrained TX cores. + // No C library or board initialization, no parameters passed to main + // (assume declared as "void main(void)") and no call to exit(). + + CALL main + halt + +#else /* !HALT */ + + .type board_init, @function + .type __clibrary_init, @function + .type exit, @function + + + // Initialize the board (eg. the UART on the XT2000). + CALL board_init + + /* + * Call __clibrary_init to initialize the C library: + * + * void __clibrary_init(int argc, char ** argv, char ** environ, + * void(*init_func)(void), void(*fini_func)(void)); + */ + + // Pass an empty argv array, with an empty string as the program name. + + movi ARG1, _start_argc // argc address + movi ARG2, _start_argv // argv = ["", 0] + movi ARG3, _start_envp // envp = [0] + movi ARG4, _init // function that calls constructors + movi ARG5, _fini // function that calls destructors + l32i ARG1, ARG1, 0 // argc = 1 + CALL __clibrary_init + + // Call: int main(int argc, char ** argv, char ** environ); + movi ARG1, _start_argc // argc address + movi ARG2, _start_argv // argv = ["", 0] + movi ARG3, _start_envp // envp = [0] + l32i ARG1, ARG1, 0 // argc = 1 + CALL main + // The return value is the same register as the first outgoing argument. + CALL exit // exit with main's return value + // Does not return here. + + .data + // Mark argc/argv/envp parameters as weak so that an external + // object file can override them. + .weak _start_argc, _start_argv, _start_envp + .align 4 +_start_argv: + .word _start_null // empty program name +_start_null: +_start_envp: + .word 0 // end of argv array, empty string, empty environ +_start_argc: + .word 1 // one argument (program name) + .text + +#endif /* !HALT */ + + .size _start, . - _start + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-sim.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-sim.S new file mode 100755 index 0000000..5615931 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-sim.S @@ -0,0 +1,246 @@ +// crt1-sim.S +// For the Xtensa simulator target, this code sets up the C calling context +// and calls main() (via __clibrary_start). +// Control arrives here at _start from the reset vector or from crt0-app.S. + +// Copyright (c) 1998-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include +#include "xtos-internal.h" + + +// Exports +.global _start + +// Imports +// __clibrary_init from C library (eg. newlib or uclibc) +// exit from C library +// main from user application +// __stack from linker script (see LSP Ref Manual) + +.type __clibrary_init, @function +.type main, @function +.type exit, @function + + +// Macros to abstract away ABI differences + +#if __XTENSA_CALL0_ABI__ +# define CALL call0 +# define ARG1 a2 /* 1st outgoing call argument */ +# define ARG2 a3 /* 2nd outgoing call argument */ +# define ARG3 a4 /* 3rd outgoing call argument */ +# define ARG4 a5 /* 4th outgoing call argument */ +# define ARG5 a6 /* 5th outgoing call argument */ +#else +# define CALL call4 +# define ARG1 a6 /* 1st outgoing call argument */ +# define ARG2 a7 /* 2nd outgoing call argument */ +# define ARG3 a8 /* 3rd outgoing call argument */ +# define ARG4 a9 /* 4th outgoing call argument */ +# define ARG5 a10 /* 5th outgoing call argument */ +#endif + + .data + .weak _start_envp // allow overriding + .align 4 +_start_envp: .word 0 // empty environ + + + + .text + .align 4 + +_start: + // _start is typically NOT at the beginning of the text segment -- + // it is always called from either the reset vector or other code + // that does equivalent initialization (such as crt0-app.S). + // + // Assumptions on entry to _start: + // - low (level-one) and medium priority interrupts are disabled + // via PS.INTLEVEL and/or INTENABLE (PS.INTLEVEL is expected to + // be zeroed, to potentially enable them, before calling main) + // - C calling context not initialized: + // - PS not initialized + // - SP not initialized + // - the following are initialized: + // - LITBASE, cache attributes, WindowBase, WindowStart, + // CPENABLE, FP's FCR and FSR, EXCSAVE[n] + + // Keep a0 zero. It is used to initialize a few things. + // It is also the return address, where zero indicates + // that the frame used by _start is the bottommost frame. + // + movi a0, 0 // keep this register zero. + +#if XTOS_RESET_UNNEEDED +#include "reset-unneeded.S" +#endif + + + // Initialize the stack pointer. + // See the "ABI and Software Conventions" chapter in the + // Xtensa ISA Reference manual for details. + + // NOTE: Because the _start routine does not use any memory in its + // stack frame, and because all of its CALL instructions use a + // window size of 4, the stack frame for _start can be empty. + movi sp, __stack + + // reserve stack space for + // - argv array + // - argument strings + movi a2, SYS_iss_argv_size + simcall // returns size of argv[] + its strings in a2 +#if XCHAL_HAVE_PIF + // The stack only needs 16-byte alignment. + // However, here we round up the argv size further to 128 byte multiples + // so that in most cases, variations in argv[0]'s path do not result in + // different stack allocation. Otherwise, such variations can impact + // execution timing (eg. due to cache effects etc) for the same code and data. + // If we have a PIF, it's more likely the extra required space is okay. + addi a2, a2, 127 + srli a2, a2, 7 + slli a2, a2, 7 +#else + // Keep stack 16-byte aligned. + addi a2, a2, 15 + srli a2, a2, 4 + slli a2, a2, 4 +#endif + // No need to use MOVSP because we have no caller (we're the + // base caller); in fact it's better not to use MOVSP in this + // context, to avoid unnecessary ALLOCA exceptions and copying + // from undefined memory: + // sub a3, sp, a2 + // movsp sp, a3 + sub sp, sp, a2 + + + /* + * Now that sp (a1) is set, we can set PS as per the application + * (user vector mode, enable interrupts, enable window exceptions if applicable). + */ +#if XCHAL_HAVE_EXCEPTIONS +# ifdef __XTENSA_CALL0_ABI__ + movi a3, PS_UM // PS.WOE = 0, PS.UM = 1, PS.EXCM = 0, PS.INTLEVEL = 0 +# else + movi a3, PS_UM|PS_WOE // PS.WOE = 1, PS.UM = 1, PS.EXCM = 0, PS.INTLEVEL = 0 +# endif + wsr a3, PS + rsync +#endif + + + /* The new ISS simcall only appeared after RB-2007.2: */ +#if !XCHAL_HAVE_BOOTLOADER && (XCHAL_HW_MAX_VERSION > XTENSA_HWVERSION_RB_2007_2) /* pre-LX2 cores only */ + /* + * Clear the BSS (uninitialized data) segments. + * This code supports multiple zeroed sections (*.bss). + * For speed, we clear memory using an ISS simcall + * (see crt1-boards.S for more generic BSS clearing code). + */ + movi a6, _bss_table_start + movi a7, _bss_table_end + bgeu a6, a7, .Lnobss +.Lbssloop: + movi a2, SYS_memset + l32i a3, a6, 0 // arg1 = fill start address + movi a4, 0 // arg2 = fill pattern + l32i a5, a6, 4 // get end address + addi a6, a6, 8 // next bss table entry + sub a5, a5, a3 // arg3 = fill size in bytes + simcall // memset(a3,a4,a5) + bltu a6, a7, .Lbssloop // loop until end of bss table +.Lnobss: +#endif + + + /* + * Call __clibrary_init to initialize the C library: + * + * void __clibrary_init(int argc, char ** argv, char ** environ, + * void(*init_func)(void), void(*fini_func)(void)); + */ + + // Get argv with the arguments from the ISS + mov a3, sp // tell simcall where to write argv[] + movi a2, SYS_iss_set_argv + simcall // write argv[] array at a3 + + movi a2, SYS_iss_argc + simcall // put argc in a2 + + +// Alternative smaller code for Xtensa TX. +// Many starting with simulation assume a full C env, so NOT DONE FOR NOW. +// +//#if XCHAL_HAVE_HALT +// +// // Assume minimalist environment for memory-constrained TX cores. +// // No C library or board initialization, and no call to exit(). +// // However, in the interest of software regressions, for now we +// // still pass parameters to main (but not the rarely used envp). +// +// //mov ARG1, a2 // argc already in a2. +// mov ARG2, sp // argv +// CALL main +// halt +// +//#else /* !HALT */ +// ... + + +#if __XTENSA_CALL0_ABI__ + mov a12, a2 // save argc (a2 is ARG1) +#else + mov ARG1, a2 // argc +#endif + mov ARG2, sp // argv + movi ARG3, _start_envp // envp + movi ARG4, _init // _init + movi ARG5, _fini // _fini + CALL __clibrary_init + + // Call: int main(int argc, char ** argv, char ** environ); +#if __XTENSA_CALL0_ABI__ + mov ARG1, a12 // argc +#else + mov ARG1, a2 // argc +#endif + mov ARG2, sp // argv + movi ARG3, _start_envp // envp = [0] + CALL main + // The return value is the same register as the first outgoing argument. + CALL exit // exit with main's return value + // Does not return here. + + .size _start, . - _start + + +// Local Variables: +// mode:fundamental +// comment-start: "// " +// comment-start-skip: "// *" +// End: diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-tiny.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-tiny.S new file mode 100755 index 0000000..58fe9f9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/crt1-tiny.S @@ -0,0 +1,122 @@ +// crt1-tiny.S +// +// This is a reduced version of the code in crt1-boards.S . +// For most hardware / boards, this code sets up the C calling context +// (setting up stack, PS, and clearing BSS) and calls main(). +// It has some limitations (see LSP Ref Manual for details) such as: +// - does not setup the C library (...) +// - does not call C++ static constructors and destructors +// - only clears .bss , not other *.bss sections +// +// Control arrives here at _start from the reset vector or from crt0-app.S. + +// Copyright (c) 1998-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include + + +// Imports +// __stack from linker script (see LSP Ref Manual) +// _bss_start from linker script (see LSP Ref Manual) +// _bss_end from linker script (see LSP Ref Manual) +// main from user application + + + +#ifdef __XTENSA_CALL0_ABI__ +# define CALL call0 +#else +# define CALL call4 +#endif + + +/**************************************************************************/ + + .text + .global _start +_start: + // _start is typically NOT at the beginning of the text segment -- + // it is always called from either the reset vector or other code + // that does equivalent initialization (such as crt0-app.S). + // See crt1-boards.S for assumptions on entry to _start , + // and for comments on what is being done in this file. + +#if !XCHAL_HAVE_HALT || !XCHAL_HAVE_BOOTLOADER // not needed for Xtensa TX + movi a0, 0 // mark base of call stack +#endif + + movi sp, __stack // setup the stack + +#if XCHAL_HAVE_EXCEPTIONS +# ifdef __XTENSA_CALL0_ABI__ + movi a3, PS_UM // PS: WOE=0, UM=1, EXCM=0, INTLEVEL=0 +# else + movi a3, PS_UM|PS_WOE // PS: WOE=1, UM=1, EXCM=0, INTLEVEL=0 +# endif + wsr a3, PS // setup PS for the application + rsync +#endif + + + // Clear the BSS (uninitialized data) segment. + // + // This code only supports .bss, not multiple *.bss sections. + // Corresponding code in crt1-boards.S does, and is faster but bigger. + +#if !XCHAL_HAVE_BOOTLOADER + movi a6, _bss_start + movi a7, _bss_end + bgeu a6, a7, 2f +1: s32i a0, a6, 0 + addi a6, a6, 4 + bltu a6, a7, 1b +2: +#endif + + // We can now call C code, the C calling environment is initialized. + // This tiny C runtime assumes main is declared as "void main(void)" + // rather than with the usual argc,argv. So there are no arguments. + + CALL main + + // In this tiny C runtime, main() is not expected to return. + // If it does, just loop forever. + + //CALL xthal_dcache_all_writeback // sync dirty dcaches to memory + //extw // sync TIE queues/ports/etc (LX or later only) + +.L0: +#if XCHAL_HAVE_DEBUG + break 1, 15 // give control to debugger +#elif XCHAL_HAVE_HALT + halt +#endif + j .L0 + + .size _start, . - _start + + +// Local Variables: +// mode:fundamental +// comment-start: "// " +// comment-start-skip: "// *" +// End: diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/debug-vector.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/debug-vector.S new file mode 100755 index 0000000..35fb1be --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/debug-vector.S @@ -0,0 +1,65 @@ +// debug-vector.S -- Debug Exception Vector +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/debug-vector.S#3 $ + +// Copyright (c) 2003-2004, 2006, 2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#ifdef SIMULATOR +#include +#endif + +#if XCHAL_HAVE_DEBUG && XCHAL_HAVE_EXCEPTIONS + + // This code goes at the debug exception vector + + .begin literal_prefix .DebugExceptionVector + .section .DebugExceptionVector.text, "ax" + .align 4 + .global _DebugExceptionVector +_DebugExceptionVector: + +# ifdef SIMULATOR + // In the simulator (ISS), let the debugger (if any is attached) + // handle the debug exception, else simply stop the simulation: + // + wsr a2, EXCSAVE+XCHAL_DEBUGLEVEL // save a2 where simulator expects it + movi a2, SYS_gdb_enter_sktloop + simcall // have ISS handle the debug exception +# else + // For hardware, this code does not handle debug exceptions. + // To implement a target-side debug monitor, replace this + // vector with a real one that uses target-specific facilities + // to communicate with the debugger. + // +1: +#if XCHAL_HAVE_INTERRUPTS + waiti XCHAL_DEBUGLEVEL // unexpected debug exception, loop in low-power mode +#endif + j 1b // infinite loop - unexpected debug exception +# endif /*!SIMULATOR*/ + + .end literal_prefix + .size _DebugExceptionVector, . - _DebugExceptionVector + +#endif /* XCHAL_HAVE_DEBUG && XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/deprecated.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/deprecated.S new file mode 100755 index 0000000..2eb2af3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/deprecated.S @@ -0,0 +1,120 @@ +// deprecated.S -- Deprecated assembler functions + +// Copyright (c) 2003-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + + + + .text + +/* + void _xtos_timer__delta(int cycles) + + Set the timer from the current ccount. + DEPRECATED. PLEASE USE xthal_set_ccompare() AND xthal_get_ccount() + INSTEAD, OR USE DIFFERENT ALGORITHM THAT UPDATES CCOMPAREn RELATIVE TO + LAST CCOMPAREn FOR DRIFT-FREE PERIODIC TIMER INTERRUPTS. +*/ + .macro define_timer_delta num, numtimers + .if ((\num-\numtimers) & ~0xFFF) // num < numtimers ? + .align 4 + .global _xtos_timer_&num&_delta + .type _xtos_timer_&num&_delta,@function +_xtos_timer_&num&_delta: + abi_entry + rsr a3, CCOUNT + add a3, a3, a2 + wsr a3, CCOMPARE_0+&num& + abi_return + .size _xtos_timer_&num&_delta, . - _xtos_timer_&num&_delta + .endif + .endm + + + +#if defined(__SPLIT__t0_delta) + + define_timer_delta 0, XCHAL_NUM_TIMERS + +#elif defined(__SPLIT__t1_delta) + + define_timer_delta 1, XCHAL_NUM_TIMERS + +#elif defined(__SPLIT__t2_delta) + + define_timer_delta 2, XCHAL_NUM_TIMERS + +#elif defined(__SPLIT__t3_delta) + + define_timer_delta 3, XCHAL_NUM_TIMERS + + +#elif defined(__SPLIT__read_ints) + + // + // u32 _xtos_read_ints( void ) + // + // _xtos_read_ints() reads the INTERRUPT register and returns it. + // DEPRECATED. PLEASE USE xthal_get_interrupt() INSTEAD. + // [Kept temporarily because it was documented in T1050 System SW Ref Manual.] + // + .text + .align 4 + .global _xtos_read_ints + .type _xtos_read_ints,@function +_xtos_read_ints: + abi_entry +#if XCHAL_HAVE_INTERRUPTS + rsr a2, INTERRUPT +#else /*XCHAL_HAVE_INTERRUPTS*/ + movi a2, 0 +#endif /*XCHAL_HAVE_INTERRUPTS*/ + abi_return + .size _xtos_read_ints, . - _xtos_read_ints + + +#elif defined(__SPLIT__clear_ints) + + // + // void _xtos_clear_ints( u32 mask ) + // + // _xtos_clear_ints() clears selected bits of the INTERRUPT register. + // DEPRECATED. PLEASE USE xthal_set_intclear() INSTEAD. + // [Kept temporarily because it was documented in T1050 System SW Ref Manual.] + // + .text + .align 4 + .global _xtos_clear_ints + .type _xtos_clear_ints,@function +_xtos_clear_ints: + abi_entry +#if XCHAL_HAVE_INTERRUPTS + wsr a2, INTCLEAR +#endif /*XCHAL_HAVE_INTERRUPTS*/ + abi_return + .size _xtos_clear_ints, . - _xtos_clear_ints + +#endif /* splitting */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/double-vector.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/double-vector.S new file mode 100755 index 0000000..ad96144 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/double-vector.S @@ -0,0 +1,98 @@ +// double-vector.S -- Double Exception Vector +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/double-vector.S#3 $ + +// Copyright (c) 2000-2004, 2006, 2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include +#ifdef SIMULATOR +#include +#endif + + +#if XCHAL_HAVE_EXCEPTIONS && defined(XCHAL_DOUBLEEXC_VECTOR_VADDR) + +/* + * This is a very minimalist implementation of the double + * exception vector. For XEA2 configurations without a + * full MMU, this vector is only expected to be executed + * upon fatal errors (exceptions that occur within critical + * sections of exception vectors and handlers). + * + * For configurations with a full MMU (ie. with TLBs and + * auto-refill) and the windowed address registers option, + * a more complete version of this handler is necessary if: + * dynamic page mapping is implemented, and the stack + * can ever point to a dynamically mapped area. + * In this case, a double exception is a normal occurrence + * when a stack access within a window handler causes + * a TLB miss exception or other expected MMU fault. + * XTOS does not support this scenario, hence a minimalist + * double exception vector is sufficient. + */ + + .begin literal_prefix .DoubleExceptionVector + .section .DoubleExceptionVector.text, "ax" + + .align 4 + .global _DoubleExceptionVector +_DoubleExceptionVector: +# if XCHAL_HAVE_DEBUG +1: break 1,4 // unhandled double exception +# elif defined(SIMULATOR) + wsr a2, EXCSAVE1 // save a2 where simulator expects it + movi a2, SYS_unhandled_double_exc +1: simcall // let simulator/debugger report unhandled exception +# else +1: +# endif + j 1b // infinite loop + + // NOTE: a non-minimalist vector may choose to + // process the double exception in the vector itself + // (by default, much more space is allocated to double + // exception vectors than to most other vectors); + // or, to jump to a double exception handler located + // elsewhere. If only the normal case of double + // exceptions occurring within a window handler is + // being handled, then it is safe to use EXCSAVE_1 to + // do this jump (window handlers don't use EXCSAVE_1). + // For example: + // + // wsr a0, EXCSAVE_1 + // movi a0, _DoubleExceptionFromVector + // jx a0 + // + // .text + // .align 4 + // .global _DoubleExceptionFromVector + //_DoubleExceptionFromVector: + // ... + + + .size _DoubleExceptionVector, . - _DoubleExceptionVector + .text + .end literal_prefix + +#endif /* have double exceptions */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-alloca-handler.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-alloca-handler.S new file mode 100755 index 0000000..34a9a6e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-alloca-handler.S @@ -0,0 +1,273 @@ +// exc-alloca-handler.S - ALLOCA cause exception assembly-level handler +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/exc-alloca-handler.S#3 $ + +// Copyright (c) 2002-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +/* + * Code written to the windowed ABI must use the MOVSP instruction to modify + * the stack pointer (except for startup code, which doesn't have a caller). + * The compiler uses MOVSP to allocate very large or variable size stack frames. + * MOVSP guarantees that the caller frame's a0-a3 registers, stored below the + * stack pointer, are moved atomically with respect to interrupts and exceptions + * to satisfy windowed ABI requirements. When user code executes the MOVSP + * instruction and the caller frame is on the stack rather than in the register + * file, the processor takes an ALLOCA exception. The ALLOCA exception handler + * moves the caller frame's a0-a3 registers to follow the stack pointer. + * This file implements this ALLOCA exception handler. + * + * Code written in C can generate a MOVSP in four situations: + * + * 1. By calling "alloca": + * + * void foo(int array_size) { + * char * bar = alloca(array_size); + * ... + * + * 2. By using variable sized arrays (a GNU C extension): + * + * void foo(int array_size) { + * char bar[array_size]; + * ... + * + * 3. By using nested C functions (also a GNU C extension): + * + * void afunction(void) { + * ... + * int anotherfunction(void) { + * } + * ... + * + * 4. By using very large amounts of stack space in a single function. The exact + * limit is 32,760 bytes (including 16-48 bytes of caller frame overhead). + * Typically, users don't encounter this limit unless they have functions + * that locally declare large arrays, for example: + * + * void foo(void) { + * int an_array[8192]; // 32,768 bytes + * int another_array[100]; // 400 bytes + * ... + * + * + * NOTE: This handler only works when MOVSP's destination register is the stack + * pointer "a1" (synonym with "sp"), i.e. "MOVSP a1, ". This is the only + * meaningful form of MOVSP in the windowed ABI, and the only form generated + * by the compiler and used in assembly. The code below does not check the + * destination register, so other forms of MOVSP cause unexpected behaviour. + */ + +#include +#include +#include "xtos-internal.h" + +#define ERROR_CHECKING 1 // define as 0 to save a few bytes + + +#if XCHAL_HAVE_EXCEPTIONS + +//Vector: +// addi a1, a1, -ESF_TOTALSIZE // allocate exception stack frame, etc. +// s32i a2, a1, UEXC_a2 +// s32i a3, a1, UEXC_a3 +// movi a3, _xtos_exc_handler_table +// rsr a2, EXCCAUSE +// addx4 a2, a2, a3 +// l32i a2, a2, 0 +// s32i a4, a1, UEXC_a4 +// jx a2 // jump to cause-specific handler + + .global _need_user_vector_ // pull-in real user vector (tiny LSP) + + .text + .align 4 + .global _xtos_alloca_handler +_xtos_alloca_handler: +#if !XCHAL_HAVE_WINDOWED || defined(__XTENSA_CALL0_ABI__) + rfe_rfue +#else /* we have windows w/o call0 abi */ + // HERE: a2, a3, a4 have been saved to + // exception stack frame allocated with a1 (sp). + // a2 contains EXCCAUSE. + // (12 cycles from vector to here, assuming cache hits, 5-stage pipe, etc) + + /* + * Skip the MOVSP instruction so we don't execute it again on return: + */ + + rsr a3, EPC_1 // load instruction address (PC) + s32i a5, a1, UEXC_a5 // save a5 + addi a2, a3, 3 // increment PC to skip MOVSP instruction +#if XCHAL_HAVE_LOOPS + /* + * If the MOVSP instruction is the last instruction in the body of + * a zero-overhead loop that must be executed again, then decrement + * the loop count and resume execution at the head of the loop. + */ + rsr a4, LEND + rsr a5, LCOUNT + bne a4, a2, 1f // done unless next-PC matches LEND + beqz a5, 1f // if LCOUNT zero, not in loop + addi a5, a5, -1 // z.o. loopback! decrement LCOUNT... + wsr a5, LCOUNT + rsr a2, LBEG // PC back to start of loop +#endif /*XCHAL_HAVE_LOOPS*/ +1: wsr a2, EPC_1 // update return PC past MOVSP + + /* + * Figure out what register MOVSP is moving from ('s' field, 2nd byte). + * If MOVSP is in an instruction RAM or ROM, we can only access it with + * 32-bit loads. So use shifts to read the byte from a 32-bit load. + */ + + addi a3, a3, 1 // advance to byte containing 's' field + extui a2, a3, 0, 2 // get bits 0 and 1 of address of this byte + sub a3, a3, a2 // put address on 32-bit boundary + l32i a3, a3, 0 // get word containing byte (can't use l8ui on IRAM/IROM) + rsr a4, SAR // save SAR + // NOTE: possible addition here: verify destination register is indeed a1. +# if XCHAL_HAVE_BE + ssa8b a2 + sll a3, a3 + extui a3, a3, 28, 4 // extract source register number +# else + ssa8l a2 + srl a3, a3 + extui a3, a3, 0, 4 // extract source register number +# endif + wsr a4, SAR // restore SAR + // (+?? cycles max above = ?? cycles, assuming cache hits, 5-stage pipe, no zoloops, etc) + + movi a4, .Ljmptable // jump table + mov a5, a1 // save the exception stack frame ptr in a5 + addi a1, a1, ESF_TOTALSIZE // restore a1 (in case of MOVSP a1,a1) + +# if XCHAL_HAVE_DENSITY + addx4 a4, a3, a4 // index by src reg number * 4 +# define ALIGN .align 4 // 4-byte jmptable entries +# define MOV _mov.n +# define L32I _l32i.n +# define DONE _bnez.n a4, .Lmove_save_area // a4 known non-zero +# else + addx8 a4, a3, a4 // index by src reg number * 8 +# define ALIGN .align 8 // 8-byte jmptable entries +# define MOV mov +# define L32I l32i +# define DONE j .Lmove_save_area +# endif + + jx a4 // jump into the following table + + ALIGN +.Ljmptable: MOV a1, a0 ; DONE // MOVSP a1, a0 + ALIGN ; DONE // MOVSP a1, a1 + ALIGN ; L32I a1, a5, UEXC_a2 ; DONE // MOVSP a1, a2 + ALIGN ; L32I a1, a5, UEXC_a3 ; DONE // MOVSP a1, a3 + ALIGN ; L32I a1, a5, UEXC_a4 ; DONE // MOVSP a1, a4 + ALIGN ; L32I a1, a5, UEXC_a5 ; DONE // MOVSP a1, a5 + ALIGN ; MOV a1, a6 ; DONE // MOVSP a1, a6 + ALIGN ; MOV a1, a7 ; DONE // MOVSP a1, a7 + ALIGN ; MOV a1, a8 ; DONE // MOVSP a1, a8 + ALIGN ; MOV a1, a9 ; DONE // MOVSP a1, a9 + ALIGN ; MOV a1, a10 ; DONE // MOVSP a1, a10 + ALIGN ; MOV a1, a11 ; DONE // MOVSP a1, a11 + ALIGN ; MOV a1, a12 ; DONE // MOVSP a1, a12 + ALIGN ; MOV a1, a13 ; DONE // MOVSP a1, a13 + ALIGN ; MOV a1, a14 ; DONE // MOVSP a1, a14 + ALIGN ; MOV a1, a15 // MOVSP a1, a15 + +.Lmove_save_area: + // Okay. a1 now contains the new SP value. + +# if ERROR_CHECKING + // Verify it is sensible: + extui a3, a1, 0, 2 // verify that new SP is 4-byte aligned + beqz a3, 1f // if so, skip fixup + +// .global _xtos_misaligned_movsp // make label visible for debugging +//_xtos_misaligned_movsp: +# if XCHAL_HAVE_DEBUG + break 1, 15 // break into debugger (if any) +# endif + sub a1, a1, a3 // FORCE alignment of the new pointer (!) +1: +# endif + +# if XCHAL_HAVE_XEA2 + addi a2, a5, ESF_TOTALSIZE // compute a2 = old SP +# else /*XEA1:*/ + addi a2, a5, ESF_TOTALSIZE-16 // compute a2 = old SP's save area +# endif + // Does new SP (in a1) overlap with exception stack frame (in a5)?: + movi a4, ESF_TOTALSIZE // size of exception stack frame + sub a3, a1, a5 // distance from ESF ptr to new SP + bgeu a3, a4, 1f // does new SP overlap ESF? branch if not + // Move ESF down so it doesn't overlap with the new register save area: + // (a1 = current ESF, a2 = new SP, a4 = ESF_TOTALSIZE) + sub a5, a5, a4 // shift down ESF (by ESF size) + l32i a3, a5, UEXC_a2+ESF_TOTALSIZE + l32i a4, a5, UEXC_a3+ESF_TOTALSIZE + s32i a3, a5, UEXC_a2 + s32i a4, a5, UEXC_a3 + l32i a3, a5, UEXC_a4+ESF_TOTALSIZE + l32i a4, a5, UEXC_a5+ESF_TOTALSIZE + s32i a3, a5, UEXC_a4 + s32i a4, a5, UEXC_a5 +1: + + // Move the register save area (from old SP to new SP): +# if XCHAL_HAVE_XEA2 + l32e a3, a2, -16 + l32e a4, a2, -12 + s32e a3, a1, -16 + s32e a4, a1, -12 + l32e a3, a2, -8 + l32e a4, a2, -4 + s32e a3, a1, -8 + s32e a4, a1, -4 +# else /*XEA1:*/ + addi a1, a1, -16 // point to new save area + l32i a3, a2, 0 + l32i a4, a2, 4 + s32i a3, a1, 0 + s32i a4, a1, 4 + l32i a3, a2, 8 + l32i a4, a2, 12 + s32i a3, a1, 8 + s32i a4, a1, 12 + addi a1, a1, 16 // back to correct new SP +# endif /*XEA1*/ + // (+?? cycles max above = ?? cycles, assuming cache hits, 5-stage pipe, etc) + + // Restore a2, a3, a4, a5, and return: + l32i a2, a5, UEXC_a2 + l32i a3, a5, UEXC_a3 + l32i a4, a5, UEXC_a4 + l32i a5, a5, UEXC_a5 + rfe_rfue + // (+?? cycles max above = ?? cycles, assuming cache hits, 5-stage pipe, etc) + + +#endif /* !XCHAL_HAVE_WINDOWED || __XTENSA_CALL0_ABI */ + + .size _xtos_alloca_handler, . - _xtos_alloca_handler + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-c-wrapper-handler.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-c-wrapper-handler.S new file mode 100755 index 0000000..b5a0e34 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-c-wrapper-handler.S @@ -0,0 +1,400 @@ +// exc-c-wrapper-handler.S - General Exception Handler that Dispatches C Handlers + +// Copyright (c) 2002-2004, 2006-2007, 2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include +#include "xtos-internal.h" +#ifdef SIMULATOR +#include +#endif + +#if XCHAL_HAVE_EXCEPTIONS + + +/* + * This assembly-level handler causes the associated exception (usually causes 12-15) + * to be handled as if it were exception cause 3 (load/store error exception). + * This provides forward-compatibility with a possible future split of the + * load/store error cause into multiple more specific causes. + */ + .align 4 + .global _xtos_cause3_handler +_xtos_cause3_handler: + movi a2, EXCCAUSE_LOAD_STORE_ERROR + j _xtos_c_wrapper_handler + .size _xtos_cause3_handler, . - _xtos_cause3_handler + + + +/* + * This is the general exception assembly-level handler that dispatches C handlers. + */ + .align 4 + .global _xtos_c_wrapper_handler +_xtos_c_wrapper_handler: + + // HERE: a2, a3, a4 have been saved to exception stack frame allocated with a1 (sp). + // a2 contains EXCCAUSE. + s32i a5, a1, UEXC_a5 // a5 will get clobbered by ENTRY after the pseudo-CALL4 + // (a4..a15 spilled as needed; save if modified) + + //NOTA: Possible future improvement: + // keep interrupts disabled until we get into the handler, such that + // we don't have to save other critical state such as EXCVADDR here. + //rsr a3, EXCVADDR + s32i a2, a1, UEXC_exccause + //s32i a3, a1, UEXC_excvaddr + +#if XCHAL_HAVE_XEA1 +# if XCHAL_HAVE_INTERRUPTS + rsilft a3, 1, XTOS_LOCKLEVEL // lockout + rsr a2, INTENABLE + //movi a3, ~XCHAL_EXCM_MASK + movi a3, ~XTOS_LOCKOUT_MASK // mask out low and medium priority levels, and high priority levels covered by + // XTOS_LOCKLEVEL if any, so we can run at PS.INTLEVEL=0 while manipulating INTENABLE + s32i a2, a1, UEXC_sar // (temporary holding place for INTENABLE value to restore after pseudo-CALL4 below) + and a3, a2, a3 // mask out selected interrupts + wsr a3, INTENABLE // disable all interrupts up to and including XTOS_LOCKLEVEL +# endif + movi a3, PS_WOE|PS_CALLINC(1)|PS_UM // WOE=1, UM=1, INTLEVEL=0, CALLINC=1 (call4 emul), OWB=(dontcare)=0 + + // NOTE: could use XSR here if targeting T1040 or T1050 hardware (requiring slight sequence adjustment as for XEA2): + rsr a2, PS + rsync //NOT-ISA-DEFINED // wait for WSR to INTENABLE to complete before clearing PS.INTLEVEL + wsr a3, PS // PS.INTLEVEL=0, effective INTLEVEL (via INTENABLE) is XTOS_LOCKLEVEL + + // HERE: window overflows enabled, but NOT SAFE because we're not quite + // in a valid windowed context (haven't restored a1 yet...); + // so don't cause any (keep to a0..a3) until we've saved critical state and restored a1: + + // NOTE: MUST SAVE EPC1 before causing any overflows, because overflows corrupt EPC1. + rsr a3, EPC_1 + s32i a2, a1, UEXC_ps + s32i a3, a1, UEXC_pc + +#else /* !XEA1 */ + + // Set PS fields: + // EXCM = 0 + // WOE = __XTENSA_CALL0_ABI__ ? 0 : 1 + // UM = 1 + // INTLEVEL = EXCM_LEVEL = 1 + // CALLINC = __XTENSA_CALL0_ABI__ ? 0 : 1 + // OWB = 0 (really, a dont care if !__XTENSA_CALL0_ABI__) + +# ifdef __XTENSA_CALL0_ABI__ + movi a2, PS_UM|PS_INTLEVEL(XCHAL_EXCM_LEVEL) +# else + movi a2, PS_WOE|PS_CALLINC(1)|PS_UM|PS_INTLEVEL(XCHAL_EXCM_LEVEL) // CALL4 emulation +# endif + rsr a3, EPC_1 + xsr a2, PS + + // HERE: window overflows enabled, but NOT SAFE because we're not quite + // in a valid windowed context (haven't restored a1 yet...); + // so don't cause any (keep to a0..a3) until we've saved critical state and restored a1: + + // NOTE: MUST SAVE EPC1 before causing any overflows, because overflows corrupt EPC1. + s32i a3, a1, UEXC_pc + s32i a2, a1, UEXC_ps +#endif + +#ifdef __XTENSA_CALL0_ABI__ + + s32i a0, a1, UEXC_a0 // save the rest of the registers + s32i a6, a1, UEXC_a6 + s32i a7, a1, UEXC_a7 + s32i a8, a1, UEXC_a8 + s32i a9, a1, UEXC_a9 + s32i a10, a1, UEXC_a10 + s32i a11, a1, UEXC_a11 + s32i a12, a1, UEXC_a12 + s32i a13, a1, UEXC_a13 + s32i a14, a1, UEXC_a14 + s32i a15, a1, UEXC_a15 +# if XTOS_DEBUG_PC + // TODO: setup return PC for call traceback through interrupt dispatch +# endif + + rsync // wait for WSR to PS to complete + +#else /* ! __XTENSA_CALL0_ABI__ */ + +# if XTOS_CNEST + l32i a2, a1, ESF_TOTALSIZE-20 // save nested-C-func call-chain ptr +# endif + addi a1, a1, ESF_TOTALSIZE // restore sp (dealloc ESF) for sane stack again + rsync // wait for WSR to PS to complete + + /* HERE: we can SAFELY get window overflows. + * + * From here, registers a4..a15 automatically get spilled if needed. + * They become a0..a11 after the ENTRY instruction. + * Currently, we don't check whether or not these registers + * get spilled, so we must save and restore any that we + * modify. We've already saved a4 and a5 + * which we modify as part of the pseudo-CALL. + * + * IMPLEMENTATION NOTE: + * + * The pseudo-CALL below effectively saves registers a2..a3 so + * that they are available again after the corresponding + * RETW when returning from the exception handling. We + * could choose to put something like EPC1 or PS in + * there, so they're available more quickly when + * restoring. HOWEVER, exception handlers may wish to + * change such values, or anything on the exception stack + * frame, and expect these to be restored as modified. + * + * NOTA: future: figure out what's the best thing to put + * in a2 and a3. (candidate: a4 and a5 below; but what + * if exception handler manipulates ARs, as in a syscall + * handler.... oh well) + * + * + * Now do the pseudo-CALL. + * Make it look as if the code that got the exception made a + * CALL4 to the exception handling code. (We call + * this the "pseudo-CALL".) + * + * This pseudo-CALL is important and done this way: + * + * 1. There are only three ways to safely update the stack pointer + * in the windowed ABI, such that window exceptions work correctly: + * (a) spill all live windows to stack then switch to a new stack + * (or, save the entire address register file and window + * registers, which is likely even more expensive) + * (b) use MOVSP (or equivalent) + * (c) use ENTRY/RETW + * Doing (a) is excessively expensive, and doing (b) here requires + * copying 16 bytes back and forth which is also time-consuming; + * whereas (c) is very efficient, so that's what we do here. + * + * 2. Normally we cannot do a pseudo-CALL8 or CALL12 here. + * According to the + * windowed ABI, a function must allocate enough space + * for the largest call that it makes. However, the + * pseudo-CALL is executed in the context of the + * function that happened to be executing at the time + * the interrupt was taken, and that function might or + * might not have allocated enough stack space for a + * CALL8 or a CALL12. If we try doing a pseudo-CALL8 + * or -CALL12 here, we corrupt the stack if the + * interrupted function happened to not have allocated + * space for such a call. + * + * 3. We set the return PC, but it's not strictly + * necessary for proper operation. It does make + * debugging, ie. stack tracebacks, much nicer if it + * can point to the interrupted code (not always + * possible, eg. if interrupted code is in a different + * GB than the interrupt handling code, which is + * unlikely in a system without protection where + * interrupt handlers and general application code are + * typically linked together). + * + * IMPORTANT: Interrupts must stay disabled while doing the pseudo-CALL, + * or at least until after the ENTRY instruction, because SP has been + * restored to its original value that does not reflect the exception + * stack frame's allocation. An interrupt taken here would + * corrupt the exception stack frame (ie. allocate another over it). + * (High priority interrupts can remain enabled, they save and restore + * all of their state and use their own stack or save area.) + * For the same reason, we mustn't get any exceptions in this code + * (other than window exceptions where noted) until ENTRY is done. + */ + + // HERE: may get a single window overflow (caused by the following instruction). + +# if XTOS_DEBUG_PC + movi a4, 0xC0000000 // [for debug] for return PC computation below + or a3, a4, a3 // [for debug] set upper two bits of return PC + addx2 a4, a4, a3 // [for debug] clear upper bit +# else + movi a4, 0 // entry cannot cause overflow, cause it here +# endif + + .global _GeneralException +_GeneralException: // this label makes tracebacks through exceptions look nicer + + _entry a1, ESF_TOTALSIZE // as if after a CALL4 (PS.CALLINC set to 1 above) + + /* + * The above ENTRY instruction does a number of things: + * + * 1. Because we're emulating CALL4, the ENTRY rotates windows + * forward by 4 registers (as per 'ROTW +1'), so that + * a4-a15 became a0-a11. So now: a0-a11 are part of + * the interrupted context to be preserved. a0-a1 + * were already saved above when they were a4-a5. + * a12-a15 are free to use as they're NOT part of the + * interrupted context. We don't need to save/restore + * them, and they will get spilled if needed. + * + * 2. Updates SP (new a1), allocating the exception stack + * frame in the new window, preserving the old a1 in + * the previous window. + * + * 3. The underscore prefix prevents the assembler from + * automatically aligning the ENTRY instruction on a + * 4-byte boundary, which could create a fatal gap in + * the instruction stream. + * + * At this point, ie. before we re-enable interrupts, we know the caller is + * always live so we can safely modify a1 without using MOVSP (we can use MOVSP + * but it will never cause an ALLOCA or underflow exception here). + * So this is a good point to modify the stack pointer if we want eg. to + * switch to an interrupt stack (if we do, we need to save the current SP + * because certain things have been saved to that exception stack frame). + * We couldn't do this easily before ENTRY, where the caller wasn't + * necessarily live. + * + * NOTE: We don't switch to an interrupt stack here, because exceptions + * are generally caused by executing code -- so we handle exceptions in + * the context of the thread that cause them, and thus remain on the same + * stack. This means a thread's stack must be large enough to handle + * the maximum level of nesting of exceptions that the thread can cause. + */ + + // NOTA: exception handlers for certain causes may need interrupts to be kept + // disabled through their dispatch, so they can turn them off themselves at + // the right point (if at all), eg. to save critical state unknown to this + // code here, or for some recovery action that must be atomic with respect + // to interrupts.... + // + // Perhaps two versions of this assembly-level handler are needed, one that restores + // interrupts to what they were before the exception was taken (as here) + // and one that ensures at least low-priority interrupts are kept disabled? + // NOTA: For now, always enable interrupts here. + + /* + * Now we can enable interrupts. + * (Pseudo-CALL is complete, and SP reflects allocation of exception stack frame.) + */ + +#endif /* __XTENSA_CALL0_ABI__ */ + + +#if XCHAL_HAVE_INTERRUPTS +# if XCHAL_HAVE_XEA1 + //... recompute and set INTENABLE ... + l32i a13, a1, UEXC_sar // (temporary holding place for INTENABLE value saved before pseudo-CALL4 above) + rsr a12, SAR + wsr a13, INTENABLE // restore INTENABLE as it was on entry +# else + rsr a12, SAR + rsil a13, 0 +# endif +#else + rsr a12, SAR +#endif + + movi a13, _xtos_c_handler_table // &table + l32i a15, a1, UEXC_exccause // arg2: exccause + + s32i a12, a1, UEXC_sar + save_loops_mac16 a1, a12, a14 // save LOOP & MAC16 regs, if configured + + addx4 a12, a15, a13 // a12 = table[exccause] + l32i a12, a12, 0 // ... +#ifdef __XTENSA_CALL0_ABI__ + mov a2, a1 // arg1: exception parameters + mov a3, a15 // arg2: exccause + beqz a12, 1f // null handler => skip call + callx0 a12 // call C exception handler for this exception +#else + mov a14, a1 // arg1: exception parameters + // mov a15, a15 // arg2: exccause, already in a15 + beqz a12, 1f // null handler => skip call + callx12 a12 // call C exception handler for this exception +#endif +1: + // Now exit the handler. + + + // Restore special registers + + restore_loops_mac16 a1, a13, a14, a15 // restore LOOP & MAC16 regs, if configured + l32i a14, a1, UEXC_sar + + /* + * Disable interrupts while returning from the pseudo-CALL setup above, + * for the same reason they were disabled while doing the pseudo-CALL: + * this sequence restores SP such that it doesn't reflect the allocation + * of the exception stack frame, which we still need to return from + * the exception. + */ + +#if XCHAL_HAVE_INTERRUPTS +# if XCHAL_HAVE_XEA1 + // Must disable interrupts via INTENABLE, because PS.INTLEVEL gets zeroed + // by any window exception exit, eg. the window underflow that may happen + // upon executing the RETW instruction. + // Also, must disable at XTOS_LOCKLEVEL, not just EXCM_LEVEL, because this + // code effectively manipulates virtual INTENABLE state up to the point + // INTENABLE is written in _xtos_return_from_exc. + // + rsilft a12, 1, XTOS_LOCKLEVEL // lockout + rsr a12, INTENABLE + //movi a13, ~XCHAL_EXCM_MASK + movi a13, ~XTOS_LOCKOUT_MASK // mask out low and medium priority levels, and high priority levels covered by + // XTOS_LOCKLEVEL if any, so we can run at PS.INTLEVEL=0 while manipulating INTENABLE + s32i a12, a1, UEXC_sar // (temporary holding place for INTENABLE value to restore after pseudo-CALL4 below) + and a13, a12, a13 // mask out selected interrupts + wsr a13, INTENABLE // disable all interrupts up to and including XTOS_LOCKLEVEL +# else + rsil a12, XCHAL_EXCM_LEVEL +# endif +#endif + wsr a14, SAR + + movi a0, _xtos_return_from_exc +#ifdef __XTENSA_CALL0_ABI__ + jx a0 +#else /* ! __XTENSA_CALL0_ABI__ */ + /* Now return from the pseudo-CALL from the interrupted code, to rotate + * our windows back... */ + + movi a13, 0xC0000000 + //movi a13, 3 + //slli a13, a13, 30 +# if XCHAL_HAVE_XEA1 && XCHAL_HAVE_INTERRUPTS + rsync //NOT-ISA-DEFINED // wait for WSR to INTENABLE to complete before doing RETW + // (ie. before underflow exception exit) + // (not needed, because underflow exception entry does implicit ISYNC ?? + // but in case underflow not taken, WSR must complete before wsr to PS that lowers PS.INTLEVEL + // possibly below XTOS_LOCKLEVEL, in which RETW's jump is not sufficient sync, so a sync + // is needed but it can be placed just before WSR to PS -- but here is fine) +# endif + or a0, a0, a13 // set upper two bits + addx2 a0, a13, a0 // clear upper bit + retw +#endif /* ! __XTENSA_CALL0_ABI__ */ + + /* FIXME: what about _GeneralException ? */ + .size _xtos_c_wrapper_handler, . - _xtos_c_wrapper_handler + + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-return.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-return.S new file mode 100755 index 0000000..5a85b10 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-return.S @@ -0,0 +1,123 @@ +// exc-return.S - Shared exception/interrupt return code + +// Copyright (c) 2002-2004, 2006-2007, 2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include +#include "xtos-internal.h" + +#if XCHAL_HAVE_EXCEPTIONS + + .text + .align 4 + .global _xtos_return_from_exc +_xtos_return_from_exc: + +#ifdef __XTENSA_CALL0_ABI__ + + l32i a0, a1, UEXC_a0 // restore general registers, pc, ps + l32i a4, a1, UEXC_a4 + l32i a5, a1, UEXC_a5 + l32i a6, a1, UEXC_a6 + l32i a7, a1, UEXC_a7 + l32i a8, a1, UEXC_a8 + l32i a9, a1, UEXC_a9 + l32i a10, a1, UEXC_a10 + l32i a11, a1, UEXC_a11 + l32i a12, a1, UEXC_a12 + l32i a13, a1, UEXC_a13 + l32i a14, a1, UEXC_a14 + l32i a15, a1, UEXC_a15 + + l32i a2, a1, UEXC_pc + l32i a3, a1, UEXC_ps + wsr a2, EPC_1 + wsr a3, PS + + l32i a2, a1, UEXC_a2 + l32i a3, a1, UEXC_a3 + + rsync // wait for WSR to PS to complete + + addi a1, a1, ESF_TOTALSIZE // restore sp + + rfe + +#else /* ! __XTENSA_CALL0_ABI__ */ + + + // Here we rotated back by N registers, to the interrupted code's register window. + // NOTA: a2 and a3 might contain something useful, but we haven't determined + // what that might be yet (for now, a2 contains nested-C-func call-chain ptr). + + // NOTE: a5 still contains the exception window's exception stack frame pointer. + +# if XTOS_CNEST + s32i a2, a5, ESF_TOTALSIZE-20 // restore nested-C-func call-chain ptr +# endif + l32i a2, a5, UEXC_ps + l32i a3, a5, UEXC_pc + wsr a2, PS // for XEA2, this sets EXCM; for XEA1, this sets INTLEVEL to 1; ... + +# if XCHAL_HAVE_XEA1 && XCHAL_HAVE_INTERRUPTS + l32i a4, a5, UEXC_sar // load INTENABLE value that restores original vpri + l32i a2, a5, UEXC_a2 + rsync // wait for WSR to PS to complete + wsr a4, INTENABLE // update INTENABLE to restore original vpri (PS.INTLEVEL=1 here) + l32i a4, a5, UEXC_a4 +# else + l32i a2, a5, UEXC_a2 + l32i a4, a5, UEXC_a4 + rsync // wait for WSR to PS to complete +# endif + + /* FIXME: Enabling this here may break task-engine builds + * because task engines have exceptions (sort of), but they do + * not have the EPC_1 special register. XCHAL_HAVE_INTERRUPTS + * is incorrect for normal configs without interrupts but with + * exceptions (we still need to restore EPC_1). The correct + * solution is to define XCHAL_HAVE_EXCEPTIONS more strictly + * to mean something like "Have exceptions with + * user/kernel/double vectors" so that task engines are + * excluded. This would be a change to + * . */ + + wsr a3, EPC_1 + // HERE: + // - we cannot get window overflows anymore -- we're NOT in a valid windowed context + // - low-priority interrupts are still disabled + + // NOTE: we don't restore EXCCAUSE or EXCVADDR, not needed. + + // Restore a3, a5: + l32i a3, a5, UEXC_a3 + l32i a5, a5, UEXC_a5 + + rfe_rfue + +#endif /* __XTENSA_CALL0_ABI__ */ + + .size _xtos_return_from_exc, . - _xtos_return_from_exc + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-sethandler.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-sethandler.c new file mode 100755 index 0000000..7d83b73 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-sethandler.c @@ -0,0 +1,66 @@ +/* exc-sethandler.c - register an exception handler in XTOS */ + +/* + * Copyright (c) 1999-2006 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +#include +#include +#include "xtos-internal.h" + + +#if XCHAL_HAVE_EXCEPTIONS + +extern void _xtos_c_wrapper_handler(void); /* assembly-level handler for C handlers */ +extern void _xtos_unhandled_exception(void); /* assembly-level handler for exceptions + with no registered handler */ +extern void _xtos_p_none(void); /* default/empty C handler */ + + +extern _xtos_handler _xtos_c_handler_table[]; +extern _xtos_handler _xtos_exc_handler_table[]; + +/* + * Register a C handler for the specified general exception + * (specified EXCCAUSE value). + */ +_xtos_handler _xtos_set_exception_handler( int n, _xtos_handler f ) +{ + _xtos_handler ret; + + if( (unsigned) n >= XCHAL_EXCCAUSE_NUM ) + return 0; + if( f == 0 ) + f = &_xtos_p_none; + ret = _xtos_c_handler_table[n]; + _xtos_exc_handler_table[n] = ( (f == &_xtos_p_none) + ? &_xtos_unhandled_exception + : &_xtos_c_wrapper_handler ); + _xtos_c_handler_table[n] = f; + if( ret == &_xtos_p_none ) + ret = 0; + + return ret; +} + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-syscall-c-handler.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-syscall-c-handler.c new file mode 100755 index 0000000..405f7b6 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-syscall-c-handler.c @@ -0,0 +1,101 @@ +/* exc-syscall-c-handler.c - SYSCALL instruction XTOS handler in C */ + +/* + * Copyright (c) 1999-2006 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +#include +#include "xtos-internal.h" + + +/* + * User vector mode exception handler for the SYSCALL cause. + * + * NOTE: This function is NOT used by default. The assembly-level + * handler version of this function is normally used instead. + * This function is provided as an example only. + * To use it instead of the default assembly-level version, + * you can register it using _xtos_set_exception_handler(). + * For example: + * + * #include + * #include + * _xtos_set_exception_handler( EXCCAUSE_SYSCALL, + * (_xtos_handler)_xtos_p_syscall_handler ); + */ +UserFrame* _xtos_p_syscall_handler( UserFrame *uf /*, int cause */ ) +{ + uf->pc += 3; /* skip SYSCALL instruction */ + +#if XCHAL_HAVE_LOOPS + /* + * If the SYSCALL instruction was the last instruction in the body + * of a zero-overhead loop, then we should decrement the loop count + * and resume execution at the head of the loop. + */ + + if( uf->pc == uf->lend && uf->lcount != 0 ) + { + uf->lcount--; + uf->pc = uf->lbeg; + } +#endif /*XCHAL_HAVE_LOOP*/ + + /* + * Handle the system call. + * + * A typical SYSCALL handler uses code such as this to handle + * the system call, where the operation to be done is determined + * by the a2 register. Parameters to the operation are typically + * passed in address registers a3 and up. Results are typically + * returned in a2. (See Linux source code for example.) + */ + switch( uf->a2 ) { + case 0: + /* Spill register windows to the stack. */ + /* + * The Xtensa architecture reserves the a2==0 condition as a request + * to flush (spill) register windows to the stack. The current exception + * handling implementation never spills windows to the stack (it used + * to always spill, not true anymore), so we have to spill windows + * explicitly here. (Note that xthal_window_spill() spills windows + * that are part of the interrupt handling context, that don't + * really need to be spilled, but that's harmless other than being + * less than optimally efficient.) + * + * Also, be nice to programmers here. If they're + * building for Call0 ABI, silently do nothing for + * syscall a2==0. + */ +#ifdef __XTENSA_WINDOWED_ABI__ + xthal_window_spill(); +#endif + break; + + default: + uf->a2 = -1 /*ENOSYS*/; /* system call not supported */ + break; + } + + return( uf ); +} + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-syscall-handler.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-syscall-handler.S new file mode 100755 index 0000000..2429b76 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-syscall-handler.S @@ -0,0 +1,190 @@ +/* exc-syscall-handler.S - XTOS syscall instruction handler */ + +/* + * Copyright (c) 1999-2010 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +/* + * The SYSCALL instruction is typically used to implement system calls. + * By convention, register a2 identifies the requested system call. + * Typically, other parameters are passed in registers a3 and up, + * and results are returned in a2. + * + * The Xtensa windowed ABI reserves the value zero of register a2 + * as a request to force register windows to the stack. The call0 ABI, + * which has no equivalent operation, reserves this value as a no-op. + * + * Generally, only code that traverses the stack in unusual ways needs + * to force (spill) register windows to the stack. In generic C or C++, + * there are four cases, and they all use the standard SYSCALL mechanism: + * + * 1. C++ exceptions + * 2. setjmp and longjmp + * 3. functions using the GNU extension "__builtin_return_address" + * 4. functions using the GNU extension "nonlocal goto" + * + * NOTE: Specific operating systems often need to spill register windows + * to the stack in other situations such as context-switching, passing + * Unix-like signals to threads, displaying stack tracebacks, etc. + * They may choose to use the SYSCALL mechanism to do so, or use other + * means such as calling xthal_window_spill() or other methods. + * + * If you want to handle other system calls, you can modify this file, or + * use the C version of it in exc-syscall-handler.c . The Xtensa ABIs only + * define system call zero; the behavior of other system calls is up to you. + */ + +#include +#include "xtos-internal.h" + + +#if XCHAL_HAVE_EXCEPTIONS + +//Vector: +// addi a1, a1, -ESF_TOTALSIZE // allocate exception stack frame, etc. +// s32i a2, a1, UEXC_a2 +// s32i a3, a1, UEXC_a3 +// movi a3, _xtos_exc_handler_table +// rsr a2, EXCCAUSE +// addx4 a2, a2, a3 +// l32i a2, a2, 0 +// s32i a4, a1, UEXC_a4 +// jx a2 // jump to cause-specific handler + + .global _need_user_vector_ // pull-in real user vector (tiny LSP) + + + /* + * The SYSCALL handler is entered when the processor + * executes the SYSCALL instruction. + * By convention, the system call to execute is specified in a2. + */ + .text + .align 4 + .global _xtos_syscall_handler +_xtos_syscall_handler: + // HERE: a2, a3, a4 have been saved to the exception stack frame allocated with a1 (sp). + // We ignore that a4 was saved, we don't clobber it. + + rsr a3, EPC_1 +#if XCHAL_HAVE_LOOPS + // If the SYSCALL instruction was the last instruction in the body of + // a zero-overhead loop, and the loop will execute again, decrement + // the loop count and resume execution at the head of the loop: + // + rsr a2, LEND + addi a3, a3, 3 // increment EPC to skip the SYSCALL instruction + bne a2, a3, 1f + rsr a2, LCOUNT + beqz a2, 1f + addi a2, a2, -1 + wsr a2, LCOUNT + rsr a3, LBEG +1: l32i a2, a1, UEXC_a2 // get the system call number +#else + // No loop registers. + l32i a2, a1, UEXC_a2 // get the system call number + addi a3, a3, 3 // increment EPC to skip the SYSCALL instruction +#endif + wsr a3, EPC_1 // update EPC1 past SYSCALL + l32i a3, a1, UEXC_a3 // restore a3 + // If you want to handle other system calls, check a2 here. + +#ifdef __XTENSA_WINDOWED_ABI__ + bnez a2, .Lnotzero // is syscall number zero? + + /* Spill register windows to the stack. */ + + // Save a2 thru a5 in the nested-C-function area, where an interrupt + // won't clobber them. The pseudo-CALL's ENTRY below clobbers a4 and a5. + //s32i a2, a1, (ESF_TOTALSIZE - 32) + 0 // a2 is zero, no need to save + s32i a3, a1, (ESF_TOTALSIZE - 32) + 4 + s32i a4, a1, (ESF_TOTALSIZE - 32) + 8 + s32i a5, a1, (ESF_TOTALSIZE - 32) + 12 + + movi a3, PS_WOE|PS_CALLINC(1)|PS_UM|PS_INTLEVEL(XCHAL_EXCM_LEVEL) // CALL4 emulation + rsr a2, PS // save PS in a2 + wsr a3, PS // PS.INTLEVEL=EXCMLEVEL (1 for XEA1) + // HERE: window overflows enabled but NOT SAFE yet, touch only a0..a3 until it's safe. + rsr a3, EPC_1 // save EPC1 in a3 + addi a1, a1, ESF_TOTALSIZE // restore sp (dealloc ESF) for sane stack again + rsync // wait for WSR to PS to complete + // HERE: Window overflows and interrupts are safe, we saved EPC1 and + // restored a1, and a4-a15 are unmodified. + // Pseudo-CALL: make it look as if the code that executed SYSCALL + // made a CALL4 to here. See user exc. handler comments for details. + // ENTRY cannot cause window overflow; touch a4 to ensure a4-a7 + // overflow if needed: + movi a4, 0 // clears pseudo-CALL's return PC + // NOTE: On XEA1 processors, return from window overflow re-enables + // interrupts (by clearing PS.INTLEVEL). This is okay even though SP + // is unallocated because we saved state safe from interrupt dispatch. + .global _SyscallException +_SyscallException: // label makes tracebacks look nicer + _entry a1, 64 // as if after a CALL4 (PS.CALLINC==1) + // Call deep enough to force spill of entire address register file. + _call12 .Ldeep +1: movi a14, 0x80000000 + .Ldelta_done + add a0, a12, a14 // clear a0 msbit (per CALL4), offset +3: retw // return from pseudo-CALL4 + + // NOTE: a5 still contains the exception window's exception stack frame pointer. +.LMdon: wsr a2, PS // for XEA2, this sets EXCM; for XEA1, this sets INTLEVEL to 1; ... + movi a2, 0 // indicate successful SYSCALL (?) + l32i a4, a5, 32 + 8 + rsync // complete WSR to PS for safe write to EPC1 + wsr a3, EPC_1 + l32i a3, a5, 32 + 4 + l32i a5, a5, 32 + 12 + rfe_rfue + + .set .Ldelta_retw, (3b - 1b) + .set .Ldelta_done, (.LMdon - 1b) + + .align 4 +.Ldeep: entry a1, 48 +#if XCHAL_NUM_AREGS < 64 + mov a15, a15 // touch just far enough to overflow 32 +#else + movi a12, .Ldelta_retw // use movi/add because of relocation + add a12, a0, a12 // set return PC as per CALL12 + _entry a1, 48 // last call was call12 so PS.CALLINC==3 + mov a12, a0 // set return PC + _entry a1, 48 + mov a12, a0 // set return PC + _entry a1, 16 + mov a11, a11 // touch just far enough to overflow 64 +#endif + retw + +#endif /* __XTENSA_WINDOWED_ABI__ */ + +.Lnotzero: + movi a2, -1 /*ENOSYS*/ // system call not supported + addi a1, a1, ESF_TOTALSIZE + rfe_rfue + + .size _xtos_syscall_handler, . - _xtos_syscall_handler + + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-table.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-table.S new file mode 100755 index 0000000..db6eaa5 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-table.S @@ -0,0 +1,62 @@ +// exc-table.S - general exception C handler table + +// Copyright (c) 1999-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + + +#if XCHAL_HAVE_EXCEPTIONS + + /* + * Table of exception handlers (in C) for user vectored exceptions. + * Provides entries for all possible 64 exception causes + * currently allowed for in the EXCCAUSE register. + */ + .data + .global _xtos_c_handler_table + .align 4 +_xtos_c_handler_table: + .rept XCHAL_EXCCAUSE_NUM + .word _xtos_p_none + .endr + + /* + * Default/empty exception C handler. + * This is just a placeholder for exception causes with no registered + * handler; it normally never gets executed. + * NOTE: control goes first to the debugger if one is present; + * see _xtos_unhandled_exception in exc-unhandled.S . + */ + .text + .align 4 + .global _xtos_p_none + .type _xtos_p_none,@function +_xtos_p_none: + abi_entry + // Do nothing. + abi_return + .size _xtos_p_none, . - _xtos_p_none + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-unhandled.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-unhandled.S new file mode 100755 index 0000000..29723ea --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exc-unhandled.S @@ -0,0 +1,84 @@ +// exc-unhandled.S - General Exception Handler for unhandled exceptions + +// Copyright (c) 2002-2004, 2006, 2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include +#include "xtos-internal.h" +#ifdef SIMULATOR +#include +#endif + +#if XCHAL_HAVE_EXCEPTIONS + +/* + * Assembly-level exception handler used when no handler was + * registered for the specific exception cause. + * + * The BREAK instruction is used to give control to the debugger, + * if one is present and active. (If none is present and active, + * the default debug exception handler will typically skip over + * this break instruction.) + * This code follows the convention documented in the ISA manual + * to use BREAK instructions to signal unhandled exceptions to the + * debugger. For the debugger to report or handle this condition + * in an OS-independent manner, all processor state (except PC) + * must be restored as it was when the unhandled exception just + * occurred (ie. as it was at the beginning of the vector). + * If execution continues after the BREAK instruction (in which + * case any register might have been modified by the debugger), + * just return. + */ + .text + .align 4 + + // If not pulled-in some other way, define it as unhandled: + .weak _xtos_cause3_handler + .global _xtos_cause3_handler +_xtos_cause3_handler: + + .global _xtos_unhandled_exception +_xtos_unhandled_exception: +#if XCHAL_HAVE_DEBUG || defined(SIMULATOR) + l32i a2, a1, UEXC_a2 // restore a2 + l32i a3, a1, UEXC_a3 // restore a3 + // Note: a4-a5 not clobbered, no need to restore. + addi a1, a1, ESF_TOTALSIZE // restore sp +# if XCHAL_HAVE_DEBUG + break 1, 1 // give control to the debugger (if any present) +# else + wsr a2, EXCSAVE1 // save a2 where simulator expects it + movi a2, SYS_unhandled_user_exc + simcall // let simulator/debugger report unhandled exception + rsr a2, EXCSAVE1 // restore a2 +# endif + rfe_rfue // if sim/debug resume, just return +#else /* DEBUG or SIMULATOR */ + j _xtos_unhandled_exception // just loop forever +#endif /* DEBUG or SIMULATOR */ + + .size _xtos_unhandled_exception, . - _xtos_unhandled_exception + + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exit.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exit.S new file mode 100755 index 0000000..2793edf --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/exit.S @@ -0,0 +1,72 @@ +// exit.S +// +// For hardware / boards, this is the default _exit routine called by the +// C library exit() function. If the program ever exits, we eventually +// end up here after all C library cleanup (such as closing open files, +// calling exit callbacks and C++ destructors, etc) is complete. + +// Copyright (c) 1998-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + +// Macros to abstract away ABI differences +#if __XTENSA_CALL0_ABI__ +# define CALL call0 +#else +# define CALL call4 +#endif + + + .text + .align 4 + .global _exit + .type _exit, @function +_exit: + abi_entry 0, 4 + + // sync dirty data to memory before terminating +#if XCHAL_DCACHE_IS_COHERENT + CALL xthal_cache_coherence_optout +#elif XCHAL_DCACHE_IS_WRITEBACK + CALL xthal_dcache_all_writeback +#endif + + // sync queues (if any, only for LX and later): +#if XCHAL_HW_MIN_VERSION >= XTENSA_HWVERSION_RA_2004_1 /* LX or later? */ + extw +#endif + // can break to debug monitor, go to sleep with waiti, or just spin in a loop +.L0: +#if XCHAL_HAVE_DEBUG + break 0, 0 // back to debugger, if one is attached +#elif XCHAL_HAVE_INTERRUPTS + waiti 15 +#elif XCHAL_HAVE_HALT + halt +#endif + j .L0 + //abi_exit + + .size _exit, . - _exit + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/init.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/init.c new file mode 100755 index 0000000..065fb08 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/init.c @@ -0,0 +1,64 @@ +/* init.c - context initialization */ + +/* + * Copyright (c) 1999-2006 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +#include +#if XCHAL_NUM_CONTEXTS > 1 +#include +#endif + + + +#if 0 /* XCHAL_NUM_CONTEXTS > 1 */ +extern void _xtos_setup_context(int context_num, SetupInfo *info); +extern void _xtos_start_context(void); + +/* + * Sets up a context for running code. + * + * Returns PC at which to set the new context, or 0 on error. + */ +unsigned _xtos_init_context(int context_num, int stack_size, + _xtos_handler_func *start_func, int arg1) +{ + SetupInfo info; + + /* Allocate stack: */ + char *sp; + char *stack = malloc(stack_size); + if (stack == NULL) + return 0; + + /* Setup stack for call8: */ + sp = stack + stack_size - 16; + *(unsigned*)(sp - 12) = (unsigned)(sp + 32); + + info.sp = (unsigned)sp; + info.funcpc = (unsigned)start_func; + info.arg1 = arg1; + _xtos_setup_context(context_num, &info); + return (unsigned) &_xtos_start_context; +} +#endif /* multiple contexts */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-handler.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-handler.S new file mode 100755 index 0000000..3c86252 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-handler.S @@ -0,0 +1,59 @@ +// int-handler.S - Interrupt Handler Template (for levels > 1) +// $Id: //depot/main/Xtensa/OS/xtos/inth-template.S#1 $ + +// Copyright (c) 2003-2004, 2006 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + + +// To use this template file, define a macro called _INTERRUPT_LEVEL +// to be the interrupt priority level of the vector, then include this file. +// The default Makefile defines _INTERRUPT_LEVEL when assembling this file +// for each medium and high priority interrupt level. + + +#include +#include "xtos-internal.h" + + +#if XCHAL_HAVE_INTERRUPTS + +# if INTERRUPT_IS_HI(_INTERRUPT_LEVEL) + +# if _INTERRUPT_LEVEL > XTOS_LOCKLEVEL + /* Not safe to dispatch interrupts in C above XTOS_LOCKLEVEL, + * so default to assembly high-priority interrupt handlers template + * in this case (with the default XTOS_LOCKLEVEL this never happens): + */ +# include "int-highpri-template.S" +# else + /* Dispatch high-priority interrupt handlers in C: */ +# include "int-highpri-dispatcher.S" +# endif + +# elif INTERRUPT_IS_MED(_INTERRUPT_LEVEL) + +# include "int-medpri-dispatcher.S" + +# elif (_INTERRUPT_LEVEL <= XCHAL_NUM_INTLEVELS) && (_INTERRUPT_LEVEL != XCHAL_DEBUGLEVEL) +# error INTERNAL ERROR: Interrupt priority levels > 1 must be either hi or medium priority! +# endif + +#endif /* XCHAL_HAVE_INTERRUPTS */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-highpri-dispatcher.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-highpri-dispatcher.S new file mode 100755 index 0000000..334caa2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-highpri-dispatcher.S @@ -0,0 +1,464 @@ +// High-Priority Interrupt Dispatcher Template +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/int-highpri-dispatcher.S#4 $ + +// Copyright (c) 2004-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +// +// This file allows writing high-priority interrupt handlers in C, +// providing convenience at a significant cost in performance. +// +// By default, this file is included by inth-template.S . +// The default Makefile defines _INTERRUPT_LEVEL when assembling +// inth-template.S for each medium and high priority interrupt level. +// +// To use this template file, define a macro called _INTERRUPT_LEVEL +// to be the interrupt priority level of the vector, then include this file. + + +#include +#include "xtos-internal.h" + + +#if XCHAL_HAVE_INTERRUPTS + +#define INTERRUPT_MASK XCHAL_INTLEVEL_MASK(_INTERRUPT_LEVEL) +#define SINGLE_INTERRUPT ((INTERRUPT_MASK & (INTERRUPT_MASK - 1)) == 0) +#define SINGLE_INT_NUM XCHAL_INTLEVEL_NUM(_INTERRUPT_LEVEL) + + +#define INTLEVEL_N_MASK INTERRUPT_MASK // mask of interrupts at this priority +#define INTLEVEL_N_NUM SINGLE_INT_NUM // interrupt number if there is only one +#define INTLEVEL_N_BELOW_MASK XCHAL_INTLEVEL_ANDBELOW_MASK(_INTERRUPT_LEVEL) + +/* Indicates whether there are multiple interrupts at this interrupt + * priority, ie. mapped to this interrupt vector. + * If there is only one, its number is INTLEVEL_N_NUM + */ +#define MULTIPLE_INTERRUPTS (!SINGLE_INTERRUPT) + +/* + * High priority interrupt stack frame: + */ +STRUCT_BEGIN +STRUCT_FIELD (long,4,HESF_,SAR) +STRUCT_FIELD (long,4,HESF_,WINDOWSTART) +STRUCT_FIELD (long,4,HESF_,WINDOWBASE) +STRUCT_FIELD (long,4,HESF_,EPC1) +STRUCT_FIELD (long,4,HESF_,EXCCAUSE) +STRUCT_FIELD (long,4,HESF_,EXCVADDR) +STRUCT_FIELD (long,4,HESF_,EXCSAVE1) +STRUCT_FIELD (long,4,HESF_,VPRI) /* (XEA1 only) */ +#if XCHAL_HAVE_MAC16 +STRUCT_FIELD (long,4,HESF_,ACCLO) +STRUCT_FIELD (long,4,HESF_,ACCHI) +/*STRUCT_AFIELD(long,4,HESF_,MR, 4)*/ +#endif +#if XCHAL_HAVE_LOOPS +STRUCT_FIELD (long,4,HESF_,LCOUNT) +STRUCT_FIELD (long,4,HESF_,LBEG) +STRUCT_FIELD (long,4,HESF_,LEND) +#endif +STRUCT_AFIELD(long,4,HESF_,AREG, 64) /* address registers ar0..ar63 */ +#define HESF_AR(n) HESF_AREG+((n)*4) +STRUCT_END(HighPriFrame) +#define HESF_TOTALSIZE HighPriFrameSize+32 /* 32 bytes for interrupted code's save areas under SP */ + + +#if XCHAL_HAVE_XEA1 && HAVE_XSR /* could be made true for T1040 and T1050 */ +# error "high-priority interrupt stack frame needs adjustment if HAVE_XSR is allowed with XEA1" +#endif + + +#define PRI_N_STACK_SIZE 1024 /* default to 1 kB stack for each level-N handling */ + + + // Allocate save area and stack: + // (must use .bss, not .comm, because the subsequent .set does not work otherwise) + .section .bss, "aw" + .align 16 +LABEL(_Pri_,_Stack): .space PRI_N_STACK_SIZE + HESF_TOTALSIZE + +#if HAVE_XSR + .data + .global LABEL(_Pri_,_HandlerAddress) +LABEL(_Pri_,_HandlerAddress): .space 4 +#endif + + + .text + .align 4 + .global LABEL(_Level,FromVector) +LABEL(_Level,FromVector): + movi a2, LABEL(_Pri_,_Stack) + PRI_N_STACK_SIZE // get ptr to save area + // interlock + + // Save a few registers so we can do some work: + s32i a0, a2, HESF_AR(0) +#if HAVE_XSR + //movi a0, LABEL(_Level,FromVector) // this dispatcher's address + movi a0, LABEL(_Pri_,_HandlerAddress) // dispatcher address var. + s32i a1, a2, HESF_AR(1) + l32i a0, a0, 0 // get dispatcher address + s32i a3, a2, HESF_AR(3) + xsr a0, EXCSAVE_LEVEL // get saved a2, restore dispatcher address +#else + rsr a0, EXCSAVE_LEVEL // get saved a2 + s32i a1, a2, HESF_AR(1) + s32i a3, a2, HESF_AR(3) +#endif + s32i a4, a2, HESF_AR(4) + s32i a0, a2, HESF_AR(2) + + // Save/restore all exception state + // (IMPORTANT: this code assumes no general exceptions occur + // during the execution of this dispatcher until this state + // is completely saved and from the point it is restored.) + // + // Exceptions that may normally occur within the C handler + // include window exceptions (affecting EPC1), alloca exceptions + // (affecting EPC1/EXCCAUSE and its handling uses EXCSAVE1), + // and possibly others depending on the particular C handler + // (possibly needing save/restore of EXCVADDR; and EXCVADDR + // is also possibly corrupted by any access thru an auto-refill + // way on a processor with a full MMU). + // + rsr a3, EPC1 + rsr a4, EXCCAUSE + s32i a3, a2, HESF_EPC1 + s32i a4, a2, HESF_EXCCAUSE +#if !XCHAL_HAVE_XEA1 + rsr a3, EXCVADDR + s32i a3, a2, HESF_EXCVADDR +#endif + rsr a4, EXCSAVE1 + s32i a4, a2, HESF_EXCSAVE1 + +#ifdef __XTENSA_WINDOWED_ABI__ + // Save remainder of entire address register file (!): + movi a0, XCHAL_NUM_AREGS - 8 // how many saved so far +#endif + + s32i a5, a2, HESF_AR(5) + s32i a6, a2, HESF_AR(6) + s32i a7, a2, HESF_AR(7) + +1: s32i a8, a2, HESF_AR(8) + s32i a9, a2, HESF_AR(9) + s32i a10, a2, HESF_AR(10) + s32i a11, a2, HESF_AR(11) + s32i a12, a2, HESF_AR(12) + s32i a13, a2, HESF_AR(13) + s32i a14, a2, HESF_AR(14) + s32i a15, a2, HESF_AR(15) + +#ifdef __XTENSA_WINDOWED_ABI__ + addi a8, a0, -8 + addi a10, a2, 8*4 + rotw 2 + bnez a0, 1b // loop until done + + rotw 2 + // back to original a2 ... + + // Save a few other registers required for C: + rsr a3, WINDOWSTART + rsr a4, WINDOWBASE + s32i a3, a2, HESF_WINDOWSTART + s32i a4, a2, HESF_WINDOWBASE + + // Setup window registers for first caller: + movi a3, 1 + movi a4, 0 + wsr a3, WINDOWSTART + wsr a4, WINDOWBASE + rsync + + // Note: register window has rotated, ie. a0..a15 clobbered. + +#endif /* __XTENSA_WINDOWED_ABI__ */ + + movi a1, LABEL(_Pri_,_Stack) + PRI_N_STACK_SIZE // get ptr to save area (is also initial stack ptr) + movi a0, 0 // mark start of call frames in stack + + // Critical state saved, a bit more to do to allow window exceptions... + + // We now have a C-coherent stack and window state. + // Still have to fix PS while making sure interrupts stay disabled + // at the appropriate level (ie. level 2 and below are disabled in this case). + +#if XCHAL_HAVE_XEA1 + movi a7, _xtos_intstruct // address of interrupt management globals + rsilft a3, _INTERRUPT_LEVEL, XTOS_LOCKLEVEL // lockout + movi a4, ~INTLEVEL_N_BELOW_MASK // mask out all interrupts at this level or lower + l32i a3, a7, XTOS_VPRI_ENABLED_OFS // read previous _xtos_vpri_enabled + l32i a5, a7, XTOS_ENABLED_OFS // read _xtos_enabled + s32i a4, a7, XTOS_VPRI_ENABLED_OFS // set new _xtos_vpri_enabled (mask interrupts as if at _INTERRUPT_LEVEL) + s32i a3, a1, HESF_VPRI // save previous vpri + movi a2, 0x50020 // WOE=1, UM=1, INTLEVEL=0 + and a3, a5, a4 // mask out selected interrupts + wsr a3, INTENABLE // disable all low-priority interrupts +#else + // Load PS for C code, clear EXCM (NOTE: this step is different for XEA1): +# ifdef __XTENSA_CALL0_ABI__ + movi a2, 0x00020 + _INTERRUPT_LEVEL // WOE=0, CALLINC=0, UM=1, INTLEVEL=N, EXCM=0, RING=0 +# else + movi a2, 0x50020 + _INTERRUPT_LEVEL // WOE=1, CALLINC=1, UM=1, INTLEVEL=N, EXCM=0, RING=0 +# endif + +#endif + wsr a2, PS // update PS to enable window exceptions, etc as per above + rsync + + // Okay, window exceptions can now happen (although we have to call + // deep before any will happen because we've reset WINDOWSTART). + + // Save other state that might get clobbered by C code: + +////////////////// COMMON DISPATCH CODE BEGIN + + rsr a14, SAR + s32i a14, a1, HESF_SAR +#if XCHAL_HAVE_LOOPS + rsr a14, LCOUNT + s32i a14, a1, HESF_LCOUNT + rsr a14, LBEG + s32i a14, a1, HESF_LBEG + rsr a14, LEND + s32i a14, a1, HESF_LEND +#endif +#if XCHAL_HAVE_MAC16 + rsr a14, ACCLO + s32i a14, a1, HESF_ACCLO + rsr a14, ACCHI + s32i a14, a1, HESF_ACCHI +#endif + +#if MULTIPLE_INTERRUPTS /* > 1 interrupts at this priority */ // _split_ multi_setup +#define TABLE_OFS 0 + + rsr a15, INTERRUPT // mask of pending interrupts +# if XCHAL_HAVE_XEA1 + l32i a12, a7, XTOS_ENABLED_OFS // mask of enabled interrupts +# else + rsr a12, INTENABLE // mask of enabled interrupts +# endif + movi a13, INTLEVEL_N_MASK // mask of interrupts at this priority level + and a15, a15, a12 + and a15, a15, a13 // enabled & pending interrupts at this priority + _beqz a15, LABEL(Pri_,_spurious) // handle spurious interrupts (eg. level-trig.) +LABEL(Pri_,_loop): // handle all enabled & pending interrupts + neg a14, a15 + and a14, a14, a15 // single-out least-significant bit set in mask + wsr a14, INTCLEAR // clear if edge-trig. or s/w or wr/err (else no effect) + + // Compute pointer to interrupt table entry, given mask a14 with single bit set: + +# if XCHAL_HAVE_NSA + movi a12, _xtos_interrupt_table - (32-XCHAL_NUM_INTERRUPTS)*8 + nsau a14, a14 // get index of bit in a14, numbered from msbit + addx8 a12, a14, a12 +# else /* XCHAL_HAVE_NSA */ + movi a12, _xtos_interrupt_table // pointer to interrupt table + bltui a14, 0x10000, 1f // in 16 lsbits? (if so, check them) + addi a12, a12, 16*8 // no, index is at least 16 entries further + // (the above ADDI expands to an ADDI+ADDMI sequence, +128 is outside its range) + extui a14, a14, 16,16 // shift right upper 16 bits +1: bltui a14, 0x100, 1f // in 8 lsbits? (if so, check them) + addi a12, a12, 8*8 // no, index is at least 8 entries further + srli a14, a14, 8 // shift right upper 8 bits +1: bltui a14, 0x10, 1f // in 4 lsbits? (if so, check them) + addi a12, a12, 4*8 // no, index is at least 4 entries further + srli a14, a14, 4 // shift right 4 bits +1: bltui a14, 0x4, 1f // in 2 lsbits? (if so, check them) + addi a12, a12, 2*8 // no, index is at least 2 entries further + srli a14, a14, 2 // shift right 2 bits +1: bltui a14, 0x2, 1f // is it the lsbit? + addi a12, a12, 1*8 // no, index is one entry further +1: // done! a12 points to interrupt's table entry +# endif /* XCHAL_HAVE_NSA */ + +#else /* !MULTIPLE_INTERRUPTS */ + +# if XCHAL_HAVE_NSA +# define TABLE_OFS 8 * (XCHAL_NUM_INTERRUPTS - 1 - INTLEVEL_N_NUM) +# else +# define TABLE_OFS 8 * INTLEVEL_N_NUM +# endif + + movi a13, INTLEVEL_N_MASK // (if interrupt is s/w or edge-triggered or write/err only) + movi a12, _xtos_interrupt_table // get pointer to its interrupt table entry + wsr a13, INTCLEAR // clear the interrupt (if s/w or edge or wr/err only) + +#endif /* ifdef MULTIPLE_INTERRUPTS */ + + l32i a13, a12, TABLE_OFS + 0 // get pointer to handler from table entry +#ifdef __XTENSA_CALL0_ABI__ + l32i a2, a12, TABLE_OFS + 4 // pass single argument to C handler + callx0 a13 // call interrupt's C handler +#else + l32i a6, a12, TABLE_OFS + 4 // pass single argument to C handler + callx4 a13 // call interrupt's C handler +#endif + +#if XCHAL_HAVE_XEA1 + movi a7, _xtos_intstruct // address of interrupt management globals +#endif +#if MULTIPLE_INTERRUPTS /* > 1 interrupts at this priority */ + rsr a15, INTERRUPT // get pending interrupts +# if XCHAL_HAVE_XEA1 + l32i a12, a7, XTOS_ENABLED_OFS // get enabled interrupts +# else + rsr a12, INTENABLE // get enabled interrupts +# endif + movi a13, INTLEVEL_N_MASK // get mask of interrupts at this priority level + and a15, a15, a12 + and a15, a15, a13 // pending+enabled interrupts at this priority + _bnez a15, LABEL(Pri_,_loop) // if any remain, dispatch one +LABEL(Pri_,_spurious): +#endif /* MULTIPLE_INTERRUPTS */ + + // Restore everything, and return. + + // Three temp registers are required for this code to be optimal (no interlocks) in + // T2xxx microarchitectures with 7-stage pipe; otherwise only two + // registers would be needed. + // +#if XCHAL_HAVE_LOOPS + l32i a13, a1, HESF_LCOUNT + l32i a14, a1, HESF_LBEG + l32i a15, a1, HESF_LEND + wsr a13, LCOUNT + wsr a14, LBEG + wsr a15, LEND +#endif + +#if XCHAL_HAVE_MAC16 + l32i a13, a1, HESF_ACCLO + l32i a14, a1, HESF_ACCHI + wsr a13, ACCLO + wsr a14, ACCHI +#endif + l32i a15, a1, HESF_SAR + wsr a15, SAR + +////////////////// COMMON DISPATCH CODE END + +#if XCHAL_HAVE_XEA1 + // Here, a7 = address of interrupt management globals + l32i a4, a1, HESF_VPRI // restore previous vpri + rsil a3, XTOS_LOCKLEVEL // lockout + l32i a5, a7, XTOS_ENABLED_OFS // read _xtos_enabled + s32i a4, a7, XTOS_VPRI_ENABLED_OFS // set new _xtos_vpri_enabled + movi a2, 0x00020 + _INTERRUPT_LEVEL // WOE=0, UM=1, INTLEVEL=N + and a3, a5, a4 // mask out selected interrupts + wsr a3, INTENABLE // disable all low-priority interrupts +#else + // Load PS for interrupt exit, set EXCM: + movi a2, 0x00030 + _INTERRUPT_LEVEL // WOE=0, CALLINC=0, UM=1, INTLEVEL=N, EXCM=1, RING=0 +#endif + wsr a2, PS // update PS to disable window exceptions, etc as per above + rsync + + // NOTE: here for XEA1, restore INTENABLE etc... + +#ifdef __XTENSA_WINDOWED_ABI__ + // Restore window registers: + l32i a2, a1, HESF_WINDOWSTART + l32i a3, a1, HESF_WINDOWBASE + wsr a2, WINDOWSTART + wsr a3, WINDOWBASE + rsync + // Note: register window has rotated, ie. a0..a15 clobbered. + + // Reload initial stack pointer: + movi a1, LABEL(_Pri_,_Stack) + PRI_N_STACK_SIZE // - 16 + movi a6, XCHAL_NUM_AREGS - 8 // how many saved so far + addi a7, a1, -8*4 + + // Restore entire register file (!): + +1: + addi a14, a6, -8 + addi a15, a7, 8*4 + l32i a4, a15, HESF_AR(4) + l32i a5, a15, HESF_AR(5) + l32i a6, a15, HESF_AR(6) + l32i a7, a15, HESF_AR(7) + l32i a8, a15, HESF_AR(8) + l32i a9, a15, HESF_AR(9) + l32i a10,a15, HESF_AR(10) + l32i a11,a15, HESF_AR(11) + rotw 2 + bnez a6, 1b // loop until done + + l32i a4, a7, HESF_AR(12) + l32i a5, a7, HESF_AR(13) + l32i a6, a7, HESF_AR(14) + l32i a7, a7, HESF_AR(15) + rotw 2 + + // back to original a1 ... + +#else /* Call0 ABI: */ + + l32i a4, a1, HESF_AR(4) // restore general registers + l32i a5, a1, HESF_AR(5) + l32i a6, a1, HESF_AR(6) + l32i a7, a1, HESF_AR(7) + l32i a8, a1, HESF_AR(8) + l32i a9, a1, HESF_AR(9) + l32i a10, a1, HESF_AR(10) + l32i a11, a1, HESF_AR(11) + l32i a12, a1, HESF_AR(12) + l32i a13, a1, HESF_AR(13) + l32i a14, a1, HESF_AR(14) + l32i a15, a1, HESF_AR(15) + +#endif /* __XTENSA_WINDOWED_ABI__ */ + + // Restore exception state: + l32i a2, a1, HESF_EPC1 + l32i a3, a1, HESF_EXCCAUSE + wsr a2, EPC1 + wsr a3, EXCCAUSE +#if !XCHAL_HAVE_XEA1 + l32i a2, a1, HESF_EXCVADDR + wsr a2, EXCVADDR +#endif + l32i a3, a1, HESF_EXCSAVE1 + wsr a3, EXCSAVE1 + + l32i a0, a1, HESF_AR(0) + l32i a2, a1, HESF_AR(2) + l32i a3, a1, HESF_AR(3) + l32i a1, a1, HESF_AR(1) + rfi _INTERRUPT_LEVEL + + .size LABEL(_Level,FromVector), . - LABEL(_Level,FromVector) + + // This symbol exists solely for the purpose of being able to pull-in this + // dispatcher using _xtos_dispatch_level() routines with the tiny-rt LSP: + .global LABEL(_Level,HandlerLabel) + .set LABEL(_Level,HandlerLabel), 0 + +#endif /* XCHAL_HAVE_INTERRUPTS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-highpri-template.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-highpri-template.S new file mode 100755 index 0000000..1f16b47 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-highpri-template.S @@ -0,0 +1,154 @@ +// High-Priority Interrupt Handler Template +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/int-highpri-template.S#3 $ + +// Copyright (c) 2004-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +// +// This file provides skeleton code for writing high-priority interrupt +// handlers in assembler for performance. +// +// By default, this file is included by inth-template.S . +// The default Makefile defines _INTERRUPT_LEVEL when assembling +// inth-template.S for each medium and high priority interrupt level. +// +// To use this template file, define a macro called _INTERRUPT_LEVEL +// to be the interrupt priority level of the vector, then include this file. + + +#include +#include "xtos-internal.h" + + +#if XCHAL_HAVE_INTERRUPTS + +#define INTERRUPT_MASK XCHAL_INTLEVEL_MASK(_INTERRUPT_LEVEL) +#define SINGLE_INTERRUPT (INTERRUPT_MASK & (INTERRUPT_MASK - 1) == 0) +#define SINGLE_INT_NUM XCHAL_INTLEVEL_NUM(_INTERRUPT_LEVEL) + + +// NOTE: It is strongly recommended that high-priority +// interrupt handlers be written in assembly. +// +// High-priority interrupt handlers can be written in C, +// but only at the cost of an unreasonable amount of state +// save and restore (including the entire physical address +// register file and others, see int-highpri-dispatcher.S) +// that makes high-priority interrupt dispatching much slower +// than for low and medium priority interrupts. +// (Low and medium priority interrupts are masked by atomic +// register window operations, so they take advantage of a +// coherent window state for fast entry. High priority +// interrupts are not masked by window operations so they +// can interrupt them, leading to a potentially incoherent +// window state at the time of the interrupt. Given that +// high priority handlers must save and restore everything +// they touch, they end up needing to save and restore the +// entire window state [physical address register file etc.] +// and all exception state which they can also interrupt.) +// See also the Microprocessor Programmer's Guide. + +// High-priority interrupts are designed to be very fast and with +// very low latency. +// Typical high-priority interrupt service routines are kept +// relatively small and fast. Either there is little to do, +// or the routine handles only the necessary high priority +// activities related to a device and leaves the rest +// (other more complex and time-consuming activities) +// to be scheduled later, eg. by triggering a level-one +// (low-priority) or medium-priority software interrupt whose +// handler can be written in C for the more extensive processing. + +// NOTE: The following handler is just skeleton example +// code. It is NOT a functional handler. For software, edge- +// triggered and write-error interrupts, it simply does nothing +// and return. For other types (timer and level-triggered), +// this code does not clear the source(s) of interrupt, +// hence if any interrupt at this priority level are both enabled +// and triggered, the processor repeatedly takes the interrupt +// in a loop. This is all okay as a default, because +// XTOS (and other operating systems) clears the INTENABLE +// register at startup, requiring the application to +// enable specific interrupts before they can be taken. +// So as long as you don't enable any interrupt of this +// priority level, this example handler will never execute. + +// Exports +.global LABEL(_Level,FromVector) + + .data + .align 4 +LABEL(int,save): + .space 4 // save area + + .text + .align 4 +LABEL(_Level,FromVector): + // The vectoring code has already saved a2 in EXCSAVEn. + // Save any other registers we'll use: + movi a2, LABEL(int,save) + s32i a1, a2, 0 + // ... add more as needed (increase save area accordingly) ... + + // WRITE YOUR INTERRUPT HANDLING CODE HERE... + + // If multiple interrupts are mapped to this priority level, + // you'll probably need to distinguish which interrupt(s) + // occurred by reading the INTERRUPT (INTREAD) and + // INTENABLE registers, and'ing them together, and + // looking at what bits are set in both. + // If any of the interrupts are level-triggered, be ready + // to handle the case where no interrupts are to be handled + // -- this is called a spurious interrupt, and can happen + // when the level-triggered interrupt line goes inactive + // after the interrupt is taken but before the INTERRUPT + // register is read. + + // You'll also normally want to clear the source of + // the interrupt before returning, to avoid getting + // the same interrupt again immediately. For illustration, + // this code clears all software, edge-triggered, and + // write-error interrupts at this priority level (if any). + // NOTE: Timer interrupts must be cleared by writing to + // the corresponding CCOMPAREn register; and level-sensitive + // interrupts can only be cleared externally, usually by + // requesting the associated device to do so (in a + // device-specific manner). + // + movi a1, INTERRUPT_MASK + wsr a1, INTCLEAR + + // Restore registers: + l32i a1, a2, 0 +#if HAVE_XSR + movi a2, LABEL(_Level,FromVector) // restore handler address + xsr a2, EXCSAVE_LEVEL +#else + rsr a2, EXCSAVE_LEVEL +#endif + // ... add more if more are saved above ... + + // Return: + rfi _INTERRUPT_LEVEL + + .size LABEL(_Level,FromVector), . - LABEL(_Level,FromVector) + +#endif /* XCHAL_HAVE_INTERRUPTS */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-initlevel.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-initlevel.S new file mode 100755 index 0000000..6ded8c7 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-initlevel.S @@ -0,0 +1,59 @@ +// int-initlevel.S - Routines used to pull-in interrupt dispatch code +// in the tiny-rt LSP. +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/int-initlevel.S#3 $ + +// Copyright (c) 2006-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + + +// To assemble this template file, define a macro called _INTERRUPT_LEVEL +// to be the interrupt level of the vector. We use the same template for both +// high-level and medium-level interrupts, but not debug level. + + +#include +#include "xtos-internal.h" + + +#if XCHAL_HAVE_INTERRUPTS && (_INTERRUPT_LEVEL <= XCHAL_NUM_INTLEVELS) && (_INTERRUPT_LEVEL != XCHAL_DEBUGLEVEL) + + // Nothing to do at runtime. This function only has effect + // at link-time. + // + .text + .global LABEL(_xtos_dispatch_level,_interrupts) + .align 4 +LABEL(_xtos_dispatch_level,_interrupts): + abi_entry + abi_return + .size LABEL(_xtos_dispatch_level,_interrupts), . - LABEL(_xtos_dispatch_level,_interrupts) + + // This reference is what does the work of pulling-in the + // relevant interrupt vector at the specified level. + // This is only needed in the tiny-rt LSP. + // +# if _INTERRUPT_LEVEL == 1 + .global _need_level1int_ +# else + .global LABEL(_Level,Vector) +# endif + +#endif /* XCHAL_HAVE_INTERRUPTS */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-lowpri-dispatcher.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-lowpri-dispatcher.S new file mode 100755 index 0000000..d1d5f93 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-lowpri-dispatcher.S @@ -0,0 +1,787 @@ +// Level-one interrupt dispatcher (user vectored handler) + +// Copyright (c) 1999-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" +#include "interrupt-pri.h" + +#if XCHAL_HAVE_EXCEPTIONS && XCHAL_HAVE_INTERRUPTS + + + /* + * Macros to slightly reduce the number of #if statements in the code: + */ + +/* This is set (for #if only) if there is only ONE interrupt configured at level one: */ +# define XTOS_SINGLE_INT defined(XCHAL_INTLEVEL1_NUM) + +/* Simplify the #if's around saving and restoring of SAR ('#' is a comment char): */ +# if ((XTOS_SUBPRI_ORDER == XTOS_SPO_ZERO_LO) || (XTOS_INT_FAIRNESS && XTOS_SUBPRI)) && !XTOS_SINGLE_INT +# define NEEDSAR /* need SAR saved early w/ints locked */ +# define LATESAR # /* need SAR saved late w/ints unlocked */ +# else +# define NEEDSAR # /* need SAR saved early w/ints locked */ +# define LATESAR /* need SAR saved late w/ints unlocked */ +# endif + +/* Simplify the #if's around fairness-specific code ('#' is a comment char): */ +# if XTOS_INT_FAIRNESS +# define IFFAIR /* for code enabled only for fairness */ +# define NOFAIR # /* for code enabled only without fairness */ +# else +# define IFFAIR # /* for code enabled only for fairness */ +# define NOFAIR /* for code enabled only without fairness */ +# endif + + + // NOTE: something equivalent to the following vector is executed + // before entering this handler (see user-vector.S). +//_UserExceptionVector: +// addi a1, a1, -ESF_TOTALSIZE // allocate exception stack frame, etc. +// s32i a2, a1, UEXC_a2 +// s32i a3, a1, UEXC_a3 +// movi a3, _xtos_exc_handler_table +// rsr a2, EXCCAUSE +// addx4 a2, a2, a3 +// l32i a2, a2, 0 +// s32i a4, a1, UEXC_a4 +// jx a2 // jump to cause-specific handler + + .global _need_user_vector_ // pull-in real user vector (tiny LSP) + + .text + .align 4 + .global _xtos_l1int_handler +_xtos_l1int_handler: + // HERE: a2, a3, a4 have been saved to exception stack frame allocated with a1 (sp). + + s32i a5, a1, UEXC_a5 // a5 will get clobbered by ENTRY after pseudo-CALL4 + // (a4..a15 spilled as needed; save if modified) + +# if XCHAL_HAVE_XEA2 + + // Set PS fields: + // EXCM = 0 + // WOE = __XTENSA_CALL0_ABI__ ? 0 : 1 + // UM = 1 + // INTLEVEL = EXCM_LEVEL + // CALLINC = __XTENSA_CALL0_ABI__ ? 0 : 1 + // OWB = 0 (actual value is a don't care) + +# ifdef __XTENSA_CALL0_ABI__ + movi a2, PS_UM|PS_INTLEVEL(XCHAL_EXCM_LEVEL) +# else + movi a2, PS_UM|PS_INTLEVEL(XCHAL_EXCM_LEVEL)|PS_WOE|PS_CALLINC(1) // CALL4 emulation +# endif + rsr a3, EPC_1 + xsr a2, PS + +# ifdef __XTENSA_WINDOWED_ABI__ + // HERE: window overflows enabled, but NOT SAFE because we're not quite + // in a valid windowed context (haven't restored a1 yet); + // so don't cause any (by accessing only a0..a3) until we've saved critical state + // and restored a1 (note: critical state already saved in a2 and a3): + // NOTE: saved EPC1 before causing any overflows, because overflows corrupt EPC1. +# endif + + s32i a3, a1, UEXC_pc + s32i a2, a1, UEXC_ps + +# else /*if XEA1:*/ + + // Would need to save & clear LCOUNT only with protection. None here. + // No need to save EXCVADDR or EXCCAUSE for low-priority interrupts. +# if 1 + rsr a2, INTERRUPT // read INTERRUPT while PS.INTLEVEL is 1 and INTENABLE is intact + rsilft a3, 1, XTOS_LOCKLEVEL // lockout + s32i a2, a1, UEXC_vpri // save for interrupt computation + rsr a2, INTENABLE + movi a3, XTOS_UNLOCKABLE_MASK // mask out level one, and high levels covered by XTOS_LOCKLEVEL if any, + // so we can run at PS.INTLEVEL=0 while manipulating INTENABLE + s32i a2, a1, UEXC_sar // save old INTENABLE, to handle the spurious interrupt case + and a3, a2, a3 // mask out selected interrupts + wsr a3, INTENABLE // disable all interrupts up to and including XTOS_LOCKLEVEL +# else + // Using this alternate code requires extensive changes elsewhere; + // its only advantage is potentially lowered latency of interrupts + // of priority levels 2 thru XTOS_LOCKLEVEL: + movi a2, _xtos_intstruct // address of interrupt management globals + rsilft a3, 1, XTOS_LOCKLEVEL // lockout + l32i a3, a2, XTOS_VPRI_ENABLED_OFS // read previous _xtos_vpri_enabled + //interlock + s32i a3, a1, UEXC_vpri // save previous vpri + movi a3, ~XCHAL_EXCM_MASK // mask out all low-priority interrupts + // so we can run at PS.INTLEVEL=0 while ESF allocation not reflected in SP + //interlock + s32i a3, a2, XTOS_VPRI_ENABLED_OFS // set new _xtos_vpri_enabled (mask all low-priority interrupts) + l32i a2, a2, XTOS_ENABLED_OFS // read _xtos_enabled + //interlock + and a3, a2, a3 // mask out selected interrupts + wsr a3, INTENABLE // disable all low-priority interrupts +# endif + movi a3, PS_WOE|PS_CALLINC(1)|PS_UM // WOE=1, UM=1, INTLEVEL=0, CALLINC=1 (call4 emul), OWB=(dontcare)=0 + + // NOTE: could use XSR here if targeting T1040 or T1050 hardware (requiring slight sequence adjustment as for XEA2): + rsr a2, PS + rsync //NOT-ISA-DEFINED // wait for WSR to INTENABLE to complete before clearing PS.INTLEVEL + wsr a3, PS // PS.INTLEVEL=0, effective INTLEVEL (via INTENABLE) is XTOS_LOCKLEVEL (NOTA: LOWPRI_LEVELS) + + // HERE: window overflows enabled, but NOT SAFE because we're not quite + // in a valid windowed context (haven't restored a1 yet...); + // so don't cause any (keep to a0..a3) until we've saved critical state and restored a1: + + // NOTE: MUST SAVE EPC1 before causing any overflows, because overflows corrupt EPC1. + rsr a3, EPC_1 + s32i a2, a1, UEXC_ps + s32i a3, a1, UEXC_pc + +# endif /* XEA1 */ + + +# ifdef __XTENSA_CALL0_ABI__ + + s32i a0, a1, UEXC_a0 // save the rest of the registers + s32i a6, a1, UEXC_a6 + s32i a7, a1, UEXC_a7 + s32i a8, a1, UEXC_a8 + s32i a9, a1, UEXC_a9 + s32i a10, a1, UEXC_a10 + s32i a11, a1, UEXC_a11 + s32i a12, a1, UEXC_a12 + s32i a13, a1, UEXC_a13 + s32i a14, a1, UEXC_a14 + s32i a15, a1, UEXC_a15 +# if XTOS_DEBUG_PC + // TODO: setup return PC for call traceback through interrupt dispatch +# endif + + rsync // wait for WSR to PS to complete + +# else /* ! __XTENSA_CALL0_ABI__ */ + +# if XTOS_CNEST + l32i a2, a1, ESF_TOTALSIZE-20 // save nested-C-func call-chain ptr +# endif + addi a1, a1, ESF_TOTALSIZE // restore sp (dealloc ESF) for sane stack again + rsync // wait for WSR to PS to complete + + /* HERE: we can SAFELY get window overflows. + * + * From here, registers a4..a15 automatically get spilled if needed. + * They become a0..a11 after the ENTRY instruction. + * Currently, we don't check whether or not these registers + * get spilled, so we must save and restore any that we + * modify. We've already saved a4 and a5 + * which we modify as part of the pseudo-CALL. + * + * IMPLEMENTATION NOTE: + * + * The pseudo-CALL below effectively saves registers a2..a3 + * so that they are available again after the corresponding + * RETW when returning from the exception handling. We + * could choose to put something like EPC1 or PS in + * there, so they're available more quickly when + * restoring. HOWEVER, exception handlers may wish to + * change such values, or anything on the exception stack + * frame, and expect these to be restored as modified. + * + * NOTA: future: figure out what's the best thing to put + * in a2 and a3. (candidate: a4 and a5 below; but what + * if exception handler manipulates ARs, as in a syscall + * handler.... oh well) + * + * + * Now do the pseudo-CALL. + * Make it look as if the code that got the exception made a + * CALL4 to the exception handling code. (We call + * this the "pseudo-CALL".) + * + * This pseudo-CALL is important and done this way: + * + * 1. There are only three ways to safely update the stack pointer + * in the windowed ABI, such that window exceptions work correctly: + * (a) spill all live windows to stack then switch to a new stack + * (or, save the entire address register file and window + * registers, which is likely even more expensive) + * (b) use MOVSP (or equivalent) + * (c) use ENTRY/RETW + * Doing (a) is excessively expensive, and doing (b) here requires + * copying 16 bytes back and forth which is also time-consuming; + * whereas (c) is very efficient, so that's what we do here. + * + * 2. Normally we cannot do a pseudo-CALL8 or CALL12 here. + * According to the + * windowed ABI, a function must allocate enough space + * for the largest call that it makes. However, the + * pseudo-CALL is executed in the context of the + * function that happened to be executing at the time + * the interrupt was taken, and that function might or + * might not have allocated enough stack space for a + * CALL8 or a CALL12. If we try doing a pseudo-CALL8 + * or -CALL12 here, we corrupt the stack if the + * interrupted function happened to not have allocated + * space for such a call. + * + * 3. We set the return PC, but it's not strictly + * necessary for proper operation. It does make + * debugging, ie. stack tracebacks, much nicer if it + * can point to the interrupted code (not always + * possible, eg. if interrupted code is in a different + * GB than the interrupt handling code, which is + * unlikely in a system without protection where + * interrupt handlers and general application code are + * typically linked together). + * + * IMPORTANT: Interrupts must stay disabled while doing the pseudo-CALL, + * or at least until after the ENTRY instruction, because SP has been + * restored to its original value that does not reflect the exception + * stack frame's allocation. An interrupt taken here would + * corrupt the exception stack frame (ie. allocate another over it). + * (High priority interrupts can remain enabled, they save and restore + * all of their state and use their own stack or save area.) + * For the same reason, we mustn't get any exceptions in this code + * (other than window exceptions where noted) until ENTRY is done. + */ + + // HERE: may get a single window overflow (caused by the following instruction). + +# if XTOS_DEBUG_PC + movi a4, 0xC0000000 // [for debug] for return PC computation below + or a3, a4, a3 // [for debug] set upper two bits of return PC + addx2 a4, a4, a3 // [for debug] clear upper bit +# else + movi a4, 0 // entry cannot cause overflow, cause it here +# endif + + .global _LevelOneInterrupt +_LevelOneInterrupt: // this label makes tracebacks through interrupts look nicer + + _entry a1, ESF_TOTALSIZE // as if after a CALL4 (PS.CALLINC set to 1 above) + + /* + * The above ENTRY instruction does a number of things: + * + * 1. Because we're emulating CALL4, the ENTRY rotates windows + * forward by 4 registers (as per 'ROTW +1'), so that + * a4-a15 became a0-a11. So now: a0-a11 are part of + * the interrupted context to be preserved. a0-a1 + * were already saved above when they were a4-a5. + * a12-a15 are free to use as they're NOT part of the + * interrupted context. We don't need to save/restore + * them, and they will get spilled if needed. + * + * 2. Updates SP (new a1), allocating the exception stack + * frame in the new window, preserving the old a1 in + * the previous window. + * + * 3. The underscore prefix prevents the assembler from + * automatically aligning the ENTRY instruction on a + * 4-byte boundary, which could create a fatal gap in + * the instruction stream. + * + * At this point, ie. before we re-enable interrupts, we know the caller is + * always live so we can safely modify a1 without using MOVSP (we can use MOVSP + * but it will never cause an ALLOCA or underflow exception here). + * So this is a good point to modify the stack pointer if we want eg. to + * switch to an interrupt stack (if we do, we need to save the current SP + * because certain things have been saved to that exception stack frame). + * We couldn't do this easily before ENTRY, where the caller wasn't + * necessarily live. + */ + +# if 0 /*... non-nested interrupt ...*/ + mov ...some address register..., a1 // save ptr to original ESF + movi a1, _interrupt_stack // switch stack +# endif + +# endif /* __XTENSA_CALL0_ABI__ */ + + /* + * Now we can enable interrupts of higher virtual priority than the one(s) + * being dispatched/processed here. This may entail some software prioritization, + * if so configured. + * (Pseudo-CALL is complete, and SP reflects allocation of exception stack frame + * or switch to new stack.) + */ + +# if XCHAL_HAVE_XEA2 + rsilft a15, XCHAL_EXCM_LEVEL, 1 // INTERRUPT reg *must* be read at PS.INTLEVEL<=1 + // (otherwise it might get higher pri ints) +# define CUR_INTLEVEL 1 +# else +# define CUR_INTLEVEL 0 +# endif + /* At this point, PS.INTLEVEL is: 0 if XEA1, 1 if XEA2 (per CUR_INTLEVEL) */ + + + /***************** Dispatch low-priority interrupts to service *****************/ + + /* HERE: We may get up to 3 window overflows on the following instruction. + * + * The worst case is 3 overflows, two 4-register overflows and one + * 12-register overflow. + */ + + +# if XTOS_VIRTUAL_INTENABLE + /* + * The INTENABLE register is virtualized, because it serves two purposes: + * controlling which interrupts are active (eg. enabled once a handler + * is registered) as reflected in _xtos_enabled, and what is the current + * effective interrupt level as reflected in _xtos_vpri_enabled. + * + * The INTENABLE register always contains (_xtos_enabled & _xtos_vpri_enabled). + * NOTE: It is important that INTENABLE, _xtos_enabled and _xtos_vpri_enabled + * only be modified when interrupts at XTOS_LOCK_LEVEL and below are disabled, + * that they never be modified by interrupts at levels above XTOS_LOCK_LEVEL, + * and that they be consistent and never modified when the current interrupt + * level is below XTOS_LOCK_LEVEL. + * + * NOTE: Reading the INTERRUPT register *must* be done at PS.INTLEVEL <= 1 + * otherwise we might incorrectly see higher priority interrupts. + */ + + + movi a14, _xtos_intstruct // address of interrupt management globals +# if XCHAL_HAVE_XEA1 + l32i a15, a1, UEXC_vpri // read saved INTERRUPT register value + l32i a13, a14, XTOS_VPRI_ENABLED_OFS // read previous _xtos_vpri_enabled + l32i a12, a14, XTOS_ENABLED_OFS // read _xtos_enabled + and a15, a15, a13 // don't handle ints already being handled +# else + rsr a15, INTERRUPT // interrupts pending + rsr a12, INTENABLE // interrupts enabled (already should equal _xtos_enabled & _xtos_vpri_enabled) + l32i a13, a14, XTOS_VPRI_ENABLED_OFS // read previous _xtos_vpri_enabled +# endif + and a15, a15, a12 // a15 = INTERRUPT & (interrupts we can consider processing) +NEEDSAR rsr a12, SAR + s32i a13, a1, UEXC_vpri // save previous vpri + + _beqz a15, spurious_int // no interrupt to handle (spurious interrupt) +NEEDSAR s32i a12, a1, UEXC_sar // note: in XEA1, UEXC_sar must be set *after* beqz above + +IFFAIR s32i a2, a1, UEXC_exccause // save a2 (interrupted code's a6) +IFFAIR movi a2, -1 // initial fairness mask + +.L1_loop0: + // a15 = non-zero mask of interrupt bits to consider handling + +# if XTOS_SUBPRI_ORDER == XTOS_SPO_ZERO_HI && !XTOS_INT_FAIRNESS && !XTOS_SUBPRI_GROUPS + // Special case that can be handled a bit more efficiently: + + neg a12, a15 // find lsbit in a15 ... + and a12, a12, a15 // ... + // a12 = single bit corresponding to interrupt to be processed (highest pri pending+enabled). + + // Compute a13 = new virtual priority based on this selected highest priority interrupt: + movi a15, ~XCHAL_LOWPRI_MASK // mask of all low-priority interrupts + addi a13, a12, -1 // mask of interrupts enabled at this new priority + or a13, a13, a15 // also leave medium- and high-priority interrupts enabled + +# else /* special case */ + + // Entry: + // a12 = (undefined) + // a13 = (undefined) + // a14 = &_xtos_intstruct --or-- interrupt table adjusted base + // a15 = non-zero mask of interrupt bits to consider handling + // Exit: + // a12 = index + // a13 = (clobbered) + // a14 = (preserved) + // a15 = single bit corresponding to index + // + indexmask_int a12, a15, a14, a13 + + // a12 = index of highest priority pending+enabled interrupt, to be processed. + // a15 = (1 << a12), ie. bit corresponding to interrupt to be processed. +IFFAIR xor a2, a2, a15 // update fairness mask - mask out this interrupt until recycling mask + movi a13, _xtos_interrupt_table - IFNSA( (32-XCHAL_NUM_INTERRUPTS)*XIE_SIZE, 0 ) + wsr a15, INTCLEAR // clear interrupt (if software or external edge-triggered or write-error) + addx8 a12, a12, a13 // a12 = address in interrupt table for given interrupt number + +.L1_loop1: + // a12 now contains pointer to interrupt table entry for interrupt to be processed + l32i a13, a12, XIE_VPRIMASK // a13 = new vpri (mask of interrupts enabled at this interrupt's priority) +# endif /* !special case */ + + // a13 = new virtual priority based on the selected highest priority interrupt + + rsilft a15, 1*XCHAL_HAVE_XEA2, XTOS_LOCKLEVEL // lockout + + // Now do the equivalent of: prev = _xtos_set_vpri( a13 ); + + l32i a15, a14, XTOS_ENABLED_OFS // a15 = _xtos_enabled + s32i a13, a14, XTOS_VPRI_ENABLED_OFS // update new _xtos_vpri_enabled + and a15, a15, a13 // a15 = _xtos_enabled & _xtos_vpri_enabled + //NOTE: Here, do: a15 &= ~_xtos_pending if XTOS_VIRTUAL_INTERRUPT is set. + wsr a15, INTENABLE + //interlock + //interlock + rsync // NOTA - not ISA defined // wait for INTENABLE write to complete before we set PS.INTLEVEL to zero + + + // Okay, we've updated INTENABLE to reflect the new virtual priority (vpri) + // according to the highest priority pending+enabled (low-priority) interrupt. + + // IMPLEMENTATION NOTE - Before we unlock (enable interrupts), we could + // switch stacks here, now that we have enough free registers through the unlock. + + // Now we can enable interrupts via PS.INTLEVEL. (Already done for XEA1.) + + rsil a15, 0 // unlock +# undef CUR_INTLEVEL +# define CUR_INTLEVEL 0 + + // HERE: interrupts are enabled again (those interrupts of + // higher virtual priority than the one we're currently processing). + + // HERE: + // a12 = pointer to interrupt entry in table, or + // mask of interrupt bit to process (special case only) + // a13, a15 = available for use + // a14 = available for use if virtual INTENABLE, else is pointer to interrupt table + +# if XTOS_SUBPRI_ORDER == XTOS_SPO_ZERO_HI && !XTOS_INT_FAIRNESS && !XTOS_SUBPRI_GROUPS + /* In this special case, we moved as much as possible where interrupts are enabled again: */ + // a12 is bit corresponding to interrupt, convert to ptr to interrupt table entry... + movi a14, _xtos_interrupt_table - IFNSA( (32-XCHAL_NUM_INTERRUPTS)*XIE_SIZE, 0 ) + wsr a12, INTCLEAR // clear interrupt (if software or external edge-triggered or write-error) +//IFFAIR xor a2, a2, a12 // update fairness mask - mask out this interrupt until recycling mask + msindex_int a15, a12 // a15 = index of msbit set in a12 (a12 clobbered) + addx8 a12, a15, a14 // a12 = address in interrupt table for given interrupt number +# endif /* special case */ + + + +# elif XTOS_SINGLE_INT + /* + * Only one interrupt is configured to map to this vector. + * This simplifies the code considerably -- no checking and resolving of INTERRUPT + * register required. Just call the handler and exit. + * + * (With INTENABLE register virtualization, the simplification is + * not as great, and not implemented separately above.) + */ + + +# define XTOS_SINGLE_INT_NUM XCHAL_INTLEVEL1_NUM +# define XTOS_SINGLE_INT_MASK XCHAL_INTLEVEL1_MASK +# define XTOS_SINGLE_INT_CLEAR ((XTOS_SINGLE_INT_MASK & XCHAL_INTCLEARABLE_MASK) != 0) +# if XTOS_SINGLE_INT_CLEAR + movi a13, XCHAL_LOWPRI_MASK // bit to clear in INTERRUPT register +# endif + // Get pointer to interrupt table entry for this vector's only interrupt: + movi a12, _xtos_interrupt_table + MAPINT(XTOS_SINGLE_INT_NUM)*XIE_SIZE +# if XTOS_SINGLE_INT_CLEAR + wsr a13, INTCLEAR // clear interrupt pending bit (if software or external-edge-triggered or write-error) +# endif + + + +# else /* ie. if !XTOS_VIRTUAL_INTENABLE && !XTOS_SINGLE_INT */ + /* + * Here, the INTENABLE register is NOT virtualized. There are no _xtos_enabled + * or _xtos_vpri_enabled global variables to track. INTENABLE simply controls + * which interrupts are active (eg. enabled once a handler is registered). + * + * NOTE: To ensure its coherency, it is still important to only modify the + * INTENABLE register when interrupts at XTOS_LOCK_LEVEL and below are disabled, + * that it never be modified by interrupts at levels above XTOS_LOCK_LEVEL, + * and that it never be modified when the current interrupt level is below + * XTOS_LOCK_LEVEL. This is because modifications to INTENABLE generally + * require an RSR/modify/WSR sequence to modify only selected bits. + * + * NOTE: Reading the INTERRUPT register *must* be done at PS.INTLEVEL <= 1 + * otherwise we might incorrectly see higher priority interrupts. + * + * This option implies XEA2, because XEA1 always requires INTENABLE virtualization. + * This option also implies SUBPRI is zero (no interrupt sub-prioritization in software). + */ + + + rsr a15, INTERRUPT // interrupts pending + rsr a13, INTENABLE // interrupts enabled (directly; no virtualization) + movi a14, _xtos_interrupt_table - IFNSA( (32-XCHAL_NUM_INTERRUPTS)*XIE_SIZE, 0 ) +NEEDSAR rsr a12, SAR + and a15, a15, a13 // a15 = INTERRUPT & INTENABLE + + _beqz a15, spurious_int // no interrupt to handle (spurious interrupt) +NEEDSAR s32i a12, a1, UEXC_sar + +IFFAIR s32i a2, a1, UEXC_exccause // save a2 (interrupted code's a6) +IFFAIR movi a2, -1 // initial fairness mask + +.L1_loop0: + // Entry: + // a12 = (undefined) + // a13 = (undefined) + // a14 = interrupt table adjusted base (not used here) + // a15 = non-zero mask of interrupt bits to consider handling + // Exit: + // a12 = index + // a13 = (clobbered) + // a14 = (preserved) + // a15 = single bit corresponding to index + // + indexmask_int a12, a15, a14_UNUSED, a13 + + // a12 = index of highest priority pending+enabled interrupt, to be processed. + // a15 = (1 << a12), ie. bit corresponding to interrupt to be processed. +IFFAIR xor a2, a2, a15 // update fairness mask - mask out this interrupt until recycling mask + wsr a15, INTCLEAR // clear interrupt (if software or external edge-triggered or write-error) + + addx8 a12, a12, a14 // a12 = address in interrupt table for given interrupt number + +.L1_loop1: + // a12 now contains pointer to interrupt table entry for interrupt to be processed + + // HERE: + // a12 = pointer to interrupt entry in table + // a13, a15 = available for use + // a14 = available for use if virtual INTENABLE, else is pointer to interrupt table + + +# endif /* !XTOS_VIRTUAL_INTENABLE && !XTOS_SINGLE_INT */ + /* At this point, PS.INTLEVEL is: 1 if XEA2 and (XTOS_SINGLE_INT || !XTOS_VIRTUAL_INTENABLE), 0 otherwise */ + + // HERE: a12 = pointer to interrupt entry in table + + // (Possible enhancement: do at higher-level, to avoid doing it all the time? !?!?!?) + save_loops_mac16 a1, a13, a15 // save LOOP & MAC16 regs, if configured + +LATESAR rsr a15, SAR + +# if 0 + /* ... alternate code to allow context-switching would go here ... */ +# else + l32i a13, a12, XIE_HANDLER // a13 = address of interrupt handler +LATESAR s32i a15, a1, UEXC_sar +# endif + +# ifdef __XTENSA_CALL0_ABI__ + l32i a2, a12, XIE_ARG // first arg + mov a3, a1 // second arg, exception stack frame + callx0 a13 // call interrupt handler +# else + mov a15, a1 // second arg, exception stack frame + l32i a14, a12, XIE_ARG // first argument passed to interrupt handler (relayed by context-dispatcher, if non-nested) + callx12 a13 // execute interrupt handler, directly or via context-dispatcher (clobbers a12-a15) +# endif + + // (Possible enhancement: do at higher-level, to avoid doing it all the time? !?!?!?) + restore_loops_mac16 a1, a13, a14, a15 // restore LOOP & MAC16 regs, if configured + +LATESAR l32i a12, a1, UEXC_sar + + +# if XTOS_VIRTUAL_INTENABLE + /* Here, INTENABLE register is virtualized. */ + + movi a14, _xtos_intstruct // address of interrupt management globals +LATESAR wsr a12, SAR +# if XCHAL_HAVE_XEA1 + movi a12, XTOS_UNLOCKABLE_MASK // mask out levels covered by XTOS_LOCKLEVEL + // so we can run at PS.INTLEVEL=0 (for the RETW below) + // while manipulating virtual INTENABLE +# endif + rsr a15, INTERRUPT + rsil a13, XTOS_LOCKLEVEL + l32i a13, a14, XTOS_ENABLED_OFS // a13 = _xtos_enabled +# if XCHAL_HAVE_XEA1 + and a12, a12, a13 // compute new INTENABLE + wsr a12, INTENABLE // mask out at XTOS_LOCKLEVEL via INTENABLE +# endif + l32i a12, a1, UEXC_vpri // read saved vpri + //interlock + and a13, a13, a12 // a13 = old-vpri & _xtos_enabled (INTENABLE value to restore) + and a15, a15, a13 // what's pending among what we can handle? + + + // a15 now contains the remaining pending+enabled interrupts. + // NOTE: we MUST NOT consider interrupts potentially already being handled + // by another interrupt handler that we pre-empted. + // So we masked with saved vpri, ie. the set of interrupts enabled when we entered + // this handler, ie. the set of interrupts that can pre-empt the previous context. +NOFAIR _bnez a15, .L1_loop0 // more interrupt(s) to handle +IFFAIR _bnez a15, preloop // more interrupt(s) to handle +IFFAIR l32i a2, a1, UEXC_exccause // restore a2 (interrupted code's a6) + + + // NOTE: + // Register allocation is why we didn't restore *HERE* the loop regs, MAC16, SAR, etc. + // (at least part of the reason) + // We only have one registers (a15), however with 7-stage pipe, three registers + // are required to avoid interlocks. We could get 2 more registers at 1 cycle each [now only one?], + // but it isn't obvious whether paying these extra cycles are worth it... + + // Restore vpri as it was before we handled the interrupt(s): + s32i a12, a14, XTOS_VPRI_ENABLED_OFS // restore _xtos_vpri_enabled +NEEDSAR l32i a12, a1, UEXC_sar +# if XCHAL_HAVE_XEA1 + s32i a13, a1, UEXC_sar // save new INTENABLE value across RETW +# else + wsr a13, INTENABLE // update INTENABLE per original vpri + + // NOTE: leave locked, disabling only the low- and medium-priority interrupts + rsilft a13, XTOS_LOCKLEVEL, XCHAL_EXCM_LEVEL // lockout +# undef CUR_INTLEVEL +# define CUR_INTLEVEL XCHAL_EXCM_LEVEL +# endif + +# elif XTOS_SINGLE_INT + +# undef NEEDSAR +# define NEEDSAR + +# else /* ie. if !XTOS_VIRTUAL_INTENABLE && !XTOS_SINGLE_INT */ + /* Here, INTENABLE register is NOT virtualized (implies XEA2). */ + + rsr a15, INTERRUPT // interrupts pending + rsr a13, INTENABLE // interrupts enabled (directly; no virtualization) + movi a14, _xtos_interrupt_table - IFNSA( (32-XCHAL_NUM_INTERRUPTS)*XIE_SIZE, 0 ) +LATESAR wsr a12, SAR + and a15, a15, a13 // a15 = INTERRUPT & INTENABLE + + // a15 now contains the remaining pending+enabled interrupts. + // NOTE: we MUST NOT consider interrupts potentially already being handled + // by another interrupt handler that we pre-empted. + // So we masked with saved vpri, ie. the set of interrupts enabled when we entered + // this handler, ie. the set of interrupts that can pre-empt the previous context. +NOFAIR _bnez a15, .L1_loop0 // more interrupt(s) to handle +IFFAIR _bnez a15, preloop // more interrupt(s) to handle +IFFAIR l32i a2, a1, UEXC_exccause // restore a2 (interrupted code's a6) + + + // NOTE: + // Register allocation is why we didn't restore *HERE* the loop regs, MAC16, SAR, etc. + // (at least part of the reason) + // We only have one registers (a15), however with 7-stage pipe, three registers + // are required to avoid interlocks. We could get 2 more registers at 1 cycle each [now only one?], + // but it isn't obvious whether paying these extra cycles are worth it... + +NEEDSAR l32i a12, a1, UEXC_sar +# endif /* !XTOS_VIRTUAL_INTENABLE && !XTOS_SINGLE_INT */ + + + /***************************/ + + // Now exit the handler. + + /* + * Leave interrupts disabled while returning from the pseudo-CALL setup above, + * for the same reason they were disabled while doing the pseudo-CALL: + * this sequence restores SP such that it doesn't reflect the allocation + * of the exception stack frame, which is still needed to return from + * the exception. + */ + +spurious_int: + + movi a0, _xtos_return_from_exc +# ifdef __XTENSA_CALL0_ABI__ +NEEDSAR wsr a12, SAR + jx a0 +# else /* ! __XTENSA_CALL0_ABI__ */ + // Now return from the pseudo-CALL from the interrupted code, to rotate + // our windows back... + + movi a13, 0xC0000000 +NEEDSAR wsr a12, SAR + or a0, a0, a13 // set upper two bits + addx2 a0, a13, a0 // clear upper bit + +# if XCHAL_HAVE_XEA2 + // Disable ints during unalloc'ed live stack after RETW below. + rsil a13, XCHAL_EXCM_LEVEL // might come here via spurious_int, so always rsil +# endif + + retw +# endif /* __XTENSA_CALL0_ABI__ */ + + + +# if XTOS_INT_FAIRNESS +preloop: + // Lowering priority or recycling fairness-mask bits ... + // a14 = &_xtos_intstruct *or* interrupt table ptr + // a15 = non-zero mask of interrupt bits to consider handling + +# if !XTOS_SUBPRI + and a13, a15, a2 // a13 = interrupt bits to consider handling, masked for fairness + movi a12, -1 // (new fairness mask, all one's) + moveqz a2, a12, a13 // recycle fairness mask if all bits to consider are masked by fairness, and leave a15 intact + movnez a15, a13, a13 // otherwise set a15 = a13, ie. mask out bits for fairness (a15 is still non-zero) + j .L1_loop0 +# else /* XTOS_SUBPRI */ + // NOTE: In this case, with SUBPRI, XTOS_VIRTUAL_INTENABLE is always set. + // So: a14 = &_xtos_intstruct + + // Compute a13 = index of highest priority interrupt in a15 (a13 is reversed if NSA present) + // (a14, a15 preserved; a12 is a temporary): + index_int a13, a15, a14, a12 + + // a12 = (available) + // a13 = index + // a14 = &_xtos_intstruct + // a15 = mask of candidates + movi a12, _xtos_interrupt_table - IFNSA( (32-XCHAL_NUM_INTERRUPTS)*XIE_SIZE, 0 ) + //slot + addx8 a12, a13, a12 // a12 = address in interrupt table for given interrupt number + l32i a14, a12, XIE_LEVELMASK // a14 = mask of all interrupts at selected interrupt's level + and a15, a15, a2 // mask out for fairness + and a15, a15, a14 // only consider interrupts at highest pending level + movi a14, _xtos_intstruct // needed at loop0, and below + _bnez a15, .L1_loop0 // interrupts are allowed by current fairness mask, redo indexing with proper mask (a15, a14 = ...) + + // a12 = ptr to interrupt entry + // a13 = index + // a14 = &_xtos_intstruct + // a15 = (available) + + // Compute bitmask of interrupt to be processed... +# if XCHAL_HAVE_NSA + movi a15, 0x80000000 + ssr a13 + srl a13, a15 +# else + movi a15, 1 + ssl a13 + sll a13, a15 +# endif + // a13 = single bit set corresponding to interrupt to be processed... + l32i a15, a12, XIE_LEVELMASK // a15 = mask of all interrupts at selected interrupt's level + wsr a13, INTCLEAR // clear interrupt (if software or external edge-triggered or write-error) + or a2, a2, a15 // recycle fairness mask for selected interrupt level + xor a2, a2, a13 // update fairness mask - mask out this interrupt until recycling mask + j .L1_loop1 // handle selected interrupt (a12 = interrupt entry, a14 = &_xtos_intstruct) + +# endif /* XTOS_SUBPRI */ +# endif /* XTOS_INT_FAIRNESS */ + + /* FIXME: what about _LevelOneInterrupt ? */ + .size _xtos_l1int_handler, . - _xtos_l1int_handler + +#endif /* XCHAL_HAVE_EXCEPTIONS && XCHAL_HAVE_INTERRUPTS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-medpri-dispatcher.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-medpri-dispatcher.S new file mode 100755 index 0000000..d60d07e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-medpri-dispatcher.S @@ -0,0 +1,254 @@ +// Medium-Priority Interrupt Dispatcher Template +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/int-medpri-dispatcher.S#4 $ + +// Copyright (c) 2004-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +// +// By default, this file is included by inth-template.S . +// The default Makefile defines _INTERRUPT_LEVEL when assembling +// inth-template.S for each medium and high priority interrupt level. +// +// To use this template file, define a macro called _INTERRUPT_LEVEL +// to be the interrupt priority level of the vector, then include this file. + + +#include +#include "xtos-internal.h" + + +#if XCHAL_HAVE_INTERRUPTS + +#define INTERRUPT_MASK XCHAL_INTLEVEL_MASK(_INTERRUPT_LEVEL) +#define SINGLE_INTERRUPT ((INTERRUPT_MASK & (INTERRUPT_MASK - 1)) == 0) +#define SINGLE_INT_NUM XCHAL_INTLEVEL_NUM(_INTERRUPT_LEVEL) + + +// Strict non-preemptive prioritization + + + .text + .align 4 + .global LABEL(_Level,FromVector) +LABEL(_Level,FromVector): + +/* Allocate an exception stack frame, save a2, a4, and a5, and fix PS as: + * + * if not Call0 ABI + * - enable windowing for 'entry' (ps.woe=1, ps.excm=0) + * - setup ps.callinc to simulate call4 + * endif + * - preserve user mode + * - mask all interrupts at EXCM_LEVEL and lower + * + * Then deallocate the stack, 'rsync' for the write to PS, then use + * 'entry' to re-allocate the stack frame and rotate the register + * window (like a call4, preserving a0..a3). */ + +#if HAVE_XSR + xsr a2, EXCSAVE_LEVEL +#else + rsr a2, EXCSAVE_LEVEL +#endif + addi a1, a1, -ESF_TOTALSIZE + s32i a2, a1, UEXC_a2 +#ifdef __XTENSA_CALL0_ABI__ + movi a2, PS_UM|PS_INTLEVEL(XCHAL_EXCM_LEVEL) +#else + movi a2, PS_WOE|PS_CALLINC(1)|PS_UM|PS_INTLEVEL(XCHAL_EXCM_LEVEL) +#endif + s32i a4, a1, UEXC_a4 + s32i a5, a1, UEXC_a5 + wsr a2, PS + rsync + +#ifdef __XTENSA_CALL0_ABI__ + s32i a0, a1, UEXC_a0 + s32i a3, a1, UEXC_a3 + s32i a6, a1, UEXC_a6 + s32i a7, a1, UEXC_a7 + s32i a8, a1, UEXC_a8 + s32i a9, a1, UEXC_a9 + s32i a10, a1, UEXC_a10 + s32i a11, a1, UEXC_a11 + s32i a12, a1, UEXC_a12 + s32i a13, a1, UEXC_a13 + s32i a14, a1, UEXC_a14 + s32i a15, a1, UEXC_a15 + movi a0, 0 /* terminate stack frames */ +# if XTOS_DEBUG_PC + // TODO: setup return PC for call traceback through interrupt dispatch +# endif +#else +# if XTOS_CNEST + l32i a2, a1, ESF_TOTALSIZE-20 // save nested-C-func call-chain ptr +# endif + addi a1, a1, ESF_TOTALSIZE +# if XTOS_DEBUG_PC + rsr a4, EPC+_INTERRUPT_LEVEL // [for debug] get return PC + movi a5, 0xC0000000 // [for debug] setup call size... + or a4, a5, a4 // [for debug] set upper two bits of return PC + addx2 a4, a5, a4 // [for debug] clear upper bit +# else + movi a4, 0 /* terminate stack frames, overflow check */ +# endif + _entry a1, ESF_TOTALSIZE +#endif + +/* Reset the interrupt level to mask all interrupts at the current + * priority level and lower. Note the current priority level may be + * less than or equal to EXCM_LEVEL. */ + + rsil a15, _INTERRUPT_LEVEL + +#if SINGLE_INTERRUPT /* if only one interrupt at this priority level... */ + +/* Preserve the SAR, loop, and MAC16 regs. Also, clear the interrupt. */ + + rsr a14, SAR + movi a12, INTERRUPT_MASK + s32i a14, a1, UEXC_sar + wsr a12, INTCLEAR // clear if edge-trig or s/w or wr/err (else no effect) + save_loops_mac16 a1, a13, a14 + +/* Load the handler from the table, initialize two args (interrupt + * number and exception stack frame), then call the interrupt handler. + * Note: The callx12 preserves the original user task's a4..a15.*/ + + movi a12, _xtos_interrupt_table + MAPINT(SINGLE_INT_NUM)*XIE_SIZE + l32i a13, a12, XIE_HANDLER +# ifdef __XTENSA_CALL0_ABI__ + l32i a2, a12, XIE_ARG + mov a3, a1 + callx0 a13 +# else + l32i a14, a12, XIE_ARG + mov a15, a1 + callx12 a13 +# endif + +#else /* > 1 interrupts at this priority level */ + +/* Get bit list of pending interrupts at the current interrupt priority level. + * If bit list is empty, interrupt is spurious (can happen if a + * genuine interrupt brings control this direction, but the interrupt + * goes away before we read the INTERRUPT register). Also save off + * sar, loops, and mac16 registers. */ + + rsr a15, INTERRUPT + rsr a12, INTENABLE + movi a13, INTERRUPT_MASK + and a15, a15, a12 + and a15, a15, a13 + rsr a14, SAR + _beqz a15, LABEL(spurious,int) + s32i a14, a1, UEXC_sar + save_loops_mac16 a1, a13, a14 + +/* Loop to handle all pending interrupts. */ + +LABEL(.L1,_loop0): + neg a12, a15 + and a12, a12, a15 + wsr a12, INTCLEAR // clear if edge-trig or s/w or wr/err (else no effect) + movi a13, _xtos_interrupt_table + find_ms_setbit a15, a12, a14, 0 + mapint a15 + addx8 a12, a15, a13 + l32i a13, a12, XIE_HANDLER +# ifdef __XTENSA_CALL0_ABI__ + l32i a2, a12, XIE_ARG + mov a3, a1 + callx0 a13 +# else + l32i a14, a12, XIE_ARG + mov a15, a1 + callx12 a13 +# endif + rsr a15, INTERRUPT + rsr a12, INTENABLE + movi a13, INTERRUPT_MASK + and a15, a15, a12 + and a15, a15, a13 + _bnez a15, LABEL(.L1,_loop0) + +#endif /* SINGLE_INTERRUPT */ + +/* Restore everything, and return. */ + + restore_loops_mac16 a1, a13, a14, a15 + l32i a14, a1, UEXC_sar +LABEL(spurious,int): + +#ifdef __XTENSA_CALL0_ABI__ + wsr a14, SAR + l32i a0, a1, UEXC_a0 + l32i a2, a1, UEXC_a2 + l32i a3, a1, UEXC_a3 + l32i a4, a1, UEXC_a4 + l32i a5, a1, UEXC_a5 + l32i a6, a1, UEXC_a6 + l32i a7, a1, UEXC_a7 + l32i a8, a1, UEXC_a8 + l32i a9, a1, UEXC_a9 + l32i a10, a1, UEXC_a10 + l32i a11, a1, UEXC_a11 + l32i a12, a1, UEXC_a12 + l32i a13, a1, UEXC_a13 + l32i a14, a1, UEXC_a14 + l32i a15, a1, UEXC_a15 + addi a1, a1, ESF_TOTALSIZE // restore sp + rfi _INTERRUPT_LEVEL + +#else /* windowed ABI: */ + + movi a0, LABEL(return,from_exc) + movi a13, 0xC0000000 + wsr a14, SAR + or a0, a0, a13 + addx2 a0, a13, a0 +# if _INTERRUPT_LEVEL < XCHAL_EXCM_LEVEL +/* Raise the interrupt mask before + * returning to avoid a race condition where we deallocate the + * exception stack frame but still have more register values to + * restore from it. */ + rsil a14, XCHAL_EXCM_LEVEL +# endif + retw +LABEL(return,from_exc): +# if XTOS_CNEST + s32i a2, a5, ESF_TOTALSIZE-20 // restore nested-C-func call-chain ptr +# endif + l32i a2, a5, UEXC_a2 + l32i a4, a5, UEXC_a4 + l32i a5, a5, UEXC_a5 + rfi _INTERRUPT_LEVEL +#endif /* windowed ABI */ + + .size LABEL(_Level,FromVector), . - LABEL(_Level,FromVector) + + // This symbol exists solely for the purpose of being able to pull-in this + // dispatcher using _xtos_dispatch_level() routines with the tiny-rt LSP: + .global LABEL(_Level,HandlerLabel) + .set LABEL(_Level,HandlerLabel), 0 + +#endif /* XCHAL_HAVE_INTERRUPT */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-sethandler.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-sethandler.c new file mode 100755 index 0000000..98bdb39 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-sethandler.c @@ -0,0 +1,116 @@ +/* int-sethandler.c - register an interrupt handler in XTOS */ + +/* + * Copyright (c) 1999-2006 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +#include +#include +#include "xtos-internal.h" + + +#if XCHAL_HAVE_INTERRUPTS +/* + * Table of interrupt handlers. + * NOTE: if the NSA/NSAU instructions are configured, then to save + * a few cycles in the interrupt dispatcher code, the + * _xtos_interrupt_table[] array is filled in reverse. + * IMPORTANT: Use the MAPINT() macro defined in xtos-internal.h to index entries in this array. + */ +extern XtosIntHandlerEntry _xtos_interrupt_table[XCHAL_NUM_INTERRUPTS]; +extern void _xtos_unhandled_interrupt(); +#endif + + +_xtos_handler _xtos_set_interrupt_handler_arg( int n, _xtos_handler f, void *arg ) +{ +#if XCHAL_HAVE_INTERRUPTS + XtosIntHandlerEntry *entry; + _xtos_handler old; + + if( n < 0 || n >= XCHAL_NUM_INTERRUPTS ) + return 0; /* invalid interrupt number */ + if( Xthal_intlevel[n] > XTOS_LOCKLEVEL ) + return 0; /* priority level too high to safely handle in C */ + entry = _xtos_interrupt_table + MAPINT(n); + old = entry->handler; + if (f) { + entry->handler = f; + entry->arg = arg; + } else { + entry->handler = &_xtos_unhandled_interrupt; + entry->arg = (void*)n; + } + return ((old == &_xtos_unhandled_interrupt) ? 0 : old); +#else + return 0; +#endif +} + + +_xtos_handler _xtos_set_interrupt_handler( int n, _xtos_handler f ) +{ + return _xtos_set_interrupt_handler_arg( n, f, (void*) n ); +} + + +#if 0 +/* + * User vector mode exception handler for the LEVEL1_INTERRUPT cause. + * NOTE: this is now implemented in assembler for performance. + * This C handler is left as an example interrupt dispatcher written in C. + * The actual handler in int-lowpri-dispatcher.S is more fully featured. + */ +UserFrame* _xtos_p_level1int_handler( UserFrame* uf /*, int cause */ ) +{ +#if XCHAL_HAVE_INTERRUPTS + unsigned int ints; + unsigned int index; + + ints = xthal_get_interrupt(); +# if XTOS_VIRTUAL_INTENABLE + ints &= _xtos_enabled; +# else + ints &= xthal_get_intenable(); +# endif + for( index = 0 ; ints != 0 ; ints >>= 1, index++ ) + { + if( ints & 1 ) + { + void (*f)(); + + /* + * Clear interrupt (in case it's edge-triggered or software or write-error). + * This must be done *before* processing the interrupt. + */ + xthal_set_intclear( 1 << index ); + + f = _xtos_interrupt_table[MAPINT(index)].handler; + if( f ) + f( _xtos_interrupt_table[MAPINT(index)].arg /*, uf, index*/ ); + } + } +#endif /*XCHAL_HAVE_INTERRUPTS*/ + return uf; +} +#endif + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-vector.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-vector.S new file mode 100755 index 0000000..cc2f85d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/int-vector.S @@ -0,0 +1,68 @@ +// int-vector.S - Interrupt Vector Template (for levels > 1) +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/int-vector.S#3 $ + +// Copyright (c) 2003-2004, 2006, 2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + + +// To use this template file, define a macro called _INTERRUPT_LEVEL +// to be the interrupt level of the vector, include "xtos-internal.h", +// then include this file. We use the same template for both high-level +// and medium-level interrupts, but not debug level. + + +#define _ASMLANGUAGE +#include "xtos-internal.h" +#include + + +#if XCHAL_HAVE_INTERRUPTS && (_INTERRUPT_LEVEL <= XCHAL_NUM_INTLEVELS) && (_INTERRUPT_LEVEL != XCHAL_DEBUGLEVEL) + + .begin literal_prefix LABEL(.Level,InterruptVector) + .section LABEL(.Level,InterruptVector.text), "ax" + .align 4 + .global LABEL(_Level,Vector) +LABEL(_Level,Vector): + // Medium and high priority interrupt vector: + +# if HAVE_XSR + // With XSR, we can use this vector which has the advantage of being ROMable + // without requiring the handler to also be in ROM; however, it requires + // initializing the EXCSAVEn register (see ResetEpilog.S) and a slightly + // different save/restore sequence in the handler: + xsr a2, EXCSAVE_LEVEL + jx a2 + + // Pull-in the real handler by reference, to ensure the reset epilog gets it: + .global LABEL(_Level,FromVector) + +# else + wsr a2, EXCSAVE_LEVEL + movi a2, LABEL(_Level,FromVector) + jx a2 +# endif + + .size LABEL(_Level,Vector), . - LABEL(_Level,Vector) + .text + .end literal_prefix + +#endif /* interrupt at that level */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/interrupt-pri.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/interrupt-pri.h new file mode 100755 index 0000000..41116a5 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/interrupt-pri.h @@ -0,0 +1,178 @@ +/* interrupt-pri.h - Definitions and macros related to interrupt prioritization */ +/* + * Copyright (c) 2002-2004, 2006 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +#if !defined(_ASMLANGUAGE) && !defined(__ASSEMBLER__) +# error "The interrupt-pri.h header file is meant for inclusion by assembly source code only." +#endif + +#include +#include +#include "xtos-internal.h" + +/* + * The following macros are used by int-lowpri-dispatcher.S to + * implement prioritized interrupt dispatching and fairness. + * The prioritization scheme is set by XTOS parameters in xtos-params.h . + */ + + +#if XCHAL_HAVE_INTERRUPTS + + // msindex_int + // + // Return in register \aindex the index of the first (most significant) bit set + // in register \amask. + // Register \amask is clobbered (modified) by this macro. + // + // Note: this code is similar to the find_ms_setbit macro in . + // + .macro msindex_int aindex, amask +# if XCHAL_HAVE_NSA + nsau \aindex, \amask // \aindex = interrupt index, from 0 to 31, from left to right + //movi \amask, 31 + //sub \aindex, \amask, \aindex +# else + movi \aindex, 0 // start with result of 0 (point to lsbit of 32) +# if XCHAL_NUM_INTERRUPTS > 16 + bltui \amask, 0x10000, 2f // is it one of the 16 lsbits? (if so, check lower 16 bits) + addi \aindex, \aindex, 16 // no, increment result to upper 16 bits (of 32) + extui \amask, \amask, 16, 16 // check upper half (shift right 16 bits) +2: +# endif +# if XCHAL_NUM_INTERRUPTS > 8 + bltui \amask, 0x100, 2f // is it one of the 8 lsbits? (if so, check lower 8 bits) + addi \aindex, \aindex, 8 // no, increment result to upper 8 bits (of 16) + srli \amask, \amask, 8 // shift right to check upper 8 bits +2: +# endif +# if XCHAL_NUM_INTERRUPTS > 4 + bltui \amask, 0x10, 2f // is it one of the 4 lsbits? (if so, check lower 4 bits) + addi \aindex, \aindex, 4 // no, increment result to upper 4 bits (of 8) + srli \amask, \amask, 4 // shift right 4 bits to check upper half +2: +# endif + bltui \amask, 0x4, 2f // is it one of the 2 lsbits? (if so, check lower 2 bits) + addi \aindex, \aindex, 2 // no, increment result to upper 2 bits (of 4) + srli \amask, \amask, 2 // shift right 2 bits to check upper half +2: + bltui \amask, 0x2, 2f // is it the lsbit? + addi \aindex, \aindex, 1 // no, increment result to upper bit (of 2) +2: // done! +# endif /*!NSA*/ + // HERE: \aindex = index of interrupt to handle + // \amask is available + .endm + + + // msindex_int_nc + // + // Same as msindex_int, but does not clobber \amask. + // Uses extra register \atmp (a temporary register) if needed. + // + .macro msindex_int_nc aindex, amask, atmp +# if XCHAL_HAVE_NSA + msindex_int \aindex, \amask // does not clobber \amask in this case +# else + mov \atmp, \amask + msindex_int \aindex, \atmp +# endif + .endm + + + // indexmask_int + // + // Compute index of highest priority interrupt in given mask, + // and trim mask to single bit corresponding to that interrupt. + // This is used for interrupt dispatching. + // + // Entry: + // \index = (undefined) + // \mask = non-zero mask of interrupt bits to consider handling + // \intptr = &_xtos_intstruct if INTENABLE virtualized, else undefined + // \tmp = (undefined) + // Exit: + // \index = index of interrupt (reversed if NSA present) + // \mask = single bit corresponding to index + // \intptr = (preserved) + // \tmp = (clobbered) + // + .macro indexmask_int index, mask, intptr, tmp +# if XTOS_SUBPRI_ORDER == XTOS_SPO_ZERO_LO + + msindex_int \index, \mask // \index = index of msbit set in \mask (\tmp is tmp, \mask clobbered) + // \index now contains the index of the highest priority pending+enabled interrupt. +# if XCHAL_HAVE_NSA + movi \mask, 0x80000000 + ssr \index + srl \mask, \mask // \mask = single bit set corresponding to interrupt to be processed... +# else + movi \mask, 1 + ssl \index + sll \mask, \mask // \mask = single bit set corresponding to interrupt to be processed... +# endif + +# elif XTOS_SUBPRI_ORDER == XTOS_SPO_ZERO_HI + + neg \index, \mask // find lsbit in \mask ... + and \mask, \index, \mask // ... + msindex_int_nc \index, \mask, \tmp // \index = index of msbit set in \mask (\tmp is tmp, \mask not clobbered) + +# else +# error Unsupported priority ordering. +# endif /*SUBPRI_ORDER*/ + .endm + + + // index_int + // + // Compute index of highest priority interrupt in given mask. + // This is used for fairness computations. + // + // Entry: + // \index = (undefined) + // \mask = non-zero mask of interrupt bits to consider handling + // \intptr = &_xtos_intptr + // \tmp = (undefined) + // Exit: + // \index = index of interrupt (reversed if NSA present) + // \mask = (preserved) + // \intptr = (preserved) + // \tmp = (clobbered) + // + .macro index_int index, mask, intptr, tmp +# if XTOS_SUBPRI_ORDER == XTOS_SPO_ZERO_LO + msindex_int_nc \index, \mask, \tmp // \index = index of msbit set in \mask (\mask not clobbered) +# elif XTOS_SUBPRI_ORDER == XTOS_SPO_ZERO_HI + neg \tmp, \mask // find lsbit in \mask ... + and \tmp, \tmp, \mask // ... + msindex_int \index, \tmp // \index = index of msbit set in \tmp (\tmp is clobbered) +# else +# error oops +# endif + .endm // index_int + + +#endif /* XCHAL_HAVE_INTERRUPTS */ + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/interrupt-table.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/interrupt-table.S new file mode 100755 index 0000000..f933fb5 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/interrupt-table.S @@ -0,0 +1,134 @@ +// interrupt-table.S - Interrupt handler table and default handler + +// Copyright (c) 2004-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" +#ifdef SIMULATOR +#include +#endif + + +#if XCHAL_HAVE_INTERRUPTS + + .data + + .global _xtos_intstruct + .align 8 +_xtos_intstruct: +# if XTOS_VIRTUAL_INTENABLE + .global _xtos_enabled + .type _xtos_enabled,@object + .size _xtos_enabled,4 + .global _xtos_vpri_enabled + .type _xtos_vpri_enabled,@object + .size _xtos_vpri_enabled,4 +_xtos_enabled: .word 0 +_xtos_vpri_enabled: .word 0xFFFFFFFF +# endif +# if XTOS_VIRTUAL_INTERRUPT +# error Virtualized INTERRUPT register not yet supported. + .global _xtos_pending + .type _xtos_pending,@object + .size _xtos_pending,4 +_xtos_pending: .word 0 +# endif + + /* + * Table of C-level interrupt handlers (and args, etc) for each interrupt. + * NOTE: if the NSA/NSAU instructions are configured, then to save a few + * cycles in the interrupt dispatcher code, this table is filled in reverse. + * C code uses the MAPINT() macro defined in xtos-internal.h to index entries. + * NOTE: Under some conditions (turned off by default in xtos-params.h), + * this table gets properly initialized by the _xtos_init() function in + * init.c . NOTA: A future enhancement may be to always configure + * and build this table at build-time rather than ever doing it at run-time. + */ +#define i .Li /* workaround a silly GDB testsuite regression */ + .data + .global _xtos_interrupt_table + .align 8 +_xtos_interrupt_table: + .set i, XCHAL_HAVE_NSA*(XCHAL_NUM_INTERRUPTS-1) + .rept XCHAL_NUM_INTERRUPTS + .word _xtos_unhandled_interrupt + .word i // parameter: interrupt number + .set i, i+1-(XCHAL_HAVE_NSA*2) + .endr + +# if XIE_EXTEND + /* MUST *IMMEDIATELY* follow _xtos_interrupt_table: */ + .global _xtos_interrupt_mask_table +_xtos_interrupt_mask_table: + .set i, XCHAL_HAVE_NSA*(XCHAL_NUM_INTERRUPTS-1) + .rept XCHAL_NUM_INTERRUPTS + /* Default to all low-priority (level-one) interrupts at their own virtual priority: */ +# if XTOS_SUBPRI_ORDER == XTOS_SPO_ZERO_HI + .word ((1< XCHAL_DEBUGLEVEL + rsil a3, XCHAL_DEBUGLEVEL-1 // ensure break takes effect +# endif + break 1, 15 // unhandled (unregistered) interrupt $a2 +//# elif defined(SIMULATOR) +// addmi a2, a2, SYS_unhandled_interrupt ... +// simcall // unhandled interrupt +# else +1: j 1b // unhandled interrupt - loop forever +# endif + abi_return + + .size _xtos_unhandled_interrupt, . - _xtos_unhandled_interrupt + +#endif /* XCHAL_HAVE_INTERRUPTS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-restore.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-restore.S new file mode 100755 index 0000000..a1490e8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-restore.S @@ -0,0 +1,92 @@ +// intlevel-restore.S - Interrupt related assembler code - _xtos_restore_intlevel + +// Copyright (c) 2004-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + + +/*************************************************************************** + * void _xtos_restore_intlevel(unsigned restoreval); + * + * _xtos_restore_intlevel() restores the current interrupt level + * according to a value returned by _xtos_set_intlevel() or + * _xtos_set_min_intlevel() (or one of the corresponding macros). + * + * NOTE: In XEA2, this function may restore the entire PS register, not + * just the PS.INTLEVEL field. If some other PS field(s) must be changed + * and kept intact across restoring PS.INTLEVEL (this is generally unlikely), + * use the XTOS_RESTORE_JUST_INTLEVEL() macro instead (which is slower). + * + * NOTE: In XEA1, this function is implemented further below, identically + * to _xtos_set_vpri(). + * + * NOTE: The macro form of this function (XTOS_RESTORE_INTLEVEL()) + * is recommended (for XEA2 configs or where the config is unknown) + * because it may be more efficient. + */ + + .text + .global _xtos_restore_intlevel + .type _xtos_restore_intlevel,@function +#if XCHAL_HAVE_XEA2 + .align 4 +_xtos_restore_intlevel: + abi_entry +# if XCHAL_HAVE_INTERRUPTS + wsr a2, PS // restore PS + rsync // wait for WSR to PS to complete +# endif + abi_return + .size _xtos_restore_intlevel, . - _xtos_restore_intlevel +#endif + + + +/*************************************************************************** + * _xtos_set_vpri() is used to set the current virtual priority from C code; + * it can be called from the application or from a C interrupt handler. + */ + + .global _xtos_set_vpri + .type _xtos_set_vpri,@function + .align 4 +_xtos_set_vpri: +#if XCHAL_HAVE_XEA1 +_xtos_restore_intlevel: +#endif + abi_entry +#if XCHAL_HAVE_INTERRUPTS && XTOS_VIRTUAL_INTENABLE + mov a3, a2 + movi a4, _xtos_intstruct + xtos_lock a7 // MUST USE highest address register of function to avoid window overflows in critical section + l32i a2, a4, XTOS_VPRI_ENABLED_OFS // return old xtos_vpri_enabled (current vpri) + l32i a5, a4, XTOS_ENABLED_OFS // a3 = xtos_enabled + s32i a3, a4, XTOS_VPRI_ENABLED_OFS // set new xtos_vpri_enabled (current vpri) + and a5, a5, a3 // a5 = xtos_enabled & xtos_vpri_enabled + wsr a5, INTENABLE + xtos_unlock a7 +#endif /*XCHAL_HAVE_INTERRUPTS*/ + abi_return + .size _xtos_set_vpri, . - _xtos_set_vpri + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-set.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-set.S new file mode 100755 index 0000000..33167a7 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-set.S @@ -0,0 +1,79 @@ +// intlevel-set.S - Interrupt related assembler code - _xtos_set_intlevel + +// Copyright (c) 2004-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + + +/*************************************************************************** + * unsigned _xtos_set_intlevel(int intlevel); + * + * _xtos_set_intlevel() is used to set the current priority from C code; + * it can be called from the application or from a C interrupt handler. + * + * NOTE: This version allows the 'intlevel' parameter to be computed + * at run-time, and thus is longer. It is much more efficient, and + * highly recommented, to use the XTOS_SET_INTLEVEL(intlevel) macro instead + * (which requires a constant intlevel). + */ + + .text + .align 4 + .global _xtos_set_intlevel + .type _xtos_set_intlevel,@function +_xtos_set_intlevel: + abi_entry +#if XCHAL_HAVE_INTERRUPTS +# if XCHAL_HAVE_XEA2 + /* In XEA2, we can simply safely set PS.INTLEVEL directly: */ + rsr a3, PS // get old (current) PS.INTLEVEL + movi a4, ~0xF + extui a2, a2, 0, 4 // keep only INTLEVEL bits of parameter + and a4, a4, a3 // mask out PS.INTLEVEL + or a4, a4, a2 // insert requested INTLEVEL + wsr a4, PS // update PS.INTLEVEL + extui a2, a3, 0, 4 // return only old PS.INTLEVEL field + rsync // wait for WSR to PS to complete +# else + /* In XEA1, we have to rely on INTENABLE register virtualization: */ + movi a4, Xthal_intlevel_andbelow_mask + extui a3, a2, 0, 4 // keep only INTLEVEL bits of parameter + addx4 a5, a3, a4 // index mask to use + l32i a3, a5, 0 // get mask of interrupts at requested intlevel and below + movi a5, -1 // all 1's + movi a4, _xtos_intstruct + xor a3, a3, a5 // mask of interrupts at intlevels above the requested one (to enable) + xtos_lock a7 // MUST USE highest address register of function to avoid window overflows in critical section + l32i a2, a4, XTOS_VPRI_ENABLED_OFS // return old xtos_vpri_enabled (current vpri) + l32i a5, a4, XTOS_ENABLED_OFS // a5 = xtos_enabled + s32i a3, a4, XTOS_VPRI_ENABLED_OFS // set new xtos_vpri_enabled (current vpri) + and a5, a5, a3 // a5 = xtos_enabled & xtos_vpri_enabled + wsr a5, INTENABLE + xtos_unlock a7 +# endif +#endif /*XCHAL_HAVE_INTERRUPTS*/ + abi_return + + .size _xtos_set_intlevel, . - _xtos_set_intlevel + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-setmin.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-setmin.S new file mode 100755 index 0000000..d65d8fe --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/intlevel-setmin.S @@ -0,0 +1,85 @@ +// intlevel-setmin.S - Interrupt related assembler code - _xtos_set_min_intlevel + +// Copyright (c) 2004-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + + +/*************************************************************************** + * unsigned _xtos_set_min_intlevel(int intlevel); + * + * _xtos_set_min_intlevel() is identical to _xtos_set_intlevel() except + * that it will not lower the current interrupt level. Instead, + * it ensures that the current interrupt level is at least as high + * as specified. + * + * NOTE: This version allows the 'intlevel' parameter to be computed + * at run-time, and thus is longer. It is much more efficient, and + * highly recommented, to use the XTOS_SET_MIN_INTLEVEL(intlevel) macro instead + * (which requires a constant intlevel). + */ + + .text + .align 4 + .global _xtos_set_min_intlevel + .type _xtos_set_min_intlevel,@function +_xtos_set_min_intlevel: + abi_entry +#if XCHAL_HAVE_INTERRUPTS +# if XCHAL_HAVE_XEA2 + /* In XEA2, we can simply safely set PS.INTLEVEL directly: */ + rsr a3, PS // get old (current) PS.INTLEVEL + movi a4, ~0xF + extui a2, a2, 0, 4 // keep only INTLEVEL bits of parameter + extui a5, a3, 0, 4 // look at old PS.INTLEVEL + sub a5, a2, a5 // new.intlevel - old.intlevel + and a4, a4, a3 // mask out PS.INTLEVEL + or a4, a4, a2 // insert requested INTLEVEL + movltz a4, a3, a5 // keep same PS if already higher than requested + wsr a4, PS // update PS.INTLEVEL + extui a2, a3, 0, 4 // return only old PS.INTLEVEL field + rsync // wait for WSR to PS to complete +# else + /* In XEA1, we have to rely on INTENABLE register virtualization: */ + movi a4, Xthal_intlevel_andbelow_mask + extui a3, a2, 0, 4 // keep only INTLEVEL bits of parameter + addx4 a5, a3, a4 // index mask to use + l32i a3, a5, 0 // get mask of interrupts at requested intlevel and below + movi a5, -1 // all 1's + movi a4, _xtos_intstruct + xor a3, a3, a5 // mask of interrupts at intlevels above the requested one (to enable) + xtos_lock a7 // MUST USE highest address register of function to avoid window overflows in critical section + l32i a2, a4, XTOS_VPRI_ENABLED_OFS // return old xtos_vpri_enabled (current vpri) + l32i a5, a4, XTOS_ENABLED_OFS // a5 = xtos_enabled + and a3, a3, a2 // make sure we don't enable any new interrupts + s32i a3, a4, XTOS_VPRI_ENABLED_OFS // set new xtos_vpri_enabled (current vpri) + and a5, a5, a3 // a5 = xtos_enabled & xtos_vpri_enabled + wsr a5, INTENABLE + xtos_unlock a7 +# endif +#endif /*XCHAL_HAVE_INTERRUPTS*/ + abi_return + + .size _xtos_set_min_intlevel, . - _xtos_set_min_intlevel + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/ints-off.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/ints-off.S new file mode 100755 index 0000000..01cc32d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/ints-off.S @@ -0,0 +1,74 @@ +// ints-off.S - Interrupt related assembler code - _xtos_ints_off + +// Copyright (c) 2004-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + + +/*************************************************************************** + * _xtos_ints_on() and _xtos_ints_off() are used + * to enable and disable interrupts from C code; + * they can be called from the application or from a C interrupt handler. + */ + +// u32 _xtos_ints_off( u32 mask ); [T1050.0 docs this as returning old INTENABLE value] +// Disables a set of interrupts. See _xtos_ints_on(). +// +// MUST NOT be called when PS.INTLEVEL > XTOS_LOCKLEVEL +// (otherwise PS.INTLEVEL gets lowered; and operation may be inconsistent +// if this is called in the handler of an interrupt of level > LOCKLEVEL). +// + .text + .align 4 + .global _xtos_ints_off + .type _xtos_ints_off,@function +_xtos_ints_off: + abi_entry +#if XCHAL_HAVE_INTERRUPTS +# if XTOS_VIRTUAL_INTENABLE + movi a4, _xtos_intstruct + xtos_lock a7 // MUST USE highest address register of function to avoid window overflows in critical section + l32i a3, a4, XTOS_ENABLED_OFS // a3 = xtos_enabled + l32i a6, a4, XTOS_VPRI_ENABLED_OFS // a6 = xtos_vpri_enabled + or a5, a3, a2 // a5 = xtos_enabled | mask + xor a5, a5, a2 // a5 = xtos_enabled & ~mask + s32i a5, a4, XTOS_ENABLED_OFS // xtos_enabled &= ~mask + and a5, a5, a6 // a5 = xtos_enabled & xtos_vpri_enabled +# else + xtos_lock a7 // MUST USE highest address register of function to avoid window overflows in critical section + rsr a3, INTENABLE + //interlock + or a5, a3, a2 // a5 = INTENABLE | mask + xor a5, a5, a2 // a5 = INTENABLE & ~mask +# endif + wsr a5, INTENABLE + xtos_unlock a7 + mov a2, a3 // return previous (virtual or real) INTENABLE value +#else /*XCHAL_HAVE_INTERRUPTS*/ + movi a2, 0 // this config does not have interrupts, so return 0 +#endif /*XCHAL_HAVE_INTERRUPTS*/ + abi_return + + .size _xtos_ints_off, . - _xtos_ints_off + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/ints-on.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/ints-on.S new file mode 100755 index 0000000..1225e4b --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/ints-on.S @@ -0,0 +1,75 @@ +// ints-on.S - Interrupt related assembler code - _xtos_ints_on + +// Copyright (c) 2004-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + + +/*************************************************************************** + * _xtos_ints_on() and _xtos_ints_off() are used + * to enable and disable interrupts from C code; + * they can be called from the application or from a C interrupt handler. + */ + + +// u32 _xtos_ints_on( u32 mask ); +// Enables a set of interrupts. +// With INTENABLE virtualizing, does not simply set INTENABLE directly, but rather +// computes it as a function of the current virtual priority. +// +// MUST NOT be called when PS.INTLEVEL > XTOS_LOCKLEVEL +// (otherwise PS.INTLEVEL gets lowered; and operation may be inconsistent +// if this is called in the handler of an interrupt of level > LOCKLEVEL). +// + .text + .align 4 + .global _xtos_ints_on + .type _xtos_ints_on,@function +_xtos_ints_on: + abi_entry +#if XCHAL_HAVE_INTERRUPTS +# if XTOS_VIRTUAL_INTENABLE + movi a4, _xtos_intstruct + xtos_lock a7 // MUST USE highest address register of function to avoid window overflows in critical section + l32i a3, a4, XTOS_ENABLED_OFS // a3 = xtos_enabled + l32i a6, a4, XTOS_VPRI_ENABLED_OFS // a6 = xtos_vpri_enabled + or a5, a3, a2 // xtos_enabled | mask + s32i a5, a4, XTOS_ENABLED_OFS // xtos_enabled |= mask + and a5, a5, a6 // a5 = xtos_enabled & xtos_vpri_enabled +# else + xtos_lock a7 // MUST USE highest address register of function to avoid window overflows in critical section + rsr a3, INTENABLE + //interlock + or a5, a3, a2 // INTENABLE | mask +# endif + wsr a5, INTENABLE + xtos_unlock a7 + mov a2, a3 // return previous (virtual or real) INTENABLE value +#else /*XCHAL_HAVE_INTERRUPTS*/ + movi a2, 0 // this config does not have interrupts, so return 0 +#endif /*XCHAL_HAVE_INTERRUPTS*/ + abi_return + + .size _xtos_ints_on, . - _xtos_ints_on + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/kernel-vector.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/kernel-vector.S new file mode 100755 index 0000000..0501821 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/kernel-vector.S @@ -0,0 +1,72 @@ +// kernel-vector.S - Kernel Vector for General Exceptions +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/kernel-vector.S#3 $ + +// Copyright (c) 1999-2002, 2004, 2006, 2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +/* + * General exceptions in kernel vector mode (PS.UM==0) go to this kernel + * vector. This kernel vector does very little. + * Under normal operation of the single-threaded runtime ("XTOS"), kernel + * vectored general exceptions do not occur, so nothing needs to be done. + * However when debugging, such as when writing exception and + * interrupt handlers, kernel vectored exceptions may occur. + * They are usually the sign of a bug, so here we take a breakpoint + * (if debug option enabled) or take drastic action (infinite loop) + * otherwise. + * + * XTOS does not allow exceptions in interrupt or exception handlers. + * If it did, a more elaborate kernel vector handler would be needed. + * See the Xtensa Microprocessor Programmer's Guide for an + * example of how to implement such a kernel vector handler. + */ + +#include +#include +#ifdef SIMULATOR +#include +#endif + +#if XCHAL_HAVE_EXCEPTIONS + + .begin literal_prefix .KernelExceptionVector + .section .KernelExceptionVector.text, "ax" + + .align 4 + .global _KernelExceptionVector +_KernelExceptionVector: +# if XCHAL_HAVE_DEBUG +1: break 1,0 // unexpected kernel exception +# elif defined(SIMULATOR) + wsr a2, EXCSAVE1 // save a2 where simulator expects it + movi a2, SYS_unhandled_kernel_exc +1: simcall // let simulator/debugger report unhandled exception +# else +1: +# endif + j 1b // infinite loop - unexpected kernel exception + + .size _KernelExceptionVector, . - _KernelExceptionVector + .text + .end literal_prefix + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memep-enable.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memep-enable.S new file mode 100755 index 0000000..6230336 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memep-enable.S @@ -0,0 +1,63 @@ +// memep-enable.S -- Turn on local memory ECC/parity checking +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/memep-enable.S#3 $ + +// Copyright (c) 2006-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include + + + /* + * void _xtos_memep_enable(int flags); + * + * Turn on local memory ECC/parity checking, for both + * data access and instruction fetch. + * + * For now, flags are ignored. Caller is expected to pass zero. + * + * _xtos_memep_initrams() must have already been called, if necessary, + * to ensure all ECC/parity bits are valid in any local instruction + * or data RAM. The normal reset vector sequence already takes care + * of initializing any local cache ECC/parity bits. + */ + .text + .align 4 + .global _xtos_memep_enable +_xtos_memep_enable: + abi_entry + +#if XCHAL_HAVE_MEM_ECC_PARITY + + // Local Memory ECC/Parity option initialization + // + // NOTE: We turn on exceptions on correctable errors and correct + // them in the memory error handler. + movi a2, MESR_ERRENAB | MESR_DATEXC | MESR_INSEXC + wsr a2, MESR + isync + +#endif /* XCHAL_HAVE_MEM_ECC_PARITY */ + + movi a2, 0 // successfully turned on what we could + abi_return + + .size _xtos_memep_enable, . - _xtos_memep_enable + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memep-initrams.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memep-initrams.S new file mode 100755 index 0000000..fc1ba73 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memep-initrams.S @@ -0,0 +1,91 @@ +// memep-initrams.S -- Initialize local memory ECC/parity +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/memep-initrams.S#3 $ + +// Copyright (c) 2006-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include + + + /* + * void _xtos_memep_initrams(void); + * + * Most reset vectors initialize caches, leaving only the local memories + * (instruction and data RAMs) with potentially some words that have + * not been written to and thus have uninitialized ECC/parity bits. + * Loading such a word after enabling ECC/parity checking would result + * in an exception (or memory error reported in MESR). To avoid this, + * an application must either carefully avoid loading from uninitialized + * words, or ensure it writes to every instruction and data RAM word. + * The latter is what this function does. It reads and writes every + * word of every local instruction and data RAM. It should normally + * be called with interrupts disabled. An interrupt might come in + * between a load and store, in which case any modification made by the + * interrupt handler to that local memory location is lost when this + * function resumes and does the store. If no interrupt handler makes + * any persistent modification to local memories, disabling them around + * a call to this function may be unnecessary. + * + * On the simulator (ISS), everything comes up zeroed, so no there is + * no need for this initialization. + */ + .text + .align 4 + .global _xtos_memep_initrams +_xtos_memep_initrams: + abi_entry + + // Local Memory ECC/Parity option initialization +#if XCHAL_HAVE_MEM_ECC_PARITY && (XCHAL_NUM_DATARAM || XCHAL_NUM_INSTRAM /*|| XCHAL_NUM_URAM || XCHAL_NUM_XLMI*/) && !defined(SIMULATOR) + .section .rodata, "a" + .align 4 +.L_locmemep_start: +# if XCHAL_NUM_DATARAM >= 1 && XCHAL_DATARAM0_ECC_PARITY + .long XCHAL_DATARAM0_VADDR, XCHAL_DATARAM0_VADDR+XCHAL_DATARAM0_SIZE +# endif +# if XCHAL_NUM_DATARAM >= 2 && XCHAL_DATARAM1_ECC_PARITY + .long XCHAL_DATARAM1_VADDR, XCHAL_DATARAM1_VADDR+XCHAL_DATARAM1_SIZE +# endif +# if XCHAL_NUM_INSTRAM >= 1 && XCHAL_INSTRAM0_ECC_PARITY + .long XCHAL_INSTRAM0_VADDR, XCHAL_INSTRAM0_VADDR+XCHAL_INSTRAM0_SIZE +# endif +# if XCHAL_NUM_INSTRAM >= 2 && XCHAL_INSTRAM1_ECC_PARITY + .long XCHAL_INSTRAM1_VADDR, XCHAL_INSTRAM1_VADDR+XCHAL_INSTRAM1_SIZE +# endif +.L_locmemep_end: + .text + movi a5, .L_locmemep_start // start of table of local memory ranges + movi a6, .L_locmemep_end // end of table ... +2: l32i a3, a5, 0 // start of local memory + l32i a4, a5, 4 // end of local memory + addi a5, a5, 8 // (next entry in table) +1: l32i a2, a3, 0 // load and store every word of local memory... + s32i a2, a3, 0 // ... to initialize all parity and/or ECC bits + addi a3, a3, 4 + bltu a3, a4, 1b // loop until whole memory initialized + bltu a5, a6, 2b // loop until all memories initialized + // ECC/parity bits are now initialized, checking can be turned on. +#endif /* ECC/parity on instruction or data RAM(s) */ + + abi_return + + .size _xtos_memep_initrams, . - _xtos_memep_initrams + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memerror-vector.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memerror-vector.S new file mode 100755 index 0000000..04f7c0d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/memerror-vector.S @@ -0,0 +1,482 @@ +/* memerror-vector.S -- Memory Error Exception Vector and Handler */ + +/* $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/memerror-vector.S#3 $ */ + +/* + * Copyright (c) 2006-2010 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +//#include +#include +#include + +#if XCHAL_HAVE_MEM_ECC_PARITY +# if defined(__SPLIT__vector) + + // Place this code in the memory error exception vector: + .begin literal_prefix .MemoryExceptionVector + .section .MemoryExceptionVector.text, "ax" + + .global _MemErrorVector + .align 4 +_MemErrorVector: +# if 0 /* XCHAL_HAVE_DEBUG */ + // Memory errors raise PS.INTLEVEL above DEBUGLEVEL, so + // break instructions have no effect within them (debug + // exceptions are masked). So leave commented out for now. + break 1, 5 // unhandled memory error exception +# endif + wsr a0, MESAVE + movi a0, _MemErrorHandler + jx a0 + + .size _MemErrorVector, . - _MemErrorVector + .text + .end literal_prefix + + +# elif defined(__SPLIT__handler) + +/* + * Some rules and assumptions: + * + * Anything that can interrupt this handler (e.g. NMI): + * - must not lock or unlock cache lines + */ + + +#define ICACHE_WAYWIDTH (XCHAL_ICACHE_SETWIDTH + XCHAL_ICACHE_LINEWIDTH) /* LICT's "iis" */ +#define DCACHE_WAYWIDTH (XCHAL_DCACHE_SETWIDTH + XCHAL_DCACHE_LINEWIDTH) /* LDCT's "dis" */ +/* NOTE: Memory ECC/parity is not supported on XLMI or on local ROMs: */ +#define HAVE_LOCAL_RAM (XCHAL_NUM_DATARAM || XCHAL_NUM_INSTRAM /*|| XCHAL_NUM_URAM || XCHAL_NUM_XLMI*/) + + + //.lcomm _MemErrorSave, 8 + .comm _MemErrorSave, 8, 4 + + .text + .align 4 + .global _MemErrorHandler +_MemErrorHandler: + rsr a0, MESR + bbsi.l a0, MESR_DME_SHIFT, .L_fatal_dme +# if XCHAL_ICACHE_SIZE > 0 || XCHAL_DCACHE_SIZE > 0 + bbsi.l a0, MESR_MEMTYPE_SHIFT+1, .L_cache // branch if error on a cache +# endif + // Error in a local memory. +# if HAVE_LOCAL_RAM + bbsi.l a0, MESR_ERRTYPE_SHIFT, .L_uncorrectable_local + // Correctable error in a local memory (IRAM or DRAM). + // (MEVADDR has all 32 bits, so XSR preserves a register:) + xsr a2, MEVADDR + // Note: MEVADDR is always 4-byte aligned, + // so we can just do L32I/S32I to correct the error. + // However, that's not atomic, and NMI can store in between; + // that's usually a problem for D rather than I, avoid the + // issue using S32C1I if configured (else NMI must not write DataRAM!?!): +# if (XCHAL_HAVE_S32C1I && (XCHAL_NUM_DATARAM /*|| XCHAL_NUM_URAM || XCHAL_NUM_XLMI*/)) + bbci.l a0, MESR_MEMTYPE_SHIFT, .L_instram // branch if error on InstRAM + // Unfortunately we need 3 registers to do S32C1I (data,addr,SCOMPARE1) so + // we need to save to _MemErrorSave: + movi a0, _MemErrorSave + s32i a4, a0, 0 // save a4 + l32i a4, a2, 0 // load data (re-correct) + rsr a0, SCOMPARE1 // save SCOMPARE1 + wsr a4, SCOMPARE1 + s32c1i a4, a2, 0 // store if still contains same value (else other store corrected error) + movi a4, _MemErrorSave + wsr a0, SCOMPARE1 // restore SCOMPARE1 + l32i a4, a4, 0 // restore a4 + j 2f +.L_instram: +# endif + l32i a0, a2, 0 // load data (re-correct) + s32i a0, a2, 0 // store data to correct ECC bits +2: xsr a2, MEVADDR +# endif /* HAVE_LOCAL_RAM */ +.L_done: + rsr a0, MESAVE + rfme + + + // Weak reference: if unresolved, links okay but with zero value: + .weak _xtos_merr_hook_fatal_dme +.L_fatal_dme: + // Fatal (unrecoverable) error, double memory exception + movi a0, _xtos_merr_hook_fatal_dme +1: beqz a0, 1b // fatal double memory error, no hook, so infinite loop + jx a0 // jump to user hook, if present + + +# if HAVE_LOCAL_RAM + // Weak reference: if unresolved, links okay but with zero value: + .weak _xtos_merr_hook_uncorrectable_local +.L_uncorrectable_local: + // Fatal (unrecoverable) error in IRAM or DRAM: parity or uncorrectable ECC error + movi a0, _xtos_merr_hook_uncorrectable_local +1: beqz a0, 1b // fatal memory error, no hook provided, so infinite loop + jx a0 // jump to user hook, if present +# endif + + +# if XCHAL_ICACHE_SIZE > 0 || XCHAL_DCACHE_SIZE > 0 +.L_cache: + // Error in one of the caches. +# endif + +# if XCHAL_ICACHE_SIZE > 0 +# if XCHAL_DCACHE_SIZE > 0 + bbsi.l a0, MESR_MEMTYPE_SHIFT, .L_dcache // branch if data cache error +# endif + // Error in the instruction cache. + bbsi.l a0, MESR_ERRTYPE_SHIFT, .L_icache_noncorr // branch if uncorrectable + // Correctable error in the instruction cache. + xsr a2, MEVADDR + // TODO FIXME: remove these 5 lines if waynum is in MEVADDR!? by using III if tag and IHI otherwise!?!?!?: +# if XCHAL_ICACHE_WAYS > 1 + extui a0, a0, MESR_WAYNUM_SHIFT, 2 + slli a0, a0, ICACHE_WAYWIDTH + slli a2, a2, 32 - ICACHE_WAYWIDTH + srli a2, a2, 32 - ICACHE_WAYWIDTH + or a2, a2, a0 +# endif + iii a2, 0 // invalidate line (whole set!) if not locked +# if XCHAL_ICACHE_LINE_LOCKABLE + // III has no effect if the line is locked; for that case, need to do more: + lict a0, a2 + bbci.l a0, XCHAL_ICACHE_TAG_L_SHIFT, .L_icache_done // branch if unlocked + // Correctable error in a locked instruction cache line. + // Fix both tag and one word, quicker than figuring out whether error is in tag or data: + sict a0, a2 // fix tag + licw a0, a2 + sicw a0, a2 // fix data word +# endif +.L_icache_done: + xsr a2, MEVADDR + j .L_done + +.L_icache_noncorr: + // Non-correctable error in the instruction cache. + bbsi.l a0, MESR_MEMTYPE_SHIFT+2, .L_icache_tag_noncorr // branch if tag error + // Non-correctable error in the instruction cache data. + // Just invalidate the line if we can. +# if XCHAL_ICACHE_LINE_LOCKABLE + // If locked, need a different fix sequence. + xsr a2, MEVADDR + +# if XCHAL_ICACHE_WAYS > 1 + // This sequence is shorter, but does not retain original MEVADDR so + // prevents subsequent use of instructions requiring a virtual address + // (such as LICW, IPFL, etc): +// extui a0, a0, MESR_WAYNUM_SHIFT, 2 +// slli a0, a0, ICACHE_WAYWIDTH +// slli a2, a2, 32 - ICACHE_WAYWIDTH +// srli a2, a2, 32 - ICACHE_WAYWIDTH +// or a2, a2, a0 + + extui a0, a0, MESR_WAYNUM_SHIFT, 2 // id of way with mem error + slli a0, a0, ICACHE_WAYWIDTH + xor a0, a2, a0 // xor corresponding bits of addr + extui a0, a0, ICACHE_WAYWIDTH, 2 // take 2 xor'ed way bits + or a2, a2, a0 // save them at bottom of addr + slli a0, a0, ICACHE_WAYWIDTH + xor a2, a2, a0 // and change 2 way bits of addr +# endif + lict a0, a2 + bbsi.l a0, XCHAL_ICACHE_TAG_L_SHIFT, .L_icache_locked_uncor // branch if locked + // Cache line is not locked, just invalidate: +# if XCHAL_ICACHE_WAYS > 1 + iii a2, 0 +# else + ihi a2, 0 +# endif + j .L_icache_done + + // NOTE: we don't use the LICW/SICW sequence below unless the line is locked, + // otherwise the i-cache line might get replaced between LICW and SICW + // (if we're not extremely careful), which would be disastrous. + // Also, for locked lines, LICW/SICW is much safer than IHU/IHI/IPFL + // because it doesn't leave a window where the line is unlocked; + // however, if the error is non-correctable, we have no choice. + +.L_icache_locked_uncor: + // If locked and uncorrectable however, the only recourse is relocking. + // So we need to recover the virtual address so we can do IPFL. + // Note: can't use MEPC instead of MEVADDR, because (a) it might not + // point to the correct cache line, and (b) it might be completely wrong + // in the case where the mem error happened e.g. during an LICW or IPFL. +# if XCHAL_ICACHE_WAYS > 1 + // Recover virtual address in a2: + extui a0, a2, 0, 2 // get saved xor'ed bits at bottom + slli a0, a0, ICACHE_WAYWIDTH // line them up + xor a2, a2, a0 // restore original MEVADDR +# endif + ihu a2, 0 // unlock line + ihi a2, 0 // invalidate line + ipfl a2, 0 // refetch-and-lock the line + j .L_icache_done +# else /* LOCKABLE */ + rsr a0, MEVADDR + ihi a0, 0 // invalidate that cache line + j .L_done +# endif /* LOCKABLE */ + +.L_icache_tag_noncorr: + // Non-correctable error in the instruction cache tag. + // Just invalidate the tag or the entire set. +# if XCHAL_ICACHE_LINE_LOCKABLE + // Note: can't use either IIU or III, as these don't write the entire tag, + // so they'll get the exception again. So, have to use SICT. +# if XCHAL_ICACHE_WAYS > 1 + // TODO FIXME: avoid this 8-line alternative if waynum is in MEVADDR!?: + xsr a2, MEVADDR + extui a0, a0, MESR_WAYNUM_SHIFT, 2 + slli a0, a0, ICACHE_WAYWIDTH + slli a2, a2, 32 - ICACHE_WAYWIDTH + srli a2, a2, 32 - ICACHE_WAYWIDTH + or a2, a2, a0 + iiu a2, 0 // unlock line ==> also invalidates! (I-side only) + xsr a2, MEVADDR +# else + rsr a0, MEVADDR + iiu a0, 0 // unlock line ==> also invalidates! (I-side only) +# endif + // If line was locked, can't recover lock state, need external info to recover. + // User can provide an assembler hook routine _xtos_merr_hook_icache_relock + // to relock the icache at the index in a2: + // - any number of lines might still be locked at that index, + // including all of them + // - no stack is provided, a0 must be used as starting point to + // load a save area and saved registers as necessary + // - unless routine just does ret (i.e. does not modify any + // register, only possible if it does nothing), it needs to + // return by restoring all registers it modified, ending with: + // rsr a0, MESAVE + // rfme + // CAVEAT EMPTOR: this hook mechanism is subject to change. + .weak _xtos_merr_hook_icache_relock // if unresolved, links with zero value + movi a0, _xtos_merr_hook_icache_relock +1: beqz a0, 1b // if no hook to recover lock state on icache tag mem error, loop forever + callx0 a0 // invoke user hook to relock i-cache (index in MEVADDR) +# else + rsr a0, MEVADDR + iii a0, 0 // invalidate entire set +# endif + j .L_done +# endif /* have ICACHE */ + + +# if XCHAL_DCACHE_SIZE > 0 +# if XCHAL_ICACHE_SIZE > 0 +.L_dcache: +# endif + // Error in the data cache. +# if XCHAL_DCACHE_IS_WRITEBACK || XCHAL_DCACHE_LINE_LOCKABLE + bbsi.l a0, MESR_ERRTYPE_SHIFT, .L_dcache_noncorr // branch if uncorrectable + // Uncorrectable error on a writeback dcache might be unrecoverable: +# endif + bbsi.l a0, MESR_MEMTYPE_SHIFT+2, .L_dcache_tag // branch if tag error + // Error in the data cache data (correctable, or non-correctable in writethru+unlockable cache). + // MEVADDR always a real vaddr here; might point to cache-isolate mode area though. +# if XCHAL_DCACHE_LINE_LOCKABLE + // Correctable error on lockable dcache data. + // If locked, need to refetch the line (or load/store its contents, which is less safe): + xsr a2, MEVADDR +# if XCHAL_DCACHE_WAYS > 1 + // Need some extra computation to get the correct dcache way's tag: + movi a0, _MemErrorSave + s32i a4, a0, 0 // save a4 + s32i a5, a0, 4 // save a5 + rsr a4, MESR + extui a4, a4, MESR_WAYNUM_SHIFT, 2 + slli a4, a4, DCACHE_WAYWIDTH + slli a5, a2, 32 - DCACHE_WAYWIDTH + srli a5, a5, 32 - DCACHE_WAYWIDTH + add a4, a4, a5 + mov a5, a0 + ldct a0, a4 + l32i a4, a5, 0 // restore a4 + l32i a5, a5, 4 // restore a5 +# else + ldct a0, a2 +# endif + // FIXME: if castout, a2 is a physical address! doesn't work with any address translation. +# if 0 /* translation */ + movi a4, _xtos_vmap_vaddr // FIXME: do we need two variables for full MMU? +1: beqz a4, 1b // if no vaddr to use, loop forever (FIXME: caxlt: could assume V==P) + rdtlb1 a5, a4 // save current contents + ... clear lower bits of a4 ... + xx = some function of a2 + wdtlb xx, a4 + a2 = virtual address, i.e. some function of a2 and a4 ... + ... do the sequence below ... + ... + wdtlb a5, a4 // restore TLB entry +# endif + // NOTE: the following sequence leaves the line temporarily unlocked, if locked. + // We assume NMI handlers don't lock lines or rely on their being locked. + // We could have used "l32i a0,a2,0; s32i a0,a2,0" but that's not atomic on the data. + dhu a2, 0 // unlock the cache line, if locked + dhwbi a2, 0 // writeback and invalidate cache line + bbci.l a0, XCHAL_DCACHE_TAG_L_SHIFT, 1f + dpfl a2, 0 // re-prefetch-and-lock the cache line +1: xsr a2, MEVADDR +# else /* LOCKABLE */ + // Error in unlockable data cache data (correctable, or non-correctable in writethru cache). + rsr a0, MEVADDR + // USELESS NOTE: if writethru dcache and NMI handlers don't store to this, we could use DHI instead: + // FIXME: if castout, a0 is a physical address! doesn't work with any address translation. + dhwbi a0, 0 // writeback (if correctable) and invalidate that cache line +# endif /* LOCKABLE */ + j .L_done + +.L_dcache_tag: + // Error in data cache tag (correctable, or non-correctable in writethru+unlockable cache). + // MEVADDR only contains cache index here (not waynum), don't expect a vaddr (the ISA + // says upper bits are undefined; actual hw does put a vaddr, but in future might not). + // Whether or not correctable, just invalidate the particular way's line: + xsr a2, MEVADDR + // NOTE: could remove these 5 lines if hw were designed with waynum in MEVADDR (but is not): +# if XCHAL_DCACHE_WAYS > 1 + extui a0, a0, MESR_WAYNUM_SHIFT, 2 + slli a0, a0, DCACHE_WAYWIDTH + slli a2, a2, 32 - DCACHE_WAYWIDTH + srli a2, a2, 32 - DCACHE_WAYWIDTH + or a2, a2, a0 +# endif +# if XCHAL_DCACHE_LINE_LOCKABLE + ldct a0, a2 // invalidate and unlock that cache tag + bbci.l a0, XCHAL_DCACHE_TAG_L_SHIFT, 1f // branch if not locked + sdct a0, a2 // if locked, this safely writes whole tag +# endif +1: diwbi a2, 0 // writeback (if correctable) and invalidate the line + xsr a2, MEVADDR + j .L_done + + + +# if XCHAL_DCACHE_IS_WRITEBACK || XCHAL_DCACHE_LINE_LOCKABLE +.L_dcache_noncorr: + // Uncorrectable error on a (writeback and/or lockable) data cache. +# if XCHAL_DCACHE_IS_WRITEBACK + // On tag errors we don't know whether the line is dirty, so this is unrecoverable: + bbsi.l a0, MESR_MEMTYPE_SHIFT+2, .L_uncorrectable_dtag // branch if tag error + // Castouts are by definition dirty, uncorrectable errors on these are unrecoverable: + bbsi.l a0, MESR_ACCTYPE_SHIFT, .L_uncorrectable_dirty // branch if castout + // Note: could still be an error on dirty dcache data, also unrecoverable. +# else + bbsi.l a0, MESR_MEMTYPE_SHIFT+2, .L_dcache_tag_noncorr // branch if tag error +# endif + // Uncorrectable error in dcache data. + // May be dirty or locked, so get tag to find out. + xsr a2, MEVADDR +# if XCHAL_DCACHE_WAYS > 1 + extui a0, a0, MESR_WAYNUM_SHIFT, 2 // id of way with mem error + slli a0, a0, DCACHE_WAYWIDTH + xor a0, a2, a0 // xor corresponding bits of addr + extui a0, a0, DCACHE_WAYWIDTH, 2 // take 2 xor'ed way bits + or a2, a2, a0 // save them at bottom of addr + slli a0, a0, DCACHE_WAYWIDTH + xor a2, a2, a0 // and change 2 way bits of addr +# endif + ldct a0, a2 // get dcache tag +# if XCHAL_DCACHE_IS_WRITEBACK + bbsi.l a0, XCHAL_DCACHE_TAG_D_SHIFT, .L_uncorrectable_dirty_2 // branch if dirty +# endif + // Data cache line is clean. +# if XCHAL_DCACHE_LINE_LOCKABLE + bbsi.l a0, XCHAL_DCACHE_TAG_L_SHIFT, .L_dcache_nc_locked +# endif + // Data cache line is clean and unlocked. Just invalidate it. + // FIXME: any stores to this line by an NMI handler will be lost. + // On the other hand, if we use DHWBI, any stores by an NMI handler + // that don't happen to fix the error result in an unrecoverable castout. + // +# if XCHAL_ICACHE_WAYS > 1 + // Recover virtual address in a2: + extui a0, a2, 0, 2 // get saved xor'ed bits at bottom + slli a0, a0, ICACHE_WAYWIDTH // line them up + xor a2, a2, a0 // restore original MEVADDR +# endif + dhi a2, 0 // invalidate that data cache line + xsr a2, MEVADDR + j .L_done + +# if XCHAL_DCACHE_LINE_LOCKABLE +.L_dcache_nc_locked: +# if XCHAL_ICACHE_WAYS > 1 + // Recover virtual address in a2: + extui a0, a2, 0, 2 // get saved xor'ed bits at bottom + slli a0, a0, ICACHE_WAYWIDTH // line them up + xor a2, a2, a0 // restore original MEVADDR +# endif + // Unlock, invalidate, and relock it: + dhu a2, 0 // unlock that data cache line + dhi a2, 0 // invalidate that data cache line + dpfl a2, 0 // prefetch-and-lock the line again + xsr a2, MEVADDR + j .L_done +# endif + +# if XCHAL_DCACHE_IS_WRITEBACK + // Weak reference: if unresolved, links okay but with zero value: + .weak _xtos_merr_hook_uncor_dtag +.L_uncorrectable_dtag: + // Fatal (unrecoverable) error in dcache tag (maybe dirty): parity or uncorrectable ECC error + movi a0, _xtos_merr_hook_uncor_dtag +1: beqz a0, 1b // fatal non-corr dcache tag, no hook, so infinite loop + jx a0 // jump to user hook, if present + + // Weak reference: if unresolved, links okay but with zero value: + .weak _xtos_merr_hook_uncor_dirty +.L_uncorrectable_dirty_2: + xsr a2, MEVADDR +.L_uncorrectable_dirty: + // Fatal (unrecoverable) error, parity or non-correctable ECC error on dirty cache data + movi a0, _xtos_merr_hook_uncor_dirty +1: beqz a0, 1b // fatal non-corr dirty cache line, no hook, so infinite loop + jx a0 // jump to user hook, if present +# else +.L_dcache_tag_noncorr: + // Uncorrectable error on a lockable writethru data cache tag. + // We have to invalidate the line, but that way we lose the lock bit. + // Provide a hook to relock if necessary (using knowledge outside this module + // about what needs to be locked). See _xtos_merr_hook_icache_relock for details. + // CAVEAT EMPTOR: this hook mechanism is subject to change. + .weak _xtos_merr_hook_dcache_relock // if unresolved, links with zero value + movi a0, _xtos_merr_hook_dcache_relock +1: beqz a0, 1b // if no hook to recover lock state on dcache tag mem error, loop forever + callx0 a0 // invoke user hook to relock d-cache (index in MEVADDR) + j .L_done +# endif + +# endif /* DCACHE IS WRITEBACK || LINE_LOCKABLE */ + +# endif /* have DCACHE */ + + .size _MemErrorHandler, . - _MemErrorHandler + + + +# endif /* splitting */ +#endif /* XCHAL_HAVE_MEM_ECC_PARITY */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/nmi-vector.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/nmi-vector.S new file mode 100755 index 0000000..69108bc --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/nmi-vector.S @@ -0,0 +1,61 @@ +// nmi-vector.S -- Standalone NMI Interrupt Vector/Handler +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/nmi-vector.S#3 $ + +// Copyright (c) 2003, 2006, 2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +// The NMI exception vector handles non-maskable interrupts. + +#include +#include +#include + +#if XCHAL_HAVE_NMI + + .begin literal_prefix .NMIExceptionVector + .section .NMIExceptionVector.text, "ax" + + .align 4 + .global _NMIExceptionVector +_NMIExceptionVector: + +// Insert any custom NMI handling code here. +// For example: +// wsr a0, EXCSAVE+XCHAL_NMILEVEL +// movi a0, ...address of some save area specific to this code... +// s32i a1, a0, 0 // save whatever registers are needed +// : +// do something useful ... +// : +// l32i a1, a0, 0 // restore whatever registers were saved +// rsr a0, EXCSAVE+XCHAL_NMILEVEL +// +// This default NMI handler does not do anything. It just returns +// immediately upon any occurrence of a non-maskable interrupt. + + rfi XCHAL_NMILEVEL + + .size _NMIExceptionVector, . - _NMIExceptionVector + .text + .end literal_prefix + +#endif /* XCHAL_HAVE_NMI */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-alloca.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-alloca.S new file mode 100755 index 0000000..2524f1f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-alloca.S @@ -0,0 +1,38 @@ +// null-alloca.S - Stub for Unused Alloca (MOVSP) Handler +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/null-alloca.S#3 $ + +// Copyright (c) 2006-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + + + // Redirect alloca (MOVSP) exceptions as not handled, when + // that functionality is not needed. Done this way, a user can + // just specify this small handler to override the default one. + + .text + .weak _xtos_unhandled_exception + .global _xtos_alloca_handler +_xtos_alloca_handler: + movi a3, _xtos_unhandled_exception +1: beqz a3, 1b + jx a3 + .size _xtos_alloca_handler, . - _xtos_alloca_handler + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-syscall.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-syscall.S new file mode 100755 index 0000000..108a2ab --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-syscall.S @@ -0,0 +1,38 @@ +// null-syscall.S - Stub for Unused SYSCALL Handler +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/null-syscall.S#3 $ + +// Copyright (c) 2006-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + + + // Redirect SYSCALL exceptions as not handled, when + // that functionality is not needed. Done this way, a user can + // just specify this small handler to override the default one. + + .text + .weak _xtos_unhandled_exception + .global _xtos_syscall_handler +_xtos_syscall_handler: + movi a3, _xtos_unhandled_exception +1: beqz a3, 1b + jx a3 + .size _xtos_syscall_handler, . - _xtos_syscall_handler + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-vectors.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-vectors.S new file mode 100755 index 0000000..2869977 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/null-vectors.S @@ -0,0 +1,184 @@ +// null-vectors.S - Stubs for Unused Vectors and Handlers +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/null-vectors.S#3 $ + +// Copyright (c) 2006-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + + +// NOTE: The simulator version of this file is currently not used, +// i.e. the SIMULATOR macro is never defined when assembling this file. +// The relevant simulator code is left here for illustrative purposes only. + + +#include +#include "xtos-internal.h" +#ifdef SIMULATOR +#include +#endif + + // These are just tiny non-functional vectors and handlers for when + // their functionality is not being used. They just try to signal + // the debugger that an unhandled exception or interrupt occurred, + // and otherwise just spin in a loop. + // + // For interrupts levels above DEBUGLEVEL, lowering PS.INTLEVEL + // for break to work is tricky, and not always possible in a + // generic fashion without interfering with normal program execution. + // So for now we don't do it. + + +#if defined(__SPLIT__user) + +# if XCHAL_HAVE_EXCEPTIONS + .begin literal_prefix .UserExceptionVector + .section .UserExceptionVector.text, "ax" + .align 4 + .global _UserExceptionVector +_UserExceptionVector: +# if XCHAL_HAVE_DEBUG + break 1, 1 // unexpected user-vectored general exception +# endif +1: j 1b // infinite loop - unexpected user-vectored exception + .size _UserExceptionVector, . - _UserExceptionVector + .end literal_prefix +# endif + +#elif defined(__SPLIT__level1int) + + .text + .global _xtos_l1int_handler +_xtos_l1int_handler: + movi a3, _xtos_unhandled_exception + jx a3 + .size _xtos_l1int_handler, . - _xtos_l1int_handler + +#elif defined(__SPLIT__level2) + +# if (XCHAL_NUM_INTLEVELS >= 2) && (XCHAL_DEBUGLEVEL != 2) + .begin literal_prefix .Level2InterruptVector + .section .Level2InterruptVector.text, "ax" + .align 4 + .global _Level2Vector +_Level2Vector: +# if XCHAL_HAVE_DEBUG && (XCHAL_DEBUGLEVEL > 2) + break 1, 2 // unexpected high-priority interrupt +# elif defined(SIMULATOR) + wsr a2, EXCSAVE_2 + movi a2, SYS_unhandled_highpri_interrupt + simcall // let simulator/debugger report unhandled level-2 interrupt +# endif +1: j 1b // infinite loop - unexpected level-2 interrupt + .size _Level2Vector, . - _Level2Vector + .text + .end literal_prefix +# endif /* level 2 */ + + +#elif defined(__SPLIT__level3) + +# if (XCHAL_NUM_INTLEVELS >= 3) && (XCHAL_DEBUGLEVEL != 3) + .begin literal_prefix .Level3InterruptVector + .section .Level3InterruptVector.text, "ax" + .align 4 + .global _Level3Vector +_Level3Vector: +# if XCHAL_HAVE_DEBUG && (XCHAL_DEBUGLEVEL > 3) + break 1, 2 // unexpected high-priority interrupt +# elif defined(SIMULATOR) + wsr a2, EXCSAVE_3 + movi a2, SYS_unhandled_highpri_interrupt + simcall // let simulator/debugger report unhandled level-3 interrupt +# endif +1: j 1b // infinite loop - unexpected level-3 interrupt + .size _Level3Vector, . - _Level3Vector + .text + .end literal_prefix +# endif /* level 3 */ + + +#elif defined(__SPLIT__level4) + +# if (XCHAL_NUM_INTLEVELS >= 4) && (XCHAL_DEBUGLEVEL != 4) + .begin literal_prefix .Level4InterruptVector + .section .Level4InterruptVector.text, "ax" + .align 4 + .global _Level4Vector +_Level4Vector: +# if XCHAL_HAVE_DEBUG && (XCHAL_DEBUGLEVEL > 4) + break 1, 2 // unexpected high-priority interrupt +# elif defined(SIMULATOR) + wsr a2, EXCSAVE_4 + movi a2, SYS_unhandled_highpri_interrupt + simcall // let simulator/debugger report unhandled level-4 interrupt +# endif +1: j 1b // infinite loop - unexpected level-4 interrupt + .size _Level4Vector, . - _Level4Vector + .text + .end literal_prefix +# endif /* level 4 */ + + +#elif defined(__SPLIT__level5) + +# if (XCHAL_NUM_INTLEVELS >= 5) && (XCHAL_DEBUGLEVEL != 5) + .begin literal_prefix .Level5InterruptVector + .section .Level5InterruptVector.text, "ax" + .align 4 + .global _Level5Vector +_Level5Vector: +# if XCHAL_HAVE_DEBUG && (XCHAL_DEBUGLEVEL > 5) + break 1, 2 // unexpected high-priority interrupt +# elif defined(SIMULATOR) + wsr a2, EXCSAVE_5 + movi a2, SYS_unhandled_highpri_interrupt + simcall // let simulator/debugger report unhandled level-5 interrupt +# endif +1: j 1b // infinite loop - unexpected level-5 interrupt + .size _Level5Vector, . - _Level5Vector + .text + .end literal_prefix +# endif /* level 5 */ + + +#elif defined(__SPLIT__level6) + +# if (XCHAL_NUM_INTLEVELS >= 6) && (XCHAL_DEBUGLEVEL != 6) + .begin literal_prefix .Level6InterruptVector + .section .Level6InterruptVector.text, "ax" + .align 4 + .global _Level6Vector +_Level6Vector: +# if XCHAL_HAVE_DEBUG && (XCHAL_DEBUGLEVEL > 6) + break 1, 2 // unexpected high-priority interrupt +# elif defined(SIMULATOR) + wsr a2, EXCSAVE_6 + movi a2, SYS_unhandled_highpri_interrupt + simcall // let simulator/debugger report unhandled level-6 interrupt +# endif +1: j 1b // infinite loop - unexpected level-6 interrupt + .size _Level6Vector, . - _Level6Vector + .text + .end literal_prefix +# endif /* level 6 */ + + +#endif /* split */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reloc-vectors.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reloc-vectors.S new file mode 100755 index 0000000..3a55628 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reloc-vectors.S @@ -0,0 +1,119 @@ +// reloc-vector.S - Relocatable Vectors section +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/reloc-vectors.S#3 $ + +// Copyright (c) 2007-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +/* + * This file is only used if the relocatable vectors option is enabled. + */ + +#include +#include + +#if XCHAL_HAVE_VECBASE + + .section .RelocatableVectors.text, "ax" + + .global _RelocVectors +_RelocVectors: + +//if XCHAL_RESET_VECBASE_OVERLAP ... +# if XSHAL_VECTORS_PACKED \ + && (XCHAL_RESET_VECTOR0_VADDR == XCHAL_VECBASE_RESET_VADDR \ + || XCHAL_RESET_VECTOR1_VADDR == XCHAL_VECBASE_RESET_VADDR) +# define JUMP_TO_RESET 1 + j .Ljump_to_reset +# endif + +# if XCHAL_HAVE_WINDOWED +# define NO_SECTION_DIRECTIVES 1 +# define WINDOW_BASE_VECOFS 0 +# include "window-vectors.S" +# endif + +#if XCHAL_HAVE_DEBUG && XCHAL_HAVE_EXCEPTIONS +# if XCHAL_DEBUGLEVEL == 2 +# define _Level2Vector _DebugExceptionVector +# elif XCHAL_DEBUGLEVEL == 3 +# define _Level3Vector _DebugExceptionVector +# elif XCHAL_DEBUGLEVEL == 4 +# define _Level4Vector _DebugExceptionVector +# elif XCHAL_DEBUGLEVEL == 5 +# define _Level5Vector _DebugExceptionVector +# elif XCHAL_DEBUGLEVEL == 6 +# define _Level6Vector _DebugExceptionVector +# endif +#endif + +# if XCHAL_HAVE_INTERRUPTS +# if XCHAL_NUM_INTLEVELS >= 2 + .org XCHAL_INTLEVEL2_VECOFS + j _Level2Vector +# endif +# if XCHAL_NUM_INTLEVELS >= 3 + .org XCHAL_INTLEVEL3_VECOFS + j _Level3Vector +# endif +# if XCHAL_NUM_INTLEVELS >= 4 + .org XCHAL_INTLEVEL4_VECOFS + j _Level4Vector +# endif +# if XCHAL_NUM_INTLEVELS >= 5 + .org XCHAL_INTLEVEL5_VECOFS + j _Level5Vector +# endif +# if XCHAL_NUM_INTLEVELS >= 6 + .org XCHAL_INTLEVEL6_VECOFS + j _Level6Vector +# endif +# if XCHAL_HAVE_NMI + .org XCHAL_NMI_VECOFS + j _NMIExceptionVector +# endif +# endif +# if XCHAL_HAVE_EXCEPTIONS + .org XCHAL_KERNEL_VECOFS + j _KernelExceptionVector + .org XCHAL_USER_VECOFS + j _UserExceptionVector + .org XCHAL_DOUBLEEXC_VECOFS + j _DoubleExceptionVector +# endif + +// Put literals here. + +// Put actual handlers here. + +# if JUMP_TO_RESET + .align 4 + .literal rvec, _ResetVector +.Ljump_to_reset: + l32r a2, rvec + jx a2 +# endif + + .size _RelocVectors, . - _RelocVectors + + .text + +#endif /* XCHAL_HAVE_VECBASE */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reset-unneeded.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reset-unneeded.S new file mode 100755 index 0000000..c4f646e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reset-unneeded.S @@ -0,0 +1,156 @@ +// reset-unneeded.S -- Optional Extraneous Reset Code +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/reset-unneeded.S#2 $ + +// Copyright (c) 2002-2006 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +// This file is meant to be included by another, e.g. crt1-***.S . +// The code it contains is generally not needed, so is kept in a +// separate file for clarity of other code. + +#if XTOS_RESET_UNNEEDED + /* + * Reset registers that don't really need to be reset, + * but may provide more predictability when buggy code + * relies on uninitialized state. It might also clear + * "X"s a bit earlier in hardware simulations. + * + * NOTE: This code is by no means exhaustive. + * More processor registers/states could be reset if desired. + * This is just an example. + * + * ASSUMPTION: a0 is still zero at this point. + */ + + // Interrupt initialization. + // Because INTENABLE is cleared by the reset vector, clearing the + // interrupt-pending register should not be needed. This assumes + // that any application setting up an interrupt will register and + // clear it before enabling it, which is the recommended sequence. + // +#if XCHAL_HAVE_INTERRUPTS && (XCHAL_INTCLEARABLE_MASK != 0) && !XCHAL_HAVE_FULL_RESET + movi a2, XCHAL_INTCLEARABLE_MASK + wsr a2, INTCLEAR // clear software and edge-trig ints +#endif + + // Timer initialization (not strictly required, but sometimes helpful) + .macro reset_timer num + wsr a0, CCOMPARE_0 + \num + .endm + iterate 0, XCHAL_NUM_TIMERS-1, reset_timer + +# if XCHAL_HAVE_WINDOWED + // Windowed address register init -- initialize entire physical AR file + movi a0, XCHAL_NUM_AREGS/8 // number of 8-register chunks +arloop: + addi a8, a0, -1 // countdown into next chunk's a0 + movi a0, 0 + movi a1, 0 + movi a2, 0 + movi a3, 0 + movi a4, 0 + movi a5, 0 + movi a6, 0 + movi a7, 0 + rotw 2 // rotate to next chunk + bnez a0, arloop + // NOTE: WINDOWBASE is back to zero at this point. +# else /* XCHAL_HAVE_WINDOWED */ + // Non-windowed address register init + movi a1, 0 + movi a2, 0 + movi a3, 0 + movi a4, 0 + movi a5, 0 + movi a6, 0 + movi a7, 0 + movi a8, 0 + movi a9, 0 + movi a10, 0 + movi a11, 0 + movi a12, 0 + movi a13, 0 + movi a14, 0 + movi a15, 0 +# endif /* XCHAL_HAVE_WINDOWED */ + // Now all address registers are zero. + + // Initialize LBEG, LEND, and LCOUNT. +# if XCHAL_HAVE_LOOPS + wsr a0, LCOUNT // note: LCOUNT gets cleared by processor reset + wsr a0, LBEG + wsr a0, LEND +# endif + +# if XCHAL_HAVE_DEBUG + .macro reset_dbreaka num + wsr a0, DBREAKA + \num + .endm + .macro reset_ibreaka num + wsr a0, IBREAKA + \num + .endm + iterate 0, XCHAL_NUM_DBREAK-1, reset_dbreaka + iterate 0, XCHAL_NUM_IBREAK-1, reset_ibreaka +# endif + + // SAR initialization + ssai 0 + + // Exception initialization +# if XCHAL_HAVE_EXCEPTIONS + wsr a0, EPC+1 + wsr a0, EXCSAVE+1 + wsr a0, EXCCAUSE +# endif + +# if XCHAL_HAVE_HIGHLEVEL_INTERRUPTS + .macro reset_int num + wsr a0, EPC + \num + wsr a0, EPS + \num + wsr a0, EXCSAVE + \num + .endm + iterate 2, XCHAL_NUM_INTLEVELS, reset_int +# endif + + // Booleans initialization +# if XCHAL_HAVE_BOOLEANS + wsr a0, BR +# endif + + // MAC16 initialization +# if XCHAL_HAVE_MAC16 + wsr a0, ACCLO + wsr a0, ACCHI + wsr a0, M0 + wsr a0, M1 + wsr a0, M2 + wsr a0, M3 +# endif + + // OCD initialization +# if XCHAL_HAVE_OCD + wsr a0, DDR +# endif + + isync // wait for all the above to take effect + +#endif /* XTOS_RESET_UNNEEDED */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reset-vector.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reset-vector.S new file mode 100755 index 0000000..9bb0890 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/reset-vector.S @@ -0,0 +1,434 @@ +// reset-vector.S -- Xtensa Reset Vector +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/reset-vector.S#4 $ + +// Copyright (c) 1999-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include +#include +#include +#include /* for XSHAL_USE_ABSOLUTE_LITERALS only */ +#include "xtos-internal.h" + +// The following reset vector avoids initializing certain registers already +// initialized by processor reset. +// Some of the registers reset by the processor include: +// CACHEATTR or relevant TLB state +// IBREAKENABLE Debug +// LCOUNT Loops +// PC Core +// PS Core +// ICOUNT Debug +// ICOUNTLEVEL Debug +// VECBASE Relocatable vectors +// (and various others, depending on hardware version) + + + .begin literal_prefix .ResetVector + .section .ResetVector.text, "ax" + + .align 4 + .global _ResetVector +_ResetVector: + +# if 0 /* if XCHAL_HAVE_HALT */ + // In theory, minimal reset vector for Xtensa TX (assuming bootloader to clear BSS). + // In practice we let crt*.S decide whether to do more (e.g. for sim LSP) + // and we might unpack below for ROMing LSPs. + movi sp, __stack // setup the stack + call0 main // assume declared as "void main(void)" (no args) + halt // toodaloo +# endif + +#if (!XCHAL_HAVE_HALT || defined(XTOS_UNPACK)) && XCHAL_HAVE_IMEM_LOADSTORE + // NOTE: + // + // IMPORTANT: If you move the _ResetHandler portion to a section + // other than .ResetVector.text that is outside the range of + // the reset vector's 'j' instruction, the _ResetHandler symbol + // and a more elaborate j/movi/jx sequence are needed in + // .ResetVector.text to dispatch to the new location. + + j _ResetHandler + + .size _ResetVector, . - _ResetVector + +# if XCHAL_HAVE_HALT + // Xtensa TX: reset vector segment is only 4 bytes, so must place the + // unpacker code elsewhere in the memory that contains the reset vector. +# if XCHAL_RESET_VECTOR_VADDR == XCHAL_INSTRAM0_VADDR + .section .iram0.text, "ax" +# elif XCHAL_RESET_VECTOR_VADDR == XCHAL_INSTROM0_VADDR + .section .irom0.text, "ax" +# elif XCHAL_RESET_VECTOR_VADDR == XCHAL_URAM0_VADDR + .section .uram0.text, "ax" +# else +# warning "Xtensa TX reset vector not at start of iram0, irom0, or uram0 -- ROMing LSPs may not work" + .text +# endif +# endif + + .align 4 + .literal_position // tells the assembler/linker to place literals here + + .align 4 + .global _ResetHandler +_ResetHandler: +#endif + +#if !XCHAL_HAVE_HALT + + /* + * Even if the processor supports the non-PC-relative L32R option, + * it will always start up in PC-relative mode. We take advantage of + * this, and use PC-relative mode at least until we're sure the .lit4 + * section is in place (which is sometimes only after unpacking). + */ + .begin no-absolute-literals + + + movi a0, 0 // a0 is always 0 in this code, used to initialize lots of things + +#if XCHAL_HAVE_INTERRUPTS // technically this should be under !FULL_RESET, assuming hard reset + wsr a0, INTENABLE // make sure that interrupts are shut off (*before* we lower PS.INTLEVEL and PS.EXCM!) +#endif + +#if !XCHAL_HAVE_FULL_RESET + +#if XCHAL_HAVE_CCOUNT && (XCHAL_HW_MIN_VERSION < XTENSA_HWVERSION_RB_2006_0) /* pre-LX2 cores only */ + wsr a0, CCOUNT // not really necessary, but nice; best done very early +#endif + + // For full MMU configs, put page table at an unmapped virtual address. + // This ensures that accesses outside the static maps result + // in miss exceptions rather than random behaviour. + // Assumes XCHAL_SEG_MAPPABLE_VADDR == 0 (true in released MMU). +#if XCHAL_ITLB_ARF_WAYS > 0 || XCHAL_DTLB_ARF_WAYS > 0 + wsr a0, PTEVADDR +#endif + + // Debug initialization + // + // NOTE: DBREAKCn must be initialized before the combination of these two things: + // any load/store, and a lowering of PS.INTLEVEL below DEBUG_LEVEL. + // The processor already resets IBREAKENABLE appropriately. + // +#if XCHAL_HAVE_DEBUG +# if XCHAL_NUM_DBREAK +# if XCHAL_NUM_DBREAK >= 2 + wsr a0, DBREAKC1 +# endif + wsr a0, DBREAKC0 + dsync // wait for WSRs to DBREAKCn to complete +# endif + +# if XCHAL_HW_MIN_VERSION < XTENSA_HWVERSION_RA_2004_1 /* pre-LX cores only */ + // Starting in Xtensa LX, ICOUNTLEVEL resets to zero (not 15), so no need to initialize it. + // Prior to that we do, otherwise we get an ICOUNT exception, 2^32 instructions after reset. + rsr a2, ICOUNTLEVEL // are we being debugged? (detected by ICOUNTLEVEL not 15, or dropped below 12) + bltui a2, 12, 1f // if so, avoid initializing ICOUNTLEVEL which drops single-steps through here + wsr a0, ICOUNTLEVEL // avoid ICOUNT exceptions + isync // wait for WSR to ICOUNTLEVEL to complete +1: +# endif +#endif + +#endif /* !XCHAL_HAVE_FULL_RESET */ + +#if XCHAL_HAVE_ABSOLUTE_LITERALS + // Technically, this only needs to be done under !FULL_RESET, assuming hard reset: + wsr a0, LITBASE + rsync +#endif + +#if XCHAL_HAVE_PRID && XCHAL_HAVE_S32C1I + /* Core 0 initializes the XMP synchronization variable, if present. This operation needs to + happen as early as possible in the startup sequence so that the other cores can be released + from reset. */ + .weak _ResetSync + movi a2, _ResetSync // address of sync variable + rsr.prid a3 // core and multiprocessor ID + extui a3, a3, 0, 8 // extract core ID (FIXME: need proper constants for PRID bits to extract) + beqz a2, 1f // skip if no sync variable + bnez a3, 1f // only do this on core 0 + s32i a0, a2, 0 // clear sync variable +1: +#endif +#if XCHAL_HAVE_EXTERN_REGS && XCHAL_HAVE_MP_RUNSTALL + /* On core 0, this releases other cores. On other cores this has no effect, because + runstall control is unconnected. */ + movi a2, XER_MPSCORE + wer a0, a2 +#endif + + /* + * For processors with relocatable vectors, apply any alternate + * vector base given to xt-genldscripts, which sets the + * _memmap_vecbase_reset symbol accordingly. + */ +#if XCHAL_HAVE_VECBASE + movi a2, _memmap_vecbase_reset /* note: absolute symbol, not a ptr */ + wsr a2, vecbase +#endif + +#if XCHAL_HAVE_S32C1I && (XCHAL_HW_MIN_VERSION >= XTENSA_HWVERSION_RC_2009_0) /* have ATOMCTL ? */ +# if XCHAL_DCACHE_IS_COHERENT + movi a3, 0x25 /* MX -- internal for writeback, RCW otherwise */ +# else + movi a3, 0x15 /* non-MX -- always RCW */ +# endif + wsr a3, ATOMCTL +#endif + +#if XCHAL_HAVE_INTERRUPTS && XCHAL_HAVE_DEBUG + rsil a2, 1 // lower PS.INTLEVEL here to make reset vector easier to debug +#endif + + /* + * Initialize the caches. + * We do this very early because performance can increase by + * an order of magnitude when we enable the caches, which + * greatly affects start up time, including the mini-loader below. + * This is also required before we jump into any cacheable region. + * Without caches, these macros expand to nothing (see cacheasm.h). + */ + icache_reset a2, a3 + dcache_reset a2, a3 + +#if XCHAL_HAVE_PREFETCH + /* Enable cache prefetch if present. */ + movi a2, XCHAL_CACHE_PREFCTL_DEFAULT + wsr a2, PREFCTL +#endif + + /* + * Now "enable" the caches, for unpacking to occur a bit more + * efficiently. Only relevant for region protection and XEA1. + * + * The _memmap_cacheattr_reset symbol's value (address) is defined + * by the LSP's linker script, as generated by xt-genldscripts. + * + * (NOTE: for configs that don't have CACHEATTR or region protection, + * ie. for full MMUs, there is no equivalent cache attribute layout, + * and the following code has no effect. We assume for now that the + * application restricts itself to the static TLB entries, i.e. to + * virtual addresses 0xD0000000 thru 0xFFFFFFFF.) + */ +#if XCHAL_HAVE_CACHEATTR || XCHAL_HAVE_MIMIC_CACHEATTR || XCHAL_HAVE_XLT_CACHEATTR \ + || (XCHAL_HAVE_PTP_MMU && XCHAL_HAVE_SPANNING_WAY) + movi a2, _memmap_cacheattr_reset /* note: absolute symbol, not a ptr */ + cacheattr_set /* set CACHEATTR from a2 (clobbers a3-a8) */ +#endif + +#if XCHAL_HAVE_EXTERN_REGS && XCHAL_DCACHE_IS_COHERENT + /* Opt into coherence if present. */ + movi a3, 1 + movi a2, XER_CCON + wer a3, a2 +#endif + +#endif /* !XCHAL_HAVE_HALT */ + + /* + * Unpack code and data (eg. copy ROMed segments to RAM, vectors into + * their proper location, etc). + */ + +#if defined(XTOS_UNPACK) + movi a2, _rom_store_table + beqz a2, unpackdone +unpack: l32i a3, a2, 0 // start vaddr + l32i a4, a2, 4 // end vaddr + l32i a5, a2, 8 // store vaddr + addi a2, a2, 12 + bgeu a3, a4, upnext // skip unless start < end +uploop: l32i a6, a5, 0 + addi a5, a5, 4 + s32i a6, a3, 0 + addi a3, a3, 4 + bltu a3, a4, uploop + j unpack +upnext: bnez a3, unpack + bnez a5, unpack +#endif /* XTOS_UNPACK */ + +unpackdone: + +#if defined(XTOS_UNPACK) || defined(XTOS_MP) + /* + * If writeback caches are configured and enabled, unpacked data must be + * written out to memory before trying to execute it: + */ + dcache_writeback_all a2, a3, 0 + icache_sync a2 // ensure data written back is visible to i-fetch + /* + * Note: no need to invalidate the i-cache after the above, because we + * already invalidated it further above and did not execute anything within + * unpacked regions afterwards. [Strictly speaking, if an unpacked region + * follows this code very closely, it's possible for cache-ahead to have + * cached a bit of that unpacked region, so in the future we may need to + * invalidate the entire i-cache here again anyway.] + */ +#endif + + +#if !XCHAL_HAVE_HALT /* skip for TX */ + + /* + * Now that we know the .lit4 section is present (if got unpacked) + * (and if absolute literals are used), initialize LITBASE to use it. + */ +#if XCHAL_HAVE_ABSOLUTE_LITERALS && XSHAL_USE_ABSOLUTE_LITERALS + /* + * Switch from PC-relative to absolute (litbase-relative) L32R mode. + * Set LITBASE to 256 kB beyond the start of the literals in .lit4 + * (aligns to the nearest 4 kB boundary, LITBASE does not have bits 1..11) + * and set the enable bit (_lit4_start is assumed 4-byte aligned). + */ + movi a2, _lit4_start + 0x40001 + wsr a2, LITBASE + rsync +#endif /* have and use absolute literals */ + .end no-absolute-literals // we can now start using absolute literals + + +// Technically, this only needs to be done pre-LX2, assuming hard reset: +# if XCHAL_HAVE_WINDOWED && defined(__XTENSA_WINDOWED_ABI__) + // Windowed register init, so we can call windowed code (eg. C code). + movi a1, 1 + wsr a1, WINDOWSTART + // The processor always clears WINDOWBASE at reset, so no need to clear it here. + // It resets WINDOWSTART to 1 starting with LX2.0/X7.0 (RB-2006.0). + // However, assuming hard reset is not yet always practical, so do this anyway: + wsr a0, WINDOWBASE + rsync + movi a0, 0 // possibly a different a0, clear it +# endif + +#if XCHAL_HW_MIN_VERSION < XTENSA_HWVERSION_RB_2006_0 /* only pre-LX2 needs this */ + // Coprocessor option initialization +# if XCHAL_HAVE_CP + //movi a2, XCHAL_CP_MASK // enable existing CPs + // To allow creating new coprocessors using TC that are not known + // at GUI build time without having to explicitly enable them, + // all CPENABLE bits must be set, even though they may not always + // correspond to a coprocessor. + movi a2, 0xFF // enable *all* bits, to allow dynamic TIE + wsr a2, CPENABLE +# endif + + // Floating point coprocessor option initialization (at least + // rounding mode, so that floating point ops give predictable results) +# if XCHAL_HAVE_FP && !XCHAL_HAVE_VECTORFPU2005 +# define FCR 232 /* floating-point control register (user register number) */ +# define FSR 233 /* floating-point status register (user register number) */ + rsync /* wait for WSR to CPENABLE to complete before accessing FP coproc state */ + wur a0, FCR /* clear FCR (default rounding mode, round-nearest) */ + wur a0, FSR /* clear FSR */ +# endif +#endif /* pre-LX2 */ + + + /* + * Initialize medium and high priority interrupt dispatchers: + */ +#if HAVE_XSR + +/* For asm macros; works for positive a,b smaller than 1000: */ +# define GREATERTHAN(a,b) (((b)-(a)) & ~0xFFF) + +# ifndef XCHAL_DEBUGLEVEL /* debug option not selected? */ +# define XCHAL_DEBUGLEVEL 99 /* bogus value outside 2..6 */ +# endif + + .macro init_vector level + .if GREATERTHAN(XCHAL_NUM_INTLEVELS+1,\level) + .if XCHAL_DEBUGLEVEL-\level + .weak _Level&level&FromVector + movi a4, _Level&level&FromVector + wsr a4, EXCSAVE+\level + .if GREATERTHAN(\level,XCHAL_EXCM_LEVEL) + movi a5, _Pri_&level&_HandlerAddress + s32i a4, a5, 0 + /* If user provides their own handler, that handler might + * not provide its own _Pri__HandlerAddress variable for + * linking handlers. In that case, the reference below + * would pull in the XTOS handler anyway, causing a conflict. + * To avoid that, provide a weak version of it here: + */ + .pushsection .data, "aw" + .global _Pri_&level&_HandlerAddress + .weak _Pri_&level&_HandlerAddress + .align 4 + _Pri_&level&_HandlerAddress: .space 4 + .popsection + .endif + .endif + .endif + .endm + + init_vector 2 + init_vector 3 + init_vector 4 + init_vector 5 + init_vector 6 + +#endif /*HAVE_XSR*/ + + + /* + * Complete reset initialization outside the vector, + * to avoid requiring a vector that is larger than necessary. + * This 2nd-stage startup code sets up the C Run-Time (CRT) and calls main(). + * + * Here we use call0 not because we expect any return, but + * because the assembler/linker dynamically sizes call0 as + * needed (with -mlongcalls) which it doesn't with j or jx. + * Note: This needs to be call0 regardless of the selected ABI. + */ + call0 _start // jump to _start (in crt1-*.S) + /* does not return */ + +#else /* XCHAL_HAVE_HALT */ + + j _start // jump to _start (in crt1-*.S) + // (TX has max 64kB IRAM, so J always in range) + + // Paranoia -- double-check requirements / assumptions of this Xtensa TX code: +# if !defined(__XTENSA_CALL0_ABI__) || !XCHAL_HAVE_FULL_RESET || XCHAL_HAVE_INTERRUPTS || XCHAL_HAVE_CCOUNT || XCHAL_DTLB_ARF_WAYS || XCHAL_HAVE_DEBUG || XCHAL_HAVE_S32C1I || XCHAL_HAVE_ABSOLUTE_LITERALS || XCHAL_DCACHE_SIZE || XCHAL_ICACHE_SIZE || XCHAL_HAVE_PIF || XCHAL_HAVE_WINDOWED +# error "Halt architecture (Xtensa TX) requires: call0 ABI, all flops reset, no exceptions or interrupts, no TLBs, no debug, no S32C1I, no LITBASE, no cache, no PIF, no windowed regs" +# endif + +#endif /* XCHAL_HAVE_HALT */ + + +#if (!XCHAL_HAVE_HALT || defined(XTOS_UNPACK)) && XCHAL_HAVE_IMEM_LOADSTORE + .size _ResetHandler, . - _ResetHandler +#else + .size _ResetVector, . - _ResetVector +#endif + + .text + .global xthals_hw_configid0, xthals_hw_configid1 + .global xthals_release_major, xthals_release_minor + .end literal_prefix diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/shared-reset-vector.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/shared-reset-vector.S new file mode 100644 index 0000000..4b156c2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/shared-reset-vector.S @@ -0,0 +1,64 @@ +// shared-reset-vector.S -- Sharable Reset Vector (requires PRID option) + +// Copyright (c) 1999-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include + + // Populate this processor's entry in the reset table. + // The core instance specific LSP should put this section + // in the correct location within the table. + // + .section .ResetTable.rodata, "a" + .word _ResetHandler + + // This sharable reset code assumes RC-2009.0 or later hardware, + // to guarantee that no processor state initialization is required + // prior to doing loads etc. + // Total size is 28 bytes (or 27 with density option). + // By necessity, none of these bytes vary by core instance; + // the appropriate reset table entry is selected using PRID. + // +#if XCHAL_HAVE_PRID + .section .SharedResetVector.text, "ax" + .begin no-absolute-literals + + .align 4 + .global _SharedResetVector +_SharedResetVector: + j .LSharedResetHandler + + .align 4 + .literal_position + + .align 4 +.LSharedResetHandler: + rsr.prid a0 // get processor ID (16 bits) + movi a1, _ResetTable_base + extui a0, a0, 0, 4 // ID of core within the multiprocessor (FIXME: need proper constant...) + addx4 a1, a0, a1 + l32i a1, a1, 0 + jx a1 // jump to core-specific initialization + + .size _SharedResetVector, . - _SharedResetVector + .end no-absolute-literals +#endif + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/switch_context.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/switch_context.S new file mode 100755 index 0000000..c70c0c8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/switch_context.S @@ -0,0 +1,94 @@ +/* switch_contexts.S - setup for multiple contexts */ + +/* + * Copyright (c) 2003-2010 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +#include +#include + +#if XCHAL_NUM_CONTEXTS > 1 + + +/* + * void _xtos_setup_context(int context_num, StartInfo *info); + */ + .align 4 + .global _xtos_setup_context + .type _xtos_setup_context,@function +_xtos_setup_context: + abi_entry +#if XCHAL_HAVE_INTERRUPTS + rsil a5, 15 /* disable interrupts so we can use EXCSAVE_1 */ +#else + rsr a5, PS /* just read PS */ +#endif + wsr a3, EXCSAVE_1 /* save pointer to new context info */ + s32i a5, a3, INFO_prevps /* save previous PS */ + movi a4, ~0x01F00000 /* mask out PS.CTXT */ + slli a2, a2, 20 /* shift up new PS.CTXT value */ + and a4, a5, a4 + or a4, a4, a2 /* new PS value */ + wsr a4, PS + rsync + /* We're now in the new context! */ + movi a0, 0 + movi a1, 1 + wsr a1, WINDOWSTART + wsr a0, WINDOWBASE + rsync + rsr a9, EXCSAVE_1 /* get pointer to context info */ + movi a0, 0 /* terminate call frames */ + l32i a1, a9, INFO_sp /* get stack pointer */ + l32i a10, a9, INFO_arg1 /* get start function's arguments... */ + l32i a8, a9, INFO_funcpc /* get start function's address */ + /* Okay, now switch back to context zero: */ + l32i a9, a9, INFO_prevps /* retrieve previous PS */ + wsr a9, PS + rsync + /* Back to original context! */ + abi_return + + .size _xtos_setup_context, . - _xtos_setup_context + + + + /* + * This is the first thing to be executed in the new context + * by explicit setting of PC: + */ + .align 4 + .global _xtos_start_context +_xtos_start_context: +#ifdef __XTENSA_CALL0_ABI__ + Crash the assembler here: I think this is wrong. + callx0 a8 +#else + callx8 a8 +#endif +1: nop + j 1b /* do nothing until context 0 exits */ + .size _xtos_start_context, . - _xtos_start_context + + +#endif /* XCHAL_NUM_CONTEXTS > 1 */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/textaddr b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/textaddr new file mode 100755 index 0000000..be4e301 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/textaddr @@ -0,0 +1,59 @@ +# Program to determine -Ttext parameter for ld +# $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/textaddr#2 $ + +# Copyright (c) 2001 Tensilica Inc. +# +# Permission is hereby granted, free of charge, to any person obtaining +# a copy of this software and associated documentation files (the +# "Software"), to deal in the Software without restriction, including +# without limitation the rights to use, copy, modify, merge, publish, +# distribute, sublicense, and/or sell copies of the Software, and to +# permit persons to whom the Software is furnished to do so, subject to +# the following conditions: +# +# The above copyright notice and this permission notice shall be included +# in all copies or substantial portions of the Software. +# +# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +# EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +# MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +# IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +# CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +# TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +# SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +package textaddr; + +use strict; +use FileHandle; + +{ + $::myname = 'textaddr'; + + die("Usage is: $::myname objfile label address\n") + unless @ARGV == 3; + my($objfile, $label, $address) = @ARGV; + + + my $nm = new FileHandle "xt-nm $objfile|"; + die("$::myname: $!, opening pipe to xt-nm $objfile.\n") + unless $nm; + while (<$nm>) { + if (/^([0-9a-f]{8}) . (\w+)$/) { + my $oaddress = $1; + my $olabel = $2; + if ($olabel eq $label) { + printf ("0x%x\n", hex($address) - hex($oaddress)); + exit(0); + } + } + } + die ("$::myname: $label not found in $objfile.\n"); +} + +# +# Local Variables: +# mode:perl +# perl-indent-level:2 +# cperl-indent-level:2 +# End: diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/tiny-refs-min.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/tiny-refs-min.S new file mode 100755 index 0000000..453001c --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/tiny-refs-min.S @@ -0,0 +1,28 @@ +// tiny-refs-min.S - References to pull-in selected modules into tiny LSPs + +// Copyright (c) 2006 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + + + .global _need_user_vector_ + .set _need_user_vector_, 0 // define this, so if referenced... + .global _UserExceptionVector // ... we pull-in this + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/tiny-refs.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/tiny-refs.S new file mode 100755 index 0000000..d89407e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/tiny-refs.S @@ -0,0 +1,33 @@ +// tiny-refs.S - References to pull-in selected modules into tiny LSPs + +// Copyright (c) 2006 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#if defined(__SPLIT__level1int) + + .global _need_level1int_ + .set _need_level1int_, 0 // define this, so if referenced... + .global _need_user_vector_ // ... we pull-in this + .global _xtos_l1int_handler // and this + +#endif + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/user-vector-min.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/user-vector-min.S new file mode 100755 index 0000000..3ec0f36 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/user-vector-min.S @@ -0,0 +1,105 @@ +// user-vector-min.S - Minimal User Vector for General Exceptions +// Takes less table space, but does not allow registering new handlers. +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/user-vector-min.S#3 $ + +// Copyright (c) 2003-2004, 2006-2007, 2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + +#if XCHAL_HAVE_EXCEPTIONS + + // Vector code + .begin literal_prefix .UserExceptionVector + .section .UserExceptionVector.text, "ax" + .align 4 + .global _UserExceptionVector +_UserExceptionVector: +# if ((XSHAL_USER_VECTOR_SIZE >= 28) && XCHAL_HAVE_DENSITY) || XSHAL_VECTORS_PACKED + + addi a1, a1, -ESF_TOTALSIZE // allocate exception stack frame, etc. + s32i a2, a1, UEXC_a2 + s32i a3, a1, UEXC_a3 + rsr a2, EXCCAUSE // get exception cause + movi a3, _xtos_min_handler_table + bgeui a2, 6, 1f // causes 6 and above map to zero + addx4 a3, a2, a3 // index by cause if 1 .. 5 +1: l32i a3, a3, 0 + s32i a4, a1, UEXC_a4 + jx a3 // jump to cause-specific handler + + .size _UserExceptionVector, . - _UserExceptionVector + .end literal_prefix + +# else /*vector as small as 12 bytes:*/ + + addi a1, a1, -ESF_TOTALSIZE // allocate exception stack frame, etc. + s32i a2, a1, UEXC_a2 + movi a2, _UserExceptionFromVector // load user exception handler address + //interlock + jx a2 // jump to handler + + .size _UserExceptionVector, . - _UserExceptionVector + .end literal_prefix + + // Dispatch outside vector: + .text + .align 4 + .global _UserExceptionFromVector +_UserExceptionFromVector: + rsr a2, EXCCAUSE // get exception cause + s32i a3, a1, UEXC_a3 + movi a3, _xtos_min_handler_table + bgeui a2, 6, 1f // causes 6 and above map to zero + addx4 a3, a2, a3 // index by cause if 1 .. 5 +1: l32i a3, a3, 0 + s32i a4, a1, UEXC_a4 + jx a3 // jump to cause-specific handler + .size _UserExceptionFromVector, . - _UserExceptionFromVector + +# endif /*XEA2*/ + + + /* + * Read-only minimal table of assembly-level exception handlers + * for user vectored exceptions. + * Only provides entries for SYSCALL, MOVSP, and level-1 interrupt causes. + */ + .section .rodata, "a" + .global _xtos_min_handler_table + .align 4 +_xtos_min_handler_table: + .word _xtos_unhandled_exception // 0 Illegal Instruction, and causes > 5 + .word _xtos_syscall_handler // 1 SYSCALL Instruction + .word _xtos_unhandled_exception // 2 Instruction Fetch Error + .word _xtos_unhandled_exception // 3 Load/Store Error +# if XCHAL_HAVE_INTERRUPTS + .word _xtos_l1int_handler // 4 Level-1 Interrupt +# else + .word _xtos_unhandled_exception // 4 Level-1 Interrupt (not configured) +# endif + .word _xtos_alloca_handler // 5 Alloca (MOVSP Instruction) + .text + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/user-vector.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/user-vector.S new file mode 100755 index 0000000..60671b3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/user-vector.S @@ -0,0 +1,180 @@ +// user-vector.S - User Vector for General Exceptions +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/user-vector.S#3 $ + +// Copyright (c) 1998-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include +#include +#include "xtos-internal.h" + +#if XCHAL_HAVE_EXCEPTIONS + + // Vector code + .section .UserExceptionVector.text, "ax" + .align 4 + .global _UserExceptionVector +_UserExceptionVector: +# if ((XSHAL_USER_VECTOR_SIZE >= 28) && XCHAL_HAVE_ADDX) || (XSHAL_USER_VECTOR_SIZE >= 36) || XSHAL_VECTORS_PACKED + // There is space to dispatch right at the vector: + + addi a1, a1, -ESF_TOTALSIZE // allocate exception stack frame, etc. + s32i a2, a1, UEXC_a2 + s32i a3, a1, UEXC_a3 + movi a3, _xtos_exc_handler_table + rsr a2, EXCCAUSE // get exception cause + //interlock + addx4 a3, a2, a3 + l32i a3, a3, 0 + s32i a4, a1, UEXC_a4 + jx a3 // jump to cause-specific handler + + .size _UserExceptionVector, . - _UserExceptionVector + +# else + // The vector may be as small as 12 bytes: + + addi a1, a1, -ESF_TOTALSIZE // allocate exception stack frame, etc. + s32i a2, a1, UEXC_a2 + movi a2, _UserExceptionFromVector // load user exception handler address + //interlock + jx a2 // jump to handler + + .size _UserExceptionVector, . - _UserExceptionVector + + // Dispatch outside vector: + .text + //.subsection 2 + .align 4 + .global _UserExceptionFromVector +_UserExceptionFromVector: + s32i a3, a1, UEXC_a3 + movi a3, _xtos_exc_handler_table + rsr a2, EXCCAUSE // get exception cause + s32i a4, a1, UEXC_a4 + addx4 a3, a2, a3 + l32i a3, a3, 0 + jx a3 // jump to cause-specific handler + + .size _UserExceptionFromVector, . - _UserExceptionFromVector + +# endif /*XEA2*/ + + + .weak _xtos_cause3_handler + + /* + * Table of assembly-level general-exception handlers + * (quickly entered) for user vectored exceptions. + * Provides entries for all possible 64 exception causes + * currently allowed for in the EXCCAUSE register. + * + * NOTE: entries that have a corresponding C handler + * (registered at run-time) point to _xtos_c_wrapper_handler; + * entries that have no handler point to _xtos_unhandled_exception. + */ + .data + .global _xtos_exc_handler_table + .align 4 +_xtos_exc_handler_table: + .word _xtos_unhandled_exception // 0 IllegalInstruction + .word _xtos_syscall_handler // 1 Syscall + .word _xtos_unhandled_exception // 2 InstructionFetchError + .word _xtos_unhandled_exception // 3 LoadStoreError +# if XCHAL_HAVE_INTERRUPTS + .word _xtos_l1int_handler // 4 Level1Interrupt +# else + .word _xtos_unhandled_exception // 4 Level1Interrupt (not configured) +# endif + .word _xtos_alloca_handler // 5 Alloca (MOVSP) + .word _xtos_unhandled_exception // 6 IntegerDivideByZero + .word _xtos_unhandled_exception // 7 Speculation + .word _xtos_unhandled_exception // 8 Privileged + .word _xtos_unhandled_exception // 9 Unaligned + .word _xtos_unhandled_exception //10 (reserved for Tensilica) + .word _xtos_unhandled_exception //11 (reserved for Tensilica) + .word _xtos_cause3_handler //12 PIF data error on fetch + .word _xtos_cause3_handler //13 PIF data error on ld/st + .word _xtos_cause3_handler //14 PIF address error on fetch + .word _xtos_cause3_handler //15 PIF address error on ld/st + .word _xtos_unhandled_exception //16 InstTLBMiss + .word _xtos_unhandled_exception //17 InstTLBMultiHit + .word _xtos_unhandled_exception //18 InstFetchPrivilege + .word _xtos_unhandled_exception //19 (reserved for Tensilica) + .word _xtos_unhandled_exception //20 InstFetchProhibited + .word _xtos_unhandled_exception //21 (reserved for Tensilica) + .word _xtos_unhandled_exception //22 (reserved for Tensilica) + .word _xtos_unhandled_exception //23 (reserved for Tensilica) + .word _xtos_unhandled_exception //24 LoadStoreTLBMiss + .word _xtos_unhandled_exception //25 LoadStoreTLBMultiHit + .word _xtos_unhandled_exception //26 LoadStorePrivilege + .word _xtos_unhandled_exception //27 (reserved for Tensilica) + .word _xtos_unhandled_exception //28 LoadProhibited + .word _xtos_unhandled_exception //29 StoreProhibited + .word _xtos_unhandled_exception //30 (reserved for Tensilica) + .word _xtos_unhandled_exception //31 (reserved for Tensilica) + .rept 8 + .word _xtos_unhandled_exception //32-39 CoprocessorDisabled (n = 0..7) + .endr + + .rept XCHAL_EXCCAUSE_NUM-40 + .word _xtos_unhandled_exception //40-63 (reserved for TIE) + .endr + + .text + + + // NOTES: + // + // Here are alternative vectors. They will NOT work with + // the handlers currently provided with XTOS. However they + // might be useful to someone writing their own handlers + // from scratch. Note that XSR is only available on T1040 + // and later hardware. + // +//*** The typical tiny 9-byte vector: *** +// wsr a3, EXCSAVE_1 // save user a3 +// movi a3, _UserExceptionFromVector // load user exception handler address +// jx a3 +// +//*** Minimizing EXCCAUSE-dispatch delay, not assuming valid SP: *** +// wsr a0, DEPC // save a0 (double exceptions fatal here, so not expected) +// rsr a0, EXCCAUSE +// xsr a1, EXCSAVE_1 // EXCSAVE_1 always contains &exception_handlers[0] +// //interlock +// addx4 a0, a0, a1 +// l32i a0, a0, TABLE_OFS + EXC_CODE_KERNEL*4 +// xsr a1, EXCSAVE_1 // restore a1 (DEPC contains original a0) +// jx a0 // jump to cause-specific handler +// +//*** Doing EXCCAUSE-dispatch with table in EXCSAVE_1: *** +// addi a1, a1, -ESF_TOTALSIZE // allocate exception stack frame, etc. +// s32i a2, a1, UEXC_a2 +// rsr a2, EXCCAUSE +// xsr a4, EXCSAVE_1 // EXCSAVE_1 always contains &exception_handlers[0] +// s32i a3, a1, UEXC_a3 +// addx4 a2, a2, a4 +// l32i a2, a2, TABLE_OFS + EXC_CODE_KERNEL*4 +// xsr a4, EXCSAVE_1 // restore a1 (DEPC contains original a0) +// jx a2 // jump to cause-specific handler + +#endif /* XCHAL_HAVE_EXCEPTIONS */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/window-vectors.S b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/window-vectors.S new file mode 100755 index 0000000..62ba1cd --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/window-vectors.S @@ -0,0 +1,358 @@ +// window-vectors.S - Register Window Overflow/Underflow Handlers +// $Id: //depot/rel/Cottonwood/Xtensa/OS/xtos/window-vectors.S#3 $ + +// Copyright (c) 1999-2010 Tensilica Inc. +// +// Permission is hereby granted, free of charge, to any person obtaining +// a copy of this software and associated documentation files (the +// "Software"), to deal in the Software without restriction, including +// without limitation the rights to use, copy, modify, merge, publish, +// distribute, sublicense, and/or sell copies of the Software, and to +// permit persons to whom the Software is furnished to do so, subject to +// the following conditions: +// +// The above copyright notice and this permission notice shall be included +// in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, +// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF +// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. +// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE +// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + +#include + +#if XCHAL_HAVE_WINDOWED && !defined(__XTENSA_CALL0_ABI__) + +# ifndef NO_SECTION_DIRECTIVES +// Exports +.global _WindowOverflow4 +.global _WindowUnderflow4 +.global _WindowOverflow8 +.global _WindowUnderflow8 +.global _WindowOverflow12 +.global _WindowUnderflow12 + + // Note: the current window exception vectors do not generate any + // literals. Hence the literal_prefix directive is not necessary. + // Specifying it "just in case" creates an empty section (named + // ".WindowVectors.literal") which can in some cases cause linking + // problems (the linker scripts don't place it anywhere). + // So leave it commented out: + // + //.begin literal_prefix .WindowVectors + + .section .WindowVectors.text, "ax" +# endif + + +// +// GENERAL NOTES: +// +// These window exception handlers need not be modified. +// They are specific to the windowed call ABI only. +// +// Underflow Handlers: +// +// The underflow handler for returning from call[i+1] to call[i] +// must preserve all the registers from call[i+1]'s window. +// In particular, a0 and a1 must be preserved because the RETW instruction +// will be reexecuted (and may even underflow again if an intervening +// exception has flushed call[i]'s registers). +// Registers a2 and up may contain return values. +// +// The caller could also potentially assume that the callee's a0 and a1 +// (its own a4&a5 if call4, a8&a9 if call8, a12&a13 if call12) +// are correct for whatever reason (not a clean thing to do in general, +// but if it's possible, unless the ABI explicitly prohibits it, +// it will eventually be done :) -- whether the the ABI needs to +// prohibit this is a different question). +// +// Timing of Handlers: +// +// Here is an overview of the overhead of taking a window exception, +// ie. the number of additional cycles taken relative to case where +// an exception is not taken. +// NOTE: these numbers do not take into account any cache misses, +// write buffer stalls, or other external stalls, if they occur. +// The totals consist of 5 cycles to enter the handler (or 6 or 7 +// for optional longer pipelines in Xtensa LX), the number of instructions +// and interlocks (2nd and 3rd columns below), and 2 cycles jump delay +// on return (3 cycles for optional longer I-side pipeline in Xtensa LX): +// +// Instruction+bubbles Totals (5-stage) +// XEA1 XEA2 XEA1 XEA2 +// Overflow-4 7 5 14 12 +// Overflow-8 14 10 21 17 +// Overflow-12 18 14 25 21 +// Underflow-4 6 5 13 12 +// Underflow-8 14 10 21 17 +// Underflow-12 18 14 25 21 +// +// Underflow-8 15 12 25 22 (7-stage; could be made 1 less) +// Underflow-12 19 16 29 26 (7-stage; could be made 1 less) + +#ifndef WINDOW_BASE_VECOFS +#define WINDOW_BASE_VECOFS XCHAL_WINDOW_OF4_VECOFS +#endif + + +// 4-Register Window Overflow Vector (Handler) +// +// Invoked if a call[i] referenced a register (a4-a15) +// that contains data from ancestor call[j]; +// call[j] had done a call4 to call[j+1]. +// On entry here: +// window rotated to call[j] start point; +// a0-a3 are registers to be saved; +// a4-a15 must be preserved; +// a5 is call[j+1]'s stack pointer. + + .org XCHAL_WINDOW_OF4_VECOFS - WINDOW_BASE_VECOFS +_WindowOverflow4: +#if XCHAL_HAVE_XEA1 + addi a5, a5, -16 // to make store offsets positive + s32i a0, a5, 0 // save a0 to call[j+1]'s stack frame + s32i a1, a5, 4 // save a1 to call[j+1]'s stack frame + s32i a2, a5, 8 // save a2 to call[j+1]'s stack frame + s32i a3, a5, 12 // save a3 to call[j+1]'s stack frame + addi a5, a5, 16 // restore a5 +#else + s32e a0, a5, -16 // save a0 to call[j+1]'s stack frame + s32e a1, a5, -12 // save a1 to call[j+1]'s stack frame + s32e a2, a5, -8 // save a2 to call[j+1]'s stack frame + s32e a3, a5, -4 // save a3 to call[j+1]'s stack frame +#endif + rfwo // rotates back to call[i] position + + .size _WindowOverflow4, . - _WindowOverflow4 + + +// 4-Register Window Underflow Vector (Handler) +// +// Invoked by RETW returning from call[i+1] to call[i] +// where call[i]'s registers must be reloaded (not live in ARs); +// call[i] had done a call4 to call[i+1]. +// On entry here: +// window rotated to call[i] start point; +// a0-a3 are undefined, must be reloaded with call[i].reg[0..3]; +// a4-a15 must be preserved (they are call[i+1].reg[0..11]); +// a5 is call[i+1]'s stack pointer. + + .org XCHAL_WINDOW_UF4_VECOFS - WINDOW_BASE_VECOFS +_WindowUnderflow4: +#if XCHAL_HAVE_XEA1 + addi a3, a5, -16 // to make load offsets positive + l32i a0, a3, 0 // restore a0 from call[i+1]'s stack frame + l32i a1, a3, 4 // restore a1 from call[i+1]'s stack frame + l32i a2, a3, 8 // restore a2 from call[i+1]'s stack frame + l32i a3, a3, 12 // restore a3 from call[i+1]'s stack frame +#else + l32e a0, a5, -16 // restore a0 from call[i+1]'s stack frame + l32e a1, a5, -12 // restore a1 from call[i+1]'s stack frame + l32e a2, a5, -8 // restore a2 from call[i+1]'s stack frame + l32e a3, a5, -4 // restore a3 from call[i+1]'s stack frame +#endif + rfwu + + .size _WindowUnderflow4, . - _WindowUnderflow4 + + +// 8-Register Window Overflow Vector (Handler) +// +// Invoked if a call[i] referenced a register (a4-a15) +// that contains data from ancestor call[j]; +// call[j] had done a call8 to call[j+1]. +// On entry here: +// window rotated to call[j] start point; +// a0-a7 are registers to be saved; +// a8-a15 must be preserved; +// a9 is call[j+1]'s stack pointer. + + .org XCHAL_WINDOW_OF8_VECOFS - WINDOW_BASE_VECOFS +_WindowOverflow8: +#if XCHAL_HAVE_XEA1 + addi a9, a9, -16 // to make store offsets positive + s32i a0, a9, 0 // save a0 to call[j+1]'s stack frame + addi a0, a1, -16 // a0 <- call[j-1]'s sp + s32i a1, a9, 4 // save a1 to call[j+1]'s stack frame + l32i a0, a0, 4 // (used to find end of call[j]'s frame) + s32i a2, a9, 8 // save a2 to call[j+1]'s stack frame + s32i a3, a9, 12 // save a3 to call[j+1]'s stack frame + addi a9, a9, 16 // restore a9 + addi a0, a0, -32 // to make load offsets positive + s32i a4, a0, 0 // save a4 to call[j]'s stack frame + s32i a5, a0, 4 // save a5 to call[j]'s stack frame + s32i a6, a0, 8 // save a6 to call[j]'s stack frame + s32i a7, a0, 12 // save a7 to call[j]'s stack frame +#else + s32e a0, a9, -16 // save a0 to call[j+1]'s stack frame + l32e a0, a1, -12 // a0 <- call[j-1]'s sp (used to find end of call[j]'s frame) + s32e a1, a9, -12 // save a1 to call[j+1]'s stack frame + s32e a2, a9, -8 // save a2 to call[j+1]'s stack frame + s32e a3, a9, -4 // save a3 to call[j+1]'s stack frame + s32e a4, a0, -32 // save a4 to call[j]'s stack frame + s32e a5, a0, -28 // save a5 to call[j]'s stack frame + s32e a6, a0, -24 // save a6 to call[j]'s stack frame + s32e a7, a0, -20 // save a7 to call[j]'s stack frame +#endif + rfwo // rotates back to call[i] position + + .size _WindowOverflow8, . - _WindowOverflow8 + + +// 8-Register Window Underflow Vector (Handler) +// +// Invoked by RETW returning from call[i+1] to call[i] +// where call[i]'s registers must be reloaded (not live in ARs); +// call[i] had done a call8 to call[i+1]. +// On entry here: +// window rotated to call[i] start point; +// a0-a7 are undefined, must be reloaded with call[i].reg[0..7]; +// a8-a15 must be preserved (they are call[i+1].reg[0..7]); +// a9 is call[i+1]'s stack pointer. + + .org XCHAL_WINDOW_UF8_VECOFS - WINDOW_BASE_VECOFS +_WindowUnderflow8: +#if XCHAL_HAVE_XEA1 + addi a9, a9, -16 // to make load offsets positive + l32i a0, a9, 0 // restore a0 from call[i+1]'s stack frame + l32i a1, a9, 4 // restore a1 from call[i+1]'s stack frame + l32i a2, a9, 8 // restore a2 from call[i+1]'s stack frame + addi a7, a1, -16 // a7 <- call[i-1]'s sp + l32i a7, a7, 4 // (used to find end of call[i]'s frame) + l32i a3, a9, 12 // restore a3 from call[i+1]'s stack frame + addi a9, a9, 16 // restore a9 + addi a7, a7, -32 // to make load offsets positive + l32i a4, a7, 0 // restore a4 from call[i]'s stack frame + l32i a5, a7, 4 // restore a5 from call[i]'s stack frame + l32i a6, a7, 8 // restore a6 from call[i]'s stack frame + l32i a7, a7, 12 // restore a7 from call[i]'s stack frame +#else + l32e a0, a9, -16 // restore a0 from call[i+1]'s stack frame + l32e a1, a9, -12 // restore a1 from call[i+1]'s stack frame + l32e a2, a9, -8 // restore a2 from call[i+1]'s stack frame + l32e a7, a1, -12 // a7 <- call[i-1]'s sp (used to find end of call[i]'s frame) + l32e a3, a9, -4 // restore a3 from call[i+1]'s stack frame + l32e a4, a7, -32 // restore a4 from call[i]'s stack frame + l32e a5, a7, -28 // restore a5 from call[i]'s stack frame + l32e a6, a7, -24 // restore a6 from call[i]'s stack frame + l32e a7, a7, -20 // restore a7 from call[i]'s stack frame +#endif + rfwu + + .size _WindowUnderflow8, . - _WindowUnderflow8 + + +// 12-Register Window Overflow Vector (Handler) +// +// Invoked if a call[i] referenced a register (a4-a15) +// that contains data from ancestor call[j]; +// call[j] had done a call12 to call[j+1]. +// On entry here: +// window rotated to call[j] start point; +// a0-a11 are registers to be saved; +// a12-a15 must be preserved; +// a13 is call[j+1]'s stack pointer. + + .org XCHAL_WINDOW_OF12_VECOFS - WINDOW_BASE_VECOFS +_WindowOverflow12: +#if XCHAL_HAVE_XEA1 + addi a13, a13, -16 // to make store offsets positive + s32i a0, a13, 0 // save a0 to call[j+1]'s stack frame + addi a0, a1, -16 // a0 <- call[j-1]'s sp + s32i a1, a13, 4 // save a1 to call[j+1]'s stack frame + l32i a0, a0, 4 // (used to find end of call[j]'s frame) + s32i a2, a13, 8 // save a2 to call[j+1]'s stack frame + s32i a3, a13, 12 // save a3 to call[j+1]'s stack frame + addi a13, a13, 16 // restore a13 + addi a0, a0, -48 // to make load offsets positive + s32i a4, a0, 0 // save a4 to end of call[j]'s stack frame + s32i a5, a0, 4 // save a5 to end of call[j]'s stack frame + s32i a6, a0, 8 // save a6 to end of call[j]'s stack frame + s32i a7, a0, 12 // save a7 to end of call[j]'s stack frame + s32i a8, a0, 16 // save a8 to end of call[j]'s stack frame + s32i a9, a0, 20 // save a9 to end of call[j]'s stack frame + s32i a10, a0, 24 // save a10 to end of call[j]'s stack frame + s32i a11, a0, 28 // save a11 to end of call[j]'s stack frame +#else + s32e a0, a13, -16 // save a0 to call[j+1]'s stack frame + l32e a0, a1, -12 // a0 <- call[j-1]'s sp (used to find end of call[j]'s frame) + s32e a1, a13, -12 // save a1 to call[j+1]'s stack frame + s32e a2, a13, -8 // save a2 to call[j+1]'s stack frame + s32e a3, a13, -4 // save a3 to call[j+1]'s stack frame + s32e a4, a0, -48 // save a4 to end of call[j]'s stack frame + s32e a5, a0, -44 // save a5 to end of call[j]'s stack frame + s32e a6, a0, -40 // save a6 to end of call[j]'s stack frame + s32e a7, a0, -36 // save a7 to end of call[j]'s stack frame + s32e a8, a0, -32 // save a8 to end of call[j]'s stack frame + s32e a9, a0, -28 // save a9 to end of call[j]'s stack frame + s32e a10, a0, -24 // save a10 to end of call[j]'s stack frame + s32e a11, a0, -20 // save a11 to end of call[j]'s stack frame +#endif + rfwo // rotates back to call[i] position + + .size _WindowOverflow12, . - _WindowOverflow12 + + +// 12-Register Window Underflow Vector (Handler) +// +// Invoked by RETW returning from call[i+1] to call[i] +// where call[i]'s registers must be reloaded (not live in ARs); +// call[i] had done a call12 to call[i+1]. +// On entry here: +// window rotated to call[i] start point; +// a0-a11 are undefined, must be reloaded with call[i].reg[0..11]; +// a12-a15 must be preserved (they are call[i+1].reg[0..3]); +// a13 is call[i+1]'s stack pointer. + + .org XCHAL_WINDOW_UF12_VECOFS - WINDOW_BASE_VECOFS +_WindowUnderflow12: +#if XCHAL_HAVE_XEA1 + addi a13, a13, -16 // to make load offsets positive + l32i a0, a13, 0 // restore a0 from call[i+1]'s stack frame + l32i a1, a13, 4 // restore a1 from call[i+1]'s stack frame + l32i a2, a13, 8 // restore a2 from call[i+1]'s stack frame + addi a11, a1, -16 // a11 <- call[i-1]'s sp + l32i a11, a11, 4 // (used to find end of call[i]'s frame) + l32i a3, a13, 12 // restore a3 from call[i+1]'s stack frame + addi a13, a13, 16 // restore a13 + addi a11, a11, -48 // to make load offsets positive + l32i a4, a11, 0 // restore a4 from end of call[i]'s stack frame + l32i a5, a11, 4 // restore a5 from end of call[i]'s stack frame + l32i a6, a11, 8 // restore a6 from end of call[i]'s stack frame + l32i a7, a11, 12 // restore a7 from end of call[i]'s stack frame + l32i a8, a11, 16 // restore a8 from end of call[i]'s stack frame + l32i a9, a11, 20 // restore a9 from end of call[i]'s stack frame + l32i a10, a11, 24 // restore a10 from end of call[i]'s stack frame + l32i a11, a11, 28 // restore a11 from end of call[i]'s stack frame +#else + l32e a0, a13, -16 // restore a0 from call[i+1]'s stack frame + l32e a1, a13, -12 // restore a1 from call[i+1]'s stack frame + l32e a2, a13, -8 // restore a2 from call[i+1]'s stack frame + l32e a11, a1, -12 // a11 <- call[i-1]'s sp (used to find end of call[i]'s frame) + l32e a3, a13, -4 // restore a3 from call[i+1]'s stack frame + l32e a4, a11, -48 // restore a4 from end of call[i]'s stack frame + l32e a5, a11, -44 // restore a5 from end of call[i]'s stack frame + l32e a6, a11, -40 // restore a6 from end of call[i]'s stack frame + l32e a7, a11, -36 // restore a7 from end of call[i]'s stack frame + l32e a8, a11, -32 // restore a8 from end of call[i]'s stack frame + l32e a9, a11, -28 // restore a9 from end of call[i]'s stack frame + l32e a10, a11, -24 // restore a10 from end of call[i]'s stack frame + l32e a11, a11, -20 // restore a11 from end of call[i]'s stack frame +#endif + rfwu + + .size _WindowUnderflow12, . - _WindowUnderflow12 + + +# ifndef NO_SECTION_DIRECTIVES + //.end literal_prefix + .text +# endif + + +#endif /* XCHAL_HAVE_WINDOWED && !defined(__XTENSA_CALL0_ABI__) */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/xtos-internal.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/xtos-internal.h new file mode 100755 index 0000000..c6f1185 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/xtos-internal.h @@ -0,0 +1,401 @@ +/* + * xtos-internal.h -- internal definitions for single-threaded run-time + * + * Copyright (c) 2003-2010 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +#ifndef XTOS_INTERNAL_H +#define XTOS_INTERNAL_H + +#include +#include +#include +#include +#ifndef XTOS_PARAMS_H /* this to allow indirect inclusion of this header from the outside */ +#include "xtos-params.h" +#endif + +/* Relative ordering of subpriorities within an interrupt level (or vector): */ +#define XTOS_SPO_ZERO_LO 0 /* lower (eg. zero) numbered interrupts are lower priority than higher numbered interrupts */ +#define XTOS_SPO_ZERO_HI 1 /* lower (eg. zero) numbered interrupts are higher priority than higher numbered interrupts */ + + +/* Sanity check some parameters from xtos-params.h: */ +#if XTOS_LOCKLEVEL < XCHAL_EXCM_LEVEL || XTOS_LOCKLEVEL > 15 +# error Invalid XTOS_LOCKLEVEL value, must be >= EXCM_LEVEL and <= 15, please fix xtos-params.h +#endif + +/* Mask of interrupts locked out at XTOS_LOCKLEVEL: */ +#define XTOS_LOCKOUT_MASK XCHAL_INTLEVEL_ANDBELOW_MASK(XTOS_LOCKLEVEL) +/* Mask of interrupts that can still be enabled at XTOS_LOCKLEVEL: */ +#define XTOS_UNLOCKABLE_MASK (0xFFFFFFFF-XTOS_LOCKOUT_MASK) + +/* Don't set this: */ +#define XTOS_HIGHINT_TRAMP 0 /* mapping high-pri ints to low-pri not auto-supported */ +#define XTOS_VIRTUAL_INTERRUPT XTOS_HIGHINT_TRAMP /* partially-virtualized INTERRUPT register not currently supported */ +#if XTOS_HIGHINT_TRAMP +# error Automatically-generated high-level interrupt trampolines are not presently supported. +#endif + +/* + * If single interrupt at level-one, sub-prioritization is irrelevant: + */ +#if defined(XCHAL_INTLEVEL1_NUM) +# undef XTOS_SUBPRI +# define XTOS_SUBPRI 0 /* override - only one interrupt */ +#endif + +/* + * In XEA1, the INTENABLE special register must be virtualized to provide + * standard XTOS functionality. + * In XEA2, this is only needed for software interrupt prioritization. + */ +#if XTOS_SUBPRI || XCHAL_HAVE_XEA1 +#define XTOS_VIRTUAL_INTENABLE 1 +#else +#define XTOS_VIRTUAL_INTENABLE 0 +#endif + +/* + * If single interrupt per priority, then fairness is irrelevant: + */ +#if (XTOS_SUBPRI && !XTOS_SUBPRI_GROUPS) || defined(XCHAL_INTLEVEL1_NUM) +# undef XTOS_INT_FAIRNESS +# define XTOS_INT_FAIRNESS 0 +#endif + +/* Identify special case interrupt handling code in int-lowpri-dispatcher.S: */ +#define XTOS_INT_SPECIALCASE (XTOS_SUBPRI_ORDER == XTOS_SPO_ZERO_HI && XTOS_INT_FAIRNESS == 0 && XTOS_SUBPRI_GROUPS == 0) + +/* + * Determine whether to extend the interrupt entry array: + */ +#define XIE_EXTEND (XTOS_VIRTUAL_INTENABLE && !XTOS_INT_SPECIALCASE) + +/* If we have the NSAU instruction, ordering of interrupts is reversed in _xtos_interrupt_table[]: */ +#if XCHAL_HAVE_NSA +# define MAPINT(n) ((XCHAL_NUM_INTERRUPTS-1)-(n)) +# ifdef _ASMLANGUAGE + .macro mapint an + neg \an, \an + addi \an, \an, XCHAL_NUM_INTERRUPTS-1 + .endm +# endif +#else /* no NSA */ +# define MAPINT(n) (n) +# ifdef _ASMLANGUAGE + .macro mapint an + .endm +# endif +#endif + + +#if defined(_ASMLANGUAGE) || defined(__ASSEMBLER__) + +/*********** Useful macros ***********/ + +/* + * A useful looping macro: + * 'iterate' invokes 'what' (an instruction, pseudo-op or other macro) + * multiple times, passing it a numbered parameter from 'from' to 'to' + * inclusively. Does not invoke 'what' at all if from > to. + * Maximum difference between 'from' and 'to' is 99 minus nesting depth + * (GNU 'as' doesn't allow nesting deeper than 100). + */ + .macro iterate from, to, what + .ifeq ((\to-\from) & ~0xFFF) + \what \from + iterate "(\from+1)", \to, \what + .endif + .endm // iterate + + + + // rsilft + // + // Execute RSIL \ar, \tolevel if \tolevel is different than \fromlevel. + // This way the RSIL is avoided if we know at assembly time that + // it will not change the level. Typically, this means the \ar register + // is ignored, ie. RSIL is used only to change PS.INTLEVEL. + // + .macro rsilft ar, fromlevel, tolevel +#if XCHAL_HAVE_INTERRUPTS + .if \fromlevel - \tolevel + rsil \ar, \tolevel + .endif +#endif + .endm + + + // Save LOOP and MAC16 registers, if configured, to the exception stack + // frame pointed to by address register \esf, using \aa and \ab as temporaries. + // + // This macro essentially saves optional registers that the compiler uses by + // default when present. + // Note that the acclo/acchi subset of MAC16 may be used even if others + // multipliers are present (e.g. mul16, mul32). + // + // Only two temp registers required for this code to be optimal (no interlocks) in both + // T10xx (Athens) and Xtensa LX microarchitectures (both 5 and 7 stage pipes): + // + .macro save_loops_mac16 esf, aa, ab +#if XCHAL_HAVE_LOOPS + rsr \aa, LCOUNT + rsr \ab, LBEG + s32i \aa, \esf, UEXC_lcount + rsr \aa, LEND + s32i \ab, \esf, UEXC_lbeg + s32i \aa, \esf, UEXC_lend +#endif +#if XCHAL_HAVE_MAC16 + rsr \aa, ACCLO + rsr \ab, ACCHI + s32i \aa, \esf, UEXC_acclo + s32i \ab, \esf, UEXC_acchi +# if XTOS_SAVE_ALL_MAC16 + rsr \aa, M0 + rsr \ab, M1 + s32i \aa, \esf, UEXC_mr + 0 + s32i \ab, \esf, UEXC_mr + 4 + rsr \aa, M2 + rsr \ab, M3 + s32i \aa, \esf, UEXC_mr + 8 + s32i \ab, \esf, UEXC_mr + 12 +# endif +#endif + .endm + + // Restore LOOP and MAC16 registers, if configured, from the exception stack + // frame pointed to by address register \esf, using \aa, \ab and \ac as temporaries. + // + // Three temp registers are required for this code to be optimal (no interlocks) in + // Xtensa LX microarchitectures with 7-stage pipe; otherwise only two + // registers would be needed. + // + .macro restore_loops_mac16 esf, aa, ab, ac +#if XCHAL_HAVE_LOOPS + l32i \aa, \esf, UEXC_lcount + l32i \ab, \esf, UEXC_lbeg + l32i \ac, \esf, UEXC_lend + wsr \aa, LCOUNT + wsr \ab, LBEG + wsr \ac, LEND +#endif +#if XCHAL_HAVE_MAC16 + l32i \aa, \esf, UEXC_acclo + l32i \ab, \esf, UEXC_acchi +# if XTOS_SAVE_ALL_MAC16 + l32i \ac, \esf, UEXC_mr + 0 + wsr \aa, ACCLO + wsr \ab, ACCHI + wsr \ac, M0 + l32i \aa, \esf, UEXC_mr + 4 + l32i \ab, \esf, UEXC_mr + 8 + l32i \ac, \esf, UEXC_mr + 12 + wsr \aa, M1 + wsr \ab, M2 + wsr \ac, M3 +# else + wsr \aa, ACCLO + wsr \ab, ACCHI +# endif +#endif + .endm + + +/* Offsets from _xtos_intstruct structure: */ + .struct 0 +#if XTOS_VIRTUAL_INTENABLE +XTOS_ENABLED_OFS: .space 4 /* _xtos_enabled variable */ +XTOS_VPRI_ENABLED_OFS: .space 4 /* _xtos_vpri_enabled variable */ +#endif +#if XTOS_VIRTUAL_INTERRUPT +XTOS_PENDING_OFS: .space 4 /* _xtos_pending variable */ +#endif + .text + + +#if XTOS_VIRTUAL_INTENABLE + // Update INTENABLE register, computing it as follows: + // INTENABLE = _xtos_enabled & _xtos_vpri_enabled + // [ & ~_xtos_pending ] + // + // Entry: + // register ax = &_xtos_intstruct + // register ay, az undefined (temporaries) + // PS.INTLEVEL set to XTOS_LOCKLEVEL or higher (eg. via xtos_lock) + // window overflows prevented (PS.WOE=0, PS.EXCM=1, or overflows + // already done for registers ax, ay, az) + // + // Exit: + // registers ax, ay, az clobbered + // PS unchanged + // caller needs to SYNC (?) for INTENABLE changes to take effect + // + // Note: in other software prioritization schemes/implementations, + // the term <_xtos_vpri_enabled> in the above expression is often + // replaced with another expression that computes the set of + // interrupts allowed to be enabled at the current software virtualized + // interrupt priority. + // + // For example, a simple alternative implementation of software + // prioritization for XTOS might have been the following: + // INTENABLE = _xtos_enabled & (vpri_enabled | UNLOCKABLE_MASK) + // which removes the need for the interrupt dispatcher to 'or' the + // UNLOCKABLE_MASK bits into _xtos_vpri_enabled, and lets other code + // disable all lockout level interrupts by just clearing _xtos_vpri_enabled + // rather than setting it to UNLOCKABLE_MASK. + // Other implementations sometimes use a table, eg: + // INTENABLE = _xtos_enabled & enable_table[current_vpri] + // The HAL (used by some 3rd party OSes) uses essentially a table-driven + // version, with other tables enabling run-time changing of priorities. + // + .macro xtos_update_intenable ax, ay, az + //movi \ax, _xtos_intstruct + l32i \ay, \ax, XTOS_VPRI_ENABLED_OFS // ay = _xtos_vpri_enabled + l32i \az, \ax, XTOS_ENABLED_OFS // az = _xtos_enabled + //interlock + and \az, \az, \ay // az = _xtos_enabled & _xtos_vpri_enabled +# if XTOS_VIRTUAL_INTERRUPT + l32i \ay, \ax, XTOS_PENDING_OFS // ay = _xtos_pending + movi \ax, -1 + xor \ay, \ay, \ax // ay = ~_xtos_pending + and \az, \az, \ay // az &= ~_xtos_pending +# endif + wsr \az, INTENABLE + .endm +#endif /* VIRTUAL_INTENABLE */ + + .macro xtos_lock ax + rsil \ax, XTOS_LOCKLEVEL // lockout + .endm + + .macro xtos_unlock ax + wsr \ax, PS // unlock + rsync + .endm + +/* Offsets to XtosIntHandlerEntry structure fields (see below): */ +# define XIE_HANDLER 0 +# define XIE_ARG 4 +# define XIE_SIZE 8 +# if XIE_EXTEND +# define XIE_VPRIMASK (XIE_SIZE*XCHAL_NUM_INTERRUPTS+0) /* if VIRTUAL_INTENABLE [SUBPRI||XEA1] && !SPECIALCASE */ +# define XIE_LEVELMASK (XIE_SIZE*XCHAL_NUM_INTERRUPTS+4) /* [fairness preloop] if FAIRNESS && SUBPRI [&& SUBPRI_GROUPS] */ +# endif + +/* To simplify code: */ +# if XCHAL_HAVE_NSA +# define IFNSA(a,b) a +# else +# define IFNSA(a,b) b +# endif + +#else /* !_ASMLANGUAGE && !__ASSEMBLER__ */ + +/* + * Interrupt handler table entry. + * Unregistered entries have 'handler' point to _xtos_unhandled_interrupt(). + */ +typedef struct XtosIntHandlerEntry { + _xtos_handler handler; + void * arg; +} XtosIntHandlerEntry; +# if XIE_EXTEND +typedef struct XtosIntMaskEntry { + unsigned vpri_mask; /* mask of interrupts enabled when this interrupt is taken */ + unsigned level_mask; /* mask of interrupts at this interrupt's level */ +} XtosIntMaskEntry; +# endif + +#endif /* !_ASMLANGUAGE && !__ASSEMBLER__ */ + +/* + * Notes... + * + * XEA1 and interrupt-SUBPRIoritization both imply virtualization of INTENABLE. + * Synchronous trampoloines imply partial virtualization of the INTERRUPT + * register, which in turn also implies virtualization of INTENABLE register. + * High-level interrupts manipulating the set of enabled interrupts implies + * at least a high XTOS_LOCK_LEVEL, although not necessarily INTENABLE virtualization. + * + * With INTENABLE register virtualization, at all times the INTENABLE + * register reflects the expression: + * (set of interrupts enabled) & (set of interrupts enabled by current + * virtual priority) + * + * Unrelated (DBREAK semantics): + * + * A[31-6] = DBA[3-6] + * --------------------- + * A[5-0] & DBC[5-C] & szmask + * + * = DBA[5-0] & szmask + * ^___ ??? + */ + + +/* Report whether the XSR instruction is available (conservative): */ +#define HAVE_XSR (XCHAL_HAVE_XEA2 || !XCHAL_HAVE_EXCEPTIONS) +/* + * This is more accurate, but not a reliable test in software releases prior to 6.0 + * (where the targeted hardware parameter was not explicit in the XPG): + * + *#define HAVE_XSR (XCHAL_HW_MIN_VERSION >= XTENSA_HWVERSION_T1040_0) + */ + + + +/* Macros for supporting hi-level and medium-level interrupt handling. */ + +#if XCHAL_NUM_INTLEVELS > 6 +#error Template files (*-template.S) limit support to interrupt levels <= 6 +#endif + +#if defined(__XTENSA_WINDOWED_ABI__) && XCHAL_HAVE_CALL4AND12 == 0 +#error CALL8-only is not supported! +#endif + +#define INTERRUPT_IS_HI(level) \ + ( XCHAL_HAVE_INTERRUPTS && \ + (XCHAL_EXCM_LEVEL < level) && \ + (XCHAL_NUM_INTLEVELS >= level) && \ + (XCHAL_HAVE_DEBUG ? XCHAL_DEBUGLEVEL != level : 1)) + +#define INTERRUPT_IS_MED(level) \ + (XCHAL_HAVE_INTERRUPTS && (XCHAL_EXCM_LEVEL >= level)) + + +#define _JOIN(x,y) x ## y +#define JOIN(x,y) _JOIN(x,y) + +#define _JOIN3(a,b,c) a ## b ## c +#define JOIN3(a,b,c) _JOIN3(a,b,c) + +#define LABEL(x,y) JOIN3(x,_INTERRUPT_LEVEL,y) +#define EXCSAVE_LEVEL JOIN(EXCSAVE_,_INTERRUPT_LEVEL) +#define INTLEVEL_VSIZE JOIN3(XSHAL_INTLEVEL,_INTERRUPT_LEVEL,_VECTOR_SIZE) + + + +#endif /* XTOS_INTERNAL_H */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/xtos-params.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/xtos-params.h new file mode 100755 index 0000000..96af261 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/athos/src/xtos/xtos-params.h @@ -0,0 +1,123 @@ +/* + * xtos-params.h -- user-settable parameters for XTOS single-threaded run-time + * + * Copyright (c) 2002, 2004, 2006-2007 Tensilica Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining + * a copy of this software and associated documentation files (the + * "Software"), to deal in the Software without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Software, and to + * permit persons to whom the Software is furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + */ + +#ifndef XTOS_PARAMS_H +#define XTOS_PARAMS_H + +/* + * IMPORTANT NOTE. + * This file contains XTOS parameters that may be modified + * according to needs. HOWEVER, any modifications are NOT + * supported. Handling of parameters other than the defaults + * provided in the original version of this file are for + * illustrative and educational purposes only. If you do + * change the parameters here-in (which requires rebuilding + * XTOS), please verify the resulting code extensively + * before even considering its use in production code. + * + * To rebuild XTOS, see instructions in the Xtensa System Software + * Reference Manual. The following sequence is no longer supported. + * + * cd /xtensa-elf/src/handlers + * xt-make clean + * xt-make + * xt-make install + * + * (Note: the last step installs the modified XTOS in *ALL* + * LSPs that normally include XTOS. You may prefer copying + * the generated files to your own custom LSP instead. Or + * better yet, also make a copy of all source files and maintain + * them somewhere completely separate -- which may require + * minor adjustments to the makefile.) + * + * PERFORMANCE TUNING: + * To slightly improve performance of interrupt dispatching, + * you can do some combination of the following: + * - change XTOS_SUBPRI to zero + * - change XTOS_SUBPRI_GROUPS to zero + * - change XTOS_SUBPRI_ORDER to XTOS_SPO_ZERO_HI + * - change XTOS_DEBUG_PC to zero + * - change XTOS_INT_FAIRNESS to zero + * - change XTOS_CNEST to zero + * There are non-trivial trade-offs in making such changes however, + * such as loss of support (see important note above), loss of + * interrupt scheduling fairness, loss of ability to traceback + * interrupt handlers across interrupted code when debugging them, + * loss of supported for nested C functions, etc. + */ + + +/* + * Lower LOCKLEVEL to XCHAL_EXCM_LEVEL for improved interrupt latency + * if you don't register C handlers for high-priority interrupts and your + * high-priority handlers don't touch INTENABLE nor virtual priorities. + * + * XTOS_LOCKLEVEL is less meaningful but still relevant if XEA2 and SUBPRI is zero, + * ie. if INTENABLE doesn't get virtualized (XTOS_VIRTUAL_INTENABLE not set); + * in this case, it is the interrupt level at which INTENABLE accesses are guarded, + * so that interrupt handlers up to this level can safely manipulate INTENABLE. + */ +#define XTOS_LOCKLEVEL XCHAL_NUM_INTLEVELS /* intlevel of INTENABLE register virtualization + (minimum is EXCM_LEVEL) */ + +/* + * NOTE: the following four parameters (SUBPRI, SUBPRI_GROUPS, SUBPRI_ORDER, INT_FAIRNESS) + * are irrelevant and ignored for interrupt vectors to which only one interrupt is mapped. + */ + +#define XTOS_SUBPRI 1 /* set to 0 if you don't need sub-prioritization + within level-one interrupts via software; + for XEA2 configs, this might improve performance of + certain sections of code, because INTENABLE register + virtualization becomes unnecessary in this case */ + +/* Ignored unless SUBPRI set: */ +#define XTOS_SUBPRI_GROUPS 1 /* support selective grouping of interrupts at the same priority */ + +#define XTOS_SUBPRI_ORDER XTOS_SPO_ZERO_LO /* one of XTOS_SPO_ZERO_LO, XTOS_SPO_ZERO_HI */ + +/* Ignored if SUBPRI set but SUBPRI_GROUPS is not (single interrupt per subpri), + * or if single interrupt configured at level/vector: */ +#define XTOS_INT_FAIRNESS 1 /* disable round-robin/fifo scheduling of interrupt + handlers of a given level or sub-priority */ + + +#define XTOS_DEBUG_PC 1 /* enable nice stack traceback showing interrupted code + when debugging interrupt or exception handler; + not implemented for high-priority handlers, or + for call0 ABI */ + +#define XTOS_CNEST 1 /* enable support for nested C functions + (save/restore nested C function call-chain pointer) */ + +/* Current compilers only use ACC (not MRn) when MAC16 is enabled, so you can leave this 0 for performance: */ +#define XTOS_SAVE_ALL_MAC16 0 /* set to save/restore MAC16 MRn registers */ + +/* Setting this might be useful to clear X's in hardware simulation a bit earlier, but + * should not be needed in production code: */ +#define XTOS_RESET_UNNEEDED 0 /* set to reset more registers than are really needed */ + +#endif /* XTOS_PARAMS_H */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/Makefile new file mode 100755 index 0000000..b3cecf1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = buf_pool + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libbuf_pool.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/Makefile.ss new file mode 100755 index 0000000..2db0004 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/buf_pool_static.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/inc/buf_pool_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/inc/buf_pool_api.h new file mode 100755 index 0000000..c69e1b7 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/inc/buf_pool_api.h @@ -0,0 +1,50 @@ +/* + * @File: buf_pool_api.h + * + * @Abstract: BUF Pool api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _BUF_POOL_API_H +#define _BUF_POOL_API_H + +#include + +/* endpoint defines */ +typedef enum +{ + POOL_ID_HTC_CONTROL = 0, + POOL_ID_WMI_SVC_CMD_REPLY = 1, + POOL_ID_WMI_SVC_EVENT = 2, + POOL_ID_WLAN_RX_BUF = 3, + POOL_ID_MAX = 10 +} BUF_POOL_ID; + +typedef void* pool_handle_t; + +/* hardware API table structure (API descriptions below) */ +struct buf_pool_api { + pool_handle_t (*_init)(adf_os_handle_t handle); + + void (*_shutdown)(pool_handle_t handle); + + void (*_create_pool)(pool_handle_t handle, BUF_POOL_ID poolId, int nItems, int nSize); + + adf_nbuf_t (*_alloc_buf)(pool_handle_t handle, BUF_POOL_ID poolId, int reserve); + + adf_nbuf_t (*_alloc_buf_align)(pool_handle_t handle, BUF_POOL_ID poolId, int reserve, int align); + + void (*_free_buf)(pool_handle_t handle, BUF_POOL_ID poolId, adf_nbuf_t buf); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void buf_pool_module_install(struct buf_pool_api *apis); + +#endif /* #ifndef _BUF_POOL_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/src/buf_pool_static.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/src/buf_pool_static.c new file mode 100755 index 0000000..8365a60 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/src/buf_pool_static.c @@ -0,0 +1,128 @@ +/* + * @File: + * + * @Abstract: Buf pool implementation: static version + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ + +#include +#include +#include +#include +#include +#include +#include + +#include "buf_pool_static.h" + +LOCAL htc_handle_t _buf_pool_static_init(adf_net_handle_t handle); +LOCAL void _buf_pool_static_create_pool(pool_handle_t handle, BUF_POOL_ID poolId, int nItems, int nSize); +LOCAL adf_nbuf_t _buf_pool_static_alloc_buf(pool_handle_t handle, BUF_POOL_ID poolId, int reserve); +LOCAL adf_nbuf_t _buf_pool_static_alloc_buf_align(pool_handle_t handle, BUF_POOL_ID poolId, int reserve, int align); +LOCAL void _buf_pool_static_free_buf(pool_handle_t handle, BUF_POOL_ID poolId, adf_nbuf_t buf); +LOCAL void _buf_pool_static_shutdown(pool_handle_t handle); + +BUF_POOL_STATIC_CONTEXT g_poolCtx; + +void buf_pool_module_install(struct buf_pool_api *pAPIs) +{ + pAPIs->_init = _buf_pool_static_init; + pAPIs->_create_pool = _buf_pool_static_create_pool; + pAPIs->_alloc_buf = _buf_pool_static_alloc_buf; + pAPIs->_alloc_buf_align = _buf_pool_static_alloc_buf_align; + pAPIs->_free_buf = _buf_pool_static_free_buf; + pAPIs->_shutdown = _buf_pool_static_shutdown; +} + +LOCAL pool_handle_t _buf_pool_static_init(adf_os_handle_t handle) +{ +#if 1 + int i; + + for(i=0; i < POOL_ID_MAX; i++) { + g_poolCtx.bufQ[i] = NULL; + } + + return &g_poolCtx; +#else + BUF_POOL_STATIC_CONTEXT *ctx; + + //ctx = (BUF_POOL_static_CONTEXT *)A_ALLOCRAM(sizeof(BUF_POOL_static_CONTEXT)); + ctx = (BUF_POOL_STATIC_CONTEXT *)adf_os_mem_alloc(sizeof(BUF_POOL_STATIC_CONTEXT)); + ctx->NetHandle = handle; + + return ctx; +#endif +} + +LOCAL void _buf_pool_static_shutdown(pool_handle_t handle) +{ + // SHALL NOT BE USED in FW +} + +LOCAL void _buf_pool_static_create_pool(pool_handle_t handle, BUF_POOL_ID poolId, int nItems, int nSize) +{ + int i; + VBUF *buf; + VDESC *desc; + + //BUF_POOL_STATIC_CONTEXT *ctx = (BUF_POOL_STATIC_CONTEXT *)handle; + + for ( i = 0; i < nItems; i++) { + buf = VBUF_alloc_vbuf(); + desc = VDESC_alloc_vdesc(); + + desc->buf_addr = (A_UINT8 *)adf_os_mem_alloc(nSize); + desc->buf_size = nSize; + desc->data_offset = 0; + desc->data_size = 0; + + buf->buf_length = 0; + buf->desc_list = desc; + + if ( g_poolCtx.bufQ[poolId] == NULL ) { + g_poolCtx.bufQ[poolId] = buf; + } else { + buf->next_buf = g_poolCtx.bufQ[poolId]; + g_poolCtx.bufQ[poolId] = buf; + } + } +} + +LOCAL adf_nbuf_t _buf_pool_static_alloc_buf(pool_handle_t handle, BUF_POOL_ID poolId, int reserve) +{ + VBUF *buf; + + buf = g_poolCtx.bufQ[poolId]; + if ( buf != NULL ) { + g_poolCtx.bufQ[poolId] = buf->next_buf; + + buf->next_buf = NULL; + buf->desc_list->data_offset = reserve; + buf->desc_list->data_size = 0; + buf->buf_length = 0; + } + + return buf; +} + +LOCAL adf_nbuf_t _buf_pool_static_alloc_buf_align(pool_handle_t handle, BUF_POOL_ID poolId, int reserve, int align) +{ + return _buf_pool_static_alloc_buf(handle, poolId, reserve); +} + +LOCAL void _buf_pool_static_free_buf(pool_handle_t handle, BUF_POOL_ID poolId, adf_nbuf_t buf) +{ + if ( g_poolCtx.bufQ[poolId] == NULL ) { + g_poolCtx.bufQ[poolId] = buf; + } else { + buf->next_buf = g_poolCtx.bufQ[poolId]; + g_poolCtx.bufQ[poolId] = buf; + } +} diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/src/buf_pool_static.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/src/buf_pool_static.h new file mode 100755 index 0000000..32feb19 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/buf_pool/src/buf_pool_static.h @@ -0,0 +1,24 @@ +/* + * @File: + * + * @Abstract: + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef BUF_POOL_STATIC_H_ +#define BUF_POOL_STATIC_H_ + +typedef struct _BUF_POOL_STATIC_CONTEXT { + VBUF *bufQ[POOL_ID_MAX]; + + // Left a door for extension the structure + void *pReserved; +} BUF_POOL_STATIC_CONTEXT; + +#endif /*BUF_POOL_STATIC_H_*/ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/Makefile new file mode 100755 index 0000000..89d4b5f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/Makefile @@ -0,0 +1,62 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = cmnos + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +#export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +DIRS = allocram clock eeprom intr mem misc printf rompatch string tasklet timer wdt uart sflash +#DIRS = allocram + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: +# for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + for i in $(DIRS) ; do $(MAKE) -C $$i all || exit $? ; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(DIRS) ; do $(MAKE) -C $$i dep || exit $? ; done + +clean: + for i in $(DIRS) ; do $(MAKE) -C $$i clean; done + +init: + for i in $(DIRS) ; do $(MAKE) -C $$i init; done + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/Makefile new file mode 100755 index 0000000..2327209 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = allocram + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +#export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/Makefile.ss new file mode 100755 index 0000000..a4e6247 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_allocram.o + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/inc/allocram_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/inc/allocram_api.h new file mode 100755 index 0000000..f86d34f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/inc/allocram_api.h @@ -0,0 +1,51 @@ +/* + * Copyright (c) 2007 Atheros Communications, Inc. All rights reserved. + */ + +#ifndef __ALLOCRAM_API_H__ +#define __ALLOCRAM_API_H__ + +/* API for Target-side startup-time RAM allocations */ + +struct allocram_api { + /* + * Initialize allocram, providing it with a block of RAM + * (an "arena") from which to allocate. + * + * If arena_start is 0, a default start -- the end of + * the application's text & data -- is used. + * + * If arena_sz is 0, a default size -- which uses most + * of physical RAM beyond arena_start -- is used. + * + * Return value is reserved for future use -- it's an arena handle. + */ + void *(* cmnos_allocram_init)(void *arena_start, A_UINT32 arena_sz); + + /* + * Allocate nbytes of memory, returning a pointer to the start + * of the allocated block. Allocation size is rounded up to the + * nearest A_CACHE_LINE_SIZE and the returned address similarly + * aligned. + * + * There is no need to check the return value from this function. + * A failure to satisfy a RAM allocation request is treated as a + * fatal error. + * + * Allocations are expected to occur only during startup; this + * API does not, for instance, guarantee atomicity with respect + * to allocations that might (foolishly) be attempted from + * interrupt handlers. + * + * The "which_arena" parameter is currently unused, and should + * be set to 0 -- only a single arena is currently supported. + */ + void *(* cmnos_allocram)(void *which_arena, A_UINT32 nbytes); + + void (* cmnos_allocram_debug)(void); +}; + +extern void allocram_module_install(struct allocram_api *api); + + +#endif /* __ALLOCRAM_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/src/cmnos_allocram.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/src/cmnos_allocram.c new file mode 100755 index 0000000..ac40c64 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/allocram/src/cmnos_allocram.c @@ -0,0 +1,106 @@ +/* + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + */ + +#include "sys_cfg.h" +#include "athos_api.h" + +#if SYSTEM_MODULE_ALLOCRAM + +/* + * Startup time RAM allocation. + * + * Oddly enough, we allow allocation, but not free. + * The central idea is to restrict compile-time RAM demands + * of modules to a minimum so that if a module is replaced + * at run-time large amounts of RAM are not wasted. + * + * Addresses returned are A_CACHE_LINE_SIZE aligned. + */ + +LOCAL A_UINT32 allocram_current_addr; +LOCAL A_UINT32 allocram_remaining_bytes; + +LOCAL void * +cmnos_allocram_init(void *arena_start, A_UINT32 arena_sz) +{ + A_UINT32 astart = (A_UINT32)arena_start; + +#if defined(__XTENSA__) + /* + * This hacky line converts from a text or data RAM address + * into a data RAM address. (It's all the same on MIPS, but + * text and data are different address spaces on Xtensa.) + */ + //astart = TARG_RAM_ADDRS(TARG_RAM_OFFSET(astart)); +#endif + +#if 0 + if (arena_sz == 0) { + /* Default arena_sz to most of available RAM */ + arena_sz = TARG_RAM_SZ - (A_UINT32)TARG_RAM_OFFSET(astart); + arena_sz -= HOST_INTEREST->hi_end_RAM_reserve_sz; + } +#endif + + /* Clear entire area */ +// A_MEMSET(astart, 0, arena_sz); + + /* Adjust for cache line alignment */ +#if 0 + allocram_current_addr = A_ROUND_UP(astart, A_CACHE_LINE_SIZE); + arena_sz -= (allocram_current_addr-astart); +#else + allocram_current_addr = astart; +#endif + allocram_remaining_bytes = arena_sz; + + //A_DCACHE_FLUSH(); + + //A_PRINTF("cmnos_allocram_init: start=0x%x size=%d\n", + // allocram_current_addr, allocram_remaining_bytes); + + return NULL; /* Future implementation may return an arena handle */ +} + +/* + * Allocate nbytes from the arena. At this point, which_arena should + * be set to 0 for the default (and only) arena. A future allocation + * module may support multiple separate arenas. + */ +LOCAL void * +cmnos_allocram(void * which_arena, A_UINT32 nbytes) +{ + void *ptr = (void *)allocram_current_addr; + //nbytes = A_ROUND_UP(nbytes, A_CACHE_LINE_SIZE); + nbytes = A_ROUND_UP(nbytes, 4); + if (nbytes <= allocram_remaining_bytes) { + allocram_remaining_bytes -= nbytes; + allocram_current_addr += nbytes; + } else { + A_PRINTF("RAM allocation (%d bytes) failed!\n", nbytes); + //A_ASSERT(0); + adf_os_assert(0); + } + + return ptr; +} + +void +cmnos_allocram_debug(void) +{ + A_PRINTF("ALLOCRAM Current Addr 0x%x\n", allocram_current_addr); + A_PRINTF("ALLOCRAM Remaining Bytes %d\n", allocram_remaining_bytes); +} + +void +cmnos_allocram_module_install(struct allocram_api *tbl) +{ + tbl->cmnos_allocram_init = cmnos_allocram_init; + tbl->cmnos_allocram = cmnos_allocram; + tbl->cmnos_allocram_debug = cmnos_allocram_debug; +} + +#endif /* SYSTEM_MODULE_ALLOCRAM */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/Makefile new file mode 100755 index 0000000..daa1851 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = clock + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/Makefile.ss new file mode 100755 index 0000000..ab83dfa --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_clock.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/inc/clock_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/inc/clock_api.h new file mode 100755 index 0000000..e4c9b27 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/inc/clock_api.h @@ -0,0 +1,21 @@ +#ifndef __CLOCK_API_H__ +#define __CLOCK_API_H__ + +#define TICK_MSEC_RATIO 1 +#define TICK_TO_MSEC(tick) ((tick)/TICK_MSEC_RATIO) +#define MSEC_TO_TICK(msec) ((msec)* TICK_MSEC_RATIO) + +struct clock_api { + void (* _clock_init)(A_UINT32 ref_clk); + void (* _clockregs_init)(void); + A_UINT32 (* _uart_frequency)(void); + void (* _delay_us)(int); + void (* _wlan_band_set)(int); + A_UINT32 (* _refclk_speed_get)(void); + A_UINT32 (* _milliseconds)(void); + void (* _sysclk_change)(void); + + void (* _clock_tick)(void); +}; + +#endif /* __CLOCK_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/src/cmnos_clock.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/src/cmnos_clock.c new file mode 100755 index 0000000..ae34bf8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/clock/src/cmnos_clock.c @@ -0,0 +1,290 @@ +#include "sys_cfg.h" + +#if SYSTEM_MODULE_CLOCK +#include "athos_api.h" + +LOCAL A_UINT32 cticks = 0; + +#define A_BAND_DEFAULT 0 // not ust now, avoiding compile error/warning, Ryan + +LOCAL int curr_band = A_BAND_DEFAULT; +LOCAL void cmnos_pll_init(void); + +/* We accept frequencies within this deviation from an expected frequency. */ +#define A_REFCLK_DEVIATION 800000 + +#define A_REFCLK_UNKNOWN SYS_CFG_REFCLK_UNKNOWN +#define A_REFCLK_10_MHZ SYS_CFG_REFCLK_10_MHZ +#define A_REFCLK_20_MHZ SYS_CFG_REFCLK_20_MHZ +#define A_REFCLK_40_MHZ SYS_CFG_REFCLK_40_MHZ + +LOCAL const struct cmnos_clock_s { + A_refclk_speed_t refclk_speed; + A_UINT32 ticks_per_sec; + // below are useless so far, ryan + A_UINT32 pll_ctrl_5ghz; + A_UINT32 pll_ctrl_24ghz; + A_UINT32 pll_settling_time; /* 50us */ +} cmnos_clocking_table[] = { + {A_REFCLK_10_MHZ, + //10485760, + 10000000, + 0x0, + 0x0, + 0x0}, + + {A_REFCLK_20_MHZ, + //20971520, + 20000000, + 0x0, + 0x0, + 0x0}, + + {A_REFCLK_40_MHZ, + //41943040, + 40000000, + 0x0, + 0x0, + 0x0}, + + {A_REFCLK_UNKNOWN, + 0, + 0x0, + 0x0, + 0x0}, +}; + + +#define CMNOS_CLOCKING_TABLE_NUM_ENTRIES \ + (sizeof(cmnos_clocking_table)/sizeof(cmnos_clocking_table[0])) + +LOCAL struct cmnos_clock_s *clock_info; + + +LOCAL void cmnos_tick(void); + +/* + * In case we have PLL initialization problems, software can arrange + * (e.g. through BMI) to skip PLL initialization, and other software + * can handle it. + */ +int cmnos_skip_pll_init = 0; +A_UINT32 pll_ctrl_setting_24ghz = 0; +A_UINT32 pll_ctrl_setting_5ghz = 0; + +/* + * Use default hardware values for clock-related registers. + * The defaults can be overridden through BMI, EJTAG, or patches. + * + * CPU clock frequencies depend on what mode we're in (2.4GHz or 5GHz): + * NB: AR6001 has a "reduced power" mode, but we don't use it. + * + * AR6001/AR6002 FPGA CPU clock is always at 40MHz + * + * AR6001 Rev 2.x supports 4 CPU speed selections: + * selector: 0 1 2 3 + * 2.4GHz: 44, 88, 141, refclk + * 5 GHz: 40, 80, 128, refclk + * + * AR6002 supports 7 CPU/SoC speed selections via CORE_CLK: + * CORE_CLK.DIV setting: 6,7 5 4 3 2 1 0 + * divisor: 16 14 12 10 8 6 4 + * 2.4GHz (pll at 352MHz): 22 25.1, 29.3, 35.2, 44, 58.7, 88 + * 5 GHz (pll at 320MHz): 20 22.9, 26.7, 32, 40, 53.3, 80 + */ + +#if defined(DISABLE_SYNC_DURING_PLL_UPDATE_WAR) +A_UINT32 cpu_clock_setting; +#endif + +//A_COMPILE_TIME_ASSERT(verify_host_interest_small_enough, +// (sizeof(struct host_interest_s) <= HOST_INTEREST_MAX_SIZE)) + +//A_COMPILE_TIME_ASSERT(verify_flash_is_present_addr, +// ((A_UINT32)&HOST_INTEREST->hi_flash_is_present) == FLASH_IS_PRESENT_TARGADDR) + + +LOCAL void +cmnos_delay_us(int us) +{ +// A_UINT32 start_time = A_RTC_REG_READ(LF_TIMER_COUNT0_ADDRESS); +// unsigned int num_LF_ticks = (us+29) / 30 + 1; /* ~30.5us per LF tick */ + //A_UINT32 ref_clk = (clock_info->ticks_per_sec)/1000/1000; + A_UINT32 ref_clk = (clock_info->ticks_per_sec) >> 20; + A_UINT32 start_time = NOW(); + unsigned int num_ticks = us*ref_clk; // system_freq == number of ticks per 1us + + while ( (NOW() - start_time) < num_ticks) { + /* busy spin */; + } +} + +/* + * Return the number of milliseconds since startup. + * For this purpose, a "millisecond" is approximated by + * 1/32 of a 32KHz clock. + */ +LOCAL A_UINT32 +cmnos_milliseconds(void) +{ + //unsigned int lowfreq_timer; + + //lowfreq_timer = A_RTC_REG_READ(LF_TIMER_COUNT0_ADDRESS); + //lowfreq_timer = NOW(); + + /* LF0 timer counts at 32KHz, so adjust to approximate Ms with >> 5. */ + //lowfreq_timer = lowfreq_timer; + + /* + * NB: We do not account for wrap, which occurs every 36 + * hours when the 32768Hz low frequency timer wraps the + * 32 bit counter. + */ + cmnos_tick(); + + return cticks; +} + + +/* Expect 40MHz on AR6001 and 26MHz on AR6002 */ +//LOCAL A_refclk_speed_t cmnos_refclk_speed; + +LOCAL A_UINT32 +cmnos_refclk_speed_get(void) +{ + return clock_info->ticks_per_sec; +} + +/* The UART is clocked at the reference clock frequency. */ +LOCAL A_UINT32 +cmnos_uart_frequency(void) +{ +#if 0 +#if defined(FPGA) + return clock_info->ticks_per_sec; +#else + return clock_info->ticks_per_sec; +#endif +#else + /* TBD */ + /* do we need keep a struct to hold the data ?*/ +#endif +} + + +/* + * Adjust any state that needs adjusting when the clock + * speed changes. + */ +LOCAL void +cmnos_sysclk_change(void) +{ + /* OS may override this function */ +} + + +LOCAL void +cmnos_clockregs_init(void) +{ + /* TBD */ + /* we might don't need this init() */ +} + +/* + * Make whatever system-level changes are needed in order to operate + * in the specified wireless band. + * + * For AR6K, we just need to set the PLL appropriately. + */ +LOCAL void +cmnos_wlan_band_set(int which_band) +{ + /* TBD */ + /* we don't have wlan need to config */ +} + +LOCAL void +cmnos_pll_init(void) +{ + /* TBD */ + /* we don't have pll now, */ +} + +LOCAL void +cmnos_clock_init(A_UINT32 ref_clk) +{ +#if 1 + unsigned int i; + + /* Look up the nearest supported frequency. */ + for (i = 0; + i < CMNOS_CLOCKING_TABLE_NUM_ENTRIES-1; + i++) + { + A_UINT32 ticks_per_sec; + + ticks_per_sec = cmnos_clocking_table[i].ticks_per_sec; + if ((ref_clk > ticks_per_sec - A_REFCLK_DEVIATION) && + (ref_clk < ticks_per_sec + A_REFCLK_DEVIATION)) + { + break; + } + } + + clock_info = (struct cmnos_clock_s *)&cmnos_clocking_table[i]; +// HOST_INTEREST->hi_clock_info = (A_UINT32)clock_info; + +#endif +} + +//////////////////////////////////////////////////////////////////////// +// software emulate ticks on millisecond based +LOCAL void +cmnos_tick(void) +{ +#if 0 + + set_ccompare0(xthal_get_ccompare(XTENSA_TIMER_0)+ONE_MSEC); + + cticks++; + +#else + static A_UINT32 last_tick = 0; + A_UINT32 current_tick = NOW(); + A_UINT32 delta_tick; + + // tick is 32 bit register, will overflow soon + if( current_tick < last_tick ) + { + delta_tick = (A_UINT32 )((0xffffffff-last_tick)+current_tick+1)/(1000); + } + else + { + delta_tick = (A_UINT32 ) (current_tick - last_tick)/(1000); + } + + if( delta_tick > 0 ) + last_tick = current_tick; + + cticks += delta_tick; +#endif +} + +//////////////////////////////////////////////////////////////////////// + +void +cmnos_clock_module_install(struct clock_api *tbl) +{ + tbl->_clock_init = cmnos_clock_init; + tbl->_clockregs_init = cmnos_clockregs_init; + tbl->_delay_us = cmnos_delay_us; + tbl->_wlan_band_set = cmnos_wlan_band_set; + tbl->_refclk_speed_get = cmnos_refclk_speed_get; + tbl->_milliseconds = cmnos_milliseconds; + tbl->_uart_frequency = cmnos_uart_frequency; + tbl->_sysclk_change = cmnos_sysclk_change; + + tbl->_clock_tick = cmnos_tick; +} +#endif /* SYSTEM_MODULE_CLOCK */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/Makefile new file mode 100755 index 0000000..f403548 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = eeprom + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/Makefile.ss new file mode 100755 index 0000000..9fe6e31 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_eeprom.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/inc/eeprom_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/inc/eeprom_api.h new file mode 100755 index 0000000..deab32a --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/inc/eeprom_api.h @@ -0,0 +1,32 @@ +#ifndef __EEPROM_API_H__ +#define __EEPROM_API_H__ +/* + * + */ + + +typedef enum { + RET_SUCCESS = 0, + RET_NOT_INIT, + RET_NOT_EXIST, + RET_EEP_CORRUPT, + RET_EEP_OVERFLOW, + + // add return code from here + RET_UNKNOWN +}T_EEP_RET; + + +/*!- interface of eeprom access + * + */ +struct eep_api { + void (* _eep_init)(void); + T_EEP_RET (* _eep_read)(uint16_t, uint16_t, uint16_t *); + T_EEP_RET (* _eep_write)(uint16_t, uint16_t, uint16_t *); + T_EEP_RET (*_eep_is_exist)(void); + +}; + +#endif /* __EEPROM_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/src/cmnos_eeprom.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/src/cmnos_eeprom.c new file mode 100755 index 0000000..8429306 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/eeprom/src/cmnos_eeprom.c @@ -0,0 +1,422 @@ +#include "athos_api.h" + + +#if SYSTEM_MODULE_EEPROM + +// DEBUG DELAY OF RC ACCESS!!!!!! SHOULD BE FIXED! +#define PCIE_RC_ACCESS_DELAY 20 + +#define PCI_RC_RESET_BIT BIT6 +#define PCI_RC_PHY_RESET_BIT BIT7 +#define PCI_RC_PLL_RESET_BIT BIT8 +#define PCI_RC_PHY_SHIFT_RESET_BIT BIT10 + +#define H_EEPROM_CTRL 0x401c + #define B_EEP_CTRL_CLKDIV (BIT2|BIT3|BIT4|BIT5|BIT6|BIT7) + #define B_EEP_CTRL_NOT_PRESENT (BIT8) + #define B_EEP_CTRL_CORRUPT (BIT9) + +#define H_EEPROM_STS_DATA 0x407c + #define B_EEP_STS_STATE_BUSY (BIT16) + #define B_EEP_STS_IS_BUSY (BIT17) + #define B_EEP_STS_PROTECTED (BIT18) + #define B_EEP_STS_DATA_NOT_EXIST (BIT19) + +#define CMD_PCI_RC_RESET_ON() HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)| \ + (PCI_RC_PHY_SHIFT_RESET_BIT|PCI_RC_PLL_RESET_BIT|PCI_RC_PHY_RESET_BIT|PCI_RC_RESET_BIT))) + +#define CMD_PCI_RC_RESET_CLR() HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)& \ + (~(PCI_RC_PHY_SHIFT_RESET_BIT|PCI_RC_PLL_RESET_BIT|PCI_RC_PHY_RESET_BIT|PCI_RC_RESET_BIT)))) + + +//////////////////////////////////////////////////////////////////////////////////////////////// + + +/*! eep write half word + * + * offset: is the offset address you want to do the write operation + * data: is the data to write to eeprom + * + * return: TRUE/FALSE + */ +LOCAL BOOLEAN cmnos_eeprom_write_hword(uint16_t offset, uint16_t data) +{ + /*! - Livy sugguest not use the retry, since it'll be huge retry count + * so that, supposed that if the apb or pcie_rc is working fine, + * we should always could see the NOT_BUSY, otherwise, + * it should have something worng!, put a little delay in there, + * + * - debug string here will be noisy!! + */ + //uint16_t retryCnt = 1000; + +#if defined(PROJECT_MAGPIE) + //gpio configuration, set GPIOs output to value set in output reg + HAL_WORD_REG_WRITE((EEPROM_CTRL_BASE+0x4054), (HAL_WORD_REG_READ((EEPROM_CTRL_BASE+0x4054)) | 0x20000)); + HAL_WORD_REG_WRITE((EEPROM_CTRL_BASE+0x4060), 0); + HAL_WORD_REG_WRITE((EEPROM_CTRL_BASE+0x4064), 0); + + //GPIO3 always drive output + HAL_WORD_REG_WRITE((EEPROM_CTRL_BASE+0x404c), 0xc0); + + //Set 0 on GPIO3 + HAL_WORD_REG_WRITE((EEPROM_CTRL_BASE+0x4048), 0x0); +#endif + + HAL_WORD_REG_WRITE(EEPROM_ADDR_BASE + offset*4, (uint32_t)data); + + //while( retryCnt-- > 0 ) + while(1) + { + if( (HAL_WORD_REG_READ((EEPROM_CTRL_BASE+H_EEPROM_STS_DATA))&(B_EEP_STS_STATE_BUSY | B_EEP_STS_IS_BUSY)) == 0 ) + { + return(TRUE); + } +// A_DELAY_USECS(100); + } + + return FALSE; +} + +/*! eep read half word + * + * offset: is the offset address you want to do the read operation + * + * return: the data we read from eeprom + */ +LOCAL BOOLEAN cmnos_eeprom_read_hword(uint16_t offset, uint16_t *mData) +{ + uint32_t mStsData; + //uint16_t retryCnt = 1000; + + HAL_WORD_REG_READ(EEPROM_ADDR_BASE + offset*4); + + //while( retryCnt-- > 0 ) + while(1) + { + mStsData = HAL_WORD_REG_READ((EEPROM_CTRL_BASE+H_EEPROM_STS_DATA)); + + if( (mStsData&(B_EEP_STS_STATE_BUSY | B_EEP_STS_IS_BUSY)) == 0 ) + { + *mData = (uint16_t)(mStsData & 0xffff); + return TRUE; + } +// A_DELAY_USECS(100); + } + + return FALSE; +} +////////////////////////////////////////////////////////////////////////////////////////////////////// + +LOCAL BOOLEAN eep_state = FALSE; +LOCAL BOOLEAN eep_exist = FALSE; + + +/*!- Initialize eeprom, actually we link up the pcie_rc for accessing the eeprom in client card + * + */ +LOCAL T_EEP_RET +cmnos_eep_is_exist(void) +{ + if( FALSE != eep_state ) + { + if( FALSE == eep_exist ) + { + uint16_t mData = HAL_WORD_REG_READ((EEPROM_CTRL_BASE+H_EEPROM_CTRL)); + + if( mData&B_EEP_CTRL_NOT_PRESENT ) + return RET_NOT_EXIST; + else if ( mData&B_EEP_CTRL_CORRUPT ) + return RET_EEP_CORRUPT; + else { + eep_exist = TRUE; + return RET_SUCCESS; + } + } + else // already done the checking, fast response + return RET_SUCCESS; + } + + return RET_NOT_INIT; +} + +/*!- eeprom write + * + * offset: where to write + * len: number of half-word of the pBuf + * pBuf: data buffer to write + */ +LOCAL T_EEP_RET +cmnos_eep_write(uint16_t offset, uint16_t len, uint16_t *pBuf) +{ + T_EEP_RET retVal; + uint16_t *pData = (uint16_t*)pBuf; + uint16_t i, j; + + uint16_t eep_start_ofst = EEPROM_START_OFFSET; + uint16_t eep_end_ofst = EEPROM_END_OFFSET; + + + if( FALSE != eep_state ) + { + if( (offset < eep_start_ofst) || (offset > eep_end_ofst) || ((offset+len) > eep_end_ofst) ) + { + A_PUTS("-E10-"); + retVal = RET_EEP_OVERFLOW; + } + else + { + for(i=offset, j=0; i eep_end_ofst) || ((offset+len) > eep_end_ofst) ) + { + A_PUTS("-E13-"); + retVal = RET_EEP_OVERFLOW; + } + else + { + for(i=(offset); i0) + { + reg_value = HAL_WORD_REG_READ(0x00040018); + if( reg_value & BIT0 ) + break; + A_DELAY_USECS(PCIE_RC_ACCESS_DELAY); + } + + /* init fail, can't detect PCI_RC LINK UP, give up the init */ + if( i<=0 ) + { + DEBUG_SYSTEM_STATE |= BIT26; + goto ERR_DONE; + } + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x47; + HAL_WORD_REG_WRITE(0x14000004, (HAL_WORD_REG_READ(0x14000004)|0x116)); + A_DELAY_USECS(PCIE_RC_ACCESS_DELAY); + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x48; + HAL_WORD_REG_WRITE(0x14000010, (HAL_WORD_REG_READ(0x14000010)|EEPROM_CTRL_BASE)); + eep_state = TRUE; + } + +#elif defined(PROJECT_K2) + eep_state = TRUE; +#endif /* End of #if defined(PROJECT_MAGPIE) */ + if (TRUE == eep_state) + { + /* Read offset 1 location to determine if this EEPROM is protected somewhere */ + HAL_WORD_REG_READ(EEPROM_ADDR_BASE + 4); + + while(1) + { + mStsData = HAL_WORD_REG_READ((EEPROM_CTRL_BASE+H_EEPROM_STS_DATA)); + + /* If this location is protected or EEPROM does not exist, return immediately */ + if ( mStsData & (B_EEP_STS_PROTECTED | B_EEP_STS_DATA_NOT_EXIST) ) + { + eep_state = FALSE; + break; + } + + if ( ( mStsData & (B_EEP_STS_STATE_BUSY | B_EEP_STS_IS_BUSY) ) == 0 ) + { + if (mStsData & 0xffff) + cmnos_eeprom_write_hword( (uint16_t)1, (uint16_t)0 ); + + break; + } + + A_DELAY_USECS(100); + } + } +ERR_DONE: + +} + + +void +cmnos_eep_module_install(struct eep_api *tbl) +{ + tbl->_eep_init = cmnos_eep_init; + tbl->_eep_read = cmnos_eep_read; + tbl->_eep_write = cmnos_eep_write; + tbl->_eep_is_exist = cmnos_eep_is_exist; +} + +#endif /* SYSTEM_MODULE_EEPROM */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/inc/cmnos_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/inc/cmnos_api.h new file mode 100755 index 0000000..ff75603 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/inc/cmnos_api.h @@ -0,0 +1,638 @@ +#ifndef __CMNOS_API_H__ +#define __CMNOS_API_H__ + +/* + * This file contains wrappers to OS operating system functions + * that are available in all versions of the operating system. + * + * Target software must always use these wrappers to access OS + * services -- it may not access any OS services directly. + */ + +#include "sys_cfg.h" + +#include "xtensa/config/core.h" +#include "xtensa/hal.h" +#include "xtensa/xtruntime.h" + +/* cmnos interface */ +#include "printf_api.h" +#include "uart_api.h" +#include "dbg_api.h" +#include "mem_api.h" +#include "misc_api.h" +#include "string_api.h" +#include "timer_api.h" +#include "romp_api.h" +#include "allocram_api.h" +#include "tasklet_api.h" +#include "clock_api.h" +#include "intr_api.h" +#include "wdt_api.h" +#include "eeprom_api.h" +#include "usb_api.h" +#include +#include + +#if defined(PROJECT_K2) +#if SYSTEM_MODULE_SFLASH +#include "cmnos/sflash_api.h" +#endif +#endif + +#define AR6K_ROM_START 0x004e0000 +#define AR6K_ROM_ADDR(byte_offset) (AR6K_ROM_START+(byte_offset)) +#define TARG_ROM_ADDRS(byte_offset) AR6K_ROM_ADDR(byte_offset) + +#define IML_SIGNAL_UNUSED0_ADDR TARG_ROM_ADDRS(0) /* Cannot be used -- aligned */ +#define IML_SIGNAL_ASSERT_ADDR TARG_ROM_ADDRS(1) /* Signal an assertion failure */ +#define IML_SIGNAL_PRINTF_ADDR TARG_ROM_ADDRS(2) /* Signal a printf request */ +#define IML_SIGNAL_UNUSED4_ADDR TARG_ROM_ADDRS(4) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSED8_ADDR TARG_ROM_ADDRS(8) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSEDC_ADDR TARG_ROM_ADDRS(0xc) /* Cannot be used -- aligned */ +#define IML_SIGNAL_MASK 0xfffe000f +#define IML_LINENUM_SHIFT 4 + +#define NOW() xthal_get_ccount() + +#if defined(__XTENSA__) +#define _A_BARRIER asm volatile("memw") +#else +#define _A_BARRIER +#endif + +#define A_ASSERT( __bool ) \ + do { \ + if (0 == (__bool)) { \ + (void)*((volatile int *)(IML_SIGNAL_ASSERT_ADDR+(__LINE__<<4)));\ + _A_BARRIER; \ + } \ + } while (0) + + +#define A_IML_IS_ASSERT(vaddr) \ + (((vaddr) & IML_SIGNAL_MASK) == (IML_SIGNAL_ASSERT_ADDR & IML_SIGNAL_MASK)) + + +#define PRINT_FAILURE_STATE() \ +do { \ + uint32_t epc1, epc2, epc3, epc4; \ + \ + asm volatile("rsr %0,%1" : "=r" (epc1) : "n" (EPC_1)); \ + asm volatile("rsr %0,%1" : "=r" (epc2) : "n" (EPC_2)); \ + asm volatile("rsr %0,%1" : "=r" (epc3) : "n" (EPC_3)); \ + asm volatile("rsr %0,%1" : "=r" (epc4) : "n" (EPC_4)); \ + \ + A_PRINTF("\tepc1=0x%x, epc2=0x%x, epc3=0x%x, epc4=0x%x\n", \ + epc1, epc2, epc3, epc4); \ + A_PRINTF("0x%08x, 0x%08x, 0x%08x, \n\r", \ + DEBUG_SYSTEM_STATE, WATCH_DOG_RESET_COUNTER, \ + WATCH_DOG_MAGIC_PATTERN); \ +} while(0) +//////////////////////////////////////////////////////////////////////////////////// + + +//#define A_CMN(sym) _A_OS_INDIRECTION_TABLE->cmnos.sym +#define A_CMN(sym) _A_MAGPIE_INDIRECTION_TABLE->cmnos.sym + +#if SYSTEM_MODULE_MEM +/* Mem interfaces */ +#define A_MEMSET(addr, value, size) \ + A_CMN(mem._memset((char *)(addr), (int)(value), (int)(size))) + +#define A_MEMZERO(addr, size) \ + A_CMN(mem._memset((char *)(addr), (int)0, (int)(size))) + +#define A_MEMCPY(dst, src, size) \ + A_CMN(mem._memcpy((char *)(dst), (char *)(src), (int)(size))) + +#define A_MEMMOVE(dst, src, size) \ + A_CMN(mem._memmove((char *)(dst), (char *)(src), (int)(size))) + +#define A_MEMCMP(p1, p2, nbytes) \ + A_CMN(mem._memcmp)((void *)(p1), (void *)(p2), (int)(nbytes)) +#else +/* Mem interfaces */ +#define A_MEMSET(addr, value, size) + +#define A_MEMZERO(addr, size) + +#define A_MEMCPY(dst, src, size) + +#define A_MEMMOVE(dst, src, size) + +#define A_MEMCMP(p1, p2, nbytes) +#endif + + +#if 1 + /* String interfaces */ + #define A_STRCPY(dst, src) A_CMN(string._strcpy((dst), (src))) + #define A_STRNCPY(dst, src, n) A_CMN(string._strncpy((dst), (src), (n))) + #define A_STRLEN(str) A_CMN(string._strlen(str)) + #define A_STRCMP(str1, str2) A_CMN(string._strcmp((str1), (str2))) + #define A_STRNCMP(str1, str2, n) A_CMN(string._strncmp((str1), (str2), (n))) +#endif + +#if SYSTEM_MODULE_PRINT +/* Printf support */ +#define A_PRINTF_INIT() A_CMN(printf._printf_init()) +#define A_PRINTF A_CMN(printf._printf) +#else +#define A_PRINTF_INIT() +#define A_PRINTF +#endif /* SYSTEM_MODULE_PRINT */ + +#if SYSTEM_MODULE_UART +/* Serial port support */ +#define A_UART_INIT() A_CMN(uart._uart_init()) + +#define A_UART_HWINIT(freq, baud) \ + A_CMN(uart._uart_hwinit((freq), (baud))) + +#define A_UART_ENABLED() (HOST_INTEREST->hi_uart_enable) + +#define A_PUTS(str) A_CMN(uart._uart_str_out(str)) + +#define A_PUTC(ch) A_CMN(uart._uart_char_put(ch)) +#define A_GETC(pCh) A_CMN(uart._uart_char_get(pCh)) + +#define A_UART_TASK() A_CMN(uart._uart_task()) +#define A_UART_CONFIG(x) A_CMN(uart._uart_config(x)) + +#else + +#define A_UART_INIT() + +#define A_UART_HWINIT(freq, baud) + +#define A_UART_ENABLED() + +#define A_PUTS(str) + +#define A_PUTC(ch) +#define A_GETC(pCh) + +#define A_UART_TASK() +#define A_UART_CONFIG(x) + +#endif + +#if SYSTEM_MODULE_MISC +/* Reset Support */ +#define A_RESET() A_CMN(misc._system_reset()) +#define A_RESET_MAC() A_CMN(misc._mac_reset()) + +/* Assertion failure */ +#define A_ASSFAIL(regdump) A_CMN(misc._assfail((regdump))) + +/* Report a failure to the Host */ +#define A_REPORT_FAILURE(data, len) \ + A_CMN(misc._report_failure_to_host((data), (len))) + +/* UNALIGNED references are used for ASSERTs */ +#define A_MISALIGNED_LOAD_HANDLER(dump) A_CMN(misc._misaligned_load_handler(dump)) + +/* reture the host interface type */ +#define A_IS_HOST_PRESENT() A_CMN(misc._is_host_present()) +#define A_KBHIT(delay) A_CMN(misc._kbhit(delay)) +#define A_GET_ROM_VER() A_CMN(misc._rom_version_get()) +#else +/* Reset Support */ +#define A_RESET() +#define A_RESET_MAC() + +/* Assertion failure */ +#define A_ASSFAIL(regdump) + +#define A_MISALIGNED_LOAD_HANDLER(dump) + +/* Report a failure to the Host */ +#define A_REPORT_FAILURE(data, len) + +#define A_IS_HOST_PRESENT() +#define A_KBHIT(delay) +#define A_GET_ROM_VER() +#endif + +//#if SYSTEM_MODULE_DBG +/* debug Support */ +//#define A_DBG_INIT() A_CMN(dbg._dbg_init()) +//#define A_DBG_TASK() A_CMN(dbg._dbg_task()) +//#else +//#define A_DBG_INIT() +//#define A_DBG_TASK() +//#endif + +#if SYSTEM_MODULE_USB +/* debug Support */ +#define A_USB_INIT() A_CMN(usb._usb_init()) +#define A_USB_ROM_TASK() A_CMN(usb._usb_rom_task()) +#define A_USB_FW_TASK() A_CMN(usb._usb_fw_task()) +#define A_USB_INIT_PHY() A_CMN(usb._usb_init_phy()) + +#define A_USB_EP0_SETUP() A_CMN(usb._usb_ep0_setup()) +#define A_USB_EP0_TX_DATA() A_CMN(usb._usb_ep0_tx_data()) +#define A_USB_EP0_RX_DATA() A_CMN(usb._usb_ep0_rx_data()) + +#define A_USB_GET_CONFIG() A_CMN(usb._usb_get_configuration()) +#define A_USB_SET_CONFIG() A_CMN(usb._usb_set_configuration()) + +#define A_USB_GET_INTERFACE() A_CMN(usb._usb_get_interface()) +#define A_USB_SET_INTERFACE() A_CMN(usb._usb_set_interface()) + +#define A_USB_STANDARD_CMD() A_CMN(usb._usb_standard_cmd()) +#define A_USB_VENDOR_CMD() A_CMN(usb._usb_vendor_cmd()) + +#define A_USB_POWER_OFF() A_CMN(usb._usb_power_off()) +#define A_USB_RESET_FIFO() A_CMN(usb._usb_reset_fifo()) +#define A_USB_GEN_WDT() A_CMN(usb._usb_gen_wdt()) +#define A_USB_JUMP_BOOT() A_CMN(usb._usb_jump_boot()) + +#define A_USB_GET_DESCRIPTOR() A_CMN(usb._usb_get_descriptor()) +#define A_USB_SET_ADDRESS() A_CMN(usb._usb_set_address()) +#define A_USB_SET_FEATURE() A_CMN(usb._usb_set_feature()) +#define A_USB_CLEAR_FEATURE() A_CMN(usb._usb_clr_feature()) + +#define A_USB_GET_STATUS() A_CMN(usb._usb_get_status()) +#define A_USB_SETUP_DESC() A_CMN(usb._usb_setup_desc()) +#define A_USB_STATUS_IN() A_CMN(usb._usb_status_in()) +#define A_USB_REG_OUT() A_CMN(usb._usb_reg_out()) + +#define A_USB_EP0_TX() A_CMN(usb._usb_ep0_tx()) +#define A_USB_EP0_RX() A_CMN(usb._usb_ep0_rx()) +#define A_USB_CLK_INIT() A_CMN(usb._usb_clk_init()) + +#else +#define A_USB_INIT() +#define A_USB_TASK() +#define A_USB_INIT_PHY() + +#define A_USB_EP0_SETUP() +#define A_USB_EP0_TX() +#define A_USB_EP0_RX() + +#define A_USB_GET_CONFIG() +#define A_USB_SET_CONFIG() + +#define A_USB_GET_INTERFACE() +#define A_USB_SET_INTERFACE() + +#define A_USB_STANDARD_CMD() +#define A_USB_VENDOR_CMD() + +#define A_USB_POWER_OFF() +#define A_USB_RESET_FIFO() +#define A_USB_GEN_WDT() +#define A_USB_JUMP_BOOT() + +#define A_USB_GET_DESCRIPTOR() +#define A_USB_SET_ADDRESS() +#define A_USB_SET_FEATURE() +#define A_USB_CLEAR_FEATURE() + +#define A_USB_GET_STATUS() +#define A_USB_SETUP_DESC() + + +#define A_USB_STATUS_IN() +#define A_USB_REG_OUT() + +#define A_USB_EP0_TX() +#define A_USB_EP0_RX() + +#define A_USB_CLK_INIT() +#endif + +#if SYSTEM_MODULE_INTR +/* Low-level interrupt support intended for use by OS modules */ +#define A_INTR_GET_INTRENABLE() A_CMN(intr._get_intrenable()) +#define A_INTR_SET_INTRENABLE(val) A_CMN(intr._set_intrenable(val)) +#define A_INTR_GET_INTRPENDING() A_CMN(intr._get_intrpending()) +#define A_INTR_UNBLOCK_ALL_INTRLVL() A_CMN(intr._unblock_all_intrlvl()) + +/* Interrupt support */ +#define A_INTR_INIT() A_CMN(intr._intr_init()) + +#define A_INTR_DISABLE(pOld) \ + do { \ + *(pOld) = A_CMN(intr._intr_disable()); \ + } while (0) + +#define A_INTR_RESTORE(old) A_CMN(intr._intr_restore((old))) + +#define A_INVOKE_ISR(inum) A_CMN(intr._intr_invoke_isr(inum)) + +#define A_INTR_MASK(inum) A_CMN(intr._intr_mask_inum(inum)) +#define A_INTR_UNMASK(inum) A_CMN(intr._intr_unmask_inum(inum)) + +#define A_ATTACH_ISR(inum, isr, arg) A_CMN(intr._intr_attach_isr(inum, isr, arg)) +#else +#define A_INTR_INIT() +#define A_INTR_DISABLE(pOld) +#define A_INTR_RESTORE(old) + +#define A_INTR_GET_INTRENABLE() +#define A_INTR_SET_INTRENABLE(val) +#define A_INTR_GET_INTRPENDING() +#define A_INTR_UNBLOCK_ALL_INTRLVL() +#define A_INVOKE_ISR(inum) +#define A_INTR_MASK(inum) +#define A_INTR_UNMASK(inum) +#define A_ATTACH_ISR(inum, isr, arg) + +#endif + +/* Tasklet Support */ +#define A_TASKLET_INIT() A_CMN(tasklet._tasklet_init()) +#define A_TASKLET_INIT_TASK(f, arg, t) A_CMN(tasklet._tasklet_init_task(f, arg, t)) +#define A_TASKLET_DISABLE(t) A_CMN(tasklet._tasklet_disable(t)) +#define A_TASKLET_SCHEDULE(t) A_CMN(tasklet._tasklet_schedule(t)) +#define A_TASKLET_RUN() A_CMN(tasklet._tasklet_run()) + + +/* RAM Allocation Support */ +#if defined(__mips__) +#define alloc_arena_start _end +#endif +#if defined(__XTENSA__) +#define alloc_arena_start _end +#endif + +#if SYSTEM_MODULE_CLOCK + +#define A_CLOCK_INIT(refclk_guess) A_CMN(clock._clock_init(refclk_guess)) +#define A_CLOCK_TICK() A_CMN(clock._clock_tick()) +#define A_CLOCK_GET_TICK() A_CMN(clock._clock_get_tick()) + +/* + * Get the number of millisecond ticks since the system was started. + * Note that this only approximates 1Ms. It's actually 32 ticks of + * a 32KHz clock. + * + * Returns a A_UINT32 value. + */ +#define A_MILLISECONDS() A_CMN(clock._milliseconds()) + +/* + * Get the frequency of the reference clock, expressed as + * an A_refclk_speed_t. + */ +#define A_REFCLK_SPEED_GET() A_CMN(clock._refclk_speed_get()) + +/* Spin delay */ +#define A_DELAY_USECS(us) A_CMN(clock._delay_us(us)) + +#define A_UART_FREQUENCY() A_CMN(clock._uart_frequency()) + +#define A_CLOCKREGS_INIT() A_CMN(clock._clockregs_init()) + +/* which_band is either A_BAND_24GHZ or A_BAND_5GHZ */ +#define A_WLAN_BAND_SET(which_band) \ + A_CMN(clock._wlan_band_set(which_band)) + +/* Called whenever the system clock changes speed */ +#define A_SYSCLK_CHANGE() A_CMN(clock._sysclk_change()) + +#else + +#define A_CLOCK_INIT(refclk_guess) +#define A_CLOCK_TICK() +#define A_CLOCK_GET_TICK() +#define A_MILLISECONDS() +#define A_REFCLK_SPEED_GET() +#define A_DELAY_USECS(us) +#define A_UART_FREQUENCY() +#define A_CLOCKREGS_INIT() +#define A_WLAN_BAND_SET(which_band) +#define A_SYSCLK_CHANGE() + +#endif + +// Timer +#define A_INIT_TIMER(pTimer, pFunction, pArg) \ + A_CMN(timer._timer_setfn((pTimer), (pFunction), (pArg))) + +/* Set a (possibly periodic) timer for "period" Milliseconds. */ +#define A_TIMEOUT_MS(pTimer, period) \ + A_CMN(timer._timer_arm((pTimer), (period))) + +#define A_UNTIMEOUT(pTimer) \ + A_CMN(timer._timer_disarm(pTimer)) + +#define A_TIMER_RUN() \ + A_CMN(timer._timer_run()) + +#define A_PCI_BOOT_INIT() \ + A_CMN(pci.pci_boot_init()) + +#define A_GMAC_BOOT_INIT() \ + A_CMN(gmac.gmac_boot_init()) + +#if SYSTEM_MODULE_ALLOCRAM +/* Default size of ALLOCRAM area */ +#define ARENA_SZ_DEFAULT 12000 + +#define A_ALLOCRAM_INIT(arena_start, arena_size) \ +do { \ + extern unsigned int alloc_arena_start; \ + void *astart; \ + int asize; \ + astart = (arena_start) ? (void *)(arena_start) : &alloc_arena_start; \ + asize = (arena_size) ? (arena_size) : (ARENA_SZ_DEFAULT); \ + A_CMN(allocram.cmnos_allocram_init((astart), (asize))); \ +} while (0) + +#define A_ALLOCRAM(nbytes) A_CMN(allocram.cmnos_allocram(0, (nbytes))) + +#define A_ALLOCRAM_DEBUG() A_CMN(allocram.cmnos_allocram_debug()) + +#else +#define A_ALLOCRAM_INIT(arena_start, arena_size) +#define A_ALLOCRAM(nbytes) +#define A_ALLOCRAM_DEBUG() +#endif + +#if SYSTEM_MODULE_ROM_PATCH + +#define A_ROMP_INIT() A_CMN(romp._romp_init()) +#define A_ROMP_DOWNLOAD(x) A_CMN(romp._romp_download(x)) +#define A_ROMP_DECODE(addr) A_CMN(romp._romp_decode(addr)) +#define A_ROMP_INSTALL() A_CMN(romp._romp_install()) +#else +#define A_ROMP_INIT() +#define A_ROMP_DOWNLOAD(x) +#define A_ROMP_DECODE(addr) +#define A_ROMP_INSTALL() +#endif + +#if SYSTEM_MODULE_WDT + +#define A_WDT_INIT() A_CMN(wdt_timer._wdt_init()) +#define A_WDT_ENABLE() A_CMN(wdt_timer._wdt_enable()) +#define A_WDT_DISABLE() A_CMN(wdt_timer._wdt_disable()) +#define A_WDT_SET(t) A_CMN(wdt_timer._wdt_set(t)) +#define A_WDT_TASK() A_CMN(wdt_timer._wdt_task()) +#define A_WDT_LASTBOOT() A_CMN(wdt_timer._wdt_last_boot()) +#define A_WDT_RESET() A_CMN(wdt_timer._wdt_reset()) + +#else +#define A_WDT_INIT() +#define A_WDT_ENABLE() +#define A_WDT_DISABLE() +#define A_WDT_SET(t) +#define A_WDT_TASK() +#define A_WDT_LASTBOOT() +#define A_WDT_RESET() +#endif + + +#if SYSTEM_MODULE_EEPROM +#define A_EEP_INIT() A_CMN(eep._eep_init()) +#define A_EEP_READ(off, len, buf) A_CMN(eep._eep_read(off, len, buf)) +#define A_EEP_WRITE(off, len, buf) A_CMN(eep._eep_write(off, len, buf)) +#define A_EEP_IS_EXIST() A_CMN(eep._eep_is_exist()) +#else +#define A_EEP_INIT() +#define A_EEP_READ(off, len, buf) +#define A_EEP_WRITE(off, len, buf) +#define A_EEP_IS_EXIST() +#endif + + + +struct _A_os_linkage_check; /* OS-dependent */ + +typedef struct _A_cmnos_indirection_table { + int (* hal_linkage_check)(int sz, struct _A_os_linkage_check *); + unsigned int *start_bss; + void (* app_start)(void); + +#if SYSTEM_MODULE_MEM + struct mem_api mem; +#endif + +#if SYSTEM_MODULE_MISC + struct misc_api misc; +#endif + +#if SYSTEM_MODULE_PRINT + struct printf_api printf; +#endif + +#if SYSTEM_MODULE_UART + struct uart_api uart; +#endif + +//#if SYSTEM_MODULE_DBG +// struct dbg_api dbg; +//#endif + +#if SYSTEM_MODULE_PCI + struct pci_api pci; +#endif + +#if SYSTEM_MODULE_GMAC + struct gmac_api gmac; +#endif + +#if SYSTEM_MODULE_USB + struct usb_api usb; +#endif + +#if SYSTEM_MODULE_CLOCK + struct clock_api clock; +#endif + +#if SYSTEM_MODULE_TIMER + struct timer_api timer; +#endif + +#if SYSTEM_MODULE_INTR + struct intr_api intr; +#endif + +#if SYSTEM_MODULE_ALLOCRAM + struct allocram_api allocram; +#endif + +#if SYSTEM_MODULE_ROM_PATCH + struct romp_api romp; +#endif + +#if SYSTEM_MODULE_WDT + struct wdt_api wdt_timer; +#endif + +#if SYSTEM_MODULE_EEPROM + struct eep_api eep; +#endif + + struct string_api string; + struct tasklet_api tasklet; + +} _A_cmnos_indirection_table_t; + +/* Module installation for cmnos modules */ + +#if SYSTEM_MODULE_MEM +extern void cmnos_mem_module_install(struct mem_api *); +#endif + +#if SYSTEM_MODULE_MISC +extern void cmnos_misc_module_install(struct misc_api *); +#endif + +#if SYSTEM_MODULE_PRINT +extern void cmnos_printf_module_install(struct printf_api *); +#endif + +#if SYSTEM_MODULE_UART +extern void cmnos_uart_module_install(struct uart_api *); +#endif + +//#if SYSTEM_MODULE_DBG +//extern void cmnos_dbg_module_install(struct dbg_api *); +//#endif + +#if SYSTEM_MODULE_USB +extern void cmnos_usb_module_install(struct usb_api *); +#endif + +#if SYSTEM_MODULE_INTR +extern void cmnos_intr_module_install(struct intr_api *); +#endif + +#if SYSTEM_MODULE_CLOCK +extern void cmnos_clock_module_install(struct clock_api *); +#endif + +#if SYSTEM_MODULE_TIMER +extern void cmnos_timer_module_install(struct timer_api *); +#endif + +#if SYSTEM_MODULE_ALLOCRAM +extern void cmnos_allocram_module_install(struct allocram_api *); +#endif + +#if SYSTEM_MODULE_ROM_PATCH +extern void cmnos_romp_module_install(struct romp_api *); +#endif + +#if SYSTEM_MODULE_WDT +extern void cmnos_wdt_module_install(struct wdt_api *); +#endif + +#if SYSTEM_MODULE_EEPROM +extern void cmnos_eep_module_install(struct eep_api *); +#endif + +#if SYSTEM_MODULE_PCI +extern void cmnos_pci_module_install(struct pci_api *); +#endif + +extern void cmnos_tasklet_module_install(struct tasklet_api *); + +extern void cmnos_string_module_install(struct string_api *tbl); + +#endif /* __CMNOS_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/Makefile new file mode 100755 index 0000000..98d2b64 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = intr + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/Makefile.ss new file mode 100755 index 0000000..d173391 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_intr.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/inc/intr_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/inc/intr_api.h new file mode 100755 index 0000000..3755241 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/intr/inc/intr_api.h @@ -0,0 +1,150 @@ +#ifndef __INTR_API_H__ +#define __INTR_API_H__ + +/* + * Interrupt handler, for application-managed interrupts. + * When an interrupt occurs, it is automatically disabled. + * See A_WMAC_INTR_ATTACH() and A_MBOX_INTR_ATTACH(). + * + * If a handler returns A_HANDLER_DONE, the interrupt is + * re-enabled. The OS calls the handler next time service + * is required. This is the normal case for a handler. + * + * If a handler returns A_HANDLER_YIELD, the interrupt + * remains masked. The handler is called again when + * it is "convenient". This gives the OS an opportunity + * to run other code/handlers. A handler should return + * A_HANDLER_YIELD if it might dominate the CPU for too + * long. + * + * If a handler returns A_HANDLER_NOENABLE, the interrupt + * remains disabled. It is up to the application to re-enable + * the interrupt (via A_*_INTR_UNMASK) when it's appropriate. + * + * Note that many combinations of interrupt functions and + * interrupt vectors are NOT supported: Callers should use + * only the macros defined in cmnos_api.h to access the + * interrupt API. + */ +#include "cmnos_api.h" + +typedef uint32_t A_old_intr_t; + +////////////////////////////////////////////////////////////////// +// this is copied from mercury/cmnos_xtensa.h +/* + * These are CMNOS interrupt manifest constants. + * They have specially-chosen values that align with hardware and or + * operating system values (see cmnos_interrupt_info). + */ +#if defined(__XTENSA__) +/* + * Enumeration of low and medium priority interrupt numbers + * which match the CPU hardware configuration: + */ + +/* XTensa Level 1 interrupt */ +#define A_INUM_SOFTWARE 0 /* currently unused */ + +/* XTensa Level2 interrupts */ +#define A_INUM_XTTIMER 1 /* currently unused */ + +#define A_INUM_TBD_0 2 /* TBD */ +#define A_INUM_CPU_WDT 3 /* RST_CPU watchodg interrupt */ +#define A_INUM_GMAC_DMA 4 /* GMAC DMA interrupt */ +#define A_INUM_GMAC_MDIO 5 /* GMAC MDIO interrupt */ +#define A_INUM_HOST_DMA 6 /* HOST DMA */ +#define A_INUM_CPU_GEN_TIMER 7 /* CPU general timer */ +#define A_INUM_TBD_8 8 /* TBD */ +#define A_INUM_TBD_9 9 /* TBD */ +#define A_INUM_USB_CTRL 10 /* USB core control */ +#define A_INUM_USB_DMA 11 /* USB DMA */ +#define A_INUM_TBD_12 12 /* TBD */ +#define A_INUM_TBD_13 13 /* TBD */ +#define A_INUM_EMUX_CPU 14 /* EMUX CPU */ +#define A_INUM_GPIO_CPU 15 /* GPIO CPU interrupt */ +#define A_INUM_TBD_16 16 /* TBD */ +#define A_INUM_PCIE_CPU 17 /* CPU PCIE interrupt */ +#define A_INUM_RST_CPU_NMI 18 /* RST CPU nmi interrupt */ + +/* Number of interrupts that map directly into CPU/hal interrupt bits. */ +#define NUM_DIRECT_INTR 19 + +#endif +////////////////////////////////////////////////////////////////// + +#define CMNOS_IMASK_XTTIMER (1<_intr_init = cmnos_intr_init; + tbl->_intr_invoke_isr = cmnos_intr_invoke_isr; + tbl->_intr_attach_isr = cmnos_intr_attach_isr; + tbl->_intr_mask_inum = cmnos_intr_mask_inum; + tbl->_intr_unmask_inum = cmnos_intr_unmask_inum; + + /* + * Note: These are all supplied elsewhere with platform-specific functions: + * tbl->_get_intrenable + * tbl->_set_intrenable + * tbl->_get_intrpending + * tbl->_unblock_all_intrlvl + * tbl->_intr_disable + * tbl->_intr_restore + */ +} +#endif /* SYSTEM_MODULE_INTR */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/Makefile new file mode 100755 index 0000000..b3f185b --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = mem + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/Makefile.ss new file mode 100755 index 0000000..3d01df5 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_mem.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/inc/mem_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/inc/mem_api.h new file mode 100755 index 0000000..e3197dc --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/inc/mem_api.h @@ -0,0 +1,10 @@ +#ifndef __MEM_API_H__ +#define __MEM_API_H__ +struct mem_api { + void (* _mem_init)(void); + void *(* _memset)(void *, int, unsigned int); + void *(* _memcpy)(void *, const void *, unsigned int); + void *(* _memmove)(void *, const void *, unsigned int); + int (* _memcmp)(const void *, const void *, unsigned int); +}; +#endif /* __MEM_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/src/cmnos_mem.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/src/cmnos_mem.c new file mode 100755 index 0000000..c9d01fc --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/mem/src/cmnos_mem.c @@ -0,0 +1,30 @@ + +#include "sys_cfg.h" + +#if SYSTEM_MODULE_MEM + +#include "athos_api.h" + +LOCAL void +cmnos_mem_init(void) +{ +} + +/* Memory operations are provided by toolchain libraries. */ + +extern void *memset(void *dest, int val, unsigned int nbyte); +extern void *memcpy(void *dest, const void *src, unsigned int nbyte); +extern void *memmove(void *dest, const void *src, unsigned int nbyte); +extern int memcmp(const void *string1, const void *string2, unsigned int nbyte); + +void +cmnos_mem_module_install(struct mem_api *tbl) +{ + tbl->_mem_init = cmnos_mem_init; + tbl->_memset = memset; + tbl->_memcpy = memcpy; + tbl->_memmove = memmove; + tbl->_memcmp = memcmp; +} +#endif + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/Makefile new file mode 100755 index 0000000..6f27a83 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/Makefile @@ -0,0 +1,62 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = misc + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# + +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/Makefile.ss new file mode 100755 index 0000000..b790dff --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_misc.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/inc/misc_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/inc/misc_api.h new file mode 100755 index 0000000..3b5da6d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/inc/misc_api.h @@ -0,0 +1,34 @@ +#ifndef __MISC_API_H__ +#define __MISC_API_H__ + +enum hostif_s{ + HIF_USB = 0, + HIF_PCIE, + HIF_GMAC, + HIF_PCI, + + // HIF should be added above here + HIF_NUM, + HIF_NONE + +}; + +typedef enum hostif_s A_HOSTIF; + +struct register_dump_s; + +struct misc_api { + void (* _system_reset)(void); + void (* _mac_reset)(void); + void (* _assfail)(struct register_dump_s *); + void (* _misaligned_load_handler)(struct register_dump_s *); + + void (* _report_failure_to_host)(struct register_dump_s *, int); + int (* _target_id_get)(void); + A_HOSTIF (* _is_host_present)(void); + + uint8_t (*_kbhit)(uint8_t); + + uint16_t (* _rom_version_get)(void); +}; +#endif /* __MISC_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/src/cmnos_misc.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/src/cmnos_misc.c new file mode 100755 index 0000000..d5c0580 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/misc/src/cmnos_misc.c @@ -0,0 +1,248 @@ + +#include "sys_cfg.h" + +#if SYSTEM_MODULE_MISC + +#include "athos_api.h" +#include "regdump.h" + +#if SYSTEM_MODULE_USB +extern uint16_t UsbDeviceDescriptor[]; +#endif + +/* This number gets bumped on each official build. */ +// uint32_t cmnos_target_software_id = AR6K_SW_VERSION; + +/*! + * system reset + */ +LOCAL void +cmnos_system_reset(void) +{ + /* TBD: to be finished */ + /*! + * sytem reset backdoor + */ + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, (0x1<<24)); +} + +#if 0 +/*! + * wdt reset + */ +#LOCAL void cmnos_wdt_reset(void) +{ + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, (0x1<<24)); +} +#endif + +/*! + * mac reset + */ +LOCAL void +cmnos_mac_reset(void) +{ + /* TBD: to be finished */ + /*! + * mac reset backdoor + */ +} + +volatile int assloop = 1; +int assprint = 1; + +//A_COMPILE_TIME_ASSERT(verify_RD_SIZE, (RD_SIZE == sizeof(CPU_exception_frame_t))) + +LOCAL void +cmnos_misaligned_load_handler(struct register_dump_s *dump) +{ + /* TBD: to be finished */ + if (A_IML_IS_ASSERT(dump->badvaddr)) { + /* + * Probably an Intentional Misaligned Load, used to + * signal an assertion failure + */ + dump->assline = A_IML_ASSLINE(dump->badvaddr); + A_ASSFAIL(dump); + } else { + /* A genuine misaligned load */ + A_PRINTF("Misaligned load: pc=0x%x badvaddr=0x%x dump area=0x%x\n", + dump->pc, dump->badvaddr, dump); + dump->assline = 0; + A_ASSFAIL(dump); /* Not really an assertion failure, but we'll treat it similarly. */ + } + + // trigger wdt, in case hang + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR, 0x03); + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_ADDR, 0x10); + + while(1); +} + +struct register_dump_s *current_dump = NULL; + +/*! + * A convenient place to set a breakpoint. + * Whenever an A_ASSERT triggers, it comes here. + */ +LOCAL void +cmnos_assfail(struct register_dump_s *dump) +{ + if (current_dump == NULL ) { + A_UINT32 target_id; + + current_dump = dump; + //A_TARGET_ID_GET(&target_id); + dump->target_id = target_id; + + if (assprint) { + unsigned int i; + + A_PRINTF("assertion failed? pc=0x%x, line=%d, dump area=0x%x\n", + dump->pc, dump->assline, dump); +// INF_DBG2_LOG(INF_ASSERTION_FAILED, dump->pc, +// A_IML_ASSLINE(dump->badvaddr)); +// INF_DBG1_LOG(INF_ASSERTION_FAILED, (A_UINT32)dump); + + A_PRINTF("Target ID: 0x%x (%d)\n", target_id, target_id); +// INF_DBG1_LOG(INF_TARGET_ID, target_id); + + A_PRINTF("Debug Info:"); + for (i=0; i<(sizeof(struct register_dump_s)/sizeof(A_UINT32)); i++) { + if ((i%4) == 0) { + A_PRINTF("\n"); + } + A_PRINTF("0x%08x ", ((A_UINT32 *)dump)[i]); +// INF_DBG1_LOG(INF_ASSERTION_FAILED, ((A_UINT32 *)dump)[i]); + } + A_PRINTF("\n"); + } + } else { + /* + * We must have assfail'ed again while processing the first assfail. + * Don't try to print anything -- keep it very simple. + */ + + } +} + +/*! + * failure state report + */ +LOCAL void +cmnos_report_failure_to_host(struct register_dump_s *dump, int len) +{ + /* TBD: to be removed! */ +} + +/*! + * get target id + */ +LOCAL int +cmnos_target_id_get(void) +{ + /* TBD: to be removed! */ +} + +/*! + * get keyboard hit with delay + */ +LOCAL uint8_t +cmnos_get_kbhit(uint8_t delay) +{ + uint32_t last_ccount; + uint8_t kbhit; + + last_ccount = xthal_get_ccount(); + while (1) + { + if( A_GETC(&kbhit) != 0 ) + break; + + if((xthal_get_ccount() - last_ccount)>=delay*1000*ONE_MSEC) + { + break; + } + } + + return kbhit; +} + +/*! + * host alive & return the hostif type + */ +LOCAL A_HOSTIF +cmnos_is_host_present(void) +{ + /*! + * TODO: check the hostif and return the type of host interface + */ + A_HOSTIF mHif = HIF_USB; +#if defined(PROJECT_K2) + A_PRINTF("5. usb only!!\n"); + return mHif; +#elif defined(PROJECT_MAGPIE) + uint32_t mData; + + mData = MAGPIE_REG_RST_BOOTSTRAP; + + //@RYAN@TODO - this one is somehow not working on L5, need to turn on!!! +#if 1 + /* 4:3 of BOOTSTRAP could distinguish the host interfce + * + * 2'b11 -> gmac + * 2'b10 -> pci + * 2'b01 -> pcie + * 2'b00 -> usb + * + */ + if( mData & BIT3 ) + { + if ( mData & BIT2 ) + mHif = HIF_GMAC; + else + mHif = HIF_PCI; + } + else + { + if ( mData & BIT2 ) + mHif = HIF_PCIE; + else + mHif = HIF_USB; + } +#endif + //A_PRINTF("5. hif (0x%08x) is read!!\n", mData); + return mHif; +#endif +} + +/*! + * get ROM code version + */ +LOCAL uint16_t +cmnos_rom_version_get(void) +{ +#if SYSTEM_MODULE_USB + /* USB Device Descriptor : byte 12, 13 Device BCD -> Device release number in binary-coded decimal. */ + return UsbDeviceDescriptor[6]; +#else + return 0; +#endif +} + +void +cmnos_misc_module_install(struct misc_api *tbl) +{ + tbl->_system_reset = cmnos_system_reset; + tbl->_mac_reset = cmnos_mac_reset; + tbl->_assfail = cmnos_assfail; + tbl->_misaligned_load_handler= cmnos_misaligned_load_handler; + tbl->_report_failure_to_host = cmnos_report_failure_to_host; + //tbl->_target_id_get = cmnos_target_id_get; + tbl->_is_host_present = cmnos_is_host_present; + tbl->_kbhit = cmnos_get_kbhit; + tbl->_rom_version_get = cmnos_rom_version_get; +} + +#endif + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/Makefile new file mode 100755 index 0000000..bf34f4e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = printf + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/Makefile.ss new file mode 100755 index 0000000..ad186f2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_printf.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/inc/printf_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/inc/printf_api.h new file mode 100755 index 0000000..afcb837 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/inc/printf_api.h @@ -0,0 +1,15 @@ +#ifndef __PRINTF_API_H__ +#define __PRINTF_API_H__ + +struct printf_api { + void (* _printf_init)(void); + int (* _printf)(const char * fmt, ...); +}; + +/* NB: The printf module requires the serial module. */ +void cmnos_printf_module_install(struct printf_api *tbl); +int cmnos_printf(const char *fmt, ...); + + + +#endif /* __PRINTF_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/src/cmnos_printf.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/src/cmnos_printf.c new file mode 100755 index 0000000..0737e02 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/printf/src/cmnos_printf.c @@ -0,0 +1,322 @@ +//####ECOSGPLCOPYRIGHTBEGIN#### +// ------------------------------------------- +// This file is part of eCos, the Embedded Configurable Operating System. +// Copyright (C) 1998, 1999, 2000, 2001, 2002 Red Hat, Inc. +// Copyright (C) 2002 Gary Thomas +// +// eCos is free software; you can redistribute it and/or modify it under +// the terms of the GNU General Public License as published by the Free +// Software Foundation; either version 2 or (at your option) any later version. +// +// eCos is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or +// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +// for more details. +// +// You should have received a copy of the GNU General Public License along +// with eCos; if not, write to the Free Software Foundation, Inc., +// 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA. +// +// As a special exception, if other files instantiate templates or use macros +// or inline functions from this file, or you compile this file and link it +// with other works to produce a work based on this file, this file does not +// by itself cause the resulting work to be covered by the GNU General Public +// License. However the source code for this file must still be made available +// in accordance with section (3) of the GNU General Public License. +// +// This exception does not invalidate any other reasons why a work based on +// this file might be covered by the GNU General Public License. +// +// Alternative licenses for eCos may be arranged by contacting Red Hat, Inc. +// at http://sources.redhat.com/ecos/ecos-license/ +// ------------------------------------------- +//####ECOSGPLCOPYRIGHTEND#### + +#include "dt_defs.h" +#include "sys_cfg.h" + +#if SYSTEM_MODULE_PRINT + +#include "athos_api.h" + +#define is_digit(c) ((c >= '0') && (c <= '9')) + +#if defined(__GNUC__) && defined(__mips__) +#define va_list __builtin_va_list +#define va_arg __builtin_va_arg +#define va_start __builtin_va_start +#define va_end __builtin_va_end +#define va_copy __builtin_va_copy +#endif + +#if defined(__XCC__) +#include "stdarg.h" +#define va_list __gnuc_va_list +#endif + +void +cmnos_write_char(char c) +{ + if (c == '\n') { + A_PUTC('\r'); + A_PUTC('\n'); + } else if (c == '\r') { + } else { + A_PUTC(c); + } +} + +void (*_putc)(char c) = cmnos_write_char; + +static int _cvt(unsigned long val, char *buf, long radix, char *digits) +{ + char temp[80]; + char *cp = temp; + int length = 0; + + if (val == 0) { + /* Special case */ + *cp++ = '0'; + } else { + while (val) { + *cp++ = digits[val % radix]; + val /= radix; + } + } + while (cp != temp) { + *buf++ = *--cp; + length++; + } + *buf = '\0'; + return (length); +} + + +static int cmnos_vprintf(void (*putc)(char c), const char *fmt, va_list ap) +{ + char buf[sizeof(long)*8]; + char c, sign, *cp=buf; + int left_prec, right_prec, zero_fill, pad, pad_on_right, + i, islong, islonglong; + long val = 0; + int res = 0, length = 0; + + while ((c = *fmt++) != '\0') { + if (c == '%') { + c = *fmt++; + left_prec = right_prec = pad_on_right = islong = islonglong = 0; + if (c == '-') { + c = *fmt++; + pad_on_right++; + } + if (c == '0') { + zero_fill = TRUE; + c = *fmt++; + } else { + zero_fill = FALSE; + } + while (is_digit(c)) { + left_prec = (left_prec * 10) + (c - '0'); + c = *fmt++; + } + if (c == '.') { + c = *fmt++; + zero_fill++; + while (is_digit(c)) { + right_prec = (right_prec * 10) + (c - '0'); + c = *fmt++; + } + } else { + right_prec = left_prec; + } + sign = '\0'; + if (c == 'l') { + // 'long' qualifier + c = *fmt++; + islong = 1; + if (c == 'l') { + // long long qualifier + c = *fmt++; + islonglong = 1; + } + } + // Fetch value [numeric descriptors only] + switch (c) { + case 'p': + islong = 1; + case 'd': + case 'D': + case 'x': + case 'X': + case 'u': + case 'U': + case 'b': + case 'B': + if (islonglong) { + val = va_arg(ap, long); + } else if (islong) { + val = (long)va_arg(ap, long); + } else{ + val = (long)va_arg(ap, int); + } + if ((c == 'd') || (c == 'D')) { + if (val < 0) { + sign = '-'; + val = -val; + } + } else { + // Mask to unsigned, sized quantity + if (islong) { + val &= ((long)1 << (sizeof(long) * 8)) - 1; + } else{ + val &= ((long)1 << (sizeof(int) * 8)) - 1; + } + } + break; + default: + break; + } + // Process output + switch (c) { + case 'p': // Pointer + (*putc)('0'); + (*putc)('x'); + zero_fill = TRUE; + left_prec = sizeof(unsigned long)*2; + case 'd': + case 'D': + case 'u': + case 'U': + case 'x': + case 'X': + switch (c) { + case 'd': + case 'D': + case 'u': + case 'U': + length = _cvt(val, buf, 10, "0123456789"); + break; + case 'p': + case 'x': + length = _cvt(val, buf, 16, "0123456789abcdef"); + break; + case 'X': + length = _cvt(val, buf, 16, "0123456789ABCDEF"); + break; + } + cp = buf; + break; + case 's': + case 'S': + cp = va_arg(ap, char *); + if (cp == NULL) { + cp = ""; + } + length = 0; + while (cp[length] != '\0') length++; + break; + case 'c': + case 'C': + c = va_arg(ap, int /*char*/); + (*putc)(c); + res++; + continue; + case 'b': + case 'B': + length = left_prec; + if (left_prec == 0) { + if (islonglong) + length = sizeof(long)*8; + else if (islong) + length = sizeof(long)*8; + else + length = sizeof(int)*8; + } + for (i = 0; i < length-1; i++) { + buf[i] = ((val & ((long)1< 0) { + (*putc)(c); + res++; + } + } + if (sign != '\0') { + (*putc)(sign); + res++; + } + while (length-- > 0) { + c = *cp++; + (*putc)(c); + res++; + } + if (pad_on_right) { + while (pad-- > 0) { + (*putc)(' '); + res++; + } + } + } else { + (*putc)(c); + res++; + } + } + return (res); +} + +int cmnos_printf(const char *fmt, ...) +{ + va_list ap; + int ret; + + va_start(ap, fmt); + + //if (A_SERIAL_ENABLED()) { + if (1) { + ret = cmnos_vprintf(_putc, fmt, ap); + } else { + ret = 0; + } + + va_end(ap); + + return (ret); +} + +void +cmnos_printf_init(void) +{ +} + +void cmnos_printf_module_install(struct printf_api *tbl) +{ + tbl->_printf_init = cmnos_printf_init; + tbl->_printf = cmnos_printf; +} + +#endif /* SYSTEM_MODULE_PRINT */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/Makefile new file mode 100755 index 0000000..ea61668 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = rompatch + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/Makefile.ss new file mode 100755 index 0000000..0b04a1f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_rompatch.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/inc/romp_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/inc/romp_api.h new file mode 100755 index 0000000..ee476f3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/inc/romp_api.h @@ -0,0 +1,48 @@ +/*************************************************************************/ +/* Copyright (c) 2008 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : romp_api.h */ +/* */ +/* Abstract */ +/* This file contains definition of data structure and interface */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#ifndef _ROMP_API_H_ +#define _ROMP_API_H_ + +#include "dt_defs.h" + +/******** hardware API table structure (API descriptions below) *************/ +struct romp_api { + void (*_romp_init)(void); + BOOLEAN (*_romp_download)(uint16_t ); + BOOLEAN (*_romp_install)(void); + BOOLEAN (*_romp_decode)(uint32_t ); +}; + +#define _ROMP_MAGIC_ "[PaTcH]" + +struct rom_patch_st { + uint16_t crc16; // crc filed to maintain the integrity + uint16_t len; // length of the patch code + uint32_t ld_addr; // load address of the patch code + uint32_t fun_addr; // entry address of the patch code + uint8_t *pfun; // patch code +}; + + +struct eep_redir_addr { + uint16_t offset; + uint16_t size; +}; + + +/************************* EXPORT function ***************************/ +uint16_t cal_crc16(uint32_t sz, uint8_t *p); + +#endif // end of _UART_API_H_ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/src/cmnos_rompatch.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/src/cmnos_rompatch.c new file mode 100755 index 0000000..79d59f1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/rompatch/src/cmnos_rompatch.c @@ -0,0 +1,249 @@ +/*************************************************************************/ +/* Copyright (c) 2008 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : cmnos_rompatch.c */ +/* */ +/* Abstract */ +/* This file contains rom code patch mechanism, patch code is */ +/* offline generated, and replace the indirect table function as we */ +/* need to patch. */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#include "sys_cfg.h" + +#include "athos_api.h" + +#if SYSTEM_MODULE_ROM_PATCH + + +LOCAL BOOLEAN _patch_dump( struct rom_patch_st *patch); +LOCAL BOOLEAN _read_rom_patch(struct rom_patch_st *patch); + + +// the patch install entry +void (*patch_start)(void); + +// the eep redirect addr +struct eep_redir_addr patch_addr = {0x0, 0x0}; + + +LOCAL +BOOLEAN _patch_dump( struct rom_patch_st *patch) +{ +// A_PRINTF("\tCRC: 0x%04x\n\r", patch->crc16); + A_PRINTF("\tsize: %d bytes\n\r", patch->len); + A_PRINTF("\tld_addr: 0x%08x\n\r", (uint32_t)patch->ld_addr); + A_PRINTF("\tfun_addr: 0x%08x\n\r", (uint32_t)patch->fun_addr); +} + + + +LOCAL +BOOLEAN _read_rom_patch(struct rom_patch_st *patch) +{ + BOOLEAN retVal = FALSE; + uint8_t *addr; + uint16_t i; + uint8_t *buf = ((uint8_t*)(patch)+(sizeof(struct rom_patch_st)-4)); //assign the patch code buffer, last 4 bytes is the data + + /*! assign the load address of the patch + * + * - convert the address to dport address 0x4exxxx or 0x5xxxxx + */ + //addr = (uint32_t *)((patch->ld_addr&(~0xc00000))|(0x400000)); + addr = (uint8_t *)(patch->ld_addr); + if( (uint32_t)addr < SYS_D_RAM_REGION_0_BASE || (uint32_t)addr >= (SYS_D_RAM_REGION_0_BASE + SYS_RAM_SZIE) ) + { + A_PRINTF("!address should be dport in ram's address, 0x%08x\n\r", (uint32_t)addr); + goto ERR_DONE; + } + + _patch_dump(patch); + + A_PRINTF("copy %d bytes from 0x%08x to 0x%08x", patch->len, (uint32_t)buf, (uint32_t)addr); + for(i=0; ilen; i+=4) // word access + { + addr[i+3] = buf[i]; + addr[i+2] = buf[i+1]; + addr[i+1] = buf[i+2]; + addr[i] = buf[i+3]; + } + + retVal = TRUE; +ERR_DONE: + return retVal; + +} + + +/*! + * decode and parse the rompatch code + * + * addr: the buffer in ram stored the downloaded buffer + */ +LOCAL +BOOLEAN cmnos_romp_decode(uint32_t addr) +{ + int i; + BOOLEAN retVal = FALSE; + struct rom_patch_st *patch; + uint8_t *func_addr; + + A_PRINTF("[%s+]\n\r", __FUNCTION__ ); + { + /* + * check the integrity of the buffer + */ + uint32_t *mData = (uint32_t *)addr; + uint32_t CheckSum = 0; + + // size at here is a half-word based, divide by 2 set it to a word + for(i=0; i<(patch_addr.size/2); i++, mData++) + CheckSum = CheckSum ^ *mData; + + A_PRINTF("CheckSum: 0x%08x\n\r", CheckSum); + + if( CheckSum != 0 ) + goto ERR_DONE; + + /*********************************************/ + + patch = (struct rom_patch_st *)addr; + + func_addr = (uint8_t *)patch->fun_addr; + + if( _read_rom_patch(patch) ) + { + //A_PRINTF("\n\r patch to 0x%08x, func at 0x%08x\n\r", (uint32_t)patch->ld_addr, (uint32_t)func_addr); + + // the patch function entry, call install later + patch_start = (void *)func_addr; + + // install the patch here + //patch_start(); + } + else + { + A_PRINTF("patch decode fail!\n\r"); + goto ERR_DONE; + } + } + + retVal = TRUE; + +ERR_DONE: + A_PRINTF("[%s-]\n\r", __FUNCTION__ ); + + return retVal; + // + // if crc checking is ok, move code the where it belong according to it's ld_addr + // + +} + + +/*! + * install + */ +LOCAL BOOLEAN cmnos_romp_install(void) +{ + /* TBD: to be removed! */ + + /*! call the patch function, + * + * - left the patch install did by the patch code, + * so that we sould build the patch code with entry function is the install process + * e.g void install_patch(void), which update the function table + */ + + //A_PRINTF("[%s+]\n\r", __FUNCTION__); + patch_start(); + //A_PRINTF("[%s-]\n\r", __FUNCTION__); +} + +/*! + * download + * + * offset: the offset of the eeprom redirect header(offset, size) + * + */ +LOCAL BOOLEAN cmnos_romp_download(uint16_t offset) +{ + BOOLEAN retVal = FALSE; + uint16_t buf[2]; + + uint16_t eep_start_ofst = EEPROM_START_OFFSET; + uint16_t eep_end_ofst = EEPROM_END_OFFSET; + + A_PRINTF("[%s+]\n\r", __FUNCTION__ ); + + /* TBD: to be removed! */ + /* read the patch from EEPROM, if there is an EEPROM exist and patch code stored inside */ + + /* + * 1. read the fixed offset address of 0xfc and find the exactly patch code is + * 2. read the patch code from eeprom and write to buffer ram + * ------------------- leave it to decode operation ------------------ + * 3. and check the integrity of it, if the integrity is ok, goto 4 + * 4. decode the patch pack and decode each patch code and write them to the RAM + * + */ + + /* + * read the eep redirect(offset, size) from the offset + */ + if( RET_SUCCESS != A_EEP_READ(offset, sizeof(struct eep_redir_addr)/sizeof(uint16_t), buf) ) + goto ERR_DONE; + + A_PRINTF("patch.offset: 0x%04x, patch.size : 0x%04x\n\r", buf[0], buf[1]); + + patch_addr.offset = buf[0]; + patch_addr.size = buf[1]; + + // ATHR : 0x41544852, ((uint32_t)patch_addr == 0x41544852) || + // size == 0, offset > 0x3fff or offset < 0x2000, eeprom offset is between 0x2000~0x3fff + if( (patch_addr.size == 0x0) ||(patch_addr.offset < eep_start_ofst ) \ + || (patch_addr.offset > eep_end_ofst) || ((patch_addr.offset+patch_addr.size) > eep_end_ofst)) + goto ERR_DONE; + + // read the patch code to ROM_PATCH_BUF_ADDR: 0x51E000, + A_EEP_READ(patch_addr.offset, patch_addr.size, (uint16_t *)ROM_PATCH_BUF_ADDR); + + if( A_ROMP_DECODE(ROM_PATCH_BUF_ADDR) ) + { + A_ROMP_INSTALL(); + } + else + goto ERR_DONE; + + retVal = TRUE; +ERR_DONE: + A_PRINTF("[%s-]\n\r", __FUNCTION__ ); + return retVal; + +} + +/*! + * init + */ +LOCAL void cmnos_romp_init(void) +{ + /* TBD: to be removed! */ + //A_PRINTF("CMNOS_ROMP_INIT!\n\r"); +} + +void +cmnos_romp_module_install(struct romp_api *tbl) +{ + tbl->_romp_init = cmnos_romp_init; + tbl->_romp_download = cmnos_romp_download; + tbl->_romp_install = cmnos_romp_install; + tbl->_romp_decode = cmnos_romp_decode; + +} + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/Makefile new file mode 100755 index 0000000..3ac132e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = sflash + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/Makefile.ss new file mode 100755 index 0000000..446054b --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_sflash.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/inc/sflash_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/inc/sflash_api.h new file mode 100755 index 0000000..e6d21a8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/inc/sflash_api.h @@ -0,0 +1,24 @@ +#ifndef __SFLASH_API_H__ +#define __SFLASH_API_H__ + +/* Constant definition */ +#define ZM_SFLASH_SECTOR_ERASE 1 +#define ZM_SFLASH_BLOCK_ERASE 2 +#define ZM_SFLASH_CHIP_ERASE 0 + +/*!- interface of eeprom access + * + */ +struct sflash_api { + /* Indispensable functions */ + void (* _sflash_init)(void); + void (* _sflash_erase)(A_UINT32 erase_type, A_UINT32 addr); /* 1. erase_type : chip/block/sector, 2. addr : no use for chip erase */ + void (* _sflash_program)(A_UINT32 addr, A_UINT32 len, A_UINT8 *buf); /* 1. addr : spi flash address(start from 0x0), 2. len : bite number to write , 3. *buf : source memory address */ + void (* _sflash_read)(A_UINT32 fast, A_UINT32 addr, A_UINT32 len, A_UINT8 *buf); /* 1. fast : 1 for fast read, 0 for read, 2. addr : spi flash address(start from 0x0), 3. len : bite number to read , 3. *buf : destination memory address */ + + /* Dispensable functions */ + A_UINT32 (* _sflash_rdsr)(void); /* return the value of status register */ +}; + +#endif /* __SFLASH_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/src/cmnos_sflash.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/src/cmnos_sflash.c new file mode 100755 index 0000000..01a7067 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/sflash/src/cmnos_sflash.c @@ -0,0 +1,600 @@ +#include "sys_cfg.h" +#include "athos_api.h" + +#if SYSTEM_MODULE_SFLASH + +#include "reg_defs.h" +#include "sflash_api.h" + +/******************************************* + * Definitions of module internal constant * + *******************************************/ + +/* Definitions of base address and Flash sise -> Project dependent */ +#define ZM_SPI_REGISTER_BASE SPI_REG_BASE_ADDRESS /* 0x0005B000 */ +#define ZM_SPI_FLASH_BASE SPI_FLASH_BASE /* 0x0F000000 */ +#define ZM_SPI_FLASH_MAX_ADDR SPI_FLASH_MAX_ADDR /* 0x0FFFFFFF */ +#define ZM_SPI_FLASH_MAX_SIZE SPI_FLASH_MAX_SIZE /* 0x01000000 */ + +/* + * Base address of Clock and Reset Control Registers is 0x00050000 + * Offset of Clock Control Register is 0x40 + * SPI_SEL (bit 8) : Switch the function of I/O pin 19~22 between GPIO and SPI. + * 0 -> act as GPIO5~8; + * 1 -> act as SPI pins. + */ +#define ZM_SPI_CLK_CTRL_ADDR 0x00050040 +#define ZM_SPI_SPI_SEL_BIT 0x100 + +/* Definitions of Serial Flash constants -> According to standard or vendor dependent */ +#define ZM_SFLASH_PAGE_SIZE 256 + +/* Definitions of OP Code -> According to standard or vendor dependent */ +#define ZM_SFLASH_OP_READ 0x03 /* Read Data Bytes */ +#define ZM_SFLASH_OP_FAST_READ 0x0B /* Read Data Bytes at Higher Speed */ +/* + * For MXIC, sector erase : Command 0x20, size 4K bytes + * block erase : Command 0xD8, size 64K bytes + * chip earse : command 0x60 or 0xC7 + * For Spansion, sector erase : Command 0x20 or 0xD8, size 64K bytes (For 64 KB sector devices, either command is valid and performs the same function.) + * block erase : Command 0xD8, size 256K bytes + * chip earse : command 0x60 or 0xC7, Uniform 64 KB Sector Product (For 64 KB sector devices, either command is valid and performs the same function.) + * 0xC7, Uniform 256 KB Sector Product + */ +#define ZM_SFLASH_OP_SE 0x20 /* Sector Erase */ +#define ZM_SFLASH_OP_BE 0xD8 /* Block Erase */ +#define ZM_SFLASH_OP_CE 0xC7 /* Chip Erase */ +#define ZM_SFLASH_OP_PP 0x02 /* Page Program */ +#define ZM_SFLASH_OP_RDSR 0x05 /* Read from Status Register */ +#define ZM_SFLASH_OP_WRSR 0x01 /* Write to Status Register */ +#define ZM_SFLASH_OP_WREN 0x06 /* Write Enable */ +#define ZM_SFLASH_OP_WRDI 0x04 /* Write Disable */ +#define ZM_SFLASH_OP_RDID 0x9F /* Read Identification */ +#define ZM_SFLASH_OP_DP 0xB9 /* Deep Power Down */ +#define ZM_SFLASH_OP_RES 0xAB /* Release from Deep Power Down, Release from Deep Power Down and Read Electronic Signature */ + +/* Definitions of Status Register -> According to standard or vendor dependent */ +/* Write in progress bit + * 1 = Device Busy. A Write Status Register, program, or erase operation is in progress + * 0 = Ready. Device is in standby mode and can accept commands. + */ +#define ZM_SFLASH_STATUS_REG_WIP (1<<0) +/* Write enable latch bit + * 1 = Device accepts Write Status Register, program, or erase commands + * 0 = Ignores Write Status Register, program, or erase commands + */ +#define ZM_SFLASH_STATUS_REG_WEL (1<<1) +/* Status register write disable bit + * 1 = Protects when WP#/ACC is low + * 0 = No protection, even when WP#/ACC is low + */ +#define ZM_SFLASH_STATUS_REG_SRWD (1<<7) + +/* Definitions of SPI Flash Controller -> SPI Flash Controller dependent */ +/* SPI Flash Controller used in K2 project is part of Falcon's "Driver Support Logic" (DSL) block */ +/* + * Offset Register + * ====== ========================================================== + * 0x0000 SPI control/status register (SPI_CS) + * 0x0004 SPI address/opcode register (SPI_AO) + * 0x0008 SPI data register (SPI_D) + */ + +/* + * SPI control/status register (SPI_CS) + * Access: R/W + * Cold reset: (See field descriptions) + * Warm reset: (Same as cold reset) + * Notes: + * + * 3:0 - Transmit byte count. Determines the number of bytes + * transmitted from Falcon to the SPI device. Values of 1-8 are + * valid; other values are illegal. See the 'Notes' section below + * for details on how to use this field. Resets to an undefined + * value. + * 7:4 - Receive byte count. Determines the number of bytes received + * from the SPI device into Falcon. Values of 0-8 are valid; + * other values are illegal. See the 'Notes' section below for + * details on how to use this field. Resets to an undefined + * value. + * 8 - SPI transaction start. Only writes to this field are + * meaningful; reads always return 0. Resets to 0x0. For writes: + * * A write of '1' starts the SPI transaction defined by the + * transmit byte count, receive byte count, SPI_AO, and SPI_D + * registers. + * * A write of '0' has no effect + * 9 - SPI chip select 1 enable. Resets to 0x0. See bug 12540. + * 0 - SP0 is enabled and SP1 is forced inactive. + * 1 - SP1 is enabled and SP0 is forced inactive. + * 15:10 - Reserved + * 16 - Transaction busy indication. Read-only; writes to this bit are + * ignored. Resets to 0x0. + * 0 - No SPI transaction is ongoing. Software may start a new + * SPI transaction by writing to the 'SPI transaction start' + * bit within this register. + * 1 - An SPI transaction presently is underway. Software must + * not try to start a new SPI transaction, nor may software + * alter the value of any field of the SPI_CS, SPI_AO, or + * SPI_D registers. + * 18:17 - Automatically-determined SPI address size. Read-only; writes + * to this bit are ignored. Resets to an undefined value, but + * then is updated after the autosizing process completes. + * 0 - SPI address size was determined to be 16 bits + * 1 - SPI address size was determined to be 24 bits + * 2 - Reserved + * 3 - Automatic SPI address size determination failed. Typical + * causes of this result: + * * The SPI device is missing + * * The SPI device is unprogrammed + * * The SPI device is programmed with an incorrect + * SPI_MAGIC value + * 20:19 - SPI autosize override. Resets to 0x0. + * 0 - Use automatically-determined SPI address size (see bits + * [18:17] of this register) + * 1 - Force SPI address size to 16 bits + * 2 - Force SPI address size to 24 bits + * 3 - Reserved + * 31:21 - Reserved + */ + +#define SPI_CS_ADDRESS MAGPIE_REG_SPI_CS_ADDR //(ZM_SPI_REGISTER_BASE + 0x00000000) +/* 3:0 - Transmit byte count, values of 1-8 are valid */ +#define SPI_CS_TXBCNT_MSB 3 +#define SPI_CS_TXBCNT_LSB 0 +#define SPI_CS_TXBCNT_MASK 0x0000000f +#define SPI_CS_TXBCNT_GET(x) (((x) & SPI_CS_TXBCNT_MASK) >> SPI_CS_TXBCNT_LSB) +#define SPI_CS_TXBCNT_SET(x) (((0x0 | (x)) << SPI_CS_TXBCNT_LSB) & SPI_CS_TXBCNT_MASK) + +/* 7:4 - Receive byte count, values of 1-8 are valid */ +#define SPI_CS_RXBCNT_MSB 7 +#define SPI_CS_RXBCNT_LSB 4 +#define SPI_CS_RXBCNT_MASK 0x000000f0 +#define SPI_CS_RXBCNT_GET(x) (((x) & SPI_CS_RXBCNT_MASK) >> SPI_CS_RXBCNT_LSB) +#define SPI_CS_RXBCNT_SET(x) (((0x0 | (x)) << SPI_CS_RXBCNT_LSB) & SPI_CS_RXBCNT_MASK) + +/* 8 - SPI transaction start */ +#define SPI_CS_XCNSTART_MSB 8 +#define SPI_CS_XCNSTART_LSB 8 +#define SPI_CS_XCNSTART_MASK 0x00000100 +#define SPI_CS_XCNSTART_GET(x) 0x0 +#define SPI_CS_XCNSTART_SET(x) (((0x0 | (x)) << SPI_CS_XCNSTART_LSB) & SPI_CS_XCNSTART_MASK) +#define SPI_CS_XCNSTART_RESET 0x0 + +/* 9 - SPI chip select */ +#define SPI_CS_CS_MSB 9 +#define SPI_CS_CS_LSB 9 +#define SPI_CS_CS_MASK 0x00000200 +#define SPI_CS_CS_GET(x) (((x) & SPI_CS_CS_MASK) >> SPI_CS_CS_LSB) +#define SPI_CS_CS_SET(x) (((0x0 | (x)) << SPI_CS_CS_LSB) & SPI_CS_CS_MASK) +#define SPI_CS_CS_RESET 0x0 + +/* 16 - Transaction busy indication */ +#define SPI_CS_BUSY_MSB 16 +#define SPI_CS_BUSY_LSB 16 +#define SPI_CS_BUSY_MASK 0x00010000 +#define SPI_CS_BUSY_GET(x) (((x) & SPI_CS_BUSY_MASK) >> SPI_CS_BUSY_LSB) +#define SPI_CS_BUSY_SET(x) (((0x0 | (x)) << SPI_CS_BUSY_LSB) & SPI_CS_BUSY_MASK) +#define SPI_CS_BUSY_RESET 0x0 + +/* 18:17 - Automatically-determined SPI address size */ +#define SPI_CS_AUTOSIZ_MSB 18 +#define SPI_CS_AUTOSIZ_LSB 17 +#define SPI_CS_AUTOSIZ_MASK 0x00060000 +#define SPI_CS_AUTOSIZ_GET(x) (((x) & SPI_CS_AUTOSIZ_MASK) >> SPI_CS_AUTOSIZ_LSB) +#define SPI_CS_AUTOSIZ_SET(x) (((0x0 | (x)) << SPI_CS_AUTOSIZ_LSB) & SPI_CS_AUTOSIZ_MASK) + +/* 20:19 - SPI autosize override */ +#define SPI_CS_AUTOSIZ_OVR_MSB 20 +#define SPI_CS_AUTOSIZ_OVR_LSB 19 +#define SPI_CS_AUTOSIZ_OVR_MASK 0x00180000 +#define SPI_CS_AUTOSIZ_OVR_GET(x) (((x) & SPI_CS_AUTOSIZ_OVR_MASK) >> SPI_CS_AUTOSIZ_OVR_LSB) +#define SPI_CS_AUTOSIZ_OVR_SET(x) (((0x0 | (x)) << SPI_CS_AUTOSIZ_OVR_LSB) & SPI_CS_AUTOSIZ_OVR_MASK) +#define SPI_CS_AUTOSIZ_OVR_RESET 0x0 + +#define SPI_CS_RESET (0x0 | \ + SPI_CS_AUTOSIZ_OVR_SET(SPI_CS_AUTOSIZ_OVR_RESET) | \ + SPI_CS_BUSY_SET(SPI_CS_BUSY_RESET) | \ + SPI_CS_CS_SET(SPI_CS_CS_RESET) | \ + SPI_CS_XCNSTART_SET(SPI_CS_XCNSTART_RESET)) + +/* + * SPI address/opcode register (SPI_AO) + * Access: R/W + * Cold reset: (See field descriptions) + * Warm reset: (Same as cold reset) + * Notes: + * + * 7:0 - SPI opcode. Usually this field specifies the 8-bit opcode + * (aka "instruction") to transmit to the SPI device as the first + * part of an SPI transaction. See the 'Notes' section below for + * more details. Resets to an undefined value. + * 31:8 - Address. Usually this field specifies the 24-bit address to + * transmit to the SPI device. See the 'Notes' section below for + * more details. Resets to an undefined value. + */ + +#define SPI_AO_ADDRESS MAGPIE_REG_SPI_AO_ADDR //(ZM_SPI_REGISTER_BASE + 0x00000004) +/* 7:0 - SPI opcode */ +#define SPI_AO_OPC_MSB 7 +#define SPI_AO_OPC_LSB 0 +#define SPI_AO_OPC_MASK 0x000000ff +#define SPI_AO_OPC_GET(x) (((x) & SPI_AO_OPC_MASK) >> SPI_AO_OPC_LSB) +#define SPI_AO_OPC_SET(x) (((0x0 | (x)) << SPI_AO_OPC_LSB) & SPI_AO_OPC_MASK) +/* 31:8 - Address */ +#define SPI_AO_ADDR_MSB 31 +#define SPI_AO_ADDR_LSB 8 +#define SPI_AO_ADDR_MASK 0xffffff00 +#define SPI_AO_ADDR_GET(x) (((x) & SPI_AO_ADDR_MASK) >> SPI_AO_ADDR_LSB) +#define SPI_AO_ADDR_SET(x) (((0x0 | (x)) << SPI_AO_ADDR_LSB)& SPI_AO_ADDR_MASK) + +/* + * SPI data register (SPI_D) + * Access: R/W + * Cold reset: (See field descriptions) + * Warm reset: (Same as cold reset) + * Notes: + * + * 31:0 - SPI data. Usually this register specifies a series of up to + * four data bytes to transmit to or receive from the SPI device. + * See the 'Notes' section below for more details. Resets to an + * undefined value. + */ + +#define SPI_D_ADDRESS MAGPIE_REG_SPI_D_ADDR //(ZM_SPI_REGISTER_BASE + 0x00000008) +/* 31:0 - SPI data */ +#define SPI_D_DATA_MSB 31 +#define SPI_D_DATA_LSB 0 +#define SPI_D_DATA_MASK 0xffffffff +#define SPI_D_DATA_GET(x) (((x) & SPI_D_DATA_MASK) >> SPI_D_DATA_LSB) +#define SPI_D_DATA_SET(x) (((0x0 | (x)) << SPI_D_DATA_LSB) & SPI_D_DATA_MASK) + +/* + * SPI clock division register (SPI_CLKDIV) + * Access: R/W + * Cold reset: (See field descriptions) + * Warm reset: (Same as cold reset) + * Notes: + * + * 17:16 - 0b00(fastest), 0b01, 0b10, 0b11(slowest) + */ +#define SPI_CLKDIV_ADDRESS MAGPIE_REG_SPI_CLKDIV_ADDR //SPI_BASE_ADDRESS + 0x0000001c +#define SPI_CLKDIV_MSB 17 +#define SPI_CLKDIV_LSB 16 +#define SPI_CLKDIV_MASK 0x00030000 +#define SPI_CLKDIV_GET(x) (((x) & SPI_CLKDIV_MASK) >> SPI_CLKDIV_LSB) +#define SPI_CLKDIV_SET(x) (((0x0 | (x)) << SPI_CLKDIV_LSB) & SPI_CLKDIV_MASK) // read-then-write +#define SPI_CLKDIV_RESET 0x3 + +/* + * Notes + * ----- + * * Background + * An SPI transaction consists of three phases: an opcode transmit + * phase (always a single byte), followed by an optional address + * transmit phase of 0-3 bytes, followed by an optional data transmit + * or receive phase of 0-4 bytes. + * + * Combined, then, an SPI transaction consists of a 1- to 8-byte + * transmit phase from Falcon to the SPI device, followed by a 0- to + * 8-byte receive phase from the SPI device into Falcon. + * + * The 'transmit byte count' field in the SPI_CS register controls the + * size (number of bytes) of the transmit phase. The source of each + * of the bytes transmitted is fixed: + * + * Byte Source + * ---- ----------------------------------------------------------- + * 0 SPI_AO[7:0] (the 'SPI opcode' field) + * 1 SPI_AO[31:24] (the high byte of the 'SPI address' field) + * 2 SPI_AO[23:16] (the middle byte of the 'SPI address' field) + * 3 SPI_AO[15:8] (the low byte of the 'SPI address' field) + * 4 SPI_D[7:0] (the low byte of the 'SPI data' register) + * 5 SPI_D[15:8] (the next byte of the 'SPI data' register) + * 6 SPI_D[23:16] (the next byte of the 'SPI data' register) + * 7 SPI_D[31:24] (the high byte of the 'SPI data' register) + * + * + * The 'receive byte count' field in the SPI_CS register controls the + * size (number of bytes) of the receive phase. The destination of + * each of the bytes received is fixed: + * + * Byte Destination + * ---- ----------------------------------------------------------- + * 0 SPI_D[7:0] (the low byte of the 'SPI data' register) + * 1 SPI_D[15:8] (the next byte of the 'SPI data' register) + * 2 SPI_D[23:16] (the next byte of the 'SPI data' register) + * 3 SPI_D[31:24] (the high byte of the 'SPI data' register) + * 4 SPI_AO[7:0] (the 'SPI opcode' field) + * 5 SPI_AO[15:8] (the low byte of the 'SPI address' field) + * 6 SPI_AO[23:16] (the middle byte of the 'SPI address' field) + * 7 SPI_AO[31:24] (the high byte of the 'SPI address' field) + * + * + * * To perform an SPI transaction: + * Write the appropriate values into the SPI_AO and SPI_D registers + * * Write the appropriate values into the 'transmit byte count' and + * 'received byte count' fields of the SPI_CS register. + * * Write a '1' to the 'SPI transaction start' bit of the SPI_CS + * register (this step can be combined with the one above if desired + * so that only a single SPI_CS write is needed). + * * Poll the 'transaction busy indication' bit in the SPI_CS register + * until it is clear, indicating that the SPI transaction has + * completed. + * * If the transaction included a receive phase, then retrieve the + * received data by reading the appropriate bytes from the SPI_D and + * SPI_AO registers. + * + * + * * Examples: + * * A "write disable" (WRDI) transaction: + * * Opcode (SPI_AO[7:0]): 0x04 (for STMicro; varies by + * manufacturer and device type) + * * Address (SPI_AO[31:8]): don't care (not used) + * * Data (SPI_D[31:0]): don't care (not used) + * * Transmission byte count: 1 + * * Receive byte count: 0 + * + * * A "read status register" (RDSR) transaction: + * * Opcode (SPI_AO[7:0]): 0x05 (for STMicro; varies by + * manufacturer and device type) + * * Address (SPI_AO[31:8]): don't care (not used) + * * Data (SPI_D[31:0]): don't care (not used) + * * Transmission byte count: 1 + * * Receive byte count: 1 + * * Read SPI_D[7:0] to retrieve status register value + * + * * A "page program" (PP) transaction to write a value of 0xdeadbeef + * to address 0x123456: + * * Opcode (SPI_AO[7:0]): 0x02 (for STMicro; varies by + * manufacturer and device type) + * * Address (SPI_AO[31:8]): 0x123456 + * * Data (SPI_D[31:0]): 0xdeadbeef + * * Transmission byte count: 8 + * * Receive byte count: 0 + */ + +/* Wait till Transaction busy indication bit in SPI control/status register of Falcon's SPI Flash Controller is clear */ +LOCAL void +_cmnos_sflash_WaitTillTransactionOver(void) +{ + A_UINT32 poldata; + A_UINT32 flg; + + do + { + poldata = HAL_WORD_REG_READ(SPI_CS_ADDRESS); + + flg = SPI_CS_BUSY_GET(poldata); + } while (flg != 0x0); +} + +/* Wait till Write In Progress bit in Status Register of Serial Flash is clear */ +LOCAL void +_cmnos_sflash_WaitTillNotWriteInProcess(void) +{ + A_UINT32 poldata; + A_UINT32 flg; + + do + { + _cmnos_sflash_WaitTillTransactionOver(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(ZM_SFLASH_OP_RDSR) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(1) | SPI_CS_RXBCNT_SET(1) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); + + poldata = HAL_WORD_REG_READ(SPI_D_ADDRESS); + flg = poldata & ZM_SFLASH_STATUS_REG_WIP; + + } while (flg != 0x0); +} + +/************************************************************************/ +/* Function to Send WREN(Write Enable) Operation */ +/************************************************************************/ +LOCAL void +_cmnos_sflash_WriteEnable() +{ + _cmnos_sflash_WaitTillNotWriteInProcess(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(ZM_SFLASH_OP_WREN) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(1) | SPI_CS_RXBCNT_SET(0) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); +} + +/************************************************************************/ +/* Function to In itialize SPI Flash Controller */ +/************************************************************************/ +LOCAL void +cmnos_sflash_init(void) +{ +#if defined(PROJECT_K2) + /* Switch the function of I/O pin 19~22 to act as SPI pins */ + HAL_WORD_REG_WRITE( MAGPIE_REG_CLOCK_CTRL_ADDR, HAL_WORD_REG_READ(MAGPIE_REG_CLOCK_CTRL_ADDR)|BIT8 ); +#endif + + /* "Autosize-determination of the address size of serial flash" is obsolete according to Brian Yang's mail : + * The designers reached an conclusion that the spi master (the apb_spi interface control) will be + * modified as ¡§presuming the attached flash model to be 24-bit addressing¡¨, i.e., no more + * auto-size detection! + * Hence you are free to force the 24-bit addressing in the *.c test code. + */ + + /* Force SPI address size to 24 bits */ + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_AUTOSIZ_OVR_SET(2) ); +} + +/************************************************************************/ +/* Function to Send Sector/Block/Chip Erase Operation */ +/************************************************************************/ +LOCAL void +cmnos_sflash_erase(A_UINT32 erase_type, A_UINT32 addr) +{ + A_UINT32 erase_opcode; + A_UINT32 tx_len; + + if (erase_type == ZM_SFLASH_SECTOR_ERASE) + { + erase_opcode = ZM_SFLASH_OP_SE; + tx_len = 4; + } + else if (erase_type == ZM_SFLASH_BLOCK_ERASE) + { + erase_opcode = ZM_SFLASH_OP_BE; + tx_len = 4; + } + else + { + erase_opcode = ZM_SFLASH_OP_CE; + tx_len = 1; + } + + _cmnos_sflash_WriteEnable(); + _cmnos_sflash_WaitTillNotWriteInProcess(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(erase_opcode) | SPI_AO_ADDR_SET(addr) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(tx_len) | SPI_CS_RXBCNT_SET(0) | SPI_CS_XCNSTART_SET(1) ); + +#if 0 + /* Do not wait(let it be completed in background) */ + _cmnos_sflash_WaitTillTransactionOver(); +#else + /* Wait till completion */ + _cmnos_sflash_WaitTillNotWriteInProcess(); /* Chip Erase takes 80 - 200 seconds to complete */ +#endif +} + +/************************************************************************/ +/* Function to Perform Page Program Operation */ +/* Notes: */ +/* Serial Flash has the following characteristics : */ +/* 1) In datasheet, 1-256 data bytes can be sent at a time, but */ +/* Falcon supports only 4 bytes at a time. */ +/* 2) If the eight least significant address bits(A7-A0) are not */ +/* all 0, all transmitted data which goes beyond the end of the */ +/* current page are programmed from the start address in the */ +/* same page. */ +/* This API hides the complexity of the above. */ +/************************************************************************/ +LOCAL void +cmnos_sflash_program(A_UINT32 addr, A_UINT32 len, A_UINT8 *buf) +{ + A_UINT32 s_addr, e_addr; + A_UINT32 reminder, write_byte; + A_UINT32 data_offset; + A_UINT32 next_page_base; + A_UINT32 t_word_data; + + e_addr = addr + len; + for (s_addr = addr; s_addr < e_addr; ) + { + next_page_base = (s_addr - s_addr%ZM_SFLASH_PAGE_SIZE) + ZM_SFLASH_PAGE_SIZE; + + reminder = e_addr - s_addr; + + write_byte = next_page_base - s_addr; + + if (write_byte >= 4) + write_byte = 4; + + if (write_byte > reminder) + write_byte = reminder; + + data_offset = s_addr - addr; + + A_MEMCPY(&t_word_data, buf + data_offset, write_byte); + + _cmnos_sflash_WriteEnable(); + _cmnos_sflash_WaitTillNotWriteInProcess(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(ZM_SFLASH_OP_PP) | SPI_AO_ADDR_SET(s_addr) ); + HAL_WORD_REG_WRITE( SPI_D_ADDRESS, SPI_D_DATA_SET(t_word_data) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(4 + write_byte) | SPI_CS_RXBCNT_SET(0) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); + + s_addr += write_byte; + } +} + +/************************************************************************/ +/* Function to Send Read/Fast Read Data Operation */ +/************************************************************************/ +LOCAL void +cmnos_sflash_read(A_UINT32 fast, A_UINT32 addr, A_UINT32 len, A_UINT8 *buf) +{ + A_UINT32 read_opcode; + A_UINT32 i; + A_UINT32 read_cnt, remainder; + A_UINT32 write_byte, read_byte; + + if (fast) + { + read_opcode = ZM_SFLASH_OP_FAST_READ; + write_byte = 5; + } + else + { + read_opcode = ZM_SFLASH_OP_READ; + write_byte = 4; + } + + read_cnt = len/4; + remainder = len%4; + if (remainder) + read_cnt++; + + read_byte = 4; + for (i = 0; i < read_cnt; i ++) + { + if (i == read_cnt-1 && remainder) + read_byte = remainder; + + _cmnos_sflash_WaitTillNotWriteInProcess(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(read_opcode) | SPI_AO_ADDR_SET(addr + i*4) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(write_byte) | SPI_CS_RXBCNT_SET(read_byte) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); + + A_MEMCPY(buf + i*4, (A_UINT8 *)(SPI_D_ADDRESS), read_byte); + } +} + +/************************************************************************/ +/* Function to Read Flash Status Register */ +/************************************************************************/ +LOCAL A_UINT32 +cmnos_sflash_rdsr(void) +{ + A_UINT32 word_data; + + _cmnos_sflash_WaitTillTransactionOver(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(ZM_SFLASH_OP_RDSR) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(1) | SPI_CS_RXBCNT_SET(1) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); + + word_data = HAL_WORD_REG_READ(SPI_D_ADDRESS) & 0x000000FF; + + return word_data; +} + +void +cmnos_sflash_module_install(struct sflash_api *tbl) +{ + /* Indispensable functions */ + tbl->_sflash_init = cmnos_sflash_init; + tbl->_sflash_erase = cmnos_sflash_erase; + tbl->_sflash_program = cmnos_sflash_program; + tbl->_sflash_read = cmnos_sflash_read; + + /* Dispensable functions */ + tbl->_sflash_rdsr = cmnos_sflash_rdsr; +} + +#endif /* SYSTEM_MODULE_SFLASH */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/Makefile new file mode 100755 index 0000000..7c9f177 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = string + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/Makefile.ss new file mode 100755 index 0000000..19ccf8a --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_string.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/inc/string_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/inc/string_api.h new file mode 100755 index 0000000..9a15021 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/inc/string_api.h @@ -0,0 +1,11 @@ +#ifndef __STRING_API_H__ +#define __STRING_API_H__ +struct string_api { + void (* _string_init)(void); + char *(* _strcpy)(char *, const char *); + char *(* _strncpy)(char *, const char *, unsigned int); + int (* _strlen)(const char *); + int (* _strcmp)(const char *, const char *); + int (* _strncmp)(const char *, const char *, unsigned int); +}; +#endif /* __STRING_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/src/cmnos_string.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/src/cmnos_string.c new file mode 100755 index 0000000..634a5de --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/string/src/cmnos_string.c @@ -0,0 +1,25 @@ +#include "athos_api.h" + +/* Common string functions, provided by toolchain libraries. */ + +extern char *strcpy(char *s1, const char *s2); +extern char *strncpy(char *s1, const char *s2, unsigned int n); +extern int strcmp(const char *s1, const char *s2); +extern int strncmp(const char *s1, const char *s2, unsigned int n); +extern int strlen(const char *s); + +LOCAL void +cmnos_string_init(void) +{ +} + +void +cmnos_string_module_install(struct string_api *tbl) +{ + tbl->_string_init = cmnos_string_init; + tbl->_strcpy = strcpy; + tbl->_strncpy = strncpy; + tbl->_strlen = strlen; + tbl->_strcmp = strcmp; + tbl->_strncmp = strncmp; +} diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/Makefile new file mode 100755 index 0000000..ee83361 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = tasklet + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/Makefile.ss new file mode 100755 index 0000000..e11d708 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/Makefile.ss @@ -0,0 +1,204 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_tasklet.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + +/root/Workspace/0211/tgt/build/magpie_1_0/image/magpie_flash_boot/../../../..//build/magpie_1_0/rom/cmnos/tasklet/obj/cmnos_tasklet.o: \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/tasklet/src/cmnos_tasklet.c \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/sys_cfg.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/magpie/rom_cfg.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/magpie_mem.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/athos_api.h \ + /root/Workspace/0211/tgt/target/inc/osapi.h \ + /root/Workspace/0211/tgt/target/inc/OTUS/OTUS_soc.h \ + /root/Workspace/0211/tgt/target/inc/dt_defs.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/inc/cmnos_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/printf/inc/printf_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/uart/inc/uart_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/magpie/reg_defs.h \ + /root/Workspace/0211/tgt/target/cmnos/dbg/inc/dbg_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/mem/inc/mem_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/misc/inc/misc_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/string/inc/string_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/timer/inc/timer_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/rompatch/inc/romp_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/allocram/inc/allocram_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/tasklet/inc/tasklet_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/clock/inc/clock_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/intr/inc/intr_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/wdt/inc/wdt_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/eeprom/inc/eeprom_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/usb_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/hif_pci.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/hif_api.h \ + /root/Workspace/0211/tgt/adf/include/nbuf/adf_nbuf.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_util.h \ + /root/Workspace/0211/tgt/target/adf/os/inc/adf_os_util_pvt.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_types.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_stdtypes.h \ + /root/Workspace/0211/tgt/target/adf/os/inc/adf_os_types_pvt.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/vbuf/inc/vbuf_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/vdesc/inc/vdesc_api.h \ + /root/Workspace/0211/tgt/target/inc/stdarg.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_dma.h \ + /root/Workspace/0211/tgt/target/adf/os/inc/adf_os_dma_pvt.h \ + /root/Workspace/0211/tgt/adf/include/net/adf_net_types.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/adf/nbuf/inc/adf_nbuf_pvt.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/hif_gmac.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/Magpie_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/usbfifo_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/htc/inc/htc_api.h \ + /root/Workspace/0211/wlan/include/htc.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/buf_pool/inc/buf_pool_api.h \ + /root/Workspace/0211/tgt/target/wmi/inc/wmi_svc_api.h \ + /root/Workspace/0211/wlan/include/wmi.h \ + /root/Workspace/0211/wlan/include/athdefs.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/dma_engine/inc/dma_engine_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/dma_lib.h \ + /root/Workspace/0211/tgt/target/cmnos/sflash/inc/sflash_api.h +/root/Workspace/0211/tgt/build/magpie_1_0/image/magpie_flash_boot/../../../..//build/magpie_1_0/rom/cmnos/tasklet/obj/cmnos_tasklet.o: \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/tasklet/src/cmnos_tasklet.c \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/sys_cfg.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/magpie/rom_cfg.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/magpie_mem.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/athos_api.h \ + /root/Workspace/0211/tgt/target/inc/osapi.h \ + /root/Workspace/0211/tgt/target/inc/OTUS/OTUS_soc.h \ + /root/Workspace/0211/tgt/target/inc/dt_defs.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/inc/cmnos_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/printf/inc/printf_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/uart/inc/uart_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/magpie/reg_defs.h \ + /root/Workspace/0211/tgt/target/cmnos/dbg/inc/dbg_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/mem/inc/mem_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/misc/inc/misc_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/string/inc/string_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/timer/inc/timer_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/rompatch/inc/romp_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/allocram/inc/allocram_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/tasklet/inc/tasklet_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/clock/inc/clock_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/intr/inc/intr_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/wdt/inc/wdt_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/cmnos/eeprom/inc/eeprom_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/usb_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/hif_pci.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/hif_api.h \ + /root/Workspace/0211/tgt/adf/include/nbuf/adf_nbuf.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_util.h \ + /root/Workspace/0211/tgt/target/adf/os/inc/adf_os_util_pvt.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_types.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_stdtypes.h \ + /root/Workspace/0211/tgt/target/adf/os/inc/adf_os_types_pvt.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/vbuf/inc/vbuf_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/vdesc/inc/vdesc_api.h \ + /root/Workspace/0211/tgt/target/inc/stdarg.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_dma.h \ + /root/Workspace/0211/tgt/target/adf/os/inc/adf_os_dma_pvt.h \ + /root/Workspace/0211/tgt/adf/include/net/adf_net_types.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/adf/nbuf/inc/adf_nbuf_pvt.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/hif_gmac.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/inc/Magpie_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/usbfifo_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/htc/inc/htc_api.h \ + /root/Workspace/0211/wlan/include/htc.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/buf_pool/inc/buf_pool_api.h \ + /root/Workspace/0211/tgt/target/wmi/inc/wmi_svc_api.h \ + /root/Workspace/0211/wlan/include/wmi.h \ + /root/Workspace/0211/wlan/include/athdefs.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/dma_engine/inc/dma_engine_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/rom/hif/inc/dma_lib.h \ + /root/Workspace/0211/tgt/target/cmnos/sflash/inc/sflash_api.h +/root/Workspace/0211/tgt/build/magpie_1_0/image/magpie_flash_boot/../../../..//build/magpie_1_0/sflash_boot/cmnos/tasklet/obj/cmnos_tasklet.o: \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/tasklet/src/cmnos_tasklet.c \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/inc/sys_cfg.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/inc/magpie/rom_cfg.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/inc/magpie_mem.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/inc/athos_api.h \ + /root/Workspace/0211/tgt/target/inc/osapi.h \ + /root/Workspace/0211/tgt/target/inc/OTUS/OTUS_soc.h \ + /root/Workspace/0211/tgt/target/inc/dt_defs.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/inc/cmnos_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/printf/inc/printf_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/uart/inc/uart_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/inc/magpie/reg_defs.h \ + /root/Workspace/0211/tgt/target/cmnos/dbg/inc/dbg_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/mem/inc/mem_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/misc/inc/misc_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/string/inc/string_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/timer/inc/timer_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/rompatch/inc/romp_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/allocram/inc/allocram_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/tasklet/inc/tasklet_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/clock/inc/clock_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/intr/inc/intr_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/wdt/inc/wdt_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/cmnos/eeprom/inc/eeprom_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/hif/inc/usb_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/hif/inc/hif_pci.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/hif/inc/hif_api.h \ + /root/Workspace/0211/tgt/adf/include/nbuf/adf_nbuf.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_util.h \ + /root/Workspace/0211/tgt/target/adf/os/inc/adf_os_util_pvt.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_types.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_stdtypes.h \ + /root/Workspace/0211/tgt/target/adf/os/inc/adf_os_types_pvt.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/vbuf/inc/vbuf_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/vdesc/inc/vdesc_api.h \ + /root/Workspace/0211/tgt/target/inc/stdarg.h \ + /root/Workspace/0211/tgt/adf/include/os/adf_os_dma.h \ + /root/Workspace/0211/tgt/target/adf/os/inc/adf_os_dma_pvt.h \ + /root/Workspace/0211/tgt/adf/include/net/adf_net_types.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/adf/nbuf/inc/adf_nbuf_pvt.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/hif/inc/hif_gmac.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/inc/Magpie_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/hif/inc/usbfifo_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/htc/inc/htc_api.h \ + /root/Workspace/0211/wlan/include/htc.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/buf_pool/inc/buf_pool_api.h \ + /root/Workspace/0211/tgt/target/wmi/inc/wmi_svc_api.h \ + /root/Workspace/0211/wlan/include/wmi.h \ + /root/Workspace/0211/wlan/include/athdefs.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/dma_engine/inc/dma_engine_api.h \ + /root/Workspace/0211/tgt/build/magpie_1_0/sflash_boot/hif/inc/dma_lib.h \ + /root/Workspace/0211/tgt/target/cmnos/sflash/inc/sflash_api.h diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/inc/tasklet_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/inc/tasklet_api.h new file mode 100755 index 0000000..8ba85f1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/inc/tasklet_api.h @@ -0,0 +1,28 @@ +#ifndef __TASKLET_API_H__ +#define __TASKLET_API_H__ + +//typedef int A_tasklet_t; + +typedef void (*A_TASKLET_FUNC)(void *arg); + +#define A_TASKLET_STATE_DISABLE 0 +#define A_TASKLET_STATE_SCHEDULED 1 +#define A_TASKLET_STATE_RUNNING 2 + +struct _tasklet { + A_TASKLET_FUNC func; + void *arg; + int state; + struct _tasklet *next; +}; + +typedef struct _tasklet A_tasklet_t; + +struct tasklet_api { + void (* _tasklet_init)(void); + void (* _tasklet_init_task)(A_TASKLET_FUNC, void * arg, A_tasklet_t *); + void (* _tasklet_disable)(A_tasklet_t *); + void (* _tasklet_schedule)(A_tasklet_t *); + void (* _tasklet_run)(void); +}; +#endif /* __TASKLET_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/src/cmnos_tasklet.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/src/cmnos_tasklet.c new file mode 100755 index 0000000..053cd27 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/tasklet/src/cmnos_tasklet.c @@ -0,0 +1,136 @@ +#include "sys_cfg.h" + +#include "athos_api.h" +#include "tasklet_api.h" + +//////////////////////////////////////////// + +typedef struct _tasklet_context { + A_tasklet_t *schedule_tasks; +} tasklet_context; + +static tasklet_context g_tasklet_ctx; + +/* Initialize timer software. Called once during initialization. */ +LOCAL void +cmnos_tasklet_init(void) +{ + //timer_list = NULL; + g_tasklet_ctx.schedule_tasks = NULL; +} + +LOCAL void +cmnos_tasklet_init_task(A_TASKLET_FUNC fn, void * arg, A_tasklet_t *tasklet) +{ + tasklet->func = fn; + tasklet->arg = arg; + tasklet->next = NULL; + tasklet->state = A_TASKLET_STATE_DISABLE; +} + +LOCAL void +cmnos_tasklet_schedule(A_tasklet_t *tasklet) +{ + if ( tasklet->state == A_TASKLET_STATE_SCHEDULED ) { + return; + } + + tasklet->state = A_TASKLET_STATE_SCHEDULED; + if ( g_tasklet_ctx.schedule_tasks == NULL ) { + g_tasklet_ctx.schedule_tasks = tasklet; + } else { + tasklet->next = g_tasklet_ctx.schedule_tasks; + g_tasklet_ctx.schedule_tasks = tasklet; + } +} + +LOCAL void +cmnos_tasklet_disable(A_tasklet_t *tasklet) +{ + A_tasklet_t *tmp; + A_tasklet_t *prev = NULL; + + if ( tasklet->state != A_TASKLET_STATE_SCHEDULED ) { + return; + } + + tmp = g_tasklet_ctx.schedule_tasks; + while ( tmp != NULL ) { + if ( tmp == tasklet ) { + if ( prev == NULL ) { + g_tasklet_ctx.schedule_tasks = NULL; + } else { + prev->next = tmp->next; + } + + tasklet->state = A_TASKLET_STATE_DISABLE; + break; + } else { + prev = tmp; + tmp = tmp->next; + } + } +} + +LOCAL void +cmnos_tasklet_run(void) +{ + A_tasklet_t *tmp; + + tmp = g_tasklet_ctx.schedule_tasks; + while ( tmp != NULL ) { + g_tasklet_ctx.schedule_tasks = tmp->next; + tmp->next = NULL; + + tmp->state = A_TASKLET_STATE_RUNNING; + tmp->func(tmp->arg); + tmp->state = A_TASKLET_STATE_DISABLE; + + tmp = g_tasklet_ctx.schedule_tasks; + } + + g_tasklet_ctx.schedule_tasks = NULL; +} + +void +cmnos_tasklet_module_install(struct tasklet_api *tbl) +{ + tbl->_tasklet_init = cmnos_tasklet_init; + tbl->_tasklet_init_task = cmnos_tasklet_init_task; + tbl->_tasklet_disable = cmnos_tasklet_disable; + tbl->_tasklet_schedule = cmnos_tasklet_schedule; + tbl->_tasklet_run = cmnos_tasklet_run; +} + +//#define CMNOS_TASKLET_UT +#ifdef CMNOS_TASKLET_UT + +#include + +adf_os_bh_t bh; +adf_os_bh_t bh2; + +void test_tasklet(void *arg) +{ + adf_os_bh_t *tmpBH = (adf_os_bh_t *)arg; + + if ( tmpBH == &bh ) + adf_os_print("Tasklet1 running...\n"); + else if ( tmpBH == &bh2 ) + adf_os_print("Tasklet2 running...\n"); +} + +void +cmnos_tasklet_test() +{ + adf_os_init_bh(NULL, &bh, test_tasklet, &bh); + adf_os_init_bh(NULL, &bh2, test_tasklet, &bh2); + + adf_os_sched_bh(NULL, &bh); + adf_os_sched_bh(NULL, &bh2); +} + +#endif + + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/Makefile new file mode 100755 index 0000000..cb9c709 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = timer + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/Makefile.ss new file mode 100755 index 0000000..8b42f12 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/Makefile.ss @@ -0,0 +1,46 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_timer.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/inc/timer_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/inc/timer_api.h new file mode 100755 index 0000000..025a8e8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/inc/timer_api.h @@ -0,0 +1,23 @@ +#ifndef __TIMER_API_H__ +#define __TIMER_API_H__ +/* + * In order to remain completely independent of OS header files, + * "_SPACE" structures are declared with sufficient room to hold + * corresponding OS structures. + */ +typedef unsigned int _A_TIMER_SPACE[5]; +typedef _A_TIMER_SPACE A_timer_t; +#define A_TIMER A_timer_t /* historical */ + +typedef unsigned int A_HANDLE; /* historical */ +typedef void A_TIMER_FUNC(A_HANDLE timer_handle, void *arg); + +struct timer_api { + void (* _timer_init)(void); + void (* _timer_arm)(A_timer_t *, unsigned int); + void (* _timer_disarm)(A_timer_t *); + void (* _timer_setfn)(A_timer_t *, A_TIMER_FUNC, void *); + void (* _timer_run)(void); +}; +#endif /* __TIMER_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/src/cmnos_timer.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/src/cmnos_timer.c new file mode 100755 index 0000000..b9b49b0 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/timer/src/cmnos_timer.c @@ -0,0 +1,178 @@ + +#include "sys_cfg.h" +#include "athos_api.h" + +#if SYSTEM_MODULE_TIMER + +//#define TIMER_NOT_IN_USE ((cmnos_timer_t *)-1) +#define TIMER_NOT_IN_USE NULL + +/* convert milliseconds to ticks */ +#define MILLIS_TO_TIMER_TICKS(ms) (ms*ONE_MSEC) + +/* Current tick time */ +//#define NOW() xthal_get_ccount() + +#define TIMER_IS_EARLIER(t1, t2) ((A_INT32)((A_UINT32)t1-(A_UINT32)t2)<=0) + + +typedef struct cmnos_timer_s { + struct cmnos_timer_s *timer_next; + A_UINT32 timer_expire; + A_UINT32 timer_period; + A_TIMER_FUNC *timer_function; + void *timer_arg; +} cmnos_timer_t; /* A_timer_t */ + +LOCAL cmnos_timer_t *timer_list = NULL; + + +/* Initialize a timer. Initially, it is unarmed. */ +LOCAL void +cmnos_timer_setfn(A_timer_t *A_timer, A_TIMER_FUNC *pfunction, void *parg) +{ + cmnos_timer_t *ptimer = (cmnos_timer_t *)A_timer; + + ptimer->timer_next = TIMER_NOT_IN_USE; + ptimer->timer_expire = 0; /* sanity */ + ptimer->timer_function = pfunction; + ptimer->timer_arg = parg; +} + +/* Arm a timer to trigger after the specified time */ +LOCAL void +cmnos_timer_arm(A_timer_t *A_timer, + unsigned int milliseconds) +{ + cmnos_timer_t *ptimer = (cmnos_timer_t *)A_timer; + A_UINT32 timer_expire; + A_UINT32 timer_ticks; + cmnos_timer_t *curr, *prev = NULL; + + /* Convert milliseconds to ticks */ + timer_ticks = MILLIS_TO_TIMER_TICKS(milliseconds); + + /* Calculate expiring tick time */ + timer_expire = NOW() + timer_ticks; + + /* Find the right place to insert */ + for (curr = timer_list; + curr; + prev=curr, curr = curr->timer_next) + { + if (TIMER_IS_EARLIER(timer_expire, curr->timer_expire)) + break; + } + + /* Inster timer to the list */ + ptimer->timer_next = curr; + ptimer->timer_expire = timer_expire; + if (prev) { + prev->timer_next = ptimer; + } else { + /* Insert at head of the timer list */ + timer_list = ptimer; + } + + return; +} + +/* Disarm a timer, if it is currently armed. */ +LOCAL void +cmnos_timer_disarm(A_timer_t *A_timer) +{ + cmnos_timer_t *ptimer = (cmnos_timer_t *)A_timer; + cmnos_timer_t *curr, *prev = NULL; + + /* Find desired timer */ + for (curr = timer_list; + curr; + prev=curr, curr = curr->timer_next) + { + if (ptimer == curr) { + break; + } + } + + /* Remove it from the timer list */ + if (curr) { + if (prev) { + prev->timer_next = curr->timer_next; + } else { + timer_list = curr->timer_next; + } + } + + /* Clear timer parameters */ + ptimer->timer_next = TIMER_NOT_IN_USE; + ptimer->timer_period = 0; +} + +/* Initialize timer software. Called once during initialization. */ +LOCAL void +cmnos_timer_init(void) +{ + timer_list = NULL; +} + +/* Handler for LF0 Timer. */ +LOCAL void +cmnos_timer_handler(void) +{ + cmnos_timer_t *ptimer; + + while (timer_list && + TIMER_IS_EARLIER(timer_list->timer_expire, NOW())) + { + ptimer = timer_list; + timer_list = timer_list->timer_next; + ptimer->timer_next = TIMER_NOT_IN_USE; + ptimer->timer_function((A_HANDLE)ptimer, ptimer->timer_arg); + } + return; +} + +void +cmnos_timer_module_install(struct timer_api *tbl) +{ + tbl->_timer_init = cmnos_timer_init; + tbl->_timer_arm = cmnos_timer_arm; + tbl->_timer_disarm = cmnos_timer_disarm; + tbl->_timer_setfn = cmnos_timer_setfn; + tbl->_timer_run = cmnos_timer_handler; +} + +//#define CMNOS_TIMER_UT +#ifdef CMNOS_TIMER_UT + +#include + +adf_os_timer_t timer1; +adf_os_timer_t timer2; + +void test_timer(void *arg) +{ + adf_os_timer_t *tmp = (adf_os_timer_t *) arg; + + if ( tmp == &timer1 ) { + adf_os_print("Timer1 is fired\n"); + adf_os_timer_start(&timer1, 1000); + } else { + adf_os_print("Timer2 is fired\n"); + adf_os_timer_start(&timer2, 3000); + } +} + +void +cmnos_timer_test() +{ + adf_os_timer_init(NULL, &timer1, test_timer, &timer1); + adf_os_timer_init(NULL, &timer2, test_timer, &timer2); + adf_os_timer_start(&timer1, 1000); + adf_os_timer_start(&timer2, 3000); +} + +#endif + +#endif /* end SYSTEM_MODULE_TIMER */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/Makefile new file mode 100755 index 0000000..897d1d1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = uart + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/Makefile.ss new file mode 100755 index 0000000..a155bd8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/uart_api.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/inc/uart_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/inc/uart_api.h new file mode 100755 index 0000000..392e513 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/inc/uart_api.h @@ -0,0 +1,309 @@ +/*************************************************************************/ +/* Copyright (c) 2006 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : uart.h */ +/* */ +/* Abstract */ +/* This file contains definition of uart registers, marco and api. */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#ifndef _UART_API_H_ +#define _UART_API_H_ + +#include "reg_defs.h" + +/************************* Register Process marco ************************/ +#define UART_REG_WRITE(addr, val) HAL_WORD_REG_WRITE(UART_BASE_ADDRESS|(uint32_t)(addr), (val)) +#define UART_REG_READ(addr) HAL_WORD_REG_READ(UART_BASE_ADDRESS|(uint32_t)(addr)) + +/************************** Register deinition ***************************/ +#define RBR_ADDRESS 0x00051000 +#define RBR_OFFSET 0x00000000 +#define RBR_RBR_MSB 7 +#define RBR_RBR_LSB 0 +#define RBR_RBR_MASK 0x000000ff +#define RBR_RBR_GET(x) (((x) & RBR_RBR_MASK) >> RBR_RBR_LSB) +#define RBR_RBR_SET(x) (((x) << RBR_RBR_LSB) & RBR_RBR_MASK) + +#define THR_ADDRESS 0x00051000 +#define THR_OFFSET 0x00000000 +#define THR_THR_MSB 7 +#define THR_THR_LSB 0 +#define THR_THR_MASK 0x000000ff +#define THR_THR_GET(x) (((x) & THR_THR_MASK) >> THR_THR_LSB) +#define THR_THR_SET(x) (((x) << THR_THR_LSB) & THR_THR_MASK) + +#define DLL_ADDRESS 0x00051000 +#define DLL_OFFSET 0x00000000 +#define DLL_DLL_MSB 7 +#define DLL_DLL_LSB 0 +#define DLL_DLL_MASK 0x000000ff +#define DLL_DLL_GET(x) (((x) & DLL_DLL_MASK) >> DLL_DLL_LSB) +#define DLL_DLL_SET(x) (((x) << DLL_DLL_LSB) & DLL_DLL_MASK) + +#define DLH_ADDRESS 0x00051004 +#define DLH_OFFSET 0x00000004 +#define DLH_DLH_MSB 7 +#define DLH_DLH_LSB 0 +#define DLH_DLH_MASK 0x000000ff +#define DLH_DLH_GET(x) (((x) & DLH_DLH_MASK) >> DLH_DLH_LSB) +#define DLH_DLH_SET(x) (((x) << DLH_DLH_LSB) & DLH_DLH_MASK) + +#define IER_ADDRESS 0x00051004 +#define IER_OFFSET 0x00000004 +#define IER_EDDSI_MSB 3 +#define IER_EDDSI_LSB 3 +#define IER_EDDSI_MASK 0x00000008 +#define IER_EDDSI_GET(x) (((x) & IER_EDDSI_MASK) >> IER_EDDSI_LSB) +#define IER_EDDSI_SET(x) (((x) << IER_EDDSI_LSB) & IER_EDDSI_MASK) +#define IER_ELSI_MSB 2 +#define IER_ELSI_LSB 2 +#define IER_ELSI_MASK 0x00000004 +#define IER_ELSI_GET(x) (((x) & IER_ELSI_MASK) >> IER_ELSI_LSB) +#define IER_ELSI_SET(x) (((x) << IER_ELSI_LSB) & IER_ELSI_MASK) +#define IER_ETBEI_MSB 1 +#define IER_ETBEI_LSB 1 +#define IER_ETBEI_MASK 0x00000002 +#define IER_ETBEI_GET(x) (((x) & IER_ETBEI_MASK) >> IER_ETBEI_LSB) +#define IER_ETBEI_SET(x) (((x) << IER_ETBEI_LSB) & IER_ETBEI_MASK) +#define IER_ERBFI_MSB 0 +#define IER_ERBFI_LSB 0 +#define IER_ERBFI_MASK 0x00000001 +#define IER_ERBFI_GET(x) (((x) & IER_ERBFI_MASK) >> IER_ERBFI_LSB) +#define IER_ERBFI_SET(x) (((x) << IER_ERBFI_LSB) & IER_ERBFI_MASK) + +#define IIR_ADDRESS 0x00051008 +#define IIR_OFFSET 0x00000008 +#define IIR_FIFO_STATUS_MSB 7 +#define IIR_FIFO_STATUS_LSB 6 +#define IIR_FIFO_STATUS_MASK 0x000000c0 +#define IIR_FIFO_STATUS_GET(x) (((x) & IIR_FIFO_STATUS_MASK) >> IIR_FIFO_STATUS_LSB) +#define IIR_FIFO_STATUS_SET(x) (((x) << IIR_FIFO_STATUS_LSB) & IIR_FIFO_STATUS_MASK) +#define IIR_IID_MSB 3 +#define IIR_IID_LSB 0 +#define IIR_IID_MASK 0x0000000f +#define IIR_IID_GET(x) (((x) & IIR_IID_MASK) >> IIR_IID_LSB) +#define IIR_IID_SET(x) (((x) << IIR_IID_LSB) & IIR_IID_MASK) + +#define FCR_ADDRESS 0x00051008 +#define FCR_OFFSET 0x00000008 +#define FCR_RCVR_TRIG_MSB 7 +#define FCR_RCVR_TRIG_LSB 6 +#define FCR_RCVR_TRIG_MASK 0x000000c0 +#define FCR_RCVR_TRIG_GET(x) (((x) & FCR_RCVR_TRIG_MASK) >> FCR_RCVR_TRIG_LSB) +#define FCR_RCVR_TRIG_SET(x) (((x) << FCR_RCVR_TRIG_LSB) & FCR_RCVR_TRIG_MASK) +#define FCR_DMA_MODE_MSB 3 +#define FCR_DMA_MODE_LSB 3 +#define FCR_DMA_MODE_MASK 0x00000008 +#define FCR_DMA_MODE_GET(x) (((x) & FCR_DMA_MODE_MASK) >> FCR_DMA_MODE_LSB) +#define FCR_DMA_MODE_SET(x) (((x) << FCR_DMA_MODE_LSB) & FCR_DMA_MODE_MASK) +#define FCR_XMIT_FIFO_RST_MSB 2 +#define FCR_XMIT_FIFO_RST_LSB 2 +#define FCR_XMIT_FIFO_RST_MASK 0x00000004 +#define FCR_XMIT_FIFO_RST_GET(x) (((x) & FCR_XMIT_FIFO_RST_MASK) >> FCR_XMIT_FIFO_RST_LSB) +#define FCR_XMIT_FIFO_RST_SET(x) (((x) << FCR_XMIT_FIFO_RST_LSB) & FCR_XMIT_FIFO_RST_MASK) +#define FCR_RCVR_FIFO_RST_MSB 1 +#define FCR_RCVR_FIFO_RST_LSB 1 +#define FCR_RCVR_FIFO_RST_MASK 0x00000002 +#define FCR_RCVR_FIFO_RST_GET(x) (((x) & FCR_RCVR_FIFO_RST_MASK) >> FCR_RCVR_FIFO_RST_LSB) +#define FCR_RCVR_FIFO_RST_SET(x) (((x) << FCR_RCVR_FIFO_RST_LSB) & FCR_RCVR_FIFO_RST_MASK) +#define FCR_FIFO_EN_MSB 0 +#define FCR_FIFO_EN_LSB 0 +#define FCR_FIFO_EN_MASK 0x00000001 +#define FCR_FIFO_EN_GET(x) (((x) & FCR_FIFO_EN_MASK) >> FCR_FIFO_EN_LSB) +#define FCR_FIFO_EN_SET(x) (((x) << FCR_FIFO_EN_LSB) & FCR_FIFO_EN_MASK) + +#define LCR_ADDRESS 0x0005100c +#define LCR_OFFSET 0x0000000c +#define LCR_DLAB_MSB 7 +#define LCR_DLAB_LSB 7 +#define LCR_DLAB_MASK 0x00000080 +#define LCR_DLAB_GET(x) (((x) & LCR_DLAB_MASK) >> LCR_DLAB_LSB) +#define LCR_DLAB_SET(x) (((x) << LCR_DLAB_LSB) & LCR_DLAB_MASK) +#define LCR_BREAK_MSB 6 +#define LCR_BREAK_LSB 6 +#define LCR_BREAK_MASK 0x00000040 +#define LCR_BREAK_GET(x) (((x) & LCR_BREAK_MASK) >> LCR_BREAK_LSB) +#define LCR_BREAK_SET(x) (((x) << LCR_BREAK_LSB) & LCR_BREAK_MASK) +#define LCR_EPS_MSB 4 +#define LCR_EPS_LSB 4 +#define LCR_EPS_MASK 0x00000010 +#define LCR_EPS_GET(x) (((x) & LCR_EPS_MASK) >> LCR_EPS_LSB) +#define LCR_EPS_SET(x) (((x) << LCR_EPS_LSB) & LCR_EPS_MASK) +#define LCR_PEN_MSB 3 +#define LCR_PEN_LSB 3 +#define LCR_PEN_MASK 0x00000008 +#define LCR_PEN_GET(x) (((x) & LCR_PEN_MASK) >> LCR_PEN_LSB) +#define LCR_PEN_SET(x) (((x) << LCR_PEN_LSB) & LCR_PEN_MASK) +#define LCR_STOP_MSB 2 +#define LCR_STOP_LSB 2 +#define LCR_STOP_MASK 0x00000004 +#define LCR_STOP_GET(x) (((x) & LCR_STOP_MASK) >> LCR_STOP_LSB) +#define LCR_STOP_SET(x) (((x) << LCR_STOP_LSB) & LCR_STOP_MASK) +#define LCR_CLS_MSB 1 +#define LCR_CLS_LSB 0 +#define LCR_CLS_MASK 0x00000003 +#define LCR_CLS_GET(x) (((x) & LCR_CLS_MASK) >> LCR_CLS_LSB) +#define LCR_CLS_SET(x) (((x) << LCR_CLS_LSB) & LCR_CLS_MASK) + +#define MCR_ADDRESS 0x00051010 +#define MCR_OFFSET 0x00000010 +#define MCR_LOOPBACK_MSB 5 +#define MCR_LOOPBACK_LSB 5 +#define MCR_LOOPBACK_MASK 0x00000020 +#define MCR_LOOPBACK_GET(x) (((x) & MCR_LOOPBACK_MASK) >> MCR_LOOPBACK_LSB) +#define MCR_LOOPBACK_SET(x) (((x) << MCR_LOOPBACK_LSB) & MCR_LOOPBACK_MASK) +#define MCR_OUT2_MSB 3 +#define MCR_OUT2_LSB 3 +#define MCR_OUT2_MASK 0x00000008 +#define MCR_OUT2_GET(x) (((x) & MCR_OUT2_MASK) >> MCR_OUT2_LSB) +#define MCR_OUT2_SET(x) (((x) << MCR_OUT2_LSB) & MCR_OUT2_MASK) +#define MCR_OUT1_MSB 2 +#define MCR_OUT1_LSB 2 +#define MCR_OUT1_MASK 0x00000004 +#define MCR_OUT1_GET(x) (((x) & MCR_OUT1_MASK) >> MCR_OUT1_LSB) +#define MCR_OUT1_SET(x) (((x) << MCR_OUT1_LSB) & MCR_OUT1_MASK) +#define MCR_RTS_MSB 1 +#define MCR_RTS_LSB 1 +#define MCR_RTS_MASK 0x00000002 +#define MCR_RTS_GET(x) (((x) & MCR_RTS_MASK) >> MCR_RTS_LSB) +#define MCR_RTS_SET(x) (((x) << MCR_RTS_LSB) & MCR_RTS_MASK) +#define MCR_DTR_MSB 0 +#define MCR_DTR_LSB 0 +#define MCR_DTR_MASK 0x00000001 +#define MCR_DTR_GET(x) (((x) & MCR_DTR_MASK) >> MCR_DTR_LSB) +#define MCR_DTR_SET(x) (((x) << MCR_DTR_LSB) & MCR_DTR_MASK) + +#define LSR_ADDRESS 0x00051014 +#define LSR_OFFSET 0x00000014 +#define LSR_FERR_MSB 7 +#define LSR_FERR_LSB 7 +#define LSR_FERR_MASK 0x00000080 +#define LSR_FERR_GET(x) (((x) & LSR_FERR_MASK) >> LSR_FERR_LSB) +#define LSR_FERR_SET(x) (((x) << LSR_FERR_LSB) & LSR_FERR_MASK) +#define LSR_TEMT_MSB 6 +#define LSR_TEMT_LSB 6 +#define LSR_TEMT_MASK 0x00000040 +#define LSR_TEMT_GET(x) (((x) & LSR_TEMT_MASK) >> LSR_TEMT_LSB) +#define LSR_TEMT_SET(x) (((x) << LSR_TEMT_LSB) & LSR_TEMT_MASK) +#define LSR_THRE_MSB 5 +#define LSR_THRE_LSB 5 +#define LSR_THRE_MASK 0x00000020 +#define LSR_THRE_GET(x) (((x) & LSR_THRE_MASK) >> LSR_THRE_LSB) +#define LSR_THRE_SET(x) (((x) << LSR_THRE_LSB) & LSR_THRE_MASK) +#define LSR_BI_MSB 4 +#define LSR_BI_LSB 4 +#define LSR_BI_MASK 0x00000010 +#define LSR_BI_GET(x) (((x) & LSR_BI_MASK) >> LSR_BI_LSB) +#define LSR_BI_SET(x) (((x) << LSR_BI_LSB) & LSR_BI_MASK) +#define LSR_FE_MSB 3 +#define LSR_FE_LSB 3 +#define LSR_FE_MASK 0x00000008 +#define LSR_FE_GET(x) (((x) & LSR_FE_MASK) >> LSR_FE_LSB) +#define LSR_FE_SET(x) (((x) << LSR_FE_LSB) & LSR_FE_MASK) +#define LSR_PE_MSB 2 +#define LSR_PE_LSB 2 +#define LSR_PE_MASK 0x00000004 +#define LSR_PE_GET(x) (((x) & LSR_PE_MASK) >> LSR_PE_LSB) +#define LSR_PE_SET(x) (((x) << LSR_PE_LSB) & LSR_PE_MASK) +#define LSR_OE_MSB 1 +#define LSR_OE_LSB 1 +#define LSR_OE_MASK 0x00000002 +#define LSR_OE_GET(x) (((x) & LSR_OE_MASK) >> LSR_OE_LSB) +#define LSR_OE_SET(x) (((x) << LSR_OE_LSB) & LSR_OE_MASK) +#define LSR_DR_MSB 0 +#define LSR_DR_LSB 0 +#define LSR_DR_MASK 0x00000001 +#define LSR_DR_GET(x) (((x) & LSR_DR_MASK) >> LSR_DR_LSB) +#define LSR_DR_SET(x) (((x) << LSR_DR_LSB) & LSR_DR_MASK) + +#define MSR_ADDRESS 0x00051018 +#define MSR_OFFSET 0x00000018 +#define MSR_DCD_MSB 7 +#define MSR_DCD_LSB 7 +#define MSR_DCD_MASK 0x00000080 +#define MSR_DCD_GET(x) (((x) & MSR_DCD_MASK) >> MSR_DCD_LSB) +#define MSR_DCD_SET(x) (((x) << MSR_DCD_LSB) & MSR_DCD_MASK) +#define MSR_RI_MSB 6 +#define MSR_RI_LSB 6 +#define MSR_RI_MASK 0x00000040 +#define MSR_RI_GET(x) (((x) & MSR_RI_MASK) >> MSR_RI_LSB) +#define MSR_RI_SET(x) (((x) << MSR_RI_LSB) & MSR_RI_MASK) +#define MSR_DSR_MSB 5 +#define MSR_DSR_LSB 5 +#define MSR_DSR_MASK 0x00000020 +#define MSR_DSR_GET(x) (((x) & MSR_DSR_MASK) >> MSR_DSR_LSB) +#define MSR_DSR_SET(x) (((x) << MSR_DSR_LSB) & MSR_DSR_MASK) +#define MSR_CTS_MSB 4 +#define MSR_CTS_LSB 4 +#define MSR_CTS_MASK 0x00000010 +#define MSR_CTS_GET(x) (((x) & MSR_CTS_MASK) >> MSR_CTS_LSB) +#define MSR_CTS_SET(x) (((x) << MSR_CTS_LSB) & MSR_CTS_MASK) +#define MSR_DDCD_MSB 3 +#define MSR_DDCD_LSB 3 +#define MSR_DDCD_MASK 0x00000008 +#define MSR_DDCD_GET(x) (((x) & MSR_DDCD_MASK) >> MSR_DDCD_LSB) +#define MSR_DDCD_SET(x) (((x) << MSR_DDCD_LSB) & MSR_DDCD_MASK) +#define MSR_TERI_MSB 2 +#define MSR_TERI_LSB 2 +#define MSR_TERI_MASK 0x00000004 +#define MSR_TERI_GET(x) (((x) & MSR_TERI_MASK) >> MSR_TERI_LSB) +#define MSR_TERI_SET(x) (((x) << MSR_TERI_LSB) & MSR_TERI_MASK) +#define MSR_DDSR_MSB 1 +#define MSR_DDSR_LSB 1 +#define MSR_DDSR_MASK 0x00000002 +#define MSR_DDSR_GET(x) (((x) & MSR_DDSR_MASK) >> MSR_DDSR_LSB) +#define MSR_DDSR_SET(x) (((x) << MSR_DDSR_LSB) & MSR_DDSR_MASK) +#define MSR_DCTS_MSB 0 +#define MSR_DCTS_LSB 0 +#define MSR_DCTS_MASK 0x00000001 +#define MSR_DCTS_GET(x) (((x) & MSR_DCTS_MASK) >> MSR_DCTS_LSB) +#define MSR_DCTS_SET(x) (((x) << MSR_DCTS_LSB) & MSR_DCTS_MASK) + + +/************************** config definition ***************************/ +#define UART_FIFO_SIZE 512 //Must be 2^N + +#define USE_POST_BUFFER 0 // ENABLE a tx buffer for post processing, +/*********************** data struction definition ************************/ +// data struction definition +struct uart_fifo { +#if USE_POST_BUFFER + uint8_t buf[UART_FIFO_SIZE]; +#endif + uint16_t start_index; + uint16_t end_index; + uint32_t overrun_err; +}; + + +struct uart_blk { + uint16_t debug_mode; + uint16_t baud; + struct uart_api *_uart; + struct uart_fifo _tx; +}; + + +/******** hardware API table structure (API descriptions below) *************/ +struct uart_api { + uint32_t (*_uart_init)(void); + void (*_uart_char_put)(uint8_t ch); + uint16_t (*_uart_char_get)(uint8_t* ch); + void (*_uart_str_out)(uint8_t* str); + void (*_uart_task)(void); + uint32_t (*_uart_status)(void); + void (*_uart_config)(uint16_t flag); + void (*_uart_hwinit)(uint32_t freq, uint32_t baud); + //void (*_uart_config)(uint8_t cmd, void *pData); +}; + +/************************* EXPORT function ***************************/ + + +#endif // end of _UART_API_H_ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/src/uart_api.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/src/uart_api.c new file mode 100755 index 0000000..1086985 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/src/uart_api.c @@ -0,0 +1,314 @@ +/* + * Copyright (c) 2000-2008 Atheros Communications, Inc., All Rights Reserved + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/uart/src/uart_api.c#1 $ + * + * This file contains UART functions + */ +#include "sys_cfg.h" + +#if SYSTEM_MODULE_UART + +#include "athos_api.h" + +//static global control block +// +static struct uart_blk uart_ctl_blk; + +static void _uart_hwinit(uint32_t freq, uint32_t baud); + +/*!- Initialize UART + * + */ +uint32_t +_uart_init(void) +{ + /*! Initialize UART hardware */ + uint32_t _lcr; + + /* Disable port interrupts while changing hardware */ + UART_REG_WRITE(IER_OFFSET, 0); + + /* Set databits, stopbits and parity. */ + _lcr = LCR_CLS_SET(3) | LCR_STOP_SET(0) | LCR_PEN_SET(0); + UART_REG_WRITE(LCR_OFFSET, _lcr); + + /* Set baud rate. */ + _uart_hwinit(A_REFCLK_SPEED_GET(), UART_DEFAULT_BAUD); +// _uart_hwinit(A_REFCLK_SPEED_GET(), 115200); + //_uart_hwinit(SYSTEM_CLK, UART_DEFAULT_BAUD); + + /* Don't allow interrupts. */ + UART_REG_WRITE(IER_OFFSET, 0); + + /* + * Enable and clear FIFO. + * We don't really use the FIFO for output, but it might still + * be useful for input. + */ + UART_REG_WRITE(FCR_OFFSET, + (FCR_FIFO_EN_SET(1) | + FCR_RCVR_FIFO_RST_SET(1) | + FCR_XMIT_FIFO_RST_SET(1))); + + /*! Initialize UART software buffer */ + uart_ctl_blk._tx.start_index = 0; + uart_ctl_blk._tx.end_index = 0; +} + + +/*!- dummy put character + * + */ +void +_uart_char_put_nothing(uint8_t ch) +{ + // do nothing +} + + +/*!- dummy get character + * + */ +uint16_t +_uart_char_get_nothing(uint8_t* ch) +{ + return 0; //return FALSE; +} + + +/*!- Put a character + * + */ +void +_uart_char_put(uint8_t ch) +{ +#if USE_POST_BUFFER + uint16_t index; + uint32_t count = 0; + + index = (uart_ctl_blk._tx.end_index+1) & (UART_FIFO_SIZE-1); + if(index == uart_ctl_blk._tx.start_index) { + while (1) { + _uart_task(); + index = (uart_ctl_blk._tx.end_index+1) & (UART_FIFO_SIZE-1); + if (index != uart_ctl_blk._tx.start_index) { + break; + } + if (count++ > 100000) { + /*! If Tx buffer is full, uart_underrun_t++, return FALSE */ + uart_ctl_blk._tx.overrun_err++; + return; + } + } + } + uart_ctl_blk._tx.buf[uart_ctl_blk._tx.end_index] = ch; + uart_ctl_blk._tx.end_index = index; +#else + /*! If Tx buffer is full, uart_underrun_t++, return FALSE */ + uint32_t lsr; + int i; + + for (i=0; i_uart_char_put = _uart_char_put; + else + // debug mode enable + uart_ctl_blk._uart->_uart_char_put = _uart_char_put_nothing; + } +} + +/*!- Set baudrate + * + */ +void +_uart_hwinit(uint32_t freq, uint32_t baud) +{ + uint32_t _lcr; + uint32_t baud_divisor = freq/16/baud; + + _lcr = UART_REG_READ(LCR_OFFSET); + _lcr |= LCR_DLAB_SET(1); + UART_REG_WRITE(LCR_OFFSET, _lcr); + + UART_REG_WRITE(DLH_OFFSET, baud_divisor >> 8); + UART_REG_WRITE(DLL_OFFSET, baud_divisor & 0xff); + + _lcr &= ~LCR_DLAB_SET(1); + UART_REG_WRITE(LCR_OFFSET, _lcr); +} + +/********** EXPORT function ***********/ + +/*!- Install the function table + * + */ +void cmnos_uart_module_install(struct uart_api *apis) +{ + /* hook in APIs */ + apis->_uart_init = _uart_init; + apis->_uart_char_put = _uart_char_put; + apis->_uart_char_get = _uart_char_get; + apis->_uart_str_out = _uart_str_out; + apis->_uart_task = _uart_task; + apis->_uart_config = _uart_config; + apis->_uart_status = _uart_status; + apis->_uart_hwinit = _uart_hwinit; + + uart_ctl_blk._uart = apis; + uart_ctl_blk.debug_mode = TRUE; + return; +} + +#endif /* SYSTEM_MODULE_UART */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/Makefile new file mode 100755 index 0000000..f3c6c7d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = wdt + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/cmnos/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libcmnos.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/Makefile.ss new file mode 100755 index 0000000..d80e327 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/cmnos_wdt.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/inc/wdt_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/inc/wdt_api.h new file mode 100755 index 0000000..f480590 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/inc/wdt_api.h @@ -0,0 +1,56 @@ +#ifndef __WDT_API_H__ +#define __WDT_API_H__ +/* + * + */ + +typedef enum { + WDT_ACTION_NO = 0, // bit1, bit0: 00 + WDT_ACTION_INTR, // bit1, bit0: 01 + WDT_ACTION_NMI, // bit1, bit0: 10 + WDT_ACTION_RESET, // bit1, bit0: 11 + + WDT_ACTION_UNKNOWN +}T_WDT_ACTION_TYPE; + + +typedef enum { + WDT_TIMEOUT = 1, + WDT_ACTION, + + WDT_UNKNOWN +}T_WDT_CMD_TYPE; + +typedef struct { + uint32_t cmd; + union { + uint32_t timeout; + uint32_t action; + }; +}T_WDT_CMD; + + +typedef enum{ + ENUM_WDT_BOOT = 1, + ENUM_COLD_BOOT, + ENUM_SUSP_BOOT, + + // add above here + ENUM_UNKNOWN_BOOT +}T_BOOT_TYPE; + + +/*!- interface of watchdog timer + * + */ +struct wdt_api { + void (* _wdt_init)(void); + void (* _wdt_enable)(void); + void (* _wdt_disable)(void); + void (* _wdt_set)(T_WDT_CMD); + void (* _wdt_task)(void); + void (* _wdt_reset)(void); + T_BOOT_TYPE (*_wdt_last_boot)(void); +}; +#endif /* __WDT_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/src/cmnos_wdt.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/src/cmnos_wdt.c new file mode 100755 index 0000000..03dd02e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/cmnos/wdt/src/cmnos_wdt.c @@ -0,0 +1,170 @@ + +#include "sys_cfg.h" + +#include "athos_api.h" + +#if SYSTEM_MODULE_WDT + + +typedef struct { + BOOLEAN state; + T_WDT_ACTION_TYPE action; + uint32_t timeout; +}T_WDT_CTRL; + + +LOCAL T_WDT_CTRL wdt_ctrl; + +/*!- Initialize watchdog timer + * + */ +LOCAL T_BOOT_TYPE +cmnos_wdt_last_boot(void) +{ +#if 0 + if (HAL_WORD_REG_READ(MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR) & 0x80000000 ) + return ENUM_WDT_BOOT; + else + return ENUM_COLD_BOOT; +#endif + +#if defined(PROJECT_MAGPIE) + if ( *((volatile uint32_t*)WATCH_DOG_MAGIC_PATTERN_ADDR) == WDT_MAGIC_PATTERN ) + return ENUM_WDT_BOOT; + else if ( *((volatile uint32_t*)WATCH_DOG_MAGIC_PATTERN_ADDR) == SUS_MAGIC_PATTERN ) + return ENUM_SUSP_BOOT; + else + return ENUM_COLD_BOOT; +#elif defined(PROJECT_K2) + //if (HAL_WORD_REG_READ(MAGPIE_REG_RST_STATUS_ADDR) == WDT_MAGIC_PATTERN || HAL_WORD_REG_READ(MAGPIE_REG_RST_STATUS_ADDR) == SUS_MAGIC_PATTERN) + if ( HAL_WORD_REG_READ(MAGPIE_REG_RST_STATUS_ADDR) == WDT_MAGIC_PATTERN ) + return ENUM_WDT_BOOT; + else if ( HAL_WORD_REG_READ(MAGPIE_REG_RST_STATUS_ADDR) == SUS_MAGIC_PATTERN ) + return ENUM_SUSP_BOOT; + else + return ENUM_COLD_BOOT; +#endif /* #if defined(PROJECT_MAGPIE) */ +} + + +/*!- trigger a wdt reset. + * + */ +LOCAL void +cmnos_wdt_reset() +{ + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR, WDT_ACTION_RESET); + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_ADDR, 0x100); + while(1); // never return; +} + + +/*!- setup the timeout value. + * + */ +LOCAL void +cmnos_wdt_set(T_WDT_CMD wdt_cmd) +{ + switch(wdt_cmd.cmd) + { + case WDT_TIMEOUT: + if( wdt_cmd.timeout>0 ) //dummy check + { + wdt_ctrl.timeout = wdt_cmd.timeout; + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_ADDR, wdt_ctrl.timeout); + } + break; + + case WDT_ACTION: + if( wdt_cmd.action < WDT_ACTION_UNKNOWN ) + { + wdt_ctrl.action = wdt_cmd.action; + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR, wdt_ctrl.action); + } + } +} + + +/*!- Enable watchdog timer. + * + */ +LOCAL void +cmnos_wdt_enable() +{ + T_WDT_CMD wdt_cmd; + wdt_ctrl.state = TRUE; + + wdt_cmd.cmd = WDT_ACTION; + wdt_cmd.action = WDT_ACTION_RESET; + cmnos_wdt_set(wdt_cmd); + //HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR, HAL_WORD_REG_READ(MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR)|(BIT0|BIT1)); +} + +/*!- Disable watchdog timer. + * + */ +LOCAL void +cmnos_wdt_disable() +{ + T_WDT_CMD wdt_cmd; + + wdt_ctrl.state = FALSE; + + wdt_cmd.cmd = WDT_ACTION; + wdt_cmd.action = WDT_ACTION_NO; + cmnos_wdt_set(wdt_cmd); +// HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR, (HAL_WORD_REG_READ(MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR)&(~(BIT0|BIT1)))); +// MAGPIE_REG_RST_WDT_TIMER_CTRL &= ~(BIT0|BIT1); +} + + +/*!- Initialize watchdog timer + * + */ +LOCAL void +cmnos_wdt_init(void) +{ + T_WDT_CMD wdt_cmd; + + //wdt_init_done = TRUE; + wdt_ctrl.state = FALSE; + wdt_ctrl.action = WDT_ACTION_NO; + wdt_ctrl.timeout = WDT_DEFAULT_TIMEOUT_VALUE; + + // should be init outside but just kick it ticking at here for workaround + cmnos_wdt_enable(); + + wdt_cmd.cmd = WDT_TIMEOUT; + wdt_cmd.timeout= wdt_ctrl.timeout; + cmnos_wdt_set(wdt_cmd); +} + + +/*!- update the watchdog timer timerout value. + * + */ +LOCAL void +cmnos_wdt_task() +{ + // if wdt is not enable, just skip the update + if( wdt_ctrl.state ) + { + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_WDT_TIMER_ADDR, wdt_ctrl.timeout); + } + +} + +void +cmnos_wdt_module_install(struct wdt_api *tbl) +{ + tbl->_wdt_init = cmnos_wdt_init; + tbl->_wdt_enable = cmnos_wdt_enable; + tbl->_wdt_disable = cmnos_wdt_disable; + tbl->_wdt_set = cmnos_wdt_set; + tbl->_wdt_task = cmnos_wdt_task; + tbl->_wdt_reset = cmnos_wdt_reset; + tbl->_wdt_last_boot = cmnos_wdt_last_boot; +} + +#endif /* SYSTEM_MODULE_WDT */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/Makefile new file mode 100755 index 0000000..c229d74 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/Makefile @@ -0,0 +1,61 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +#export LAYERNAME = rom +export SSNAME = dma_engine + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libdma.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/Makefile.ss new file mode 100755 index 0000000..2f1e7ef --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/dma_engine.o \ + $(SSOBJPATH)/desc.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/inc/dma_engine_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/inc/dma_engine_api.h new file mode 100755 index 0000000..6996cb8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/inc/dma_engine_api.h @@ -0,0 +1,92 @@ +/* + * @File: dma_engine_api.h + * + * @Abstract: DMA Engine api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _DMA_ENGINE_API_H +#define _DMA_ENGINE_API_H + +#include +#include + +struct zsDmaDesc +{ +#if 1 // BIG_ENDIAN + volatile u16_t ctrl; // Descriptor control + volatile u16_t status; // Descriptor status + volatile u16_t totalLen; // Total length + volatile u16_t dataSize; // Data size +#else + volatile u16_t status; // Descriptor status + volatile u16_t ctrl; // Descriptor control + volatile u16_t dataSize; // Data size + volatile u16_t totalLen; // Total length +#endif + struct zsDmaDesc* lastAddr; // Last address of this chain + volatile u32_t dataAddr; // Data buffer address + struct zsDmaDesc* nextAddr; // Next TD address +}; + +struct zsDmaQueue +{ + struct zsDmaDesc* head; + struct zsDmaDesc* terminator; +}; + +// Subclass of zsDmaQueue for TX +struct zsTxDmaQueue +{ + struct zsDmaDesc* head; + struct zsDmaDesc* terminator; + + /* Below are fields specific to TX */ + VBUF *xmited_buf_head; + VBUF *xmited_buf_tail; +}; + +/* hardware API table structure (API descriptions below) */ +struct dma_engine_api +{ + void (*_init)(); + + void (*_init_rx_queue)(struct zsDmaQueue *q); + + void (*_init_tx_queue)(struct zsTxDmaQueue *q); + + void (*_config_rx_queue)(struct zsDmaQueue *q, int num_desc, int buf_size); + + void (*_xmit_buf)(struct zsTxDmaQueue *q, VBUF *buf); + + void (*_flush_xmit)(struct zsDmaQueue *q); + + VBUF* (*_reap_recv_buf)(struct zsDmaQueue *q); + + void (*_return_recv_buf)(struct zsDmaQueue *q, VBUF *buf); + + VBUF* (*_reap_xmited_buf)(struct zsTxDmaQueue *q); + + void (*_swap_data)(struct zsDmaDesc* desc); + + int (*_has_compl_packets)(struct zsDmaQueue *q); + + void (*_desc_dump)(struct zsDmaQueue *q); + + /* The functions below are for patchable */ + struct zsDmaDesc* (*_get_packet)(struct zsDmaQueue* q); + void (*_reclaim_packet)(struct zsDmaQueue* q, struct zsDmaDesc* desc); + void (*_put_packet)(struct zsDmaQueue* q, struct zsDmaDesc* desc); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void dma_engine_module_install(struct dma_engine_api *apis); + +#endif /* #ifndef _DMA_ENGINE_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/desc.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/desc.c new file mode 100755 index 0000000..40425a8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/desc.c @@ -0,0 +1,236 @@ +/************************************************************************/ +/* Copyright (c) 2013 Qualcomm Atheros, All Rights Reserved. */ +/* */ +/* Module Name : desc.c */ +/* */ +/* Abstract */ +/* This module contains DMA descriptors handle functions. */ +/* */ +/* ROUTINES */ +/* */ +/* zfDmaInitDescriptor */ +/* zfDmaGetPacket */ +/* zfDmaReclaimPacket */ +/* zfDmaPutPacket */ +/* */ +/* NOTES */ +/* None */ +/* */ +/************************************************************************/ +#include "dt_defs.h" +#include "string.h" +//#include "gv_extr.h" +#include "reg_defs.h" +//#include "uart_extr.h" +#include +//#include +//#include "HIF_usb.h" +#include +#include +#include "desc.h" + +/* Function prototypes */ +//void zfDmaInitDescriptor(void); +struct zsDmaDesc* zfDmaGetPacket(struct zsDmaQueue* q); +void zfDmaReclaimPacket(struct zsDmaQueue* q, struct zsDmaDesc* desc); +void zfDmaPutPacket(struct zsDmaQueue* q, struct zsDmaDesc* desc); + +/************************************************************************/ +/* */ +/* FUNCTION DESCRIPTION zfDmaGetPacket */ +/* Get a completed packet with # descriptors. Return the first */ +/* descriptor and pointer the head directly by lastAddr->nextAddr */ +/* */ +/* ROUTINES CALLED */ +/* */ +/* INPUTS */ +/* struct zsDmaQueue* q */ +/* */ +/* OUTPUTS */ +/* struct zsDmaDesc* desc */ +/* */ +/* AUTHOR */ +/* Stephen Chen ZyDAS Communication Corporation 2005.10 */ +/* */ +/* NOTES */ +/* */ +/************************************************************************/ +struct zsDmaDesc* zfDmaGetPacket(struct zsDmaQueue* q) +{ + struct zsDmaDesc* desc = NULL; + + if(q->head == q->terminator) + return NULL; + + if (((q->head->status & ZM_OWN_BITS_MASK) == ZM_OWN_BITS_SW) + || ((q->head->status & ZM_OWN_BITS_MASK) == ZM_OWN_BITS_SE)) + + //if ( (((q->head->status & ZM_OWN_BITS_MASK) == ZM_OWN_BITS_SW) && ((u32_t)q != (u32_t)&zgDnQ)) + // || (((q->head->status & ZM_OWN_BITS_MASK) == ZM_OWN_BITS_SE) && ((u32_t)q == (u32_t)&zgDnQ)) ) + + { + desc = q->head; + + q->head = desc->lastAddr->nextAddr; + } + return desc; +} + +/************************************************************************/ +/* */ +/* FUNCTION DESCRIPTION zfDmaReclaimPacket */ +/* Free descriptor. */ +/* Exchange the terminator and the first descriptor of the packet */ +/* for hardware ascy... . */ +/* */ +/* ROUTINES CALLED */ +/* */ +/* INPUTS */ +/* struct zsDmaQueue* q */ +/* struct zsDmaDesc* desc */ +/* */ +/* OUTPUTS */ +/* */ +/* AUTHOR */ +/* Stephen Chen ZyDAS Communication Corporation 2005.10 */ +/* */ +/* NOTES */ +/* */ +/************************************************************************/ +void zfDmaReclaimPacket(struct zsDmaQueue* q, struct zsDmaDesc* desc) +{ + struct zsDmaDesc* tmpDesc; + struct zsDmaDesc tdesc; + VDESC *vdesc; + VDESC *vtermdesc; + //int tmp; + //u8_t *tmpAddr; + + /* 1. Set OWN bit to 1 for all TDs to be added, clear ctrl and size */ + tmpDesc = desc; + while (1) + { + tmpDesc->status = ZM_OWN_BITS_HW; + tmpDesc->ctrl = 0; + tmpDesc->totalLen = 0; + +#if ZM_FM_LOOPBACK == 1 + vdesc = VDESC_HW_TO_VDESC(tmpDesc); + tmpDesc->dataSize = vdesc->buf_size; +#endif + + //A_PRINTF("tmpDesc->dataSize = %d\n", (u32_t)tmpDesc->dataSize); + + /* TODO : Exception handle */ + if (desc->lastAddr == tmpDesc) + { + break; + } + tmpDesc = tmpDesc->nextAddr; + } + + /* 3. Next address of Last TD to be added = first TD */ + desc->lastAddr->nextAddr = desc; + + /* 2. Copy first TD to be added to TTD */ + //zfMemoryCopyInWord(&tdesc, desc, sizeof(struct zsDmaDesc)); + A_MEMCPY(&tdesc, desc, sizeof(struct zsDmaDesc)); + + /* 4. set first TD OWN bit to 0 */ + desc->status &= (~ZM_OWN_BITS_MASK); + + /* 5. Copy TTD to last TD */ + tdesc.status &= (~ZM_OWN_BITS_MASK); + + vdesc = VDESC_HW_TO_VDESC(desc); + vtermdesc = VDESC_HW_TO_VDESC(q->terminator); + + VDESC_swap_vdesc(vtermdesc, vdesc); + + //zfMemoryCopyInWord((void*)q->terminator, (void*)&tdesc, sizeof(struct zsDmaDesc)); + A_MEMCPY((void*)q->terminator, (void*)&tdesc, sizeof(struct zsDmaDesc)); + + //desc->dataSize = 0; + //desc->dataAddr = 0; + q->terminator->status |= ZM_OWN_BITS_HW; + + /* Update terminator pointer */ + q->terminator = desc; +} + +/************************************************************************/ +/* */ +/* FUNCTION DESCRIPTION zfDmaPutPacket */ +/* Put a complete packet into the tail of the Queue q. */ +/* Exchange the terminator and the first descriptor of the packet */ +/* for hardware ascy... . */ +/* */ +/* ROUTINES CALLED */ +/* */ +/* INPUTS */ +/* struct zsDmaQueue* q */ +/* struct zsDmaDesc* desc */ +/* */ +/* OUTPUTS */ +/* */ +/* AUTHOR */ +/* Stephen Chen ZyDAS Communication Corporation 2005.10 */ +/* */ +/* NOTES */ +/* */ +/************************************************************************/ +void zfDmaPutPacket(struct zsDmaQueue* q, struct zsDmaDesc* desc) +{ + struct zsDmaDesc* tmpDesc; + struct zsDmaDesc tdesc; + VDESC *vdesc; + VDESC *vtermdesc; + //u32_t tmp; + //u8_t *tmpAddr; + + /* 1. Set OWN bit to 1 for all TDs to be added */ + tmpDesc = desc; + while (1) + { + tmpDesc->status = + ((tmpDesc->status & (~ZM_OWN_BITS_MASK)) | ZM_OWN_BITS_HW); + /* TODO : Exception handle */ + + if (desc->lastAddr == tmpDesc) + { + break; + } + tmpDesc = tmpDesc->nextAddr; + } + + /* 3. Next address of Last TD to be added = first TD */ + desc->lastAddr->nextAddr = desc; + + /* If there is only one descriptor, update pointer of last descriptor */ + if (desc->lastAddr == desc) + { + desc->lastAddr = q->terminator; + } + + /* 2. Copy first TD to be added to TTD */ + A_MEMCPY(&tdesc, desc, sizeof(struct zsDmaDesc)); + //tdesc.dataSize = 0; + //tdesc.dataAddr = 0; + + /* 4. set first TD OWN bit to 0 */ + desc->status &= (~ZM_OWN_BITS_MASK); + + /* 5. Copy TTD to last TD */ + tdesc.status &= (~ZM_OWN_BITS_MASK); + + vdesc = VDESC_HW_TO_VDESC(desc); + vtermdesc = VDESC_HW_TO_VDESC(q->terminator); + + VDESC_swap_vdesc(vtermdesc, vdesc); + + A_MEMCPY((void*)q->terminator, (void*)&tdesc, sizeof(struct zsDmaDesc)); + q->terminator->status |= ZM_OWN_BITS_HW; + /* Update terminator pointer */ + q->terminator = desc; +} + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/desc.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/desc.h new file mode 100755 index 0000000..989eca6 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/desc.h @@ -0,0 +1,144 @@ +/************************************************************************/ +/* Copyright (c) 2013 Qualcomm Atheros, All Rights Reserved. */ +/* */ +/* Module Name : desc_def.h */ +/* */ +/* Abstract */ +/* This module contains DMA descriptor related definitions. */ +/* */ +/* NOTES */ +/* None */ +/* */ +/************************************************************************/ + +#ifndef _DESC_DEFS_H +#define _DESC_DEFS_H + +#if 0 +#define BIG_ENDIAN + +struct zsDmaDesc +{ +#ifdef BIG_ENDIAN + + volatile u16_t ctrl; // Descriptor control + volatile u16_t status; // Descriptor status + + volatile u16_t totalLen; // Total length + volatile u16_t dataSize; // Data size + +#else + volatile u16_t status; // Descriptor status + volatile u16_t ctrl; // Descriptor control + volatile u16_t dataSize; // Data size + volatile u16_t totalLen; // Total length +#endif + struct zsDmaDesc* lastAddr; // Last address of this chain + volatile u32_t dataAddr; // Data buffer address + struct zsDmaDesc* nextAddr; // Next TD address +}; +#endif + +/* Tx5 Dn Rx Up Int */ +#if 0 +#define ZM_TERMINATOR_NUMBER_B 8 + +#if ZM_BAR_AUTO_BA == 1 +#define ZM_TERMINATOR_NUMBER_BAR 1 +#else +#define ZM_TERMINATOR_NUMBER_BAR 0 +#endif + +#if ZM_INT_USE_EP2 == 1 +#define ZM_TERMINATOR_NUMBER_INT 1 +#else +#define ZM_TERMINATOR_NUMBER_INT 0 +#endif + +#define ZM_TX_DELAY_DESC_NUM 16 +#define ZM_TERMINATOR_NUMBER (8 + ZM_TERMINATOR_NUMBER_BAR + \ + ZM_TERMINATOR_NUMBER_INT + \ + ZM_TX_DELAY_DESC_NUM) + + +#define ZM_BLOCK_SIZE (256+64) +#define ZM_DESCRIPTOR_SIZE (sizeof(struct zsDmaDesc)) +#endif + +//#define ZM_FRAME_MEMORY_BASE 0x100000 +#if 0 +#if 1 +/* 64k */ +//#define ZM_FRAME_MEMROY_SIZE 0xf000 +/* 96k */ +//#define ZM_FRAME_MEMROY_SIZE 0x17000 + +#else +/* fake phy */ +/* 128k / 96k */ +#define ZM_FRAME_MEMROY_SIZE (ZM_BLOCK_SIZE+ZM_DESCRIPTOR_SIZE)*(160+60) + \ + (ZM_DESCRIPTOR_SIZE*ZM_TERMINATOR_NUMBER)+64 +#endif + +#define ZM_BLOCK_NUMBER ((ZM_FRAME_MEMROY_SIZE-(ZM_DESCRIPTOR_SIZE* \ + ZM_TERMINATOR_NUMBER)-64)/(ZM_BLOCK_SIZE \ + +ZM_DESCRIPTOR_SIZE)) +#define ZM_DESC_NUMBER (ZM_BLOCK_NUMBER + ZM_TERMINATOR_NUMBER) + +#define ZM_DESCRIPTOR_BASE ZM_FRAME_MEMORY_BASE +#define ZM_BLOCK_BUFFER_BASE (((((ZM_BLOCK_NUMBER+ZM_TERMINATOR_NUMBER) \ + *ZM_DESCRIPTOR_SIZE) >> 6) << 6) + 0x40 \ + + ZM_FRAME_MEMORY_BASE) + +#define ZM_DOWN_BLOCK_RATIO 2 +#define ZM_RX_BLOCK_RATIO 1 +/* Tx 16*2 = 32 packets => 32*(5*320) */ +#define ZM_TX_BLOCK_NUMBER ZM_BLOCK_NUMBER * ZM_DOWN_BLOCK_RATIO / \ + (ZM_RX_BLOCK_RATIO + ZM_DOWN_BLOCK_RATIO) +#define ZM_RX_BLOCK_NUMBER ZM_BLOCK_NUMBER-ZM_TX_BLOCK_NUMBER + //ZM_BLOCK_NUMBER * ZM_RX_BLOCK_RATIO / \ + //(ZM_RX_BLOCK_RATIO + ZM_DOWN_BLOCK_RATIO) + + +#define ZM_TX_DELAY_DESC_BASE ZM_FRAME_MEMORY_BASE + ZM_DESCRIPTOR_SIZE*(ZM_TERMINATOR_NUMBER-ZM_TX_DELAY_DESC_NUM) +#endif + +/* Erro code */ +#define ZM_ERR_FS_BIT 1 +#define ZM_ERR_LS_BIT 2 +#define ZM_ERR_OWN_BITS 3 +#define ZM_ERR_DATA_SIZE 4 +#define ZM_ERR_TOTAL_LEN 5 +#define ZM_ERR_DATA 6 +#define ZM_ERR_SEQ 7 +#define ZM_ERR_LEN 8 + +/* Status bits definitions */ +/* Own bits definitions */ +#define ZM_OWN_BITS_MASK 0x3 +#define ZM_OWN_BITS_SW 0x0 +#define ZM_OWN_BITS_HW 0x1 +#define ZM_OWN_BITS_SE 0x2 + +/* Control bits definitions */ +/* First segament bit */ +#define ZM_LS_BIT 0x100 +/* Last segament bit */ +#define ZM_FS_BIT 0x200 + +#if 0 +struct zsDmaQueue +{ + struct zsDmaDesc* head; + struct zsDmaDesc* terminator; +}; +#endif + +struct zsDmaQueue; +struct szDmaDesc; + +extern struct zsDmaDesc* zfDmaGetPacket(struct zsDmaQueue* q); +extern void zfDmaReclaimPacket(struct zsDmaQueue* q, struct zsDmaDesc* desc); +extern void zfDmaPutPacket(struct zsDmaQueue* q, struct zsDmaDesc* desc); + +#endif /* #ifndef _DESC_DEFS_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/dma_engine.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/dma_engine.c new file mode 100755 index 0000000..e068b6c --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/dma_engine/src/dma_engine.c @@ -0,0 +1,386 @@ +/* + * @File: dma_engine.c + * + * @Abstract: DMA engine for Magpie + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ +#include "sys_cfg.h" +#include "dt_defs.h" +#include "reg_defs.h" +#include "desc.h" + +#include +//#include +#include +#include +#include +#include +#include + +//#include "HIF_usb.h" + +//HIF_USB_CONTEXT g_hifUSBCtx; + +#define VDESC_TO_USBDESC(vdesc) (struct zsDmaDesc *)((vdesc)->hw_desc_buf) + +static void relinkUSBDescToVdesc(VBUF *buf, struct zsDmaDesc* desc); +static void config_queue(struct zsDmaQueue *q, VDESC *desc_list); + +#if ENABLE_SW_SWAP_DATA_MODE +static void swapData(struct zsDmaDesc* usbDesc); +#endif + +static void init_usb_desc(struct zsDmaDesc *usbDesc) +{ + usbDesc->status = ZM_OWN_BITS_SW; + usbDesc->ctrl = 0; + usbDesc->dataSize = 0; + usbDesc->totalLen = 0; + usbDesc->lastAddr = 0; + usbDesc->dataAddr = 0; + usbDesc->nextAddr = 0; +} + +void _DMAengine_init() +{ + +} + +void _DMAengine_init_rx_queue(struct zsDmaQueue *q) +{ + VDESC *desc; + struct zsDmaDesc *usbDesc; + + desc = VDESC_alloc_vdesc(); + if ( desc != NULL ) { + usbDesc = VDESC_TO_USBDESC(desc); + init_usb_desc(usbDesc); + + q->head = q->terminator = usbDesc; + } +} + +void _DMAengine_init_tx_queue(struct zsTxDmaQueue *q) +{ + _DMAengine_init_rx_queue((struct zsDmaQueue *)q); + q->xmited_buf_head = NULL; + q->xmited_buf_tail = NULL; +} + +#if ENABLE_SW_SWAP_DATA_MODE + +static void swapData(struct zsDmaDesc* usbDesc) +{ + int len = (usbDesc->dataSize & 0xfffffffc) >> 2; + int i; + A_UINT32 *dataAddr = (A_UINT32 *)usbDesc->dataAddr; + A_UINT32 data; + + if ( ( usbDesc->dataSize & 3 ) != 0 ) { + len += 1; + } + + for ( i = 0; i < len; i++ ) { + data = dataAddr[i]; + + dataAddr[i] = __bswap32(data); + } +} + +#endif + +void _DMAengine_return_recv_buf(struct zsDmaQueue *q, VBUF *buf) +{ + /* Re-link the VDESC of buf into USB descriptor list & queue the descriptors + into downQ + */ + config_queue(q, buf->desc_list); + VBUF_free_vbuf(buf); +} + +static void config_queue(struct zsDmaQueue *q, VDESC *desc_list) +{ + VDESC *theDesc; + struct zsDmaDesc *usbDesc; + struct zsDmaDesc* prevUsbDesc = NULL; + struct zsDmaDesc* headUsbDesc; + + theDesc = desc_list; + while ( theDesc != NULL ) { + usbDesc = (struct zsDmaDesc *)VDESC_get_hw_desc(theDesc); + init_usb_desc(usbDesc); + + theDesc->data_offset = 0; //RAY 0723 + usbDesc->dataAddr = (volatile u32_t)(theDesc->buf_addr + theDesc->data_offset); + usbDesc->dataSize = theDesc->buf_size; + + if ( prevUsbDesc == NULL ) { + headUsbDesc = usbDesc; + prevUsbDesc = usbDesc; + } else { + prevUsbDesc->nextAddr = usbDesc; + prevUsbDesc = usbDesc; + } + + theDesc = theDesc->next_desc; + } + + headUsbDesc->lastAddr = prevUsbDesc; + DMA_Engine_reclaim_packet(q, headUsbDesc); + + return; +} + +//#define MAX_TX_BUF_SIZE ZM_BLOCK_SIZE +//#define MAX_TX_BUF_SIZE 1600 + +void _DMAengine_config_rx_queue(struct zsDmaQueue *q, int num_desc, int buf_size) +{ + int i; + VDESC *desc; + VDESC *head = NULL; + + for(i=0; i < num_desc; i++) + { + desc = VDESC_alloc_vdesc(); + + adf_os_assert(desc != NULL); + + desc->buf_addr = (A_UINT8 *)adf_os_mem_alloc(buf_size); + desc->buf_size = buf_size; + desc->next_desc = NULL; + desc->data_offset = 0; + desc->data_size = 0; + desc->control = 0; + + if ( head == NULL ) + { + head = desc; + } + else + { + desc->next_desc = head; + head = desc; + } + } + + config_queue(q, head); +} + +void _DMAengine_xmit_buf(struct zsTxDmaQueue *q, VBUF *buf) +{ + VDESC *currVdesc; + struct zsDmaDesc* usbDesc; + struct zsDmaDesc* prevUsbDesc = NULL; + struct zsDmaDesc* headUsbDesc; + + /* Re-link the VDESC of buf into USB descriptor list & queue the descriptors + into upQ + */ + currVdesc = (VDESC *)buf->desc_list; + while(currVdesc != NULL) { + + usbDesc = (struct zsDmaDesc *)currVdesc->hw_desc_buf; + + init_usb_desc(usbDesc); + usbDesc->dataSize = currVdesc->data_size; + usbDesc->dataAddr = (volatile u32_t)(currVdesc->buf_addr + currVdesc->data_offset); + usbDesc->ctrl = 0; + usbDesc->status = 0; + +#if ENABLE_SW_SWAP_DATA_MODE && ENABLE_SWAP_DATA_MODE == 0 + swapData(usbDesc); +#endif + + if ( prevUsbDesc == NULL ) { + headUsbDesc = usbDesc; + + usbDesc->ctrl |= ZM_FS_BIT; + + // how to get the total len??? + usbDesc->totalLen = buf->buf_length; + prevUsbDesc = usbDesc; + } + else { + prevUsbDesc->nextAddr = usbDesc; + prevUsbDesc = usbDesc; + } + + currVdesc = currVdesc->next_desc; + } + + usbDesc->ctrl |= ZM_LS_BIT; + headUsbDesc->lastAddr = usbDesc; + + if ( q->xmited_buf_head == NULL && q->xmited_buf_tail == NULL ) { + q->xmited_buf_head = buf; + q->xmited_buf_tail = buf; + q->xmited_buf_head->next_buf = q->xmited_buf_tail; + } + else { + q->xmited_buf_tail->next_buf = buf; + q->xmited_buf_tail = buf; + } + + DMA_Engine_put_packet((struct zsDmaQueue *)q, headUsbDesc); +} + +void _DMAengine_flush_xmit(struct zsDmaQueue *q) +{ +} + +int _DMAengine_has_compl_packets(struct zsDmaQueue *q) +{ + int has_compl_pkts = 0; + + if ((q->head != q->terminator) && + ((q->head->status & ZM_OWN_BITS_MASK) != ZM_OWN_BITS_HW)) { + has_compl_pkts = 1; + } + + return has_compl_pkts; +} + +VBUF* _DMAengine_reap_recv_buf(struct zsDmaQueue *q) +{ + struct zsDmaDesc* desc; + VBUF *buf; + //int i; + //u8_t *tbuf = (u8_t *)desc->dataAddr; + + desc = DMA_Engine_get_packet(q); + + if(!desc) + return NULL; + +#if ENABLE_SW_SWAP_DATA_MODE && ENABLE_SWAP_DATA_MODE == 0 + swapData(desc); +#endif + + buf = VBUF_alloc_vbuf(); + adf_os_assert(buf != NULL); + + relinkUSBDescToVdesc(buf, desc); + return buf; +} + +VBUF* _DMAengine_reap_xmited_buf(struct zsTxDmaQueue *q) +{ + struct zsDmaDesc* desc; + VBUF *sentBuf; + + desc = DMA_Engine_get_packet((struct zsDmaQueue *)q); + + if(!desc) + return NULL; + + // assert g_hifUSBCtx.upVbufQ.head is not null + // assert g_hifUSBCtx.upVbufQ.tail is not null + sentBuf = q->xmited_buf_head; + if ( q->xmited_buf_head == q->xmited_buf_tail ) { + q->xmited_buf_head = NULL; + q->xmited_buf_tail = NULL; + } else { + q->xmited_buf_head = q->xmited_buf_head->next_buf; + } + + sentBuf->next_buf = NULL; + relinkUSBDescToVdesc(sentBuf, desc); + return sentBuf; +} + +void _DMAengine_desc_dump(struct zsDmaQueue *q) +{ + u32_t i=0; + struct zsDmaDesc* tmpDesc; + + tmpDesc = q->head; + + do { + if( tmpDesc == q->terminator ) + { +#ifdef DESC_DUMP_BOTH_DESCnDATA + A_PRINTF("0x%08x(0x%08x,T)]", tmpDesc, tmpDesc->dataAddr); +#else + A_PRINTF("0x%08x(T)]", tmpDesc); +#endif + break; + } + else +#ifdef DESC_DUMP_BOTH_DESCnDATA + A_PRINTF("0x%08x(0x%08x,%c)->", tmpDesc, tmpDesc->dataAddr, (tmpDesc->status&ZM_OWN_BITS_HW)?'H':'S'); +#else + A_PRINTF("0x%08x(%c)->", tmpDesc, (tmpDesc->status&ZM_OWN_BITS_HW)?'H':'S'); +#endif + + if( (++i%5)==0 ) + { + A_PRINTF("\n\r "); + } + + tmpDesc = tmpDesc->nextAddr; + }while(1); + A_PRINTF("\n\r"); +} + +/* the exported entry point into this module. All apis are accessed through + * function pointers */ +void dma_engine_module_install(struct dma_engine_api *apis) +{ + /* hook in APIs */ + apis->_init = _DMAengine_init; + apis->_config_rx_queue = _DMAengine_config_rx_queue; + apis->_xmit_buf = _DMAengine_xmit_buf; + apis->_flush_xmit = _DMAengine_flush_xmit; + apis->_reap_recv_buf = _DMAengine_reap_recv_buf; + apis->_return_recv_buf = _DMAengine_return_recv_buf; + apis->_reap_xmited_buf = _DMAengine_reap_xmited_buf; + apis->_swap_data = swapData; + apis->_has_compl_packets = _DMAengine_has_compl_packets; + apis->_init_rx_queue = _DMAengine_init_rx_queue; + apis->_init_tx_queue = _DMAengine_init_tx_queue; + apis->_desc_dump = _DMAengine_desc_dump; + apis->_get_packet = zfDmaGetPacket; + apis->_reclaim_packet = zfDmaReclaimPacket; + apis->_put_packet = zfDmaPutPacket; + + /* save ptr to the ptr to the context for external code to inspect/modify internal module state */ + //apis->pReserved = &g_pMboxHWContext; +} + +static void relinkUSBDescToVdesc(VBUF *buf, struct zsDmaDesc* desc) +{ + VDESC *vdesc; + VDESC *prevVdesc = NULL; + struct zsDmaDesc *currDesc = desc; + + vdesc = VDESC_HW_TO_VDESC(currDesc); + buf->desc_list = vdesc; + buf->buf_length = currDesc->totalLen; + + while(currDesc != NULL) { + vdesc->data_size = currDesc->dataSize; + //vdesc->data_offset = 0; // TODO: bad!! + + if ( prevVdesc == NULL ) { + prevVdesc = vdesc; + } else { + prevVdesc->next_desc = vdesc; + prevVdesc = vdesc; + } + + if ( currDesc->ctrl & ZM_LS_BIT ) { + vdesc->next_desc = NULL; + currDesc = NULL; + break; + } else { + currDesc = currDesc->nextAddr; + vdesc = VDESC_HW_TO_VDESC(currDesc); + } + } +} diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/Makefile new file mode 100755 index 0000000..7ac4980 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = fwd + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libfwd.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/Makefile.ss new file mode 100755 index 0000000..0942974 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/ + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/fwd.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/fwd.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/fwd.c new file mode 100755 index 0000000..f01efb1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/fwd.c @@ -0,0 +1,133 @@ +#include +#include +#include +#include +#include +#include +#include + +#include "fwd.h" + +fwd_tgt_softc_t fwd_sc; + +void fwd_tgt_recv(VBUF *hdr_buf, VBUF *buf, void *ctx ); +void fwd_retbuf_handler(VBUF *buf, void *ServiceCtx); + +hif_handle_t fwd_init() + { + HIF_CALLBACK hifconfig; + A_UINT32 size, res_headroom; + + hifconfig.send_buf_done = fwd_retbuf_handler; + hifconfig.recv_buf = fwd_tgt_recv; + hifconfig.context = &fwd_sc; + + res_headroom = HIF_get_reserved_headroom(NULL); + + size = sizeof(fwd_rsp_t) + res_headroom; + + HIF_register_callback(NULL, &hifconfig); + + HIF_get_default_pipe(NULL, &fwd_sc.rx_pipe, &fwd_sc.tx_pipe); + + return NULL; + } + +void +fwd_retbuf_handler(VBUF *buf, void *ServiceCtx) +{ + HIF_return_recv_buf(fwd_sc.hif_handle, fwd_sc.rx_pipe, buf); +} + +a_status_t +fwd_tgt_process_last(A_UINT32 size, A_UINT32 cksum) +{ + int i, checksum = 0; + A_UINT32 *image = (A_UINT32 *)fwd_sc.addr; + + for (i = 0 ; i < size; i += 4, image++) + checksum = checksum ^ *image; + + if (checksum == cksum) + return A_STATUS_OK; + else + return A_STATUS_FAILED; +} + + + +void +fwd_tgt_recv(VBUF *hdr_buf, VBUF *buf, void *ctx ) +{ + volatile a_uint8_t *data; + A_UINT32 len, seglen, offset, i, more, eloc; + volatile A_UINT32 *image, *daddr; + volatile fwd_cmd_t *c; + volatile fwd_rsp_t *r; + jmp_func funcptr; + a_status_t status; + VDESC *desc = NULL; + + data = buf->desc_list->buf_addr + buf->desc_list->data_offset; + seglen = buf->desc_list->data_size; + + c = (fwd_cmd_t *)data; + len = c->len; + offset = c->offset; + more = c->more_data; + image = (A_UINT32 *)(c + 1); + + if (offset == 0) { + fwd_sc.addr = (A_UINT32)(*image); + image ++; + } + + daddr = (A_UINT32 *)(fwd_sc.addr + offset); + + if (!more) { + len -= 4; + } + + for (i = 0 ; i < len; i += 4) { + *daddr = *image; + image ++; + daddr ++; + } + + desc = buf->desc_list; + while(desc->next_desc != NULL) + desc = desc->next_desc; + desc->data_size -= seglen; + buf->buf_length -= seglen; + + r = (fwd_rsp_t *)(desc->buf_addr + desc->data_offset + desc->data_size); + desc->data_size += sizeof(fwd_rsp_t); + buf->buf_length += sizeof(fwd_rsp_t); + + r->offset = c->offset; + + if (more) { + r->rsp = FWD_RSP_ACK; + goto done; + } + + status = fwd_tgt_process_last(offset + len, *image); + + /* reach to the jump location */ + image++; + eloc = *image; + + if (status == A_STATUS_OK) + r->rsp = FWD_RSP_SUCCESS; + else + r->rsp = FWD_RSP_FAILED; + + +done: + HIF_send_buffer(fwd_sc.hif_handle, fwd_sc.tx_pipe, buf); + + if (!more && (status == A_STATUS_OK)) { + funcptr = (jmp_func)eloc; + funcptr(); + } +} diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/fwd.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/fwd.h new file mode 100755 index 0000000..37d5e97 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/fwd/fwd.h @@ -0,0 +1,42 @@ +#ifndef __FWD_H +#define __FWD_H + +#define FWD_TGT_RX_BUFS 5 + +typedef void (*jmp_func)(void); +/* + * XXX Pack 'em + */ +typedef struct { + a_uint16_t more_data; /* Is there more data? */ + a_uint16_t len; /* Len this segment */ + a_uint32_t offset; /* Offset in the file */ +} fwd_cmd_t; +/* + * No enums across platforms + */ +#define FWD_RSP_ACK 0x1 +#define FWD_RSP_SUCCESS 0x2 +#define FWD_RSP_FAILED 0x3 + +typedef struct { + a_uint32_t rsp; /* ACK/SUCCESS/FAILURE */ + a_uint32_t offset; /* rsp for this ofset */ +}fwd_rsp_t; + +typedef struct { + a_uint32_t addr; + hif_handle_t hif_handle; + a_uint8_t rx_pipe; + a_uint8_t tx_pipe; +} fwd_tgt_softc_t; + + +hif_handle_t fwd_init(); + +void +fwd_retbuf_handler(VBUF *buf, void *ServiceCtx); +void +fwd_hifrecv_handler(VBUF *hdr_buf, VBUF *buf, void *ServiceCtx ); + +#endif //__FWD_H diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/Makefile new file mode 100755 index 0000000..0a41561 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/Makefile @@ -0,0 +1,63 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = hif + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/ + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +DIRS = pci \ + usb \ + gmac \ + dma_lib + + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libhif.a + + +# +# Targets +# + +all : + for i in $(DIRS) ; do $(MAKE) -C $$i all || exit $? ; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + +dep: + for i in $(DIRS) ; do $(MAKE) -C $$i dep || exit $? ; done + +clean: + for i in $(DIRS) ; do $(MAKE) -C $$i clean; done + +init: + for i in $(DIRS) ; do $(MAKE) -C $$i init; done + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/Makefile new file mode 100755 index 0000000..44e27e2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/Makefile @@ -0,0 +1,51 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = hif +export SSNAME = dma_lib + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/Makefile.ss new file mode 100755 index 0000000..a563897 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/ + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/dma_lib.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/dma_lib.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/dma_lib.c new file mode 100755 index 0000000..05dc49f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/dma_lib/dma_lib.c @@ -0,0 +1,421 @@ +#include +#include +#include +#include +#include +#include + +#include "dma_lib.h" +/***********************Constants***************************/ + +/** + * @brief Descriptor specific bitmaps + */ +enum __dma_desc_status{ + DMA_STATUS_OWN_DRV = 0x0, + DMA_STATUS_OWN_DMA = 0x1, + DMA_STATUS_OWN_MSK = 0x3 +}; + +enum __dma_bit_op{ + DMA_BIT_CLEAR = 0x0, + DMA_BIT_SET = 0x1 +}; + +enum __dma_burst_size{ + DMA_BURST_4W = 0x00, + DMA_BURST_8W = 0x01, + DMA_BURST_16W = 0x02 +}; +enum __dma_byte_swap{ + DMA_BYTE_SWAP_OFF = 0x00, + DMA_BYTE_SWAP_ON = 0x01 +}; +/** +* @brief Interrupt status bits + */ +typedef enum __dma_intr_bits{ + DMA_INTR_TX1_END = (1 << 25),/*TX1 reached the end or Under run*/ + DMA_INTR_TX0_END = (1 << 24),/*TX0 reached the end or Under run*/ + DMA_INTR_TX1_DONE = (1 << 17),/*TX1 has transmitted a packet*/ + DMA_INTR_TX0_DONE = (1 << 16),/*TX1 has transmitted a packet*/ + DMA_INTR_RX3_END = (1 << 11),/*RX3 reached the end or Under run*/ + DMA_INTR_RX2_END = (1 << 10),/*RX2 reached the end or Under run*/ + DMA_INTR_RX1_END = (1 << 9), /*RX1 reached the end or Under run*/ + DMA_INTR_RX0_END = (1 << 8), /*RX0 reached the end or Under run*/ + DMA_INTR_RX3_DONE = (1 << 3), /*RX3 received a packet*/ + DMA_INTR_RX2_DONE = (1 << 2), /*RX2 received a packet*/ + DMA_INTR_RX1_DONE = (1 << 1), /*RX1 received a packet*/ + DMA_INTR_RX0_DONE = 1, /*RX0 received a packet*/ +}__dma_intr_bits_t; +/** + * @brief Base addresses for various HIF + */ +typedef enum __dma_base_off{ + DMA_BASE_OFF_HST = 0x00053000, + DMA_BASE_OFF_GMAC = 0x00054000, + DMA_BASE_OFF_PCI = DMA_BASE_OFF_HST, + DMA_BASE_OFF_PCIE = DMA_BASE_OFF_HST +}__dma_base_off_t; +/** + * @brief Engine offset to add for per engine register reads or + * writes + */ +typedef enum __dma_eng_off{ + DMA_ENG_OFF_RX0 = 0x800, + DMA_ENG_OFF_RX1 = 0x900, + DMA_ENG_OFF_RX2 = 0xa00, + DMA_ENG_OFF_RX3 = 0xb00, + DMA_ENG_OFF_TX0 = 0xc00, + DMA_ENG_OFF_TX1 = 0xd00 +}__dma_eng_off_t; +/** + *@brief DMA registers + */ +typedef enum __dma_reg_off{ + /** + * Common or Non Engine specific + */ + DMA_REG_IFTYPE = 0x00,/*XXX*/ + DMA_REG_ISR = 0x00,/*Interrupt Status Register*/ + DMA_REG_IMR = 0x04,/*Interrupt Mask Register*/ + /** + * Transmit + */ + DMA_REG_TXDESC = 0x00,/*TX DP*/ + DMA_REG_TXSTART = 0x04,/*TX start*/ + DMA_REG_INTRLIM = 0x08,/*TX Interrupt limit*/ + DMA_REG_TXBURST = 0x0c,/*TX Burst Size*/ + DMA_REG_TXSWAP = 0x18, + /** + * Receive + */ + DMA_REG_RXDESC = 0x00,/*RX DP*/ + DMA_REG_RXSTART = 0x04,/*RX Start*/ + DMA_REG_RXBURST = 0x08,/*RX Burst Size*/ + DMA_REG_RXPKTOFF = 0x0c,/*RX Packet Offset*/ + DMA_REG_RXSWAP = 0x1c +}__dma_reg_off_t; + +/*******************************Data types******************************/ + +typedef struct zsDmaDesc __dma_desc_t; + +typedef struct zsDmaQueue __dma_rxq_t; + +typedef struct zsTxDmaQueue __dma_txq_t; + +/** + * @brief Register Address + */ +typedef struct __dma_reg_addr{ + __dma_base_off_t base;/*Base address, Fixed*/ + __dma_eng_off_t eng;/*Engine offset, Fixed*/ +}__dma_reg_addr_t; + +/** + * @brief DMA engine's Queue + */ +typedef struct __dma_eng_q{ + __dma_reg_addr_t addr; + union{ + __dma_rxq_t rx_q; + __dma_txq_t tx_q; + }u; +}__dma_eng_q_t; + +#define rxq u.rx_q +#define txq u.tx_q + +/***********************Defines*****************************/ + +#define DMA_ADDR_INIT(_eng) { \ + .base = DMA_BASE_OFF_HST, \ + .eng = DMA_ENG_OFF_##_eng \ +} +/** + * @brief check if the val doesn't lie between the low & high of + * the engine numbers + */ +#define DMA_ENG_CHECK(_val, _low, _high) \ + ((_val) < DMA_ENGINE_##_low || (_val) > DMA_ENGINE_##_high) + + +/********************************Globals*************************************/ + +__dma_eng_q_t eng_q[DMA_ENGINE_MAX] = { + {.addr = DMA_ADDR_INIT(RX0)}, + {.addr = DMA_ADDR_INIT(RX1)}, + {.addr = DMA_ADDR_INIT(RX2)}, + {.addr = DMA_ADDR_INIT(RX3)}, + {.addr = DMA_ADDR_INIT(TX0)}, + {.addr = DMA_ADDR_INIT(TX1)}, +}; + +/**********************************API's*************************************/ + +/** + * @brief Read the register + * + * @param addr + * + * @return A_UINT32 + */ +A_UINT32 +__dma_reg_read(A_UINT32 addr) +{ + return *((volatile A_UINT32 *)addr); +} +/** + * @brief Write into the register + * + * @param addr + * @param val + */ +void +__dma_reg_write(A_UINT32 addr, A_UINT32 val) +{ + *((volatile A_UINT32 *)addr) = val; +} +/** + * @brief Set the base address + * + * @param eng_no + * @param if_type + */ +void +__dma_set_base(dma_engine_t eng_no, dma_iftype_t if_type) +{ + switch (if_type) { + case DMA_IF_GMAC: + eng_q[eng_no].addr.base = DMA_BASE_OFF_GMAC; + break; + case DMA_IF_PCI: + eng_q[eng_no].addr.base = DMA_BASE_OFF_PCI; + break; + case DMA_IF_PCIE: + eng_q[eng_no].addr.base = DMA_BASE_OFF_PCIE; + break; + default: + return; + } +} +/** + * @brief init the Transmit queue + * + * @param eng_no + * @param if_type + * + * @return A_UINT16 + */ +A_UINT16 +__dma_lib_tx_init(dma_engine_t eng_no, dma_iftype_t if_type) +{ + __dma_desc_t *head = NULL; + A_UINT32 addr; + + if(DMA_ENG_CHECK(eng_no, TX0, TX1)) + return 1; + + DMA_Engine_init_tx_queue(&eng_q[eng_no].txq); + + __dma_set_base(eng_no, if_type); + + addr = eng_q[eng_no].addr.base + eng_q[eng_no].addr.eng; + + head = eng_q[eng_no].txq.head; + + __dma_reg_write(addr + DMA_REG_TXDESC,(A_UINT32)head); + __dma_reg_write(addr + DMA_REG_TXBURST, DMA_BURST_16W); + __dma_reg_write(addr + DMA_REG_TXSWAP, DMA_BYTE_SWAP_ON); + + return 0; +} + +void +__dma_lib_rx_config(dma_engine_t eng_no, A_UINT16 num_desc, + A_UINT16 gran) +{ + __dma_desc_t *desc = NULL; + A_UINT32 addr = 0; + + /** + * Allocate the Receive Queue + */ + DMA_Engine_config_rx_queue(&eng_q[eng_no].rxq, num_desc, gran); + + desc = eng_q[eng_no].rxq.head; + addr = eng_q[eng_no].addr.base + eng_q[eng_no].addr.eng; + /** + * Update RX queue head in the H/W, set the burst & say go + */ + __dma_reg_write(addr + DMA_REG_RXDESC, (A_UINT32)desc); + __dma_reg_write(addr + DMA_REG_RXBURST, DMA_BURST_8W); + __dma_reg_write(addr + DMA_REG_RXSWAP, DMA_BYTE_SWAP_ON); + __dma_reg_write(addr + DMA_REG_RXSTART, DMA_BIT_SET); + +} + +/** + * @brief Initialize the DMA engine + * + * @param rx_desc + * + * @return A_UINT16 + */ +A_UINT16 +__dma_lib_rx_init(dma_engine_t eng_no, dma_iftype_t if_type) +{ + if(DMA_ENG_CHECK(eng_no, RX0, RX3)) + return 1; + + /** + * XXX:The init can be called multiple times to setup different + * geometries of descriptors + */ + DMA_Engine_init_rx_queue(&eng_q[eng_no].rxq); + + __dma_set_base(eng_no, if_type); + + return 0; +} +/** + * @brief Transmit VBUF for the specified engine number + * + * @param VBUF + * + * @return A_UINT16 + */ +A_UINT16 +__dma_hard_xmit(dma_engine_t eng_no, VBUF *vbuf) +{ + A_UINT32 addr; + + addr = eng_q[eng_no].addr.base + eng_q[eng_no].addr.eng; + + DMA_Engine_xmit_buf(&eng_q[eng_no].txq, vbuf); + /** + * Say go + */ + __dma_reg_write(addr + DMA_REG_TXSTART, DMA_BIT_SET); +} +/** + * @brief return a VBUF for the specified engine number + * + * @param eng_no + * + * @return VBUF* + */ +VBUF * +__dma_reap_xmitted(dma_engine_t eng_no) +{ + return DMA_Engine_reap_xmited_buf(&eng_q[eng_no].txq); +} +/** + * @brief flush all xmitted & to be xmitted (if you have the + * window) dudes from H/W + * + * @param eng_no + */ +void +__dma_flush_xmit(dma_engine_t eng_no) +{ + A_UINT32 addr; + __dma_desc_t *desc, *term; + + addr = eng_q[eng_no].addr.base + eng_q[eng_no].addr.eng; + + desc = eng_q[eng_no].txq.head; + term = eng_q[eng_no].txq.terminator; + + /** + * XXX: I don't know how to kick the all dudes out, Ideally + * there should be a DMA reset button (the red one) + */ + __dma_reg_write(addr + DMA_REG_TXSTART, DMA_BIT_CLEAR); + __dma_reg_write(addr + DMA_REG_TXDESC,(A_UINT32)term); + + /** + * Make the H/W queue ready for TX reap + */ + for(;desc != term; desc = desc->nextAddr) + desc->status = DMA_STATUS_OWN_DRV; + +// DMA_Engine_flush_xmit(&eng_q[eng_no].txq); +} +/** + * @brief check if there are xmitted vbufs (dudes) hanging + * around + * + * @param eng_no + * + * @return A_UINT16 + */ +A_UINT16 +__dma_xmit_done(dma_engine_t eng_no) +{ + if(DMA_ENG_CHECK(eng_no, TX0, TX1)) + return 0; + + return DMA_Engine_has_compl_packets(&eng_q[eng_no].txq); +} +/** + * @brief Reap VBUF's from the specified engine number + * + * @param eng + * + * @return VBUF* + */ +VBUF * +__dma_reap_recv(dma_engine_t eng) +{ + return DMA_Engine_reap_recv_buf(&eng_q[eng].rxq); +} +/** + * @brief return to source, put the vbuf back into the queue, In + * case the Engine is stopped so start it again + * + * @param eng_no + * @param vbuf + */ +void +__dma_return_recv(dma_engine_t eng_no, VBUF *vbuf) +{ + A_UINT32 addr; + + addr = eng_q[eng_no].addr.base + eng_q[eng_no].addr.eng; + + DMA_Engine_return_recv_buf(&eng_q[eng_no].rxq, vbuf); + + __dma_reg_write(addr + DMA_REG_RXSTART, DMA_BIT_SET); +} +/** + * @brief check if there are freshly arrived vbufs (dudes) + * + * @param eng_no + * + * @return A_UINT16 + */ +A_UINT16 +__dma_recv_pkt(dma_engine_t eng_no) +{ + if(DMA_ENG_CHECK(eng_no, RX0, RX3)) + return 0; + + return DMA_Engine_has_compl_packets(&eng_q[eng_no].rxq); +} + +void +dma_lib_module_install(struct dma_lib_api *apis) +{ + apis->tx_init = __dma_lib_tx_init; + apis->rx_init = __dma_lib_rx_init; + apis->rx_config = __dma_lib_rx_config; + apis->hard_xmit = __dma_hard_xmit; + apis->flush_xmit = __dma_flush_xmit; + apis->xmit_done = __dma_xmit_done; + apis->reap_recv = __dma_reap_recv; + apis->reap_xmitted = __dma_reap_xmitted; + apis->return_recv = __dma_return_recv; + apis->recv_pkt = __dma_recv_pkt; +} diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/Makefile new file mode 100755 index 0000000..5b4b872 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/Makefile @@ -0,0 +1,51 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = hif +export SSNAME = gmac + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME)/ + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/Makefile.ss new file mode 100755 index 0000000..cc817a4 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/ + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/hif_gmac.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/hif_gmac.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/hif_gmac.c new file mode 100755 index 0000000..9dbc93b --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/gmac/hif_gmac.c @@ -0,0 +1,1103 @@ +#include +#include + +//#include +#include + +#include + +#include +#include +#include +#include +#include "hif_gmac.h" + + + +/**************************Constants******************************/ +enum __gmac_msg_type{ + GMAC_HST_QUERY = 0x0001, + GMAC_HST_REPLY = 0x0002, + GMAC_TGT_QUERY = 0x0003, + GMAC_TGT_REPLY = 0x0004 +}; + +enum __magpie_regs{ + MAG_REG_GPIO_OE = 0x00052000,/*GPIO Output Enable*/ + MAG_REG_RST = 0x00050010,/*Magpie reset reg*/ + MAG_REG_RST_AHB = 0x00050018,/*Magpie AHB_ARB reset reg*/ + MAG_REG_MII0_CTRL = 0x00054100,/*Magpie MII0 Control reg*/ + MAG_REG_STAT_CTRL = 0x00054104,/*Magpie Status reg*/ + MAG_REG_MDIO = 0x00054200,/*Mapie MDIO register*/ + MAG_REG_MDIO_CMD = 0x00 + MAG_REG_MDIO,/*CMD register (0)*/ + MAG_REG_MDIO_OWN = 0x02 + MAG_REG_MDIO,/*OWN register (1)*/ + /** + * XXX: Endianess inside the word & between words + */ + MAG_REG_MDIO_ADDR0 = 0x04 + MAG_REG_MDIO,/*ADDR0 register (2)*/ + MAG_REG_MDIO_ADDR1 = 0x06 + MAG_REG_MDIO,/*ADDR1 register (3)*/ + MAG_REG_MDIO_WRITE0 = 0x08 + MAG_REG_MDIO,/*Data WRITE0 register (4)*/ + MAG_REG_MDIO_WRITE1 = 0x0a + MAG_REG_MDIO,/*Data WRITE1 register (5)*/ + MAG_REG_MDIO_READ0 = 0x0c + MAG_REG_MDIO,/*Data READ0 register (6)*/ + MAG_REG_MDIO_READ1 = 0x0e + MAG_REG_MDIO,/*Data READ1 register (7)*/ +}; + +enum __gmac_regs{ + GMAC_REG_BASE = 0x00060000, + GMAC_REG_MAC_CFG1 = 0x00 + GMAC_REG_BASE,/*MAC config 1*/ + GMAC_REG_MAC_CFG2 = 0x04 + GMAC_REG_BASE,/*MAC config 2*/ + GMAC_REG_IPG_IFG = 0x08 + GMAC_REG_BASE,/*Inter-packet-gap*/ + GMAC_REG_HALF_DPLX = 0x0c + GMAC_REG_BASE,/*Half duplex*/ + GMAC_REG_MAX_FRAME = 0x10 + GMAC_REG_BASE,/*Max frame length*/ + GMAC_REG_MII_CFG = 0x20 + GMAC_REG_BASE,/*MII mgmt config*/ + GMAC_REG_MII_CMD = 0x24 + GMAC_REG_BASE,/*MII mgmt command*/ + GMAC_REG_MII_ADDR = 0x28 + GMAC_REG_BASE,/*MII mgmt address*/ + GMAC_REG_MII_CTRL = 0x2c + GMAC_REG_BASE,/*MII mgmt control*/ + GMAC_REG_MII_STAT = 0x30 + GMAC_REG_BASE,/*MII mgmt status*/ + GMAC_REG_MII_PSTAT = 0x34 + GMAC_REG_BASE,/*MII mgmt Phy status/ind*/ + GMAC_REG_IF_CTRL = 0x38 + GMAC_REG_BASE,/*Interface control*/ + GMAC_REG_IF_STAT = 0x3c + GMAC_REG_BASE,/*Interface status*/ + GMAC_REG_MAC_ADDR1 = 0x40 + GMAC_REG_BASE,/*MAC address 1*/ + GMAC_REG_MAC_ADDR2 = 0x44 + GMAC_REG_BASE,/*MAC address 2*/ + GMAC_REG_FIFO_CFG0 = 0x48 + GMAC_REG_BASE,/*FIFO config reg0*/ + GMAC_REG_FIFO_CFG1 = 0x4c + GMAC_REG_BASE,/*FIFO config reg1*/ + GMAC_REG_FIFO_CFG2 = 0x50 + GMAC_REG_BASE,/*FIFO config reg2*/ + GMAC_REG_FIFO_CFG3 = 0x54 + GMAC_REG_BASE,/*FIFO config reg3*/ + GMAC_REG_FIFO_CFG4 = 0x58 + GMAC_REG_BASE,/*FIFO config reg4*/ + GMAC_REG_FIFO_CFG5 = 0x5c + GMAC_REG_BASE,/*FIFO config reg5*/ + GMAC_REG_FIFO_RAM0 = 0x60 + GMAC_REG_BASE,/*FIFO RAM access reg0*/ + GMAC_REG_FIFO_RAM1 = 0x64 + GMAC_REG_BASE,/*FIFO RAM access reg1*/ + GMAC_REG_FIFO_RAM2 = 0x68 + GMAC_REG_BASE,/*FIFO RAM access reg2*/ + GMAC_REG_FIFO_RAM3 = 0x6c + GMAC_REG_BASE,/*FIFO RAM access reg3*/ + GMAC_REG_FIFO_RAM4 = 0x70 + GMAC_REG_BASE,/*FIFO RAM access reg4*/ + GMAC_REG_FIFO_RAM5 = 0x74 + GMAC_REG_BASE,/*FIFO RAM access reg5*/ + GMAC_REG_FIFO_RAM6 = 0x78 + GMAC_REG_BASE,/*FIFO RAM access reg6*/ + GMAC_REG_FIFO_RAM7 = 0x7c + GMAC_REG_BASE,/*FIFO RAM access reg7*/ +}; + +enum __mag_reg_rst{ + RST_GMAC = (1 << 9),/*Reset the GMAC */ + RST_MII = (3 << 11),/*Reset the MII*/ + RST_OTHERS = 0x5df,/*Reset everybody other than GMAC & MII*/ +}; + +enum __mag_reg_rst_ahb{ + RST_AHB_GMAC = 0x1 +}; +enum __mag_mii0_ctrl{ + MII0_CTRL_MODE = (1 << 0),/*MII mode*/ + MII0_CTRL_100 = (1 << 4),/*MII control address 100 Mbps*/ +}; + +enum __mag_mdio_cmd{ + MDIO_CMD_DONE = 0x01,/*Operation over*/ + MDIO_CMD_WRITE = 0x02,/*Write data*/ + MDIO_CMD_READ = 0x03 /*Read data*/ +}; +enum __mag_mdio_own{ + MDIO_OWN_HST = 0x00,/*Host can use CMD & Data Regs*/ + MDIO_OWN_TGT = 0x01 /*Tgt can use CMD & Data Regs*/ +}; + +enum __gmac_reg_mac_cfg1{ + MAC_CFG1_TX_EN = (1 << 0),/*TX enable*/ + MAC_CFG1_RX_EN = (1 << 2),/*RX enable*/ + MAC_CFG1_TX_FLOW = (1 << 4),/*TX Flow control enable*/ + MAC_CFG1_RX_FLOW = (1 << 5),/*RX Flow control enable*/ + MAC_CFG1_LOOP_EN = (1 << 8),/*Enable loopback*/ +}; +enum __gmac_reg_mac_cfg2{ + MAC_CFG2_FULL_DUP = (1 << 0),/*Enable Full Duplex*/ + MAC_CFG2_PAD_CRC = (1 << 2),/*Enable MAC based CRC insertion*/ + MAC_CFG2_CHK_LEN = (1 << 4),/*Check Length field*/ + MAC_CFG2_HUGE_FRM = (1 << 5),/*Allow sending huge frames*/ + MAC_CFG2_MII = (1 << 8),/*MAC is MII in mode*/ + MAC_CFG2_GMII = (1 << 9),/*MAC is in GMII mode*/ + MAC_CFG2_PREAMBLE = (7 << 12),/*Default Preamble Length*/ +}; +enum __gmac_reg_mii_cfg{ + MII_CFG_CLK_2MHZ = 0x0006,/*Clock is 2Mhz*/ +}; +enum __gmac_reg_mii_addr{ + MII_ADDR_RESET = 0x000,/*Flush the MII address register*/ + MII_ADDR_PHY_REG = 0x011,/*Phy Status Reg*/ +}; +enum __gmac_reg_mii_ctrl{ + MII_CTRL_FULL_DPLX = 0x0100,/*Full Duplex mode*/ + MII_CTRL_SPEED_100 = 0x2000,/*Link Speed 100 Mbps*/ + MII_CTRL_LOOPBACK = 0x4000,/*Enable Loopback mode at PHY*/ + MII_CTRL_RESET = 0x8000,/*BMCR reset*/ +}; +enum __gma_reg_mii_cmd{ + MII_CMD_WRITE = 0x0, + MII_CMD_READ = 0x1,/*Perform a Read cycle*/ +}; +enum __gmac_reg_fifo_cfg0{ + FIFO_CFG0_EN = 0x1f00,/*Enable all the Fifo module*/ +}; +enum __gmac_reg_fifo_cfg1{ + FIFO_CFG1_SIZE_2K = (0x7ff << 16),/*Fifo size is 2K*/ +}; +enum __gmac_reg_fifo_cfg4{ + FIFO_CFG4_RX_ALL = 0x3ffff,/*receive all frames*/ +}; +enum __gmac_reg_if_ctrl{ + IF_CTRL_SPEED_100 = (1 << 16),/*Interface speed 100 Mbps for MII*/ +}; + +/*************************GMAC Data types*******************************/ +typedef enum __gmac_pkt_type{ + GMAC_PKT_IS_BCAST, + GMAC_PKT_IS_UCAST +}__gmac_pkt_type_t; + +struct __ethhdr{ + unsigned char dst[ETH_ALEN];/*destination eth addr */ + unsigned char src[ETH_ALEN]; /*source ether addr*/ + A_UINT16 etype;/*ether type*/ +}__attribute__((packed)); +/** + * @brief this is will be in big endian format + */ +struct __athhdr{ +#ifdef LITTLE_ENDIAN + A_UINT8 proto:6, + res:2; +#else + A_UINT8 res:2, + proto:6; +#endif + A_UINT8 res_lo; + A_UINT16 res_hi; +}__attribute__((packed)); + +typedef struct __gmac_hdr{ + struct __ethhdr eth; + struct __athhdr ath; + A_UINT16 align_pad;/*pad it for 4 byte boundary*/ +}__attribute__((packed)) __gmac_hdr_t; + +/*********************************GMAC softC************************/ + +typedef struct __gmac_softc{ + __gmac_hdr_t hdr; + A_UINT16 gran; + HIF_CALLBACK sw; +}__gmac_softc_t; + + +#define ret_pkt sw.send_buf_done +#define indicate_pkt sw.recv_buf +#define htc_ctx sw.context +/*********************************DEFINES**********************************/ +#define hif_gmac_sc(_hdl) (__gmac_softc_t *)(_hdl) +#define gmac_hdr(_vbuf) (__gmac_hdr_t *)(_vbuf)->desc_list->buf_addr +#define GMAC_HLEN (sizeof(struct __gmac_hdr)) + +#define __gmac_mdelay(_msecs) A_DELAY_USECS((_msecs) * 1000) + +int __gmac_xmit_buf(hif_handle_t hdl, int pipe, VBUF *vbuf); +void __gmac_reap_recv(__gmac_softc_t *sc, dma_engine_t eng_no); + +/***********************************Globals********************************/ +/** + * @brief Engines are fixed + */ +__gmac_softc_t gmac_sc = { + .gran = GMAC_MAX_PKT_LEN +}; +A_UINT8 gmac_addr[ETH_ALEN] = {0x00, 0xaa, 0xaa, 0xaa, 0xaa, 0xaa}; +A_UINT8 bcast_addr[ETH_ALEN] = {0xff, 0xff, 0xff, 0xff, 0xff, 0xff}; + +/**************************************APIs********************************/ + +/** + * @brief This a replica of the ADF_NBUF_ALLOC + * + * @param size + * @param reserve + * @param align + * + * @return VBUF* + */ +VBUF * +__gmac_vbuf_alloc(A_UINT32 size, A_UINT32 reserve, A_UINT32 align) +{ + VBUF *buf = NULL; + VDESC *desc; + + buf = VBUF_alloc_vbuf(); + if ( buf != NULL ) { + desc = VDESC_alloc_vdesc(); + desc->buf_addr = (A_UINT8 *)A_ALLOCRAM(size); + desc->buf_size = size; + desc->next_desc = NULL; + desc->data_offset = reserve; + desc->data_size = 0; + desc->control = 0; + + buf->desc_list = desc; + buf->buf_length = 0; + } + + return buf; +} +/** + * @brief This is a replica of ADF_NBUF_PULL_HEAD + * + * @param buf + * @param size + * + * @return A_UINT8* + */ +A_UINT8 * +__gmac_vbuf_pull_head(VBUF *buf, A_UINT32 len) +{ + A_UINT8 *ptr = NULL; + VDESC *desc = buf->desc_list; + + desc->data_offset += len; + desc->data_size -= len; + buf->buf_length -= len; + ptr = desc->buf_addr + desc->data_offset; + + return ptr; +} +/** + * @brief This is a replica of ADF_NBUF_PUSH_HEAD + * + * @param buf + * @param size + * + * @return A_UINT8* + */ +A_UINT8 * +__gmac_vbuf_push_head(VBUF *buf, A_UINT32 len) +{ + A_UINT8 *ptr = NULL; + VDESC *desc = buf->desc_list; + + desc->data_offset -= len; + desc->data_size += len; + buf->buf_length += len; + ptr = desc->buf_addr + desc->data_offset; + return(ptr); +} +/** + * @brief This is a replica ADF_NBUF_LAST + * + * @param buf + * + * @return VDESC* + */ +VDESC * +__gmac_vbuf_last(VBUF *buf) +{ + VDESC *desc = buf->desc_list; + + while(desc->next_desc != NULL) + desc = desc->next_desc; + + return desc; +} +/** + * @brief This is a replica of ADF_NBUF_PUT_TAIL + * + * @param buf + * @param size + * + * @return A_UINT8* + */ +A_UINT8 * +__gmac_vbuf_put_tail(VBUF *buf, A_UINT32 len) +{ + A_UINT8 *tail = NULL; + VDESC *last_desc = __gmac_vbuf_last(buf); + + tail = ( last_desc->buf_addr + last_desc->data_offset + + last_desc->data_size ); + + last_desc->data_size += len; + buf->buf_length += len; + + return tail; +} + +/************************************GMAC**********************************/ +A_UINT16 +__gmac_reg_read16(A_UINT32 addr) +{ + return *((volatile A_UINT16 *)addr); +} + +void +__gmac_reg_write16(A_UINT32 addr, A_UINT16 val) +{ + *((volatile A_UINT16 *)addr) = val; +} + + +A_UINT32 +__gmac_reg_read32(A_UINT32 addr) +{ + return *((volatile A_UINT32 *)addr); +} + +void +__gmac_reg_write32(A_UINT32 addr, A_UINT32 val) +{ + *((volatile A_UINT32 *)addr) = val; +} +/** + * @brief Read the MAC address from EEPROM + * XXX: read from real EEPROM + * + * @param mac (pointer to fill the mac address) + */ +void +__gmac_rom_read_mac(A_UINT8 mac_addr[]) +{ + A_MEMCPY(mac_addr, gmac_addr, ETH_ALEN); +} +/** + * @brief Write the MAC address into the Station address + * register + * + * @param mac + */ +void +__gmac_reg_write_mac(A_UINT8 mac_addr[]) +{ + A_UINT32 mac_lo = 0, mac_hi = 0; + + A_MEMCPY(&mac_lo, mac_addr, 4); + A_MEMCPY(&mac_hi, mac_addr + 4, 2); + + A_PRINTF("mac address = %x:%x:%x:%x:%x:%x\n", + mac_addr[0], mac_addr[1], mac_addr[2], + mac_addr[3], mac_addr[4], mac_addr[5]); + + __gmac_reg_write32(GMAC_REG_MAC_ADDR1, mac_lo); + __gmac_reg_write32(GMAC_REG_MAC_ADDR2, mac_hi); +} + +/** + * @brief Wait for the MII operation to complete + */ +void +__gmac_mii_op_wait(void) +{ + A_UINT32 r_data; + + r_data = __gmac_reg_read32(GMAC_REG_MII_PSTAT) & 0x1; + while(r_data) + r_data = (__gmac_reg_read32(GMAC_REG_MII_PSTAT) & 0x1); + +} +void +__gmac_reset(void) +{ + volatile A_UINT32 r_data; + volatile A_UINT32 w_data; + + /** + * Reset the GMAC controller from Magpie Reset Register + */ + r_data = __gmac_reg_read32(MAG_REG_RST); + r_data |= RST_GMAC; + __gmac_reg_write32(MAG_REG_RST, r_data); + + __gmac_mdelay(1); + + /** + * Pull it out from the Reset State + */ + r_data = __gmac_reg_read32(MAG_REG_RST); + r_data &= ~RST_GMAC; + __gmac_reg_write32(MAG_REG_RST, r_data); + + /** + * Reset the MII + */ + r_data = __gmac_reg_read32(MAG_REG_RST); + r_data |= (RST_MII | RST_GMAC); + __gmac_reg_write32(MAG_REG_RST, r_data); + + __gmac_mdelay(1); + /** + * Pull the MII out of reset + */ + r_data = __gmac_reg_read32(MAG_REG_RST); + r_data &= ~( RST_MII | RST_GMAC); + __gmac_reg_write32(MAG_REG_RST, r_data); + + __gmac_mdelay(1); + + /** + * Reset other modules PCI, PCIE, USB & Eth PLL + * XXX:why??? + */ +// __gmac_reg_write32(MAG_REG_RST, RST_OTHERS); + + __gmac_mdelay(1); + + /** + * Reset the AHB Arb. Unit + */ + r_data = __gmac_reg_read32(MAG_REG_RST_AHB); + r_data |= RST_AHB_GMAC; + __gmac_reg_write32(MAG_REG_RST_AHB, r_data); + + /** + * MII mode initialization + */ + w_data = ( MAC_CFG2_FULL_DUP | MAC_CFG2_PAD_CRC | MAC_CFG2_CHK_LEN | + MAC_CFG2_HUGE_FRM | MAC_CFG2_MII | MAC_CFG2_PREAMBLE ); + + __gmac_reg_write32(GMAC_REG_MAC_CFG2, w_data); + + /** + * Enable FIFO modules + */ + __gmac_reg_write32(GMAC_REG_FIFO_CFG0, FIFO_CFG0_EN); + + /** + * Mode = MII & Speed = 100 Mbps + */ + w_data = ( MII0_CTRL_100 | MII0_CTRL_MODE ); + __gmac_reg_write32(MAG_REG_MII0_CTRL, w_data); + + /** + * Set the interface speed to 100 Mbps + */ + __gmac_reg_write32(GMAC_REG_IF_CTRL, IF_CTRL_SPEED_100); + + /** + * Fifo size set to 2K bytes + */ + r_data = __gmac_reg_read32(GMAC_REG_FIFO_CFG1); + r_data |= FIFO_CFG1_SIZE_2K; + __gmac_reg_write32(GMAC_REG_FIFO_CFG1, r_data); + + /** + * Enable the transceiver + */ + w_data = MAC_CFG1_RX_EN | MAC_CFG1_TX_EN; + __gmac_reg_write32(GMAC_REG_MAC_CFG1, w_data); + + /** + * Set the MII Clock to 2Mhz + */ + __gmac_reg_write32(GMAC_REG_MII_CFG, MII_CFG_CLK_2MHZ); + + /** + * Programming the phy registers + */ + __gmac_reg_write32(GMAC_REG_MII_ADDR, MII_ADDR_RESET); + + /** + * BMCR reset for the PHY + */ + __gmac_reg_write32(GMAC_REG_MII_CTRL, MII_CTRL_RESET); + + /** + * Wait until the MII Reg write has been flushed + */ + __gmac_mii_op_wait(); + + /** + * PHY register 0x000 , BMCR + */ + __gmac_reg_write32(GMAC_REG_MII_ADDR, MII_ADDR_RESET); + + /** + * Write the value in the register + */ + w_data = ( MII_CTRL_FULL_DPLX | MII_CTRL_SPEED_100 | MII_CTRL_RESET); + __gmac_reg_write32(GMAC_REG_MII_CTRL, w_data); + + __gmac_mii_op_wait(); + + /** + * Pull the BMCR out of the reset state + */ + __gmac_reg_write32(GMAC_REG_MII_ADDR, MII_ADDR_RESET); + + w_data = (MII_CTRL_FULL_DPLX | MII_CTRL_SPEED_100); + __gmac_reg_write32(GMAC_REG_MII_ADDR, w_data); + + __gmac_mii_op_wait(); + + /** + * XXX: This should be for some debugging purpose, don't know + * why we should write into the GPIO Output Enable the value + * returned from PHY status register Read + */ + __gmac_reg_write32(GMAC_REG_MII_CMD, MII_CMD_WRITE); + __gmac_reg_write32(GMAC_REG_MII_ADDR, MII_ADDR_PHY_REG); + __gmac_reg_write32(GMAC_REG_MII_CMD, MII_CMD_READ); + + __gmac_mii_op_wait(); + + r_data = __gmac_reg_read32(GMAC_REG_MII_STAT); + + __gmac_reg_write32(MAG_REG_GPIO_OE, r_data); + + /** + * Enable Receive Fifo + */ + r_data = __gmac_reg_read32(GMAC_REG_FIFO_CFG4); + r_data |= FIFO_CFG4_RX_ALL; + __gmac_reg_write32(GMAC_REG_FIFO_CFG4, r_data); + +} +/** + * @brief return if the pipe is supported + * + * @param pipe + * + * @return a_bool_t + */ +static inline a_bool_t +__gmac_chk_pipe(hif_gmac_pipe_t pipe) +{ + switch (pipe) { + case HIF_GMAC_PIPE_TX: + case HIF_GMAC_PIPE_RX: + return A_TRUE; + default: + return A_FALSE; + } +} + +VBUF * +__gmac_pkt_alloc(A_UINT32 size) +{ + VBUF * buf; + A_UINT16 fill_size; + A_UINT8 *data; + + if(size < GMAC_HLEN) + return NULL; + + buf = __gmac_vbuf_alloc(size, GMAC_HLEN, 0); + if(!buf) + return NULL; + + fill_size = size - GMAC_HLEN; + + data = __gmac_vbuf_put_tail(buf, fill_size); + + A_MEMSET(data, 0xaa, fill_size); + + return buf; +} +/** + * @brief Slap the header + * + * @param buf + * @param hdr + */ +void +__gmac_put_hdr(VBUF * buf, __gmac_hdr_t *hdr) +{ + A_UINT8 *data; + + data = __gmac_vbuf_push_head(buf, GMAC_HLEN); + + A_MEMCPY(data, hdr, GMAC_HLEN); +} +/** + * @brief + * + * @param hdr + * @param src + */ +void +__gmac_prep_ethhdr(__gmac_hdr_t *hdr, A_UINT8 *dst) +{ + A_MEMCPY(hdr->eth.dst, dst, ETH_ALEN); + hdr->eth.etype = ETH_P_ATH; +} + + +a_bool_t +__is_ath_header(__gmac_softc_t *sc, VBUF *vbuf) +{ + __gmac_hdr_t *hdr = gmac_hdr(vbuf); + + if(hdr->ath.proto != sc->hdr.ath.proto) + return A_FALSE; + + return A_TRUE; +} + +a_status_t +__gmac_process_discv(__gmac_softc_t *sc) +{ + a_status_t err = A_STATUS_OK; + VBUF *vbuf; + __gmac_hdr_t *buf_hdr ; + + + vbuf = dma_lib_reap_recv(DMA_ENGINE_RX0); + + if(!__is_ath_header(sc, vbuf)) + goto fail; + + buf_hdr = gmac_hdr(vbuf); + + A_MEMCPY(sc->hdr.eth.dst, buf_hdr->eth.src, ETH_ALEN); + + __gmac_vbuf_pull_head(vbuf, GMAC_HLEN); + + /** + * Application should do the return_recv + */ + sc->indicate_pkt(NULL, vbuf, sc->htc_ctx); + + + return A_STATUS_OK; + + /** + * This is not our packet + */ +fail: + + dma_lib_return_recv(DMA_ENGINE_RX0, vbuf); + + return err; +} +/** + * @brief The GMAC host discovery loop + */ +void +__gmac_discover(void) +{ + a_status_t err = A_STATUS_FAILED; + VBUF * buf; + __gmac_softc_t *sc = &gmac_sc; + + + /** + * Get a packet + */ + buf = __gmac_pkt_alloc(GMAC_DISCV_PKT_SZ); + + /** + * Prepare the broadcast packet + */ + __gmac_prep_ethhdr(&sc->hdr, bcast_addr); + __gmac_put_hdr(buf, &sc->hdr); + + while(1){ + + if(buf) + dma_lib_hard_xmit(DMA_ENGINE_TX0, buf); + + buf = NULL; + __gmac_mdelay(GMAC_DISCV_WAIT); + + if(dma_lib_xmit_done(DMA_ENGINE_TX0)) + buf = dma_lib_reap_xmitted(DMA_ENGINE_TX0); + + + while(dma_lib_recv_pkt(DMA_ENGINE_RX0) && err) + err = __gmac_process_discv(sc); + + if(!err) + break; + } + + adf_os_assert(buf); +} + +void +__gmac_mdio_check(void) +{ + A_UINT16 own; + + /*Read the Ownership register*/ + do { + own = __gmac_reg_read16(MAG_REG_MDIO_OWN); + } while ( own == MDIO_OWN_TGT ); + +} + +void +__gmac_mdio_load_exec(void) +{ + volatile A_UINT16 cmd, more = 1 ; + volatile A_UINT16 *addr[2]; + void ( *exec_fn)(void) = NULL; + + do { + /** + * Read the Command register + */ + cmd = __gmac_reg_read16(MAG_REG_MDIO_CMD); + + switch (cmd) { + + case MDIO_CMD_WRITE: + + /** + * 1. Read the address from Address register + * 2. Write the data from Data register into the address + */ + (A_UINT16 *)addr[0] = __gmac_reg_read16(MAG_REG_MDIO_ADDR0); + *addr[0] = __gmac_reg_read16(MAG_REG_MDIO_WRITE0); + + (A_UINT16 *)addr[1] = __gmac_reg_read16(MAG_REG_MDIO_ADDR1); + *addr[1] = __gmac_reg_read16(MAG_REG_MDIO_WRITE1); + + if ( exec_fn ) + break; + + exec_fn = (A_UINT32 *)addr; + break; + + case MDIO_CMD_DONE: + + more = 0; + break; + + case MDIO_CMD_READ: + + /** + * 1. Read the address from Address register + * 2. Write the data into the Data register from the address + */ + addr[0] = (A_UINT16 *)__gmac_reg_read16(MAG_REG_MDIO_ADDR0); + __gmac_reg_write16(MAG_REG_MDIO_READ0, *addr[0]); + + addr[1] = (A_UINT16 *)__gmac_reg_read16(MAG_REG_MDIO_ADDR1); + __gmac_reg_write16(MAG_REG_MDIO_READ1, *addr[1]); + + break; + default: + + A_PRINTF("Command not implemmented\n"); + adf_os_assert(0); + break; + } + + } while ( more ); + + /** + * Change the Ownership + */ + __gmac_reg_write16(MAG_REG_MDIO_OWN, MDIO_OWN_HST); + + if ( exec_fn ) + exec_fn(); + +} + +void +__gmac_mdio_init(void) +{ + +more_exec: + + /** + * Check for Targets turn + */ + __gmac_mdio_check(); + + /** + * Load & execute or Read data, if this returns then keep + * repeating + */ + __gmac_mdio_load_exec(); + + /** + * If we are here then Host wants some more function execs or + * reads + */ + goto more_exec; + +} +void +__gmac_boot_init(void) +{ + __gmac_softc_t *sc = &gmac_sc; + + + __gmac_reset(); + + /** + * Magpie is Booting + */ + sc->hdr.ath.proto = ATH_P_MAGBOOT; + + dma_lib_tx_init(DMA_ENGINE_TX0, DMA_IF_GMAC); + dma_lib_rx_init(DMA_ENGINE_RX0, DMA_IF_GMAC); + + dma_lib_rx_config(DMA_ENGINE_RX0, GMAC_MAX_DESC, GMAC_MAX_PKT_LEN); + + /** + * Read the MAC address from the ROM & Write it into the + * Register + */ + __gmac_rom_read_mac(sc->hdr.eth.src); + __gmac_reg_write_mac(sc->hdr.eth.src); + + /** + * Discover the Host + */ + __gmac_discover(); +} +/** + * @brief + * + * @param pConfig + * + * @return hif_handle_t + */ +hif_handle_t +__gmac_init(HIF_CONFIG *pConfig) +{ + __gmac_softc_t *sc = &gmac_sc; + + sc->hdr.ath.proto = ATH_P_MAGNORM; + + dma_lib_tx_init(DMA_ENGINE_TX0, DMA_IF_GMAC); + dma_lib_rx_init(DMA_ENGINE_RX0, DMA_IF_GMAC); + + return &gmac_sc; +} +/** + * @brief Configure the receive pipe + * + * @param hdl + * @param pipe + * @param num_desc + */ +void +__gmac_cfg_pipe(hif_handle_t hdl, int pipe, int num_desc) +{ + __gmac_softc_t *sc = &gmac_sc; + + if(pipe == HIF_GMAC_PIPE_RX) + dma_lib_rx_config(DMA_ENGINE_RX0, num_desc, sc->gran); +} +/** + * @brief Start the interface + * + * @param hdl + */ +void +__gmac_start(hif_handle_t hdl) +{ + return; +} +/** + * @brief Register callback of thre HTC + * + * @param hdl + * @param sw + */ +void +__gmac_reg_callback(hif_handle_t hdl, HIF_CALLBACK *sw) +{ + __gmac_softc_t *sc = &gmac_sc; + + sc->htc_ctx = sw->context; + sc->indicate_pkt = sw->recv_buf; + sc->ret_pkt = sw->send_buf_done; +} +/** + * @brief reap the transmit queue for trasnmitted packets + * + * @param sc + * @param eng_no + */ +void +__gmac_reap_xmitted(__gmac_softc_t *sc, dma_engine_t eng_no) +{ + VBUF *vbuf = NULL; + /** + * Walk through the all your TX engines + */ + do { + + vbuf = dma_lib_reap_xmitted(eng_no); + if(!vbuf) + break; + + __gmac_vbuf_pull_head(vbuf, GMAC_HLEN); + sc->ret_pkt(vbuf, sc->htc_ctx); + + } while ( vbuf ); +} +/** + * @brief reap the receive queue for vbuf's on the specified + * engine number + * + * @param sc + * @param eng_no + */ +void +__gmac_reap_recv(__gmac_softc_t *sc, dma_engine_t eng_no) +{ + VBUF *vbuf = NULL; + + do { + vbuf = dma_lib_reap_recv(eng_no); + + if(!vbuf) + break; + + if(!__is_ath_header(sc, vbuf)){ + dma_lib_return_recv(eng_no, vbuf); + continue; + } + + __gmac_vbuf_pull_head(vbuf, GMAC_HLEN); + + sc->indicate_pkt(NULL, vbuf, sc->htc_ctx); + + } while ( vbuf ); +} +/** + * @brief The interrupt handler + * + * @param hdl + */ +void +__gmac_isr_handler(hif_handle_t hdl) +{ + __gmac_softc_t *sc = &gmac_sc; + + if(dma_lib_xmit_done(DMA_ENGINE_TX0)) + __gmac_reap_xmitted(sc, DMA_ENGINE_TX0); + + if(dma_lib_recv_pkt(DMA_ENGINE_RX0)) + __gmac_reap_recv(sc, DMA_ENGINE_RX0); +} +/** + * @brief transmit the vbuf from the specified pipe + * + * @param hdl + * @param pipe + * @param buf + * + * @return int + */ +int +__gmac_xmit_buf(hif_handle_t hdl, int pipe, VBUF *vbuf) +{ + __gmac_softc_t *sc = &gmac_sc; + + if (pipe != HIF_GMAC_PIPE_TX) + return -1; + +#if 0 + adf_os_assert( vbuf->desc_list->data_offset >= GMAC_HLEN) +#endif + + __gmac_put_hdr(vbuf, &sc->hdr); + + return dma_lib_hard_xmit(DMA_ENGINE_TX0, vbuf); +} +/** + * @brief Submit the receive vbuf into the receive queue + * + * @param handle + * @param pipe + * @param buf + */ +void +__gmac_return_recv(hif_handle_t hdl, int pipe, VBUF *vbuf) +{ + if (pipe == HIF_GMAC_PIPE_RX) + dma_lib_return_recv(DMA_ENGINE_RX0, vbuf); +} +/** + * @brief Is this pipe number supported + * + * @param handle + * @param pipe + * + * @return int + */ +int +__gmac_is_pipe_supported(hif_handle_t hdl, int pipe) +{ + return __gmac_chk_pipe(pipe); +} +/** + * @brief maximum message length this pipe can support + * + * @param handle + * @param pipe + * + * @return int + */ +int +__gmac_get_max_msg_len(hif_handle_t hdl, int pipe) +{ + if(__gmac_chk_pipe(pipe)) + return GMAC_MAX_PKT_LEN; + + return 0; +} +/** + * @brief return the header room required by this HIF + * + * @param hdl + * + * @return int + */ +int +__gmac_get_reserved_headroom(hif_handle_t hdl) +{ + return (GMAC_HLEN); +} +/** + * @brief Device shutdown, HIF reset required + * + * @param hdl + */ +void +__gmac_shutdown(hif_handle_t hdl) +{ + return; +} +void +__gmac_get_def_pipe(hif_handle_t handle, A_UINT8 *pipe_uplink, + A_UINT8 *pipe_downlink) +{ + *pipe_uplink = HIF_GMAC_PIPE_RX; + *pipe_downlink = HIF_GMAC_PIPE_TX; +} + +/** + * @brief This install the API's of the HIF + * + * @param apis + */ +void +hif_gmac_module_install(struct hif_api *apis) +{ + /* hook in APIs */ + apis->_init = __gmac_init; + apis->_start = __gmac_start; + apis->_config_pipe = __gmac_cfg_pipe; + apis->_isr_handler = __gmac_isr_handler; + apis->_send_buffer = __gmac_xmit_buf; + apis->_return_recv_buf = __gmac_return_recv; + apis->_is_pipe_supported = __gmac_is_pipe_supported; + apis->_get_max_msg_len = __gmac_get_max_msg_len; + apis->_register_callback = __gmac_reg_callback; + apis->_shutdown = __gmac_shutdown;/*XXX*/ + apis->_get_reserved_headroom = __gmac_get_reserved_headroom; + apis->_get_default_pipe = __gmac_get_def_pipe; +} + +void +cmnos_gmac_module_install(struct gmac_api *boot_apis) +{ + boot_apis->gmac_boot_init = __gmac_boot_init; +} diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/dma_lib.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/dma_lib.h new file mode 100755 index 0000000..7b44c56 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/dma_lib.h @@ -0,0 +1,57 @@ +#ifndef __DMA_LIB_H +#define __DMA_LIB_H + + +/***********************External***************************/ + +/** + * @brief DMA engine numbers, HIF need to map them to there + * respective order + */ +typedef enum dma_engine{ + DMA_ENGINE_RX0, + DMA_ENGINE_RX1, + DMA_ENGINE_RX2, + DMA_ENGINE_RX3, + DMA_ENGINE_TX0, + DMA_ENGINE_TX1, + DMA_ENGINE_MAX +}dma_engine_t; + +/** + * @brief Interface type, each HIF should call with its own interface type + */ +typedef enum dma_iftype{ + DMA_IF_GMAC = 0x0,/* GMAC */ + DMA_IF_PCI = 0x1,/*PCI */ + DMA_IF_PCIE = 0x2 /*PCI Express */ +}dma_iftype_t; + + +struct dma_lib_api{ + A_UINT16 (*tx_init)(dma_engine_t eng_no, dma_iftype_t if_type); + void (*tx_start)(dma_engine_t eng_no); + A_UINT16 (*rx_init)(dma_engine_t eng_no, dma_iftype_t if_type); + void (*rx_config)(dma_engine_t eng_no, a_uint16_t num_desc, + a_uint16_t gran); + void (*rx_start)(dma_engine_t eng_no); + A_UINT32 (*intr_status)(dma_iftype_t if_type); + A_UINT16 (*hard_xmit)(dma_engine_t eng_no, VBUF *buf); + void (*flush_xmit)(dma_engine_t eng_no); + A_UINT16 (*xmit_done)(dma_engine_t eng_no); + VBUF * (*reap_xmitted)(dma_engine_t eng_no); + VBUF * (*reap_recv)(dma_engine_t eng_no); + void (*return_recv)(dma_engine_t eng_no, VBUF *buf); + A_UINT16 (*recv_pkt)(dma_engine_t eng_no); +}; + + +/** + * @brief Install the DMA lib api's this for ROM patching + * support + * + * @param apis + */ +void dma_lib_module_install(struct dma_lib_api *apis); + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_api.h new file mode 100755 index 0000000..33dde31 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_api.h @@ -0,0 +1,70 @@ +/* + * @File: HIF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _HIF_API_H +#define _HIF_API_H + +#include + +/* mailbox hw module configuration structure */ +typedef struct _HIF_CONFIG { + int dummy; +} HIF_CONFIG; + +typedef struct _HIF_CALLBACK { + /* callback when a buffer has be sent to the host*/ + void (*send_buf_done)(adf_nbuf_t buf, void *context); + /* callback when a receive message is received */ + void (*recv_buf)(adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *context); + /* context used for all callbacks */ + void *context; +} HIF_CALLBACK; + +typedef void* hif_handle_t; + +/* hardware API table structure (API descriptions below) */ +struct hif_api { + hif_handle_t (*_init)(HIF_CONFIG *pConfig); + + void (* _shutdown)(hif_handle_t); + + void (*_register_callback)(hif_handle_t, HIF_CALLBACK *); + + int (*_get_total_credit_count)(hif_handle_t); + + void (*_start)(hif_handle_t); + + void (*_config_pipe)(hif_handle_t handle, int pipe, int creditCount); + + int (*_send_buffer)(hif_handle_t handle, int pipe, adf_nbuf_t buf); + + void (*_return_recv_buf)(hif_handle_t handle, int pipe, adf_nbuf_t buf); + //void (*_set_recv_bufsz)(int pipe, int bufsz); + //void (*_pause_recv)(int pipe); + //void (*_resume_recv)(int pipe); + int (*_is_pipe_supported)(hif_handle_t handle, int pipe); + + int (*_get_max_msg_len)(hif_handle_t handle, int pipe); + + int (*_get_reserved_headroom)(hif_handle_t handle); + + void (*_isr_handler)(hif_handle_t handle); + + void (*_get_default_pipe)(hif_handle_t handle, A_UINT8 *pipe_uplink, A_UINT8 *pipe_downlink); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void generic_hif_module_install(struct hif_api *apis); + +#endif /* #ifndef _HIF_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_gmac.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_gmac.h new file mode 100755 index 0000000..71229bf --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_gmac.h @@ -0,0 +1,35 @@ + +#ifndef __HIF_GMAC_H +#define __HIF_GMAC_H + +#include +#include + + +#define ETH_ALEN 6 +#define GMAC_MAX_PKT_LEN 1600 +#define GMAC_MAX_DESC 5 + +#define GMAC_DISCV_PKT_SZ 60 +#define GMAC_DISCV_WAIT 2000 + +#define ATH_P_MAGBOOT 0x12 /*Magpie GMAC 18 for boot downloader*/ +#define ATH_P_MAGNORM 0x13 /*Magpie GMAC 19 for HTC & others*/ + +#define ETH_P_ATH 0x88bd + +typedef enum hif_gmac_pipe{ + HIF_GMAC_PIPE_RX = 1, /*Normal Priority RX*/ + HIF_GMAC_PIPE_TX = 2, /*Normal Priority TX*/ +}hif_gmac_pipe_t; + +struct gmac_api{ + void (*gmac_boot_init)(void); +}; + +void cmnos_gmac_module_install(struct gmac_api *boot_apis); +void hif_gmac_module_install(struct hif_api *apis); + + +#endif + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_pci.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_pci.h new file mode 100755 index 0000000..848c104 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_pci.h @@ -0,0 +1,34 @@ + +#ifndef __HIF_PCI_H +#define __HIF_PCI_H + +#include + + +#define PCI_MAX_DATA_PKT_LEN 1600 +#define PCI_MAX_CMD_PKT_LEN 64 +#define PCI_MAX_BOOT_DESC 2 + +typedef enum hif_pci_pipe_rx{ + HIF_PCI_PIPE_RX0, /*Normal Priority RX*/ + HIF_PCI_PIPE_RX1, + HIF_PCI_PIPE_RX2, + HIF_PCI_PIPE_RX3, + HIF_PCI_PIPE_RX_MAX +}hif_pci_pipe_rx_t; + +typedef enum hif_pci_pipe_tx{ + HIF_PCI_PIPE_TX0, /*Normal Priority TX*/ + HIF_PCI_PIPE_TX1, + HIF_PCI_PIPE_TX_MAX +}hif_pci_pipe_tx_t; + +struct pci_api{ + void (*pci_boot_init)(void); +}; + +void cmnos_pci_module_install(struct pci_api *apis); +void hif_pci_module_install(struct hif_api *apis); +#endif + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_usb.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_usb.h new file mode 100755 index 0000000..addc17e --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/hif_usb.h @@ -0,0 +1,58 @@ +/* + * @File: mbox_hw.h + * + * @Abstract: mailbox hardware definitions + * + * @Notes: + * * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef __HIF_USB_H__ +#define __HIF_USB_H__ + +#include + +#include +#include +#include +//#include +//#include + +#define HIF_USB_PIPE_TX 1 +#define HIF_USB_PIPE_RX 2 +#define HIF_USB_PIPE_INTERRUPT 3 +#define HIF_USB_PIPE_COMMAND 4 +#define HIF_USB_PIPE_HP_TX 5 +#define HIF_USB_PIPE_MP_TX 6 + +struct VBUF_QUEUE +{ + VBUF *head; + VBUF *tail; +}; + + /* the mailbox hardware layer context */ +typedef struct _HIF_USB_CONTEXT { + HIF_CALLBACK hifCb; + struct zsDmaQueue dnQ; + struct zsTxDmaQueue upQ; +#if SYSTEM_MODULE_HP_EP5 + struct zsDmaQueue hpdnQ; // high priority +#endif +#if SYSTEM_MODULE_HP_EP6 + struct zsDmaQueue mpdnQ; // medium priority +#endif + //struct VBUF_QUEUE upVbufQ; + VBUF *cmdQueue; + struct VBUF_QUEUE eventBufQ; + + // Left a door for extension the structure + void *pReserved; +} HIF_USB_CONTEXT; + +void hif_usb_module_install(struct hif_api *apis); + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/usb_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/usb_api.h new file mode 100755 index 0000000..a9c3168 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/usb_api.h @@ -0,0 +1,52 @@ +#ifndef USB_API_H +#define USB_API_H + +#include "dt_defs.h" + +/******** hardware API table structure (API descriptions below) *************/ +struct usb_api { + void (*_usb_init)(void); + void (*_usb_rom_task)(void); + void (*_usb_fw_task)(void); + void (*_usb_init_phy)(void); + + // ep0 operation + void (*_usb_ep0_setup)(void); + + void (*_usb_ep0_tx)(void); + void (*_usb_ep0_rx)(void); + + // get/set interface + BOOLEAN (*_usb_get_interface)(void); + BOOLEAN (*_usb_set_interface)(void); + + // get/set configuration + BOOLEAN (*_usb_get_configuration)(void); + BOOLEAN (*_usb_set_configuration)(void); + + // standard/vendor command + BOOLEAN (*_usb_standard_cmd)(void); + void (*_usb_vendor_cmd)(void); + + void (*_usb_power_off)(void); + void (*_usb_reset_fifo)(void); + void (*_usb_gen_wdt)(void); + void (*_usb_jump_boot)(void); + + BOOLEAN (*_usb_clr_feature)(void); + BOOLEAN (*_usb_set_feature)(void); + BOOLEAN (*_usb_set_address)(void); + BOOLEAN (*_usb_get_descriptor)(void); + + BOOLEAN (*_usb_get_status)(void); + void (*_usb_setup_desc)(void); + void (*_usb_reg_out)(void); + void (*_usb_status_in)(void); + + void (*_usb_ep0_tx_data)(void); + void (*_usb_ep0_rx_data)(void); + + void (*_usb_clk_init)(void); +}; + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/usbfifo_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/usbfifo_api.h new file mode 100755 index 0000000..08c4644 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/inc/usbfifo_api.h @@ -0,0 +1,43 @@ +/* + * @File: HIF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _USB_FIFO_API_H +#define _USB_FIFO_API_H + +#include "vbuf_api.h" + +typedef struct _USB_FIFO_CONFIG { + /* callback to get the buf for receiving commands from USB FIFO */ + VBUF* (*get_command_buf)(void); + /* callback when receiving a command */ + void (*recv_command)(VBUF *cmd); + /* callback to get the buf for event to send to the host */ + VBUF* (*get_event_buf)(void); + /* callback to indicate the event has been sent to the host */ + void (*send_event_done)(VBUF *buf); + + /* context used for all callbacks */ + //void *context; +} USB_FIFO_CONFIG; + +/* hardware API table structure (API descriptions below) */ +struct usbfifo_api { + void (*_init)(USB_FIFO_CONFIG *pConfig); + void (*_enable_event_isr)(void); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void usbfifo_module_install(struct usbfifo_api *apis); + +#endif /* #ifndef _USB_FIFO_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/Makefile new file mode 100755 index 0000000..4d26a7a --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/Makefile @@ -0,0 +1,51 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = hif +export SSNAME = pci + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/Makefile.ss new file mode 100755 index 0000000..ce80f76 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/ + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/hif_pci.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/hif_pci.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/hif_pci.c new file mode 100755 index 0000000..937172b --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/pci/hif_pci.c @@ -0,0 +1,545 @@ +#include +#include +#include + +#include +#include "hif_pci.h" + + +/**************************Constants******************************/ + +/*************************Data types*******************************/ +enum pci_regs{ + MAG_REG_AHB_RESET = 0x00050010, + MAG_REG_AHB_ARB = 0x00050018 +}; + +#define PCI_AHB_ARB_ENB (1 << 3) +#define PCI_AHB_RESET_DMA (1 << 13) +#define PCI_AHB_RESET_DMA_HST_RAW (1 << 14) +#define PCI_AHB_RESET_DMA_HST (1 << 15) + +/*********************************softC************************/ +typedef struct __pci_softc{ + HIF_CALLBACK sw; +}__pci_softc_t; + + +#define ret_pkt sw.send_buf_done +#define indicate_pkt sw.recv_buf +#define htc_ctx sw.context +/*********************************DEFINES**********************************/ +#define hdl_to_softc(_hdl) (__pci_softc_t *)(_hdl) + +#define PCI_ENG_NUM(_eng) HIF_PCI_PIPE_##_eng + +#define PCI_INIT_PIPE { \ + PCI_ENG_NUM(RX0), \ + PCI_ENG_NUM(RX1), \ + PCI_ENG_NUM(RX2), \ + PCI_ENG_NUM(RX3), \ + PCI_ENG_NUM(TX0), \ + PCI_ENG_NUM(TX1), \ +} + +#define rx_pipe0 DMA_ENGINE_RX0 +#define rx_pipe1 DMA_ENGINE_RX1 +#define tx_pipe0 DMA_ENGINE_TX0 +#define tx_pipe1 DMA_ENGINE_TX1 +#define dbg_pci 0 +#define dbg_pci_loopback 0 + +#define PCI_DBG_MODE 0 + +void __pci_cfg_pipe(hif_handle_t hdl, int pipe, int num_desc); +int __pci_get_max_msg_len(hif_handle_t hdl, int pipe); +void __pci_return_recv(hif_handle_t hdl, int pipe, VBUF *buf); +void __pci_reset(void); +void __pci_enable(void); + + +/***********************************Globals********************************/ +/** + * @brief Engines are fixed + */ +__pci_softc_t pci_sc = {0}; + + +/**************************************APIs********************************/ + +A_UINT32 +__pci_reg_read(A_UINT32 addr) +{ + return *((volatile A_UINT32 *)addr); +} + +void +__pci_reg_write(A_UINT32 addr, A_UINT32 val) +{ + *((volatile A_UINT32 *)addr) = val; +} + +A_UINT8 +__pci_get_pipe(dma_engine_t eng) +{ + switch (eng) { + case DMA_ENGINE_RX0: + return HIF_PCI_PIPE_RX0; + case DMA_ENGINE_RX1: + return HIF_PCI_PIPE_RX1; + case DMA_ENGINE_RX2: + return HIF_PCI_PIPE_RX2; + case DMA_ENGINE_RX3: + return HIF_PCI_PIPE_RX3; + case DMA_ENGINE_TX0: + return HIF_PCI_PIPE_TX0; + case DMA_ENGINE_TX1: + return HIF_PCI_PIPE_TX1; + default: + adf_os_assert(0); + } +} + +dma_engine_t +__pci_get_tx_eng(hif_pci_pipe_tx_t pipe) +{ + switch (pipe) { + case HIF_PCI_PIPE_TX0: + return DMA_ENGINE_TX0; + + case HIF_PCI_PIPE_TX1: + return DMA_ENGINE_TX1; + + default: + return DMA_ENGINE_MAX; + } +} +dma_engine_t +__pci_get_rx_eng(hif_pci_pipe_rx_t pipe) +{ + switch (pipe) { + case HIF_PCI_PIPE_RX0: + return DMA_ENGINE_RX0; + + case HIF_PCI_PIPE_RX1: + return DMA_ENGINE_RX1; + + case HIF_PCI_PIPE_RX2: + return DMA_ENGINE_RX2; + + case HIF_PCI_PIPE_RX3: + return DMA_ENGINE_RX3; + + default: + return DMA_ENGINE_MAX; + } +} + + + +void +__pci_enable(void) +{ + A_UINT32 r_data; + /** + * Grant access to the internal memory for PCI DMA + */ + + r_data = __pci_reg_read(MAG_REG_AHB_ARB); + r_data |= PCI_AHB_ARB_ENB; + __pci_reg_write(MAG_REG_AHB_ARB, r_data); +} + +/** + * @brief PCI reset + * XXX: Move this to RAM + */ +void +__pci_reset(void) +{ + volatile A_UINT32 r_data; + + /** + * Poll until the Host has reset + */ + A_PRINTF("Waiting for host reset.."); + for (;;) { + r_data = __pci_reg_read(MAG_REG_AHB_RESET); + + if ( r_data & PCI_AHB_RESET_DMA_HST_RAW) + break; + } + A_PRINTF("received.\n"); + + /** + * Pull the AHB out of reset + */ + + r_data = __pci_reg_read(MAG_REG_AHB_RESET); + r_data &= ~PCI_AHB_RESET_DMA; + __pci_reg_write(MAG_REG_AHB_RESET, r_data); + + A_DELAY_USECS(10); + + /** + * Put the AHB into reset + */ + + r_data = __pci_reg_read(MAG_REG_AHB_RESET); + r_data |= PCI_AHB_RESET_DMA; + __pci_reg_write(MAG_REG_AHB_RESET, r_data); + + A_DELAY_USECS(10); + + /** + * Pull the AHB out of reset + */ + + r_data = __pci_reg_read(MAG_REG_AHB_RESET); + r_data &= ~PCI_AHB_RESET_DMA; + __pci_reg_write(MAG_REG_AHB_RESET, r_data); + + A_DELAY_USECS(10); +} +/** + * @brief Boot init + */ +void +__pci_boot_init(void) +{ + __pci_reset(); + __pci_enable(); + + dma_lib_tx_init(DMA_ENGINE_TX0, DMA_IF_PCI); + dma_lib_rx_init(DMA_ENGINE_RX0, DMA_IF_PCI); + + dma_lib_rx_config(DMA_ENGINE_RX0, PCI_MAX_BOOT_DESC, + PCI_MAX_DATA_PKT_LEN); + +} +/** + * @brief + * + * @param pConfig + * + * @return hif_handle_t + */ +hif_handle_t +__pci_init(HIF_CONFIG *pConfig) +{ + __pci_reset(); + __pci_enable(); + + /** + * Initializing the other TX engines + */ + dma_lib_tx_init(DMA_ENGINE_TX0, DMA_IF_PCI); + dma_lib_tx_init(DMA_ENGINE_TX1, DMA_IF_PCI); + + /** + * Initializing the other RX engines + */ + dma_lib_rx_init(DMA_ENGINE_RX0, DMA_IF_PCI); + dma_lib_rx_init(DMA_ENGINE_RX1, DMA_IF_PCI); + dma_lib_rx_init(DMA_ENGINE_RX2, DMA_IF_PCI); + dma_lib_rx_init(DMA_ENGINE_RX3, DMA_IF_PCI); + + return &pci_sc; +} +/** + * @brief Configure the receive pipe + * + * @param hdl + * @param pipe + * @param num_desc + */ +void +__pci_cfg_pipe(hif_handle_t hdl, int pipe, int num_desc) +{ + dma_engine_t eng; + A_UINT16 desc_len; + + eng = __pci_get_rx_eng(pipe); + + if (eng == DMA_ENGINE_MAX) { + A_PRINTF("Bad Engine number\n"); + return; + } + + desc_len = __pci_get_max_msg_len(hdl, pipe); + + dma_lib_rx_config(eng, num_desc, desc_len); +} +/** + * @brief Start the interface + * + * @param hdl + */ +void +__pci_start(hif_handle_t hdl) +{ + return; +} +/** + * @brief Register callback of thre HTC + * + * @param hdl + * @param sw + */ +void +__pci_reg_callback(hif_handle_t hdl, HIF_CALLBACK *sw) +{ + __pci_softc_t *sc = &pci_sc; + + sc->htc_ctx = sw->context; + sc->indicate_pkt = sw->recv_buf; + sc->ret_pkt = sw->send_buf_done; +} + +/** + * @brief reap the transmit queue for trasnmitted packets + * + * @param sc + * @param eng_no + */ +void +__pci_reap_xmitted(__pci_softc_t *sc, dma_engine_t eng_no) +{ + VBUF *vbuf = NULL; + A_UINT8 pipe; + + pipe = __pci_get_pipe(eng_no); + + vbuf = dma_lib_reap_xmitted(eng_no); + + if ( vbuf ) + sc->ret_pkt(vbuf, sc->htc_ctx); + else + A_PRINTF("Empty RX Reap\n"); + + +} + +/** + * @brief reap the receive queue for vbuf's on the specified + * engine number + * + * @param sc + * @param eng_no + */ +void +__pci_reap_recv(__pci_softc_t *sc, dma_engine_t eng_no) +{ + VBUF *vbuf = NULL; + + vbuf = dma_lib_reap_recv(eng_no); + + if(vbuf) + sc->indicate_pkt(NULL, vbuf, sc->htc_ctx); + else + A_PRINTF("Empty TX Reap \n"); +} +/** + * @brief The interrupt handler + * + * @param hdl + */ +void +__pci_isr_handler(hif_handle_t hdl) +{ + __pci_softc_t *sc = &pci_sc; + A_UINT16 more = 0; + + do { + + more = 0; + + if( dma_lib_xmit_done(DMA_ENGINE_TX1) ) { + __pci_reap_xmitted(sc,DMA_ENGINE_TX1); + more = 1; + } + if( dma_lib_recv_pkt(DMA_ENGINE_RX3) ) { + __pci_reap_recv(sc, DMA_ENGINE_RX3); + more = 1; + } + + } while( more ); + + do { + + more = 0; + + if( dma_lib_xmit_done(DMA_ENGINE_TX1) ) { + __pci_reap_xmitted(sc,DMA_ENGINE_TX1); + more = 1; + } + if( dma_lib_recv_pkt(DMA_ENGINE_RX2) ) { + __pci_reap_recv(sc, DMA_ENGINE_RX2); + more = 1; + } + + } while( more ); + + do { + + more = 0; + + if( dma_lib_xmit_done(DMA_ENGINE_TX1) ) { + __pci_reap_xmitted(sc,DMA_ENGINE_TX1); + more = 1; + } + if( dma_lib_recv_pkt(DMA_ENGINE_RX1) ) { + __pci_reap_recv(sc, DMA_ENGINE_RX1); + more = 1; + } + + } while( more ); + + do { + + more = 0; + + if( dma_lib_xmit_done(DMA_ENGINE_TX0) ) { + __pci_reap_xmitted(sc,DMA_ENGINE_TX0); + more = 1; + } + + if( dma_lib_recv_pkt(DMA_ENGINE_RX0) ) { + __pci_reap_recv(sc, DMA_ENGINE_RX0); + more = 1; + } + + } while( more ); + +} +/** + * @brief transmit the vbuf from the specified pipe + * + * @param hdl + * @param pipe + * @param buf + * + * @return int + */ +int +__pci_xmit_buf(hif_handle_t hdl, int pipe, VBUF *vbuf) +{ + dma_engine_t eng; + + eng = __pci_get_tx_eng(pipe); + + if (eng == DMA_ENGINE_MAX) { + A_PRINTF("Invalid Pipe number\n"); + return -1; + } + + return dma_lib_hard_xmit(eng, vbuf); +} +/** + * @brief Submit the receive vbuf into the receive queue + * + * @param handle + * @param pipe + * @param buf + */ +void +__pci_return_recv(hif_handle_t hdl, int pipe, VBUF *buf) +{ + dma_engine_t eng; + + eng = __pci_get_rx_eng(pipe); + + if (eng == DMA_ENGINE_MAX) + return; + + dma_lib_return_recv(eng, buf); +} +/** + * @brief Is this pipe number supported + * + * @param handle + * @param pipe + * + * @return int + */ +int +__pci_is_pipe_supported(hif_handle_t hdl, int pipe) +{ + if (pipe >= 0 && pipe <= 4) + return 1; + else + return 0; +} +/** + * @brief maximum message length this pipe can support + * + * @param handle + * @param pipe + * + * @return int + */ +int +__pci_get_max_msg_len(hif_handle_t hdl, int pipe) +{ + if( pipe == HIF_PCI_PIPE_TX0 || pipe == HIF_PCI_PIPE_RX0) + return PCI_MAX_CMD_PKT_LEN; + + return PCI_MAX_DATA_PKT_LEN; +} +/** + * @brief return the header room required by this HIF + * + * @param hdl + * + * @return int + */ +int +__pci_get_reserved_headroom(hif_handle_t hdl) +{ + return 0; +} +/** + * @brief Device shutdown, HIF reset required + * + * @param hdl + */ +void +__pci_shutdown(hif_handle_t hdl) +{ + return; +} + +void +__pci_get_def_pipe(hif_handle_t handle, A_UINT8 *pipe_rx, A_UINT8 *pipe_tx) +{ + *pipe_rx = HIF_PCI_PIPE_RX0; + *pipe_tx = HIF_PCI_PIPE_TX0; +} +/** + * @brief This install the API's of the HIF + * + * @param apis + */ +void +hif_pci_module_install(struct hif_api *apis) +{ + /* hook in APIs */ + apis->_init = __pci_init; + apis->_start = __pci_start; + apis->_config_pipe = __pci_cfg_pipe; + apis->_isr_handler = __pci_isr_handler; + apis->_send_buffer = __pci_xmit_buf; + apis->_return_recv_buf = __pci_return_recv; + apis->_is_pipe_supported = __pci_is_pipe_supported; + apis->_get_max_msg_len = __pci_get_max_msg_len; + apis->_register_callback = __pci_reg_callback; + apis->_shutdown = __pci_shutdown; + apis->_get_reserved_headroom = __pci_get_reserved_headroom; + apis->_get_default_pipe = __pci_get_def_pipe; +} + +void +cmnos_pci_module_install(struct pci_api *apis) +{ + apis->pci_boot_init = __pci_boot_init; +} diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/Makefile new file mode 100755 index 0000000..9c79567 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/Makefile @@ -0,0 +1,51 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export LAYERNAME = hif +export SSNAME = usb + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(LAYERNAME)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/Makefile.ss new file mode 100755 index 0000000..d769958 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/Makefile.ss @@ -0,0 +1,47 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/HIF_usb.o \ + $(SSOBJPATH)/usb_api.o \ + $(SSOBJPATH)/usb_table.o \ + $(SSOBJPATH)/usb_fifo.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/HIF_usb.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/HIF_usb.c new file mode 100755 index 0000000..5d48a7c --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/HIF_usb.c @@ -0,0 +1,645 @@ +/* + * @File: HIF_usb.c + * + * @Abstract: USB implementation of HIF + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ +#include "sys_cfg.h" +#include "dt_defs.h" +#include "reg_defs.h" + +#include +//#include +#include +#include +#include +#include +//#include +#include + +#include "hif_usb.h" + +HIF_USB_CONTEXT g_hifUSBCtx; + + +static void send_buffer_via_fifo(VBUF *buf); +static int _HIFusb_get_reserved_headroom(hif_handle_t handle); +static struct zsDmaQueue* get_queue_from_pipe(int pipe); + +int _HIFusb_get_max_msg_len(hif_handle_t handle, int pipe); + +//#define OTUS_USB + +static VBUF* usbfifo_get_command_buf() +{ + VBUF *buf; + + buf = g_hifUSBCtx.cmdQueue; + g_hifUSBCtx.cmdQueue = buf->next_buf; + buf->next_buf = NULL; + return buf; +} + +static void usbfifo_recv_command(VBUF *buf) +{ +#if ENABLE_SW_SWAP_DATA_MODE + VDESC *currVdesc; + struct zsDmaDesc* usbDesc; +#endif + + #if ZM_FM_LOOPBACK == 1 + send_buffer_via_fifo(buf); + #else + +#if ENABLE_SW_SWAP_DATA_MODE + currVdesc = (VDESC *)buf->desc_list; + usbDesc = (struct zsDmaDesc *)currVdesc->hw_desc_buf; + usbDesc->dataSize = currVdesc->data_size; + usbDesc->dataAddr = (volatile u32_t)(currVdesc->buf_addr + currVdesc->data_offset); + + DMA_Engine_swap_data(usbDesc); +#endif + g_hifUSBCtx.hifCb.recv_buf(NULL, buf, g_hifUSBCtx.hifCb.context); + #endif +} + +static VBUF* usbfifo_get_event_buf() +{ + VBUF *buf; + + buf = g_hifUSBCtx.eventBufQ.head; + if ( g_hifUSBCtx.eventBufQ.head == g_hifUSBCtx.eventBufQ.tail ) { + g_hifUSBCtx.eventBufQ.head = NULL; + g_hifUSBCtx.eventBufQ.tail = NULL; + } else { + g_hifUSBCtx.eventBufQ.head = buf->next_buf; + } + + buf->next_buf = NULL; + return buf; +} + +static void usbfifo_send_event_done(VBUF *buf) +{ + #if ZM_FM_LOOPBACK == 1 + ; // LOOPBACK? + _HIFusb_return_recv_buf(NULL, HIF_USB_PIPE_COMMAND, buf); + #else + g_hifUSBCtx.hifCb.send_buf_done(buf, g_hifUSBCtx.hifCb.context); + #endif +} + +#define MAGPIE_ENABLE_USBFIFO + +hif_handle_t _HIFusb_init(HIF_CONFIG *pConfig) +{ + USB_FIFO_CONFIG usbfifo; + +#ifdef MAGPIE_ENABLE_USBFIFO + usbfifo.get_command_buf = usbfifo_get_command_buf; + usbfifo.recv_command = usbfifo_recv_command; + usbfifo.get_event_buf = usbfifo_get_event_buf; + usbfifo.send_event_done = usbfifo_send_event_done; + USBFIFO_init(&usbfifo); +#endif + + // Initialize the terminator descriptor for dnQ & upQ + DMA_Engine_init_rx_queue(&g_hifUSBCtx.dnQ); + DMA_Engine_init_tx_queue(&g_hifUSBCtx.upQ); + +#if SYSTEM_MODULE_HP_EP5 + DMA_Engine_init_rx_queue(&g_hifUSBCtx.hpdnQ); +#endif + +#if SYSTEM_MODULE_HP_EP6 + DMA_Engine_init_rx_queue(&g_hifUSBCtx.mpdnQ); +#endif + + g_hifUSBCtx.eventBufQ.head = NULL; + g_hifUSBCtx.eventBufQ.tail = NULL; + g_hifUSBCtx.cmdQueue = NULL; + + return NULL; +} + +void _HIFusb_shutdown(hif_handle_t handle) +{ + // nothing to do in FW +} + +void _HIFusb_register_callback(hif_handle_t handle, HIF_CALLBACK *pConfig) +{ + //HIF_INPROC_CONTEXT *hifInprocCtx = (HIF_INPROC_CONTEXT *)handle; + + g_hifUSBCtx.hifCb.send_buf_done = pConfig->send_buf_done; + g_hifUSBCtx.hifCb.recv_buf = pConfig->recv_buf; + g_hifUSBCtx.hifCb.context = pConfig->context; + + return; +} + +//#define MAGPIE_REG_USB_ +void _HIFusb_start(hif_handle_t handle) +{ +#ifdef OTUS_USB + ZM_PTA_DN_DMA_ADDRH_REG = (u32_t)g_hifUSBCtx.dnQ.head >> 16; + ZM_PTA_DN_DMA_ADDRL_REG = (u32_t)g_hifUSBCtx.dnQ.head & 0xffff; + + ZM_PTA_UP_DMA_ADDRH_REG = (u32_t)g_hifUSBCtx.upQ.head >> 16; + ZM_PTA_UP_DMA_ADDRL_REG = (u32_t)g_hifUSBCtx.upQ.head & 0xffff; +#else + A_PRINTF("\n\r\t=>[dnQ] 0x%08x \n[", (u32_t)g_hifUSBCtx.dnQ.head); + A_PRINTF("\t=>[upQ] 0x%08x \n[", (u32_t)g_hifUSBCtx.upQ.head); + + MAGPIE_REG_USB_RX0_DESC_START = (u32_t)g_hifUSBCtx.dnQ.head; + MAGPIE_REG_USB_TX0_DESC_START = (u32_t)g_hifUSBCtx.upQ.head; +#if SYSTEM_MODULE_HP_EP5 + A_PRINTF("\t=>[hp dnQ] 0x%08x \n[", (u32_t)g_hifUSBCtx.hpdnQ.head); + MAGPIE_REG_USB_RX1_DESC_START = (u32_t)g_hifUSBCtx.hpdnQ.head; +#endif + +#if SYSTEM_MODULE_HP_EP6 + A_PRINTF("\t=>[mp dnQ] 0x%08x \n[", (u32_t)g_hifUSBCtx.mpdnQ.head); + MAGPIE_REG_USB_RX2_DESC_START = (u32_t)g_hifUSBCtx.mpdnQ.head; +#endif + + MAGPIE_REG_USB_INTERRUPT_MASK = 0xffffffff; // enable all interrupt, refer to 7-34, Ryan + MAGPIE_REG_USB_RX0_DMA_START = 1; + +#if SYSTEM_MODULE_HP_EP5 + MAGPIE_REG_USB_RX1_DMA_START = 1; + #endif + +#if SYSTEM_MODULE_HP_EP6 + MAGPIE_REG_USB_RX2_DMA_START = 1; +#endif +#endif +} + +static int _HIFusb_get_reserved_headroom(hif_handle_t handle) +{ + return 0; +} + +static void config_command_pipe(VDESC *desc_list) +{ + VDESC *theDesc; + VBUF *buf; + + theDesc = desc_list; + while ( theDesc != NULL ) { + buf = VBUF_alloc_vbuf(); + + buf->desc_list = theDesc; + theDesc = theDesc->next_desc; + buf->desc_list->next_desc = NULL; + + if ( g_hifUSBCtx.cmdQueue == NULL ) { + g_hifUSBCtx.cmdQueue = buf; + } else { + buf->next_buf = g_hifUSBCtx.cmdQueue; + g_hifUSBCtx.cmdQueue = buf; + } + } +} + +static void enable_rx(int pipe) +{ + if ( pipe == HIF_USB_PIPE_TX ) { + #ifdef OTUS_USB + ZM_PTA_DN_DMA_TRIGGER_REG = 1; + #else + MAGPIE_REG_USB_RX0_DMA_START = 1; + #endif + } +#if SYSTEM_MODULE_HP_EP5 + else if ( pipe == HIF_USB_PIPE_HP_TX ) { + MAGPIE_REG_USB_RX1_DMA_START = 1; + } +#endif +#if SYSTEM_MODULE_HP_EP6 + else if ( pipe == HIF_USB_PIPE_MP_TX ) { + MAGPIE_REG_USB_RX2_DMA_START = 1; + } +#endif +} + +static struct zsDmaQueue* get_queue_from_pipe(int pipe) +{ + struct zsDmaQueue* q = NULL; + + if ( pipe == HIF_USB_PIPE_TX ) { + q = &g_hifUSBCtx.dnQ; + } +#if SYSTEM_MODULE_HP_EP5 + else if ( pipe == HIF_USB_PIPE_HP_TX ) + { + q = &g_hifUSBCtx.hpdnQ; + } +#endif +#if SYSTEM_MODULE_HP_EP6 + else if ( pipe == HIF_USB_PIPE_MP_TX ) + { + q = &g_hifUSBCtx.mpdnQ; + } +#endif + else { + adf_os_assert(0); + } + + return q; +} + +//#define MAX_TX_BUF_SIZE ZM_BLOCK_SIZE +//#define MAX_TX_BUF_SIZE 1600 + +//void _HIFusb_config_pipe(hif_handle_t handle, int pipe, VDESC *desc_list) +void _HIFusb_config_pipe(hif_handle_t handle, int pipe, int creditCount) +{ + int i; + VDESC *desc; + VDESC *head = NULL; + struct zsDmaQueue *q; + + if ( pipe != HIF_USB_PIPE_COMMAND ) { + goto config_pipe; + } + + // USB command pipe doesn't use FIFO + for(i=0; i < creditCount; i++) + { + desc = VDESC_alloc_vdesc(); + + adf_os_assert(desc != NULL); + + desc->buf_addr = (A_UINT8 *)adf_os_mem_alloc(HIF_get_max_msg_len(handle, pipe)); + desc->buf_size = HIF_get_max_msg_len(handle, pipe); + desc->next_desc = NULL; + desc->data_offset = 0; + desc->data_size = 0; + desc->control = 0; + + if ( head == NULL ) + { + head = desc; + } + else + { + desc->next_desc = head; + head = desc; + } + } + + config_command_pipe(head); + return; + +config_pipe: + q = get_queue_from_pipe(pipe); + DMA_Engine_config_rx_queue(q, creditCount, HIF_get_max_msg_len(handle, pipe)); + enable_rx(pipe); + return; +} + +static void send_buffer_via_fifo(VBUF *buf) +{ +#if ENABLE_SW_SWAP_DATA_MODE + VDESC *currVdesc; + struct zsDmaDesc* usbDesc; + + //A_PRINTF("send_buffer_via_fifo buf len %d\n", buf->buf_length); + currVdesc = (VDESC *)buf->desc_list; + usbDesc = (struct zsDmaDesc *)currVdesc->hw_desc_buf; + usbDesc->dataSize = currVdesc->data_size; + usbDesc->dataAddr = (volatile u32_t)(currVdesc->buf_addr + currVdesc->data_offset); + + DMA_Engine_swap_data(usbDesc); +#endif + + if ( g_hifUSBCtx.eventBufQ.head == NULL ) { + g_hifUSBCtx.eventBufQ.head = buf; + g_hifUSBCtx.eventBufQ.tail = buf; + } else { + g_hifUSBCtx.eventBufQ.tail->next_buf = buf; + g_hifUSBCtx.eventBufQ.tail = buf; + } + + USBFIFO_enable_event_isr(); +} + +int _HIFusb_send_buffer(hif_handle_t handle, int pipe, VBUF *buf) +{ + if ( pipe == HIF_USB_PIPE_INTERRUPT ) { + send_buffer_via_fifo(buf); + } else { + DMA_Engine_xmit_buf(&g_hifUSBCtx.upQ, buf); +#ifdef OTUS_USB + ZM_PTA_UP_DMA_TRIGGER_REG = 1; +#else + MAGPIE_REG_USB_TX0_DMA_START = 1; +#endif + } + + return 0; +} + + +void _HIFusb_return_recv_buf(hif_handle_t handle, int pipe, VBUF *buf) +{ + struct zsDmaQueue *q; + + if ( pipe == HIF_USB_PIPE_COMMAND ) { + if ( g_hifUSBCtx.cmdQueue == NULL ) { + g_hifUSBCtx.cmdQueue = buf; + } else { + buf->next_buf = g_hifUSBCtx.cmdQueue; + g_hifUSBCtx.cmdQueue = buf; + } + } else { + q = get_queue_from_pipe(pipe); + DMA_Engine_return_recv_buf(q, buf); + enable_rx(pipe); + } +} + +void _HIFusb_set_recv_bufsz(hif_handle_t handle, int pipe, int bufsz) +{ + (void)pipe; + (void)bufsz; +} + +void _HIFusb_pause_recv(hif_handle_t handle, int pipe) +{ + (void)pipe; +} + +void _HIFusb_resume_recv(hif_handle_t handle, int pipe) +{ + (void)pipe; +} + +int _HIFusb_is_pipe_supported(hif_handle_t handle, int pipe) +{ + if ( pipe < HIF_USB_PIPE_TX || pipe > HIF_USB_PIPE_MP_TX ) { + return 0; + } else { + return 1; + } +} + +int _HIFusb_get_max_msg_len(hif_handle_t handle, int pipe) +{ + switch(pipe) { + case HIF_USB_PIPE_INTERRUPT: + case HIF_USB_PIPE_COMMAND: + return 64; + + default: + return 1600; + } +} + +static void handle_tx_complete_isr() +{ + VBUF *buf; + + //A_PRINTF("USB Tx complete\n\r"); + #if ZM_FM_LOOPBACK == 1 + VDESC *vdesc; + struct zsDmaDesc* desc; + + desc = DMA_Engine_get_packet(&g_hifUSBCtx.upQ); + vdesc = VDESC_HW_TO_VDESC(desc); + + if ( vdesc->control == HIF_USB_PIPE_TX ) { + DMA_Engine_reclaim_packet(&g_hifUSBCtx.dnQ, desc); + } + #if SYSTEM_MODULE_HP_EP5 + else if ( vdesc->control == HIF_USB_PIPE_HP_TX ) { + DMA_Engine_reclaim_packet(&g_hifUSBCtx.hpdnQ, desc); + } + #endif + #if SYSTEM_MODULE_HP_EP6 + else if ( vdesc->control == HIF_USB_PIPE_MP_TX ) { + DMA_Engine_reclaim_packet(&g_hifUSBCtx.mpdnQ, desc); + } + #endif + + #ifdef OTUS_USB + ZM_PTA_DN_DMA_TRIGGER_REG = 1; + #else + MAGPIE_REG_USB_RX0_DMA_START = 1; + #endif + + #else + buf = DMA_Engine_reap_xmited_buf(&g_hifUSBCtx.upQ); + g_hifUSBCtx.hifCb.send_buf_done(buf, g_hifUSBCtx.hifCb.context); + #endif /* ZM_FM_LOOPBACK == 1 */ +} + +static void handle_rx_complete_isr() +{ + VBUF *buf; + + #if ZM_FM_LOOPBACK == 1 + VDESC *vdesc; + struct zsDmaDesc* desc; + + //A_PRINTF("USB Rx complete\n\r"); + desc = DMA_Engine_get_packet(&g_hifUSBCtx.dnQ); + vdesc = VDESC_HW_TO_VDESC(desc); + vdesc->control = HIF_USB_PIPE_TX; + + DMA_Engine_put_packet(&g_hifUSBCtx.upQ, desc); + + #ifdef OTUS_USB + ZM_PTA_UP_DMA_TRIGGER_REG = 1; + #else + MAGPIE_REG_USB_TX0_DMA_START = 1; + #endif + + #else + buf = DMA_Engine_reap_recv_buf(&g_hifUSBCtx.dnQ); + g_hifUSBCtx.hifCb.recv_buf(NULL, buf, g_hifUSBCtx.hifCb.context); + #endif +} + +#if SYSTEM_MODULE_HP_EP5 +static void handle_hp_rx_complete_isr() +{ + VBUF *buf; + + #if ZM_FM_LOOPBACK == 1 + VDESC *vdesc; + struct zsDmaDesc* desc; + + desc = DMA_Engine_get_packet(&g_hifUSBCtx.hpdnQ); + vdesc = VDESC_HW_TO_VDESC(desc); + vdesc->control = HIF_USB_PIPE_HP_TX; + + DMA_Engine_put_packet(&g_hifUSBCtx.upQ, desc); + MAGPIE_REG_USB_TX0_DMA_START = 1; + + #else + buf = DMA_Engine_reap_recv_buf(&g_hifUSBCtx.hpdnQ); + g_hifUSBCtx.hifCb.recv_buf(NULL, buf, g_hifUSBCtx.hifCb.context); + #endif +} +#endif + +#if SYSTEM_MODULE_HP_EP6 +static void handle_mp_rx_complete_isr() +{ + VBUF *buf; + + #if ZM_FM_LOOPBACK == 1 + VDESC *vdesc; + struct zsDmaDesc* desc; + + desc = DMA_Engine_get_packet(&g_hifUSBCtx.mpdnQ); + vdesc = VDESC_HW_TO_VDESC(desc); + vdesc->control = HIF_USB_PIPE_MP_TX; + + DMA_Engine_put_packet(&g_hifUSBCtx.upQ, desc); + MAGPIE_REG_USB_TX0_DMA_START = 1; + + #else + buf = DMA_Engine_reap_recv_buf(&g_hifUSBCtx.mpdnQ); + g_hifUSBCtx.hifCb.recv_buf(NULL, buf, g_hifUSBCtx.hifCb.context); + #endif +} +#endif + +void _HIFusb_isr_handler(hif_handle_t h) +{ + //struct zsDmaDesc* desc; + u32_t intr; + +#ifdef OTUS_USB + intr = ZM_PTA_INT_FLAG_REG; +#else + intr = MAGPIE_REG_USB_INTERRUPT; +#endif + +#ifdef OTUS_USB + if ((intr & (ZM_PTA_DOWN_INT_BIT|ZM_PTA_UP_INT_BIT))!=0) +#else + if ((intr & (MAGPIE_REG_USB_INTERRUPT_TX0_COMPL|MAGPIE_REG_USB_INTERRUPT_RX0_COMPL| + MAGPIE_REG_USB_INTERRUPT_RX1_COMPL|MAGPIE_REG_USB_INTERRUPT_RX2_COMPL)) != 0) +#endif + { +#if SYSTEM_MODULE_HP_EP5 + do + { + if ( DMA_Engine_has_compl_packets(&g_hifUSBCtx.hpdnQ) ) + { + handle_hp_rx_complete_isr(); + } + else + { + break; + } + } + while(1); +#endif // endif SYSTEM_MODULE_HP_EP5 + +#if SYSTEM_MODULE_HP_EP6 + do + { + if ( DMA_Engine_has_compl_packets(&g_hifUSBCtx.mpdnQ) ) + { + handle_mp_rx_complete_isr(); + } + else + { + break; + } + } + while(1); +#endif // endif SYSTEM_MODULE_HP_EP5 + + do + { + int check = 0; + + /* zgUpQ own bits changed */ + if ( DMA_Engine_has_compl_packets((struct zsDmaQueue *)&g_hifUSBCtx.upQ) ) + { + handle_tx_complete_isr(); + check = 1; + }/* end of while */ + + /* zgDnQ own bits changed */ + if ( DMA_Engine_has_compl_packets(&g_hifUSBCtx.dnQ) ) + { + handle_rx_complete_isr(); + check = 1; + } + + if ( check == 0 ) + { + break; + } + } + while(1); + } +} + +void _HIFusb_get_default_pipe(hif_handle_t handle, A_UINT8 *pipe_uplink, A_UINT8 *pipe_downlink) +{ + *pipe_uplink = HIF_USB_PIPE_COMMAND; // Host -> Target + *pipe_downlink = HIF_USB_PIPE_INTERRUPT; // Target -> Host +} + +/* the exported entry point into this module. All apis are accessed through + * function pointers */ +void hif_usb_module_install(struct hif_api *apis) +{ + /* hook in APIs */ + apis->_init = _HIFusb_init; + apis->_start = _HIFusb_start; + apis->_config_pipe = _HIFusb_config_pipe; + apis->_isr_handler = _HIFusb_isr_handler; + apis->_send_buffer = _HIFusb_send_buffer; + apis->_return_recv_buf = _HIFusb_return_recv_buf; + apis->_is_pipe_supported = _HIFusb_is_pipe_supported; + apis->_get_max_msg_len = _HIFusb_get_max_msg_len; + apis->_register_callback = _HIFusb_register_callback; + apis->_shutdown = _HIFusb_shutdown; + apis->_get_reserved_headroom = _HIFusb_get_reserved_headroom; + apis->_get_default_pipe = _HIFusb_get_default_pipe; + + /* save ptr to the ptr to the context for external code to inspect/modify internal module state */ + //apis->pReserved = &g_pMboxHWContext; +} + +void HIFusb_DescTraceDump(void ) +{ +#if SYSTEM_MODULE_HP_EP5 + A_PRINTF("\n\r[hp dnQ] 0x%08x, ", (u32_t)g_hifUSBCtx.hpdnQ.head); + A_PRINTF("DMA TRACE 0x%08x\n\r [", HAL_WORD_REG_READ(MAGPIE_REG_USB_RX1_CUR_TRACE_ADDR)); + + DMA_Engine_desc_dump(&g_hifUSBCtx.hpdnQ); +#endif + +#if SYSTEM_MODULE_HP_EP6 + A_PRINTF("\n\r[mp dnQ] 0x%08x, ", (u32_t)g_hifUSBCtx.mpdnQ.head); + A_PRINTF("DMA TRACE 0x%08x\n\r [", HAL_WORD_REG_READ(MAGPIE_REG_USB_RX2_CUR_TRACE_ADDR)); + + DMA_Engine_desc_dump(&g_hifUSBCtx.mpdnQ); +#endif + + A_PRINTF("\n\r[dnQ] 0x%08x, ", (u32_t)g_hifUSBCtx.dnQ.head); + A_PRINTF("DMA TRACE 0x%08x\n\r [", HAL_WORD_REG_READ(MAGPIE_REG_USB_RX0_CUR_TRACE_ADDR)); + DMA_Engine_desc_dump(&g_hifUSBCtx.dnQ); + + A_PRINTF("\n\n\r[upQ] 0x%08x, ", (u32_t)g_hifUSBCtx.upQ.head); + A_PRINTF("DMA TRACE 0x%08x\n\r [", HAL_WORD_REG_READ(MAGPIE_REG_USB_TX0_CUR_TRACE_ADDR)); + DMA_Engine_desc_dump((struct zsDmaQueue *)&g_hifUSBCtx.upQ); +} + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_api.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_api.c new file mode 100755 index 0000000..897bcfa --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_api.c @@ -0,0 +1,2499 @@ +#include "usb_defs.h" +#include "usb_type.h" +#include "usb_pre.h" +#include "usb_extr.h" +#include "usb_std.h" +#include "reg_defs.h" +#include "athos_api.h" +#include "usbfifo_api.h" + +#include "sys_cfg.h" + +#if SYSTEM_MODULE_USB + +#define CMD_GET_CUSTOM_DATA 4 +LOCAL void flash_read(uint16_t len, uint16_t ofset); + +SetupPacket ControlCmd; +USB_FIFO_CONFIG usbFifoConf; +uint32_t fwCheckSum = 0; + +#define fBUS_POWER 1 +uint16_t UsbStatus[3]; + +/* Variable for USB EP0 pipe (USB.c) */ +uint16_t *pu8DescriptorEX; +uint16_t u16TxRxCounter; +uint16_t *u8ConfigDescriptorEX; +//extern BOOLEAN bUsbEP0HaltSt; +Action eUsbCxFinishAction; +CommandType eUsbCxCommand; +BOOLEAN UsbChirpFinish; + +uint16_t u8UsbConfigValue; +uint16_t u8UsbInterfaceValue; +uint16_t u8UsbInterfaceAlternateSetting; +uint16_t u16FirmwareComplete; + +extern uint16_t *UsbDeviceDescriptor; +extern uint16_t *String00Descriptor; +extern uint16_t *String10Descriptor; +extern uint16_t *String20Descriptor; +extern uint16_t *String30Descriptor; + +///////////////////////////////////////////////// +// should be declared as extern array not pointer +extern uint16_t u8DeviceQualifierDescriptorEX[]; +extern uint16_t u8OtherSpeedConfigDescriptorEX[]; + +uint16_t *u8UsbDeviceDescriptor; +uint16_t *u8String00Descriptor; +uint16_t *u8String10Descriptor; +uint16_t *u8String20Descriptor; +uint16_t *u8String30Descriptor; + + +#if 0 // use macro instead of function +void mUsbEPinRsTgSet(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //*reg |= BIT4; + USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + (USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))|BIT4)); + +} + +void mUsbEPinRsTgClr(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //*reg &= ~BIT4; + USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + (USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))&(~BIT4))); +} + +void mUsbEPoutRsTgSet(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //*reg |= BIT4; + USB_BYTE_REG_WRITE((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + (USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))|BIT4)); +} + +void mUsbEPoutRsTgClr(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //*reg &= ~BIT4; + USB_BYTE_REG_WRITE((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + (USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))&(~BIT4))); +} + +void mUsbEPinStallSet(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //*reg |= BIT3; + USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))|BIT3); +} + +void mUsbEPinStallClr(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //*reg &= ~BIT3; + + USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + (USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))&(~BIT3))); +} + +void mUsbEPoutStallSet(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //*reg |= BIT3; + USB_BYTE_REG_WRITE((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + (USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))|BIT3)); +} + +void mUsbEPoutStallClr(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //*reg &= ~BIT3; + USB_BYTE_REG_WRITE((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + (USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))&(~BIT3))); +} + +uint8_t mUsbEPinStallST(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //return ((*reg & BIT3) >> 3); + return ((USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))& BIT3) >> 3); +} + +uint8_t mUsbEPoutStallST(uint8_t u8ep) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)); + //return ((*reg & BIT3) >> 3); + return ((USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))& BIT3) >> 3); +} + +uint8_t mUsbEPMapRd(uint8_t EPn) +{ + //uint8_t *reg = (uint8_t*) (ZM_FUSB_BASE+0x30+(EPn-1)); + //return *reg; + return (USB_BYTE_REG_READ((0x30+(EPn-1)))); +} + +uint8_t mUsbFIFOCfgRd(uint8_t FIFOn) +{ + //uint8_t *reg = (uint8_t*) (ZM_FUSB_BASE+0x90+FIFOn); + //return *reg; + return (USB_BYTE_REG_READ((0x90+FIFOn))); +} + +void vUsb_Data_Out0Byte(void) +{ + //ZM_INTR_SOURCE_7_REG &= ~BIT7; + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_REG, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_REG&(~BIT7)))); +} + + +void vUsb_Data_In0Byte(void) +{ + //ZM_INTR_SOURCE_7_REG &= ~BIT6; + + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_REG, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_REG&(~BIT6)))); +} + + +/***********************************************************************/ +// vUsb_ep0end() +// Description: +// 1. End this transfer. +// input: none +// output: none +/***********************************************************************/ +void vUsb_ep0end(void) +{ + eUsbCxCommand = CMD_VOID; + //ZM_CX_CONFIG_STATUS_REG = 0x01; + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x01); + //mUsbEP0DoneSet(); // Return EP0_Done flag +} + +/***********************************************************************/ +// vUsb_ep0fail() +// Description: +// 1. Stall this transfer. +// input: none +// output: none +/***********************************************************************/ +void vUsb_ep0fail(void) +{ + //ZM_CX_CONFIG_STATUS_REG = 0x04; + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x04); + //mUsbEP0StallSet(); // Return EP0_Stall +} + + +/***********************************************************************/ +// vUsb_rst() +// Description: +// 1. Change descriptor table (High or Full speed). +// input: none +// output: none +/***********************************************************************/ +void vUsb_rst(void) +{ +// zfUartSendStr((uint8_t *) "vUsb_rst\r\n"); + //ZM_INTR_SOURCE_7_REG &= ~0x02; + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&(~BIT1))); + UsbChirpFinish = FALSE; +} + +/***********************************************************************/ +// vUsb_suspend() +// Description: +// 1. . +// input: none +// output: none +/***********************************************************************/ +void vUsb_suspend(void) +{ +// uP must do-over everything it should handle +// and do before into the suspend mode + //mUsbIntSuspClr(); // Go Suspend status + //ZM_INTR_SOURCE_7_REG &= ~0x04; + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&(~BIT2))); +} + +/***********************************************************************/ +// vUsb_resm() +// Description: +// 1. Change descriptor table (High or Full speed). +// input: none +// output: none +/***********************************************************************/ +void vUsb_resm(void) +{ +// uP must do-over everything it should handle +// and do before into the suspend mode + +// mUsbIntResmClr(); // uP must wakeup immediately + //ZM_INTR_SOURCE_7_REG &= ~0x08; + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&(~BIT3))); +} + +#else + +#define mUsbEPinRsTgSet(u8ep) USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))|BIT4) + +#define mUsbEPinRsTgClr(u8ep) USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))&~BIT4) + +#define mUsbEPoutRsTgSet(u8ep) USB_BYTE_REG_WRITE((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))|BIT4) + +#define mUsbEPoutRsTgClr(u8ep) USB_BYTE_REG_WRITE((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))&~BIT4) + +#define mUsbEPinStallSet(u8ep) USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))|BIT3) + +#define mUsbEPinStallClr(u8ep) USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))&~BIT3) + +#define mUsbEPoutStallSet(u8ep) USB_BYTE_REG_WRITE((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))|BIT3) + +#define mUsbEPoutStallClr(u8ep) USB_BYTE_REG_WRITE((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)), \ + USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))&~BIT3) + +#define mUsbEPinStallST(u8ep) ((USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))& BIT3) >> 3) + +#define mUsbEPoutStallST(u8ep) ((USB_BYTE_REG_READ((ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET+(u8ep << 1)))& BIT3) >> 3) + +#define mUsbEPMapRd(EPn) (USB_BYTE_REG_READ((0x30+(EPn-1)))) + +#define mUsbFIFOCfgRd(FIFOn) (USB_BYTE_REG_READ((0x90+FIFOn))) + +#define vUsb_Data_Out0Byte(void) USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_REG, \ + USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_REG&~BIT7)) + + +#define vUsb_Data_In0Byte(void) USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_REG, \ + USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_REG&~BIT6)) + +#define vUsb_ep0end(void) \ +{ \ + eUsbCxCommand = CMD_VOID; \ + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x01); \ +} + +#define vUsb_ep0fail(void) USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x04) + +#define vUsb_rst() \ +{ \ + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&~BIT1)); \ + UsbChirpFinish = FALSE; \ +} + +#define vUsb_suspend() USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&~BIT2)) + +#define vUsb_resm() USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&~BIT3)) + + +#endif + +LOCAL void flash_read_data(void) +{ + uint8_t u8temp; + + // to keep tracking the txrx fifo + // max 64 bytes for transmission one time, + if (u16TxRxCounter < EP0MAXPACKETSIZE) + u8temp = (uint8_t) u16TxRxCounter; + else + u8temp = EP0MAXPACKETSIZE; + + u16TxRxCounter -= (uint16_t) u8temp; + + { + register uint8_t u8count; + uint8_t remainder; + + for (u8count = 0; u8count < (u8temp/4); u8count ++) + { + uint32_t ep0_data; + uint16_t ep0_low; + uint16_t ep0_high; + + // pack data into word size + ep0_low = *pu8DescriptorEX++; + ep0_high = *pu8DescriptorEX++; + + // composed the data as a word + ep0_data = (ep0_high << 16) + ep0_low; + + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, ep0_data); + } + + remainder = u8temp % 4; + + // Check whether there are data needed to be filled into the FIFO + if (remainder == 3) + { + uint32_t ep0_data; + uint16_t ep0_low; + uint16_t ep0_high; + + // pack data into word size + ep0_low = *pu8DescriptorEX++; + ep0_high = *pu8DescriptorEX++; + + ep0_data = (ep0_high << 16) + ep0_low; + + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x7); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, ep0_data); + } + else if (remainder == 2) + { + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x3); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, *pu8DescriptorEX); + } + else if (remainder == 1) + { + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x1); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, *pu8DescriptorEX); + } + + // Restore CBus FIFO size to word size + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xf); + } + + // end of the data stage + if (u16TxRxCounter == 0) + { + eUsbCxCommand = CMD_VOID; + eUsbCxFinishAction = ACT_DONE; + } +} + +/***********************************************************************/ +// vUsb_ep0tx() +// Description: +// 1. Transmit data to EP0 FIFO. +// input: none +// output: none +/***********************************************************************/ +LOCAL void vUsb_ep0tx(void) +{ + switch (eUsbCxCommand) + { + case CMD_GET_DESCRIPTOR: + A_USB_EP0_TX_DATA(); + break; + + default: + /* Mark endpoint STALL */ + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, BIT6); + + break; + } + +// eUsbCxFinishAction = ACT_IDLE; +} + + +/***********************************************************************/ +// vUsb_ep0rx() +// Description: +// 1. Receive data from EP0 FIFO. +// input: none +// output: none +/***********************************************************************/ +LOCAL void vUsb_ep0rx(void) +{ + + switch (eUsbCxCommand) + { + case CMD_SET_DESCRIPTOR: + A_USB_EP0_RX_DATA(); + break; + default: + //mUsbEP0StallSet(); + break; + } + + if (u16TxRxCounter != 0) + eUsbCxFinishAction = ACT_IDLE; +} + + +LOCAL void vUsbClrEPx(void) +{ + uint8_t u8ep; + + // Clear All EPx Toggle Bit + for (u8ep = 1; u8ep <= FUSB200_MAX_EP; u8ep ++) + { + mUsbEPinRsTgSet(u8ep); + mUsbEPinRsTgClr(u8ep); + } + for (u8ep = 1; u8ep <= FUSB200_MAX_EP; u8ep ++) + { + mUsbEPoutRsTgSet(u8ep); + mUsbEPoutRsTgClr(u8ep); + } +} + + +/***********************************************************************/ +// bGet_status() +// Description: +// 1. Send 2 bytes status to host. +// input: none +// output: TRUE or FALSE (BOOLEAN) +/***********************************************************************/ +LOCAL BOOLEAN bGet_status(void) +{ + uint8_t RecipientStatusLow; + + RecipientStatusLow = UsbStatus[mDEV_REQ_REQ_RECI() & 0x0F]; + + //ZM_CBUS_FIFO_SIZE_REG = 0x3; + //ZM_EP0_DATA_REG = RecipientStatusLow; + + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x3); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, RecipientStatusLow); + + // Restore CBus FIFO size to word size + //ZM_CBUS_FIFO_SIZE_REG = 0xf; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xf); + + eUsbCxFinishAction = ACT_DONE; + return TRUE; +} + +/***********************************************************************/ +// bClear_feature() +// Description: +// 1. Send 2 bytes status to host. +// input: none +// output: TRUE or FALSE (BOOLEAN) +/***********************************************************************/ +LOCAL BOOLEAN bClear_feature(void) +{ + if (mDEV_REQ_VALUE() > cUSB_FEATSEL_END) + return FALSE; + + if ((mDEV_REQ_VALUE() == 0) && (mDEV_REQ_REQ_RECI() != cUSB_REQTYPE_ENDPOINT)) + return FALSE; + + UsbStatus[2] = 0; + eUsbCxFinishAction = ACT_DONE; + + return TRUE; +} + +/***********************************************************************/ +// bSet_feature() +// Description: +// 1. Send 2 bytes status to host. +// input: none +// output: TRUE or FALSE (BOOLEAN) +/***********************************************************************/ +#if ZM_SELF_TEST_MODE + +#define TEST_J 0x02 +#define TEST_K 0x04 +#define TEST_SE0_NAK 0x08 +#define TEST_PKY 0x10 + +uint16_t TestPatn0[] = { TEST_J, TEST_K, TEST_SE0_NAK }; +uint32_t TestPatn1[] = { + 0x00000000, 0x00000000, 0xAA00AA00, // JKJKJKJK x 9 + 0xAAAAAAAA, 0xEEAAAAAA, // AA x 8 + 0xEEEEEEEE, 0xFEEEEEEE, // EE x 8 + 0xFFFFFFFF, 0xFFFFFFFF, 0x7FFFFFFF, // FF x 11 + 0xF7EFDFBF, 0x7EFCFDFB, 0xFDFBDFBF}; + +#endif +LOCAL BOOLEAN bSet_feature(void) +{ + + //A_PRINTF("bSet_feature...\n\r"); + + switch (mDEV_REQ_VALUE()) // FeatureSelector + { + case 0: // ENDPOINT_HALE + // AVM Patch: + // always check RECEIPIENT + if (mDEV_REQ_REQ_RECI() == cUSB_REQTYPE_ENDPOINT) + { + eUsbCxFinishAction = ACT_DONE; + } + else + { + return FALSE; + } + + break; + + case 1 : // Device Remote Wakeup + // Set "Device_Remote_Wakeup", Turn on the"RMWKUP" bit in Mode Register + mUsbRmWkupSet(); + //USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)|BIT0); + eUsbCxFinishAction = ACT_DONE; + break; + + #if ZM_SELF_TEST_MODE + case 2 : // Test Mode + // ii = mDEV_REQ_INDEX() >> 8; + // switch (ii) // TestSelector + switch (mDEV_REQ_INDEX() >> 8) // TestSelector + { + case 0x1: // Test_J + case 0x2: // Test_K + case 0x3: // TEST_SE0_NAK + // mUsbTsMdWr(TestPatn0[(mDEV_REQ_INDEX() >> 8) - 1]); + //ZM_PHY_TEST_SELECT_REG = TestPatn0[(mDEV_REQ_INDEX() >> 8) - 1]; + USB_BYTE_REG_WRITE(ZM_PHY_TEST_SELECT_OFFSET, (TestPatn0[(mDEV_REQ_INDEX() >> 8) - 1])); + eUsbCxFinishAction = ACT_DONE; + break; + + case 0x4: // Test_Packet + // mUsbTsMdWr(TEST_PKY); + // mUsbEP0DoneSet(); // special case: follow the test sequence + //ZM_PHY_TEST_SELECT_REG = TEST_PKY; + //ZM_CX_CONFIG_STATUS_REG = 0x01; + USB_BYTE_REG_WRITE(ZM_PHY_TEST_SELECT_OFFSET, TEST_PKY); + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, BIT0); + /***********************************************************************/////// + // Jay ask to modify, 91-6-5 (Begin) // + /***********************************************************************/////// + // mUsbTsMdWr(TEST_PKY); + // mUsbEP0DoneSet(); // special case: follow the test sequence + //ZM_PHY_TEST_SELECT_REG = TEST_PKY; + USB_BYTE_REG_WRITE(ZM_PHY_TEST_SELECT_OFFSET, TEST_PKY); + + //ZM_CX_CONFIG_STATUS_REG = 0x01; + /***********************************************************************/////// + // Jay ask to modify, 91-6-5 (Begin) // + /***********************************************************************/////// + { + uint16_t ii; + + /* Set to two bytes mode */ + //ZM_CBUS_FIFO_SIZE_REG = 0x0f; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x0f); + + for (ii = 0; ii < sizeof(TestPatn1)/sizeof(uint32_t); ii++) + { + //ZM_EP0_DATA_REG = TestPatn1[ii]; + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, TestPatn1[ii]); + } + + /* Set to one byte mode */ + //ZM_CBUS_FIFO_SIZE_REG = 0x07; + //ZM_EP0_DATA_REG = 0x007EFDFB; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x07); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, 0x007EFDFB); + + /* Set to four bytes mode */ + //ZM_CBUS_FIFO_SIZE_REG = 0x0f; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x0f); + } + /***********************************************************************/////// + // Jay ask to modify, 91-6-5 (End) // + /***********************************************************************/////// + + // Turn on "r_test_packet_done" bit(flag) (Bit 5) + //mUsbTsPkDoneSet(); + //ZM_CX_CONFIG_STATUS_REG = 0x02; + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, BIT1); + break; + + case 0x5: // Test_Force_Enable + //FUSBPort[0x08] = 0x20; //Start Test_Force_Enable + break; + + default: + return FALSE; + } + break; + #endif + default : + return FALSE; + } + + if (eUsbCxFinishAction == ACT_DONE) + UsbStatus[2] = 1; + + return TRUE; +} + + +/***********************************************************************/ +// bSet_address() +// Description: +// 1. Set addr to FUSB200 register. +// input: none +// output: TRUE or FALSE (BOOLEAN) +/***********************************************************************/ +LOCAL BOOLEAN bSet_address(void) +{ + + //A_PRINTF("bSet_feature...\n\r"); + + if (mDEV_REQ_VALUE() >= 0x0100) + return FALSE; + else + { +// zfUartSendStrAndHex((uint8_t *) "USB_SET_ADDRESS=", mDEV_REQ_VALUE()); + //ZM_DEVICE_ADDRESS_REG = mDEV_REQ_VALUE(); + USB_BYTE_REG_WRITE(ZM_DEVICE_ADDRESS_OFFSET, mDEV_REQ_VALUE()); + + eUsbCxFinishAction = ACT_DONE; + return TRUE; + } +} + +/***********************************************************************/ +// bGet_descriptor() +// Description: +// 1. Point to the start location of the correct descriptor. +// 2. set the transfer length +// input: none +// output: TRUE or FALSE (BOOLEAN) +/***********************************************************************/ +LOCAL BOOLEAN bGet_descriptor(void) +{ + //A_PRINTF("bGet_descriptor...\n\r"); + +// Change Descriptor type +#if 0 + u8ConfigDescriptorEX[mTABLE_IDX(1)] = + m2BYTE(CONFIG_LENGTH, DT_CONFIGURATION); + u8OtherSpeedConfigDescriptorEX[mTABLE_IDX(1)] = + m2BYTE(CONFIG_LENGTH, DT_OTHER_SPEED_CONFIGURATION); +#endif + + //*(volatile uint32_t*)0x1c0004 = 'G'; + + switch (mDEV_REQ_VALUE_HIGH()) + { + case 1: // device descriptor + pu8DescriptorEX = u8UsbDeviceDescriptor; + u16TxRxCounter = mTABLE_LEN(u8UsbDeviceDescriptor[0]); + //u16TxRxCounter = 18; + break; + + case 2: // configuration descriptor + // It includes Configuration, Interface and Endpoint Table +// zfUartSendStr((uint8_t *)"Configuration Descriptor\r\n"); + switch (mDEV_REQ_VALUE_LOW()) + { + case 0x00: // configuration no: 0 + pu8DescriptorEX = u8ConfigDescriptorEX; + u16TxRxCounter = u8ConfigDescriptorEX[1]; + //u16TxRxCounter = 46; + break; + default: + return FALSE; + } + break; + + case 3: // string descriptor + // DescriptorIndex = low_byte of wValue +// zfUartSendStr((uint8_t *)"String Descriptor\r\n"); + switch (mDEV_REQ_VALUE_LOW()) + { + case 0x00: + pu8DescriptorEX = u8String00Descriptor; + //u16TxRxCounter = 4; + break; + + case 0x10: + pu8DescriptorEX = u8String10Descriptor; + //u16TxRxCounter = 12; + break; + + case 0x20: + pu8DescriptorEX = u8String20Descriptor; + //u16TxRxCounter = 24; + break; + + case 0x30: + pu8DescriptorEX = u8String30Descriptor; + break; + + default: + return FALSE; + } + u16TxRxCounter = mTABLE_LEN(pu8DescriptorEX[0]); + break; + + case 6: // Device_Qualifier descritor +// zfUartSendStr((uint8_t *) "Device_Qualifier Descriptor\r\n"); + pu8DescriptorEX = u8DeviceQualifierDescriptorEX; + u16TxRxCounter = mTABLE_LEN(u8DeviceQualifierDescriptorEX[0]); + //u16TxRxCounter = 10; + break; + + case 7: // Other_Speed_Configuration +// zfUartSendStr((uint8_t *)"Other_Speed Descriptor\r\n"); + // It includes Configuration, Interface and Endpoint Table + pu8DescriptorEX = u8OtherSpeedConfigDescriptorEX; + u16TxRxCounter = u8OtherSpeedConfigDescriptorEX[1]; + //u16TxRxCounter = 46; + break; + + default: +// zfUartSendStrAndHex((uint8_t *) "Descriptor error=", mDEV_REQ_VALUE_HIGH()); + return FALSE; + } + + if (u16TxRxCounter > mDEV_REQ_LENGTH()) + u16TxRxCounter = mDEV_REQ_LENGTH(); + +// vUsbEP0TxData(); + A_USB_EP0_TX_DATA(); + + // somehow if there is still data need to send out, we shouldn't set CX_DONE + // wait for another EP0_IN + if( u16TxRxCounter > 0 ) + { + eUsbCxCommand = CMD_GET_DESCRIPTOR; + } + + return TRUE; +} + + +/***********************************************************************/ +// bGet_configuration() +// Description: +// 1. Send 1 bytes configuration value to host. +// input: none +// output: none +/***********************************************************************/ +LOCAL BOOLEAN bGet_configuration(void) +{ + + //A_PRINTF("bGet_configuration...\n\r"); + + //ZM_CBUS_FIFO_SIZE_REG = 0x1; + //ZM_EP0_DATA_REG = u8UsbConfigValue; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x1); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, u8UsbConfigValue); + + // Restore CBus FIFO size to word size + //ZM_CBUS_FIFO_SIZE_REG = 0xf; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xf); + + eUsbCxFinishAction = ACT_DONE; + + return TRUE; +} + +/***********************************************************************/ +// bSet_configuration() +// Description: +// 1. Get 1 bytes configuration value from host. +// 2-1. if (value == 0) then device return to address state +// 2-2. if (value match descriptor table) +// then config success & Clear all EP toggle bit +// 2-3 else stall this command +// input: none +// output: TRUE or FALSE +/***********************************************************************/ +LOCAL BOOLEAN bSet_configuration(void) +{ +void vUsbClrEPx(void); + + //A_PRINTF("bSet_configuration...\n\r"); + + if (mLOW_BYTE(mDEV_REQ_VALUE()) == 0) + { + u8UsbConfigValue = 0; + //mUsbCfgClr(); + //ZM_DEVICE_ADDRESS_REG &= ~BIT7; + USB_BYTE_REG_WRITE(ZM_DEVICE_ADDRESS_OFFSET, (USB_BYTE_REG_READ(ZM_DEVICE_ADDRESS_OFFSET)&~BIT7)); + } + else + { + if (mUsbHighSpeedST()) // First judge HS or FS?? + { + if (mLOW_BYTE(mDEV_REQ_VALUE()) > HS_CONFIGURATION_NUMBER) + return FALSE; + + u8UsbConfigValue = mLOW_BYTE(mDEV_REQ_VALUE()); + vUsbFIFO_EPxCfg_HS(); + + // Set into 512 byte mode */ + //ZM_SOC_USB_MODE_CTRL_REG |= BIT2; + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT2)); + // mUsbSOFMaskHS(); + } + else + { + if (mLOW_BYTE(mDEV_REQ_VALUE()) > FS_CONFIGURATION_NUMBER) + return FALSE; + + u8UsbConfigValue = mLOW_BYTE(mDEV_REQ_VALUE()); + vUsbFIFO_EPxCfg_FS(); + + // Set into 64 byte mode */ + //M_SOC_USB_MODE_CTRL_REG &= ~BIT2; + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&~BIT2)); + // mUsbSOFMaskFS(); + } + //mUsbCfgSet(); + //ZM_DEVICE_ADDRESS_REG |= BIT7; + USB_BYTE_REG_WRITE(ZM_DEVICE_ADDRESS_OFFSET, \ + (USB_BYTE_REG_READ(ZM_DEVICE_ADDRESS_OFFSET)|BIT7)); + + vUsbClrEPx(); + + mUsbGlobIntEnable(); + mUSB_REG_OUT_INT_ENABLE(); + + } + + eUsbCxFinishAction = ACT_DONE; + return TRUE; +} + + +/***********************************************************************/ +// bGet_interface() +// Description: +// Getting interface +// input: none +// output: TRUE or FALSE +/***********************************************************************/ +LOCAL BOOLEAN bGet_interface(void) +{ + +// A_PRINTF("bGet_interface...\n\r"); + if (mUsbCfgST() == 0) + return FALSE; + + // If there exists many interfaces, Interface0,1,2,...N, + // You must check & select the specific one + switch (u8UsbConfigValue) + { + #if (HS_CONFIGURATION_NUMBER >= 1) + // Configuration 1 + case 1: + if (mDEV_REQ_INDEX() > HS_C1_INTERFACE_NUMBER) + return FALSE; + break; + #endif + #if (HS_CONFIGURATION_NUMBER >= 2) + // Configuration 2 + case 2: + if (mDEV_REQ_INDEX2() > HS_C2_INTERFACE_NUMBER) + return FALSE; + break; + #endif + default: + return FALSE; + } + + //ZM_CBUS_FIFO_SIZE_REG = 0x1; + //ZM_EP0_DATA_REG = u8UsbInterfaceAlternateSetting; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x1); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, u8UsbInterfaceAlternateSetting); + + // Restore CBus FIFO size to word size + //ZM_CBUS_FIFO_SIZE_REG = 0xf; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x0f); + + u16TxRxCounter = 1; //sizeof(u8UsbInterfaceAlternateSetting); + eUsbCxFinishAction = ACT_DONE; + return TRUE; +} + +/***********************************************************************/ +// bSet_interface() +// Description: +// 1-1. If (the device stays in Configured state) +// &(command match the alternate setting) +// then change the interface +// 1-2. else stall it +// input: none +// output: TRUE or FALSE +/***********************************************************************/ +LOCAL BOOLEAN bSet_interface(void) +{ +void vUsbClrEPx(void); + + //A_PRINTF("bSet_interface...\n\r"); + + if (mUsbCfgST()) + { + + // If there exists many interfaces, Interface0,1,2,...N, + // You must check & select the specific one + switch (mDEV_REQ_INDEX()) + { + case 0: // Interface0 + + if (mLOW_BYTE(mDEV_REQ_VALUE()) == mLOW_BYTE(u8ConfigDescriptorEX[mTABLE_IDX(12)])) + { + u8UsbInterfaceValue = (uint8_t) mDEV_REQ_INDEX(); + u8UsbInterfaceAlternateSetting = mLOW_BYTE(mDEV_REQ_VALUE()); + if (mUsbHighSpeedST()) // First judge HS or FS?? + { + vUsbFIFO_EPxCfg_HS(); + + // Set into 512 byte mode */ + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT2)); + } + else + { + vUsbFIFO_EPxCfg_FS(); + + // Set into 64 byte mode */ + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&~BIT2)); + } + vUsbClrEPx(); + eUsbCxFinishAction = ACT_DONE; + + mUsbGlobIntEnable(); + mUSB_REG_OUT_INT_ENABLE(); + return TRUE; + } + // case 1: // Interface1 + // case 2: // Interface2 + // default: + // break; + } + } + return FALSE; +} + +/***********************************************************************/ +// vUsbEP0TxData() +// Description: +// 1. Send data(max or short packet) to host. +// input: none +// output: none +/***********************************************************************/ +LOCAL void vUsbEP0TxData(void) +{ + uint8_t u8temp; + uint32_t idx=0; + + //wait a little to make sure ep0 fifo is empty before sending data out + while(1) + { + if(idx++>0xffff) { + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, USB_BYTE_REG_READ(ZM_CX_CONFIG_STATUS_OFFSET)|BIT3); + break; + } + + if(USB_BYTE_REG_READ(ZM_CX_CONFIG_STATUS_OFFSET)&BIT5) + { + break; + } + } + // to keep tracking the txrx fifo + // max 64 bytes for transmission one time, + if (u16TxRxCounter < EP0MAXPACKETSIZE) + u8temp = (uint8_t) u16TxRxCounter; + else + u8temp = EP0MAXPACKETSIZE; + + u16TxRxCounter -= (uint16_t) u8temp; + + { + register uint8_t u8count; + uint8_t remainder; + + for (u8count = 0; u8count < (u8temp/4); u8count ++) + { + uint32_t ep0_data; + uint16_t ep0_low; + uint16_t ep0_high; + + // pack data into word size + ep0_low = *pu8DescriptorEX++; + ep0_high = *pu8DescriptorEX++; + + // composed the data as a word + ep0_data = (ep0_high << 16) + ep0_low; + + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, ep0_data); + } + + remainder = u8temp % 4; + + // Check whether there are data needed to be filled into the FIFO + if (remainder == 3) + { + uint32_t ep0_data; + uint16_t ep0_low; + uint16_t ep0_high; + + // pack data into word size + ep0_low = *pu8DescriptorEX++; + ep0_high = *pu8DescriptorEX++; + + ep0_data = (ep0_high << 16) + ep0_low; + + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x7); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, ep0_data); + } + else if (remainder == 2) + { + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x3); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, *pu8DescriptorEX); + } + else if (remainder == 1) + { + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x1); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, *pu8DescriptorEX); + } + + // Restore CBus FIFO size to word size + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xf); + } + + // end of the data stage + if (u16TxRxCounter == 0) + { + eUsbCxCommand = CMD_VOID; + eUsbCxFinishAction = ACT_DONE; + } +} + +/***********************************************************************/ +// vUsbEP0RxData() +// Description: +// 1. Receive data(max or short packet) from host. +// input: none +// output: none +/***********************************************************************/ +LOCAL void vUsbEP0RxData(void) +{ + uint8_t u8temp; + + if (u16TxRxCounter < EP0MAXPACKETSIZE) + u8temp = (uint8_t) u16TxRxCounter; + else + u8temp = EP0MAXPACKETSIZE; + + u16TxRxCounter -= (uint16_t) u8temp; + + // Receive u8Temp bytes data + { + register uint8_t u8count; + uint8_t *p = (uint8_t *) pu8DescriptorEX; + + for (u8count = 0; u8count < ((u8temp+3) >> 2); u8count ++) + { + uint32_t ep0_data; + + ep0_data = USB_WORD_REG_READ(ZM_EP0_DATA_OFFSET); //read usb ep0 fifo data, + + { +// skip the writing to ram if not build for rom code +#if 0 //1 defined(_ROM_) + *p++ = mGetByte0(ep0_data); + *p++ = mGetByte1(ep0_data); + *p++ = mGetByte2(ep0_data); + *p++ = mGetByte3(ep0_data); +#endif + fwCheckSum = fwCheckSum ^ ep0_data; + } + } + + pu8DescriptorEX += (u8count << 1); + } + + // end of the data stage + if (u16TxRxCounter == 0) + { + eUsbCxCommand = CMD_VOID; + eUsbCxFinishAction = ACT_DONE; + } +} + + +/***********************************************************************/ +// vUsb_SetupDescriptor() +// Description: +// Setup the pointer to the descriptor in the SRAM and EEPROM +// +/***********************************************************************/ +LOCAL void vUsb_SetupDescriptor(void) +{ +// eeprom exist the usb configuration is only supportted in rom version +#if defined(_ROM_) + // + // check the offset of PID's value is correct or not, need to be defined!!, ryan + // + + if( *((uint32_t*)USB_DESC_IN_EEPROM_FLAG_ADDR) == USB_DESC_IN_EEP_PATTERN) + { + A_PRINTF("- custom usb config\n"); + + u8UsbDeviceDescriptor = (uint16_t *) USB_DEVICE_DESCRIPTOR_ADDR; + u8String00Descriptor = (uint16_t *) USB_STRING00_DESCRIPTOR_ADDR; + u8String10Descriptor = (uint16_t *) USB_STRING10_DESCRIPTOR_ADDR; + u8String20Descriptor = (uint16_t *) USB_STRING20_DESCRIPTOR_ADDR; + u8String30Descriptor = (uint16_t *) USB_STRING30_DESCRIPTOR_ADDR; + } + else +#endif + { + u8UsbDeviceDescriptor = (uint16_t *) &UsbDeviceDescriptor; + u8String00Descriptor = (uint16_t *) &String00Descriptor; + u8String10Descriptor = (uint16_t *) &String10Descriptor; + u8String20Descriptor = (uint16_t *) &String20Descriptor; + u8String30Descriptor = (uint16_t *) &String30Descriptor; + } + + /* Point Device Qualifierdescriptors and Other Speed Descriptor + * - Device Qualifierdescriptor is located in RAM segment, extern these + * symbol at the beginning of this file + */ +} + + +/***********************************************************************/ +// bStandardCommand() +// Description: +// 1. Process standard command. +// input: none +// output: TRUE or FALSE +/***********************************************************************/ +LOCAL BOOLEAN bStandardCommand(void) +{ + switch (mDEV_REQ_REQ()) // by Standard Request codes + { + case USB_GET_STATUS: + return (A_USB_GET_STATUS()); + + case USB_CLEAR_FEATURE: + return (A_USB_CLEAR_FEATURE()); + + case USB_SET_FEATURE: + return (A_USB_SET_FEATURE()); + + case USB_SET_ADDRESS: + return (A_USB_SET_ADDRESS()); + + case USB_GET_DESCRIPTOR: + return (A_USB_GET_DESCRIPTOR()); + +#if 0 + case USB_SET_DESCRIPTOR: +// if (!bUsbEP0HaltSt) + return (bSet_descriptor()); +#endif + + case USB_GET_CONFIGURATION: + return (A_USB_GET_CONFIG()); + + case USB_SET_CONFIGURATION: + //A_PRINTF(" \n--> SET_CONFIGURATION\r\n"); +// if (!bUsbEP0HaltSt) +// return (A_USB_SET_CONFIG()); + + { + A_USB_SET_CONFIG(); + +#if ENABLE_SWAP_DATA_MODE + // SWAP FUNCTION should be enabled while DMA engine is not working, + // the best place to enable it is before we trigger the DMA + MAGPIE_REG_USB_RX0_SWAP_DATA = 0x1; + MAGPIE_REG_USB_TX0_SWAP_DATA = 0x1; + + #if SYSTEM_MODULE_HP_EP5 + MAGPIE_REG_USB_RX1_SWAP_DATA = 0x1; + #endif + + #if SYSTEM_MODULE_HP_EP6 + MAGPIE_REG_USB_RX2_SWAP_DATA = 0x1; + #endif + +#endif //ENABLE_SWAP_DATA_MODE + + +#if !ENABLE_STREAM_MODE + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT3); + + /* + // ryan: + // 04/01: bit0 could disable lpdn dma, which is good at debugging while async_fifo have problem, + // we could disable this and check the fifo_rcv_size to see if we have correct at fifo or not + */ + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, ((USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT1))); // upstream DMA enable + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, ((USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT0))); // downstream DMA enable + + #if SYSTEM_MODULE_HP_EP5 + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, ((USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT8))); + #endif + + #if SYSTEM_MODULE_HP_EP6 + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, ((USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT9))); + #endif + +#else +/////////////ENABLE_STREAM_MODE///////////////// + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT1))); // disable upstream DMA mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT3))); // enable upstream stream mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(BIT1))); // enable upstream DMA mode + + #if SYSTEM_MODULE_HP_EP1 + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT0))); // diable LP downstream DMA mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(BIT6))); // enable LP downstream stream mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(BIT0))); // enable LP downstream DMA mode + #endif + + #if SYSTEM_MODULE_HP_EP5 + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT8))); // disable HP downstream DMA mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(BIT7))); // enable HP downstream stream mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT8)); // enable HP downstream DMA mode + #endif + + + #if SYSTEM_MODULE_HP_EP6 + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT9))); // disable MP downstream DMA mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT10)); // enable MP downstream stream mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT9)); // enable MP downstream DMA mode + #endif + + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(BIT4))); // define the host dma buffer size - 4096(00) 8192 (01) 16384(10) 32768(11) bytes + + USB_WORD_REG_WRITE(ZM_SOC_USB_TIME_CTRL_OFFSET, USB_STREAM_MODE_TIMEOUT_CTRL); // set stream mode timeout critirea + + USB_WORD_REG_WRITE(ZM_SOC_USB_MAX_AGGREGATE_OFFSET, USB_STREAM_MODE_AGG_CNT); // set stream mode packet buffer critirea +#endif //!ENABLE_STREAM_MODE + + + +//extern void Magpie_init(void); +//Magpie_init(); + + return TRUE; + } + + case USB_GET_INTERFACE: +// A_PRINTF(" \n--> GET_INTERFACE\r\n"); +// if (!bUsbEP0HaltSt) + //return (bGet_interface()); + return (A_USB_GET_INTERFACE()); + + case USB_SET_INTERFACE: +// A_PRINTF(" \n--> SET_INTERFACE\r\n"); +// if (!bUsbEP0HaltSt) +// return (bSet_interface()); +// return (A_USB_SET_INTERFACE()); + A_USB_SET_INTERFACE(); + return TRUE; + } + return FALSE; +} + + +LOCAL void flash_read(uint16_t len, uint16_t ofset) +{ + uint16_t i=0; + + uint8_t buf[64]; + uint16_t end_addr = 0x0; + uint16_t start_addr = 0x0; + uint32_t ep0_data = 0x0; + +#if 0 + //sanity check, just in case + if(u16TxRxCounter < EP0MAXPACKETSIZE) + u8temp = (uint8_t) u16TxRxCounter; + else + + if( u16TxRxCounter > 64 ) + len = EP0MAXPACKETSIZE; + else + len = u16TxRxCounter + 4-(u16TxRxCounter%4); +#endif + + start_addr = ofset; + end_addr = start_addr + len; + + A_PRINTF("read flash from %x to %x\n", ofset, end_addr); + + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xF); + + for (i = 0; start_addr < end_addr; i++, start_addr+=4) + { +// A_SFLASH_READ(1, ofset, 4, buf + i*4); +// *((volatile uint32_t *)(buf+i*4)) = *(uint32_t *)(0xf000000+start_addr); +// A_PRINTF(" %08x ", *(uint32_t *)(0xf000000+start_addr)); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, *(uint32_t *)(0xf000000+start_addr)); + } + +// A_PRINTF("\n\n\r"); + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, BIT0); + + + + +#if 0 + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xF); + + for(i=0; i[cUSB_REQ_COMP]: 0x%08x\n\r", text_addr); +#if 0 + if (fwCheckSum != 0) + { + A_PRINTF("cksum=%x", fwCheckSum); + fwCheckSum = 0; + //Return fail + DEBUG_SYSTEM_STATE |= BIT27; + + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x1); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, 1); + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xF); + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, BIT0); + } + else +#endif + { + /* Set EP0 Done */ + //ZM_CX_CONFIG_STATUS_REG = 0x01; + fwCheckSum = 0; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x1); + USB_WORD_REG_WRITE(ZM_EP0_DATA_OFFSET, 0); + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xF); + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, BIT0); + + A_PRINTF("VendorCmd: DownloadComplete!\n"); + + DEBUG_SYSTEM_STATE &= ~BIT27; + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x3f; + + // this value should be assign from host along with the DOWNLOAD_COMP in wValue + if( text_addr != 0 ) + funcPtr = (void *)(text_addr); + + download_enable = TRUE; + + // only jump to text address in ROM version + #if defined(_ROM_) + // funcPtr(); // jump to the firmware and never return + #endif + //app_start(); + } +// else +// A_PRINTF("Integrity is not fine!\n\r"); + + break; + } +} + + +/***********************************************************************/ +// vUsb_ep0setup() +// Description: +// 1. Read 8-byte setup packet. +// 2. Decode command as Standard, Class, Vendor or NOT support command +// input: none +// output: none +/***********************************************************************/ +extern uint16_t u8HSConfigDescriptor01[]; +extern uint16_t u8FSConfigDescriptor01[]; + +LOCAL void vUsb_ep0setup(void) +{ +register uint8_t ii; +volatile uint32_t ep0_data; +//BOOLEAN bStandardCommand(void); +//BOOLEAN bClassCommand(void); +//void VendorCommand(void); + + //zcPrint._printf("\t---> %s <---\n\r", __FUNCTION__); + if (UsbChirpFinish != TRUE) + { + UsbChirpFinish = TRUE; + + // Setup Descriptor pointer + //vUsb_SetupDescriptor(); + A_USB_SETUP_DESC(); + + u8OtherSpeedConfigDescriptorEX[0] = 0x0709; + + if (mUsbHighSpeedST()) // Judge HS or FS?? + { + u8ConfigDescriptorEX = u8HSConfigDescriptor01; + + // copy Device Qualifierdescriptors (from rom to sram) + for (ii = 1; ii < 4; ii++) + { + u8DeviceQualifierDescriptorEX[ii] = u8UsbDeviceDescriptor[ii]; + } + + // Number of Other-speed Configurations + // byte 9 Reserved for future use, must be zero + u8DeviceQualifierDescriptorEX[4] = (u8UsbDeviceDescriptor[8] >> 8) & 0x00ff; + + // copy Other Speed Descriptor + for (ii = 1; ii < (USB_TOTAL_DESC_LEN/2); ii++) + { + u8OtherSpeedConfigDescriptorEX[ii] = u8FSConfigDescriptor01[ii]; + } + +#if 0 + MaxPktSize = HS_C1_I0_A0_EP1_MAX_PACKET; + // Device stays in High Speed + u8DeviceDescriptorEX = u8HSDeviceDescriptor; + + // copy Device Qualifierdescriptors (from rom to sram) + for (ii = mTABLE_WID(2) ; ii < mTABLE_WID(8); ii ++) + u8DeviceQualifierDescriptorEX[ii] = u8FSDeviceDescriptor[ii]; + + // Number of Other-speed Configurations + // byte 9 Reserved for future use, must be zero + u8DeviceQualifierDescriptorEX[mTABLE_IDX(8)] + = mHIGH_BYTE(u8FSDeviceDescriptor[mTABLE_IDX(17)]); + u8ConfigDescriptorEX = u8HSConfigDescriptor01; + u8OtherSpeedConfigDescriptorEX = u8FSConfigDescriptor01; +#endif + } + else + { + u8ConfigDescriptorEX = u8FSConfigDescriptor01; + + // copy Device Qualifierdescriptors (from rom to sram) + for (ii = 1; ii < 4; ii++) + { + u8DeviceQualifierDescriptorEX[ii] = u8UsbDeviceDescriptor[ii]; + } + + // Number of Other-speed Configurations + // byte 9 Reserved for future use, must be zero + u8DeviceQualifierDescriptorEX[4] = (u8UsbDeviceDescriptor[8] >> 8) & 0x00ff; + + // copy Other Speed Descriptor + for (ii = 1; ii < (USB_TOTAL_DESC_LEN/2); ii++) + { + u8OtherSpeedConfigDescriptorEX[ii] = u8HSConfigDescriptor01[ii]; + } + +#if 0 + MaxPktSize = FS_C1_I0_A0_EP1_MAX_PACKET; + // Device stays in Full Speed + u8DeviceDescriptorEX = u8FSDeviceDescriptor; + + // copy Device Qualifierdescriptors (from rom to sram) + for (ii = mTABLE_WID(2) ; ii < mTABLE_WID(8); ii ++) + u8DeviceQualifierDescriptorEX[ii] = u8HSDeviceDescriptor[ii]; + + // Number of Other-speed Configurations + // byte 9 Reserved for future use, must be zero + u8DeviceQualifierDescriptorEX[mTABLE_IDX(8)] + = mHIGH_BYTE(u8HSDeviceDescriptor[mTABLE_IDX(17)]); + u8ConfigDescriptorEX = u8FSConfigDescriptor01; + u8OtherSpeedConfigDescriptorEX = u8HSConfigDescriptor01; +#endif + } + //Change bLength + u8DeviceQualifierDescriptorEX[0] = 0x060A; + } + + if( USB_BYTE_REG_READ(ZM_CX_CONFIG_STATUS_OFFSET) & BIT5) + { + int kkk=0; + kkk++; + } + //ep0_data = ZM_EP0_DATA_REG; + ep0_data = USB_WORD_REG_READ(ZM_EP0_DATA_OFFSET); + + ii = mGetByte0(ep0_data); + + ControlCmd.Direction = (uint8_t)(ii & 0x80);// xfer Direction(IN, OUT) + ControlCmd.Type = (uint8_t)(ii & 0x60); // type(Standard, Class, Vendor) + ControlCmd.Object = (uint8_t)(ii & 0x03); // Device, Interface, Endpoint + + ControlCmd.Request = mGetByte1(ep0_data); + ControlCmd.Value = mGetByte2(ep0_data) + (mGetByte3(ep0_data) << 8); + + //ep0_data = ZM_EP0_DATA_REG; + ep0_data = USB_WORD_REG_READ(ZM_EP0_DATA_OFFSET); + + ControlCmd.Index = mGetByte0(ep0_data) + (mGetByte1(ep0_data) << 8); + ControlCmd.Length = mGetByte2(ep0_data) + (mGetByte3(ep0_data) << 8); + +// Command Decode + if (mDEV_REQ_REQ_TYPE() == (cUSB_REQTYPE_STD << bmREQ_TYPE)) + { // standard command + //if (bStandardCommand() == FALSE) + if (A_USB_STANDARD_CMD() == FALSE) + { + eUsbCxFinishAction = ACT_STALL; + } + } + else if (mDEV_REQ_REQ_TYPE() == (cUSB_REQTYPE_VENDOR << bmREQ_TYPE)) + { // vendor command + //VendorCommand(); + A_USB_VENDOR_CMD(); + } + else + { + // Invalid(bad) command, Return EP0_STALL flag + A_PRINTF("request not support.. stall", __FUNCTION__); + eUsbCxFinishAction = ACT_STALL; + } + + //zcPrint._printf("\t<--- %s --->\n\r", __FUNCTION__); +} + + +/*! - init FUSB phy + * + */ +LOCAL void cFUSB200Init(void) +{ + /* Clear USB reset interrupt */ + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&0xfd)); + + // Disable all fifo interrupt + /* Clear all USB OUT FIFO */ + USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_1_OFFSET, 0xff); + USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_2_OFFSET, 0xff); + USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_3_OFFSET, 0xff); + + /* Clear all USB IN FIFO */ + USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_5_OFFSET, 0xff); + USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_6_OFFSET, 0xff); + + // Soft Reset + //ZM_MAIN_CTRL_REG = 0x10; + //ZM_MAIN_CTRL_REG &= ~0x10; + + // Soft Reset + USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, 0x10); + USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, 0x0); + + // Clear all fifo + USB_BYTE_REG_WRITE(ZM_TEST_OFFSET, BIT0); // will be cleared after one cycle. + USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_0_OFFSET, 0); //BIT6); // Mask out INT status + + // reset the specific mode + USB_BYTE_REG_WRITE(ZM_VDR_SPECIFIC_MODE_OFFSET, 0x0); + + // reset the zero-length fifo indication ? workaround... + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, 0x0); + + // Enable Chip + USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, (BIT5|BIT2)); + + USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_1_OFFSET, 0x0); + USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_2_OFFSET, 0x0); + USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_3_OFFSET, 0x0); + +// USB_WORD_REG_WRITE(0x104, 0x000000C0); + +} + + +LOCAL void _usbfifo_enable_event_isr(void) +{ + mUSB_STATUS_IN_INT_ENABLE(); +} + +LOCAL void _usbfifo_init(USB_FIFO_CONFIG *pConfig) +{ + usbFifoConf.get_command_buf = pConfig->get_command_buf; + usbFifoConf.recv_command = pConfig->recv_command; + usbFifoConf.get_event_buf = pConfig->get_event_buf; + usbFifoConf.send_event_done = pConfig->send_event_done; +} + +LOCAL void vUsb_Reg_Out(void) +{ + uint16_t usbfifolen; + uint16_t ii; + volatile uint32_t *regaddr; // = (volatile uint32_t *) ZM_CMD_BUFFER; + uint16_t cmdLen; + uint32_t ep4_data; + VBUF *buf; + + //mUSB_REG_OUT_INT_DISABLE(); + + buf = usbFifoConf.get_command_buf(); + + if ( buf != NULL ) // copy free + regaddr = (uint32_t *)buf->desc_list->buf_addr; + else + goto ERR; + + // read fifo size of the current packet. + usbfifolen = USB_BYTE_REG_READ(ZM_EP4_BYTE_COUNT_LOW_OFFSET); + + cmdLen = usbfifolen; + + if(usbfifolen % 4) + usbfifolen = (usbfifolen >> 2) + 1; + else + usbfifolen = usbfifolen >> 2; + + for(ii = 0; ii < usbfifolen; ii++) + { + ep4_data = USB_WORD_REG_READ(ZM_EP4_DATA_OFFSET); // read fifo data out + *regaddr = ep4_data; + regaddr++; + } + + if ( buf != NULL ) + { +// zfUartSendStrAndHex((u8_t *) "cmdLen=", cmdLen); +// zfMemoryCopyInWord(buf->desc_list->buf_addr, ZM_CMD_BUFFER, usbfifolen*4); + buf->desc_list->next_desc = NULL; + buf->desc_list->data_offset = 0; + buf->desc_list->data_size = cmdLen; + buf->desc_list->control = 0; + buf->next_buf = NULL; + buf->buf_length = cmdLen; + + usbFifoConf.recv_command(buf); + } + goto DONE; +ERR: +// we might get no command buffer here? +// but if we return here, the ep4 fifo will be lock out, +// so that we still read them out but just drop it ? + for(ii = 0; ii < usbfifolen; ii++) + { + ep4_data = USB_WORD_REG_READ(ZM_EP4_DATA_OFFSET); // read fifo data out + } + +DONE: + //mUSB_STATUS_IN_INT_ENABLE(); + +} + +LOCAL void vUsb_Status_In(void) +{ + uint16_t count; + uint16_t remainder; + volatile u32_t *regaddr; + u16_t RegBufLen; + VBUF *evntbuf = NULL; + + //regaddr = (volatile uint32_t *) ZM_CMD_BUFFER; + + //mUSB_STATUS_IN_INT_DISABLE(); + + evntbuf = usbFifoConf.get_event_buf(); + if ( evntbuf != NULL ) + { +//zfUartSendStr((u8_t *) "#G1\r\n"); +// A_PRINTF("#G1\n\r"); + regaddr = VBUF_GET_DATA_ADDR(evntbuf); + RegBufLen = evntbuf->buf_length; +// A_PRINTF("RegBufLen=%d\n\r", RegBufLen); +//zfUartSendStrAndHex((u8_t *) "RegBufLen=", RegBufLen); + } + else + { + mUSB_STATUS_IN_INT_DISABLE(); +// A_PRINTF("#G2\n\r"); + goto ERR_DONE; + } + + /* INT use EP3 */ + for(count = 0; count < (RegBufLen / 4); count++) + { +//zfUartSendStrAndHex((u8_t *) "data=", *regaddr); + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, *regaddr); + regaddr++; + } + + remainder = RegBufLen % 4; + + if (remainder) + { + switch(remainder) + { + case 3: + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x7); + break; + case 2: + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x3); + break; + case 1: + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x1); + break; + } + + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, *regaddr); + } + + // Restore CBus FIFO size to word size + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xF); + + mUSB_EP3_XFER_DONE(); + + if ( evntbuf != NULL ) + { + usbFifoConf.send_event_done(evntbuf); + } + +ERR_DONE: + //mUSB_REG_OUT_INT_ENABLE(); +} + +///////////////////////////////////////////////////////// +/***********************************************************************/ +// zfResetUSBFIFO() +// Description: +// 1. Reset all the USB FIFO used for WLAN +// input: none +// output: none +/***********************************************************************/ +LOCAL void zfResetUSBFIFO(void) +{ + A_PUTS("zfResetUSBFIFO\n\r"); + +} + +/***********************************************************************/ +// zfTurnOffPower() +// Description: +// 1. Function to turn off ADDA/RF power, PLL +// input: none +// output: none +/***********************************************************************/ +LOCAL void zfTurnOffPower(void) +{ + A_PUTS("zfTurnOffPower\n\r"); +#if defined(MAGPIE_ASIC) +/* + * 1. set CPU bypass + * 2. turn off CPU PLL + * 3. turn off ETH PLL + * 4. disable ETH PLL bypass and update + * 5. set SUSPEND_ENABLE + */ + + /*HAL_WORD_REG_WRITE(MAGPIE_REG_USB_DIVIDE_ADDR,((0x8<<8)|0x8)); */ + /* 1. */ HAL_WORD_REG_WRITE(MAGPIE_REG_CPU_PLL_BYPASS_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_CPU_PLL_BYPASS_ADDR)|(BIT0|BIT4))); + A_DELAY_USECS(100); // wait for stable + + /* 2. */ HAL_WORD_REG_WRITE(MAGPIE_REG_CPU_PLL_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_CPU_PLL_ADDR)|(BIT16))); + + /* 3. */ HAL_WORD_REG_WRITE(MAGPIE_REG_ETH_PLL_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_ETH_PLL_ADDR)|(BIT16))); + + /* 4. */ HAL_WORD_REG_WRITE(MAGPIE_REG_ETH_PLL_BYPASS_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_ETH_PLL_BYPASS_ADDR)|(BIT16|BIT0))); + + /* 5. */ HAL_WORD_REG_WRITE(MAGPIE_REG_SUSPEND_ENABLE_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_SUSPEND_ENABLE_ADDR)|(BIT0))); +#endif + +} + + +LOCAL void zfGenWatchDogEvent(void) +{ + uint32_t event= 0x0000C600; + + mUSB_STATUS_IN_INT_DISABLE(); + + //ZM_CBUS_FIFO_SIZE_REG = 0xf; + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x0f); + + //ZM_EP3_DATA_REG = event; + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, event); + + mUSB_EP3_XFER_DONE(); +} + +LOCAL void zfJumpToBootCode(void) +{ + extern int _start(void); + + /* Jump to the boot code */ + _start(); +} + +LOCAL void _usb_rom_task(void) +{ + register uint8_t usb_interrupt_level1; + register uint8_t usb_interrupt_level2; + register uint8_t usb_ctrl_err_indication; + + usb_interrupt_level1 = USB_BYTE_REG_READ(ZM_INTR_GROUP_OFFSET); + + if (usb_interrupt_level1 & BIT6) + { + A_USB_GEN_WDT(); + A_PRINTF("Generate Event\n"); + } + + if (usb_interrupt_level1 & BIT0) //Group Byte 0 + { + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_0_OFFSET); + + // refer to FUSB200, p 48, offset:21H, bit7 description, should clear the command abort interrupt first!? + if (usb_interrupt_level2 & BIT7) + { + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_0_OFFSET, (USB_BYTE_REG_READ(ZM_INTR_SOURCE_0_OFFSET)& ~BIT7)); + } + + if (usb_interrupt_level2 & BIT1) + { + A_PRINTF("![USB] ep0 IN in \n\r"); + A_USB_EP0_TX(); // USB EP0 tx interrupt + } + if (usb_interrupt_level2 & BIT2) + { + //A_PRINTF("![USB] ep0 OUT in\n\r"); + A_USB_EP0_RX(); // USB EP0 rx interrupt + } + if (usb_interrupt_level2 & BIT0) + { + //A_PRINTF("![USB] ep0 SETUP in\n\r"); + A_USB_EP0_SETUP(); + } + + if (eUsbCxFinishAction == ACT_STALL) + { + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x04); +// A_PRINTF("![USB] ZM_CX_CONFIG_STATUS_REG = 0x04\n\r"); + } + else if (eUsbCxFinishAction == ACT_DONE) + { + // set CX_DONE to indicate the transmistion of control frame + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x01); + } + eUsbCxFinishAction = ACT_IDLE; + } + + if (usb_interrupt_level1 & BIT7) //Group Byte 7 + { + //usb_interrupt_level2 = ZM_INTR_SOURCE_7_REG; + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET); + + if (usb_interrupt_level2 & BIT1) + { + vUsb_rst(); + } + if (usb_interrupt_level2 & BIT2) + { + vUsb_suspend(); + } + if (usb_interrupt_level2 & BIT3) + { + vUsb_resm(); + } + } + +} + + +LOCAL void _usb_fw_task(void) +{ + register uint8_t usb_interrupt_level1; + register uint8_t usb_interrupt_level2; + register uint8_t usb_ctrl_err_indication; + + usb_interrupt_level1 = USB_BYTE_REG_READ(ZM_INTR_GROUP_OFFSET); + +#if 0 // these endpoints are handled by DMA + if (usb_interrupt_level1 & BIT5) //Group Byte 5 + { + vUsb_Data_In(); + } +#endif + if (usb_interrupt_level1 & BIT4) + { + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_4_OFFSET); + if( usb_interrupt_level2 & BIT6) + A_USB_REG_OUT();//vUsb_Reg_Out(); + } + + if (usb_interrupt_level1 & BIT6) + { + //zfGenWatchDogEvent(); + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_6_OFFSET); + if( usb_interrupt_level2 & BIT6) + A_USB_STATUS_IN();//vUsb_Status_In(); + } + + if (usb_interrupt_level1 & BIT0) //Group Byte 0 + { + //usb_interrupt_level2 = ZM_INTR_SOURCE_0_REG; + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_0_OFFSET); + + // refer to FUSB200, p 48, offset:21H, bit7 description, should clear the command abort interrupt first!? + if (usb_interrupt_level2 & BIT7) + { + //ZM_INTR_SOURCE_0_REG &= 0x7f; // Handle command abort + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_0_OFFSET, (USB_BYTE_REG_READ(ZM_INTR_SOURCE_0_OFFSET)& ~BIT7)); + A_PRINTF("![SOURCE_0] bit7 on\n\r"); + } + + if (usb_interrupt_level2 & BIT1) + { + //A_PRINTF("![USB] ep0 IN in \n\r"); + A_USB_EP0_TX(); // USB EP0 tx interrupt + } + if (usb_interrupt_level2 & BIT2) + { + //A_PRINTF("![USB] ep0 OUT in\n\r"); + A_USB_EP0_RX(); // USB EP0 rx interrupt + } + if (usb_interrupt_level2 & BIT0) + { + //A_PRINTF("![USB] ep0 SETUP in\n\r"); + A_USB_EP0_SETUP(); + //vWriteUSBFakeData(); + } +// else if (usb_interrupt_level2 & BIT3) + if (usb_interrupt_level2 & BIT3) + { + vUsb_ep0end(); +// A_PRINTF("![SOURCE_0] ep0 CMD_END\n\r"); + } + if (usb_interrupt_level2 & BIT4) + { + vUsb_ep0fail(); +// A_PRINTF("![SOURCE_0] ep0 CMD_FAIL\n\r"); + } + if (eUsbCxFinishAction == ACT_STALL) + { + // set CX_STL to stall Endpoint0 & will also clear FIFO0 + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x04); +// A_PRINTF("![USB] ZM_CX_CONFIG_STATUS_REG = 0x04\n\r"); + } + else if (eUsbCxFinishAction == ACT_DONE) + { + // set CX_DONE to indicate the transmistion of control frame + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x01); + } + eUsbCxFinishAction = ACT_IDLE; + } + + if (usb_interrupt_level1 & BIT7) //Group Byte 7 + { + //usb_interrupt_level2 = ZM_INTR_SOURCE_7_REG; + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET); + +#if 0 + if (usb_interrupt_level2 & BIT7) + { + vUsb_Data_Out0Byte(); +// A_PRINTF("![SOURCE_7] bit7 on, clear it\n\r"); + } + if (usb_interrupt_level2 & BIT6) + { + vUsb_Data_In0Byte(); +// A_PRINTF("![SOURCE_7] bit6 on, clear it\n\r"); + } +#endif + + if (usb_interrupt_level2 & BIT1) + { + vUsb_rst(); + //USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_REG, (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&~0x2)); + A_PRINTF("!USB reset\n\r"); +// A_PRINTF("![0x1012c]: %\n\r", USB_WORD_REG_READ(0x12c)); + } + if (usb_interrupt_level2 & BIT2) + { + // TBD: the suspend resume code should put here, Ryan, 07/18 + // + // issue, jump back to rom code and what peripherals should we reset here? + // + + /* Set GO_TO_SUSPEND bit to USB main control register */ + vUsb_suspend(); + A_PRINTF("!USB suspend\n\r"); + + // keep the record of suspend +#if defined(PROJECT_MAGPIE) + *((volatile uint32_t*)WATCH_DOG_MAGIC_PATTERN_ADDR) = SUS_MAGIC_PATTERN; +#elif defined(PROJECT_K2) + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_STATUS_ADDR, SUS_MAGIC_PATTERN); +#endif /* #if defined(PROJECT_MAGPIE) */ + + /* Reset USB FIFO */ + A_USB_RESET_FIFO(); + + /* Turn off power */ + A_USB_POWER_OFF(); + +// DON'T restart when not in ASIC +#if defined(MAGPIE_ASIC) + /* Jump to boot code */ + A_USB_JUMP_BOOT(); +#endif + + } + if (usb_interrupt_level2 & BIT3) + { + vUsb_resm(); + A_PRINTF("!USB resume\n\r"); + } + } + +} + +#if 0 // old + +void _usb_init(void) +{ + +// init variables +// u16TxRxCounter = 0; +// eUsbCxCommand = CMD_VOID; +// u8UsbConfigValue = 0; + u8UsbInterfaceValue = 0; + u8UsbInterfaceAlternateSetting = 0; +// bUsbEP0HaltSt = FALSE; +// u16FirmwareComplete = 0; +// eUsbCxFinishAction = ACT_IDLE; + UsbStatus[0] = !fBUS_POWER; + +// init hardware + +// cFUSB200Init(); + A_USB_INIT_PHY(); + + //ZM_PHY_TEST_SELECT_REG = 0; // Plug In + USB_BYTE_REG_WRITE(ZM_PHY_TEST_SELECT_OFFSET, 0x0); + + // reset the address, just in case... + //USB_BYTE_REG_WRITE(ZM_DEVICE_ADDRESS_OFFSET, 0x0); + +} + +#else // new + +#if defined(PROJECT_MAGPIE) + +/* - spec, ch11, reset + * + * 1. turn on ETH PLL and set ETH PLL to 384Mhz + * 2. set "USB_DMA, USB_CORE, ETH_PLL, CPU_PLL, USB_POR reset" bit + * 3. clear "ETH_PLL, CPU_PLL" reset bit + * 4. set USB divider to 48, 192/16=12 + * 5. disable ETH PLL bypass + * 6. clear USB_POR + * 7. clear USB_DMA, USB_CORE + * 8. enable USB_AHB_ARB + * + * note: don't know why bit3, bit4 should deassert? here especially bit4? + * since if we don't deassert this, clk won't come up + * + */ +#if defined(MAGPIE_ASIC) +#define RST_USB_COLD_INIT() \ +{ \ + /********* number of PLLDIV_LOW and PLLDIV_HIGH ***********/ \ + /*HAL_WORD_REG_WRITE(MAGPIE_REG_USB_DIVIDE_ADDR,((0x8<<8)|0x8)); */ \ + /* 1. */ HAL_WORD_REG_WRITE(MAGPIE_REG_ETH_PLL_ADDR, 0x305); \ + /* 2. */ HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)|(BIT0|BIT1|BIT2|BIT3|BIT4))); \ + /* 3. */ HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)&(~(BIT1|BIT2)))); \ + /* 4. */ HAL_WORD_REG_WRITE(MAGPIE_REG_USB_DIVIDE_ADDR, 0x1010); \ + /* 5. */ HAL_WORD_REG_WRITE(MAGPIE_REG_ETH_PLL_BYPASS_ADDR, 0x0); \ + A_DELAY_USECS(100); /* wait for clock source stable */ \ + /* 6. */ HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)&(~(BIT0)))); \ + /* 7. */ HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)&(~(BIT3|BIT4)))); \ + /* 8. */ HAL_WORD_REG_WRITE(MAGPIE_REG_AHB_ARB_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_AHB_ARB_ADDR)|BIT2)); \ + /* HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, ); */ \ + /* HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)|((BIT0|BIT3|BIT4)))); */ \ + /* HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)&(~(BIT0|BIT3|BIT4)))); */ \ +} + +/* - back from suspend + * + * 1. turn on ETH PLL , 0x5600c, ~bit0 + * 2. clear USB SUSPEND_ENABLE, 0x56030, ~bit0 + * + */ +#define RST_USB_SUSP_INIT() \ +{ \ + /* 1. */ HAL_WORD_REG_WRITE(MAGPIE_REG_ETH_PLL_BYPASS_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_ETH_PLL_BYPASS_ADDR)&(~BIT0))); \ + /* 2. */ HAL_WORD_REG_WRITE(MAGPIE_REG_SUSPEND_ENABLE_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_SUSPEND_ENABLE_ADDR)&(~BIT0))); \ +} + +/* - back from watchdog + * + */ +#define RST_USB_WDT_INIT() + + +#elif defined(MAGPIE_FPGA) +// fpag don't have PLL, skip 1~5 +#define RST_USB_COLD_INIT() \ +{ \ + /* 6. */ HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)&(~(BIT0)))); \ + /* 7. */ HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)&(~(BIT3|BIT4)))); \ + /* 8. */ HAL_WORD_REG_WRITE(MAGPIE_REG_AHB_ARB_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)|BIT2)); \ + A_DELAY_USECS(100); /* wait for clock source stable */ \ +} + +/* - back from suspend + * + */ +#define RST_USB_SUSP_INIT() + +/* - back from watchdog + * + */ +#define RST_USB_WDT_INIT() + + +#endif + + +#elif defined(PROJECT_K2) +#define RST_USB_INIT() \ +{ \ + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_PWDN_CTRL_ADDR, HAL_WORD_REG_READ(MAGPIE_REG_RST_PWDN_CTRL_ADDR)|BIT12); \ + A_DELAY_USECS(10); \ + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_PWDN_CTRL_ADDR, HAL_WORD_REG_READ(MAGPIE_REG_RST_PWDN_CTRL_ADDR)&(~BIT12)); \ +} +#endif +/* + @ToDo: this is used to enable usb access internal memory, dma need this + HAL_WORD_REG_WRITE(MAGPIE_REG_AHB_ARB_ADDR, (HAL_WORD_REG_READ(MAGPIE_REG_AHB_ARB_ADDR)&(~(BIT2)))); \ + A_DELAY_USECS(20); \ + HAL_WORD_REG_WRITE(MAGPIE_REG_AHB_ARB_ADDR, (HAL_WORD_REG_READ(MAGPIE_REG_AHB_ARB_ADDR)|(BIT2))); \ + A_DELAY_USECS(20); \ +*/ + + +LOCAL void _usb_clk_init(void) +{ + T_BOOT_TYPE mBootMode; + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x31; + + mBootMode = A_WDT_LASTBOOT(); + +#if defined(PROJECT_MAGPIE) + + if( mBootMode == ENUM_WDT_BOOT ) + { + RST_USB_WDT_INIT(); + } + else if ( mBootMode == ENUM_SUSP_BOOT ) + { + RST_USB_SUSP_INIT(); + } + else + { + RST_USB_COLD_INIT(); + } + +#elif defined(PROJECT_K2) + if( mBootMode == ENUM_COLD_BOOT ) + { + RST_USB_INIT(); + } +#endif + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x32; + + //A_DELAY_USECS(300); // delay 300 micro seconds, wait for clock stable! +} + + +void _usb_init(void) +{ + u32_t cold_start; + +// A_PUTS("\n - _usb_init - \n\r"); + +#if defined(PROJECT_MAGPIE) + //if ( *((volatile uint32_t*)WATCH_DOG_MAGIC_PATTERN_ADDR) == WDT_MAGIC_PATTERN || *((volatile uint32_t*)WATCH_DOG_MAGIC_PATTERN_ADDR) == SUS_MAGIC_PATTERN ) + if( A_WDT_LASTBOOT()!= ENUM_COLD_BOOT) +#elif defined(PROJECT_K2) + if (HAL_WORD_REG_READ(MAGPIE_REG_RST_STATUS_ADDR) == WDT_MAGIC_PATTERN || HAL_WORD_REG_READ(MAGPIE_REG_RST_STATUS_ADDR) == SUS_MAGIC_PATTERN) +#endif /* #if defined(PROJECT_MAGPIE) */ + cold_start = 0; + else + cold_start = 1; + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x30; +// init variables +// u16TxRxCounter = 0; +// eUsbCxCommand = CMD_VOID; +// u8UsbConfigValue = 0; + u8UsbInterfaceValue = 0; + u8UsbInterfaceAlternateSetting = 0; +// bUsbEP0HaltSt = FALSE; +// u16FirmwareComplete = 0; +// eUsbCxFinishAction = ACT_IDLE; + UsbStatus[0] = !fBUS_POWER; + + +/*! + * move the setting to A_USB_CLK_INIT(), so that we could patch these things + */ +#if 1 + A_USB_CLK_INIT(); + +#else + +#if defined(PROJECT_MAGPIE) + +//#if defined(MAGPIE_ASIC) + // + // @Bug 36267 - wdt reset or other reset will reset usb phy, we need to init again here + // - this won't work at FPGA, skip this checking if build for FPGA + // + // @Bug 36947 - L3 fpga's reset behavior of usb is not as what I expect!, need to confirm + // + // ToBeNote: if (Bug36947), skip the checking and reset usb anyway, but will see the connection broken +// if (cold_start) +//#endif + A_USB_CLK_INIT(); +#elif defined(PROJECT_K2) + if (cold_start) + { + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x31; + RST_USB_INIT(); + } +#endif /* #if defined(PROJECT_MAGPIE) */ + +#endif + + A_DELAY_USECS(300); // delay 300 usecs, wait for clock stable! + + while( 1 ) + { + /* + * wait for USBSOC_HCLK_RDY tight high, indicate the 30Mhz is ready for use + */ + if(HAL_WORD_REG_READ(0x10128)& BIT8) + break; + + //A_DELAY_USECS(200); // delay 200 micro seconds, wait for clock stable! + } + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x33; + A_PUTS("6. usb_hclk rdy\n\r"); + + // + // @Bug 36267 - wdt reset or other reset will reset usb phy, we need to init again here + // + // @Bug 36947 - L3 fpga's reset behavior of usb is not as what I expect!, need to confirm +#if defined(PROJECT_MAGPIE) + + // in cold or suspend boot, we do reinit the USB_PHY, skip only when watchdog start + // + // +#if defined(MAGPIE_ASIC) + if (cold_start) +#endif +#elif defined(PROJECT_K2) + if (cold_start) +#endif /* #if defined(PROJECT_MAGPIE) */ + { + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x34; + A_USB_INIT_PHY(); + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xff)) | 0x35; + //ZM_PHY_TEST_SELECT_REG = 0; // Plug In + USB_BYTE_REG_WRITE(ZM_PHY_TEST_SELECT_OFFSET, 0x0); + + // reset the address, just in case... + //USB_BYTE_REG_WRITE(ZM_DEVICE_ADDRESS_OFFSET, 0x0); + } + + /* If watchdog reset happens, target needs to send a message to host through EP3 */ + if ( A_WDT_LASTBOOT() == ENUM_WDT_BOOT ) + mUSB_STATUS_IN_INT_ENABLE(); + else + mUSB_STATUS_IN_INT_DISABLE(); + +} + +#endif + +//////////////////////////////////////////////////////// +void usbfifo_module_install(struct usbfifo_api *apis) +{ + /* hook in APIs */ + apis->_init = _usbfifo_init; + apis->_enable_event_isr = _usbfifo_enable_event_isr; +} + + +void cmnos_usb_module_install(struct usb_api *apis) +{ + apis->_usb_init = _usb_init; + apis->_usb_rom_task = _usb_rom_task; + apis->_usb_fw_task = _usb_fw_task; + apis->_usb_init_phy = cFUSB200Init; + + apis->_usb_ep0_setup = vUsb_ep0setup; + apis->_usb_ep0_tx_data = vUsbEP0TxData; + apis->_usb_ep0_rx_data = vUsbEP0RxData; + + apis->_usb_get_configuration = bGet_configuration; + apis->_usb_set_configuration = bSet_configuration; + + apis->_usb_get_interface = bGet_interface; + apis->_usb_set_interface = bSet_interface; + + apis->_usb_standard_cmd = bStandardCommand; + apis->_usb_vendor_cmd = VendorCommand; + + apis->_usb_reset_fifo= zfResetUSBFIFO; + apis->_usb_power_off = zfTurnOffPower; + apis->_usb_gen_wdt = zfGenWatchDogEvent; + apis->_usb_jump_boot = zfJumpToBootCode; + + apis->_usb_get_descriptor = bGet_descriptor; + apis->_usb_set_address = bSet_address; + apis->_usb_set_feature = bSet_feature; + apis->_usb_clr_feature = bClear_feature; + + apis->_usb_get_status = bGet_status; + apis->_usb_setup_desc = vUsb_SetupDescriptor; + + apis->_usb_reg_out = vUsb_Reg_Out; + apis->_usb_status_in = vUsb_Status_In; + + apis->_usb_ep0_tx = vUsb_ep0tx; + apis->_usb_ep0_rx = vUsb_ep0rx; + + apis->_usb_clk_init = _usb_clk_init; +} + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_defs.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_defs.h new file mode 100755 index 0000000..bef0190 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_defs.h @@ -0,0 +1,904 @@ +#ifndef USB_DEFS_H +#define USB_DEFS_H + +#include "usb_table.h" +#include "dt_defs.h" +#include "reg_defs.h" + +#define CHECK_SWITCH_BY_BOOTCODE 1 //to be verified for ZD1215, OK for ZD1211 +#define VERIFY_CHECKSUM_BY_BOOTCODE 1 + +/***********************************************************************/ +/* for SEEPROM Boot */ +/***********************************************************************/ +#define WLAN_BOOT_SIGNATURE (0x19710303) + +#define WLAN_SIGNATURE_ADDR (0x102000) + +#define cMAX_ADDR 0x10000 + +#define cEEPROM_SIZE 0x800 // 2k word (4k byte) + +#define cRESERVE_LOAD_SPACE 0 + +// start addr. of boot code +#define cBOOT_CODE_ADDR (cMAX_ADDR - cEEPROM_SIZE) // 0xF800 + +/************************** Register Addr Process *********************/ +#define mpADDR(addr) ((volatile uint16_t*) (addr)) +#define mADDR(addr) (*mpADDR(addr)) +#define muADDR(addr) ((uint16_t) (&(addr))) + +#define USB_BYTE_REG_WRITE(addr, val) HAL_BYTE_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr^3), (val)) +#define USB_BYTE_REG_READ(addr) HAL_BYTE_REG_READ(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr^3)) +//#define USB_BYTE_REG_READ(addr) HAL_BYTE_REG_READ(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr)) + +#define USB_HALF_WORD_REG_WRITE(addr, val) HAL_HALF_WORD_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint16_t)(addr), (val)) +#define USB_HALF_WORD_REG_READ(addr) HAL_HALF_WORD_REG_READ(USB_CTRL_BASE_ADDRESS|(uint16_t)(addr)) + +#define USB_WORD_REG_WRITE(addr, val) HAL_WORD_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint32_t)(addr), (val)) +#define USB_WORD_REG_READ(addr) HAL_WORD_REG_READ(USB_CTRL_BASE_ADDRESS|(uint32_t)(addr)) + + +/************************** Register Deinition ***************************/ +//#define USB_BASE_ADDR_SOC 0x8000 + +//#define SOC_Reg mpADDR(USB_BASE_ADDR_SOC) + +#define cSOC_USB_OFST (0x100) + +#define ZM_CBUS_FIFO_SIZE_OFFSET (cSOC_USB_OFST) //OFFSET 0 + +#define cSOC_CBUS_CTL_OFFSET 0xF0 + +#define ZM_FUSB_BASE USB_CTRL_BASE_ADDRESS + +#define ZM_MAIN_CTRL_OFFSET 0x00 +#define ZM_DEVICE_ADDRESS_OFFSET 0x01 +#define ZM_TEST_OFFSET 0x02 +#define ZM_PHY_TEST_SELECT_OFFSET 0x08 +#define ZM_VDR_SPECIFIC_MODE_OFFSET 0x0A +#define ZM_CX_CONFIG_STATUS_OFFSET 0x0B +#define ZM_EP0_DATA1_OFFSET 0x0C +#define ZM_EP0_DATA2_OFFSET 0x0D +#define ZM_EP0_DATA_OFFSET 0x0C + +#define ZM_INTR_MASK_BYTE_0_OFFSET 0x11 +#define ZM_INTR_MASK_BYTE_1_OFFSET 0x12 +#define ZM_INTR_MASK_BYTE_2_OFFSET 0x13 +#define ZM_INTR_MASK_BYTE_3_OFFSET 0x14 +#define ZM_INTR_MASK_BYTE_4_OFFSET 0x15 +#define ZM_INTR_MASK_BYTE_5_OFFSET 0x16 +#define ZM_INTR_MASK_BYTE_6_OFFSET 0x17 +#define ZM_INTR_MASK_BYTE_7_OFFSET 0x18 + +#define ZM_INTR_GROUP_OFFSET 0x20 +#define ZM_INTR_SOURCE_0_OFFSET 0x21 +#define ZM_INTR_SOURCE_1_OFFSET 0x22 +#define ZM_INTR_SOURCE_2_OFFSET 0x23 +#define ZM_INTR_SOURCE_3_OFFSET 0x24 +#define ZM_INTR_SOURCE_4_OFFSET 0x25 +#define ZM_INTR_SOURCE_5_OFFSET 0x26 +#define ZM_INTR_SOURCE_6_OFFSET 0x27 +#define ZM_INTR_SOURCE_7_OFFSET 0x28 + +#define ZM_EP_IN_MAX_SIZE_HIGH_OFFSET 0x3F +#define ZM_EP_IN_MAX_SIZE_LOW_OFFSET 0x3E + +#define ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET 0x5F +#define ZM_EP_OUT_MAX_SIZE_LOW_OFFSET 0x5E + +#define ZM_EP3_BYTE_COUNT_HIGH_OFFSET 0xAE +#define ZM_EP3_BYTE_COUNT_LOW_OFFSET 0xBE +#define ZM_EP4_BYTE_COUNT_HIGH_OFFSET 0xAF +#define ZM_EP4_BYTE_COUNT_LOW_OFFSET 0xBF + +#define ZM_EP3_DATA_OFFSET 0xF8 +#define ZM_EP4_DATA_OFFSET 0xFC + +#define ZM_SOC_USB_MODE_CTRL_OFFSET 0x108 +#define ZM_SOC_USB_MAX_AGGREGATE_OFFSET 0x110 +#define ZM_SOC_USB_TIME_CTRL_OFFSET 0x114 + +#define ZM_ADDR_CONV 0x0 + +#define ZM_CBUS_FIFO_SIZE_REG (ZM_CBUS_FIFO_SIZE_OFFSET^ZM_ADDR_CONV) + +#define ZM_CBUS_CTRL_REG (cSOC_USB_OFST+cSOC_CBUS_CTL_OFFSET^ZM_ADDR_CONV) + +#define ZM_MAIN_CTRL_REG (ZM_MAIN_CTRL_OFFSET^ZM_ADDR_CONV) + +#define ZM_DEVICE_ADDRESS_REG (ZM_DEVICE_ADDRESS_OFFSET^ZM_ADDR_CONV) + +#define ZM_TEST_REG (ZM_TEST_OFFSET^ZM_ADDR_CONV) + +#define ZM_PHY_TEST_SELECT_REG (ZM_PHY_TEST_SELECT_OFFSET^ZM_ADDR_CONV))) + +#define ZM_CX_CONFIG_STATUS_REG (ZM_CX_CONFIG_STATUS_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP0_DATA1_REG (ZM_EP0_DATA1_OFFSET^ZM_ADDR_CONV))) + +#define ZM_EP0_DATA2_REG (ZM_EP0_DATA2_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP0_DATA_REG (ZM_EP0_DATA_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_0_REG (ZM_INTR_MASK_BYTE_0_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_1_REG (ZM_INTR_MASK_BYTE_1_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_2_REG (ZM_INTR_MASK_BYTE_2_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_3_REG (ZM_INTR_MASK_BYTE_3_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_4_REG (ZM_INTR_MASK_BYTE_4_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_5_REG (ZM_INTR_MASK_BYTE_5_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_6_REG (ZM_INTR_MASK_BYTE_6_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_7_REG (ZM_INTR_MASK_BYTE_7_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_0_REG (ZM_INTR_SOURCE_0_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_1_REG (ZM_INTR_SOURCE_1_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_2_REG (ZM_INTR_SOURCE_2_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_3_REG (ZM_INTR_SOURCE_3_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_4_REG (ZM_INTR_SOURCE_4_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_5_REG (ZM_INTR_SOURCE_5_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_6_REG (ZM_INTR_SOURCE_6_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_7_REG (ZM_INTR_SOURCE_7_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_GROUP_REG (ZM_INTR_GROUP_OFFSET^ZM_ADDR_CONV))) + +#define ZM_EP3_BYTE_COUNT_HIGH_REG (ZM_EP3_BYTE_COUNT_HIGH_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP3_BYTE_COUNT_LOW_REG (ZM_EP3_BYTE_COUNT_LOW_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP4_BYTE_COUNT_HIGH_REG (ZM_EP4_BYTE_COUNT_HIGH_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP4_BYTE_COUNT_LOW_REG (ZM_EP4_BYTE_COUNT_LOW_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP3_DATA_REG (ZM_EP3_DATA_OFFSET) + +#define ZM_EP4_DATA_REG (ZM_EP4_DATA_OFFSET) + +#define ZM_SOC_USB_MODE_CTRL_REG (ZM_SOC_USB_MODE_CTRL_OFFSET) + +#define ZM_SOC_USB_MAX_AGGREGATE_REG (ZM_SOC_USB_MAX_AGGREGATE_OFFSET) + +#define ZM_SOC_USB_TIME_CTRL_REG (ZM_SOC_USB_TIME_CTRL_OFFSET) + +#define bmHIGH_SPEED BIT6 +#define bmCWR_BUF_END BIT1 + +#define mUsbEP0DataRd1() (USB_BYTE_REG_READ(ZM_EP0_DATA1_OFFSET)) +//#define mUsbEP0DataRd2() ZM_EP0_DATA2_REG +//#define mUsbEP0DataRd3() ZM_EP0_DATA3_REG +//#define mUsbEP0DataRd4() ZM_EP0_DATA4_REG +#define mUsbEP0DataWr1(data) (USB_BYTE_REG_WRITE(ZM_EP0_DATA1_OFFSET, data)) +#define mUsbEP0DataWr2(data) (USB_BYTE_REG_WRITE(ZM_EP0_DATA2_OFFSET, data)) + +#define mGetByte0(data) ( data & 0xff ) +#define mGetByte1(data) ( (data >> 8) & 0xff ) +#define mGetByte2(data) ( (data >> 16) & 0xff ) +#define mGetByte3(data) ( (data >> 24) & 0xff ) + +//#define mUsbHighSpeedST() (ZM_MAIN_CTRL_REG & BIT6) +//#define mUsbCfgST() (ZM_DEVICE_ADDRESS_REG & BIT7) +//#define mUsbApWrEnd() (ZM_CBUS_CTRL_REG = bmCWR_BUF_END) +//#define mUsbApRdEnd() (ZM_CBUS_CTRL_REG = bmCWR_BUF_END) + +#define mUsbHighSpeedST() (USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET) & BIT6) +#define mUsbCfgST() (USB_BYTE_REG_READ(ZM_DEVICE_ADDRESS_OFFSET) & BIT7) +#define mUsbApWrEnd() (USB_BYTE_REG_WRITE((cSOC_USB_OFST+cSOC_CBUS_CTL_OFFSET), bmCWR_BUF_END) +#define mUsbApRdEnd() (USB_BYTE_REG_WRITE((cSOC_USB_OFST+cSOC_CBUS_CTL_OFFSET), bmCWR_BUF_END) + +#define mUsbRmWkupST() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)&BIT0) +#define mUsbRmWkupClr() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)&~BIT0) +#define mUsbRmWkupSet() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)|BIT0) + +#define mUsbGlobIntEnable() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)|BIT2) + +#define mUSB_REG_OUT_INT_ENABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_4_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_4_OFFSET)&0x3f) +#define mUSB_REG_OUT_INT_DISABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_4_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_4_OFFSET)|0xc0) +#define mUSB_STATUS_IN_INT_ENABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_6_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_6_OFFSET)&0xbf) +#define mUSB_STATUS_IN_INT_DISABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_6_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_6_OFFSET)|0xc0) +// USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_6_OFFSET)|0x40) + +#define mUSB_EP3_XFER_DONE() USB_BYTE_REG_WRITE(ZM_EP3_BYTE_COUNT_HIGH_OFFSET, \ + USB_BYTE_REG_READ(ZM_EP3_BYTE_COUNT_HIGH_OFFSET)|0x08) + + + +#define HS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_512 +#define HS_C1_I0_A0_EP1_bInterval 00 + +#define HS_C1_I0_A0_EP_NUMBER 0x06 +#define HS_C1_I0_A0_EP_LENGTH (EP_LENGTH * HS_C1_I0_A0_EP_NUMBER) +#define HS_C1_I0_ALT_LENGTH (HS_C1_I0_A0_EP_LENGTH) +#define HS_C1_INTERFACE_LENGTH (HS_C1_I0_ALT_LENGTH) + +#define HS_C1_CONFIG_TOTAL_LENGTH (CONFIG_LENGTH + INTERFACE_LENGTH + HS_C1_INTERFACE_LENGTH) +#define FS_C1_CONFIG_TOTAL_LENGTH (CONFIG_LENGTH + INTERFACE_LENGTH + FS_C1_INTERFACE_LENGTH) + +#define FS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_64 +//#define FS_C1_I0_A0_EP1_bInterval HS_C1_I0_A0_EP1_bInterval + +#define HS_CONFIGURATION_NUMBER 1 +#define FS_CONFIGURATION_NUMBER 1 + +#define fDOUBLE_BUF 1 +#define fDOUBLE_BUF_IN 1 + +#define fFLASH_DISK 0 +#define fENABLE_ISO 0 + +#if (HS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + #define HS_C1_INTERFACE_NUMBER 0x01 + #define HS_C1 0x01 + #define HS_C1_iConfiguration 0x00 + #define HS_C1_bmAttribute 0x80 + #if !(fFLASH_DISK && !fFLASH_BOOT) + #define HS_C1_iMaxPower 0xFA + #else + #define HS_C1_iMaxPower 0x32 + #endif + + #if (HS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #define HS_C1_I0_ALT_NUMBER 0X01 + #if (HS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0X00 + #define HS_C1_I0_A0_bInterfaceNumber 0X00 + #define HS_C1_I0_A0_bAlternateSetting 0X00 + //JWEI 2003/07/14 + //#if fINDEPEND_REG_RW && !(fFLASH_DISK && !fFLASH_BOOT) + #define HS_C1_I0_A0_EP_NUMBER 0x06 + //#else + //#define HS_C1_I0_A0_EP_NUMBER 0X03 + //#endif + #if !(fFLASH_DISK && !fFLASH_BOOT) + #define HS_C1_I0_A0_bInterfaceClass 0XFF + #define HS_C1_I0_A0_bInterfaceSubClass 0X00 + #define HS_C1_I0_A0_bInterfaceProtocol 0X00 + #else + #define HS_C1_I0_A0_bInterfaceClass 0X08 + #define HS_C1_I0_A0_bInterfaceSubClass 0X06 + #define HS_C1_I0_A0_bInterfaceProtocol 0X50 + #endif + #define HS_C1_I0_A0_iInterface 0X00 + + #if (HS_C1_I0_A0_EP_NUMBER >= 1) + //EP0X01 + #define HS_C1_I0_A0_EP1_BLKSIZE BLK512BYTE + //JWEI 2003/05/19 + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP1_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP1_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP1_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP1_TYPE TF_TYPE_BULK + //JWEI 2003/05/07 + #define HS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP1_bInterval 00 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 2) + //EP0X02 + #define HS_C1_I0_A0_EP2_BLKSIZE BLK512BYTE + //JWEI 2003/08/20 + #if fDOUBLE_BUF_IN + #define HS_C1_I0_A0_EP2_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP2_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP2_DIRECTION DIRECTION_IN + #define HS_C1_I0_A0_EP2_TYPE TF_TYPE_BULK + #define HS_C1_I0_A0_EP2_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP2_bInterval 00 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 3) + //EP0X03 + #define HS_C1_I0_A0_EP3_BLKSIZE BLK64BYTE + #define HS_C1_I0_A0_EP3_BLKNO SINGLE_BLK + #define HS_C1_I0_A0_EP3_DIRECTION DIRECTION_IN + #define HS_C1_I0_A0_EP3_TYPE TF_TYPE_INTERRUPT + #define HS_C1_I0_A0_EP3_MAX_PACKET 0x0040 + #define HS_C1_I0_A0_EP3_bInterval 01 + #endif + // Note: HS Bulk type require max pkt size = 512 + // ==> must use Interrupt type for max pkt size = 64 + #if (HS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + //EP0X04 + #define HS_C1_I0_A0_EP4_BLKSIZE BLK64BYTE + #define HS_C1_I0_A0_EP4_BLKNO SINGLE_BLK + #define HS_C1_I0_A0_EP4_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP4_TYPE TF_TYPE_INTERRUPT + #define HS_C1_I0_A0_EP4_MAX_PACKET 0x0040 + #define HS_C1_I0_A0_EP4_bInterval 01 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 5) + //EP0X04 + #define HS_C1_I0_A0_EP5_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP5_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP5_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP5_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP5_TYPE TF_TYPE_BULK + #define HS_C1_I0_A0_EP5_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP5_bInterval 00 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 6) + //EP0X04 + #define HS_C1_I0_A0_EP6_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP6_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP6_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP6_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP6_TYPE TF_TYPE_BULK + #define HS_C1_I0_A0_EP6_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP6_bInterval 00 + #endif + #endif + #endif +#endif + +#if (HS_CONFIGURATION_NUMBER >= 1) + // Configuration 1 + #if (HS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #if (HS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define HS_C1_I0_A0_EP_LENGTH (EP_LENGTH * HS_C1_I0_A0_EP_NUMBER) + #if (HS_C1_I0_A0_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I0_A0_EP1_FIFO_START FIFO0 + #define HS_C1_I0_A0_EP1_FIFO_NO (HS_C1_I0_A0_EP1_BLKNO * HS_C1_I0_A0_EP1_BLKSIZE) + #define HS_C1_I0_A0_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP1_BLKNO - 1) << 2) | HS_C1_I0_A0_EP1_TYPE) + #define HS_C1_I0_A0_EP1_FIFO_MAP (((1 - HS_C1_I0_A0_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I0_A0_EP1_MAP (HS_C1_I0_A0_EP1_FIFO_START | (HS_C1_I0_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP1_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 2) + // EP2 + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP2_FIFO_START (HS_C1_I0_A0_EP1_FIFO_START + HS_C1_I0_A0_EP1_FIFO_NO) + #else + #define HS_C1_I0_A0_EP2_FIFO_START FIFO2 + #endif + #define HS_C1_I0_A0_EP2_FIFO_NO (HS_C1_I0_A0_EP2_BLKNO * HS_C1_I0_A0_EP2_BLKSIZE) + #define HS_C1_I0_A0_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP2_BLKNO - 1) << 2) | HS_C1_I0_A0_EP2_TYPE) + #define HS_C1_I0_A0_EP2_FIFO_MAP (((1 - HS_C1_I0_A0_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I0_A0_EP2_MAP (HS_C1_I0_A0_EP2_FIFO_START | (HS_C1_I0_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP2_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 3) + // EP3 + //JWEI 2003/07/15 + // #define HS_C1_I0_A0_EP3_FIFO_START (HS_C1_I0_A0_EP2_FIFO_START + HS_C1_I0_A0_EP2_FIFO_NO) + #define HS_C1_I0_A0_EP3_FIFO_START FIFO14 + #define HS_C1_I0_A0_EP3_FIFO_NO (HS_C1_I0_A0_EP3_BLKNO * HS_C1_I0_A0_EP3_BLKSIZE) + #define HS_C1_I0_A0_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP3_BLKNO - 1) << 2) | HS_C1_I0_A0_EP3_TYPE) + #define HS_C1_I0_A0_EP3_FIFO_MAP (((1 - HS_C1_I0_A0_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I0_A0_EP3_MAP (HS_C1_I0_A0_EP3_FIFO_START | (HS_C1_I0_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP3_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + // EP4 + #define HS_C1_I0_A0_EP4_FIFO_START (HS_C1_I0_A0_EP3_FIFO_START + HS_C1_I0_A0_EP3_FIFO_NO) + #define HS_C1_I0_A0_EP4_FIFO_NO (HS_C1_I0_A0_EP4_BLKNO * HS_C1_I0_A0_EP4_BLKSIZE) + #define HS_C1_I0_A0_EP4_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP4_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP4_BLKNO - 1) << 2) | HS_C1_I0_A0_EP4_TYPE) + #define HS_C1_I0_A0_EP4_FIFO_MAP (((1 - HS_C1_I0_A0_EP4_DIRECTION) << 4) | EP4) + #define HS_C1_I0_A0_EP4_MAP (HS_C1_I0_A0_EP4_FIFO_START | (HS_C1_I0_A0_EP4_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP4_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 5) + // EP5 + #define HS_C1_I0_A0_EP5_FIFO_START (HS_C1_I0_A0_EP2_FIFO_START + HS_C1_I0_A0_EP2_FIFO_NO) + #define HS_C1_I0_A0_EP5_FIFO_NO (HS_C1_I0_A0_EP5_BLKNO * HS_C1_I0_A0_EP5_BLKSIZE) + #define HS_C1_I0_A0_EP5_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP5_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP5_BLKNO - 1) << 2) | HS_C1_I0_A0_EP5_TYPE) + #define HS_C1_I0_A0_EP5_FIFO_MAP (((1 - HS_C1_I0_A0_EP5_DIRECTION) << 4) | EP5) + #define HS_C1_I0_A0_EP5_MAP (HS_C1_I0_A0_EP5_FIFO_START | (HS_C1_I0_A0_EP5_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP5_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 6) + // EP5 + #define HS_C1_I0_A0_EP6_FIFO_START (HS_C1_I0_A0_EP5_FIFO_START + HS_C1_I0_A0_EP5_FIFO_NO) + #define HS_C1_I0_A0_EP6_FIFO_NO (HS_C1_I0_A0_EP6_BLKNO * HS_C1_I0_A0_EP6_BLKSIZE) + #define HS_C1_I0_A0_EP6_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP6_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP6_BLKNO - 1) << 2) | HS_C1_I0_A0_EP6_TYPE) + #define HS_C1_I0_A0_EP6_FIFO_MAP (((1 - HS_C1_I0_A0_EP6_DIRECTION) << 4) | EP6) + #define HS_C1_I0_A0_EP6_MAP (HS_C1_I0_A0_EP6_FIFO_START | (HS_C1_I0_A0_EP6_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP6_DIRECTION))) + #endif + #endif + + #if (HS_C1_I0_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define HS_C1_I0_A1_EP_LENGTH (EP_LENGTH * HS_C1_I0_A1_EP_NUMBER) + #if (HS_C1_I0_A1_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I0_A1_EP1_FIFO_START FIFO0 + #define HS_C1_I0_A1_EP1_FIFO_NO (HS_C1_I0_A1_EP1_BLKNO * HS_C1_I0_A1_EP1_BLKSIZE) + #define HS_C1_I0_A1_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I0_A1_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I0_A1_EP1_BLKNO - 1) << 2) | HS_C1_I0_A1_EP1_TYPE) + #define HS_C1_I0_A1_EP1_FIFO_MAP (((1 - HS_C1_I0_A1_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I0_A1_EP1_MAP (HS_C1_I0_A1_EP1_FIFO_START | (HS_C1_I0_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A1_EP1_DIRECTION))) + #endif + #if (HS_C1_I0_A1_EP_NUMBER >= 2) + // EP2 + #define HS_C1_I0_A1_EP2_FIFO_START (HS_C1_I0_A1_EP1_FIFO_START + HS_C1_I0_A1_EP1_FIFO_NO) + #define HS_C1_I0_A1_EP2_FIFO_NO (HS_C1_I0_A1_EP2_BLKNO * HS_C1_I0_A1_EP2_BLKSIZE) + #define HS_C1_I0_A1_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I0_A1_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I0_A1_EP2_BLKNO - 1) << 2) | HS_C1_I0_A1_EP2_TYPE) + #define HS_C1_I0_A1_EP2_FIFO_MAP (((1 - HS_C1_I0_A1_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I0_A1_EP2_MAP (HS_C1_I0_A1_EP2_FIFO_START | (HS_C1_I0_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A1_EP2_DIRECTION))) + #endif + #if (HS_C1_I0_A1_EP_NUMBER >= 3) + // EP3 + #define HS_C1_I0_A1_EP3_FIFO_START (HS_C1_I0_A1_EP2_FIFO_START + HS_C1_I0_A1_EP2_FIFO_NO) + #define HS_C1_I0_A1_EP3_FIFO_NO (HS_C1_I0_A1_EP3_BLKNO * HS_C1_I0_A1_EP3_BLKSIZE) + #define HS_C1_I0_A1_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I0_A1_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I0_A1_EP3_BLKNO - 1) << 2) | HS_C1_I0_A1_EP3_TYPE) + #define HS_C1_I0_A1_EP3_FIFO_MAP (((1 - HS_C1_I0_A1_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I0_A1_EP3_MAP (HS_C1_I0_A1_EP3_FIFO_START | (HS_C1_I0_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A1_EP3_DIRECTION))) + #endif + #endif + + #if (HS_C1_I0_ALT_NUMBER == 1) + #define HS_C1_I0_ALT_LENGTH (HS_C1_I0_A0_EP_LENGTH) + #elif (HS_C1_I0_ALT_NUMBER == 2) + #define HS_C1_I0_ALT_LENGTH (HS_C1_I0_A0_EP_LENGTH + HS_C1_I0_A1_EP_LENGTH) + #endif + #endif + + #if (HS_C1_INTERFACE_NUMBER >= 2) + // Interface 1 + #if (HS_C1_I1_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define HS_C1_I1_A0_EP_LENGTH (EP_LENGTH * HS_C1_I1_A0_EP_NUMBER) + #if (HS_C1_I1_A0_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I1_A0_EP1_FIFO_START FIFO0 + #define HS_C1_I1_A0_EP1_FIFO_NO (HS_C1_I1_A0_EP1_BLKNO * HS_C1_I1_A0_EP1_BLKSIZE) + #define HS_C1_I1_A0_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I1_A0_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I1_A0_EP1_BLKNO - 1) << 2) | HS_C1_I1_A0_EP1_TYPE) + #define HS_C1_I1_A0_EP1_FIFO_MAP (((1 - HS_C1_I1_A0_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I1_A0_EP1_MAP (HS_C1_I1_A0_EP1_FIFO_START | (HS_C1_I1_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A0_EP1_DIRECTION))) + #endif + #if (HS_C1_I1_A0_EP_NUMBER >= 2) + // EP2 + #define HS_C1_I1_A0_EP2_FIFO_START (HS_C1_I1_A0_EP1_FIFO_START + HS_C1_I1_A0_EP1_FIFO_NO) + #define HS_C1_I1_A0_EP2_FIFO_NO (HS_C1_I1_A0_EP2_BLKNO * HS_C1_I1_A0_EP2_BLKSIZE) + #define HS_C1_I1_A0_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I1_A0_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I1_A0_EP2_BLKNO - 1) << 2) | HS_C1_I1_A0_EP2_TYPE) + #define HS_C1_I1_A0_EP2_FIFO_MAP (((1 - HS_C1_I1_A0_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I1_A0_EP2_MAP (HS_C1_I1_A0_EP2_FIFO_START | (HS_C1_I1_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A0_EP2_DIRECTION))) + #endif + #if (HS_C1_I1_A0_EP_NUMBER >= 3) + // EP3 + #define HS_C1_I1_A0_EP3_FIFO_START (HS_C1_I1_A0_EP2_FIFO_START + HS_C1_I1_A0_EP2_FIFO_NO) + #define HS_C1_I1_A0_EP3_FIFO_NO (HS_C1_I1_A0_EP3_BLKNO * HS_C1_I1_A0_EP3_BLKSIZE) + #define HS_C1_I1_A0_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I1_A0_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I1_A0_EP3_BLKNO - 1) << 2) | HS_C1_I1_A0_EP3_TYPE) + #define HS_C1_I1_A0_EP3_FIFO_MAP (((1 - HS_C1_I1_A0_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I1_A0_EP3_MAP (HS_C1_I1_A0_EP3_FIFO_START | (HS_C1_I1_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A0_EP3_DIRECTION))) + #endif + #endif + + #if (HS_C1_I1_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define HS_C1_I1_A1_EP_LENGTH (EP_LENGTH * HS_C1_I1_A1_EP_NUMBER) + #if (HS_C1_I1_A1_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I1_A1_EP1_FIFO_START FIFO0 + #define HS_C1_I1_A1_EP1_FIFO_NO (HS_C1_I1_A1_EP1_BLKNO * HS_C1_I1_A1_EP1_BLKSIZE) + #define HS_C1_I1_A1_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I1_A1_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I1_A1_EP1_BLKNO - 1) << 2) | HS_C1_I1_A1_EP1_TYPE) + #define HS_C1_I1_A1_EP1_FIFO_MAP (((1 - HS_C1_I1_A1_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I1_A1_EP1_MAP (HS_C1_I1_A1_EP1_FIFO_START | (HS_C1_I1_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A1_EP1_DIRECTION))) + #endif + #if (HS_C1_I1_A1_EP_NUMBER >= 2) + // EP2 + #define HS_C1_I1_A1_EP2_FIFO_START (HS_C1_I1_A1_EP1_FIFO_START + HS_C1_I1_A1_EP1_FIFO_NO) + #define HS_C1_I1_A1_EP2_FIFO_NO (HS_C1_I1_A1_EP2_BLKNO * HS_C1_I1_A1_EP2_BLKSIZE) + #define HS_C1_I1_A1_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I1_A1_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I1_A1_EP2_BLKNO - 1) << 2) | HS_C1_I1_A1_EP2_TYPE) + #define HS_C1_I1_A1_EP2_FIFO_MAP (((1 - HS_C1_I1_A1_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I1_A1_EP2_MAP (HS_C1_I1_A1_EP2_FIFO_START | (HS_C1_I1_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A1_EP2_DIRECTION))) + #endif + #if (HS_C1_I1_A1_EP_NUMBER >= 3) + // EP3 + #define HS_C1_I1_A1_EP3_FIFO_START (HS_C1_I1_A1_EP2_FIFO_START + HS_C1_I1_A1_EP2_FIFO_NO) + #define HS_C1_I1_A1_EP3_FIFO_NO (HS_C1_I1_A1_EP3_BLKNO * HS_C1_I1_A1_EP3_BLKSIZE) + #define HS_C1_I1_A1_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I1_A1_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I1_A1_EP3_BLKNO - 1) << 2) | HS_C1_I1_A1_EP3_TYPE) + #define HS_C1_I1_A1_EP3_FIFO_MAP (((1 - HS_C1_I1_A1_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I1_A1_EP3_MAP (HS_C1_I1_A1_EP3_FIFO_START | (HS_C1_I1_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A1_EP3_DIRECTION))) + #endif + #endif + + #if (HS_C1_I1_ALT_NUMBER == 1) + #define HS_C1_I1_ALT_LENGTH (HS_C1_I1_A0_EP_LENGTH) + #elif (HS_C1_I1_ALT_NUMBER == 2) + #define HS_C1_I1_ALT_LENGTH (HS_C1_I1_A0_EP_LENGTH + HS_C1_I1_A1_EP_LENGTH) + #endif + #endif + + #if (HS_C1_INTERFACE_NUMBER == 1) + #define HS_C1_INTERFACE_LENGTH (HS_C1_I0_ALT_LENGTH) + #elif (HS_C1_INTERFACE_NUMBER == 2) + #define HS_C1_INTERFACE_LENGTH (HS_C1_I0_ALT_LENGTH + HS_C1_I1_ALT_LENGTH) + #endif +#endif + +#if (FS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + #define FS_C1_INTERFACE_NUMBER 0X01 + #define FS_C1 0X01 + #define FS_C1_iConfiguration 0X00 + #define FS_C1_bmAttribute 0X80 + #define FS_C1_iMaxPower 0XFA + + #if (FS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #define FS_C1_I0_ALT_NUMBER 0X01 + #if (FS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0X00 + #define FS_C1_I0_A0_bInterfaceNumber 0X00 + #define FS_C1_I0_A0_bAlternateSetting 0X00 + //JWEI 2003/07/14 + //#if fINDEPEND_REG_RW && !(fFLASH_DISK && !fFLASH_BOOT) + #define FS_C1_I0_A0_EP_NUMBER 0x05 + //#else + //#define FS_C1_I0_A0_EP_NUMBER 0X03 + //#endif + #if !(fFLASH_DISK && !fFLASH_BOOT) + #define FS_C1_I0_A0_bInterfaceClass 0XFF + #define FS_C1_I0_A0_bInterfaceSubClass 0X00 + #define FS_C1_I0_A0_bInterfaceProtocol 0X00 + #else + #define FS_C1_I0_A0_bInterfaceClass 0X08 + #define FS_C1_I0_A0_bInterfaceSubClass 0X06 + #define FS_C1_I0_A0_bInterfaceProtocol 0X50 + #endif + #define FS_C1_I0_A0_iInterface 0X00 + + #if (FS_C1_I0_A0_EP_NUMBER >= 1) + //EP0X01 + #define FS_C1_I0_A0_EP1_BLKSIZE BLK512BYTE + //JWEI 2003/05/19 + #if fDOUBLE_BUF + #define FS_C1_I0_A0_EP1_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP1_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP1_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP1_TYPE TF_TYPE_BULK + //JWEI 2003/05/07 + #define FS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_64 + #define FS_C1_I0_A0_EP1_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 2) + //EP0X02 + #define FS_C1_I0_A0_EP2_BLKSIZE BLK512BYTE + //JWEI 2003/08/20 + #if fDOUBLE_BUF_IN + #define FS_C1_I0_A0_EP2_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP2_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP2_DIRECTION DIRECTION_IN + #define FS_C1_I0_A0_EP2_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP2_MAX_PACKET MX_PA_SZ_64 + #define FS_C1_I0_A0_EP2_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 3) + //EP0X03 + #define FS_C1_I0_A0_EP3_BLKSIZE BLK64BYTE + #define FS_C1_I0_A0_EP3_BLKNO SINGLE_BLK + #define FS_C1_I0_A0_EP3_DIRECTION DIRECTION_IN + #define FS_C1_I0_A0_EP3_TYPE TF_TYPE_INTERRUPT + #define FS_C1_I0_A0_EP3_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP3_bInterval 01 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + //EP0X04 + #define FS_C1_I0_A0_EP4_BLKSIZE BLK64BYTE + #define FS_C1_I0_A0_EP4_BLKNO SINGLE_BLK + #define FS_C1_I0_A0_EP4_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP4_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP4_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP4_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 5) + //EP0X04 + #define FS_C1_I0_A0_EP5_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF_IN + #define FS_C1_I0_A0_EP5_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP5_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP5_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP5_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP5_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP5_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 6) + //EP0X04 + #define FS_C1_I0_A0_EP6_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF_IN + #define FS_C1_I0_A0_EP6_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP6_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP6_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP6_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP6_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP6_bInterval 00 + #endif + #endif + #endif +#endif + +#if (FS_CONFIGURATION_NUMBER >= 1) + // Configuration 1 + #if (FS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #if (FS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define FS_C1_I0_A0_EP_LENGTH (EP_LENGTH * FS_C1_I0_A0_EP_NUMBER) + #if (FS_C1_I0_A0_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I0_A0_EP1_FIFO_START FIFO0 + #define FS_C1_I0_A0_EP1_FIFO_NO (FS_C1_I0_A0_EP1_BLKNO * FS_C1_I0_A0_EP1_BLKSIZE) + #define FS_C1_I0_A0_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP1_BLKNO - 1) << 2) | FS_C1_I0_A0_EP1_TYPE) + #define FS_C1_I0_A0_EP1_FIFO_MAP (((1 - FS_C1_I0_A0_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I0_A0_EP1_MAP (FS_C1_I0_A0_EP1_FIFO_START | (FS_C1_I0_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP1_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I0_A0_EP2_FIFO_START (FS_C1_I0_A0_EP1_FIFO_START + FS_C1_I0_A0_EP1_FIFO_NO) + #define FS_C1_I0_A0_EP2_FIFO_NO (FS_C1_I0_A0_EP2_BLKNO * FS_C1_I0_A0_EP2_BLKSIZE) + #define FS_C1_I0_A0_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP2_BLKNO - 1) << 2) | FS_C1_I0_A0_EP2_TYPE) + #define FS_C1_I0_A0_EP2_FIFO_MAP (((1 - FS_C1_I0_A0_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I0_A0_EP2_MAP (FS_C1_I0_A0_EP2_FIFO_START | (FS_C1_I0_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP2_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 3) + // EP3 + //JWEI 2003/07/15 + // #define FS_C1_I0_A0_EP3_FIFO_START (FS_C1_I0_A0_EP2_FIFO_START + FS_C1_I0_A0_EP2_FIFO_NO) + #define FS_C1_I0_A0_EP3_FIFO_START FIFO14 + #define FS_C1_I0_A0_EP3_FIFO_NO (FS_C1_I0_A0_EP3_BLKNO * FS_C1_I0_A0_EP3_BLKSIZE) + #define FS_C1_I0_A0_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP3_BLKNO - 1) << 2) | FS_C1_I0_A0_EP3_TYPE) + #define FS_C1_I0_A0_EP3_FIFO_MAP (((1 - FS_C1_I0_A0_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I0_A0_EP3_MAP (FS_C1_I0_A0_EP3_FIFO_START | (FS_C1_I0_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP3_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + // EP4 + #define FS_C1_I0_A0_EP4_FIFO_START (FS_C1_I0_A0_EP3_FIFO_START + FS_C1_I0_A0_EP3_FIFO_NO) + #define FS_C1_I0_A0_EP4_FIFO_NO (FS_C1_I0_A0_EP4_BLKNO * FS_C1_I0_A0_EP4_BLKSIZE) + #define FS_C1_I0_A0_EP4_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP4_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP4_BLKNO - 1) << 2) | FS_C1_I0_A0_EP4_TYPE) + #define FS_C1_I0_A0_EP4_FIFO_MAP (((1 - FS_C1_I0_A0_EP4_DIRECTION) << 4) | EP4) + #define FS_C1_I0_A0_EP4_MAP (FS_C1_I0_A0_EP4_FIFO_START | (FS_C1_I0_A0_EP4_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP4_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 5) + // EP5 + #define FS_C1_I0_A0_EP5_FIFO_START (FS_C1_I0_A0_EP2_FIFO_START + FS_C1_I0_A0_EP2_FIFO_NO) + #define FS_C1_I0_A0_EP5_FIFO_NO (FS_C1_I0_A0_EP5_BLKNO * FS_C1_I0_A0_EP5_BLKSIZE) + #define FS_C1_I0_A0_EP5_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP5_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP5_BLKNO - 1) << 2) | FS_C1_I0_A0_EP5_TYPE) + #define FS_C1_I0_A0_EP5_FIFO_MAP (((1 - FS_C1_I0_A0_EP5_DIRECTION) << 4) | EP5) + #define FS_C1_I0_A0_EP5_MAP (FS_C1_I0_A0_EP5_FIFO_START | (FS_C1_I0_A0_EP5_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP5_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 6) + // EP5 + #define FS_C1_I0_A0_EP6_FIFO_START (FS_C1_I0_A0_EP5_FIFO_START + FS_C1_I0_A0_EP5_FIFO_NO) + #define FS_C1_I0_A0_EP6_FIFO_NO (FS_C1_I0_A0_EP6_BLKNO * FS_C1_I0_A0_EP6_BLKSIZE) + #define FS_C1_I0_A0_EP6_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP6_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP6_BLKNO - 1) << 2) | FS_C1_I0_A0_EP6_TYPE) + #define FS_C1_I0_A0_EP6_FIFO_MAP (((1 - FS_C1_I0_A0_EP6_DIRECTION) << 4) | EP6) + #define FS_C1_I0_A0_EP6_MAP (FS_C1_I0_A0_EP6_FIFO_START | (FS_C1_I0_A0_EP6_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP6_DIRECTION))) + #endif + #endif + + #if (FS_C1_I0_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define FS_C1_I0_A1_EP_LENGTH (EP_LENGTH * FS_C1_I0_A1_EP_NUMBER) + #if (FS_C1_I0_A1_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I0_A1_EP1_FIFO_START FIFO0 + #define FS_C1_I0_A1_EP1_FIFO_NO (FS_C1_I0_A1_EP1_BLKNO * FS_C1_I0_A1_EP1_BLKSIZE) + #define FS_C1_I0_A1_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I0_A1_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I0_A1_EP1_BLKNO - 1) << 2) | FS_C1_I0_A1_EP1_TYPE) + #define FS_C1_I0_A1_EP1_FIFO_MAP (((1 - FS_C1_I0_A1_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I0_A1_EP1_MAP (FS_C1_I0_A1_EP1_FIFO_START | (FS_C1_I0_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A1_EP1_DIRECTION))) + #endif + #if (FS_C1_I0_A1_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I0_A1_EP2_FIFO_START (FS_C1_I0_A1_EP1_FIFO_START + FS_C1_I0_A1_EP1_FIFO_NO) + #define FS_C1_I0_A1_EP2_FIFO_NO (FS_C1_I0_A1_EP2_BLKNO * FS_C1_I0_A1_EP2_BLKSIZE) + #define FS_C1_I0_A1_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I0_A1_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I0_A1_EP2_BLKNO - 1) << 2) | FS_C1_I0_A1_EP2_TYPE) + #define FS_C1_I0_A1_EP2_FIFO_MAP (((1 - FS_C1_I0_A1_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I0_A1_EP2_MAP (FS_C1_I0_A1_EP2_FIFO_START | (FS_C1_I0_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A1_EP2_DIRECTION))) + #endif + #if (FS_C1_I0_A1_EP_NUMBER >= 3) + // EP3 + #define FS_C1_I0_A1_EP3_FIFO_START (FS_C1_I0_A1_EP2_FIFO_START + FS_C1_I0_A1_EP2_FIFO_NO) + #define FS_C1_I0_A1_EP3_FIFO_NO (FS_C1_I0_A1_EP3_BLKNO * FS_C1_I0_A1_EP3_BLKSIZE) + #define FS_C1_I0_A1_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I0_A1_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I0_A1_EP3_BLKNO - 1) << 2) | FS_C1_I0_A1_EP3_TYPE) + #define FS_C1_I0_A1_EP3_FIFO_MAP (((1 - FS_C1_I0_A1_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I0_A1_EP3_MAP (FS_C1_I0_A1_EP3_FIFO_START | (FS_C1_I0_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A1_EP3_DIRECTION))) + #endif + #endif + + #if (FS_C1_I0_ALT_NUMBER == 1) + #define FS_C1_I0_ALT_LENGTH (FS_C1_I0_A0_EP_LENGTH) + #elif (FS_C1_I0_ALT_NUMBER == 2) + #define FS_C1_I0_ALT_LENGTH (FS_C1_I0_A0_EP_LENGTH + FS_C1_I0_A1_EP_LENGTH) + #endif + #endif + + #if (FS_C1_INTERFACE_NUMBER >= 2) + // Interface 1 + #if (FS_C1_I1_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define FS_C1_I1_A0_EP_LENGTH (EP_LENGTH * FS_C1_I1_A0_EP_NUMBER) + #if (FS_C1_I1_A0_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I1_A0_EP1_FIFO_START FIFO0 + #define FS_C1_I1_A0_EP1_FIFO_NO (FS_C1_I1_A0_EP1_BLKNO * FS_C1_I1_A0_EP1_BLKSIZE) + #define FS_C1_I1_A0_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I1_A0_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I1_A0_EP1_BLKNO - 1) << 2) | FS_C1_I1_A0_EP1_TYPE) + #define FS_C1_I1_A0_EP1_FIFO_MAP (((1 - FS_C1_I1_A0_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I1_A0_EP1_MAP (FS_C1_I1_A0_EP1_FIFO_START | (FS_C1_I1_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A0_EP1_DIRECTION))) + #endif + #if (FS_C1_I1_A0_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I1_A0_EP2_FIFO_START (FS_C1_I1_A0_EP1_FIFO_START + FS_C1_I1_A0_EP1_FIFO_NO) + #define FS_C1_I1_A0_EP2_FIFO_NO (FS_C1_I1_A0_EP2_BLKNO * FS_C1_I1_A0_EP2_BLKSIZE) + #define FS_C1_I1_A0_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I1_A0_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I1_A0_EP2_BLKNO - 1) << 2) | FS_C1_I1_A0_EP2_TYPE) + #define FS_C1_I1_A0_EP2_FIFO_MAP (((1 - FS_C1_I1_A0_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I1_A0_EP2_MAP (FS_C1_I1_A0_EP2_FIFO_START | (FS_C1_I1_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A0_EP2_DIRECTION))) + #endif + #if (FS_C1_I1_A0_EP_NUMBER >= 3) + // EP3 + #define FS_C1_I1_A0_EP3_FIFO_START (FS_C1_I1_A0_EP2_FIFO_START + FS_C1_I1_A0_EP2_FIFO_NO) + #define FS_C1_I1_A0_EP3_FIFO_NO (FS_C1_I1_A0_EP3_BLKNO * FS_C1_I1_A0_EP3_BLKSIZE) + #define FS_C1_I1_A0_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I1_A0_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I1_A0_EP3_BLKNO - 1) << 2) | FS_C1_I1_A0_EP3_TYPE) + #define FS_C1_I1_A0_EP3_FIFO_MAP (((1 - FS_C1_I1_A0_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I1_A0_EP3_MAP (FS_C1_I1_A0_EP3_FIFO_START | (FS_C1_I1_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A0_EP3_DIRECTION))) + #endif + #endif + + #if (FS_C1_I1_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define FS_C1_I1_A1_EP_LENGTH (EP_LENGTH * FS_C1_I1_A1_EP_NUMBER) + #if (FS_C1_I1_A1_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I1_A1_EP1_FIFO_START FIFO0 + #define FS_C1_I1_A1_EP1_FIFO_NO (FS_C1_I1_A1_EP1_BLKNO * FS_C1_I1_A1_EP1_BLKSIZE) + #define FS_C1_I1_A1_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I1_A1_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I1_A1_EP1_BLKNO - 1) << 2) | FS_C1_I1_A1_EP1_TYPE) + #define FS_C1_I1_A1_EP1_FIFO_MAP (((1 - FS_C1_I1_A1_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I1_A1_EP1_MAP (FS_C1_I1_A1_EP1_FIFO_START | (FS_C1_I1_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A1_EP1_DIRECTION))) + #endif + #if (FS_C1_I1_A1_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I1_A1_EP2_FIFO_START (FS_C1_I1_A1_EP1_FIFO_START + FS_C1_I1_A1_EP1_FIFO_NO) + #define FS_C1_I1_A1_EP2_FIFO_NO (FS_C1_I1_A1_EP2_BLKNO * FS_C1_I1_A1_EP2_BLKSIZE) + #define FS_C1_I1_A1_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I1_A1_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I1_A1_EP2_BLKNO - 1) << 2) | FS_C1_I1_A1_EP2_TYPE) + #define FS_C1_I1_A1_EP2_FIFO_MAP (((1 - FS_C1_I1_A1_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I1_A1_EP2_MAP (FS_C1_I1_A1_EP2_FIFO_START | (FS_C1_I1_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A1_EP2_DIRECTION))) + #endif + #if (FS_C1_I1_A1_EP_NUMBER >= 3) + // EP3 + #define FS_C1_I1_A1_EP3_FIFO_START (FS_C1_I1_A1_EP2_FIFO_START + FS_C1_I1_A1_EP2_FIFO_NO) + #define FS_C1_I1_A1_EP3_FIFO_NO (FS_C1_I1_A1_EP3_BLKNO * FS_C1_I1_A1_EP3_BLKSIZE) + #define FS_C1_I1_A1_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I1_A1_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I1_A1_EP3_BLKNO - 1) << 2) | FS_C1_I1_A1_EP3_TYPE) + #define FS_C1_I1_A1_EP3_FIFO_MAP (((1 - FS_C1_I1_A1_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I1_A1_EP3_MAP (FS_C1_I1_A1_EP3_FIFO_START | (FS_C1_I1_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A1_EP3_DIRECTION))) + #endif + #endif + + #if (FS_C1_I1_ALT_NUMBER == 1) + #define FS_C1_I1_ALT_LENGTH (FS_C1_I1_A0_EP_LENGTH) + #elif (FS_C1_I1_ALT_NUMBER == 2) + #define FS_C1_I1_ALT_LENGTH (FS_C1_I1_A0_EP_LENGTH + FS_C1_I1_A1_EP_LENGTH) + #endif + #endif + + #if (FS_C1_INTERFACE_NUMBER == 1) + #define FS_C1_INTERFACE_LENGTH (FS_C1_I0_ALT_LENGTH) + #elif (FS_C1_INTERFACE_NUMBER == 2) + #define FS_C1_INTERFACE_LENGTH (FS_C1_I0_ALT_LENGTH + HS_FS_C1_I1_ALT_LENGTH) + #endif +#endif + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_UP_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT0)) // upstream DMA enable + +#define USB_DISABLE_UP_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT0))) // upstream DMA disable + +#define USB_UP_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT3))) // upQ stream mode + +#define USB_UP_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT3)) // upQ packet mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_LP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT1)) // lp downstream DMA enable + +#define USB_DISABLE_LP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT1))) // lp downstream DMA disable + +#define USB_LP_DN_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT6))) // lpQ packet mode + +#define USB_LP_DN_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT6)) // lpQ stream mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_HP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT8)) // hp downstream DMA enable + +#define USB_DISABLE_HP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT8))) // hp downstream DMA disable + +#define USB_HP_DN_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT7))) // hpQ packet mode + +#define USB_HP_DN_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT7)) // hpQ stream mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_MP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT9)) // mp downstream DMA enable + +#define USB_DISABLE_MP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT9))) // mp downstream DMA disable + +#define USB_MP_DN_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT10))) // hpQ packet mode + +#define USB_MP_DN_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT10)) // hpQ stream mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// + +#define USB_ENABLE_UP_PACKET_MODE() USB_DISABLE_UP_DMA(); \ + USB_UP_PACKET_MODE(); \ + USB_ENABLE_UP_DMA(); + +#define USB_ENABLE_LP_DN_PACKET_MODE() USB_DISABLE_LP_DN_DMA(); \ + USB_LP_DN_PACKET_MODE(); \ + USB_ENABLE_LP_DN_DMA() + +#define USB_ENABLE_MP_DN_PACKET_MODE() USB_DISABLE_MP_DN_DMA(); \ + USB_MP_DN_PACKET_MODE(); \ + USB_ENABLE_MP_DN_DMA(); + +#define USB_ENABLE_HP_DN_PACKET_MODE() USB_DISABLE_HP_DN_DMA(); \ + USB_HP_DN_PACKET_MODE(); \ + USB_ENABLE_HP_DN_DMA(); + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_UP_STREAM_MODE() USB_DISABLE_UP_DMA(); \ + USB_UP_STREAM_MODE(); \ + USB_ENABLE_UP_DMA(); + +#define USB_ENABLE_LP_DN_STREAM_MODE() USB_DISABLE_LP_DN_DMA(); \ + USB_LP_DN_STREAM_MODE(); \ + USB_ENABLE_LP_DN_DMA() + +#define USB_ENABLE_MP_DN_STREAM_MODE() USB_DISABLE_MP_DN_DMA(); \ + USB_MP_DN_STREAM_MODE(); \ + USB_ENABLE_MP_DN_DMA(); + +#define USB_ENABLE_HP_DN_STREAM_MODE() USB_DISABLE_HP_DN_DMA(); \ + USB_HP_DN_STREAM_MODE(); \ + USB_ENABLE_HP_DN_DMA(); + +#define USB_STREAM_HOST_BUF_SIZE(size) USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(size))); +#define USB_STREAM_TIMEOUT(time_cnt) USB_WORD_REG_WRITE(ZM_SOC_USB_TIME_CTRL_OFFSET, time_cnt); // set stream mode timeout critirea +#define USB_STREAM_AGG_PKT_CNT(cnt) USB_WORD_REG_WRITE(ZM_SOC_USB_MAX_AGGREGATE_OFFSET, cnt); // set stream mode packet buffer critirea + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_extr.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_extr.h new file mode 100755 index 0000000..1886800 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_extr.h @@ -0,0 +1,34 @@ +#ifndef USB_EXTR_H +#define USB_EXTR_H + +#if 0 +#include "dt_defs.h" +#include "usb_type.h" + +/* Variable for USB EP0 pipe (USB.c) */ +uint16_t *pu8DescriptorEX; +uint16_t u16TxRxCounter; +uint16_t *u8ConfigDescriptorEX; +//extern BOOLEAN bUsbEP0HaltSt; +Action eUsbCxFinishAction; +CommandType eUsbCxCommand; +BOOLEAN UsbChirpFinish; +uint16_t u8UsbConfigValue; +uint16_t u8UsbInterfaceValue; +uint16_t u8UsbInterfaceAlternateSetting; +uint16_t u16FirmwareComplete; + +uint16_t *UsbDeviceDescriptor; +uint16_t *String00Descriptor; +uint16_t *String10Descriptor; +uint16_t *String20Descriptor; +uint16_t *String30Descriptor; +uint16_t *u8DeviceQualifierDescriptorEX; +uint16_t *u8OtherSpeedConfigDescriptorEX; +uint16_t *u8UsbDeviceDescriptor; +uint16_t *u8String00Descriptor; +uint16_t *u8String10Descriptor; +uint16_t *u8String20Descriptor; +uint16_t *u8String30Descriptor; +#endif +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_fifo.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_fifo.c new file mode 100755 index 0000000..4b77cc4 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_fifo.c @@ -0,0 +1,435 @@ +#include "usb_defs.h" +#include "usb_type.h" +#include "usb_pre.h" +#include "usb_extr.h" +#include "usb_std.h" +#include "dt_defs.h" +#include "sys_cfg.h" + +#include "athos_api.h" + +#if SYSTEM_MODULE_USB + +extern uint16_t u8UsbConfigValue; +extern uint16_t u8UsbInterfaceValue; +extern uint16_t u8UsbInterfaceAlternateSetting; + +#if 1 + +void mUsbEPMap(uint8_t EPn, uint8_t MAP) +{ + //uint8_t *reg = (uint8_t*) (ZM_FUSB_BASE+0x30+(EPn-1)); + //*reg = MAP; + uint8_t reg = (0x30+(EPn-1)); + +// A_PRINTF("=>mUsbEPMap: write: %02x to %02x (0x%08x)\n\r", MAP , reg, USB_WORD_REG_READ(reg)); + USB_BYTE_REG_WRITE(reg, MAP ); + +// A_PRINTF("<=mUsbEPMap: write: %02x to %02x (0x%08x)\n\r", MAP , reg, USB_WORD_REG_READ(reg)); + + //zfUartSendStr("mUsbEPMap\r\n"); + //zfUartSendStrAndHex("EPn=", EPn); + //zfUartSendStrAndHex("MAP=", MAP); +} + +void mUsbFIFOMap(uint8_t FIFOn, uint8_t MAP) +{ + //uint8_t *reg = (uint8_t*) (ZM_FUSB_BASE+0x80+FIFOn); + //*reg = MAP; + + uint8_t reg = (0x80+FIFOn); + + reg = reg; + +// A_PRINTF("=>mUsbFIFOMap: write: %02x to %02x (0x%08x)\n\r", MAP , reg, USB_WORD_REG_READ(reg)); + USB_BYTE_REG_WRITE( reg, MAP ); + +// A_PRINTF("<=mUsbFIFOMap: write: %02x to %02x (0x%08x)\n\r", MAP , reg, USB_WORD_REG_READ(reg)); + //zfUartSendStr("mUsbFIFOMap\r\n"); + //zfUartSendStrAndHex("FIFOn=", FIFOn); + //zfUartSendStrAndHex("MAP=", MAP); +} + +void mUsbFIFOConfig(uint8_t FIFOn, uint8_t cfg) +{ + //uint8_t *reg = (uint8_t*) (ZM_FUSB_BASE+0x90+FIFOn); + //*reg = cfg; + uint8_t reg = (0x90+FIFOn); + +// A_PRINTF("=>mUsbFIFOConfig: write: %02x to %02x (0x%08x)\n\r", cfg , reg, USB_WORD_REG_READ(reg)); + USB_BYTE_REG_WRITE( reg, cfg ); + +// A_PRINTF("<=mUsbFIFOConfig: write: %02x to %02x (0x%08x)\n\r", cfg , reg, USB_WORD_REG_READ(reg)); + //zfUartSendStr((uint8_t *)"mUsbFIFOConfig\r\n"); + //zfUartSendStrAndHex((uint8_t *)"FIFOn=", FIFOn); + //zfUartSendStrAndHex((uint8_t *)"cfg=", cfg); +} + +void mUsbEPMxPtSzHigh(uint8_t EPn, uint8_t dir, uint16_t size) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(((dir) * 0x20)+EPn << 1)); + //*reg = (size >> 8) & 0xf; + uint8_t reg = (ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(((dir) * 0x20)+(EPn << 1))); + +// A_PRINTF("=>mUsbEPMxPtSzHigh: write: %02x to %02x (0x%08x)\n\r", ((size >> 8) & 0xff), reg, USB_WORD_REG_READ(reg)); + USB_BYTE_REG_WRITE(reg, ((size >> 8) & 0xff)); + +// A_PRINTF("<=mUsbEPMxPtSzHigh: write: %02x to %02x (0x%08x)\n\r", ((size >> 8) & 0xff), reg, USB_WORD_REG_READ(reg)); + //USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(((dir) * 0x20)+EPn << 1)), ((size >> 8) & 0xf)); +} + +void mUsbEPMxPtSzLow(uint8_t EPn, uint8_t dir, uint16_t size) +{ + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_IN_MAX_SIZE_LOW_OFFSET+(((dir) * 0x20)+EPn << 1)); + //*reg = (size & 0xff); + + uint8_t reg = (ZM_EP_IN_MAX_SIZE_LOW_OFFSET+(((dir) * 0x20)+(EPn << 1))); +// A_PRINTF("=>mUsbEPMxPtSzLow: write: %02x to %02x (0x%08x)\n\r", (size & 0xff), reg, USB_WORD_REG_READ(reg)); + USB_BYTE_REG_WRITE(reg, (size & 0xff)); + +// A_PRINTF("<=mUsbEPMxPtSzLow: write: %02x to %02x (0x%08x)\n\r", (size & 0xff), reg, USB_WORD_REG_READ(reg)); +} + +void mUsbEPinHighBandSet(uint8_t EPn, uint8_t dir, uint16_t size) +{ +#if 1 + //uint8_t* reg = (uint8_t*) (ZM_FUSB_BASE+ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(EPn << 1)); + + //*reg &= ~(BIT6 | BIT5); + //*reg |= (((uint8_t)((size) >> 11) + 1) << 5) * (1 - (dir)); + + uint8_t reg = (ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(EPn << 1)); +// uint8_t reg2 = (((uint8_t)((size) >> 11) + 1) << 5) * (1 - (dir)); + uint8_t reg2 = (((uint8_t)((size) >> 11) + 1) << 5) * (1 - (dir)); + +// A_PRINTF("=>mUsbEPinHighBandSet(%d)(size:%d)(dir:%d): write: %02x to %02x (0x%08x)\n\r", EPn, size, dir, (USB_BYTE_REG_READ(reg)|reg2), reg, USB_WORD_REG_READ(reg)); + USB_BYTE_REG_WRITE(reg, (USB_BYTE_REG_READ(reg)&~(BIT6 | BIT5))); + USB_BYTE_REG_WRITE(reg, (USB_BYTE_REG_READ(reg)|reg2)); + +// A_PRINTF("=>mUsbEPinHighBandSet(%d)(size:%d)(dir:%d): write: %02x to %02x (0x%08x)\n\r", EPn, size, dir, (USB_BYTE_REG_READ(reg)|reg2), reg, USB_WORD_REG_READ(reg)); +#endif +} + +#else + +#define mUsbEPMap( EPn, MAP) USB_BYTE_REG_WRITE( (0x30+(EPn-1)), MAP ) + +#define mUsbFIFOMap( FIFOn, MAP) USB_BYTE_REG_WRITE( (0x80+FIFOn), MAP ) + +#define mUsbFIFOConfig(FIFOn, cfg) USB_BYTE_REG_WRITE( (0x90+FIFOn), cfg ) + +#define mUsbEPMxPtSzHigh(EPn, dir, size) USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(((dir) * 0x20)+EPn << 1)), ((size >> 8) & 0xf)) + +#define mUsbEPMxPtSzLow(EPn, dir, size) USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_LOW_OFFSET+(((dir) * 0x20)+EPn << 1)), (size & 0xff)) + +#define mUsbEPinHighBandSet( EPn, dir, size) \ +{ \ + USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(EPn << 1)), \ + (USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(EPn << 1))&(~(BIT6 | BIT5))))); \ + USB_BYTE_REG_WRITE((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(EPn << 1)), \ + (USB_BYTE_REG_READ((ZM_EP_IN_MAX_SIZE_HIGH_OFFSET+(EPn << 1)|((uint8_t)((size) >> 11) + 1) << 5) * (1 - (dir))))); \ +} + +#endif + +///////////////////////////////////////////////////// +// vUsbFIFO_EPxCfg_HS(void) +// Description: +// 1. Configure the FIFO and EPx map +// input: none +// output: none +///////////////////////////////////////////////////// +void vUsbFIFO_EPxCfg_HS(void) +{ + + int i; + + switch (u8UsbConfigValue) + { + #if (HS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + case 0X01: + switch (u8UsbInterfaceValue) + { + #if (HS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + case 0: + switch (u8UsbInterfaceAlternateSetting) + { + #if (HS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + case 0: + #if (HS_C1_I0_A0_EP_NUMBER >= 1) + //EP0X01 + mUsbEPMap(EP1, HS_C1_I0_A0_EP1_MAP); + mUsbFIFOMap(HS_C1_I0_A0_EP1_FIFO_START, HS_C1_I0_A0_EP1_FIFO_MAP); + + mUsbFIFOMap(HS_C1_I0_A0_EP1_FIFO_START+1, HS_C1_I0_A0_EP1_FIFO_MAP); //ryan + + mUsbFIFOConfig(HS_C1_I0_A0_EP1_FIFO_START, HS_C1_I0_A0_EP1_FIFO_CONFIG); + + for(i = HS_C1_I0_A0_EP1_FIFO_START + 1 ; + i < HS_C1_I0_A0_EP1_FIFO_START + HS_C1_I0_A0_EP1_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (HS_C1_I0_A0_EP1_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP1, HS_C1_I0_A0_EP1_DIRECTION, (HS_C1_I0_A0_EP1_MAX_PACKET & 0x7ff) ); + mUsbEPMxPtSzLow(EP1, HS_C1_I0_A0_EP1_DIRECTION, (HS_C1_I0_A0_EP1_MAX_PACKET & 0x7ff) ); + mUsbEPinHighBandSet(EP1 , HS_C1_I0_A0_EP1_DIRECTION , HS_C1_I0_A0_EP1_MAX_PACKET); + + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 2) + //EP0X02 + mUsbEPMap(EP2, HS_C1_I0_A0_EP2_MAP); + mUsbFIFOMap(HS_C1_I0_A0_EP2_FIFO_START, HS_C1_I0_A0_EP2_FIFO_MAP); + + mUsbFIFOMap(HS_C1_I0_A0_EP2_FIFO_START+1, HS_C1_I0_A0_EP2_FIFO_MAP);//ryan + + mUsbFIFOConfig(HS_C1_I0_A0_EP2_FIFO_START, HS_C1_I0_A0_EP2_FIFO_CONFIG); + + for(i = HS_C1_I0_A0_EP2_FIFO_START + 1 ; + i < HS_C1_I0_A0_EP2_FIFO_START + HS_C1_I0_A0_EP2_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (HS_C1_I0_A0_EP2_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP2, HS_C1_I0_A0_EP2_DIRECTION, (HS_C1_I0_A0_EP2_MAX_PACKET & 0x7ff) ); + mUsbEPMxPtSzLow(EP2, HS_C1_I0_A0_EP2_DIRECTION, (HS_C1_I0_A0_EP2_MAX_PACKET & 0x7ff) ); + mUsbEPinHighBandSet(EP2 , HS_C1_I0_A0_EP2_DIRECTION , HS_C1_I0_A0_EP2_MAX_PACKET); + + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 3) + //EP0X03 + mUsbEPMap(EP3, HS_C1_I0_A0_EP3_MAP); + mUsbFIFOMap(HS_C1_I0_A0_EP3_FIFO_START, HS_C1_I0_A0_EP3_FIFO_MAP); + mUsbFIFOConfig(HS_C1_I0_A0_EP3_FIFO_START, HS_C1_I0_A0_EP3_FIFO_CONFIG); + + for(i = HS_C1_I0_A0_EP3_FIFO_START + 1 ; + i < HS_C1_I0_A0_EP3_FIFO_START + HS_C1_I0_A0_EP3_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (HS_C1_I0_A0_EP3_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP3, HS_C1_I0_A0_EP3_DIRECTION, (HS_C1_I0_A0_EP3_MAX_PACKET & 0x7ff) ); + mUsbEPMxPtSzLow(EP3, HS_C1_I0_A0_EP3_DIRECTION, (HS_C1_I0_A0_EP3_MAX_PACKET & 0x7ff) ); + mUsbEPinHighBandSet(EP3 , HS_C1_I0_A0_EP3_DIRECTION , HS_C1_I0_A0_EP3_MAX_PACKET); + + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + //EP0X04 + mUsbEPMap(EP4, HS_C1_I0_A0_EP4_MAP); + mUsbFIFOMap(HS_C1_I0_A0_EP4_FIFO_START, HS_C1_I0_A0_EP4_FIFO_MAP); + mUsbFIFOConfig(HS_C1_I0_A0_EP4_FIFO_START, HS_C1_I0_A0_EP4_FIFO_CONFIG); + + for(i = HS_C1_I0_A0_EP4_FIFO_START + 1 ; + i < HS_C1_I0_A0_EP4_FIFO_START + HS_C1_I0_A0_EP4_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (HS_C1_I0_A0_EP4_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP4, HS_C1_I0_A0_EP4_DIRECTION, (HS_C1_I0_A0_EP4_MAX_PACKET & 0x7ff) ); + mUsbEPMxPtSzLow(EP4, HS_C1_I0_A0_EP4_DIRECTION, (HS_C1_I0_A0_EP4_MAX_PACKET & 0x7ff) ); + mUsbEPinHighBandSet(EP4 , HS_C1_I0_A0_EP4_DIRECTION , HS_C1_I0_A0_EP4_MAX_PACKET); + + #endif +////////////////////////////////////////////////////////////// +#if SYSTEM_MODULE_HP_EP5 + #if (HS_C1_I0_A0_EP_NUMBER >= 5) + //EP0X05 + mUsbEPMap(EP5, HS_C1_I0_A0_EP5_MAP); + mUsbFIFOMap(HS_C1_I0_A0_EP5_FIFO_START, HS_C1_I0_A0_EP5_FIFO_MAP); + + mUsbFIFOMap(HS_C1_I0_A0_EP5_FIFO_START+1, HS_C1_I0_A0_EP5_FIFO_MAP); //ryan + + mUsbFIFOConfig(HS_C1_I0_A0_EP5_FIFO_START, HS_C1_I0_A0_EP5_FIFO_CONFIG); + + for(i = HS_C1_I0_A0_EP5_FIFO_START + 1 ; + i < HS_C1_I0_A0_EP5_FIFO_START + HS_C1_I0_A0_EP5_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (HS_C1_I0_A0_EP5_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP5, HS_C1_I0_A0_EP5_DIRECTION, (HS_C1_I0_A0_EP5_MAX_PACKET & 0x7ff) ); + mUsbEPMxPtSzLow(EP5, HS_C1_I0_A0_EP5_DIRECTION, (HS_C1_I0_A0_EP5_MAX_PACKET & 0x7ff) ); + mUsbEPinHighBandSet(EP5 , HS_C1_I0_A0_EP5_DIRECTION , HS_C1_I0_A0_EP5_MAX_PACKET); + #endif +#endif //SYSTEM_MODULE_HP_EP5 + +////////////////////////////////////////////////////////////// +#if SYSTEM_MODULE_HP_EP6 + #if (HS_C1_I0_A0_EP_NUMBER >= 6) + //EP0X06 + mUsbEPMap(EP6, HS_C1_I0_A0_EP6_MAP); + mUsbFIFOMap(HS_C1_I0_A0_EP6_FIFO_START, HS_C1_I0_A0_EP6_FIFO_MAP); + + mUsbFIFOMap(HS_C1_I0_A0_EP6_FIFO_START+1, HS_C1_I0_A0_EP6_FIFO_MAP); //ryan + + mUsbFIFOConfig(HS_C1_I0_A0_EP6_FIFO_START, HS_C1_I0_A0_EP6_FIFO_CONFIG); + + for(i = HS_C1_I0_A0_EP6_FIFO_START + 1 ; + i < HS_C1_I0_A0_EP6_FIFO_START + HS_C1_I0_A0_EP6_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (HS_C1_I0_A0_EP6_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP6, HS_C1_I0_A0_EP6_DIRECTION, (HS_C1_I0_A0_EP6_MAX_PACKET & 0x7ff) ); + mUsbEPMxPtSzLow(EP6, HS_C1_I0_A0_EP6_DIRECTION, (HS_C1_I0_A0_EP6_MAX_PACKET & 0x7ff) ); + mUsbEPinHighBandSet(EP6 , HS_C1_I0_A0_EP6_DIRECTION , HS_C1_I0_A0_EP6_MAX_PACKET); + #endif + break; +#endif //SYSTEM_MODULE_HP_EP6 + + #endif + default: + break; + } + break; + #endif + default: + break; + } + break; + #endif + default: + break; + } + //mCHECK_STACK(); + +} + +void vUsbFIFO_EPxCfg_FS(void) +{ + +int i; + + switch (u8UsbConfigValue) + { + #if (FS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + case 0X01: + switch (u8UsbInterfaceValue) + { + #if (FS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + case 0: + switch (u8UsbInterfaceAlternateSetting) + { + #if (FS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + case 0: + #if (FS_C1_I0_A0_EP_NUMBER >= 1) + //EP0X01 + mUsbEPMap(EP1, FS_C1_I0_A0_EP1_MAP); + mUsbFIFOMap(FS_C1_I0_A0_EP1_FIFO_START, FS_C1_I0_A0_EP1_FIFO_MAP); + mUsbFIFOConfig(FS_C1_I0_A0_EP1_FIFO_START, FS_C1_I0_A0_EP1_FIFO_CONFIG); + + for(i = FS_C1_I0_A0_EP1_FIFO_START + 1 ; + i < FS_C1_I0_A0_EP1_FIFO_START + FS_C1_I0_A0_EP1_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (FS_C1_I0_A0_EP1_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP1, FS_C1_I0_A0_EP1_DIRECTION, (FS_C1_I0_A0_EP1_MAX_PACKET & 0x7ff)); + mUsbEPMxPtSzLow(EP1, FS_C1_I0_A0_EP1_DIRECTION, (FS_C1_I0_A0_EP1_MAX_PACKET & 0x7ff)); + //``.JWEI 2003/04/29 + mUsbEPinHighBandSet(EP1 , FS_C1_I0_A0_EP1_DIRECTION, FS_C1_I0_A0_EP1_MAX_PACKET); + + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 2) + //EP0X02 + mUsbEPMap(EP2, FS_C1_I0_A0_EP2_MAP); + mUsbFIFOMap(FS_C1_I0_A0_EP2_FIFO_START, FS_C1_I0_A0_EP2_FIFO_MAP); + mUsbFIFOConfig(FS_C1_I0_A0_EP2_FIFO_START, FS_C1_I0_A0_EP2_FIFO_CONFIG); + + for(i = FS_C1_I0_A0_EP2_FIFO_START + 1 ; + i < FS_C1_I0_A0_EP2_FIFO_START + FS_C1_I0_A0_EP2_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (FS_C1_I0_A0_EP2_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP2, FS_C1_I0_A0_EP2_DIRECTION, (FS_C1_I0_A0_EP2_MAX_PACKET & 0x7ff)); + mUsbEPMxPtSzLow(EP2, FS_C1_I0_A0_EP2_DIRECTION, (FS_C1_I0_A0_EP2_MAX_PACKET & 0x7ff)); + mUsbEPinHighBandSet(EP2 , FS_C1_I0_A0_EP2_DIRECTION, FS_C1_I0_A0_EP2_MAX_PACKET); + + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 3) + //EP0X03 + mUsbEPMap(EP3, FS_C1_I0_A0_EP3_MAP); + mUsbFIFOMap(FS_C1_I0_A0_EP3_FIFO_START, FS_C1_I0_A0_EP3_FIFO_MAP); + mUsbFIFOConfig(FS_C1_I0_A0_EP3_FIFO_START, FS_C1_I0_A0_EP3_FIFO_CONFIG); + + for(i = FS_C1_I0_A0_EP3_FIFO_START + 1 ; + i < FS_C1_I0_A0_EP3_FIFO_START + FS_C1_I0_A0_EP3_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (FS_C1_I0_A0_EP3_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP3, FS_C1_I0_A0_EP3_DIRECTION, (FS_C1_I0_A0_EP3_MAX_PACKET & 0x7ff)); + mUsbEPMxPtSzLow(EP3, FS_C1_I0_A0_EP3_DIRECTION, (FS_C1_I0_A0_EP3_MAX_PACKET & 0x7ff)); + mUsbEPinHighBandSet(EP3 , FS_C1_I0_A0_EP3_DIRECTION, FS_C1_I0_A0_EP3_MAX_PACKET); + + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + //EP0X04 + mUsbEPMap(EP4, FS_C1_I0_A0_EP4_MAP); + mUsbFIFOMap(FS_C1_I0_A0_EP4_FIFO_START, FS_C1_I0_A0_EP4_FIFO_MAP); + mUsbFIFOConfig(FS_C1_I0_A0_EP4_FIFO_START, FS_C1_I0_A0_EP4_FIFO_CONFIG); + + for(i = FS_C1_I0_A0_EP4_FIFO_START + 1 ; + i < FS_C1_I0_A0_EP4_FIFO_START + FS_C1_I0_A0_EP4_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (FS_C1_I0_A0_EP4_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP4, FS_C1_I0_A0_EP4_DIRECTION, (FS_C1_I0_A0_EP4_MAX_PACKET & 0x7ff)); + mUsbEPMxPtSzLow(EP4, FS_C1_I0_A0_EP4_DIRECTION, (FS_C1_I0_A0_EP4_MAX_PACKET & 0x7ff)); + mUsbEPinHighBandSet(EP4 , FS_C1_I0_A0_EP4_DIRECTION, FS_C1_I0_A0_EP4_MAX_PACKET); + + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 5) + //EP0X05 + mUsbEPMap(EP5, FS_C1_I0_A0_EP5_MAP); + mUsbFIFOMap(FS_C1_I0_A0_EP5_FIFO_START, FS_C1_I0_A0_EP5_FIFO_MAP); + mUsbFIFOConfig(FS_C1_I0_A0_EP5_FIFO_START, FS_C1_I0_A0_EP5_FIFO_CONFIG); + + for(i = FS_C1_I0_A0_EP5_FIFO_START + 1 ; + i < FS_C1_I0_A0_EP5_FIFO_START + FS_C1_I0_A0_EP5_FIFO_NO ; + i ++) + { + mUsbFIFOConfig(i, (FS_C1_I0_A0_EP5_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP5, FS_C1_I0_A0_EP5_DIRECTION, (FS_C1_I0_A0_EP5_MAX_PACKET & 0x7ff)); + mUsbEPMxPtSzLow(EP5, FS_C1_I0_A0_EP5_DIRECTION, (FS_C1_I0_A0_EP5_MAX_PACKET & 0x7ff)); + mUsbEPinHighBandSet(EP5 , FS_C1_I0_A0_EP5_DIRECTION, FS_C1_I0_A0_EP5_MAX_PACKET); + #endif + break; + #endif + default: + break; + } + break; + #endif + default: + break; + } + break; + #endif + default: + break; + } + //mCHECK_STACK(); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_pre.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_pre.h new file mode 100755 index 0000000..b63e3a9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_pre.h @@ -0,0 +1,99 @@ +#ifndef __USB_USER_PRE_H +#define __USB_USER_PRE_H + +#define FUSB200_MAX_EP 10 // 1..10 +#define FUSB200_MAX_FIFO 10 // 0.. 9 +#define EP0MAXPACKETSIZE 0x40 +// #define EP0FIFOSIZE 64 // EP0_FIFO +//JWEI 2003/04/29 +//#define EP0MAXPACKETSIZE 0x08 + +// Max. Packet Size define +#define MX_PA_SZ_8 8 +#define MX_PA_SZ_16 16 +#define MX_PA_SZ_32 32 +#define MX_PA_SZ_64 64 +#define MX_PA_SZ_128 128 +#define MX_PA_SZ_256 256 +#define MX_PA_SZ_512 512 +#define MX_PA_SZ_1024 1024 + +#define MASK_F0 0xF0 + +// Block Size define +#define BLK512BYTE 1 +#define BLK1024BYTE 2 + +#define BLK64BYTE 1 +#define BLK128BYTE 2 + +// Block toggle number define +#define SINGLE_BLK 1 +#define DOUBLE_BLK 2 +#define TRIBLE_BLK 3 + +// Endpoint transfer type +#define TF_TYPE_ISOCHRONOUS 1 +#define TF_TYPE_BULK 2 +#define TF_TYPE_INTERRUPT 3 + +// Endpoint or FIFO direction define +#define DIRECTION_IN 0 +#define DIRECTION_OUT 1 + +// FIFO number define +#define FIFO0 0x0 +#define FIFO1 0x1 +#define FIFO2 0x2 +#define FIFO3 0x3 +#define FIFO4 0x4 +#define FIFO5 0x5 +#define FIFO6 0x6 +#define FIFO7 0x7 +#define FIFO8 0x8 +#define FIFO9 0x9 +#define FIFO10 10 +#define FIFO11 11 +#define FIFO12 12 +#define FIFO13 13 +#define FIFO14 14 +#define FIFO15 15 + +// Descriptor Table uses the following parameters : fixed +#define DEVICE_LENGTH 0x12 +#define CONFIG_LENGTH 0x09 +#define INTERFACE_LENGTH 0x09 +#define EP_LENGTH 0x07 +#define DEVICE_QUALIFIER_LENGTH 0x0A + +//JWEI 2003/04/29 +// Endpoint number define +#define EP0 0 +#define EP1 1 +#define EP2 2 +#define EP3 3 +#define EP4 4 +#define EP5 5 +#define EP6 6 +#define EP7 7 +#define EP8 8 +#define EP9 9 +#define EP10 10 +#define EP11 11 +#define EP12 12 +#define EP13 13 +#define EP14 14 +#define EP15 15 + +#define STRING_00_LENGTH 0x04 +#define STRING_10_LENGTH 0x0c +#define STRING_20_LENGTH 0x18 +#define STRING_30_LENGTH 0x18 +#define STRING_40_LENGTH 0x04 +#define STRING_50_LENGTH 0x04 +#define STRING_60_LENGTH 0x04 +#define STRING_70_LENGTH 0x04 +#define STRING_80_LENGTH 0x04 +#define STRING_90_LENGTH 0x00 + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_std.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_std.h new file mode 100755 index 0000000..7272eaf --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_std.h @@ -0,0 +1,106 @@ +#ifndef USB_STD_H +#define USB_STD_H + +#define mDEV_REQ_REQ_DIR() (ControlCmd.Direction) +#define mDEV_REQ_REQ_TYPE() (ControlCmd.Type) +#define mDEV_REQ_REQ_RECI() (ControlCmd.Object) +#define mDEV_REQ_REQ() (ControlCmd.Request) +#define mDEV_REQ_VALUE() (ControlCmd.Value) +#define mDEV_REQ_VALUE_LOW() (mLOW_BYTE(mDEV_REQ_VALUE())) +#define mDEV_REQ_VALUE_HIGH() (mHIGH_BYTE(mDEV_REQ_VALUE())) +#define mDEV_REQ_INDEX() (ControlCmd.Index) +#define mDEV_REQ_LENGTH() (ControlCmd.Length) + +/******************** USB Protocol Definition *************************/ +/* Standard Request Code (Table 9-4) */ +#define USB_GET_STATUS 0 +#define USB_CLEAR_FEATURE 1 +#define USB_SET_FEATURE 3 +#define USB_SET_ADDRESS 5 +#define USB_GET_DESCRIPTOR 6 +#define USB_SET_DESCRIPTOR 7 +#define USB_GET_CONFIGURATION 8 +#define USB_SET_CONFIGURATION 9 +#define USB_GET_INTERFACE 10 +#define USB_SET_INTERFACE 11 +#define USB_SYNCH_FRAME 12 + +/* Descriptor Type (Table 9-5) */ +#define USB_DESC_TYPE_DEVICE 1 +#define USB_DESC_TYPE_CONFIG 2 +#define USB_DESC_TYPE_STRING 3 +#define USB_DESC_TYPE_INTERFACE 4 +#define USB_DESC_TYPE_ENDPOINT 5 + +/* Endpoint Attribute (Table 9-10) */ +#define USB_EP_ATTR_CTRL 0 +#define USB_EP_ATTR_ISOCH 1 +#define USB_EP_ATTR_BULK 2 +#define USB_EP_ATTR_INTRPT 3 + +/*********************** for USB 2.0 **********************************/ +// Table 9-5. Descriptor Types +#define DT_DEVICE 1 +#define DT_CONFIGURATION 2 +#define DT_STRING 3 +#define DT_INTERFACE 4 +#define DT_ENDPOINT 5 +#define DT_DEVICE_QUALIFIER 6 +#define DT_OTHER_SPEED_CONFIGURATION 7 +#define DT_INTERFACE_POWER 8 + +/**********************************************************************/ +// Values for bmAttributes Field in USB_CONFIGURATION_DESCRIPTOR +#define USB_BUS_POWERED 0x80 +#define USB_SELF_POWERED 0x40 +#define USB_REMOTE_WAKEUP 0x20 + +#define cUSB_REQTYPE_DIR_POS 7 +#define cUSB_REQTYPE_DIR_LEN 1 +#define cUSB_REQTYPE_TYPE_POS 5 +#define cUSB_REQTYPE_TYPE_LEN 2 +#define cUSB_REQTYPE_RX_POS 0 +#define cUSB_REQTYPE_RX_LEN 5 + +/* for USB State */ +#define cUSB_DEFAULT_STATE 0 +#define cUSB_ADDRESS_STATE 1 +#define cUSB_CONFIG_STATE 2 + +/* for Data transfer direction */ +#define bmUSB_HOST_DIR 7 /* Bit 7 */ +#define cUSB_DIR_HOST_OUT 0 +#define cUSB_DIR_HOST_IN 1 + +/* for Type */ +#define cUSB_REQTYPE_STD 0 +#define cUSB_REQTYPE_CLASS 1 +#define cUSB_REQTYPE_VENDOR 2 + +/* for Recipient */ +#define cUSB_REQTYPE_DEVICE 0 +#define cUSB_REQTYPE_INTERFACE 1 +#define cUSB_REQTYPE_ENDPOINT 2 +#define cUSB_REQTYPE_OTHER 3 + +/* for Descriptor Type */ +#define cUSB_DESTYPE_DEVICE 1 +#define cUSB_DESTYPE_CONFIG 2 +#define cUSB_DESTYPE_STRING 3 +#define cUSB_DESTYPE_INTERFACE 4 +#define cUSB_DESTYPE_ENDPOINT 5 +#define cUSB_DESTYPE_END cUSB_DESTYPE_ENDPOINT // for range check + +/* for Feature selector */ +#define cUSB_FEATSEL_RMWAKEUP 0 +#define cUSB_FEATSEL_EPHAL 1 +#define cUSB_FEATSEL_END cUSB_FEATSEL_EPHAL // for range check + +#define bmREQ_RECI 0 +#define bmwREQ_RECI 5 // mMASKS(bmwREQ_RECI, bmREQ_RECI) +#define bmREQ_TYPE 5 +#define bmwREQ_TYPE 2 // mMASKS(bmwREQ_TYPE, bmREQ_TYPE) +#define bmREQ_DIR 7 +#define bmwREQ_DIR 1 + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_table.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_table.c new file mode 100755 index 0000000..b8388a5 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_table.c @@ -0,0 +1,244 @@ +#include "usb_type.h" +#include "usb_table.h" +#include "sys_cfg.h" + +#if SYSTEM_MODULE_USB + +//#if defined(_ROM_) +//const uint16_t UsbDeviceDescriptor[] __attribute__ ((section(".dport0.usb_in_rom"))) = +//#else +uint16_t UsbDeviceDescriptor[] = +//#endif +{ + m2BYTE(USB_DEVICE_DESC_LEN, USB_DEVICE_DESC_TYPE), + USB_SPEC_VERSION, + m2BYTE(USB_DEVICE_CLASS, USB_DEVICE_SUB_CLASS), + m2BYTE(USB_DEVICE_PROTOCOL, USB_MAX_PKT_SIZE), + USB_VENDOR_ID, + USB_PRODUCT_ID, + USB_DEVICE_BCD, + m2BYTE(USB_MANUFACTURER_INDEX, USB_PRODUCT_INDEX), + m2BYTE(USB_SERIAL_INDEX, USB_CONFIGURATION_NUM) +}; + +//#if defined(_ROM_) +//const uint16_t u8HSConfigDescriptor01[] __attribute__ ((section(".dport0.usb_in_rom"))) = +//#else +uint16_t u8HSConfigDescriptor01[] = +//#endif +{ + m2BYTE(USB_CONFIG_DESC_LEN, USB_CONFIG_DESC_TYPE), + USB_TOTAL_DESC_LEN, + m2BYTE(USB_INTERFACE_NUM, USB_CONFIG_NUM), + m2BYTE(USB_STRING_INDEX, USB_ATTRIBUTE), + m2BYTE(USB_MAX_POWER, USB_INTERFACE_DESC_LEN), + m2BYTE(USB_INTERFACE_DESC_TYPE, USB_INTERFACE_INDEX_NUM), + m2BYTE(USB_INTERFACE_ALT_SETTING, USB_INTERFACE_EP_NUM), + m2BYTE(USB_INTERFACE_CLASS, USB_INTERFACE_SUB_CLASS), + m2BYTE(USB_INTERFACE_PROTOCOL, USB_INTERFACE_STRING_INDEX), + m2BYTE(USB_EP_DESC_LEN, USB_EP_DESC_TYPE), // EP 1 + m2BYTE(USB_HS_EP1_ADDRESS, USB_HS_EP1_ATTRIBUTE), + USB_HS_EP1_MAX_PACKET_SIZE, + m2BYTE(USB_HS_EP1_INTERVAL, USB_EP_DESC_LEN), // EP 2 + m2BYTE(USB_EP_DESC_TYPE, USB_HS_EP2_ADDRESS), + m2BYTE(USB_HS_EP2_ATTRIBUTE, USB_HS_EP2_MAX_PACKET_SIZE), + m2BYTE(mHIGH_BYTE(USB_HS_EP2_MAX_PACKET_SIZE), USB_HS_EP2_INTERVAL), + m2BYTE(USB_EP_DESC_LEN, USB_EP_DESC_TYPE), // EP 3 + m2BYTE(USB_HS_EP3_ADDRESS, USB_HS_EP3_ATTRIBUTE), + USB_HS_EP3_MAX_PACKET_SIZE, + m2BYTE(USB_HS_EP3_INTERVAL, USB_EP_DESC_LEN), // EP 4 + m2BYTE(USB_EP_DESC_TYPE, USB_HS_EP4_ADDRESS), + m2BYTE(USB_HS_EP4_ATTRIBUTE, USB_HS_EP4_MAX_PACKET_SIZE), + m2BYTE(mHIGH_BYTE(USB_HS_EP4_MAX_PACKET_SIZE), USB_HS_EP4_INTERVAL), + m2BYTE(USB_EP_DESC_LEN, USB_EP_DESC_TYPE), // EP 5 + m2BYTE(USB_HS_EP5_ADDRESS, USB_HS_EP5_ATTRIBUTE), + USB_HS_EP5_MAX_PACKET_SIZE, + m2BYTE(USB_HS_EP5_INTERVAL, USB_EP_DESC_LEN), // EP 6 + m2BYTE(USB_EP_DESC_TYPE, USB_HS_EP6_ADDRESS), + m2BYTE(USB_HS_EP6_ATTRIBUTE, USB_HS_EP6_MAX_PACKET_SIZE), + m2BYTE(mHIGH_BYTE(USB_HS_EP6_MAX_PACKET_SIZE), USB_HS_EP6_INTERVAL) +}; + + +//#if defined(_ROM_) +//const uint16_t u8FSConfigDescriptor01[] __attribute__ ((section(".dport0.usb_in_rom"))) = +//#else +uint16_t u8FSConfigDescriptor01[] = +//#endif +{ + m2BYTE(USB_CONFIG_DESC_LEN, USB_CONFIG_DESC_TYPE), + USB_TOTAL_DESC_LEN, + m2BYTE(USB_INTERFACE_NUM, USB_CONFIG_NUM), + m2BYTE(USB_STRING_INDEX, USB_ATTRIBUTE), + m2BYTE(USB_MAX_POWER, USB_INTERFACE_DESC_LEN), + m2BYTE(USB_INTERFACE_DESC_TYPE, USB_INTERFACE_INDEX_NUM), + m2BYTE(USB_INTERFACE_ALT_SETTING, USB_INTERFACE_EP_NUM), + m2BYTE(USB_INTERFACE_CLASS, USB_INTERFACE_SUB_CLASS), + m2BYTE(USB_INTERFACE_PROTOCOL, USB_INTERFACE_STRING_INDEX), + m2BYTE(USB_EP_DESC_LEN, USB_EP_DESC_TYPE), // EP 1 + m2BYTE(USB_FS_EP1_ADDRESS, USB_FS_EP1_ATTRIBUTE), + USB_FS_EP1_MAX_PACKET_SIZE, + m2BYTE(USB_FS_EP1_INTERVAL, USB_EP_DESC_LEN), // EP 2 + m2BYTE(USB_EP_DESC_TYPE, USB_FS_EP2_ADDRESS), + m2BYTE(USB_FS_EP2_ATTRIBUTE, USB_FS_EP2_MAX_PACKET_SIZE), + m2BYTE(mHIGH_BYTE(USB_FS_EP2_MAX_PACKET_SIZE), USB_FS_EP2_INTERVAL), + m2BYTE(USB_EP_DESC_LEN, USB_EP_DESC_TYPE), // EP 3 + m2BYTE(USB_FS_EP3_ADDRESS, USB_FS_EP3_ATTRIBUTE), + USB_FS_EP3_MAX_PACKET_SIZE, + m2BYTE(USB_FS_EP3_INTERVAL, USB_EP_DESC_LEN), // EP 4 + m2BYTE(USB_EP_DESC_TYPE, USB_FS_EP4_ADDRESS), + m2BYTE(USB_FS_EP4_ATTRIBUTE, USB_FS_EP4_MAX_PACKET_SIZE), + m2BYTE(mHIGH_BYTE(USB_FS_EP4_MAX_PACKET_SIZE), USB_FS_EP4_INTERVAL), + m2BYTE(USB_EP_DESC_LEN, USB_EP_DESC_TYPE), // EP 5 + m2BYTE(USB_FS_EP5_ADDRESS, USB_FS_EP5_ATTRIBUTE), + USB_FS_EP5_MAX_PACKET_SIZE, + m2BYTE(USB_FS_EP5_INTERVAL, USB_EP_DESC_LEN), // EP 6 + m2BYTE(USB_EP_DESC_TYPE, USB_FS_EP6_ADDRESS), + m2BYTE(USB_FS_EP6_ATTRIBUTE, USB_FS_EP6_MAX_PACKET_SIZE), + m2BYTE(mHIGH_BYTE(USB_FS_EP6_MAX_PACKET_SIZE), USB_FS_EP6_INTERVAL) +}; + +uint16_t u8DeviceQualifierDescriptorEX[] = +{ + 0x0000, + 0x0000, + 0x0000, + 0x0000, + 0x0000 +}; + +uint16_t u8OtherSpeedConfigDescriptorEX[] = +{ + 0x0709, // 0 + 0x0000, + 0x0000, + 0x0000, + 0x0000, + 0x0000, // 5 + 0x0000, + 0x0000, + 0x0000, + 0x0000, + 0x0000, // 10 + 0x0000, + 0x0000, + 0x0000, + 0x0000, + 0x0000, // 15 + 0x0000, + 0x0000, + 0x0000, + 0x0000, + 0x0000, // 20 + 0x0000, + 0x0000, + 0x0000, + 0x0000, + 0x0000, // 25 + 0x0000, + 0x0000, + 0x0000, + 0x0000 +}; + +//#if defined(_ROM_) +//const uint16_t String00Descriptor[] __attribute__ ((section(".dport0.usb_in_rom"))) = +//#else +uint16_t String00Descriptor[] = +//#endif +{ + 0x0304, + 0x0409 +}; + +//#if defined(_ROM_) +//const uint16_t String10Descriptor[] __attribute__ ((section(".dport0.usb_in_rom"))) = +//#else +uint16_t String10Descriptor[] = +//#endif +{ + 0x0360, //length + 0x0041, //A + 0x0054, //T + 0x0048, //H + 0x0045, //E + 0x0052, //R + 0x004f, //O + 0x0053, //S + 0x002c, //, + 0x0041, //A + 0x0054, //T + 0x0048, //H + 0x0045, //E + 0x0052, //R + 0x004f, //O + 0x0053, //S + 0x002c, //, + 0x0041, //A + 0x0054, //T + 0x0048, //H + 0x0045, //E + 0x0052, //R + 0x004f, //O + 0x0053, //S + 0x002c, //, + 0x0041, //A + 0x0054, //T + 0x0048, //H + 0x0045, //E + 0x0052, //R + 0x004f, //O + 0x0053, //S + 0x002c, //, + 0x0041, //A + 0x0054, //T + 0x0048, //H + 0x0045, //E + 0x0052, //R + 0x004f, //O + 0x0053, //S + 0x002c, //, + 0x0041, //A + 0x0054, //T + 0x0048, //H + 0x0045, //E + 0x0052, //R + 0x004f, //O + 0x0053, //S +}; + +//#if defined(_ROM_) +//const uint16_t String20Descriptor[] __attribute__ ((section(".dport0.usb_in_rom"))) = +//#else +uint16_t String20Descriptor[] = +//#endif +{ + 0x0318, //length + 0x0055, //'U' + 0x0053, //'S' + 0x0042, //'B' + 0x0032, //'2' + 0x002E, //'.' + 0x0030, //'0' + 0x0020, //' ' + 0x0057, //'W' + 0x004C, //'L' + 0x0041, //'A' + 0x004E, //'N' +}; + +//#if defined(_ROM_) +//const uint16_t String30Descriptor[] __attribute__ ((section(".dport0.usb_in_rom"))) = +//#else +uint16_t String30Descriptor[] = +//#endif +{ + 0x030c, //length + 0x0031, //;'1' + 0x0032, //;'2' + 0x0033, //;'3' + 0x0034, //;'4' + 0x0035, //;'5' +}; + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_table.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_table.h new file mode 100755 index 0000000..31fe7e4 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_table.h @@ -0,0 +1,131 @@ +#ifndef _USB_TABLE_H_ +#define _USB_TABLE_H_ + +// UsbDeviceDescriptor +#define USB_DEVICE_DESC_TYPE 0x01 +#define USB_DEVICE_DESC_LEN 0x12 +#define USB_SPEC_VERSION 0x0200 +#define USB_DEVICE_CLASS 0xFF +#define USB_DEVICE_SUB_CLASS 0xFF +#define USB_DEVICE_PROTOCOL 0xFF +#define USB_MAX_PKT_SIZE 0x40 +#define USB_VENDOR_ID 0x0CF3 +#define USB_PRODUCT_ID 0x7010 +#define USB_DEVICE_BCD BOOTROM_VER +#define USB_MANUFACTURER_INDEX 0x10 +#define USB_PRODUCT_INDEX 0x20 +#define USB_SERIAL_INDEX 0x30 +#define USB_CONFIGURATION_NUM 0x01 +// end UsbDeviceDescriptor + +#define USB_CONFIG_DESC_TYPE 0x02 +#define USB_CONFIG_DESC_LEN 0x09 +//#define USB_TOTAL_DESC_LEN 0x002E // 4 ep +//#define USB_TOTAL_DESC_LEN 0x0035 // 5 ep +#define USB_TOTAL_DESC_LEN 0x003C // 6 ep +#define USB_INTERFACE_NUM 0x01 +#define USB_CONFIG_NUM 0x01 +#define USB_STRING_INDEX 0x00 +#define USB_ATTRIBUTE 0x80 +#define USB_MAX_POWER 0xFA + +#define USB_INTERFACE_DESC_TYPE 0x04 +#define USB_INTERFACE_DESC_LEN 0x09 +#define USB_INTERFACE_INDEX_NUM 0x00 +#define USB_INTERFACE_ALT_SETTING 0x00 +//#define USB_INTERFACE_EP_NUM 0x04 +//#define USB_INTERFACE_EP_NUM 0x05 +#define USB_INTERFACE_EP_NUM 0x06 +#define USB_INTERFACE_CLASS 0xFF +#define USB_INTERFACE_SUB_CLASS 0x00 +#define USB_INTERFACE_PROTOCOL 0x00 +#define USB_INTERFACE_STRING_INDEX 0x00 + +#define USB_EP_DESC_TYPE 0x05 +#define USB_EP_DESC_LEN 0x07 + +/* USB Endpoint attribute */ +#define bUSB_EP1_NUM 0x01 +#define bUSB_EP2_NUM 0x02 +#define bUSB_EP3_NUM 0x03 +#define bUSB_EP4_NUM 0x04 +#define bUSB_EP5_NUM 0x05 +#define bUSB_EP6_NUM 0x06 + +#define bUSB_EP_DIRECTION_IN 0x80 +#define bUSB_EP_DIRECTION_OUT 0x00 + +#define bUSB_EP_TYPE_CONTROL 0x00 +#define bUSB_EP_TYPE_ISOCHRONOUS 0x01 +#define bUSB_EP_TYPE_BULK 0x02 +#define bUSB_EP_TYPE_INTERRUPT 0x03 + +#define bUSB_EP_MAX_PKT_SIZE_64 0x0040 +#define bUSB_EP_MAX_PKT_SIZE_512 0x0200 + +/* High Speed Endpoint */ +#define USB_HS_EP1_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP1_NUM) +#define USB_HS_EP1_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP1_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP1_INTERVAL 0x00 + +#define USB_HS_EP2_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP2_NUM) +#define USB_HS_EP2_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP2_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP2_INTERVAL 0x00 + +#define USB_HS_EP3_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP3_NUM) +#define USB_HS_EP3_ATTRIBUTE bUSB_EP_TYPE_INTERRUPT +#define USB_HS_EP3_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_HS_EP3_INTERVAL 0x01 + +#define USB_HS_EP4_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP4_NUM) +#define USB_HS_EP4_ATTRIBUTE bUSB_EP_TYPE_INTERRUPT //bUSB_EP_TYPE_BULK +#define USB_HS_EP4_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_HS_EP4_INTERVAL 0x01 //0x00 + +#define USB_HS_EP5_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP5_NUM) +#define USB_HS_EP5_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP5_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP5_INTERVAL 0x00 + +#define USB_HS_EP6_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP6_NUM) +#define USB_HS_EP6_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP6_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP6_INTERVAL 0x00 + +/* Full Speed Endpoint */ +#define USB_FS_EP1_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP1_NUM) +#define USB_FS_EP1_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP1_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP1_INTERVAL 0x00 + +#define USB_FS_EP2_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP2_NUM) +#define USB_FS_EP2_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP2_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP2_INTERVAL 0x00 + +#define USB_FS_EP3_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP3_NUM) +#define USB_FS_EP3_ATTRIBUTE bUSB_EP_TYPE_INTERRUPT +#define USB_FS_EP3_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP3_INTERVAL 0x01 + +#define USB_FS_EP4_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP4_NUM) +#define USB_FS_EP4_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP4_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP4_INTERVAL 0x00 + +#define USB_FS_EP5_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP5_NUM) +#define USB_FS_EP5_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP5_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP5_INTERVAL 0x00 + +#define USB_FS_EP6_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP6_NUM) +#define USB_FS_EP6_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP6_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP6_INTERVAL 0x00 + +//#define USB_QUALIFIER_DESC_ADDR 0x8cff00 +//#define USB_OTHER_SPEED_DESC_ADDR 0x8cffA + +#endif // end of _USB_TABLE_H_ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_type.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_type.h new file mode 100755 index 0000000..7e31478 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/hif/usb/src/usb_type.h @@ -0,0 +1,60 @@ +#ifndef USB_TYPE_H +#define USB_TYPE_H + +#include "dt_defs.h" + +/*********************** for Faraday USB controller *******************/ +typedef enum +{ + CMD_VOID, // No command + CMD_GET_DESCRIPTOR, // Get_Descriptor command + CMD_SET_DESCRIPTOR // Set_Descriptor command +} CommandType; + +typedef enum +{ + ACT_IDLE, + ACT_DONE, + ACT_STALL +} Action; + +typedef struct Setup_Packet +{ + uint8_t Direction; /* Data transfer direction: IN, OUT */ + uint8_t Type; /* Request Type: Standard, Class, Vendor */ + uint8_t Object; /* Recipient: Device, Interface, Endpoint,other */ + uint16_t Request; /* Refer to Table 9-3 */ + uint16_t Value; + uint16_t Index; + uint16_t Length; +} SetupPacket; + +#define mBIT(b) (1 << (b)) +#define mMASK(w) (mBIT(w) - 1) + +#define mWORD_IDX(bsize) ((bsize) >> 1) +#define mWORD_SIZE(bsize) (((bsize) + 1) >> 1) + +#define mTABLE_WID mWORD_SIZE +#define mTABLE_IDX mWORD_IDX +#define mTABLE_LEN mLOW_BYTE + +#define mLOW_MASK(u16) ((uint8_t) ((u16) & mMASK(8))) +#define mHIGH_MASK(u16) ((uint8_t) ((u16) & ~mMASK(8))) +#define mLOW2HIGH(u16) (((uint8_t) (u16)) << 8) + +/* (1234) -> 0034 */ +//#define mLOW_BYTE(u16) ((U_8)(u16)) +#define mLOW_BYTE(u16) mLOW_MASK(u16) +/* (1234) -> 0012 */ +#define mHIGH_BYTE(u16) ((uint8_t) (((uint16_t) (u16)) >> 8)) + +#define mGET_REG1(var0, reg0) { var0 = reg0; } + +/* (1234, 5678) -> 7834 */ +#define m2BYTE(ch1L, ch2H) (mLOW_MASK(ch1L) | mLOW2HIGH(ch2H)) + +#define mREAD_WORD(var0, reg0, reg1) \ + { var0 = reg0; var0 += mLOW2HIGH(reg1); } + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/Makefile new file mode 100755 index 0000000..57358cb --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = htc + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libhtc.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/Makefile.ss new file mode 100755 index 0000000..4406726 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/htc.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/inc/htc_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/inc/htc_api.h new file mode 100755 index 0000000..ac053c0 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/inc/htc_api.h @@ -0,0 +1,126 @@ +/* + * @File: htc_api.h + * + * @Abstract: host-target communications API + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef __HTC_API_H__ +#define __HTC_API_H__ + +#include +#include +//#include +//#include +#include +#include + +#define HTC_HDR_SZ HTC_HDR_LENGTH +#define HTC_BUFSZ_MAX_SEND 2048 + +typedef void (* HTC_SERVICE_ProcessRecvMsg)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, adf_nbuf_t, void *ServiceCtx); +typedef void (* HTC_SERVICE_ProcessSendBufferComplete)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, void *ServiceCtx); + +/* HTC service structure : + * the caller is required to allocate storage for the service structure and register the + * structure using HTC_RegisterService() The service must set the following fields: + * ProcessRecvMsg + * ProcessSendBufferComplete + * ProcessConnect + * ServiceID + * MaxSvcMsgSize (for message validation) + * */ +typedef struct _HTC_SERVICE { + struct _HTC_SERVICE *pNext; + /* Callback for processing receive messages. HTC calls this callback whenever a + * message arrives on the endpoint assigned to this service. + * HTC_BUFFER is a chain of buffers containing a full application message. + * HTC_BUFFER->buffer points to the start of the msg buffer (past the HTC header) */ + //void (* ProcessRecvMsg)(HTC_ENDPOINT_ID EndpointID, HTC_BUFFER *); + void (* ProcessRecvMsg)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, adf_nbuf_t, void *ServiceCtx); + /* callback to process completed send buffers */ + //void (* ProcessSendBufferComplete)(HTC_ENDPOINT_ID EndpointID, HTC_BUFFER *); + void (* ProcessSendBufferComplete)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, void *ServiceCtx); + /* optional callback when a connection request occurs. + * The EndpointID is the assigned endpoint, the callback returns a connect + * response status code to allow or disallow the connection. + * pDataIn points to the optional meta data supplied in the connection request + * pDataOut points to a buffer to send back meta data + * If no callback is supplied, HTC assumes the connect is allowed */ + A_UINT8 (* ProcessConnect)(struct _HTC_SERVICE *pService, + HTC_ENDPOINT_ID EndpointID, + A_UINT8 *pDataIn, + int LengthIn, + A_UINT8 *pDataOut, + int *pLengthOut); + A_UINT16 ServiceID; /* service ID to match connection requests */ + A_UINT16 ServiceFlags; /* service flags */ + A_UINT16 MaxSvcMsgSize; /* maximum length of service-specific messages exchanged on the endpoint */ + A_UINT16 TrailerSpcCheckLimit; /* amount of space in each send buffer that HTC can check for trailer + data. This should be set to the smallest HTC buffer that can be sent + through the service. The service can disable trailer data insertion + by setting this value to 0. */ + void *ServiceCtx; +} HTC_SERVICE; + +#define HTC_SERVICE_FLAGS_CONNECTED (1 << 0) /* service has at least 1 connection */ + +#define IS_SERVICE_CONNECTED(s) ((s)->ServiceFlags & HTC_SERVICE_FLAGS_CONNECTED) + + /* configuration settings for the WMI service */ +typedef struct _HTC_CONFIG { + int CreditSize; /* */ + int CreditNumber; + //int ControlDownLinkPipeID; + //int ControlUpLinkPipeID; + adf_os_handle_t OSHandle; + hif_handle_t HIFHandle; + pool_handle_t PoolHandle; +} HTC_CONFIG; + +typedef struct _HTC_BUF_CONTEXT { + A_UINT8 end_point; + A_UINT8 htc_flags; /* htc flags (used by HTC layer only) */ +} HTC_BUF_CONTEXT; + +typedef void* htc_handle_t; + +/* + * setup complete function, supplied by HTC caller at HTC_init time. + * HTC calls this function after the host has indicated that the service connection + * phase is complete. + * + */ +typedef void (* HTC_SETUP_COMPLETE_CB)(void); + +struct htc_apis { + htc_handle_t (* _HTC_Init)(HTC_SETUP_COMPLETE_CB, HTC_CONFIG *pConfig); + void (* _HTC_Shutdown)(htc_handle_t); + void (* _HTC_RegisterService)(htc_handle_t, HTC_SERVICE *); + void (* _HTC_Ready)(htc_handle_t); + void (* _HTC_ReturnBuffers)(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t); + void (* _HTC_ReturnBuffersList)(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_queue_t); + void (* _HTC_SendMsg)(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t); + int (* _HTC_GetReservedHeadroom)(htc_handle_t handle); + + //void (* _HTC_PauseRecv)(HTC_ENDPOINT_ID EndpointID); + //void (* _HTC_ResumeRecv)(HTC_ENDPOINT_ID EndpointID); + //void (* _HTC_AddBufferResources)(int buffers); + + /* These APIs below are for patch purpose only */ + void (*_HTC_MsgRecvHandler)(adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *context); + void (*_HTC_SendDoneHandler)(adf_nbuf_t buf, void *context); + void (*_HTC_ControlSvcProcessMsg)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *arg); + void (*_HTC_ControlSvcProcessSendComplete)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers, void *arg); + + void *pReserved; /* for expansion if need be */ +}; + +extern void htc_module_install(struct htc_apis *pAPIs); + +#endif /* _HTC_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/src/htc.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/src/htc.c new file mode 100755 index 0000000..223d269 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/src/htc.c @@ -0,0 +1,1009 @@ +/* + * @File: + * + * @Abstract: host target communications + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ +#include +#include +#include +#include +#include +#include +#include + +#include "htc_internal.h" + +//#define A_ASSERT(m) +#define A_UNCACHED_ADDR(addr) addr +//#define A_MEMZERO(v, size) + +/*** statics vars ****/ +//HTC_CONTEXT g_htcCtx; +//HTC_CONTEXT *g_pHTC = NULL; + +/* prototypes */ +LOCAL void HTCControlSvcProcessMsg(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t hdr_buf, adf_nbuf_t pBuffers, void *arg); +LOCAL void HTCControlSvcProcessSendComplete(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers, void *arg); +LOCAL void HTCMsgRecvHandler(adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *context); +LOCAL void HTCSendDoneHandler(adf_nbuf_t buf, void *context); +LOCAL void HTCFreeMsgBuffer(HTC_CONTEXT *pHTC, adf_nbuf_t pBuffer); +LOCAL adf_nbuf_t HTCAllocMsgBuffer(HTC_CONTEXT *pHTC); +//LOCAL void HTC_EnqueuePausedRecv(HTC_ENDPOINT *pEndpoint, +// VBUF *pFirstBuf, +// VBUF *pLastBuf); +//LOCAL VBUF* HTC_DequeuePausedRecv(HTC_ENDPOINT *pEndpoint); +LOCAL void HTCCheckAndSendCreditReport(HTC_CONTEXT *pHTC, A_UINT32 EpMask, HTC_ENDPOINT *pEndpoint, HTC_ENDPOINT_ID Id); +LOCAL void AdjustCreditThreshold(HTC_ENDPOINT *pEndpoint); +//LOCAL void _HTC_AddBufferResources(int buffers); +LOCAL void HTC_AssembleBuffers(HTC_CONTEXT *pHTC, int Count, int Size); +LOCAL htc_handle_t _HTC_Init(/*A_UINT32 dataAddr,*/ + HTC_SETUP_COMPLETE_CB SetupComplete, + HTC_CONFIG *pConfig); +LOCAL void _HTC_RegisterService(htc_handle_t handle, HTC_SERVICE *pService); +LOCAL void _HTC_Ready(htc_handle_t handle); +LOCAL void ReturnBuffers(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers, A_BOOL sendCreditFlag); +LOCAL void _HTC_ReturnBuffers(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers); +LOCAL void _HTC_ReturnBuffersList(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_queue_t bufHead); +LOCAL void _HTC_SendMsg(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers); +void _HTC_PauseRecv(HTC_ENDPOINT_ID EndpointID); +void _HTC_ResumeRecv(HTC_ENDPOINT_ID EndpointID); +LOCAL void HTCProcessConnectMsg(HTC_CONTEXT *pHTC, HTC_CONNECT_SERVICE_MSG *pMsg); +LOCAL void HTCProcessConfigPipeMsg(HTC_CONTEXT *pHTC, HTC_CONFIG_PIPE_MSG *pMsg); +LOCAL void RedistributeCredit(adf_nbuf_t buf, int toPipeId); +LOCAL void _HTC_Shutdown(htc_handle_t htcHandle); + + /* macro to check if the service wants to prevent credit dribbling by using + a dynamic threshold */ +#define CHECK_AND_ADJUST_CREDIT_THRESHOLD(pEndpoint) \ + if ((pEndpoint)->ConnectionFlags & HTC_CONNECT_FLAGS_REDUCE_CREDIT_DRIBBLE) { \ + AdjustCreditThreshold((pEndpoint)); \ + } + +/***********************************************************************************************/ +/************************ MODULE API implementation *******************************************/ +#if 0 +LOCAL void _HTC_AddBufferResources(int buffers) +{ + (void)buffers; + //MboxHW_AddDMAResources(buffers); +} +#endif + +LOCAL void HTC_AssembleBuffers(HTC_CONTEXT *pHTC, int Count, int Size) +{ + BUF_Pool_create_pool(pHTC->PoolHandle, POOL_ID_HTC_CONTROL, Count, Size); +} + + +LOCAL htc_handle_t _HTC_Init(HTC_SETUP_COMPLETE_CB SetupComplete, + HTC_CONFIG *pConfig) +{ + HIF_CALLBACK hifCBConfig; + HTC_CONTEXT *pHTC; + + //if (NULL == g_pHTC) + { + pHTC = (HTC_CONTEXT *)adf_os_mem_alloc(sizeof(HTC_CONTEXT)); + //g_pHTC = &g_htcCtx; + } + + adf_os_mem_zero(pHTC, sizeof(HTC_CONTEXT)); + + pHTC->OSHandle = pConfig->OSHandle; + pHTC->PoolHandle = pConfig->PoolHandle; + pHTC->hifHandle = pConfig->HIFHandle; + + //A_MEMZERO(&hwConfig,sizeof(hwConfig)); + hifCBConfig.send_buf_done = A_INDIR(htc._HTC_SendDoneHandler); + hifCBConfig.recv_buf = A_INDIR(htc._HTC_MsgRecvHandler); + hifCBConfig.context = pHTC; + + /* initialize hardware layer */ + HIF_register_callback(pConfig->HIFHandle, &hifCBConfig); + + /* see if the host wants us to override the number of ctrl buffers */ + //g_pHTC->NumBuffersForCreditRpts = (HOST_INTEREST->hi_mbox_io_block_sz >> 16) & 0xF; + pHTC->NumBuffersForCreditRpts = 0; + + if (0 == pHTC->NumBuffersForCreditRpts) { + /* nothing to override, simply set default */ + pHTC->NumBuffersForCreditRpts = HTC_DEFAULT_NUM_CTRL_BUFFERS; + } + + //g_pHTC->MaxEpPendingCreditRpts = (HOST_INTEREST->hi_mbox_io_block_sz >> 20) & 0xF; + pHTC->MaxEpPendingCreditRpts = 0; + + if (0 == pHTC->MaxEpPendingCreditRpts) { + pHTC->MaxEpPendingCreditRpts = HTC_DEFAULT_MAX_EP_PENDING_CREDIT_REPORTS; + } + /* calculate the total allocation size based on the number of credit report buffers */ + pHTC->CtrlBufferAllocSize = MIN_CREDIT_BUFFER_ALLOC_SIZE * pHTC->NumBuffersForCreditRpts; + /* we need at least enough buffer space for 1 ctrl message */ + pHTC->CtrlBufferAllocSize = A_MAX(pHTC->CtrlBufferAllocSize,MAX_HTC_SETUP_MSG_SIZE); + + //A_PRINTF("%d, %d, (%d, %d) %s-%s \n",g_pHTC->NumBuffersForCreditRpts,g_pHTC->CtrlBufferAllocSize, + // MIN_BUF_SIZE_FOR_RPTS, MIN_CREDIT_BUFFER_ALLOC_SIZE,__DATE__, __TIME__); + + /* save the size of each buffer/credit we will receive */ + pHTC->RecvBufferSize = pConfig->CreditSize; //RecvBufferSize; + pHTC->TotalCredits = pConfig->CreditNumber; + //g_pHTC->FreeCreditList = pConfig->CreditList; + pHTC->TotalCreditsAssigned = 0; + + /* setup the pseudo service that handles HTC control messages */ + pHTC->HTCControlService.ProcessRecvMsg = A_INDIR(htc._HTC_ControlSvcProcessMsg); + pHTC->HTCControlService.ProcessSendBufferComplete = A_INDIR(htc._HTC_ControlSvcProcessSendComplete); + pHTC->HTCControlService.TrailerSpcCheckLimit = HTC_CTRL_BUFFER_CHECK_SIZE; + pHTC->HTCControlService.MaxSvcMsgSize = MAX_HTC_SETUP_MSG_SIZE; + pHTC->HTCControlService.ServiceCtx = pHTC; + + /* automatically register this pseudo service to endpoint 1 */ + pHTC->Endpoints[ENDPOINT0].pService = &pHTC->HTCControlService; + HIF_get_default_pipe(pHTC->hifHandle, &pHTC->Endpoints[ENDPOINT0].UpLinkPipeID, + &pHTC->Endpoints[ENDPOINT0].DownLinkPipeID); + + /* Initialize control pipe so we could receive the HTC control packets */ + // @TODO: msg size! + HIF_config_pipe(pHTC->hifHandle, pHTC->Endpoints[ENDPOINT0].UpLinkPipeID, 1); + + /* set the first free endpoint */ + pHTC->CurrentEpIndex = ENDPOINT1; + pHTC->SetupCompleteCb = SetupComplete; + + /* setup buffers for just the setup phase, we only need 1 buffer to handle + * setup */ + HTC_AssembleBuffers(pHTC, 4, MAX_HTC_SETUP_MSG_SIZE); + + /* start hardware layer so that we can queue buffers */ + HIF_start(pHTC->hifHandle); + + return pHTC; +} + +LOCAL void _HTC_Shutdown(htc_handle_t htcHandle) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + adf_os_mem_free(pHTC); +} + +LOCAL void _HTC_RegisterService(htc_handle_t htcHandle, HTC_SERVICE *pService) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + /* add it to the list */ + pService->pNext = pHTC->pServiceList; + pHTC->pServiceList = pService; +} + +LOCAL void _HTC_Ready(htc_handle_t htcHandle) +{ + adf_nbuf_t pBuffer; + HTC_READY_MSG *pReady; + a_uint8_t *addr; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + pBuffer = HTCAllocMsgBuffer(pHTC); + //A_ASSERT(pBuffer != NULL); + + /* an optimization... the header length is chosen to + * be aligned on a 16 bit bounday, the fields in the message are designed to + * be aligned */ + addr = adf_nbuf_put_tail(pBuffer, sizeof(HTC_READY_MSG)); + pReady = (HTC_READY_MSG *)addr; + A_MEMZERO(pReady,sizeof(HTC_READY_MSG)); + pReady->MessageID = adf_os_htons(HTC_MSG_READY_ID); + pReady->CreditSize = adf_os_htons((A_UINT16)pHTC->RecvBufferSize); + //A_ASSERT(g_pHTC->TotalCredits <= MAX_HTC_CREDITS); + pReady->CreditCount = adf_os_htons((A_UINT16)pHTC->TotalCredits); + pReady->MaxEndpoints = ENDPOINT_MAX; + + /* send out the message */ + //A_DATA_CACHE_FLUSH(pBuffer->buffer, pBuffer->actual_length); + HTC_SendMsg(pHTC, ENDPOINT0, pBuffer); + /* now we need to wait for service connection requests */ +} + +LOCAL void ReturnBuffers(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers, A_BOOL sendCreditFlag) +{ + int nbufs = 1; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + //A_ASSERT(EndpointID < ENDPOINT_MAX); + + /* supply some head-room again */ + adf_nbuf_push_head(pBuffers, HTC_HDR_LENGTH); + //A_DATA_CACHE_INVAL(pBuffers->buffer, pBuffers->actual_length + HTC_HDR_SZ); + + /* enqueue all buffers to the single mailbox */ + HIF_return_recv_buf(pHTC->hifHandle, pHTC->Endpoints[EndpointID].UpLinkPipeID, pBuffers); + //A_ASSERT(nbufs != 0); + + if (pHTC->StateFlags & HTC_STATE_SETUP_COMPLETE) { + A_UINT32 epCreditMask = (1 << EndpointID); + /* we are running normally */ + /* update pending credit counts with the number of buffers that were added */ + pHTC->Endpoints[EndpointID].CreditsToReturn += (A_INT16)nbufs; + pHTC->Endpoints[EndpointID].CreditsConsumed -= (A_INT16)nbufs; + //A_ASSERT(g_pHTC->Endpoints[EndpointID].CreditsConsumed >= 0); + /* update bit map that this endpoint has non-zero credits */ + pHTC->EpCreditPendingMap |= epCreditMask; + + if (sendCreditFlag) { + HTCCheckAndSendCreditReport(pHTC, epCreditMask,&pHTC->Endpoints[EndpointID],EndpointID); + } + + } else { + /* we have not started yet so all return operations are simply adding buffers + * to the interface at startup, so we can keep track of how many total + * credits we get */ + /* update global count that will be returned to the host */ + pHTC->TotalCredits += nbufs; + } +} + +LOCAL void _HTC_ReturnBuffersList(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_queue_t bufHead) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + adf_nbuf_t netbuf, tmpNbuf; + + /* retrieve each nbuf in the queue */ + netbuf = adf_nbuf_queue_first(&bufHead); + + while (netbuf) { + + tmpNbuf = netbuf; + netbuf = adf_nbuf_queue_next(netbuf); + + ReturnBuffers(htcHandle, EndpointID, tmpNbuf, FALSE); + } + + HTCCheckAndSendCreditReport(pHTC, (1 << EndpointID),&pHTC->Endpoints[EndpointID],EndpointID); +} + +LOCAL void _HTC_ReturnBuffers(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers) +{ + ReturnBuffers(htcHandle, EndpointID, pBuffers, TRUE); +} + +LOCAL void _HTC_SendMsg(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers) +{ + HTC_FRAME_HDR *pHTCHdr; + int totsz; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + HTC_BUF_CONTEXT *ctx; + + ctx = (HTC_BUF_CONTEXT *)adf_nbuf_get_priv(pBuffers); + + /* init total size (this does not include the space we will put in for the HTC header) */ + totsz = adf_nbuf_len(pBuffers); + + /* the first buffer stores the header */ + /* back up buffer by a header size when we pass it down, by agreed upon convention the caller + * points the buffer to it's payload and leaves head room for the HTC header + * Note: in HTCSendDoneHandler(), we undo this so that the caller get's it's buffer + * back untainted */ + pHTCHdr = (HTC_FRAME_HDR *)adf_nbuf_push_head(pBuffers, HTC_HDR_LENGTH); + + /* flag that this is the header buffer that was modified */ + ctx->htc_flags |= HTC_FLAGS_BUF_HDR; + /* mark where this buffer came from */ + ctx->end_point = EndpointID; + /* the header start is ALWAYS aligned since we DMA it directly */ + //pHTCHdr = (HTC_FRAME_HDR *)A_UNCACHED_ADDR(VBUF_GET_DATA_ADDR(pBuffers)); + /* set some fields, the rest of them will be filled below when we check for + * trailer space */ + pHTCHdr->Flags = 0; + pHTCHdr->EndpointID = EndpointID; + + //A_ASSERT(totsz <= g_pHTC->Endpoints[EndpointID].pService->MaxSvcMsgSize); + /* check opportunistically if we can return any reports via a trailer */ + do { + int room,i,totalReportBytes; + A_UINT32 creditsPendingMap, compareMask; + HTC_CREDIT_REPORT *pCreditRpt; + HTC_RECORD_HDR *pRecHdr; + int pipeMaxLen; + A_UINT32 roomForPipeMaxLen; + + /* figure out how much room the last buffer can spare */ + pipeMaxLen = HIF_get_max_msg_len(pHTC->hifHandle, pHTC->Endpoints[EndpointID].DownLinkPipeID); + roomForPipeMaxLen = pipeMaxLen - adf_nbuf_headroom(pBuffers) - adf_nbuf_len(pBuffers); + if ( roomForPipeMaxLen < 0 ) { + roomForPipeMaxLen = 0; + } + + room = adf_os_min( adf_nbuf_tailroom(pBuffers), roomForPipeMaxLen); + if (room < (int)(sizeof(HTC_CREDIT_REPORT) + sizeof(HTC_RECORD_HDR))) { + /* no room for any reports */ + break; + } + /* note, a record header only has 8 bit fields, so this is safe. + * we need an uncached pointer here too */ + totalReportBytes = 0; + + /* get a copy */ + creditsPendingMap = pHTC->EpCreditPendingMap; + + /* test pending map to see if we can send a report , if any + * credits are available, we might as well send them on the + * unused space in the buffer */ + if (creditsPendingMap) { + + pRecHdr = (HTC_RECORD_HDR *)adf_nbuf_put_tail(pBuffers, sizeof(HTC_RECORD_HDR)); + + /* set the ID, the length will be updated with the number of credit reports we + * can fit (see below) */ + pRecHdr->RecordID = HTC_RECORD_CREDITS; + pRecHdr->Length = 0; + /* the credit report follows the record header */ + totalReportBytes += sizeof(HTC_RECORD_HDR); + room -= sizeof(HTC_RECORD_HDR); + + /* walkthrough pending credits map and build the records */ + for (i = 0; + (creditsPendingMap != 0) && (room >= (int)sizeof(HTC_CREDIT_REPORT)); + i++) { + compareMask = (1 << i); + if (compareMask & creditsPendingMap) { + + pCreditRpt = (HTC_CREDIT_REPORT *)adf_nbuf_put_tail(pBuffers, sizeof(HTC_CREDIT_REPORT)); + + /* clear pending mask, we are going to return all these credits */ + creditsPendingMap &= ~(compareMask); + /* add this record */ + pCreditRpt->EndpointID = i; + pCreditRpt->Credits = (A_UINT8)pHTC->Endpoints[i].CreditsToReturn; + /* remove pending credits, we always send deltas */ + pHTC->Endpoints[i].CreditsToReturn = 0; + /* adjust new threshold for this endpoint if needed */ + CHECK_AND_ADJUST_CREDIT_THRESHOLD(&pHTC->Endpoints[i]); + /* update this record length */ + pRecHdr->Length += sizeof(HTC_CREDIT_REPORT); + room -= sizeof(HTC_CREDIT_REPORT); + totalReportBytes += sizeof(HTC_CREDIT_REPORT); + + if ( room < sizeof(HTC_CREDIT_REPORT) ) { + break; + } + } + } + + /* update new pending credits map */ + pHTC->EpCreditPendingMap = creditsPendingMap; + } + + if (totalReportBytes <= 0) { + break; + } + + /* must fit into a byte, this should never actually happen since + * the maximum possible number of endpoints is 32. + * The trailer can have at most 1 credit record with up to 32 reports in the record. + * The trailer can have at most 1 lookahead record with only 1 lookahead report in the record. + * + * */ + //A_ASSERT(totalReportBytes <= 255); + + /* set header option bytes */ + pHTCHdr->ControlBytes[0] = totalReportBytes; + /* HTC frame contains a trailer */ + pHTCHdr->Flags |= HTC_FLAGS_RECV_TRAILER; + /* increment total size by the reports we added */ + totsz += totalReportBytes; + /* adjust the last buffer we used for adding on the trailer */ + } while (FALSE); + + if (totsz == 0) { + //A_ASSERT(FALSE); + } + + /* set length for message (this includes any reports that were added above) */ + pHTCHdr->PayloadLen = adf_os_htons(totsz); + HIF_send_buffer(pHTC->hifHandle, pHTC->Endpoints[EndpointID].DownLinkPipeID, pBuffers); +} + +void _HTC_PauseRecv(HTC_ENDPOINT_ID EndpointID) +{ +#if 0 // Disable first. Ray +#ifdef HTC_PAUSE_RESUME_REF_COUNTING + g_pHTC->Endpoints[EndpointID].PauseRefCount++; +#endif + /* we are now paused */ + g_pHTC->EpRecvPausedMap |= (1 << EndpointID); +#endif +} + +void _HTC_ResumeRecv(HTC_ENDPOINT_ID EndpointID) +{ +#if 0 // Disable first. Ray + HTC_BUFFER *buffer; + HTC_ENDPOINT *pEndpoint; + + pEndpoint = &g_pHTC->Endpoints[EndpointID]; + +/* TODO: we can't quite use referencing counting yet until we clean up the WLAN + * app, there are some un-matched pause/resume cases that need to be fixed */ +#ifdef HTC_PAUSE_RESUME_REF_COUNTING + pEndpoint->PauseRefCount--; + //A_ASSERT(pEndpoint->PauseRefCount >= 0); + + /* check reference count and unpause if it is zero */ + if (pEndpoint->PauseRefCount > 0) { + return; + } +#endif + + g_pHTC->EpRecvPausedMap &= ~(1 << EndpointID); + /* unload the paused receive queue */ + while ((buffer = HTC_DequeuePausedRecv(pEndpoint)) != NULL) { + /* note that the paused messages have already been processed at the HTC layer, + * we can simply indicate the buffers to the service */ + pEndpoint->pService->ProcessRecvMsg(EndpointID,buffer); + } +#endif +} + +int _HTC_GetReservedHeadroom(htc_handle_t htcHandle) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + return HTC_HDR_LENGTH + HIF_get_reserved_headroom(pHTC->hifHandle); +} + +void htc_module_install(struct htc_apis *pAPIs) +{ + pAPIs->_HTC_Init = _HTC_Init; + pAPIs->_HTC_ReturnBuffers = _HTC_ReturnBuffers; + pAPIs->_HTC_ReturnBuffersList = _HTC_ReturnBuffersList; + pAPIs->_HTC_Ready = _HTC_Ready; + pAPIs->_HTC_RegisterService = _HTC_RegisterService; + pAPIs->_HTC_SendMsg = _HTC_SendMsg; + pAPIs->_HTC_Shutdown = _HTC_Shutdown; + pAPIs->_HTC_GetReservedHeadroom = _HTC_GetReservedHeadroom; + pAPIs->_HTC_MsgRecvHandler = HTCMsgRecvHandler; + pAPIs->_HTC_SendDoneHandler = HTCSendDoneHandler; + pAPIs->_HTC_ControlSvcProcessMsg = HTCControlSvcProcessMsg; + pAPIs->_HTC_ControlSvcProcessSendComplete = HTCControlSvcProcessSendComplete; + + //pAPIs->_HTC_PauseRecv = _HTC_PauseRecv; + //pAPIs->_HTC_ResumeRecv = _HTC_ResumeRecv; + //pAPIs->_HTC_AddBufferResources = _HTC_AddBufferResources; + /* save ptr to the ptr to the context for external code to inspect/modify internal module state */ + //pAPIs->pReserved = &g_pHTC; +} + +/*******************************************************************************************/ +/**************** INTERNAL ROUTINES to this MODULE ***************************************/ + +/* free message to the free list */ +//LOCAL void HTCFreeMsgBuffer(HTC_BUFFER *pBuffer) +LOCAL void HTCFreeMsgBuffer(HTC_CONTEXT *pHTC, adf_nbuf_t buf) +{ + BUF_Pool_free_buf(pHTC->PoolHandle, POOL_ID_HTC_CONTROL, buf); +} + +/* HTC control message allocator (also used for empty frames to send trailer options) */ +//LOCAL HTC_BUFFER *HTCAllocMsgBuffer(void) +LOCAL adf_nbuf_t HTCAllocMsgBuffer(HTC_CONTEXT *pHTC) +{ + return BUF_Pool_alloc_buf(pHTC->PoolHandle, POOL_ID_HTC_CONTROL, HTC_GetReservedHeadroom(pHTC)); +} + +LOCAL void HTCCheckAndSendCreditReport(HTC_CONTEXT *pHTC, A_UINT32 EpMask, HTC_ENDPOINT *pEndpoint, HTC_ENDPOINT_ID Eid) +{ + adf_nbuf_t pCredBuffer; + HTC_BUF_CONTEXT *ctx; + + do { + /* check if host needs credits */ + if (!(pHTC->EpHostNeedsCreditMap & EpMask)) { + /* host does not need any credits for this set */ + break; + } + /* check if any are pending */ + if (!(pHTC->EpCreditPendingMap & EpMask)) { + /* nothing to send up */ + break; + } + /* was an endpoint specified? */ + if (pEndpoint != NULL) { + /* see if a threshold is in effect for this endpoint */ + if (pEndpoint->CreditReturnThreshhold != 0) { + if (pEndpoint->CreditsToReturn < pEndpoint->CreditReturnThreshhold) { + /* this endpoint is using a threshold to prevent credits from dribbling + * back to the host */ + break; + } + } + + if (pEndpoint->PendingCreditReports >= pHTC->MaxEpPendingCreditRpts) { + /* this endpoint already has some reports outstanding */ + /* flag that as soon as a buffer is reaped, we issue a credit update to + * pick up this credit that is being held up because the endpoint has already + * exceeded the max outstanding credit report limit */ + pHTC->StateFlags |= HTC_SEND_CREDIT_UPDATE_SOON; + break; + } + } + + /* if we get here we have some credits to send up */ + + /* allocate a message buffer for the trailer */ + pCredBuffer = HTCAllocMsgBuffer(pHTC); + if (NULL == pCredBuffer) { + /* no buffers left to send an empty message with trailers, host will just + * have to wait until we get our endpoint 0 messages back.. */ + /* mark that we need to send an update as soon as we can get a buffer back */ + pHTC->StateFlags |= HTC_SEND_CREDIT_UPDATE_SOON; + break; + } + + ctx = (HTC_BUF_CONTEXT *)adf_nbuf_get_priv(pCredBuffer); + if (pEndpoint != NULL) { + /* keep track of pending reports */ + pEndpoint->PendingCreditReports++; + /* save the endpoint in order to decrement the count when the send completes */ + ctx->htc_flags = Eid | HTC_FLAGS_CREDIT_RPT; + } + + /* this is an empty message, the HTC_SendMsg will tack on a trailer in the remaining + * space, NOTE: no need to flush the cache, the header and trailers are assembled + * using uncached addresses */ + HTC_SendMsg(pHTC, ENDPOINT0, pCredBuffer); + + } while (FALSE); +} + +/* called in response to the arrival of a service connection message */ +LOCAL void HTCProcessConnectMsg(HTC_CONTEXT *pHTC, HTC_CONNECT_SERVICE_MSG *pMsg) +{ + HTC_SERVICE *pService = pHTC->pServiceList; + A_UINT8 connectStatus = HTC_SERVICE_NOT_FOUND; + //HTC_BUFFER *pBuffer; + adf_nbuf_t pBuffer; + HTC_CONNECT_SERVICE_RESPONSE_MSG *pRspMsg; + int metaDataOutLen = 0; + A_UINT16 serviceId = adf_os_ntohs(pMsg->ServiceID); + + pBuffer = HTCAllocMsgBuffer(pHTC); + //A_ASSERT(pBuffer != NULL); + /* note : this will be aligned */ + pRspMsg = (HTC_CONNECT_SERVICE_RESPONSE_MSG *) + adf_nbuf_put_tail(pBuffer, sizeof(HTC_CONNECT_SERVICE_RESPONSE_MSG)); + + A_MEMZERO(pRspMsg,sizeof(HTC_CONNECT_SERVICE_RESPONSE_MSG)); + pRspMsg->MessageID = adf_os_htons(HTC_MSG_CONNECT_SERVICE_RESPONSE_ID); + /* reflect the service ID for this connect attempt */ + pRspMsg->ServiceID = adf_os_htons(serviceId); + + while (pService) { + + if (pHTC->CurrentEpIndex >= ENDPOINT_MAX) { + /* no more endpoints */ + connectStatus = HTC_SERVICE_NO_RESOURCES; + break; + } + + if (serviceId == pService->ServiceID) { + /* we found a match */ + A_UINT8 *pMetaDataIN = NULL; + A_UINT8 *pMetaDataOut; + + /* outgoing meta data resides in the space after the response message */ + pMetaDataOut = ((A_UINT8 *)pRspMsg) + sizeof(HTC_CONNECT_SERVICE_RESPONSE_MSG); + + if (pMsg->ServiceMetaLength != 0) { + //A_ASSERT(pMsg->ServiceMetaLength <= HTC_SERVICE_META_DATA_MAX_LENGTH); + /* the meta data follows the connect service message */ + pMetaDataIN = ((A_UINT8 *)pMsg) + sizeof(HTC_CONNECT_SERVICE_MSG); + } + + //A_ASSERT(pService->ProcessConnect != NULL); + /* call the connect callback with the endpoint to use and pointers to meta data */ + connectStatus = pService->ProcessConnect(pService, + pHTC->CurrentEpIndex, + pMetaDataIN, + pMsg->ServiceMetaLength, + pMetaDataOut, + &metaDataOutLen); + + /* check if the service accepted this connection request */ + if (HTC_SERVICE_SUCCESS == connectStatus) { + //A_ASSERT(metaDataOutLen <= HTC_SERVICE_META_DATA_MAX_LENGTH); + /* set the length of the response meta data going back to the host */ + pRspMsg->ServiceMetaLength = (A_UINT8)metaDataOutLen; + /* set the endpoint ID the host will now communicate over */ + pRspMsg->EndpointID = pHTC->CurrentEpIndex; + /* return the maximum message size for this service */ + pRspMsg->MaxMsgSize = adf_os_htons((A_UINT16)pService->MaxSvcMsgSize); + /* assign this endpoint to this service, this will be used in routing messages */ + pHTC->Endpoints[pHTC->CurrentEpIndex].pService = pService; + /* set connection flags */ + pHTC->Endpoints[pHTC->CurrentEpIndex].ConnectionFlags = pMsg->ConnectionFlags; + + pHTC->Endpoints[pHTC->CurrentEpIndex].DownLinkPipeID = pMsg->DownLinkPipeID; + pHTC->Endpoints[pHTC->CurrentEpIndex].UpLinkPipeID = pMsg->UpLinkPipeID; + + /* mark that we are now connected */ + pService->ServiceFlags |= HTC_SERVICE_FLAGS_CONNECTED; + /* bump up our index, this EP is now in use */ + pHTC->CurrentEpIndex++; + } + + break; + } + + pService = pService->pNext; + } + + pRspMsg->Status = connectStatus; + + /* send out the response message */ + //A_DATA_CACHE_FLUSH(pBuffer->buffer, pBuffer->actual_length); + HTC_SendMsg(pHTC, ENDPOINT0, pBuffer); +} + +LOCAL void HTCProcessConfigPipeMsg(HTC_CONTEXT *pHTC, HTC_CONFIG_PIPE_MSG *pMsg) +{ + //HTC_SERVICE *pService = g_pHTC->pServiceList; + //A_UINT8 connectStatus = HTC_SERVICE_NOT_FOUND; + adf_nbuf_t pBuffer; + HTC_CONFIG_PIPE_RESPONSE_MSG *pRspMsg; + + pBuffer = HTCAllocMsgBuffer(pHTC); + + //A_ASSERT(pBuffer != NULL); + /* note : this will be aligned */ + pRspMsg = (HTC_CONFIG_PIPE_RESPONSE_MSG *) + adf_nbuf_put_tail(pBuffer, sizeof(HTC_CONFIG_PIPE_RESPONSE_MSG)); + + A_MEMZERO(pRspMsg,sizeof(HTC_CONFIG_PIPE_RESPONSE_MSG)); + + pRspMsg->MessageID = adf_os_htons(HTC_MSG_CONFIG_PIPE_RESPONSE_ID); + /* reflect the service ID for this connect attempt */ + pRspMsg->PipeID = pMsg->PipeID; + + if ( HIF_is_pipe_supported(pHTC->hifHandle, pMsg->PipeID) ) { + pRspMsg->Status = 0; + } else { + pRspMsg->Status = 1; + goto config_done; + } + + if ( (pHTC->TotalCreditsAssigned + pMsg->CreditCount) <= pHTC->TotalCredits ) { + pHTC->TotalCreditsAssigned += pMsg->CreditCount; + } else { + pRspMsg->Status = 2; + goto config_done; + } + + HIF_config_pipe(pHTC->hifHandle, pMsg->PipeID, pMsg->CreditCount); + +config_done: + /* send out the response message */ + //A_DATA_CACHE_FLUSH(pBuffer->buffer, pBuffer->actual_length); + HTC_SendMsg(pHTC, ENDPOINT0, pBuffer); +} + +/* process an incomming control message from the host */ +LOCAL void HTCControlSvcProcessMsg(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t hdr_buf, adf_nbuf_t pBuffers, void *arg) +{ + A_BOOL setupComplete = FALSE; + a_uint8_t *anbdata; + a_uint32_t anblen; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)arg; + HTC_UNKNOWN_MSG *pMsg; + + adf_os_assert(hdr_buf == ADF_NBUF_NULL); + + /* we assume buffers are aligned such that we can access the message + * parameters directly*/ + adf_nbuf_peek_header(pBuffers, &anbdata, &anblen); + pMsg = (HTC_UNKNOWN_MSG *)anbdata; + + /* we cannot handle fragmented messages across buffers */ + //A_ASSERT(pBuffers->next == NULL); + + switch ( adf_os_ntohs(pMsg->MessageID) ) { + case HTC_MSG_CONNECT_SERVICE_ID: + //A_ASSERT(pBuffers->actual_length >= sizeof(HTC_CONNECT_SERVICE_MSG)); + HTCProcessConnectMsg(pHTC, (HTC_CONNECT_SERVICE_MSG *)pMsg); + break; + case HTC_MSG_CONFIG_PIPE_ID: + HTCProcessConfigPipeMsg(pHTC, (HTC_CONFIG_PIPE_MSG *)pMsg); + break; + case HTC_MSG_SETUP_COMPLETE_ID: + /* the host has indicated that it has completed all + setup tasks and we can now let the services take over to + run the rest of the application */ + setupComplete = TRUE; + /* can't get this more than once */ + //A_ASSERT(!(g_pHTC->StateFlags & HTC_STATE_SETUP_COMPLETE)); + break; + default: + ; + //A_ASSERT(FALSE); + } + + if (pHTC->StateFlags & HTC_STATE_SETUP_COMPLETE) { + /* recycle buffer only if we are fully running */ + HTC_ReturnBuffers(pHTC, ENDPOINT0,pBuffers); + } else { + /* supply some head-room again */ + //A_DATA_CACHE_INVAL(pBuffers->buffer, pBuffers->actual_length + HTC_HDR_SZ); + adf_nbuf_push_head(pBuffers, HTC_HDR_LENGTH); + + /* otherwise return the packet back to mbox */ + HIF_return_recv_buf(pHTC->hifHandle, pHTC->Endpoints[EndpointID].UpLinkPipeID, pBuffers); + } + + if (setupComplete) { + /* mark that setup has completed */ + pHTC->StateFlags |= HTC_STATE_SETUP_COMPLETE; + if (pHTC->SetupCompleteCb != NULL) { + pHTC->SetupCompleteCb(); + } + } +} + +/* callback when endpoint 0 send buffers are completed */ +LOCAL void HTCControlSvcProcessSendComplete(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers, void *arg) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)arg; + HTC_BUF_CONTEXT *ctx; + HTC_ENDPOINT_ID creditRptEndpoint; + + ctx = (HTC_BUF_CONTEXT *)adf_nbuf_get_priv(pBuffers); + + //A_ASSERT(EndpointID == ENDPOINT0); + + /* put them back into the pool */ +#if 0 + while (pBuffers != NULL) { + pNext = pBuffers->next_buf; + pBuffers->next_buf = NULL; + /* note: HTC does not send fragmented control messages, so each buffer + * represents 1 full HTC control message */ + if (pBuffers->desc_list->control & HTC_FLAGS_CREDIT_RPT) { + /* extract the endpoint number that requested this credit report */ + creditRptEndpoint = pBuffers->desc_list->control & HTC_FLAGS_CRPT_EP_MASK; + pBuffers->desc_list->control = 0; + g_pHTC->Endpoints[creditRptEndpoint].PendingCreditReports--; + //A_ASSERT(g_pHTC->Endpoints[creditRptEndpoint].PendingCreditReports >= 0); + } + /* free this one */ + HTCFreeMsgBuffer(pBuffers); + pBuffers = pNext; + } +#else + if ( ctx->htc_flags & HTC_FLAGS_CREDIT_RPT ) { + /* extract the endpoint number that requested this credit report */ + creditRptEndpoint = ctx->htc_flags & HTC_FLAGS_CRPT_EP_MASK; + pHTC->Endpoints[creditRptEndpoint].PendingCreditReports--; + //A_ASSERT(g_pHTC->Endpoints[creditRptEndpoint].PendingCreditReports >= 0); + } + + HTCFreeMsgBuffer(pHTC, pBuffers); +#endif + + if (pHTC->StateFlags & HTC_SEND_CREDIT_UPDATE_SOON) { + /* this flag is set when the host could not send a credit report + * because we ran out of HTC control buffers */ + pHTC->StateFlags &= ~HTC_SEND_CREDIT_UPDATE_SOON; + /* send out a report if anything is pending */ + HTCCheckAndSendCreditReport(pHTC, HTC_ANY_ENDPOINT_MASK,NULL,ENDPOINT_MAX); + } +} + +LOCAL void HTCSendDoneHandler(adf_nbuf_t buf, void *context) +{ + A_UINT8 current_eid; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)context; + HTC_BUF_CONTEXT *ctx; + + ctx = (HTC_BUF_CONTEXT *)adf_nbuf_get_priv(buf); + current_eid = ctx->end_point; + + /* Walk through the buffers and fixup the ones we used for HTC headers. + * The buffer list may contain more than one string of HTC buffers comprising of an + * HTC message so we need to check every buffer */ + adf_nbuf_pull_head(buf, HTC_HDR_LENGTH); + + pHTC->Endpoints[current_eid].pService-> + ProcessSendBufferComplete(current_eid, + buf, + pHTC->Endpoints[current_eid].pService->ServiceCtx); +} + +#if 0 +LOCAL void HTC_EnqueuePausedRecv(HTC_ENDPOINT *pEndpoint, + HTC_BUFFER *pFirstBuf, + HTC_BUFFER *pLastBuf) +{ + //A_ASSERT(pLastBuf->next == NULL); + + if (NULL == pEndpoint->pRcvPausedQueueTail) { + pEndpoint->pRcvPausedQueueTail = pLastBuf; + pEndpoint->pRcvPausedQueueHead = pFirstBuf; + } else { + /* queue to the tail */ + pEndpoint->pRcvPausedQueueTail->next = pFirstBuf; + pEndpoint->pRcvPausedQueueTail = pLastBuf; + } + +} +#endif + +#if 0 +LOCAL HTC_BUFFER *HTC_DequeuePausedRecv(HTC_ENDPOINT *pEndpoint) +{ + HTC_BUFFER *pHead; + HTC_BUFFER *pCur; + + if (pEndpoint->pRcvPausedQueueHead != NULL) { + /* there is a message in the queue */ + pHead = pEndpoint->pRcvPausedQueueHead; + pCur = pHead; + + while (pCur != NULL) { + /* check for end marker on this buffer */ + if (pCur->htc_flags & HTC_FLAGS_RECV_END_MSG) { + pCur->htc_flags &= ~HTC_FLAGS_RECV_END_MSG; + /* advance the head */ + pEndpoint->pRcvPausedQueueHead = pCur->next; + + if (NULL == pEndpoint->pRcvPausedQueueHead) { + /* list is now empty */ + pEndpoint->pRcvPausedQueueTail = NULL; + } + /* cut this message out */ + pCur->next = NULL; + break; + } + pCur = pCur->next; + } + /* we should always find complete messages with the marker present */ + //A_ASSERT(pCur != NULL); + return pHead; + } else { + //A_ASSERT(pEndpoint->pRcvPausedQueueTail == NULL); + return NULL; + } +} +#endif + +LOCAL void AdjustCreditThreshold(HTC_ENDPOINT *pEndpoint) +{ + + A_INT16 creditsOutstanding = pEndpoint->CreditsToReturn + pEndpoint->CreditsConsumed; + /* set the new threshold based on the number of credits that have been consumed + * and which have not been returned by the app. + * Note: it is okay for this threshold to be zero which indicates no threshold + * is in use */ + switch (pEndpoint->ConnectionFlags & HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_MASK) { + case HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_ONE_FOURTH : + creditsOutstanding >>= 2; + break; + case HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_ONE_HALF : + creditsOutstanding >>= 1; + break; + case HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_THREE_FOURTHS : + creditsOutstanding = (creditsOutstanding * 3) >> 2; + break; + /* default case is unity */ + } + + pEndpoint->CreditReturnThreshhold = creditsOutstanding; + +} + +LOCAL void RedistributeCredit(adf_nbuf_t buf, int toPipeId) +{ + +} + +/* callback from the mailbox hardware layer when a full message arrives */ +LOCAL void HTCMsgRecvHandler(adf_nbuf_t hdr_buf, adf_nbuf_t buffer, void *context) +{ + A_UINT16 totsz; + HTC_ENDPOINT *pEndpoint; + A_UINT32 eidMask; + int eid; + a_uint8_t *anbdata; + a_uint32_t anblen; + HTC_FRAME_HDR *pHTCHdr; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)context; + adf_nbuf_t tmp_nbuf; + + if (hdr_buf == ADF_NBUF_NULL) { + /* HTC hdr is not in the hdr_buf */ + tmp_nbuf = buffer; + } + else { + tmp_nbuf = hdr_buf; + } + + adf_nbuf_peek_header(tmp_nbuf, &anbdata, &anblen); + pHTCHdr = (HTC_FRAME_HDR *)anbdata; + + totsz = adf_os_ntohs(pHTCHdr->PayloadLen); + + //A_ASSERT(pHTCHdr->EndpointID < ENDPOINT_MAX); + eid = pHTCHdr->EndpointID; + + pEndpoint = &pHTC->Endpoints[eid]; + eidMask = 1 << eid; + + if (pHTCHdr->Flags & HTC_FLAGS_CREDIT_REDISTRIBUTION) { + /* The pipe id where the credit is redistributed to is carried in Control + * Byte 0 */ + RedistributeCredit(tmp_nbuf, pHTCHdr->ControlBytes[0]); + return; + } + + if (pHTC->StateFlags & HTC_STATE_SETUP_COMPLETE) { + /* after setup we keep track of credit consumption to allow us to + * adjust thresholds to reduce credit dribbling */ + pEndpoint->CreditsConsumed ++; + } + + /* from the design document, we put the endpoint into a "host-needs-credit" state + * when we receive a frame with the NEED_CREDIT_UPDATE flag set . + * if the host received credits through an opportunistic path, then it can + * issue a another frame with this bit cleared, this signals the target to clear + * the "host-needs-credit" state */ + if (pHTCHdr->Flags & HTC_FLAGS_NEED_CREDIT_UPDATE) { + /* the host is running low (or is out) of credits on this + * endpoint, update mask */ + pHTC->EpHostNeedsCreditMap |= eidMask; + /* check and set new threshold since host has reached a low credit situation */ + CHECK_AND_ADJUST_CREDIT_THRESHOLD(pEndpoint); + } else { + /* clear the flag */ + pHTC->EpHostNeedsCreditMap &= ~(eidMask); + pEndpoint->CreditReturnThreshhold = 0; + } + + /* Adjust the first buffer to point to the start of the actual + payload, the first buffer contains the header */ + adf_nbuf_pull_head(tmp_nbuf, HTC_HDR_LENGTH); + + /* NOTE : This callback could re-queue the recv buffers within this calling context. + * The callback could also send a response message within the context of this callback + * as the result of parsing this message. In either case, if there are + * pending credits and the host needs them, a credit report will be sent either through + * the response message trailer or a NULL message through HTC_ReturnBuffers(). + */ + + //A_ASSERT(totsz <= pEndpoint->pService->MaxSvcMsgSize); + +#if 0 + /* is this endpoint paused? */ + if (g_pHTC->EpRecvPausedMap & eidMask) { + /* note that curr_buf is the last buffer */ + /* mark the last buffer so that it indicates the end of this message */ + //curr_buf->htc_flags |= HTC_FLAGS_RECV_END_MSG; + /* enqueue this message to our pause queues */ + //HTC_EnqueuePausedRecv(pEndpoint,bufinfo,curr_buf); + } else { + /* pass the message to the service */ + pEndpoint->pService->ProcessRecvMsg(eid, buffer, pEndpoint->pService->ServiceCtx); + } +#else + pEndpoint->pService->ProcessRecvMsg(eid, hdr_buf, buffer, pEndpoint->pService->ServiceCtx); +#endif + + /* Calls to HTC_ReturnBuffers drives the endpoint credit reporting state machine. + * We do not want to delay credits for too long in the event that the application is + * holding onto buffers for excessive periods of time. This gives us "some" better + * opportunities to send up credits. */ + HTCCheckAndSendCreditReport(pHTC, eidMask, pEndpoint, eid); +} diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/src/htc_internal.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/src/htc_internal.h new file mode 100755 index 0000000..6716d24 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/htc/src/htc_internal.h @@ -0,0 +1,97 @@ +/* + * @File: + * + * @Abstract: internal data and structure definitions for HTC + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef HTC_INTERNAL_H_ +#define HTC_INTERNAL_H_ + +/* minimum buffer size to hold up to 8 endpoint reports, lookahead and the HTC header */ +#define MIN_BUF_SIZE_FOR_RPTS (A_ROUND_UP((sizeof(HTC_LOOKAHEAD_REPORT) + \ + (sizeof(HTC_CREDIT_REPORT)) * 8 + \ + (sizeof(HTC_RECORD_HDR)) * 2 ) + \ + HTC_HDR_LENGTH, \ + sizeof(A_UINT32))) +/* minimum allocation for a credit message */ +//#define MIN_CREDIT_BUFFER_ALLOC_SIZE (A_ROUND_UP(MIN_BUF_SIZE_FOR_RPTS, A_CACHE_LINE_SIZE)) +#define MIN_CREDIT_BUFFER_ALLOC_SIZE (MIN_BUF_SIZE_FOR_RPTS) +/* max ctrl buffers size for a setup message */ +//#define MAX_HTC_SETUP_MSG_SIZE (128 + 64) /* meta data + 64 byte response message */ +#define MAX_HTC_SETUP_MSG_SIZE 64 /* The max size of USB command/event pipe is 64 bytes */ + +/* check size for trailer space */ +#define HTC_CTRL_BUFFER_CHECK_SIZE (MIN_BUF_SIZE_FOR_RPTS - HTC_HDR_LENGTH) + +#define HTC_DEFAULT_NUM_CTRL_BUFFERS 6 + +#define HTC_DEFAULT_MAX_EP_PENDING_CREDIT_REPORTS 3 /* an EP should not have more than this many outstanding reports */ + +#define HTC_FLAGS_CRPT_EP_MASK 0x1F /* if the message is a credit report this is the endpoint + that issued it */ + +#define HTC_FLAGS_CREDIT_RPT (1 << 5) /* the buffer was a credit report */ +#define HTC_FLAGS_BUF_HDR (1 << 6) /* the buffer was manipulated and a header added */ +#define HTC_FLAGS_RECV_END_MSG (1 << 7) /* this buffer is the last buffer for the recev + message (used for recv pause logic) */ + +#define HTC_MAILBOX 0 /* we use mailbox 0 for all communications */ +#define HTC_ANY_ENDPOINT_MASK 0xFFFFFFFF +#define HTC_LOOKAHEAD_POST_VALID 0x55 +#define HTC_LOOKAHEAD_PRE_VALID 0xAA +#define MAX_HTC_CREDITS 255 + +typedef struct _HTC_ENDPOINT { + A_INT16 CreditsToReturn; /* credits that are ready to be returned to the host */ + HTC_SERVICE *pService; /* service that is bound to this endpoint */ + //VBUF *pRcvPausedQueueHead; /* paused queue head and tail */ + //VBUF *pRcvPausedQueueTail; +#ifdef HTC_PAUSE_RESUME_REF_COUNTING + int PauseRefCount; /* reference count */ +#endif + A_INT16 CreditReturnThreshhold; /* threshold before credits are returned via NULL pkts, + this reduces dribbling effect */ + A_INT16 CreditsConsumed; /* number of credits consumed (outstanding) on the endpoint */ + A_UINT16 ConnectionFlags; /* HTC connection flags */ + int PendingCreditReports; /* no. of pending credit reports issued by this endpoint */ + A_UINT8 DownLinkPipeID; /* The pipe ID to be use for the direction: target -> host */ + A_UINT8 UpLinkPipeID; /* The pipe ID to be use for the direction: host -> target */ +} HTC_ENDPOINT; + +typedef struct _HTC_CONTEXT { + adf_os_handle_t OSHandle; + HTC_ENDPOINT Endpoints[ENDPOINT_MAX]; /* endpoint state structs */ + A_UINT32 EpHostNeedsCreditMap; /* credit update bit map for all EPs */ + A_UINT32 EpCreditPendingMap; /* credits pending bit map for all EPs */ + A_UINT32 EpRecvPausedMap; /* recv pause state bit map for all EPs */ + HTC_ENDPOINT_ID CurrentEpIndex; /* current unused endpoint index */ + HTC_SERVICE HTCControlService; /* the pseudo service that handles EP0 traffic */ + HTC_SERVICE *pServiceList; /* the service list */ + int RecvBufferSize; /* the length of each recv buffer that HTC is given */ + A_UINT32 StateFlags; /* state flags */ + HTC_SETUP_COMPLETE_CB SetupCompleteCb; /* caller supplied setup completion routine */ + int TotalCredits; /* total credits in system */ + int TotalCreditsAssigned; + int NumBuffersForCreditRpts; /* number of control buffers for credit reports */ + int CtrlBufferAllocSize; /* length of allocation */ + A_UINT8 *pCtrlBuffer; /* control buffer to be carved up for messages */ + int MaxEpPendingCreditRpts; /* maximum number of pending credit reports that any 1 EP can have */ + hif_handle_t hifHandle; + pool_handle_t PoolHandle; + + // Left a door for extension the structure + void *pReserved; +} HTC_CONTEXT; + +#define HTC_STATE_SETUP_COMPLETE (1 << 0) /* HTC host-target setup is complete */ +#define HTC_SEND_CREDIT_UPDATE_SOON (1 << 1) /* Credit update message needs to be sent */ +#define HTC_STATE_BUFF_REALLOC (1 << 2) /* buffers have been reallocated for credit messages */ + +#endif /*HTC_INTERNAL_H_*/ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/Magpie_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/Magpie_api.h new file mode 100755 index 0000000..e725fff --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/Magpie_api.h @@ -0,0 +1,201 @@ +/* + * @File: Magpie_api.h + * + * @Abstract: Magpie FW api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _MAGPIE_API_H +#define _MAGPIE_API_H + +#define MAGPIE_FW_BUILD + +#include "cmnos_api.h" +#ifdef MAGPIE_FW_BUILD +#include "vbuf_api.h" +#include "vdesc_api.h" +#include "usbfifo_api.h" +//#include "allocram_api.h" +#endif + +#include "hif_api.h" +#include "htc_api.h" +#include "wmi_svc_api.h" +#include "buf_pool_api.h" +#include "dma_engine_api.h" +#include "dma_lib.h" +#if SYSTEM_MODULE_SFLASH +#include "sflash_api.h" +#endif + +#define A_INDIR(sym) _A_MAGPIE_INDIRECTION_TABLE->sym + +#if SYSTEM_MODULE_DBG +/* debug Support */ +#define DBG_MODULE_INSTALL() cmnos_dbg_module_install(&_A_MAGPIE_INDIRECTION_TABLE->dbg) +#define A_DBG_INIT() A_INDIR(dbg._dbg_init()) +#define A_DBG_TASK() A_INDIR(dbg._dbg_task()) +#else +#define A_DBG_INIT() +#define A_DBG_TASK() +#endif + +/* Serial Flash support */ +#define SFLASH_MODULE_INSTALL() cmnos_sflash_module_install(&_A_MAGPIE_INDIRECTION_TABLE->sflash) +#define A_SFLASH_INIT() A_INDIR(sflash._sflash_init()) +#define A_SFLASH_ERASE(erase_type, addr) A_INDIR(sflash._sflash_erase(erase_type, addr)) +#define A_SFLASH_PROG(addr, len, buf) A_INDIR(sflash._sflash_program(addr, len, buf)) +#define A_SFLASH_READ(fast, addr, len, buf) A_INDIR(sflash._sflash_read(fast, addr, len, buf)) +#define A_SFLASH_RDSR() A_INDIR(sflash._sflash_rdsr()) + + +/* DMA Engine Interface */ +#define DMA_ENGINE_MODULE_INSTALL() dma_engine_module_install(&_A_MAGPIE_INDIRECTION_TABLE->dma_engine); +#define DMA_Engine_init() A_INDIR(dma_engine._init()) +#define DMA_Engine_config_rx_queue(q, nDesc, size) A_INDIR(dma_engine._config_rx_queue(q, nDesc, size)) +#define DMA_Engine_xmit_buf(q, buf) A_INDIR(dma_engine._xmit_buf(q, buf)) +#define DMA_Engine_flush_xmit(q) A_INDIR(dma_engine._flush_xmit(q)) +#define DMA_Engine_reap_recv_buf(q) A_INDIR(dma_engine._reap_recv_buf(q)) +#define DMA_Engine_return_recv_buf(q,buf) A_INDIR(dma_engine._return_recv_buf(q, buf)) +#define DMA_Engine_reap_xmited_buf(q) A_INDIR(dma_engine._reap_xmited_buf(q)) +#define DMA_Engine_swap_data(desc) A_INDIR(dma_engine._swap_data(desc)) +#define DMA_Engine_init_rx_queue(q) A_INDIR(dma_engine._init_rx_queue(q)) +#define DMA_Engine_init_tx_queue(q) A_INDIR(dma_engine._init_tx_queue(q)) +#define DMA_Engine_has_compl_packets(q) A_INDIR(dma_engine._has_compl_packets(q)) +#define DMA_Engine_desc_dump(q) A_INDIR(dma_engine._desc_dump(q)) +#define DMA_Engine_get_packet(q) A_INDIR(dma_engine._get_packet(q)) +#define DMA_Engine_reclaim_packet(q,desc) A_INDIR(dma_engine._reclaim_packet(q,desc)) +#define DMA_Engine_put_packet(q,desc) A_INDIR(dma_engine._put_packet(q,desc)) + +/*DMA Library support for GMAC & PCI(E)*/ +#define DMA_LIB_MODULE_INSTALL() dma_lib_module_install(&_A_MAGPIE_INDIRECTION_TABLE->dma_lib) +#define dma_lib_tx_init(eng_no, if_type) A_INDIR(dma_lib.tx_init(eng_no, if_type)) +#define dma_lib_rx_init(eng_no, if_type) A_INDIR(dma_lib.rx_init(eng_no, if_type)) +#define dma_lib_rx_config(eng_no, desc, gran) A_INDIR(dma_lib.rx_config(eng_no, desc, gran)) +#define dma_lib_tx_start(eng_no) A_INDIR(dma_lib.tx_start(eng_no)) +#define dma_lib_rx_start(eng_no) A_INDIR(dma_lib.rx_start(eng_no)) +#define dma_lib_intr_status(if_type) A_INDIR(dma_lib.intr_status(if_type)) +#define dma_lib_hard_xmit(eng_no, buf) A_INDIR(dma_lib.hard_xmit(eng_no, buf)) +#define dma_lib_flush_xmit(eng_no) A_INDIR(dma_lib.flush_xmit(eng_no)) +#define dma_lib_xmit_done(eng_no) A_INDIR(dma_lib.xmit_done(eng_no)) +#define dma_lib_reap_xmitted(eng_no) A_INDIR(dma_lib.reap_xmitted(eng_no)) +#define dma_lib_reap_recv(eng_no) A_INDIR(dma_lib.reap_recv(eng_no)) +#define dma_lib_return_recv(eng_no, buf) A_INDIR(dma_lib.return_recv(eng_no, buf)) +#define dma_lib_recv_pkt(eng_no) A_INDIR(dma_lib.recv_pkt(eng_no)) + +/* HIF support */ +#define HIF_MODULE_INSTALL() generic_hif_module_install(&_A_MAGPIE_INDIRECTION_TABLE->hif) +#define HIF_init(pConfig) A_INDIR(hif._init(pConfig)) +#define HIF_shutdown(h) A_INDIR(hif._shutdown(h)) +#define HIF_register_callback(h, pConfig) A_INDIR(hif._register_callback(h, pConfig)) +#define HIF_start(h) A_INDIR(hif._start(h)) +#define HIF_config_pipe(h, pipe, desc_list) A_INDIR(hif._config_pipe(h, pipe, desc_list)) +#define HIF_send_buffer(h, pipe, buf) A_INDIR(hif._send_buffer(h, pipe, buf)) +#define HIF_return_recv_buf(h, pipe, buf) A_INDIR(hif._return_recv_buf(h, pipe, buf)) +#define HIF_isr_handler(h) A_INDIR(hif._isr_handler(h)) +#define HIF_is_pipe_supported(h, pipe) A_INDIR(hif._is_pipe_supported(h, pipe)) +#define HIF_get_max_msg_len(h, pipe) A_INDIR(hif._get_max_msg_len(h, pipe)) +#define HIF_get_reserved_headroom(h) A_INDIR(hif._get_reserved_headroom(h)) +#define HIF_get_default_pipe(h,u,d) A_INDIR(hif._get_default_pipe(h,u,d)) + +/* VBUF APIs */ +#ifdef MAGPIE_FW_BUILD +#define VBUF_MODULE_INSTALL() vbuf_module_install(&_A_MAGPIE_INDIRECTION_TABLE->vbuf) +#define VBUF_init(nBuf) A_INDIR(vbuf._init(nBuf)) +#define VBUF_alloc_vbuf() A_INDIR(vbuf._alloc_vbuf()) +#define VBUF_free_vbuf(buf) A_INDIR(vbuf._free_vbuf(buf)) +#endif + +/* VDESC APIs */ +#ifdef MAGPIE_FW_BUILD +#define VDESC_MODULE_INSTALL() vdesc_module_install(&_A_MAGPIE_INDIRECTION_TABLE->vdesc) +#define VDESC_init(nDesc) A_INDIR(vdesc._init(nDesc)) +#define VDESC_alloc_vdesc() A_INDIR(vdesc._alloc_vdesc()) +#define VDESC_get_hw_desc(desc) A_INDIR(vdesc._get_hw_desc(desc)) +#define VDESC_swap_vdesc(dst, src) A_INDIR(vdesc._swap_vdesc(dst, src)) +#endif + +#define HTC_MODULE_INSTALL() htc_module_install(&_A_MAGPIE_INDIRECTION_TABLE->htc) +#define HTC_init(SetupComplete, pConfig) A_INDIR(htc._HTC_Init(SetupComplete, pConfig)) +#define HTC_Shutdown(h) A_INDIR(htc._HTC_Shutdown(h)) +#define HTC_RegisterService(h, s) A_INDIR(htc._HTC_RegisterService(h, s)) +#define HTC_Ready(h) A_INDIR(htc._HTC_Ready(h)) +#define HTC_SendMsg(h, endpt, buf) A_INDIR(htc._HTC_SendMsg(h, endpt, buf)) +#define HTC_ReturnBuffers(h, endpt, buf) A_INDIR(htc._HTC_ReturnBuffers(h, endpt, buf)) +#define HTC_ReturnBuffersList(h, endpt, hd) A_INDIR(htc._HTC_ReturnBuffersList(h, endpt, hd)) +#define HTC_GetReservedHeadroom(h) A_INDIR(htc._HTC_GetReservedHeadroom(h)) + +#define HTC_NotifyTargetInserted(h) +#define HTC_NotifyTargetDetached(h) + +/* WMI SVC module */ +#define WMI_SERVICE_MODULE_INSTALL() WMI_service_module_install(&_A_MAGPIE_INDIRECTION_TABLE->wmi_svc_api) +#define WMI_Init(pCfg) A_INDIR(wmi_svc_api._WMI_Init(pCfg)) +#define WMI_RegisterDispatchTable(h,pT) A_INDIR(wmi_svc_api._WMI_RegisterDispatchTable(h, pT)) +#define WMI_AllocEvent(h,ec,len) A_INDIR(wmi_svc_api._WMI_AllocEvent(h, ec, len)) +#define WMI_SendEvent(h,ev,id,seq,len) A_INDIR(wmi_svc_api._WMI_SendEvent(h, ev, id, seq, len)) +#define WMI_GetPendingEventsCount() A_INDIR(wmi_svc_api._WMI_GetPendingEventsCount()) +#define WMI_GetControlEp() A_INDIR(wmi_svc_api._WMI_GetControlEp()) +#define WMI_SendCompleteHandler(ep, buf) A_INDIR(wmi_svc_api._WMI_SendCompleteHandler(ep, buf)) +#define WMI_Shutdown(h) A_INDIR(wmi_svc_api._WMI_Shutdown(h)) + +/* USB FIFO module */ +#define USBFIFO_MODULE_INSTALL() usbfifo_module_install(&_A_MAGPIE_INDIRECTION_TABLE->usbfifo_api) +#define USBFIFO_init(pConfig) A_INDIR(usbfifo_api._init(pConfig)) +#define USBFIFO_enable_event_isr() A_INDIR(usbfifo_api._enable_event_isr()) + +/* BUF pool module */ +#define BUF_POOL_MODULE_INSTALL() buf_pool_module_install(&_A_MAGPIE_INDIRECTION_TABLE->buf_pool) +#define BUF_Pool_init(h) A_INDIR(buf_pool._init(h)) +#define BUF_Pool_shutdown(h) A_INDIR(buf_pool._shutdown(h)) +#define BUF_Pool_create_pool(h, id, nItems, nSize) A_INDIR(buf_pool._create_pool(h, id, nItems, nSize)) +#define BUF_Pool_alloc_buf(h, id, reserve) A_INDIR(buf_pool._alloc_buf(h, id, reserve)) +#define BUF_Pool_alloc_buf_align(h, id, reserve,align) A_INDIR(buf_pool._alloc_buf_align(h, id, reserve,align)) +#define BUF_Pool_free_buf(h, id, buf) A_INDIR(buf_pool._free_buf(h, id, buf)) + +/* + * This defines the layout of the indirection table, which + * is used to access exported APIs of various modules. The + * layout is shared across ROM and RAM code. RAM code may + * call into ROM and ROM code may call into RAM. Because + * of the latter, existing offsets must not change for the + * lifetime of a revision of ROM; but new members may be + * added at the end. + */ +typedef struct _A_magpie_indirection_table { + _A_cmnos_indirection_table_t cmnos; + +//#if SYSTEM_MODULE_DBG + struct dbg_api dbg; // move to firmware not in cmnos +//#endif + struct hif_api hif; + struct htc_apis htc; + WMI_SVC_APIS wmi_svc_api; + struct usbfifo_api usbfifo_api; + struct buf_pool_api buf_pool; +#ifdef MAGPIE_FW_BUILD + struct vbuf_api vbuf; + struct vdesc_api vdesc; + struct allocram_api allocram; +#endif + struct dma_engine_api dma_engine; + struct dma_lib_api dma_lib; + +// structure to be added after this.... + struct sflash_api sflash; +} _A_magpie_indirection_table_t; + +extern _A_magpie_indirection_table_t _indir_tbl; +#define _A_MAGPIE_INDIRECTION_TABLE_SIZE sizeof(_A_magpie_indirection_table_t) +#if defined(__mips__) +#define _A_MAGPIE_INDIRECTION_TABLE ((_A_magpie_indirection_table_t *)0x700) +#else +#define _A_MAGPIE_INDIRECTION_TABLE (&_indir_tbl) +#endif + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/athos_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/athos_api.h new file mode 100755 index 0000000..ea658fe --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/athos_api.h @@ -0,0 +1,188 @@ +#ifndef __ATHOS_API_H__ +#define __ATHOS_API_H__ + +/* + * This file contains wrappers to OS operating system functions + * that are available in the Athos version of the operating system. + * + * Target software must always use these wrappers to access OS + * services -- it may not access any OS services directly. + * + * These wrappers are intended to provide OS-independence for applications. + * Using this header file, an application should be able to compile and + * fully link without any other OS header files, source files, or + * binary files. + */ + +#include +#include "dt_defs.h" +#include "cmnos_api.h" +//#include "HIF_api.h" +//#include "vbuf_api.h" +//#include "vdesc_api.h" +//#include "htc_api.h" +#include "Magpie_api.h" + +/* ROM Patch API */ + + +/* HTC API */ + + +/* WMI Adaptive API - required for WMI SVCs as they refer some of them*/ + + +/* WLAN WMI API - required for WMI SVCs and others...*/ + +#if 0 +#define A_INDIR(sym) _A_OS_INDIRECTION_TABLE->sym + +/* HIF support */ +#define HIF_MODULE_INSTALL() hif_module_install(&_A_OS_INDIRECTION_TABLE->hif) +#define HIF_init(pConfig) A_INDIR(hif._init(pConfig)) +#define HIF_start() A_INDIR(hif._start()) +#define HIF_config_pipe(pipe, desc_list) A_INDIR(hif._config_pipe(pipe, desc_list)) +#define HIF_send_buffer(pipe, buf) A_INDIR(hif._send_buffer(pipe, buf)) +#define HIF_return_recv_buf(pipe, buf) A_INDIR(hif._return_recv_buf(pipe, buf)) +#define HIF_isr_handler() A_INDIR(hif._isr_handler()) +#define HIF_is_pipe_supported(pipe) A_INDIR(hif._is_pipe_supported(pipe)) + +/* VBUF APIs */ +#define VBUF_MODULE_INSTALL() vbuf_module_install(&_A_OS_INDIRECTION_TABLE->vbuf) +#define VBUF_init(dataAddr, nBuf) A_INDIR(vbuf._init(dataAddr, nBuf)) +#define VBUF_alloc_vbuf() A_INDIR(vbuf._alloc_vbuf()) +#define VBUF_free_vbuf(buf) A_INDIR(vbuf._free_vbuf(buf)) + +/* VDESC APIs */ +#define VDESC_MODULE_INSTALL() vdesc_module_install(&_A_OS_INDIRECTION_TABLE->vdesc) +#define VDESC_init(dataAddr, nTxDesc, nRxDesc) A_INDIR(vdesc._init(dataAddr, nTxDesc, nRxDesc)) +#define VDESC_alloc_vdesc(type) A_INDIR(vdesc._alloc_vdesc(type)) +#define VDESC_get_hw_desc(type, desc) A_INDIR(vdesc._get_hw_desc(type, desc)) +//#define VDESC_free_vdesc(buf) A_INDIR(vdesc._free_vdesc(buf)) + +#define HTC_MODULE_INSTALL() htc_module_install(&_A_OS_INDIRECTION_TABLE->htc) +//#define HTC_init(dataAddr, SetupComplete, RecvBufferSize) A_INDIR(htc._HTC_Init(dataAddr, SetupComplete, RecvBufferSize)) +#define HTC_init(dataAddr, SetupComplete, pConfig) A_INDIR(htc._HTC_Init(dataAddr, SetupComplete, pConfig)) +#define HTC_RegisterService(s) A_INDIR(htc._HTC_RegisterService(s)) +#define HTC_Ready() A_INDIR(htc._HTC_Ready()) +#define HTC_SendMsg(endpt, buf) A_INDIR(htc._HTC_SendMsg(endpt, buf)) +#define HTC_ReturnBuffers(endpt, buf) A_INDIR(htc._HTC_ReturnBuffers(endpt, buf)) + +#if 0 +/* WMI SVC module */ +#define WMI_SERVICE_MODULE_INSTALL() WMI_service_module_install(&_A_OS_INDIRECTION_TABLE->wmi_svc_api) +#define WMI_Init(dataAddr, pCfg) A_INDIR(wmi_svc_api._WMI_Init(dataAddr, pCfg)) +#define WMI_RegisterDispatchTable(pT) A_INDIR(wmi_svc_api._WMI_RegisterDispatchTable(pT)) +#define WMI_AllocEvent(ec,len) A_INDIR(wmi_svc_api._WMI_AllocEvent(ec, len)) +#define WMI_SendEvent(ev,id,seq,len) A_INDIR(wmi_svc_api._WMI_SendEvent(ev, id, seq, len)) +#define WMI_GetPendingEventsCount() A_INDIR(wmi_svc_api._WMI_GetPendingEventsCount()) +#define WMI_GetControlEp() A_INDIR(wmi_svc_api._WMI_GetControlEp()) +#define WMI_SendCompleteHandler(ep, buf) A_INDIR(wmi_svc_api._WMI_SendCompleteHandler(ep, buf)) +#endif + +/* + * This defines the layout of the indirection table, which + * is used to access exported APIs of various modules. The + * layout is shared across ROM and RAM code. RAM code may + * call into ROM and ROM code may call into RAM. Because + * of the latter, existing offsets must not change for the + * lifetime of a revision of ROM; but new members may be + * added at the end. + */ +typedef struct _A_athos_indirection_table { + _A_cmnos_indirection_table_t cmnos; + + /* TBD: to be added */ + struct hif_api hif; + struct vbuf_api vbuf; + struct vdesc_api vdesc; + struct htc_apis htc; + //WMI_SVC_APIS wmi_svc_api; +} _A_athos_indirection_table_t; + +#if 1 +extern _A_athos_indirection_table_t _indir_tbl; +#define _A_OS_INDIRECTION_TABLE_SIZE sizeof(_A_athos_indirection_table_t) +#define _A_OS_INDIRECTION_TABLE (&_indir_tbl) +#endif +#endif + +extern unsigned int _data_start_in_rom; +extern unsigned int _data_start; +extern unsigned int _data_end; +extern unsigned int _bss_start; +extern unsigned int _bss_end; +extern unsigned int _stack_sentry; +extern unsigned int __stack; +extern unsigned int _fw_image_end; + +#if defined(__XTENSA__) +#define START_DATA _data_start +#define END_DATA _data_end +#define START_BSS _bss_start +#define END_BSS _bss_end + +#define STACK_START _stack_sentry +#define STACK_END __stack +#endif + + +struct _A_os_linkage_check { + int version; + int table; +}; + + +/* + * A_INIT() handles any initialization needed by the OS abstraction, + * and it clears the application's BSS, if necessary. (Application BSS + * is not cleared if the application is linked into a single image that + * includes AthOS.) + * + * A_INIT() must be called first thing in the application (from app_start) + * in order to guarantee that BSS has been cleared properly. + */ +static INLINE int +A_INIT(void) +{ + struct _A_os_linkage_check link_check; + unsigned int *clrptr; + + if (&START_BSS != _A_MAGPIE_INDIRECTION_TABLE->cmnos.start_bss) { + /* Clear BSS */ + for (clrptr = &START_BSS; clrptr < &END_BSS; clrptr++) { + *clrptr = 0; + } + } + + { + /* Copy writable data from flash to RAM. */ + unsigned int *srcptr, *destptr; + + /* + * The _data_start symbol points to the start of data IN FLASH. + * It is defined by flash.ld at application link time. If flash.ld + * is not used, it is defined (on the link line) as 0. + */ + static int *data_start_addr = &_data_start; + + if (data_start_addr != 0) { + for (srcptr = &_data_start, destptr = &START_DATA; + destptr < &END_DATA; + srcptr++, destptr++) + { + *destptr = *srcptr; + } + } + } + +#define OS_LINKAGE_VERSION 4 + link_check.version = OS_LINKAGE_VERSION; + link_check.table = _A_MAGPIE_INDIRECTION_TABLE_SIZE; + + return A_CMN(hal_linkage_check(sizeof(link_check), &link_check)); +} + + +#endif /* __ATHOS_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie/reg_defs.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie/reg_defs.h new file mode 100755 index 0000000..bdf6893 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie/reg_defs.h @@ -0,0 +1,251 @@ +/*************************************************************************/ +/* Copyright (c) 2006 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : reg_defs.h */ +/* */ +/* Abstract */ +/* This file contains the register addr and marco definition. */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ +#ifndef _REG_DEFS_H_ +#define _REG_DEFS_H_ + +#include "dt_defs.h" + +#define BIT_SET(bit) (1<>2)) + +#endif /* _MEM_ADDRS_H_ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie_regdump.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie_regdump.h new file mode 100755 index 0000000..6a599f8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/magpie_regdump.h @@ -0,0 +1,42 @@ +/* + * Copyright (c) 2006 Atheros Communications Inc. + * All rights reserved. + */ + +#ifndef __MAGPIE_REGDUMP_H__ +#define __MAGPIE_REGDUMP_H__ + +#if !defined(__ASSEMBLER__) +/* + * XTensa CPU state + * This must match the state saved by the target exception handler. + */ +struct XTensa_exception_frame_s { + uint32_t xt_pc; + uint32_t xt_ps; + uint32_t xt_sar; + uint32_t xt_vpri; + uint32_t xt_a2; + uint32_t xt_a3; + uint32_t xt_a4; + uint32_t xt_a5; + uint32_t xt_exccause; + uint32_t xt_lcount; + uint32_t xt_lbeg; + uint32_t xt_lend; + + /* Extra info to simplify post-mortem stack walkback */ +#define MAGPIE_REGDUMP_FRAMES 5 + struct { + uint32_t a0; /* pc */ + uint32_t a1; /* sp */ + uint32_t a2; + uint32_t a3; + } wb[MAGPIE_REGDUMP_FRAMES]; +}; + +typedef struct XTensa_exception_frame_s CPU_exception_frame_t; +#define RD_SIZE sizeof(CPU_exception_frame_t) + +#endif +#endif /* __MAGPIE_REGDUMP_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/opt_ah.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/opt_ah.h new file mode 100755 index 0000000..eeec53d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/opt_ah.h @@ -0,0 +1,8 @@ +#define AH_SUPPORT_AR5416 1 +#define AH_SUPPORT_2133 1 +#define AH_SUPPORT_5413 1 +//#define AH_DEBUG 1 +//#define AR5416_EMULATION 1 +#define ATH_FORCE_PPM 1 +#define ATH_FORCE_BIAS 1 +#define AH_SUPPORT_DFS 1 diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/regdump.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/regdump.h new file mode 100755 index 0000000..6b8df63 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/regdump.h @@ -0,0 +1,33 @@ +#ifndef __REGDUMP_H__ +#define __REGDUMP_H__ + +#include "magpie_regdump.h" + + +#if !defined(__ASSEMBLER__) + +/* + * XTensa CPU state + * This must match the state saved by the target exception handler. + */ + +#define RD_SIZE sizeof(CPU_exception_frame_t) + +/* + * Target CPU state at the time of failure is reflected + * in a register dump, which the Host can fetch through + * the diagnostic window. + */ +struct register_dump_s { + uint32_t target_id; /* Target ID */ + uint32_t assline; /* Line number (if assertion failure) */ + uint32_t pc; /* Program Counter at time of exception */ + uint32_t badvaddr; /* Virtual address causing exception */ + CPU_exception_frame_t exc_frame; /* CPU-specific exception info */ + + /* Could copy top of stack here, too.... */ +}; + + +#endif /* __ASSEMBLER__ */ +#endif /* __REGDUMP_H__ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/sys_cfg.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/sys_cfg.h new file mode 100755 index 0000000..c2a2092 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/inc/sys_cfg.h @@ -0,0 +1,67 @@ +/*************************************************************************/ +/* Copyright (c) 2006 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : sys_cfg.h */ +/* */ +/* Abstract */ +/* This file contains definition of platform and sysmte config . */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#ifndef _SYS_CFG_H_ +#define _SYS_CFG_H_ + +/************************** FPGA version **************************/ +#define MAGPIE_FPGA_RAM_256K 1 + +/************************** ROM DEFINE ***************************/ + +#if defined(_ROM_) +#include "rom_cfg.h" + +#if MAGPIE_FPGA_RAM_256K == 1 +#undef MAX_BUF_NUM +#define MAX_BUF_NUM 100 +#endif + +#elif defined(_RAM_) + +#include "rom_cfg.h" +#include "magpie_mem.h" + +/************************* Resource DEFS ***********************/ +#define MAX_DESC_NUM 100 + +#ifdef RX_SCATTER +#define MAX_BUF_NUM 60 +#else +#define MAX_BUF_NUM 40 +#endif + +#if MAGPIE_FPGA_RAM_256K == 1 +#undef MAX_BUF_NUM +#define MAX_BUF_NUM 100 +#endif + +#undef SYSTEM_MODULE_DBG +#define SYSTEM_MODULE_DBG 1 + +/************************* WLAN DEFS ***************************/ +#define MAGPIE_ENABLE_WLAN 1 +#define MAGPIE_ENABLE_PCIE 1 +#define MAGPIE_ENABLE_WLAN_IN_TARGET 0 +#define MAGPIE_ENABLE_WLAN_SELF_TX 0 +#define MAGPIE_ENABLE_WLAN_RATE_CTRL 1 +#define WLAN_MAX_RXBUF 15 +#define WLAN_MAX_TXBUF 10 + +/****************************** WATCH DOG *******************************/ +#define WDT_DEFAULT_TIMEOUT_VALUE 3*ONE_MSEC*1000 // Initial value is 3 seconds, firmware changes it to 65 milliseconds + +#endif + + +#endif /* _SYS_CFG_H_ */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/Makefile new file mode 100755 index 0000000..f2f8552 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = vbuf + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libvbuf.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/Makefile.ss new file mode 100755 index 0000000..fafc020 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/Makefile.ss @@ -0,0 +1,45 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/vbuf.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/inc/vbuf_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/inc/vbuf_api.h new file mode 100755 index 0000000..6119eb5 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/inc/vbuf_api.h @@ -0,0 +1,46 @@ +/* + * @File: VBUF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _VBUF_API_H +#define _VBUF_API_H + +#include + +#define MAX_BUF_CTX_LEN 20 + +typedef struct _VBUF +{ + VDESC *desc_list; + struct _VBUF *next_buf; + A_UINT16 buf_length; + A_UINT8 reserved[2]; + A_UINT8 ctx[MAX_BUF_CTX_LEN]; + //A_UINT8 end_point; + //A_UINT8 reserved[1]; +} VBUF; + +#define VBUF_GET_DATA_ADDR(vbuf) (vbuf->desc_list->buf_addr + vbuf->desc_list->data_offset) + +/* hardware API table structure (API descriptions below) */ +struct vbuf_api { + void (*_init)(int nBuf); + VBUF* (*_alloc_vbuf)(void); + VBUF* (*_alloc_vbuf_with_size)(int size, int reserve); + void (*_free_vbuf)(VBUF *buf); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void vbuf_module_install(struct vbuf_api *apis); + +#endif /* #ifndef _HIF_API_H */ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/src/vbuf.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/src/vbuf.c new file mode 100755 index 0000000..581bdaa --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/src/vbuf.c @@ -0,0 +1,94 @@ +/* + * @File: vbuf.c + * + * @Abstract: + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + + +#include +#include +#include + +#include "vbuf.h" + +#define VBUF_SIZE sizeof(VBUF) + +struct VBUF_CONTEXT g_vbufCtx; + +void _vbuf_init(int nBuf); +VBUF* _vbuf_alloc_vbuf(void); +void _vbuf_free_vbuf(VBUF *buf); + +void _vbuf_init(int nBuf) +{ + int i; + VBUF *vbuf; + + //vbuf = (VBUF*)dataAddr; + vbuf = (VBUF*)A_ALLOCRAM(VBUF_SIZE); + vbuf->next_buf = NULL; + vbuf->desc_list = NULL; + + g_vbufCtx.free_buf_head = vbuf; + + for(i=1; idesc_list = NULL; + vbuf->next_buf = g_vbufCtx.free_buf_head; + g_vbufCtx.free_buf_head = vbuf; + } + + g_vbufCtx.nVbufNum = nBuf; + //return (dataAddr + nBuf*VBUF_SIZE); + return; +} + +VBUF* _vbuf_alloc_vbuf(void) +{ + VBUF *allocBuf = NULL; + + if ( g_vbufCtx.free_buf_head != NULL ) + { + allocBuf = g_vbufCtx.free_buf_head; + g_vbufCtx.nVbufNum--; + + g_vbufCtx.free_buf_head = allocBuf->next_buf; + allocBuf->next_buf = NULL; + } + + return allocBuf; +} + +void _vbuf_free_vbuf(VBUF *buf) +{ + // assert buf != NULL + + buf->next_buf = g_vbufCtx.free_buf_head; + g_vbufCtx.free_buf_head = buf; + + g_vbufCtx.nVbufNum++; +} + +/* the exported entry point into this module. All apis are accessed through + * function pointers */ +void vbuf_module_install(struct vbuf_api *apis) +{ + /* hook in APIs */ + apis->_init = _vbuf_init; + apis->_alloc_vbuf = _vbuf_alloc_vbuf; + apis->_free_vbuf = _vbuf_free_vbuf; + + /* save ptr to the ptr to the context for external code to inspect/modify internal module state */ + //apis->pReserved = &g_pMboxHWContext; +} + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/src/vbuf.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/src/vbuf.h new file mode 100755 index 0000000..d76ed03 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vbuf/src/vbuf.h @@ -0,0 +1,25 @@ +/* + * @File: + * + * @Abstract: + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef VBUF_H_ +#define VBUF_H_ + +struct VBUF_CONTEXT { + VBUF *free_buf_head; + int nVbufNum; + + // Left a door for extension the structure + void *pReserved; +}; + +#endif /*VBUF_H_*/ diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/Makefile b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/Makefile new file mode 100755 index 0000000..cae0ee1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/Makefile @@ -0,0 +1,60 @@ +include $(MAGPIE_ROOT)/build/make_opt/Makefile.h + + +# +# Sub-system source main path +# +# !!Customize!! + +export SSNAME = vdesc + + +# +# Sub-system source main path +# + +export SSMPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME) + + +# +# Sub-system object search path for GNU tool chain +# +# !!Customize!! + +export SSOBJPATH = $(PRJ_ROOT)/$(TARGET)/$(SSNAME)/obj + + +# +# Sub-system/module list at this layer +# +# !!Customize!! + +SUBDIRS = . + + +# +# Archive for this package +# +# !!Customize!! + +export L_TARGET = $(LIB_PATH)/libvdesc.a + + +# +# Targets +# + +all: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss all || exit $?; done +# ar -rcs $(L_TARGET) `find . -name "*.o"` + + +dep: + for i in $(SUBDIRS) ; do $(MAKE) -C $$i -f Makefile.ss dep || exit $?; done + +clean: + $(MAKE) clean -f Makefile.ss + +init: + $(MAKE) -f Makefile.ss init + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/Makefile.ss b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/Makefile.ss new file mode 100755 index 0000000..c908045 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/Makefile.ss @@ -0,0 +1,44 @@ +# +# Sub-system source search path for Adjust_Dep at this dir level +# +# !!Customize!! + +export SSPATH = $(SSMPATH)/src + + +# +# Extra include paths required by this module at this directory level +# +# !!Customize!! + +EXTRA_HPATH = -I$(SSPATH) -I$(SSMPATH)/inc +#EXTRA_HPATH = + +EXTRA_CFLAGS = +EXTRA_CCFLAGS = +EXTRA_ASFLAGS = +CFLAGS += + + +# +# Search path for GNU tool chain +# + +VPATH = $(LIB_PATH):$(SSOBJPATH) + + +# +# Object list at this directory level +# +# !!Customize!! + +O_OBJS = $(SSOBJPATH)/vdesc.o + + +include $(MAGPIE_ROOT)/build/make_opt/Rules.make + +# +# The followings are automatically generated by the tool adj_dep +# + +### Dep diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/inc/vdesc_api.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/inc/vdesc_api.h new file mode 100755 index 0000000..631f134 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/inc/vdesc_api.h @@ -0,0 +1,46 @@ +/* + * @File: VBUF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _VDESC_API_H +#define _VDESC_API_H + +//#define VDESC_CONTROL_BUF_HDR (1 << 6) /* the buffer was manipulated and a header added */ + +#define MAX_HW_DESC_SIZE 20 + +typedef struct _VDESC +{ + struct _VDESC *next_desc; + A_UINT8 *buf_addr; + A_UINT16 buf_size; + A_UINT16 data_offset; + A_UINT16 data_size; + A_UINT16 control; + A_UINT8 hw_desc_buf[MAX_HW_DESC_SIZE]; +} VDESC; + +//#define VDESC_HW_TO_VDESC(hwdesc) ((VDESC *)(((A_UINT32 *)hwdesc - 4))) +#define VDESC_HW_TO_VDESC(hwdesc) ((VDESC *)(((A_UINT32 *)hwdesc - 4))) + +struct vdesc_api { + void (*_init)(int nDesc); + VDESC* (*_alloc_vdesc)(); + A_UINT8* (*_get_hw_desc)(VDESC *desc); + void (*_swap_vdesc)(VDESC *dest, VDESC *src); + //void (*_free_vdesc)(void); + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void vdesc_module_install(struct vdesc_api *apis); + +#endif diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/src/vdesc.c b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/src/vdesc.c new file mode 100755 index 0000000..5cfd7ce --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/src/vdesc.c @@ -0,0 +1,218 @@ +/* + * @File: vdesc.c + * + * @Abstract: + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + + +#include +#include +#include + +#include "vdesc.h" + +#define VDESC_SIZE sizeof(VDESC) +//#define VDESC_TX_SIZE sizeof(VDESC_TX) +//#define VDESC_RX_SIZE sizeof(VDESC_RX) + +static VDESC* alloc_rx_desc(void); +static VDESC* alloc_tx_desc(void); +void _vdesc_init(int nDesc); +A_UINT8* _vdesc_get_hw_desc(VDESC *desc); +VDESC* _vdesc_alloc_desc(); +void _vdesc_swap_vdesc(VDESC *dest, VDESC *src); + +struct VDESC_CONTEXT g_vdescCtx; + +void _vdesc_init(int nDesc) +{ + int i; + VDESC *vdesc; + //int nextAddr = dataAddr; + //int nDesc = nTxDesc + nRxDesc; + + // Initialize VDESC_TX for nTxDesc number + //vdesc = (VDESC*)dataAddr; + vdesc = (VDESC *)A_ALLOCRAM(sizeof(VDESC)); + vdesc->next_desc = NULL; + vdesc->control = 0; + +#if 1 + g_vdescCtx.free_vdesc_head = vdesc; + + for(i=1; icontrol = 0; + + vdesc->next_desc = g_vdescCtx.free_vdesc_head; + g_vdescCtx.free_vdesc_head = vdesc; + } + + //nextAddr = nextAddr + VDESC_SIZE; + //return nextAddr; + return; +#else + g_vdescCtx.free_vdesc_tx_head = vdesc; + for(i=1; icontrol = 0; + + vdesc->next_desc = g_vdescCtx.free_vdesc_tx_head; + g_vdescCtx.free_vdesc_tx_head = vdesc; + } + + nextAddr = nextAddr + VDESC_TX_SIZE; + + // Initialize VDESC_RX for nTxDesc number + vdesc = (VDESC*)nextAddr; + vdesc->next_desc = NULL; + vdesc->control = 0; + + g_vdescCtx.free_vdesc_rx_head = vdesc; + for(i=1; icontrol = 0; + + vdesc->next_desc = g_vdescCtx.free_vdesc_rx_head; + g_vdescCtx.free_vdesc_rx_head = vdesc; + } + + return (nextAddr + nRxDesc*VDESC_RX_SIZE); +#endif +} + +#if 0 +static VDESC* alloc_tx_desc(void) +{ + VDESC *allocDesc = NULL; + + if ( g_vdescCtx.free_vdesc_tx_head != NULL ) + { + allocDesc = g_vdescCtx.free_vdesc_tx_head; + + //g_vbufCtx.nVbufNum--; + + g_vdescCtx.free_vdesc_tx_head = allocDesc->next_desc; + allocDesc->next_desc = NULL; + } + + return allocDesc; +} + +static VDESC* alloc_rx_desc(void) +{ + VDESC *allocDesc = NULL; + + if ( g_vdescCtx.free_vdesc_rx_head != NULL ) + { + allocDesc = g_vdescCtx.free_vdesc_rx_head; + //g_vbufCtx.nVbufNum--; + + g_vdescCtx.free_vdesc_rx_head = allocDesc->next_desc; + allocDesc->next_desc = NULL; + } + + return allocDesc; +} +#endif + +VDESC* _vdesc_alloc_desc() +{ +#if 1 + VDESC *allocDesc = NULL; + + if ( g_vdescCtx.free_vdesc_head != NULL ) + { + allocDesc = g_vdescCtx.free_vdesc_head; + //g_vbufCtx.nVbufNum--; + + g_vdescCtx.free_vdesc_head = allocDesc->next_desc; + allocDesc->next_desc = NULL; + } + + return allocDesc; +#else + if ( type == VDESC_TYPE_RX ) + { + return alloc_rx_desc(); + } + else + { + return alloc_tx_desc(); + } +#endif +} + +A_UINT8* _vdesc_get_hw_desc(VDESC *desc) +{ +#if 1 + return desc->hw_desc_buf; +#else + if ( type == VDESC_TYPE_RX ) + { + return ((VDESC_RX*)desc)->hw_desc_buf; + } + else + { + return ((VDESC_TX*)desc)->hw_desc_buf; + } +#endif +} + +void _vdesc_swap_vdesc(VDESC *dest, VDESC *src) +{ + A_UINT32 tmp; + A_UINT8 *tmpAddr; + + tmp = dest->buf_size; + dest->buf_size = src->buf_size; + src->buf_size = tmp; + + tmp = dest->data_offset; + dest->data_offset = src->data_offset; + src->data_offset = tmp; + + tmp = dest->data_size; + dest->data_size = src->data_size; + src->data_size = tmp; + + tmp = dest->control; + dest->control = src->control; + src->control = tmp; + + tmpAddr = dest->buf_addr; + dest->buf_addr = src->buf_addr; + src->buf_addr = tmpAddr; +} + +/* the exported entry point into this module. All apis are accessed through + * function pointers */ +void vdesc_module_install(struct vdesc_api *apis) +{ + /* hook in APIs */ + apis->_init = _vdesc_init; + apis->_alloc_vdesc = _vdesc_alloc_desc; + apis->_get_hw_desc = _vdesc_get_hw_desc; + apis->_swap_vdesc = _vdesc_swap_vdesc; + + //apis->_free_vbuf = _vbuf_free_vbuf; + + /* save ptr to the ptr to the context for external code to inspect/modify internal module state */ + //apis->pReserved = &g_pMboxHWContext; +} + + diff --git a/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/src/vdesc.h b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/src/vdesc.h new file mode 100755 index 0000000..f9d2d52 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/magpie_1_1/sboot/vdesc/src/vdesc.h @@ -0,0 +1,24 @@ +/* + * @File: + * + * @Abstract: + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef VDESC_H_ +#define VDESC_H_ + +struct VDESC_CONTEXT { + VDESC *free_vdesc_head; + + // Left a door for extension the structure + void *pReserved; +}; + +#endif /*VDESC_H_*/ diff --git a/target_firmware/magpie_fw_dev/build/make_opt/Makefile.h b/target_firmware/magpie_fw_dev/build/make_opt/Makefile.h new file mode 100755 index 0000000..e59e436 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/make_opt/Makefile.h @@ -0,0 +1,48 @@ +# +# Include the make variables (CC, etc...) +# + +export XTENSA_TOOL_INSTALLED=1 + +ifeq ($(XTENSA_TOOLS_ROOT),) +XTENSA_TOOL_INSTALLED=0 +export XTENSA_INSTALL_PATH=$(PWD)/../../../../../../toolchain +export XTENSA_CORE=Magpie_P0 +export LM_LICENSE_FILE=27020@us1-lic1:1718@xia:27020@zydasfs +export XTENSA_TOOLS_ROOT=$(XTENSA_INSTALL_PATH)/inst +export XTENSA_ROOT=$(XTENSA_INSTALL_PATH)/builds/RB-2007.2-linux/$(XTENSA_CORE) +export XTENSA_SYSTEM=$(XTENSA_ROOT)/config +endif +export XTENSA_TOOL_INSTALLED=1 +export XCC=$(XTENSA_TOOLS_ROOT)/bin/xtensa-elf-gcc +export XLD=$(XTENSA_TOOLS_ROOT)/bin/xtensa-elf-ld +export XAR=$(XTENSA_TOOLS_ROOT)/bin/xtensa-elf-ar +export XOBJCOPY=$(XTENSA_TOOLS_ROOT)/bin/xtensa-elf-objcopy +export XOBJDUMP=$(XTENSA_TOOLS_ROOT)/bin/xtensa-elf-objdump + +export CC = $(CROSS_COMPILE)/$(TARGET_PREFIX)xcc +export AS = $(CROSS_COMPILE)/$(TARGET_PREFIX)xcc +export AR = $(CROSS_COMPILE)/$(TARGET_PREFIX)ar +export LD = $(CROSS_COMPILE)/$(TARGET_PREFIX)xcc +export NM = $(CROSS_COMPILE)/$(TARGET_PREFIX)nm +export OBJCOPY = $(CROSS_COMPILE)/$(TARGET_PREFIX)objcopy +export OBJDUMP = $(CROSS_COMPILE)/$(TARGET_PREFIX)objdump +export BIN2HEX = $(MAGPIE_ROOT)/build/utility/bin/bin2hex +export IMGHDR = $(MAGPIE_ROOT)/build/utility/bin/imghdr +export MK_SYMBOL = sh $(MAGPIE_ROOT)/build/utility/sh/make_ld.sh +export RM rm + +# +# export all these symbols for compilation +# +export CFLAGS = $(ARCH) $(DEFS) $(DFLAGS) $(CCOPTS) $(HPATH) +export CCFLAGS = $(HPATH) $(DEFS) $(DFLAGS) $(CCOPTS) +export ASFLAGS = $(ARCH) $(DEFS) $(DFLAGS) $(ASOPTS) $(HPATH) +export ARFLAGS = -rcs + +# +# Set the default value of MAGPIE_IF to usb if not specified +# +ifeq ($(MAGPIE_IF),) +MAGPIE_IF=usb +endif diff --git a/target_firmware/magpie_fw_dev/build/make_opt/Rules.make b/target_firmware/magpie_fw_dev/build/make_opt/Rules.make new file mode 100755 index 0000000..87a3bf2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/make_opt/Rules.make @@ -0,0 +1,91 @@ +# +# This file contains rules which are shared between multiple Makefiles +# +# + +# +# Special variables which should not be exported +# + +unexport EXTRA_CFLAGS +unexport EXTRA_CCFLAGS +unexport EXTRA_ASFLAGS +unexport EXTRA_HPATH + + +# +# Common rules +# + +E=echo + +$(SSOBJPATH)/%.o: $(SSPATH)/%.c Makefile.ss + @mkdir -p ./obj + @$(CC) $(EXTRA_HPATH) $(CFLAGS) $(EXTRA_CFLAGS) -c -o $@ $< + @$(E) " CC " $(shell basename $<) + +$(SSOBJPATH)/%.o: $(SSPATH)/%.cpp Makefile.ss + @$(CPP) $(EXTRA_HPATH) $(CCFLAGS) $(EXTRA_CCFLAGS) -c -o $@ $< + @$(E) " CPP " $(shell basename $<) + +$(SSOBJPATH)/%.o: $(SSPATH)/%.s Makefile.ss + @$(AS) $(EXTRA_HPATH) $(ASFLAGS) $(EXTRA_ASFLAGS) -c -o $@ $< + @$(E) " AS " $(shell basename $<) + +$(SSOBJPATH)/%.o: $(SSPATH)/%.S Makefile.ss + @$(AS) $(EXTRA_HPATH) $(ASFLAGS) $(EXTRA_ASFLAGS) -c -o $@ $< + @$(E) " AS " $(shell basename $<) + +$(L_TARGET): $(O_OBJS) + @$(AR) $(ARFLAGS) $@ $? + @$(E) " AR " $(shell basename $@) + + +# +# Target for this sub Makefile +# + +all: $(L_TARGET) $(ASM_OBJS) + +dep: + sed '/\#\#\# Dep/q' < Makefile.ss > tmp_make + $(CC) $(EXTRA_HPATH) $(CFLAGS) $(EXTRA_CFLAGS) -MM $(SSPATH)/*.c $(ASM_OBJS:$(SSOBJPATH)/%.o=$(SSPATH)/%.S) > tmp1_file + $(MAGPIE_ROOT)/build/utility/bin/adj_dep $(SSOBJPATH)/ + cat tmp2_file >> tmp_make + mv tmp_make Makefile.ss + -rm tmp1_file + -rm tmp2_file + +clean: + @find $(SSOBJPATH) -name "*.o" -exec rm {} \; + @rm -rf obj + @if [ -f "$(L_TARGET)" ]; then rm $(L_TARGET); fi + +init: # copy/share subsystem headers file + #-mkdir $(MAGPIE_ROOT)/src/ss_hdr/$(LAYERNAME)/$(SSNAME) + #-mkdir $(MAGPIE_ROOT)/src/ss_hdr/$(LAYERNAME)/$(SSNAME)/hdr + #-cp -p $(SSMPATH)/hdr/*.h $(MAGPIE_ROOT)/src/ss_hdr/$(LAYERNAME)/$(SSNAME)/hdr + -chmod o+w $(SSPATH)/*.c + -chmod o+w $(SSPATH)/*.S + -chmod o+w $(SSPATH)/*.s + -rm $(SSPATH)/*.c + -rm $(SSPATH)/*.S + -rm $(SSPATH)/*.s + -chmod o+w $(SSMPATH)/*.c + -chmod o+w $(SSMPATH)/*.S + -chmod o+w $(SSMPATH)/*.s + -rm $(SSMPATH)/*.c + -rm $(SSMPATH)/*.S + -rm $(SSMPATH)/*.s + + +# +# test only +# +# Linux use below: +$(SSOBJPATH)/%: + $(CC) $(CFLAGS) $(EXTRA_HPATH) $(EXTRA_CFLAGS) -o $@ $(SSOBJPATH)/*.o $(LDFLAGS) +# Cygwin use below +#$(SSOBJPATH)/%: +# $(CC) $(CFLAGS) $(EXTRA_HPATH) $(EXTRA_CFLAGS) -o $@ $(SSOBJPATH)/*.o +exe: $(O_EXE) diff --git a/target_firmware/magpie_fw_dev/build/make_opt/RulesCPP.make b/target_firmware/magpie_fw_dev/build/make_opt/RulesCPP.make new file mode 100755 index 0000000..cded53f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/make_opt/RulesCPP.make @@ -0,0 +1,107 @@ +# +# This file contains rules which are shared between multiple Makefiles +# +# $Log: RulesCPP.make,v $ +# Revision 1.2 2004/06/30 08:01:08 wyatthsu +# use CPP instead of CC +# +# Revision 1.1 2003/06/26 02:14:53 dinoking +# add for dependcy of CPP files +# +# Revision 1.11 2003/06/03 14:20:29 philiphuang +# Remove warning messge for -rm *.o ..... +# +# Revision 1.10 2003/04/02 01:59:11 yhlin +# Make this file checking dependency of Makefile.ss +# +# Revision 1.9 2003/03/27 08:28:07 yhlin +# Put .S back to Rules.make. .s and .S are meanful for GCC. +# +# Revision 1.8 2003/03/26 14:39:46 yhlin +# Take off redendent rules +# +# Revision 1.7 2003/03/25 08:08:40 tedwang +# 1. Add target rule for capital .S, +# $(SSOBJPATH)/%.o: $(SSPATH)/%.S +# 2. Add target variable $(ASM_OBJS) for assembly object and dependency generation. +# +# Revision 1.6 2003/03/24 16:29:53 yhlin +# Add ability to do assembler +# +# Revision 1.5 2003/03/20 11:39:53 yhlin +# no message +# +# Revision 1.4 2003/03/17 16:08:29 yhlin +# Make sure common rule for L_TARGET is working and take off redundant rules. +# +# + +# +# Special variables which should not be exported +# + +unexport EXTRA_CFLAGS +unexport EXTRA_CCFLAGS +unexport EXTRA_ASFLAGS +unexport EXTRA_HPATH + + +# +# Common rules +# + +$(SSOBJPATH)/%.o: $(SSPATH)/%.c Makefile.ss + $(CC) $(EXTRA_HPATH) $(CFLAGS) $(EXTRA_CFLAGS) -c -o $@ $< + $(MAGPIE_ROOT)/build/utility/bin/adj_time $(L_TARGET) $@ + +$(SSOBJPATH)/%.o: $(SSPATH)/%.cpp Makefile.ss + $(CPP) $(EXTRA_HPATH) $(CCFLAGS) $(EXTRA_CCFLAGS) -c -o $@ $< + $(MAGPIE_ROOT)/build/utility/bin/adj_time $(L_TARGET) $@ + +$(SSOBJPATH)/%.o: $(SSPATH)/%.s Makefile.ss + $(AS) $(EXTRA_HPATH) $(ASFLAGS) $(EXTRA_ASFLAGS) -c -o $@ $< + $(MAGPIE_ROOT)/build/utility/bin/adj_time $(L_TARGET) $@ + +$(SSOBJPATH)/%.o: $(SSPATH)/%.S Makefile.ss + $(AS) $(EXTRA_HPATH) $(ASFLAGS) $(EXTRA_ASFLAGS) -c -o $@ $< + $(MAGPIE_ROOT)/build/utility/bin/adj_time $(L_TARGET) $@ + +$(L_TARGET): $(O_OBJS) + $(AR) $(ARFLAGS) $@ $? + + +# +# Target for this sub Makefile +# + +all: $(L_TARGET) $(ASM_OBJS) + +dep: + sed '/\#\#\# Dep/q' < Makefile.ss > tmp_make + $(CPP) $(EXTRA_HPATH) $(CFLAGS) $(EXTRA_CFLAGS) -MM $(SSPATH)/*.cpp $(ASM_OBJS:$(SSOBJPATH)/%.o=$(SSPATH)/%.S) > tmp1_file + $(MAGPIE_ROOT)/build/utility/bin/adj_dep $(SSOBJPATH)/ + cat tmp2_file >> tmp_make + mv tmp_make Makefile.ss + -rm tmp1_file + -rm tmp2_file + +clean: + @find $(SSOBJPATH) -name "*.o" -exec rm {} \; + @if [ -f "$(L_TARGET)" ]; then rm $(L_TARGET); fi + +init: # copy/share subsystem headers file + -mkdir $(MAGPIE_ROOT)/src/ss_hdr/$(LAYERNAME)/$(SSNAME) + -mkdir $(MAGPIE_ROOT)/src/ss_hdr/$(LAYERNAME)/$(SSNAME)/hdr + -cp -p $(SSMPATH)/hdr/*.h $(MAGPIE_ROOT)/src/ss_hdr/$(LAYERNAME)/$(SSNAME)/hdr + + +# +# test only +# +# Linux use below: +$(SSOBJPATH)/%: + $(CC) $(CFLAGS) $(EXTRA_HPATH) $(EXTRA_CFLAGS) -o $@ $(SSOBJPATH)/*.o $(LDFLAGS) +# Cygwin use below +#$(SSOBJPATH)/%: +# $(CC) $(CFLAGS) $(EXTRA_HPATH) $(EXTRA_CFLAGS) -o $@ $(SSOBJPATH)/*.o +exe: $(O_EXE) diff --git a/target_firmware/magpie_fw_dev/build/make_opt/lib/_vectors.o b/target_firmware/magpie_fw_dev/build/make_opt/lib/_vectors.o new file mode 100755 index 0000000000000000000000000000000000000000..4226dde72f735c6fdc10f8ec835a72e592d667d0 GIT binary patch literal 1638 zcmb7@F>ll`6vusSfpP)~M#R(z2?hwhv{lQHA$ONjx49hTE^Q?wtlY(E52Xo8()O6x znAuqP0_;qD20jBbD-wHoPU19ms1TMM`~Q3YJipk^d(+x#EwoV8TIe;*Yhd94LW>Bk z<&H;a8C`?fEwFs%zJrWKsYgi^#Ath;_J;Nrc~1IR$MdPSiTz0sv}?Qr9Q#<)R&mho zy2R>J*CsDrlaf9U;WA`&iH_Uu$fQPhG_>5YNi8xq9m5*w9iDK{bVg)o>xy-tsT~z7 z91e&r0(4;P3>{t5I=B%bHbe?+99;E6JyO2ln3ickNwzUCb;apE(JARZ$y7 zNrD%(Y+$IRLS@e0&=CoJ7I-INrnJYzq`hskw>xqN6n645fGxZXG#RXN(BGvmjPbUv zDDC{@)b!nP=1q{AW=Sy~iCMO^N>18Q>4!GLse(|Q2(#p=XV17_42ji)?DNe z_iCl|!NtnkRlbK1U55TI-(&FH$TJlW$k+N>(_-Dbz(g*Xwtm9n*iRXudSDBUJLw>MdL+5 zyH^ZFkuZNM=`BgWl63VAWxfwm{F9`=Ncx+kzf1auq^mtB*E_!)Z{3#kilo;iy(4LQ zp<%!2DVt_-lBy#_9hS0eFV~3n+2rKVoKM-?Y!*j??y@9eVFSANsG>5Fx~7ZLgaU$BuS4 zts@~o<-i3b#1&3_1^NLv@D2D5+kufMC zgFXdLDW*Ol$`UOrj~lc|3&jF05M6@$kBX6@7l~dX`hD)vLGJtACxb`5KNpE+?$F#K z^`8ADfv2b_P|xS^nV*1-oyZ@Bp6iSTW7_!0UE6Bjb?!OqR?V99s_$6+?qE#OapMrYf08_u@fsyEuT3J3hq zZnd4QX06oNshSnjDzS3YX^O$!tiQL_s#U8M>t7b92}`W5?>uio+M*YG&D-#vcd4);g?DMjoN8@+Bf@E@Ksdx1B4;7jaDWTLA_$ngJD5w5(M zIlY8m8|ewFWTUSDb5Atoe*+kTFM`>JZQPqTw2X&Kk*8;wB;HLZxUc`^cN;#uMxATS zeK20Rge0d5G=c$VEey<@A0HRV?_J;-u+RkIpNN22@@u9rV21Pk1}r3g4ixF{?n35x z{9S!+R9{@kvIa2o3x*T0kk~$kA{DQ|wMrfQ7Dycjz_JD~%lW1IBDOEi_}zhA1PjTz z=Umd?U59)f%ypdCHs0SKz!DoUyNiKYNNnFhk&d?qc^Ay_=D=*@c=$a_&H%$-G5iP? zl6XAJ833I59BIr0;KxwK;dm_1g5^vB=D)@8HdsjFi7a!XpQ2|^MaeUQB{nUm;wyWq zP9@8mt-`>W>r4o;^jzs6>*p+Xft)^{{C)0!LXf$Ci4H|`e#zc*6F^tvDQ*hzn`dO} zl$?IS-7xZkh+Lj~2jt@I5*0&#)Tcvlf27wVo&0MW;|)=m`+*!4=324m3UeRc!ZvcQ zoDcrID0~h06^$jgJ2sB20gttbmy#|bXsWA89 zvBu~Pzr#7n8Q-1}jJlFq(7y!3fBJbod^a>D{)n02ms9w93gc|#B_?{6VDu-!=t+$K E0hQ($_y7O^ literal 0 HcmV?d00001 diff --git a/target_firmware/magpie_fw_dev/build/make_opt/lib/libhandlers-board.a b/target_firmware/magpie_fw_dev/build/make_opt/lib/libhandlers-board.a new file mode 100755 index 0000000000000000000000000000000000000000..2ce0565f3d1f438389d3a8f5d16644bafd520b6e GIT binary patch literal 155924 zcmeFa3!Gh5bw7U2z4Oi_nLxk*0q!J|5FnYk^B@TVIw1*UU`Rq95EY%7%-oQH$;>!2 z3E`oJ5MIh-gs31<5vkJFDr#D-wf#*iDq2(`_9tyo(@K?pwJq%rTl=fO^8bFMM$uayajyTz zQAD@5B#PdBa$)!2>!aw4Z-}C=92Z4DIv7R&@jw(O9**MKUvREwB#N8PcW&j}DBg@P zx~`X;yY8_l-uprn-!tUgL+hjX6E{Wi7w1IrSMG}9Ls1mJ_=_n1`Deu?O1DPh7Jny7 zEPEtMT-e*TDzkOx)vI@RX8MP^`+Bd)bPn|mL~X13c5dy-jPkxTGtisq8RflusBf^X zyLV`CXkcgOP}C-Trndtsx|G}1KhWKl>xBz40|PtzhuVfHse(Iuw|De*;X*2O;Tq!k zwjCXV*XCT**|Bpl)7a*&MDis8Sxp(so`w_@?`*qnprgM(Gmuqtai%vj(2>orUcMu< zBh!!Mbq@`6>=?}PbUBRLHe?1fLspo=tdU$HZJP%(1BFWv$+WmFcWWvY*bK3g-pGCd8JE8!ZA zlM?Uffp~}IK?`OeGqiJ{w{6=%-;OrqP<>H6WY zNyeyB6yN@~-o9Scvdr#otD-&is8iW!BuDFdGc9=)k7>KudV{saY^SA?F5$SZDxS9 z_a)Ze>4STf8CtSSI?E+Hd;2>&uch~O(lXV5&@LSq=o>KEjY~}Nk}-l+DMOJ)yH0LW zLm|(`LY_^9JkKfQxs;yFxof+YI`c&~gMy%(Hn~xPu8E=m19@fuT@-5X61&ft0ZT=$ zYKTf6m%tm1Lxr4|wha0WUf0dq)Y*q7x_gK|U77xYOlQYXrfUfbH`@ZFd~*YRYbX#l zq>Z9bP`zs}g@PK!4r&a7hPr#NUow!{Hpnzerr6*_A%S;G-G2$)*XWOdP$79K`=119wWY#x5!|3)i*4!_s4X4 zX%iY~L;BnXv&i1Hy7i(s5yLQ3ilesy1s=(VqbM0gO%lE+IzFn3E{-~1{!j3g5IjKX zEkl{!!H%|;-fex+nk(B@u3LLi%f&6L(ifz6cQ!0fcWvFats?NRhkv>&-O$jO-d1tp z=9bn~ZJXD&T)ugATgxg2r8Q{9g)JAPD>iRj-L~Swjq6%BZ(7~f+Olc&h83+FFQ})& zD^_pV*s^Zz1@l_BG}PADrsvV~vX-?L(0191OV(|;prN5YJu0MSEkd04iY;SZ5QgXl z8&o%CeuFSTM zojpVIDmJyOZdoZ6ctl2N}d@f6Xj7dhJ!qOc8i9nD5xV*NuGkbZGAo04%Q89 zAKY2jKhSp#`tiE{rd@R~{YJfq zc7Lo6z&r5^9DWDR$n^0nU4{!Nc>w39;V|>|>8k=}83p=Ew}WThFfx6{+u8dUMKAxW zdGZWpK1}%_9TFbICw!1^;h82+5T5240PtabBp=pq@+>D$;8}jms}Mj@eh*uCRY1gX zd9l@#I$+D&xxg$-(glhk%e0i54AN0%7}5G`q0eK;my9KIyl9t$mxoS=yJX2CYiLYp zXVja72FD{C&)EOl zczH2!*uRfuZ@ekU6Cv%5m2gIu-%aoc#+TAZ!PmfXTn+6FtY-T%0!-B7@D4a5)0crq zsP9SeX*lW&?TuaF?Ha(ur*J^O@5#dMgpXms1ofrhjLH=3!#RwX@hQKzdF`UrEv=O~R$%k^>RWY#x|p3hm|I4+vmQY~9LM`)yszYN75|=x%S#WL~*i&3q;9Mw@j39&!cX1wKeB?RM}`%N8NBM^jyl? zp)@ia%8>TGa&|rp=NuVsdC_>!sNCZxc{~+7u6oTpju0z&6y}|IBrSwv8@7I@ z8SHZKMs`g#JnS04O7@4(!|}|%iea?17Q^ux)fevu4vBG*>fx*}1f*QSXG|*@2&a^! z{#N5~wlK_(bJF(`c+90GeJle@AJ>NL8o(se_bxai3tI<|AivXVz?(j+Bg}6*oLvK$ z{0|%ot@#Y*$`!HPQgB9A&b{ym<7IjoH|06DFpTlu4j05*#I#Y)$ii5+Lw(Gz>EqaC z`Z&&69iTVk9fmV9eLVAiE0rDu`#2b0zW|Q4?k?~)F*$(Ir5`I2_mbLIpz|Uc*hA#!+x)?~pF9b-DQ=WCNMpzeq1@NMP-{vrn za(!?=h36V!7(eSC?k;{WqLgmnMa30{zW)ctZ z)^{0iwvzE?YZ;IF9M=ki0}_FH8na&@6`_KX=lx@SFun~~(xLKvW-Nq7Ow`5rUN8^S zL&8T7YL~9wva+qeGjiuackUR-T(d!~8E zcwf)NJru(2^BC>N<5YmrF1&v_z{>;N9N;wpULW8s0d5cQ_5k+>cz1yJ1o(~s4+r>x z05_moTORRDI$_VJS1Lv`!fr9=&-MhDGI{hn)rPAAJ?L+|PRO4=mQ2vsdU@#dcn*dD zxt5zM{Z@5skel$i^|E^ZkA+D*w|-QHnkM9qecP;P#@^YtoKQWtKSoBF|EUSeF}O|c zaxpXVA7AIzq}=IAI_4g^gzT1c$Lvf+cg_`hpglcb=WAk~Lfb5)=_ro9@G$>z_s_Yx z$!l?1BK8>j1RLAgYAsL9FUMw5H5}!n{o=d=E+mGLXkV1UO(aJfrxeZz`U=iBXfK#< z!k^=?*)9sguouXu6|npT9M-^beTa4n^MSrF;yfbIm$(Fcp=ZqWx4Z*Zq;a65KBn9B zy#+Yb_cie7<~&&#?M}mhiNiRg;EY&iq;9w{-gfXcaEzDsn8nMw7wD7XM*r@~!kD*E z-#+k^qdu00>4Q1u?-?Ow-UDZ3`gn%jW>nG&c0D+ZSJ8Yp>LaEdYIOjx414gz)&mwY zea73_`@e$u2IFHsl*E2M35@1n_-gQ4*213+Udv5**8k9cZw8-&14%gRMUAkI-vqoU z;JX~=QRI67!uW^4hw=0N@IHV<{&oPCA4vFn0kl2|&pnD^dOrd_Oz$Var{F*$|Azo! zdjA}JnBKnxzZecA@_z-87S{1U0`3p^e|MNi%E#6gl+T9ZW-!bXc-9F|;PdAXT24*` z;jHhTz@wbvQ_UkK^*@HahBA(@P@M-h1a9IIc30o)M)FoKzX7KYa3mQ>Op2;;xY&RCSFcW{yO6pLEa3 z_ntC6Q9mQ9pBdNRb6n-pXhyOg<$#N6bv#|}9*`7A^G76hcTmhWg1&a@A1KGpKTzVC zGNPWHxAxDzZ1jHvb4~11&BUHwjxEf53;;v>Y%{uHZu3tn`glN%@e_hj`5Q~}8Fb8E zR5qL@|2*_^j~JXLT!9$8SwAy~!5jKc(kTLl%v`xDDbct%<$*v439wH45UwNMd}OZCf9=*h6qerjKW< z1At|BfE@VegiP`2@?6M02T@B_#TIOl<*;dF#emshw-!S@qU6t zo^v3UA4qu4fl!x8!gCH3ruP%z!}NX{dcotcpX1HANCKF57%nQBkp*CX+)u+?!>U& zonGa6za+oPcLnl*@eMirSflRL+-g#DtI5CPrSLmM^512GdxO4af!2Svlhk~@U#Rnf z*|sp|2xfgke=L}73hPjUaqAirIHzoDe;1)94!npAL+1O7J}3_bO)H ze?ajf;14T48~9PhwZOlp80ExqTl6#m|B+&j?O#%iGKl^{@x{PTD!v5xTZ&tOzpEJK z5&ezg%YhFoW;y>@aVPN46lZ|{P4RZ%Uns_Xi_o`7+IrD0abE?$9T?>+cnElg;_Dy} zTj}V`wGr1G#A|hyPAp4tM3u z>+!q_`w(T0U5UayfQ(MP&f(a3okO#jVl{b_>Ad&pY{X3k=?fjsh?Da;6ZNyt8=N_p zGy5zarQ&E$J7LqXw>8s=FwZ^^K4;#EK6#wE;HYWfYi7G#!RJ!Nl4Ez^7)PpxV?P4Z zN9bHV+sXQvGvHAMH<8?8$b>c)^c9?gy#aEjoA94-SOsTfVN8#u6|l4w2lSOP&tQHS zhHhrmb19BX823DxK8`t|zJBoNZ$%%|ZTj+`OVI|N4QFKfFs_9881ui6-H;38eHeTV z9LIBvMLyoWz@{58@d6GQCp=l$JKz)QdjdS=sE_5rFrH_@**!Ceao>~a<5^mV3n^*B z`7m4+oXru5^X6csEEA6PMyAhrJA400%)x?mNaC4(+B%FMBy) zMp9Fj!7=)xr&ZEH5$XW-5UiVa*r{<{I28HZ`oIV8gw-lLY z-)#QBO?H9hiS5+-LiTs;ci111&{yS<^+{*IH?#}Jl3NV9FdoQF$}ad9T!(p&CyO`V zE}%>rj^p2WcEK`)-vno5`tt1p%$>YGrrXBTw*cEUfQirHz%kIs!d|Ie@E8=;z`a^_ z!Bf!no3aZUtWa>aatXWydjD5w7ht^0u>+V_`hmnwa1cjS*h|6(cH z6z+=I2Xi8>VR>rzD2fg{+^J{bM(}@~`r}^ykHk;v6DLN;z5MSdy!`JczWg5}>F=eA zx5e#QgUwwV6)oi>#b;(dGjnJC?9z*87dKXx7OmV>|6>H~JoldvcEZaq@alGyuMaPJ z0|H-6CJ@2jeUn&Idl8WD(FD6}YPsat`rl#vaop%AdL(zbq^`50 ztBx;n?C$CA?5G>+>+2b;^Do@0+cLB&vul%hcJ~hAPYFHwB1`bP$DO+&$hV+6Nw>7H zB-694uDiFhXJ=Oi@3dqv)_sFl8`p{n*4fdyJ<~CSmz@vpsNL>5UtO$O7AI$wV~sG0 z?F86z&}0T0b}$qX7bUpe0NhVyM?v!Lca>wuQSx==(eZKeIn1T7AK}vSXjWVlC;#f5 zcan4Ij+bD+PwOnK1vjqC@heQ7)_+gx}W-jI&Wlhe_#NmYK0q5j$x!U9I*{F`T9(bYd5@i6UjA0 zCXAQ--@zGSOcSF0Z)pXr>cVAL0h|aI+T~Y*r#{vlP+Wrp>z9$~*Z>d1fU`z$pe*%; zb~)GA0{bpT{tM18ZiJlW9We1c*xv&%vant72;-&PVfZm#wiSjky?4RcHT1p*heGp< z+ZhO_bo>(lyhqeWJ*Mvg;6Pt7+W| z#mhDt>T3pXIskpx7l8wPvuSTL{nW>`M$`8caHww$_~+q_EbMFW2=&!~ryTWh?TTU4 zpSO-#vKW5cYi(ruc-A__po%9@wf6w9ALBDj>SJBaTgRNS3YU3X9^s}BFo(QR(>V3TL8DX(?M@N4fzFV@jV=&Vu zr5v@Xub(@ZKfeOxzH_4=eWED^pN;{1l&4ibFf{tZGNF$X-Hp$Z^bEFbzmA^*+17n^ zcUL+Z?N@JpuVZG@O3K{^q$?l@Fb!Arem~lwjK04b=HPZef)nQ(4Wt?Uy4j8Fj69z*I%#r7T|jovp>XmEi(Io z(ccJW-}7zkMVgN@3FDbyrU83@M25NCrg%MYk7C;IcPgfxexG9YWgk-fIPhbN9|i{R z)9|?f|EO=;8VvKQE@T0)16=ST6FI9~D5MQqN z_kqz5i#+a0{5Hk7Cvlfz+{gGD#VFUfU-6fKcPU00$2Ted3*fzqp8&o?@z;RwQH-%P zexG9W%ke{sQ3vCXDn=cQe@8Lu0_P+1?f#+-)EKUUjA7J!k0C$L{PSfnJ{fs=cz6u` z9;3~8Tod4QfX@%`ngDMKaC?B+b}VhX1H3Q5!vQ`JVB9}{?ZE(#1o$bmBh&w^VSMGz zJ|)FRto|KvW38b!H8vcV{(bBU1a)j`jrG`CV~wts8C`Ym8fz3btc~vG7AJU4&ap+ryyZr$A-aE0xwXO| z6l;oZk?=YzszyzKkl<>Sue|9KjRy7R@#~+n4th*#p7jWauYi+3F`6D~C#uvNow z5;7f^fHMp@^CcXZZt5$AGkx94<3x(r!yRjTd?j4bSScm(;VqAr#x^TGU>z6XHq8o=Z~;y@WA3xf?7%8BZf3E|9p zN`eiS6Ypc-Yv8C8Hl>djwpfn76!B;c=7nXXB#AcweV+x*K!lS0sLGzknosyMJaO1uK}nL)`f2XUKH?K9p+K4zXl+TA9IGB_*wT@ zpFkpiD}Yp9HRwR#c&|e{|o@@IY{`w z1He5d3ICGA#>du2Shx?y{b86V@S0EO1^!6at1!RH60Q&KwcLNyVa~4{p%4*&ta*__ zMSY2R|AbOvl@ake|?|1*X5>EBydhUO8g1nR5DxZP)&KicEjhS7I;8T1<-rvi-r!uzA` zd5m`FG3u%RKUY(L(GPon%s)MD4z67lVAOA~vo*l$1H37~TLOGlfZGGy72xdw?g?;z zfQJISJHR&ucu#=$1^A8t-xc8D0Phd*0|7n|;D-bJXn-FJ@FxTOcz_QE_zMC4Qh-MS z{6v7C4DeF{emcP44e+x8elEa=1N?k|UkLEe0{mitUkdOq0{qJW!xpQaA9-46^_gpt zhL-~$ry^W`Bu2N(|4j&H{|M5uJP%CoX3#&|C`H~!Cc(P%R{Hby*s+IALPM4vx)YY;p4c=-FCFeydyt3EJf5L z@h`%^2xi7IS48wv%K*pNGQd(XFjINU073ncI}0;I?9k*HoaMV&{Vr#k=FIAoITs$? zNj+*~p*`np%OU0M`5Av+A57`jUe;20GXL87dm8t-M(k72ZwR>-stiun-_U*}wz3&Z zt{O6BlaO1e`oefkH-c<#!2jdqJfB^LWE`Y`lBEx8`aZ3MKgHqoD#Me>wE`Dq{Yy6i z&w*nf%(O5Jof7+Fe+^(_0S-678JRxX$)Ua;@M$>eV>y_LlF+7sh)4d<`7qou*;T2j@khzGuNRzeW}|43ALX2zV@1<$*^Wcn3pYe9~{;yy@9K z%2?upFvo3A;H}PzA9#-Up1`yGJ%MNW`8gdjT@>Z?d2OTn33v4psJ48b18g=2;x9FS zlx3M=sP^)xTOLFHm3IOaOtVT$&U;d?H(?^ez@d@;nEu?nVjb&{_pQk{9u3bhx?0)_7@lL zFDcnyTDrfiY=3$A{)&qI)5c57bHnlXhZ8>-o`(2;I9zmaxcCo;Oa5rM^z*}IUl=a` zdAw%p5*LwIK+_bb?%Va&0wS$OBa4j3f7KTSIyX5SO=qix4BU>HUk`JaPbL+_v9 z@J=`*3)=#ZK%baRvL%BWRQ*cIR>v4TMjF)lNz%ky? zo*o7t>U$VG{fsQ^J@5$iZ30g@>Kn(NE=eQ&K{zAR$Fp?kE}$Itew+fHz@D!75@g;1 zXJq<}x3l*@M(k;`g;}61=lO8W%#8A|m!mxae-ZFVz@whIEUP^F#kkprkLtbKT)(q! zS7u;aPv3P-k$P#UAypM_0H;j^jm!tZ?en*?~w*Q5SF|FYLvBjV5Y^`F> zr%>0#pY86=ikpGk6|V%|rkLf@qqqflKr!3@ZpC|m(N;z0Ex`K}-v<0{#diV^E4~Z( z{fh4eMqQO_?*o2BG5eCo6h8p`Da8)~A5=U7{6)oI1_m#Bz6uN{`0Kz=DaJYaj^b|v zKdTsi5ymBv|103<6(c^Sn+AV4T`IQmn*ITeuLsOfLAGQ2EIfw?kV>QNW2#T zW1U$r?kWHGBe)fKt744n@pi=8eL(eVLhkT@0y_$;rsl^-aegeterz4ukFDgs>ZzT||Epf4M_u8s^B(>> zbszPDDiGmsd;SV!ImgT8zY=B$OwOsdogPD<4ml)~+huvrlt)d&a949}uG~k>Q)``k zR|nQlU+uL{yo}^O-%%d@F<ZH9{q*U z0?+(Q=jU`JTo3(?t&4I!*4FO0j+#gzZTgLsgr<}J$-qAu_!kBKMWO%mSv|7;29|n? zo3sAKD}h1uFG&Gtyd{C2QuXKhtuMF9uHVZ47&dU;)FJ<3eXXwKV!ka4L?t+r+$_vt z9&Nse`Mcnx28|_Gjc}AHCv-w%$(@Z*>JH-dWy}jL-i5$L^l)^13g=C5To0r_9R@;q zmv1S~N~Kpq#X;k6Hhowx@EEWhYo0OJN{meIa(IOC4k1gJdrQ2G$Kt&j*scMrIE=$P z;fyQ{>k*;86S0;c`zM$Wtg(1~gTQtT;54j36kPAUEl&>f_9z8s#Ct%x87|1jiC+R= z1IKt-ZkCS^0*CQF0CqY2j4bSZ@CfxCRypd!+K|^r-s%8g<{(@tiPEtZMc^ z-^1XsrX-GWRl%{00)59J?FGM~1F;;kc#tsJzwQH}%!knve2@;|gZPAJT9_{*^7G)D z)q_0i`<{Sb4LlO?te474S#^VN4#F*r>0zEdf#>?XC-6aim-Ja%5*dW^{(1t>`*V|d zpir4!tZO=AA%k$=9V!3uJf8JONW-7bmffX-OEVSA5&W0h+( zZdGRM&a3lwv_*w>ue7;O&yNx<@c*@_ZB^gStv#81Srktqg;#0JcIA`=o~@YU+X;rB z$17?S7dPXtcZy3OGe>bL@JWi9kJtaS=zz3EWQI(M~V)}I}U zn}N|ciTq07>lI%F{4T{Uz_%)H1;(=>k>3OSUd6Wne?akV!1(`)$lMA1F~xTQ|E}VD zfj_PIKHxu8%zo%k6tm9$x#EX_zovKu_?wEq4E&7ZuLA$I;;#e$Q1Mg1|DgEWz&};| z9pHae{8zyLq4-(g|EKtG(T!uH(A^M1Br1_A?5G4!#RO@M*y4Zv;ah-vuDJ2KaKt(9L%Nh<`IM_Vo!~3EZg| z_cZQS+ydOE822>Zsdydmjfyt_->i5u@a>AX0N<_nEx`9H#+Vl4*`LJQ0gOF@g1dkp zQ+ze>ryNeCfDby%_7Ur|61ISrplThw6 z45K^q?FDVfW5`#IC3Cz}!=smnPLFY)JjOlnI33{g1H2}{n*!V(;GO{Q4)DGJ4+r=_ zfLXSd7nY~tkpQ#Y%>UT{qkO&pivdReZvJ9p>$UQ1$Z#rb`n#TPSsFc^iCP!8a@_{&uDUYYI(GI9 z&8yhdvbt^Mn$;^W-MIO(wlw;|9FO{ZkA{4YMtZEev~B&0O=~WgH?M*>40OJX!})(5 z?Cu@1zn*S%a=LfDMz?JHCkloBKvt+mg4h&U3ECL$y+CG1O?Iu^z0&LJsH$l$Za)AE~z8TKQ!Z648 zx&bSg)*3k4kY-P!cZxD_b`4;n769jC*G^T*T6B}X&T0OAAk$>9R@!K&d9>Bj*+8p4|vK^ z-*h<3N8b3F_yxi~3TI^ccn<9Lk{+<_@Z)8{=Dc>h;u zw{zWCN!HL$1j96egvYv*+&|+N0cg2Ncs=;Ja3J9?07#Kj{$c>;6C~l615gJ@_zs6X zkF}|s_}>m*@3qLY?(u$tgx?3i@&gHf7l76$;rD|N(~GeY)WEhDn}=iUluhgFm6YF< zO}h(gfqesbtH6{^n{U(loiSq5HU{6?kS6xpvS}OAOONQe^3uj5_{R9ub7fmiwbj+B z=RZ0SjXv2rpxHHJ*+SL0lw%ghCDK@OXCRF8{fXqb_7JWELf@w#b}X%HXjnEiGUe|-$lYi#wKHMx z`W^VgNK`vGG~mwL4NdKMvH`=7Bpf+{*=gUn(0arB!SnPgWtN#hn_Nf|000hb5e* zMy6P8TQA11;0K z)|V}~>gD1@eIiOHK2!gh#$;keqOtOpbWt)L%`b`?PfmV$V^OkxHom4?RXw-AsHvi& z8Fl(l^zAj@z6gK6-Sq9|n>T-}_2w(SwdUq4zqRU6`?s18^?$SV(4KFuIW+vuRfit_ zX7i!PpK3ic^3<9`Pd~Nl(BY?=54{*CS3KD?b9R)RRXOjf{+f#Z;^Qla&O5PabLIRE zshSPd_ix(2dH#lZH5=yNf5rYQlZ%IomJBE7?k_rZf3kMCsBSoU+Ww-`_wU_w%jSJo z+fcc;734GoRyZ50=8ZfRZBws~#K<(pTxwX9-LT7yQ zyeX!)8>GAAJ>kk{0T(DiNPN ze=j-RLW@Ob(XZzi<`J*!KgJD>*(%8ZERW=icmVN9hhf0!&*ShS z9Cx?zdWK=_k*}AM*C!Zp&hA6_GmNwtj&)`txuuZdH3>LS%s7H{cwC?BKjXXLDa-V6 zN2I0ieBj{8NsOl&1)rR(g`A}okZ*#He*$1+VJ+|o(lg^QveX{Ac$q(ow++~?q4)3O zz&dASVQ+>agF8P>-?hMY4PY^z(AthyplsMX0}NQqHkyJnVj4-;!-eto zgRg;Oyx1}7f5h!)Q5k-dwsKj?P(Wa3ESyIa7Lz&XRQMa zs#p%T9pHF4rjv2uUgkfcs(b+PUIKq3(`US$z5gVhPzC9g#4~R0m{yY6j;&3?PxyrZ zTGqnzp3ZR>2+x0e&JFn0z^Q=ePJQMRB=T! zgx>|g@&gHv`(^b>_ygd>^gaqcOz$Vbr{F*$|3!c>yj=V)u*16W!EFydNY}>Hhx^S&F#u*i`vHgk|)}FYQB~)+H!*Z4ae%%0B;B|-T>ug z(4Ttzwg7Jp@U{S76X4ze4+MBufNuye`dS|s+Ly}S+pZzG>n_%{3%p?6z zg1bpE)6aa-|8%%J6juY^qnK?TeTT@L0nB_+{!F-s6|>!cTyY)nClxmUe^xQu(-#!u z{zreN_&nez6!Tvu-%#8P{Iueg!2etE8sO&?qrOCcuXsH$`WQ*uCg6Woj53Q}QhXKg z|5e3L`yMa+gB7<_}*F*&G0ZuF42fS4A zZNTR%MtzAdRQw)bKEb~S{BFg606eVtap3nW#{J}agvcKRenj!-fuT$MzX<#( z#eWJ6Iq@F>Mws9)1A`a*RbV*5Uk83lG0yRK6n_i&S;bES|3ERqW2*z-MSeytTpE0fxN)cSpVq+mMpK7CJpXE>`&Ht};P^og)#m)~FwXg_NK5B7hhWLcbUsyy+aEZq))D-$}_MDvPj_v|Yp|u(G zB{?()WwaMQ=0EQG#Hgi-*Uo2Lxz4~o2K}rMTeanh9!26CMb$V{j`k0!)t&s&`YGBV z=!YhhTMQZ6Oh(XGa9!XH5HQ_~ukfgl|c8RMH_igaFkB6!We%v)g>T3HLf z2)ve?@b%#5!hwXR&6^^p{KWt@!n*LwfvE!|@*NI)KDeI}{&s}uy%wHzkM{v2{5}Ad zA4vGS0JJ^{zaM;3tM@3JxUlum^L}`)A<8^gaoGF&s$bVXx(^3mgXD4+oO) z|KqUnG0Tu$mm?fQo02-9Oay|fAMB5FEk*%3VJ zuGk~Bya_pCn>sN%zNf0FZ^;r&;lFX-$iwJ)&~VXK(Vfg#Fspo7ES6HH&%pvhp*Gm`0w4(+yL-t6sGkR5Q zsog_Mc6WBBw)G99+7{!R+-0u8>RoEvwzHST z5I>Hl7Js%V$_-}7H!6yvXfWJ&>p8e2PAgGGlsv?u>=+bFB z+1Zt;b6$0weZAYdua1stq*2|_KqfO-xAppt-mB{_>$tkVJJYs4EotZ&+CEU%-5(Ud z4i~l!|6Kt18HF$3W-yF>);r26B2oRLai2flTdo-rnsUJpky1 z=(VJKumc>bkZ?OXhIVxHJCC7mUVxCn{!Ax&qO91~?x8_&P{GyoC1?zpfnL#yh<2kJ z>>cXf!HY#g*(KWsI(B6Ib-assi`l>+J4_RWvi=T;(F3YrEJ+1VrfjDOKA}>EcVU-D zXUee%P47%hr{h`nsnd;vW_!FXyEn+9GPB2C^?~nT7F%1WkpB-RW zQQn_ZIVUsD3rZ*4J|Y5L{DuLH8|?3^4Ls0Dd#}z6=J@sR+}g_)p5uv5I}_D9Egf68 z4rF#kwXPSd4V3O4s_h@>>qoLDPbrA9j|kUrtYE2yg-POCk72->Y-s!7Sa`giVJzT< z%A?N`dY_){L|M{eINqFzm zz$+#H5R8r>%#VAlYapMk?;dJ#_+_6Ts0K6Ly}j_Kok z(b7k3bqcWj+c-Q9XJq<#wmJnk{Y6BT0^l5zRAm@(czLA5%Of3LJ{8C#zxGuK z_MauHC-D`e$MDy)nC0U)z9L?eVPD|C@)XD<(AG^R@eyF$a#G@V6r&6h=oiHwbu;l9 z#VC`+A1c-|F+FU99wUz)qaJ#k4lwGY_eZ~lF9JH+6yWv%_XK!%fcFJ>IKT%C*EOaK z{s&^rb)hs@he(roK3a_UQCuh)ko^ZD5vM=bICy4dB9VS_;p6iXiTY%e-aDJmN>9k7 zR?R>0gqjmiTyWy+P-hcAPA7j{kN-{J-w$}4I?~)zRd!WWik0h6jU1YZ=d2^CeQ(z1 ztZ%yYEr_e9s^o_+9-8^JI$R+=Q?abYGk)F!QEBGjo?7+*J1Z!A>j) zjPVDBuj3C2?oR`l47x4$1-IKHG|wMbd7mP|*!UlA(g$`M|GnmdKJF@~ZM3|L7k?4( zaru;lK4Hq??{eg{O_OW=-v#U{|0MZc&K~eRA6r15tbmRxxL0K<=LlZ+jg%Bxr0Qc5 z0}7ujpMl)aW_lwW-UJ@+#d$ScDg23B;Yb|!h-a&RJ1|K8BhU_D@>kOrM0w&e)o*E_ zKM7+QCSJeFglY7=#?5=&94MGQxtUMyq$mi^om{I=2h~XH#e%pxFNPP$3c!m zC=;LXZjc~sUFTSVCAzsz%hNa4J~~bLqnUL zd;JR9>}w%sX$4HQgMB@Kk%hqy%8B=R$TBX*%luiqdHd~?A4J$Ka7L!@&G6tgN;6&n zfDIt}_`J{bT?=g2(EC&zV7qv-uy?}8FklgFkQAJe)oJd>4&t2&dqn1L%ttskx(|G) zZwq+V1tSZ)8y=xPmOJIBkG8($BX2HK#QZ)4XJq<#PM9Z(_EE5Vz{4Jh7Qj(o6&&nO zU#9@4)gZYnDl{CZ&Ig(UKwv}3_n!yj^|#(Mxj7?=Fs(-pw%*GLyDMzx48 zQ;d0Jv{5nZ8tQ_`W1bjcToBB)h>T)X5x*aRdf%@8T(`MSG1K`D#U}&bqL|~ror>89 z-K%&WFxs@lwE!4>tYEguk19qvMW0Yy3yd}`GVH59rL^uiZ1~E zOT}*lMq3v>7Xp7z@oM1jD_#TqBgK~hqwR})EAYQ4UI+Yh#g_yBmtvG_jP@n+R{*1} z3Pu^Tj}ZJ8V2l%j-wJ$^;&xz^k@$B4qg(`MfKe8Lao=O)UvM|@If{Fb2He-N-kR_0 z2KrEsA%7auDujFN{V9X=8F_#7Qy$}fdyIb7<8**A#(IC0smGfF+#X=$!OQFp@IJH) zNoQT7z1x6u`|LjHrgQwx5VuQ2_lGo|n?8bdfbzR?)&bo9kULX%)!cFR=N^18b^V>w z?mY9|XFa+9gQ>?Kdg#n2pZMAjKU8ywhRL)&(V>~Hv=ZNOR)Oy0&@Q#pPXJ$Y+c`eQ z#{DJR&T)$FxA<6gI|pEPJIAMk?HmXQw{w8aZs!1#-Od3c8){iZRc1J zZs&M5*v|3O$#3Tv$lcDdVDxqlcp+u{(Dp@qJdN8JpMvxz28w7R(~U#>Cq)#@*BLD(u)eJx*50Vj32{rpL*5m1FTfd8X{%xK1$s zFj2yVFbwp+!QC5U?beu)yIX?|4&A}jn({IBpB~<~8q~49awg~Ig|M|SH*o8W)&r0G zUlv)N8})}4@pbRo2$t`HeGR(A_Z#0VkwSiH`*F@fqP=hVCXYFg&?x~1oWXew*LYyd z%Jq~(I~cWBq8)xAnLW%nsDtw+Qo9_p2b0TR52T!>iPDBSXE6*oV-*fe57So+$1w6M z;7m85{1^Fu6DV02^A_q`4<5F+=);`D>*D}!*8nCtFG|4~Ss3PqIr{d1uYqIwU{!d1 zv7Q-2tKI2E7adD0e z_&}d9LB51%{qh81o%=X1@VpI}+is z49?xyN8i(SU3YI+-*s(+{oOr1ya?%&JpprpQGYxJFSrK&aI)us^1#!BH2Zx3=#%_2 z{*R!pX&Mt)gNO}(Ig8nc`6pdSw#i5uY`z`HBo1U{5+4WV+6yT0NyR9d#Ag*lU*Zdj zPXxyQhD07uq2=8?ycYRLJgNR?iK=WGP^Wx)Fs1bv*Er0laJXS-$8|B)+5V$@AT18k&`>4z2;5hH(e|og3>o z&Ql-SdHx>V82WNZ#}#?L9E`)1D`>y0#MO2UVB$p_xCU-yVQ+wkG(kJ#GhgX(? zrEe3kT?3e`!{K^3BMVyxk5J!o@M$>eqg`$K+JWsFz~o~%Fm59Y8-#~pz~l%HDL5nQ zB=y3D@oooS1IKtnyYqJNp}xc5G46S?FxKZ#-(BD-M}48)nP=A}e}V8}I3v@?b70q% zZUNg5KVH88j&V_Uo?Tb|B$9h6{EbYX@pkt9lXz|%q(f>W;|^>$c@9c@Q}Zu8pXX>< z3x5W9EjQuQ;OD}DglGLtkyHL6fEr<4_2jDvY0XkL?B|^0xr6 z{6NCL2SDqS@b`lc)B6zkFuflGpMnF4{AU2d^!_RMFuh*`zZecA@`nIe1|Z>o2*5Oh zg#R~(jmLk1a`MM#L1Fsi>Rg`JIl_fn4xZ0{u55jm2tYpUzu5Z(Hm%%$+QphTNd)Rg z%zi-#2BdpVY~$C|)8XLhFn9S4-VoLH^mXForXz)SPwR$kE!BnAUQx$A#(nh|b=zaq zYmZTRDe;3y+7`;$Edp=quzSl6yW6nMtk-$%>iB&;57km4e4^~-2uKSzlV{oy2y0Y=**BsOOPNe%q|brjUlm+CJHU|l&%pC#Y>th~eZ0`= zaAtS+kWOt=Bzp(fSo7P4WerF0)y(9u^sr5cn&XF?-%dvdTNHJ^{GaecI;Q4$$JiSE zg<{E_JZg@Iij+IOI}QK7l}AeM1?D=Ym4rh1i98;|}hkG7Clg+)?P^?*Z%jMptxo_!ao zS&rF*^<|6URR%YaTs>rhc^>smW}X+L|K&SSJz2bY^SpBO&GMXt`Cu7XJ~jc{HGl~| zHyDC5vaq~)UIoi94M%;3pdd`uWSUxbSC7C zOrP;~_WqNY=UE+-Yr!y|R*rx&FJV44|H3oxDkJ*|y!iW7xL%8gHTZYSo{cJ_6ge2sS-xX_Mq+ z5n3tp_8zq(Vu|}VyX`Tag*TR*QnsM%c)Vl9l{boe%G^G-%|9jM)d2**h&x^tut5E}WMmf#w|#O-i13&_oapXC*>i1u1n0Xgao?ds>i?}1~#&hlbD zm|l)SfxgnKz+0LCb1?Z)go{IYDhuKj<$-?T8Mh|{a=iBhKHrYB@`Ie)M^e{#4o2iG z`86nfo4C+8-MIWn-UDBZI)GHzIE`;TzVCIg{_x_0#E(zBzv{kOcpCw&LA(*ZCQ(#V z-cvPW=84hBA(*Dqe^fs`k)9c)KXlUcIQ@~6KXl5>Wcu!rj~w^Is(b!?=F`#LC*5=M zy{Al1)X#|OXU6q+9fwj6Fph<5>mZya!2uHE|uzJ}K8gPqVb z@bd3BaBFGx;&YlD-8%}L=u=SQUUo1u&VKO_`f!kg>U1G(1q6o#rIhF{-2cziph_u9RGvj;{-qx3S|9 z@FCflgT6Xv{2xmW&rL*sLX|v<^K8XDS(<1k7zV5y!GY@|Odp>MTl$!08sR1}viOkb*O^de40ZVZ8gn*T6B}aDDJT@S#4YtsTzD z!tRDgs1M~Va@0rr$nueI7Y!qfdlrmLAJ0|?0LxmzJ`BKTUJKx;k9o_pizk&xgX;ZWOK*E0jKg5^nMzA3JxUl z+(#0o_v_%p^unIbS#SJ4c-AG5T>n#meqkNY^+hFy$5w~nCp_x_+YZU!OETz)^4AkJ z-wc&-Ef>!hJLLJWzo0(02T$OUmbk$@Tp`A*10Ly-_YrUmVj+|F_e+Es+je2yJEHzjOQXly*m|i-`kCf*{*L^%yIs9#jLOB z$K~2;;QJM`T|cOpm*~fic@nYalDn1YRvx?sU`~}6$z<;LrLf|J9uLAyt;){Tv zR*drS?=#o}j6Ors#&-WB#VBw8K7)4Pe^LKVV2qI>zYX}m6n6u^thfibBv^ySJxbYq z!Tt012>qhRkpFeOW1!mNJsCh(mJe4pZPQh%F%E`_`Korm-P4w3wn%|FmO zr@k3sFBzEnW(3{~@ay|#L{@X>_KpF33In}qVz(U75EA#KaCo@rbQ%GP`@Q$?(EIc7 zE-Um642<_bDHj1Yro`tTz~T9#<7lkLkIU-}@Ck_-@#$_X;#+335WnVIqFoV4+q6oBmC_)Q8x`_4wZsVXC%ha>(r+){3kn(ir3WW@ zojiuUU*QqTxUW$-Dxb!{t~j?J4hwL_vbHuu7U^(A%dZNKMdFX6ti|EA4AF1&)e8&p z1r7W~rtljW_-3b>x$j`8vM*-LDtw7+rosUi2lUp&p`wvZ-XVKp1nBRI6-+*5oF+G6~i#Y9R5{SUq?MJ4Low+@amqH9_7zx zL@DHwHb3STLQObY>Cl6vLgHM_Fksbo9564CbsJvKFtoZ+YqFewhD2G?VmOvCY!sof zEKPZ9vQ=M#Kn)z`PdRI{E5KVC03-AgNz4~LS=jmTG2MVwhjCZ~M}1tQ zvHWfTwrl9kwcHe(k%e6bk3iq7Mc^%cFwML^v2pBTc+>p3BFp=@I`kS1n#J19a$)*7 zKezPV2yAJf_Y<&Qo&_+nuwC#7(}!7-|2_&VPp=R2^-y0a$}jpBoRR5!A3TD!tg>rx z*+CP++2lSEV89Bl15l@t$+7i^>3a@5<(OZt>so&E)_5zvh_J`uj7%TTu;h$pKwn%1 zj%yVxN9rTbdt-GJaOTf&xCze4^cg?ujEi$*zz6z-3GyX;P;SC=UBweF=Kb&lo@w+1 zp7-Aq`200S=F1bpS!O>FJL3@bx0+xI-hO~9LRzgfSOCj8z7 z`cVH43rxF8v`mxL&}n!)?^m1%{CViaEn{Dl z_*2Emd*aKAQ9gLboRdNMB)+W}_d4;6;&rZod^*v7Jf0I^#NqwZ0X{##h{MZl3UGUX zdjh;0_f*T4{gubVhOH8EQHTFYnrO|cVfhjL7wIW!p(ruXBDAC#c-Kbq$LX&%ClV`@ ztK!6}L=nDckyweHAhPDfvN-_RDa-WOu}phqq?k8)e}?pKQn5Bl1+Z68U^eEqL%978 zIJ#{TdHW!IDEyD-o(R7iVz(Qcd@n%lb8~9)(f&VEq16`jr#VC!TG!@3?ths^y+$o( z>tJ9dAN}tp(AH(2f__+tt=sZMk0SAnBJ}GbhapsGy`Jr4{n8omK)>t9{jua0Lna*i zAy?35;&Tnt4VYkh2H=b=>|A(QS^+C&N!%KJUrVM_ceFI3v?{2|Pl5 z7~|wQD(w@d+w{E!*scLg{2LC8+sMLb+ZqN;vW!x2M&wD|aACYpg0F#NynNnj@v`oP z`WAu5_~XgK-T|Lb-_O8Pj`~<0rZ3NyOg1CzJ#a>*kLSRaEExjZ4!HP-y#c&{z$9>A#FYx!^`x&a?KX=&pnEghOzx@9r#f&@5 zkG~u@D{TMlFj!|ifoHq*1YYBDUf|gtJb_0!#%G&{*yee|$kb#0>|_4gLa(0CX^5N2 zNJ-YAeOsGEA1lv!8Gv>n7{$)-4+v&mxKuIg_~nYH0bi+j1~8r_i#+?BPQ|R_==;PU zk3ORvicbcH-jt;Q_qf_H>XlC$uJ;)7uk8O$bxrpFrIgUb_Vc+*o#bSm`6hohp8Z_B zvUowIv!aWeUO#0>S8Q0_x_ZUN)op86T(>TU*#sb#$g0Ne3sW_^;j0@SifPp!T)-|2EmzmM7-d#-Z~xc`rc{zRh9J^S+1ib3PZw&$`EY36ksY1Yr4rgvYw1)hFQ}1RtjNqu|5z{x0|w z97yD`rkIo7FM|)$`%Um{iy)Ez9suh(NcevM=oi-Uzi^mGhNDZ*$sfP-6Q)0Ae-P#e ztRqy8u>HSU_I0i4A7x*=fn>_Wo`i{wqT)`Zz|4*s0{azxtJuLTYP1i*Z0l%Fyc;GAA)xE z>$Jt|3x2zKto@gb4fc(v$=KpWXp<3$UdDaN1QkjKHy6IF2!l>0mjSZa{vwAld_GWMLTVLVcBU!PB;) zKCTU#zH5Q)8o(sW6TQ193&WU~Bi9T*1!u%GlCFmf@=@6ez6Or*a{grT-Un>D0h8@G zFnvZAb~ijief{7qADl;+K91v72MC98cnHqO^zm$U0I(G4OHh`!JL??vRl(7=4D^*h zhva79XJq<}x3l-3#2g~fFOf0spzOs4=6%!r3tt0X%UXEaoS{v=3_Py^N%%^D6glND z1E3C&gkK4;NLa^T?J$oL-UkrIe;xQR{ypF;;Xoq)ZUB}aNO<07&6DsS1RtjN5%6Jp ze-C^L4kYql00`6j1o$w$-vZA%3ljNf0n)-c{>Q-m0so&4^GNyF+Jf;ZfFS)b;|h3i zO1OEv))ROw2j>M|%gOWXKRh8ke-6U-;R)fW-*KIJpaG$7#TS8>c$CN96i1TC0t4gJ zq+^0fhuRR?Nk?{9(Js7nA-id5aA;s>=a3dR`kQ(4t?W@Ht=%`GKQVj(Fm993O2xRX z{y%ml!0XhX{SEG=$n*YUZAUQbK-8g_eH_}SpR4Rp%(=>-;*)^U2a62XA27!k%z4YL zic`SvQOrEttN2V{q$y?TM>>9ea~Q;he!!O%+PK50!h=itdi`sICwk7%m_Fx~KWA`r z8}v_@&D_#_+ls_FiT5>^1QVL?q=SEmp**Jc>>OL~RMC&VoH}|=Bb96;Y9UC!7&A{_ zMxqlD|M(!BtoR8{sZ4Ra48CWH#}f%oXQG%5XwaD%Ia_z;S>-<3yLLFniq)e-x#XIA z9CK(MWcI?x{C}J0CYDDQfE7xxUQ6$ar*U9k%s!mAlyc-3D$l;0#C6z^tp8jLPuc(z z$>q;Yw%{5|6ZIOd4=`W_Y*L@T@#ZF1A^8U(Yh>xmpPSHxp#5%Sa(Q!;iWeYo0FLFt z{8_o=&rR+`80|$P)0a0lnYIrCX*lXLdyM6rKR1Dw*^-2EPk7Fsn_ygtQVdWsJB)d= zvILyQGO2-MytKV6ANK)US^<;GaiC5k3%eU0p}uFqQ;zyLZks-i+g1k%*W)mmxe3Z3 zu?Mmf%}wq?a#uml$kJ!LoxT4noSRHDMQUp^U7CNfz1e=Wtc70yUdv5*&Q(HN|MlQg za3Be19%_VjJnQG8fPb6AJc|4_fH3}E@L~L{d#pzwk-r&$bsQu->nFy3lJM^Z2-Eu@ z_%OX61)qWgiTtMl!u0+L_%OX+0lydyB=X+|U_A#3|9yadVIBXX!#pxvhLIqDG3~q{ z{rS&3vR9+B9gVpO`#~X8_!z$?<0X;Vv2JP#GQkvtMb*~VTWG3*TN%zX&OkW}on`hi zIF!5C_zXas63qUlS#b&QMT*OTTNSfCZ&1wsZ;N8yKD0H_!@jIb@d?1!C}un9S9}`q zkm3|D;!9aN(f)pYa|l<(wr#V?!o+ih_B~Vc zg=1{K;GQ>}R&c(6ik3TJz-R5{?S&Ih82q#$?_G$arVT>{rVZSagz1Vz|C@f!!7)9g zBif5}j6cr^^RDA^{_~6+o1A?h<%}TZ{(QJ_J+d81ItV`_OJDw+0sUdL$AoY;x%@f9O9*=mj`1>oRxbH- zhW!ZJ4QFKf^5+Z(AdrTmzVYS^%p22aWcu>w3@HfE88MBd{5iu@@T-AiyyMLonh~zH zBv7_o^5+aMsvPx=H)p`uJgGSY@|xHK*@@;1C}&wqhNzI0i}7~${;zP(!17lTo0s>3 z_Z}oX?Q1P-;TM9}audD|JY_(_p9hd4r~GOF-Vcz3uLD>ltm9d~lq8(bH^TV)z=!d( z?rEAup6emBhd{!=8$j!m@b`fa)B8d2VR|0{pMnF4{Nn&&dcOodOz&60FNOn&{L=tw zVIBVi;QoNeJi&@Mk4I_FaE8T*3Xi%KvmXRV%EpEE9QCu=IA0{4Sb{G`N02wd*>fx)p6bN_jZB8!tyJ`tz8l? z_By6AX5ZUgcA<4sJFzXmHnbELWVduHa& zotZm#&Y5#(=5p^Y&nNHWT4z2E)-Al=BIE@ zq}NpUTR{X*3s^kEVUu4ZkAQWS917GvO3(=y-9xfb@>hL@`jjZW`I3wOgLCrqocD9? z--1pO<-=~K`$^XdWHR<98085v{uV&26R3V-8Bf1iC*$vd@4`WL{R04%1v36oKrG|w z7o9A8A6$I@gYfbFrK{3sh%EjKfJmm>-ma@GI1B$QIL`0O@Uc#E4898oS$cm4i1Yg` z_&C2O;Z+Ba#s4Qj$=HlP4W5Yj405Eh=M^S@ZH!+Q42>LMyW2zhi|2JA**kjroBwxY zx;eVN8wbOls;$VCc|31wH+%DazlpZj%V}?kIl3h71?w>@^>(LOG4>QjD-+eq$naEU zl$X!?&hWka-}q}tHec6{`KRl@Y+{32I2(ECsmsc2q*!S6?;Xv)e}_Ic@2(b7?q}iL zf5z|MGs^w-SKYo9UM3Yb$eO|$u-b#m;JXwUk+l{0Mm=J>c#;vZ9zndOdc?bl=V=R` z=QUAzn9K!?uq*OSFpR`m*Z~3DLvr^eQnWdvh-2>ojg6~{ha$> zqP`Z4?n=(|4?Ukye&a{rLtPs$eJa*}o`NT@A`4eNcZmzU+FrM@S@>tbYa{+k8P?IR z{~92^zx1d0{%U)A4v@wF4nXw>8UGJ}SYP{R_&C2mgpc$4AMjl`$gY=;9OaiyUF-6z z-J@fD&AuC#@Pl-HQO|V3*o@zpVI3`e3m__AkuiOo|Kbz%JX|0$62JfISkz{6g4cVS z6MVQ&<^`|!At!k1v8eaV$ZSTlAvWp-(RHDaKDKlFBSZIXs~MHdW7oqww$%AH-TGo= zyfR$X1ldV@3|0CEf2Zk-%zmSQ-w2q;mjBN|W7#Wc%h?#_f@T()3w;ls4W0Y7Y=)@X z=~2p>*1OG}^=@y4&i(CN=eFG}lFprUZhDOxdB1u)UeYPlmx}JnPWjQ}t-~jlxx`C4 zH+3?Gi*$>QbMVrct_7W2_CP0Z2hRIB_fI-^(z(4+=uC$ay%)wOo%_-I9((W5@P>k& zD~Co#h7V3wGH!h2z(IcYW+CHyDo+Gd**{u%bh1*tzdF3H^2peSi0$0Y15?A}=JjTD z?rLRtw5D>~UKIXLUtK!)hBANss?mjY?*0(JB|A5IH7&N@&fWf_(kp%KXOppeuI~Sq zZM=46joo*!G)w=up$lwg-|n1!v%+ko_@?aJvIE7W|HjMq<^I=_ecQ{V^16Im1J?6) z9r_*xMx-!Nqpm$&yhdG{crEGL|HVC;*-0Di+n*(#?10iyXR>ck5iT2}lb2&F9$t1} z$JaT??x*xLMo<|2>3R0;KKxp-Z*L}E7tSfzJkQg$PZ6OTcOhMSFL8q16O=tGog3}j z%D2~x^6%wnv~PcmuvYBb$6K^-Qz!QCc9J#ndUoE=xqs5NldkQJLg%Dw5BFyJ@^D`` z_lNUUrE5=(?WN=mt zReK4Ne7o%5bdYbGHZ&c-;al$A$k;9%OQivQ5lQ1W8;&LUHdUP(-cwjVZE~mh6-2l-`7W z($@BB{HA&I)7U55e?r*DaZb4%(mldC_rFB@WS*S$uZ6-6_gJ2duSROTA+5VHl2NrV zEB3UhvHef;*N?|1S;{!Hzfu_;vL6MNS(`8vJeavv9eS!V!oN!JIy7})a%kMb_YUtL z9j{aiJp=vCcYK(p=j!iwOI0ghRu=G;ocigvg4?cfZQr|L&FaH1tzz}cksCh!)7hVN zPTaj_^~Ct{rOVz`SoT_J$?4KN_%{DWvGa}M()QBm*$@8S%FedZ(n9I;H+B|F>u>0| z^M=LCmMmCyvbf~+GEu+z<0XGTZ^@6BEL*mq=iJK9bBkX*vHn}d;>~T#zT22y<&D32 z@y6e}=~F-3*;Za!C@(9P*RO2jiIB?D_Oj-Le0R~(0scz=?9S(QJ^#Mqt(Noo18Vxe>T0#cFFFp-zz)Y3~%NmY0h6%SW*9LAX1L6T4U%bN z#+E0Fv9i$CdPjnf_M_kTC2*YHDR|YjlZU;CN1WaA@*!`Jo2|4VkK@OSd`ocD9?--7lU<<~N=d#g-= z?CZ34(+=e~{$}`4*T(-Gd}znU_rkBnLB_uu&?PSLn*rU%X5l-)Ya@P7hIO>-p9IAB zufoUo|1iAT5oGbz7FB1HTRj zS^Vz<)XpK}e+ZZ`HsgPiVI37-oDYb~S5*IWM4=GRvZ#9LK%j z5A~Gux>rtwNBxJT7tan>`m}}OM!$ecRerWcJg~T%!>jVMaLMj4fVf_^Flur2%H+hs z>ezvUlf6_?p<0aY zZ*w+8dvJJ9Wn5LybIx}$qz^HyHpRQxrnay4^vl6tZGsV}#Zh}f|FAflvv}suqb&SR zh@{2aB^9ygOjEzsCbsKSbdy zj?(45H~e<+p@7vlAs5VFI@ogoO9%USz+K?O0pAM#Ou*`Ej|Qwh?3IAmfsX~;17;Ts zOP{(d91mE!++PGd2tE-ofM z&j$Pi_`d^w5_~S;eY}Tl0Z)LbU(53(cxk{q^CHjE{E?AG>dWvm;I4pa3q|V1{GSC= z7lwZuO!*BT0qeQ1jgBK^DJ-v!?rFny}}3G;s${BXd30Nxewm%xQpVSnbyFVct8( zMuaQ@Rc{Zj2i3G^6P0E${#vH-8kzU$s@mW^89VX$1$+&>#(woICJmUNQ z3voyB(|uJwzwZ#(uaURv^Ld<;hrJ(04~}Mi*9y7JIz_LJ4HMvPtSQj=l;n~V|EffztEnHpNn=H@Bi~> ztA|Zv(|eQ?yxymr;QgI2KX~;YIl<4CoyPjv<$9lzoo2cf7jCENtJ!J#8tgQEE!t`N zlAR_S(`~t+h_j~kjYaG+v$BfLAp;}Jd-;pZZJ*ljK3?`VXNMfiAx zPdM%w*cAST(&8+@fxckNX~i~^W&x&IfVDT~0!ZTky?4BSM*ZRJCx0i`;316qVKiO5 z+XOy0VK&H=125Jlf z8Gkb%=zYfP8wCBD$oO8sYGX4VJA0uk;_1`szDw?aUmJzfPdiz9Pr}9bufoUo|1dm# ztjOYPtVrK2GJcNf=UL8mAM|0gJr%D)F@wJJk585a*|Ej5F@R?N-MC= z(7kAPGAEZAI!7|0eH2-D3O~uecjD9!t8ZO|bGZq$9W;_`vTyxKHfgWbiOK9YV8c~* zRJH#vOb%Bw5H?&jPup-cL)vilfl76MWjxw))sh;?wv-}C+oV+q8F-3`&0)8xt8Eu5 zWK-W@O)^EhJ0;nacE7mgmdvg?!~Es6IvBB%QIbvBI3|soyhT`jG|oTG1Jk(a!TatV z+CI~`X~RXWkLw*QUBrB=_D`B>m|0!?&z0%7m2>pBmA_=efm@p_EF0TDHf3uw8y1wk zBP`d_6q2I)?x(X6Mcq=V`TC3(r*LJLNLgReZ2nE%_e)~UC-2YN*)Bo1zf_rh_5Cq2 zE^Dx_71mHpJu@HM#bZR(fXm`W+v#-i8f~YyH0a5^02LNaeA^tDz4JgnAg+$0NAeqw*4`ORX8UP+mDB1 zVB5Djbm5#91~A4szVBo3-8kJ>x}o1!Z7)vm41BP)f@)zO0mtb*1Fv{WPxayHHQ1=_ zF@J(^C%>1@ksV+Gy?NUNej5AVhEsaN4R(NqUm!~##NWx&bKcLn|0UX}RgOSr~yTAbQUPVjL*l<97Hs9m3pm4YAf zsmEd{i`}#c|Bzo|nP?O)>Hm+lzd|9d#p--`A6Y;T=Dn=M!mi`Df=t}Xcov+LGUA4OG#`3^L&VdVSjndko^arT)hm0UZb#l3@b0l-yM+rKGpT4nO zXnwZ7**ST7&igs{Pj;-iXvgx$Xu4Rob|{`?lfHx2zJqr3Z0x(()k8@-rPV`wC)4Vo zGxRg@7c!R`NjlY!^kg}RXW<~NolLrn!-cN{#!tcPD + +#define BUF_LEN 256 + + +int main(int argc, char **argv) +{ + FILE *file_in, *file_out; + char line_in_buf[BUF_LEN], line_out_buf[2 * BUF_LEN]; + + + if ((file_in = fopen("tmp1_file", "r")) == NULL) + { + fprintf(stderr, "Can not open input file: <>\n"); + exit(1); + } + + if ((file_out = fopen("tmp2_file", "w")) == NULL) + { + fprintf(stderr, "Can not open output file: <>\n"); + fclose(file_in); + exit(1); + } + + while (fgets(line_in_buf, BUF_LEN, file_in) != NULL) + { + if (line_in_buf[0] != ' ') + { + strcpy(line_out_buf, argv[1]); + strcat(line_out_buf, line_in_buf); + } + else + { + strcpy(line_out_buf, line_in_buf); + } + + fputs(line_out_buf, file_out); + } + + fclose(file_in); + fclose(file_out); +} diff --git a/target_firmware/magpie_fw_dev/build/utility/adjust_time/Makefile b/target_firmware/magpie_fw_dev/build/utility/adjust_time/Makefile new file mode 100755 index 0000000..779f010 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/adjust_time/Makefile @@ -0,0 +1,10 @@ +TARGET = ../bin/adj_time # for Linux environment +#TARGET = ../bin/adj_time.exe # for CygWin environment + +all: $(TARGET) + +$(TARGET): + gcc -o $(TARGET) adj_time.c + +clean: + -rm $(TARGET) diff --git a/target_firmware/magpie_fw_dev/build/utility/adjust_time/adj_time.c b/target_firmware/magpie_fw_dev/build/utility/adjust_time/adj_time.c new file mode 100755 index 0000000..367231f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/adjust_time/adj_time.c @@ -0,0 +1,39 @@ +#include +#include +#include +#include + +#define FILE_NAME_LEN 256 + + +int main(int argc, char **argv) +{ + char ar_file_name[FILE_NAME_LEN], obj_file_name[FILE_NAME_LEN]; + + struct stat ar_file_stat, obj_file_stat; + + struct utimbuf obj_file_utb; + + if (argc != 3) + { + printf("\nUsage: adj_time archive_file obj_file\n"); + } + else + { + strcpy(ar_file_name, argv[1]); + strcpy(obj_file_name, argv[2]); + + stat(ar_file_name, &ar_file_stat); + stat(obj_file_name, &obj_file_stat); + + if (ar_file_stat.st_mtime == obj_file_stat.st_mtime) + { + obj_file_utb.actime = obj_file_stat.st_atime; + obj_file_utb.modtime = obj_file_stat.st_mtime + 1; + + utime(obj_file_name, &obj_file_utb); + } + } + + exit(0); +} diff --git a/target_firmware/magpie_fw_dev/build/utility/athfw2lnx/Makefile b/target_firmware/magpie_fw_dev/build/utility/athfw2lnx/Makefile new file mode 100644 index 0000000..f13c296 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/athfw2lnx/Makefile @@ -0,0 +1,11 @@ +all: athfw2lnx + +ifeq ($(ATH_FW),) +$(error "ERROR: usage: make ATH_FW=fw.c") +endif + +athfw2lnx: athfw2lnx.c $(ATH_FW) + gcc -o $@ athfw2lnx.c $(ATH_FW) + +clean: + rm -f athfw2lnx diff --git a/target_firmware/magpie_fw_dev/build/utility/athfw2lnx/athfw2lnx.c b/target_firmware/magpie_fw_dev/build/utility/athfw2lnx/athfw2lnx.c new file mode 100644 index 0000000..f1adff8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/athfw2lnx/athfw2lnx.c @@ -0,0 +1,14 @@ +#include +#include +#include + +/* These names may vary but this is typical */ +extern const uint32_t zcFwImage[]; +extern const uint32_t zcFwImageSize; + +int main() +{ + uint32_t i; + for (i = 0; i < zcFwImageSize/4; i++) + write(1, &zcFwImage[i], 4); +} diff --git a/target_firmware/magpie_fw_dev/build/utility/bin/adj_dep b/target_firmware/magpie_fw_dev/build/utility/bin/adj_dep new file mode 100755 index 0000000000000000000000000000000000000000..f42178f59fe8b331955a3d0b165503f4d5003b3c GIT binary patch literal 7994 zcmcIpeQaCR6~BJYS3}#Rq0p9gs}XKjG-{GSt3V5TNt*VhxD-mNF|Fdojb9QY$Ik3$ zNVlRDWr28JH)|6cn)oB4OsXbL3)6hWEIvS8iX!BE7prT zLTc%+%Mws0$8<)SX4;_S{6uSz*A$@XPDari98NIh2tnbmlysGK=yQz@%T$Pf1fYjl zPpa~hjr|g5s;f92ZIjpyY&L%6j*c9^(9O6UTXLJa+ijcywz#mdK249qZV>b^Gn1bTZUV zhG4sy{;1-fdk@mn=`Q$bk(?0d$K~`#xb^gByOb>^Gy!a&-(V9uuyGCjm(d>yGTw%0K9l-DSIJZF}RUQxzR4BWVFpkw-ytxYAR|UVN3jT#EINGn0UySEUct;g{Uln{e z;j6?tF~7W@a;|na&7qNG!c5zdlx>=Vx_1Emxm~2~)WGNwVU5LXF_;{+5@K-3veRO4 zG=t|rJejtnP{bw@wNfcD_-HC-Tf#J9MVTCl#1djpxVNj@Y!9`Ewn^_A^`G}A3#dPR zatvV{A!TleQI~6C&|$@>iXVOMbh%T&^}?7z|8vSKd5kqRwPexLE*#^F)07M6xq`Bj zF5JDIOuKNz#Oag^hi^_ZF5Er#&$)0O!~Bn^4#xQF z4QC45ep?q1g-!3#vuUGFIq<{KS-4Q3wCUI2(R2&5l7ACCnr2}}@~?qMlPpY2{xo2e+C{+u#lJhkHMqr3&$k?Ecklxqmut2cvN+vpZK;9d$VsnWMtnr#{aN*UtjOp znbu{xFwVX@)c|rfSg(uuArkycK94#Yx!S+g281y&V{3(3S;f3N9W=5ZJOIICcil&h zMCP6sXK2YKwY|g|k6%0m?$v+zjq!^<aIk6PEZ$LdzS*~p?AiI`rzq>WVvIj_MPx!0^aSdfeeKkg z6ng9Q=g7g!=q!j&llUuO<~LLB^f_32vt;c?$J!!UTNF(b&qFI$dz-R2rz~!9TYLyA zISPQRcNMLlyILqTy)dyzz|MfZ!^lk_dTKH1jb`Kc#G(e zibdz8j$g}MkcWi|bgd|y2v zd}GbN^~>uU^3ij7miO6#b>~)a6EKza)w`!lLGgs=n z$LqhNecOM$Mmw;QRukBd(tnEN-0M12kSGk99BwJkI6^?;{RU?fq{&)c(iQS4#28H< zq#F4YLf3Se`|GELBr=nl4pKa8&iWmm_k?N9;du>Dku1_R4iRe=y-rakXk(-?wGVJz z=N!1$&h?64qv&*vE^~|@Q#=-8q*jL%&r|H5np4)NU&&J=N}k(kQSn`>T$%a56FxtC z9lfCX=?z8SR`idGURJcOPM50JE4o?H?TUKs?&<6Z*6OB zYir*Y*fN^3QdZoGq^+BU2&IpV*pb6T?UX}@ITuUVR%%p)XntCu&aU3Ac4SC|h7y_3 za3nn}LeV1$Qg*1Fa!MYtQt4PSQO1~5Mh+uTP`S~#Ekdyb9o0g%HAc@tVyG+`jo1+p zvWCsURAj_5hohv+oCpor$yAygI^^L2DkPhck=Ot^Op+OTgrsf9&fzpk4E{7E7HP0Wm(?;c&X-=>{uLF|`2v*Tou-HKvtO2ag>%_DI0&3#bkqolz zpXX~gVOS$rpVysPwQ%q{Ln1QqJZAnL%3zMOKF{keRYCTHHbP=KrVkQ^HG_FxH~N)+ z07{g+@jIvxLzICi>+?EwOzHDF#s0nZ|B6SS*S%?_Kc^f)A8Wf;|7(PyO%NXvultkA zA=lq2Ev^5|KSCL|{*+p8rj-5^3o5F@D(*Lrd-U}>T}tXIpbpiKO1-GZ{F9V%`{#XX zY?&kBx`vSe`)B>*N}t<*Qtfk-O8*E8D$4E0@KYXrzIUim8(okE74`Z*;nCmHs7uK$ zO8=n2N{-^M(Dvj(_eY?`J6GP^!r&+QPyMn zjz@n^om1wNKF7&sSdaPNQ3e>-pWox>mA-fWdG+5XUDUso{_e#39d3~FsyT~v6=Ce# zEgDb#0x7p7Sy|4-wPOzByVagN_9{r68l zYN_c#^KKIli)Z-Y>_S1h0?fcysZ-wH#k1cs(n} zTj*Z7-wnyUUtCM;P9=ObttXZ6>*$`j5`KO0o=h5655HJbe2*x{*9xAu<#_47upIZE z%A9#sBR(p)<&ejCuY_MqxF%MJxZ3X^i*ytHE6Ill_t8zLx7~J1d}+JwmH5(j`y%1+ zoA>w~k@D-rqFTRro?-v-(Y@&vh4cLVrm`dIb;^}ewfMhJB8Y$5(_befzDi6r>LnwT zdtTy8<9~^8#ILJ;nw6@x^KVF?QhQ?E^=V7T!zVDPwTS0Dan{I%ZYjQoaG$nx90mvv z&|9r{Tz#JK7K$IYe$thE3b8}sx~E^dq#Y&XBKKFpEosLksIs_gXc0^2^VU>2Rz@?`Lk z^Dxa_W!&CwS5Y)+4#ks)BXLu{C7Y4Vn4mY{(YR$>(a^Sz+gb$*%vf{`T)S{yw4<4k zkt6J<=iXh?&F%x8`+7{~;D+-hFY=XKdWl3IHlx-k!rc?z-_;p5_wU~QKu@39*Vz^B zLD;CQ8A+ugM@%abEeGTW9bxYJ(!HJgdbZqBql%445Tx3{YSS1MY!xkkh%8} z^MExJOVgc2cRZ3#TWR?%NY~vTa~uou^N^>&`wghPSbj<>hs@sn6iGCeFf(Zjm2riP zZ&4B3BX362Npm=oh|<-k{3zuum%Yj@T&y!1jjYpEhN`&pq06I;_H@2+l@~Z)y2>%< SvsXE0TJpw3e*KdDB>n{}<&xt7 literal 0 HcmV?d00001 diff --git a/target_firmware/magpie_fw_dev/build/utility/bin/adj_time b/target_firmware/magpie_fw_dev/build/utility/bin/adj_time new file mode 100755 index 0000000000000000000000000000000000000000..8624fcafdcd5fb471aef437c8821eb46f31e47cc GIT binary patch literal 7400 zcmcIpeQZ2T9*(KIIh*rZJSF{EiyCT-Is))C59K$=jdO>LyoDMDp(fNE*SP{an_@7#Bf z^Zep;-5czu|%eCLtpde4VyOw z)5%~X9FgxT{Hcq(_Z`92o-T@0EhQmn9?2JvQ@O&Ogy)*(O%0c%#Ix+w|2Y2hsN~pA zJ@ON90*e0}Xes??7Qh=8z*`rRRFHEzmCz&wQRybvurob?F(70|EX)D#y+b^Ph zF-!DjthC5jv7RXONk!@RgkuRHeXzE~?oiwIRvG0{JJ*Ua zaL=Fn864)eqB%JqVjBa$_g~33RhI=se%(r3E7$7aNbaW4(^GkrbrmF27p5nqtdUGz zogSC+Lmy=7%Ji6&KO&jBFg+^eJ0w%nr$-<+e9)Ht)7OpcEo0#QnFEL0u8yB7*M)KQ z@=O_#tM`4n$o&+G_eVyk&Bl-~3JeCBD446EK(25 zzE5VS@6M2P`CndR;I7BmGMTRJtX#W8p!4G%BkSvdQhwzhq|%VjB$F+ZsatRP_JZ|L zxUXHm(%IP=tX%tTbb;(%sPiHY#kHz`BO$cSe@P&5UC&QlzcMmHb;jv?ItC^C#vlKk z&l}laje`tG!E04snn9m)KY5(bPydd54h>Q@nuP9F8N-7D{Wr328`-P5-$H4wzDm^- zXxIOUSYw(D1sy5CNVGWv$woQ1)c) ztdV`W7PEP;Ikf(EOZHJ)_JMKvk+&`TPVNvjV(}H zUy|$j074bk4^~V)+Gz~T=B-s1>9(cT$z4Cz-4NE#Y(Dd$S`qfz%)LTwrCmgO+}`~a zN7CW0=+;0ua@?f669}g|x??AzW@juO4J3~pmkjh*d!MI!%p&}6k{i%T%oXjtZcP6O zXdmbWP%0~JB0S$bC_H^tp4Cgr{Uhkb8sPMQ9X@7Br)61H>#N#Q&Iz@Ew&C9m-&v}E z>2=?$W#%${*FgFAwC{R{7ikBV`ECCZR8K(9na3#ZN&K$?=Nt&JP|!qK7;wvs(|}W9 zAAH<7vq+ctQhCJ~U}sRFaiOAXI<7+e^Km{&v`^EC6wW9|y|&E#J*U}n1$3x<*(Tx% zMQap&Qc)(9z^II={K;cL^FW2izuXqZQ;N>2_>4~}8AB=y$6M@@F`TkL<4PanCS{M( zn^E$Zs#oy;o$$HK9q89;p59XQZAJg4=mSN|kiScn-L0)#19dp%tPgAoHU%4m8wfPk zH`F&YG&Tk5dQ;IJ`C6(uxLKwzqAt!d)WR zmB<9U!|84jjPxg9Y*Q;`*PMu^(y?TsNH9@{fMGIFTyNYG!B_%Eh@chi!?hCv>XMPL z6&As0x7nEr_e9O^2y9sr!44~#N+Y06j(4CEKEpk+4g^fX4K6|H+xBxT4egF(Pfs*q zl^V7G9a{|(T0^{kg{DJ7Q2?L!ZP3zWQpU>{2&Hrb@Ge|evPw?3XF0A+jD+7suBd}6R60-`<3OrU}!J1J@4N!WzYGK+s}GTkHVgE1IwHr z#+7|Q1#-7v!J-QcjV0Spsr)ge?75#D-`)Rjxa>K<%_@7&hh$GV+-?6YU^FJwJ}R8w z&!`FJ_;&4Fo@e<47^nTH$}6MFzLymh<#og3dfH{r&)HR~!`FCVls((A+wZvS`F=D> zn*wE-O$t|z&%iJkYCng`mFu!9r|dUMY3{<~$H-YQPJ4bX<>ys?E@gdp{PQk*Lp|3T zD$ozuP*HdMpDTMc-FTjFmzq;b(LG*n`(L{3>10i1<`ddqgf8`;+jGCJqs|$hpYJZH0|o0#C%CZ8^oGlx&l6+H-e5yT*^cQu zF8kSvcBwh5?75xFybzz|_rQ>^LjRv=msNgBiYWih{uXqo|MjHoC~V*Cz=&1DS)j{- zacrlmuHxTyf)0Cz$sg|>#)yusu%$^gai4}r_X-|2&MUM`O2t2;ZhY-7=iGkV`^R6s z)JsjDr{qP8`9A5a^r9uYraK|Ydy%30O5#3ot|VS2_&(%x=Ea?w?=Qu;UyOToCnR~V zSS+SW;uV7Pywk{wJ1OVyVtk3yc97TY8~f86#+eEzu6 zd5Ge+yW;jp{ki_~K0B%KD$Yxgsl0|i`I&I}S*ZO#gg&)D=bGR15??MR{W`e0@(Zw@ z67&8BaEd#s?$d0s&^Z4Y3Z=&LujF5wzaH+BL#2m8`r9u^xC8_OE-tH%Wb^jD@(M3nfN?RGc>+Ha*@z%rp51OI2Lx=Hh-86R;NqbryRgt9G z6;B=u$4&XvYlbs@0#CHP@u(Gz1e=<_P%o&$j79oLY83W^b0pK#)6a2s?%N^5>^j); z;!cw#3d8(d6#0lPqwp&>YVYpQ{_QOxbN{Yghjtz|54UU&?WA^7Z_RKj74A2qiAXUZ zzZ?j2$5-~Xyx7(%=z-k+Isi5Ksh}gB!OJ>4_A6L^N6>ZW=K|M92y@Q~^I)_qmd1OD z)_6Fbj;7@&h+-r9GEt1EFzzoDE+uM)h0hzfm~HzZMPdmvla5lqidru}Yq*Ug>7>~m oPDJALDMZdEk8Po|G+L;{O9|Cu`%{X`lN`vXe1BnoMv-R!0RtQpaR2}S literal 0 HcmV?d00001 diff --git a/target_firmware/magpie_fw_dev/build/utility/bin/imghdr b/target_firmware/magpie_fw_dev/build/utility/bin/imghdr new file mode 100755 index 0000000000000000000000000000000000000000..23b107a0963c3d56c1b80ef794a3df9dea323383 GIT binary patch literal 9638 zcmcIqe{dVsoqt-zi4&5@B=iU*kcHY;ax|*#CYkWF>o`i*K_PAuhP1$~6q>0-Faovjz3AtAx##c*L zVOvF`FvPWDtym1C0e?eEh`KqZ?e3ZYAY?8w({CGT$PkvLOR`Eh{#QFg?V-Jr4?RCY{HQo%?}xqZ|&`ma;vtgLqr!KrReeIA_W49NyOxOdDCdT<`wq(9`rX|8ZGi|;g+eh04f2%1~E26dRlvyErU zn;&1Ui*m~oxQ5mn$PwR1X46w;q?SjBrw~n_m;6J-Q)s5gC7&anLOcDe{`16BNT!D*zn6Fl#q@yWcM(q^nC_AMHsY!2)7{`fWor9Pm-EVBo6ehN z@jqv~_jgT>pIl)Gb8@0dlF4nC8KRVh!SBb$sO{!R!`Cj;g?Vh;*2?E))5pB&F4K8y zA1MyqR)U@=-0^%LmSC8@NoEhv^bj}ke$Xt=1k9T*@D#EEs_ve1XOhWeIJEvz>AzXL?Z8shL-pFLP7_AHHU7&0>N!`Q zI(KGljAW*Bbj}=!%q1s9xqR-7f=Z@Sm@=KaE|`;%IcYl?nN?Ks)2~v23Y>n9n2|^+ zbV?*AN{!BoiV2+>pO|V~dfo++PLqo_ou=+IZ;Z^E!N^qT$0GFO@iu8wQ4!uUTBuhh z<`%X23#!qYm|FhF72IN`8oXM?$Sk6FbXE&Jb_+VwEJo%66b|#2$c4}&YXMbaBEy6h zIxqg=XQTpOUD-bK;dh4Nq4T~oic30EnI z!X|z~7ZPd^nj=q2-OAdn>H_2&gkbD*7DDPsjc=Y0)(D7dZ4xNfzEKUWJ#koKs zOpJNrr`sFGTpZ)Z%V~;B79$sfGrOv$T0yUCO3K>IHC5$cp~<66;y~!sT^G%f-9sjv zt~mSMOXc#IIf7t}MxLOi(1fl?k3*`2!}EmqlknlQ6j6+6r8Yktolu+pw-3u@Ib@4x z5%#0Q$;rq?Atoc2U|boVaN!~aa0LQ56CkgK$=7)S{^9%OvJ<&961ilaFawcUWSkc# z-djKM_O*fY2=j*X6z9K^av5giXm+|$mJA2E7`YUj`P@PUXoYL)6aX7-rez_UU}0s| z25JG~;^)oL-D7UsUZ&=CARxt~m-d9ddKHG7l1!FvN2{sJLZ@CjEpQWt=Fd80AlHOa z`aM@%9m*FFI_HH?$|3tB9b_`{289!`eNA4WfSEr<80Nv0=|oCJsjq$c%G0e>1s5Jd z;nSbS05(UCQAt?Luuww&BK$^&{RjEI3rbZvmIzmVtW|m-RXzcg)5odg(I+K5is9yI z#NYpKx%}MUN_nN&Gr-6-%i!6og>Y2rgLvIcB^-`MmN0G;e62LylYN;5bSbZE!_wg&11i@ z*R{P)`*zfMFY3Iz-TCj1@)d8G#WUEWW^!+vBlk9=N7Kzs(UIQfHBsdB=!o5XLv-Xw zb1Sx`t!F~(hbSz+^Y}(y(yW#HA$GtqO~*brSGKQuo*rY=zBki|eRKr-;as`!TeZWv zdu{0l!v~d!Ia&nCsXN!o=-iLQ0TH1 zLR_EfKh)Qo)9*=TU}BNVefm9a0gBtG$7AVuAsw?5y4{!1Q~j|+2|bqS)dzBkWNJ{i zvl2g;%EWSq_3N)gxvJEbyxx*0K6g+&XjlBZp?$-j;$vWK@D>xsjrjMV$-SU?P}<>- zqw~K5`ZVZS(7(jMc?UFuRbV-0+^tv{H-XX$c`xV{kWmUev{wWMn*wW>FKHYDz781u zfB%Pac{M3?tZeFhOk1(|PADkZt)Rb#{0it-JHzf}OG+Du>$nd9?GaSFUxSpudqi5DU_yI0(#M zhv;XYXn1U~wbIy8T=G@zE5Xr4+TN9D7{!Cy(+53w1d(zzVeyfP5kJp3xk8Db50<;E z7-}$N4ns|*5^ftQEs|Fu49$?a|M{?-rOdEq5UHFAycBeKzPEoybNOY^q4Y(U$mdnc zu2KBQ6lFqpT9kBk-_C87cXPmbT=28Pl~P-Xu|{x0SQ+w*!btN^*T zLWj=)*j^PYZb63DQMP|ZGr$S)i~@Ak;Kjfw%l15PJAu(!!S=lVyrdQoUT>fxlRtiU z0HwLk_B_9DRt@BO*niezdI0vcc2sz^J{(Z?x~$bbck}wMU~vc;Qe}HyuLkSX?{7b~ z&uh=?-K>#V3K z$B*GBefHJ!Vpk)|ii&dk8GafW@}KM1LxvRTAxXq1N$5%b`o9kusi@(O^YtF7&FAd? zc=_%B*=J8DHI#ak;s0mWysprF*h2M>U+>!gm#=Vt{jS!+*C2VT8S{iec+C5xR~UpRhGuvnnGa&s z7+w%>z&>S29q%QG5zYHhExttX{!)uK3cl~G#g_`+4{GscmHXUU{IbgWU5hUlye`+` zD=P09Yw?wW*U?%$BzS$S#hWVc$!hV-1+Qnd_^Qe}Rg162y3^x@WIl+yeO_0*!l3vV z-U}|I{}+|_8@2jZR-Pwn@il_yZ7qJ4;Q3gK`|rx!d9g_RwsH>7`ZV4b!dC;=#0qg_ zvB9d6ejL|@^uxddc!S03I~(09@%eGvCGq)jyBj#wd(u~Lztpd`m*?3Lg`ZUG63^eq zRXI&d4CJb*p8wx~3i*G)*I!Rd{BmJ78P!6N`=P|=`~L!P^8Z=2PqR_IIR6|93&ryd zRn8{mKlKMCdIqQRcPd<-9RT}K;rBEe$hpt`L_-KvK$}0VS}1_pDiQUycS{|-OO`W# zJnsdr<0;iYKJNprmS}RLlD=36e^lb=cNI5YR==)rzVG6Ko&v5jAU-X?SD_!@TWUz}<=G5!8>t*^jTlqonVWXA z#8(S?x#dkeRXOzfjFKa-LfkEJ#dyg5z?-lR_}7gG>gYdS2mhuj=NX@$->#$oqdNFG zRgT}E^HP6>cuhy?uv(}+>_Fyb+$)|HGRu~j`@*YSh>_l&P z^VUzd3KCeU-a+Ep@zR_g@OumW{fD`p$er6|H9Pip?2cH>Q8k>e)*_DrWG(JlC^aM( zZ`%@&r)=Az=6U(T01gBaUIdMgrG>RKx@TKQ)Y`LS$G*sZYk$YKXoMO{_Es#HiygKS z8G5zv0puqG!rK11J3DrFbqYFZa9{eX?*t6P``%xEK~M{+4+Y}+0#1N&?4hiEUnE$& z96>*KS%J1yY`^o-c-ga8|qE=2{Q`90d)b5uRwF37ui(1S| T$Ri&40fszC7xI$}*PZ_Z(E#&M literal 0 HcmV?d00001 diff --git a/target_firmware/magpie_fw_dev/build/utility/bin/patch_gen b/target_firmware/magpie_fw_dev/build/utility/bin/patch_gen new file mode 100755 index 0000000000000000000000000000000000000000..cff9074b1248dec42aaa6f10e1ac93fdadf480f1 GIT binary patch literal 10862 zcmcIqdvILUc|W@=*}_=fwGFliCfpJ^m`uHvjZFnH>6PsjSFqTQv72;EdbQfUD{W@A ztL)w-GPOZ;ZNf%Ts$`}N%^!&;FiqVKGftc04HPWf6xo>$Rr*LYq|GQ0rmM;{qA8A& z7;k^ydF}IwMNHY$dONfe^q7%}!qAgM{0NO-#zXbfcs7U$&!7)Wu3yD&^deLQ7(93HD zt0-d{2gr`vIxpq(YkN7a=qF`6LQ<~m2qwF>8@M`XFRv(iQZ`Ic)j#SR9UG+I4SpRQ z@j4ONsygMl0KWfiJ3;kLYedm7-|8{oSd;LJ4p2eTP7Z^v@BX);WQIF0{ZEI*V>W$YwNW{0c{8!Fg&HfRmT zhmNphJe|#3Y%rEiXX7mS*<8xDSTbkD67WV2q_@FXDnq!%?u+*9+HGzNZwu3S`1zuz zU+*7{y^qg1ns-zRA4p+J`B_r1tbAiHuc`SXVKyysnp-rss?0cWH5W-%ao}nV5kBw0 zo%_s!1E<)vvaXj`DJ~tVv^a3J)<_m|;Lf$u=D=w^sG>P=T3@Pca^Pz1kgUUj)0$Mp zC|+)i5n)6>Lo>Dq{lJj37o>DnG&iOABPbr)o;r!=`r_{|3asH2prvZD*aIW@9}ZUi80#z%~cvRPE6ST>J(4OxU(EGN^d_ziX-=SAP_4&@KOs-0WkXr zSmTK~M%=ky28`mI&$xR!-<}NKx`!dT6F#HV+zh4a4S&a#Mw@>|k?x+(z4==6Td4Xd z+~G?XlF4K^c5Ucd^QKZHw{S6I;F&U}w_>++tPRAlAYZ3no zBV6R#{=#-+^aM93P9UFX)oW)*Fbzf1${2bFODBQZEto#iIQYdI!PFguj8XV-xC0=# z(YEr>dFy{zYs9|ytJSl#T~rFF8Kp?s7{zg7B6$2fW~{O-PQVG86`6*38YAO3#txk{ zisxI5()Vi4z5@$bo)~pmwh7BASYme6EJIGqacOzbWr=Qt44$%jjMu2+3%=lq--c?r zWwm1ni&xPDXNJFrHC68cdHeQ9$Y3loVw7I5HTxylmA7!gAqeWRw$&UuhvVNdZZCG% z{E&LZAk9+bqB~mfOyujqGZzJ!C|xMN6)3})-7#%EV~jQVj4@+Fc|Y$$y)`fYvRV}u zQ_ApM@ki3|A~!_x_isQtFB+wDuOJO#c2w@9MsRepvL5xh_2Y9Z#^;ug&ozzD`NqX$ z^3yPjA+}fY3YvckF~vf8;#Hc&SW#k)7^Pzsqg0rgj9lgOcQi6%Oj3=_-iE-Vk*jD> zAW{jwz;miymvx}S@?eD!*KCx1=fpK$qJUdGa|&fQXsT=hA_9LLi54s9WnKe20I zTkJrNr;+2SnLc0Fw8PF#8)qONSOMVQc_;+ba~3oQ+evq!*&eQs`_EO|PsMf*Dd8MV+@Va!vNS zbZi0I3rU(vqN4LHEV5us>MZ~{iaNiBAq~{q2rtugujLMV$gzd;Q+x%$^dFT^K6=)FWIDp}QgP{Y;%2Fvyx( zNVP%6dQyXoB=JooKmke^vf{CMW+%$6YjorR!|3S1*Wgu*yvXQPT76JjJkHJVhH-v< zIZcV?Nsy#3auwCTr7Df=S-23fRwKpmAXE4NVqoi0gH^oD(~K#KN%bI($ij;oXo&b0 zS{Z{jnFr6PDFPK8oBo3fM)BMRoXU*SKUDgmCFi|SO1Do(ONsUwV{G$ZHfbz6w(&V4 zz$?*`-98^J9c^DQN)NZ=d~vxmddJ&crT2SESB!J-2YO21ujH>F;)!?c4O@Re*IUuj zFQTO@drCj)s^0LgM)3l!A?9-bVT?Z9jvYVU9*T}8+S{V2Y0*);eN%MwXnO}P8#*rp zZyiB5*iW(7IZN$&#Tac4pyo(^T<479dS#(ncvoJ5h-;xrkg`En zg>(&#IgPTS_257%uNCZ6I%OZxsG7GDnw`~#a#k`mti`keT=-i#g6siH8;aTS0WF?Q zSiiLb7ogqQoR-eU5~)nThT93D)0fTKq@l$!a0MestbW`^9MR&rxOB+PnTE1iI5h_n zIqk6U-TJnw9U{OFgNDO3Mqg}pQU9BHtve71Z1D><4(vAzZ=pvCbYgIw#8M+P>bO| zBTckqDs4%FTpz#vMg0G*AcP~al%#vmmyI3Uhdq*f>QU<+M6bi?gh}ZU`kfB_WFg~~ zh~Clt9)>tyh{uuCWFbu>;k!BTQF`V;7v5iZuUZ`jeFO9v(9>9~6QH4ARI4+f%Wy)b zo3JRT2KpYT4*J(Pt@nbq;4D4@`V8owgHn-?SD5e71I#xZ^4+|0c?(@v(ORWrC1UL* z#jaJM-B0>km-&03!B{(>ojC4+{GVycD*0!MfxuV&Tn8xkkpQh=ic=eEdV#|}Qlc2g zacshNe+9HA_rJ@1ko-9u*C6k9$-8RuSAn-92d7-}=W6mF1AiX!S6uRM*W^uT*8|Aa zA(wnVm$w6JhkOL`63IXQh2|%hnXB~f;_^TCe=#uD?^-oWm2tmLr2fpVN$|@! zin-$#yt?PjNnG9Qwn_d*Nfn_RPAU`fzFhTHlMPq%iEb>ZtQUk`l<^gQTJrq74ILGa zZaAqZ9#=l1KT;og0Od`OZt0~CMA7D(kCT- zTGBt0^aV*@lhhmUzTLa;(Kg{J;T_uc@Q(0S<^{BE9a}rLZr!#++k}laXQi!J-nxUa zaQ?`k9qR+NbAk@28l4q#LoA%h+E%!0SI=fU*3ZKInL>CVmLFi@#E}e)1+{ZR@`#no zr?MFrV?u_2F*1;~p|s7ysZ0vLwl$33BpArDiI^Q@VQaul=3;{uopS0N3&-tjE{}kM zd^!#xe8vV-aRki54SvGhxA4=Khju(WIA~>TZ^r(AJU!>6Ij813^XuSHB%q#`3sC5) zI?)f|pt-N?H~4jM^jw+~rU_E}ZK~BoWd}$z4rQA0&LXIn- ztg=`8!?*-!pQS=Es3?2EF-Ff}X>U;WYX3Mbf&FqpE`ttLmAzWWyMfW(q3oGjud;z^ z|A7iG(w$=21E|s3SN3YX=cK*2{Yp>KPr{z|62*_p_~X)ElaajbmoV!`g;bTj+P_Xq zd(}^G{uKThkGl;zBhk=2#i#yeN@!>pdtgRI6_)KFDU*IRGju~nJz@q z;gnL8R5q)29(n?}(_X!&nv(XNYU7snA&JxpQ`xI_ zU4pH%{px)}Szcf$eW>xGctu|a>a=gaJ$G&r2FIVkcCoEJu1y^5x zivKrM$d~GWue3L${Yj4lO&Lo1CMdPP!-FgPP7f~A$!$oq8i$JQR2`A==bRww%3i_5 zdzJ_n&3{m6=rU2afZc{e8Qr8iAzlyQOs&o@PGJC9QRfXeejQWi12?{+cHQg7 zudnUjZX7$m+Ls-I0IvGge(Qjdg;llt05=|FYJYU&q1t_P-3A;!Xv1 z)as>Cp8p9dOXdHK1~}hO{fpeg4Jsb0csKccI?-)&X3e z@+nfS?BRGTn~?ogdo8`2T0?p8%%8&z{A7S@HLvx`u?F~;8u)pZ{gxgrv%J?DD_8_ zpO6r|1)Th|+k2&^AQkS%1##x8^gq2q=X@{5mC8z-=a-uQ8t|pse>>N2WntOQZsCUA zDRKHPfC_(x2-?Z$RVMCh3Ts&RY=%- zphy%32al*Yk-d9(nC=5z4@OMIQ5aRPN8yh$coh0f2W=Hk$aiKi>^e9S4Cb;*T+fb{g0Rjto~FU&xLlUWBI(5=N~3{jJQ+rb&^}cKV@=5i#}L# zi~FsN$rEFyY-^Code$1sFtcYL8jcqmCcP0+qSz3go+tQMPi{}9>Bx%ww#u!+!3-*~xg6MbeZA5W!B8%?r?<(FS>9n<1ZzEsk9%jh#O(a8S+gINx_ literal 0 HcmV?d00001 diff --git a/target_firmware/magpie_fw_dev/build/utility/bin2hex.pl b/target_firmware/magpie_fw_dev/build/utility/bin2hex.pl new file mode 100755 index 0000000..85f64bf --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/bin2hex.pl @@ -0,0 +1,168 @@ +#!/usr/bin/perl -w +############################################################### +#binary-to-hex perl tool: obtained & modified on 10/05/08 +############################################################### +use strict; + +my ($format, $binfile, $outfile, $arr_form, $ColNum, $show_hex, $filesize); +$format = $ARGV[0] || usage(); +$binfile = $ARGV[1] || usage(); +$outfile = $ARGV[2] || $binfile.".$format"; +$arr_form = $ARGV[3]; +$ColNum = $ARGV[4] || usage(); +$show_hex = $ARGV[5]; + +my ($orig, @converted); + + $orig = readdata ($binfile); + + $orig = hexdump($orig); + if ($show_hex) {print "Original Binary text:\n\t $orig","\n\n";} + + if ($format eq 'a' || $format eq 'asm') { + @converted = convert_to_asm ($orig); + + } elsif ($format eq 'c') { + @converted = convert_to_c ($orig); + + } else { + print "Unknown format to convert!\n"; + exit (-1); + } + if ($show_hex) {print "Converted hex text:\n",join ('', @converted), "\n";} + + writedata ($outfile, @converted); + +sub convert_to_asm { + my @data = split(' ', join (' ', @_)); #nop here only one list passed to join + + my $i = 0; + if ($arr_form){ + foreach (@data) { + if ($i++ < 8) { + $_=$_."h, 0"; + } else { + $_=$_."h\nbyte 0"; + $i = 0; + } + } + + unshift (@data, "byte 0"); + $data[-1] =~s/[,|\nbyte] 0$//g; + }else{ + foreach (@data){ + if ($i++ < $ColNum-1) { + #$_.=","; + }else { + $_.="\n"; + $i = 0; + } + } + } + return @data; +} + +sub convert_to_c { + my @data = split(' ', join (' ', @_)); #nop here only one list passed to join + + my $i = 0; + if ($arr_form){ + foreach (@data) { + if ($i++ < $ColNum-1) { + $_.=", 0x"; + } else { + $_.=",\n\t0x"; + $i = 0; + } + } + unshift (@data, "unsigned char data[$filesize] = {\n\t0x"); #add some pattern at the front of @data + $data[-1] =~s/0x$//g; + $data[-1] =~s/[ |\n\t]//g; + $data[-1] =~s/\,//g; + push (@data, "\n};"); + }else{ + foreach (@data){ + if ($i++ < $ColNum-1) { + }else { + $_.="\n"; + $i = 0; + } + } + } + return @data; +} + +sub readdata { + my ($line); + my ($file) = @_; + #printf "dbg:file = $file\n"; + open (BF, "$file") || die "Cannot open $file: $!"; #$! contains current value of errno + binmode (BF); + $filesize = (stat($file))[7]; + my ($DATA) = ""; #; + my (@Data_check) = ; + foreach $line (@Data_check){ + #printf "dbg:line = $line\n"; + $DATA.=$line; + } + #printf "dbg:DATA string = $DATA\n"; + close (BF); + return ($DATA); +} + +sub writedata { + my ($file, @FomatData) = @_; + open (AF, ">$file") || die "Cannot open $file: $!"; + my $i = 0; + my $b0 = 0; + my $b1 = 0; + my $b2 = 0; + my $b3 = 0; + foreach (@FomatData) { + if($ColNum eq '1') { + if($i == 0) { + $b0 = $_; + $i++; + } else { + if($i == 1) { + $b1 = $_; + $i++; + } else { + if($i == 2) { + $b2 = $_; + $i++; + } else { + print AF "$_"; + print AF "$b2"; + print AF "$b1"; + print AF "$b0"; + $i = 0; + }}} + }else{ + print AF "$_"; + } + } + close (AF); +} + +sub hexdump +{ + join ' ', map { sprintf "%02X", $_ } unpack "C*", $_[0]; +} + +sub usage { + print STDERR < 'assembly', + c ==> 'C' + binfile binary file you want to convert. + outfile output file to store the result of output. + arr_form displayed in array-form + ColNum num of columns of the shown array + +EOF + exit(-1); +} + diff --git a/target_firmware/magpie_fw_dev/build/utility/bin2hex/Makefile b/target_firmware/magpie_fw_dev/build/utility/bin2hex/Makefile new file mode 100755 index 0000000..4dacafd --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/bin2hex/Makefile @@ -0,0 +1,12 @@ +TARGET = ../bin/bin2hex # for Linux environment + +E=echo + +all: $(TARGET) + +$(TARGET): + gcc -o $(TARGET) bin2hex.c + @$(E) " CC " $@ + +clean: + -rm $(TARGET) diff --git a/target_firmware/magpie_fw_dev/build/utility/bin2hex/bin2hex b/target_firmware/magpie_fw_dev/build/utility/bin2hex/bin2hex new file mode 100755 index 0000000000000000000000000000000000000000..9f1267a08b85da9076e78d3acf1321c4c22afbed GIT binary patch literal 6956 zcmcIp4QyN06~0Ma2qiRWT1sKr@Jh0!V>LvyTq#2VGQ97*KgmmI zV3W4no}ByLbM86k-gnP^_gx>h8aEXb6bMraMUfyXd)QOF8hmt-lB^b9aiyph72=J?beYEdeG`M%yG=&{u$7yIKem`-?Iqmu=sL3{-&* zl_2`1ybvr4{VZgpVPF#F^g*hIel>iPyuc(Q+x4~+1pG)b{cnjzT5pL4S4AVSRF^Lq z_tmMsa4losynV0i%Q)EQDiG@kNy_Coywas?`^lYu>xXDoL3q=}i`qVoz7Ih;>bGnB zyv8*eBNQoLsqqSpcWHd9#tjfjKu6zG87a^ClTm8B--Mgp_pjvOgRD37Kp}^A<=d) z5plp0A%75(L?q_4!7MFlvmO3OOl)r4x}m|Y^VLq*)Y!Q)i!jeK{}3wXyO2vl5|~&V ziCn`Kc%N=7lekbg6r!AZ%2Rv=I`)HK2|h@Uhc`@)h5HIQ20cQK2l*N~9`fttR|@ea zc`?>IIUf84@`Xa|?R`5vUYvakF0%Eoo4pCj=LST6&5O{;SG|nC^q;Sy=P;{dqj{7n zMi8cKY(!#466TP``Xy#W;pN1qBxYn`FY(h7Gs18+@o|Y6X_!+sc0^)E9Ihwsl$eo+ z*AX9(m?H>pA>IjG`_8?+Z>2Bfb~fz}3q1dD8UF0LJN(?E$h93%m^gkMW8IS;_hivW zG#?#s5M1VoDad-xI}5Tmpt7&|+`w^J)Vv(IBL^?SDY;mY?)RJ=2gsj4L6#}&IiI?C zb^+xD=%n;dTtHg)^gHD*(g!M64S6crmLD-X)fsE~qt^J4HANN8#x9_Kq z^k`{Me`-m_D(>A_>4612Cy{;s!+ajXBCDkI1@Wjgsp{$e0tw$Uuu#JH4J?xIgFfgB zSSuca#Su&mje9DyPr~TMy|b04Eh|&gmX#xI`7&nzJY)8&FiV$ZCnhjIwIe6V($p3>&Lc^jXg^><|By&-Ypr8Ec}z znoM_3h}6yL?kQ3F@bl1-KFwHNLH!7w#*RY)9d;bvuHC{0jC0-6NeMao(h+>>Y1)AC z%x88t%wD`5Hg~4h!X~4fYYdghJ3EN=`|)#i z9vZXfD$KcZyt4+hATzxtj-o@_nv`SLp7~~UdA%{Kcf45vAz8PhdYrTEkbgw$n2fy9XT_3WAB+WW1s2sEJX9l;oe_m ztjU!l$k$%1jZYrOF=_I07xH*37wqzQ#%qwx#?7Q&{Y~j*bsv zGJ5;A;HeK;a-k1dIW%Ft=X$O2Ob;dL`ohDX#sgl*Y5v3eQ1w)Po%JEq$9_xgC#jd& z;K3+mUVHkir(`}u!zC=%!k$K`Q=WpnPa(O;pW-5i`4?$EOjpDJ+TI#8A+B`yxNz>F z>^GE6n_DKuxpa3wcW@F(k5^=GMBl!& zmt(hbsEB$N?>*c10{dsIvh+{^7qiMHo%#7?>9d|&yK||(rUxsU z@3mV7G8L74MZn*lmw3p-3+065lX<5kebJL2$rPn0^QnpSpr^ToTb%oy!!uC!X@s>O zZyIJ5&jBnoT~#&p`@ZNEWZ3Tm@mSLFreeuRdn^?6M&q$|??Zu22e)?k+e6JQ-aEWs zTc|rN6y3M+4IvhOY^ObuheCH$MT1IrMQbEh7Y=oKnuDG}Pj4j;EX%w94Ba zih2Esg#VB?=y&`pKD!WS6e0eTzRihvM~gCmnl}&+hGci?)?1ate_Bhlii75eBk-K^ zv~M`;Og3)L+$*-EJ;e;*Ic$9Y{4gKif-^GDtkuVGHUbX=b0WS0;+dBHt_87hu2177 z#M#~nS_)bWx(+mn{;cP~d4}bohUb2AByqeS?T9JPSaRUc0;Bq07oPb|rw1{XM?l{M zJqvmPbQbg{(BDDtg08@~4E0CZZ*xP#SG?6Y!msqM_SO1oy>&G;Yin+;tM^v##sb;m zcU1eTx_X?k{|DN&HMO;KZGWj|KHL9K?RiJ(OCIWQ{H?-=!@J^PQ^O%Wl;{+`SlkKu z)^FIl%JH`gUwbU&3;UB{;R_y$p|j#nLP;JBC6bYNY!}S z7yh;ZKon<&2wx~{wj)+@kA016uU0~Lonj+hy>suj*j@_lh#zv)+Dq8@s5sA zY&s*%YMGyZ3L>scu50<-3c$5%3S}%efpD^ydh+`c0xz&B9$l#ge-~?<_LyH=w4RZZ zlWqes$Ee4=3q!9Tb><_gQiu810AlV@k9k^+3iHzx>ayGkVvbRd`SmO+%TYIe*-qLA zVotKoyzED%26eYzK+z7uXO8T{yv{+7$z<%26L*4)9`}Js=y89boZ~Zkhfrs%+-JBC zZNZ?pcbH=A^3fT+1JFAFy;DXBoO-0gAfv}Si!wBRo5po1)4T`V=yBhB4jWvBQ35x9 zGg^=HG6=mv=v~E*x){ADfZ0sF5xmPsFi0b#s;Peub?Wii@%6@gjp@zHrT2_W?@j2v zIgj3tU3&Z=x-gGl&K0MDe&sz0^oCLA^JQUtjN=y|6W=N9>!%_lw>2d!* z4ZYP=(1kjrA&{|`gs7u4T8q8YIz_tD~_8wT~3;A8b@NY3d$IVP$~(fx^lI z^Il&dAt$KCxx*nP?$FgV{%cL z9}3H3ip&Fr)ny>pyTYYpa$PH|vW@FkVLlbykxXNr3e-`FjBPxC_tq-wpZeF8_}K%X0}h{eKJG%x{4x6+ZxW z$MZb!5&e8PKIWS#9N((|W_+UXQvM%v@FXzvmwgHfuN8~1klp$#=HNAR@TNIkkmC z^-}(XmY>w}p8-$0!brmcE2`A~K+S+^p+~qbj?bvNMZr#&_8)DnuI16oSm=@u5))@^sp`dTg zm)F#bPBL61+rhZq9*wv9qqaQl+5S`)u8VOBw1cURjzh+QwSD7^eFHY_UVoQm8$@TO zK4VoLC8bsU)h2`POoVt2wdDtzx`~D-9!GUkC>9j<=EfZx);HQaHf`EtHQ7z;H#Axt z +#include + +#define MAX_READ_SIZE 80 + +unsigned long checksum = 0; + +void write_file(FILE *out, unsigned char *buf, unsigned long size, unsigned char *endian, unsigned char nl) +{ + int i=0; + unsigned char tmp_buf[4]; + + for(i=0; i\n"); + fprintf(out, "const uint32_t zcFwImage[] = {\n"); + while(1) + { + size = fread(buffer, sizeof(unsigned char), sizeof(buffer), in); + file_size += size; + if( size == 0 ) + { + if (multiple) + { + fprintf(out, "0x%08X\n", checksum); + file_size += 4; + } + + fprintf(out, "};\n"); + fprintf(out, "\nconst unsigned long zcFwImageSize=%ld;\n", file_size); + + goto ERR_DONE; + } + else if (size +#include + +#define MAX_READ_SIZE 80 + +unsigned long checksum = 0; + +void write_file(FILE *out, unsigned char *buf, unsigned long size, unsigned char *endian, unsigned char nl) +{ + int i=0; + unsigned char tmp_buf[4]; + + for(i=0; i=size) + { + if(i%4==3) + { + tmp_buf[3] = 0x0; // padding + printf("3: i:%d size:%d\n\r", i, size); + } + else if (i%4==2) + { + tmp_buf[2] = 0x0; // padding + tmp_buf[3] = 0x0; // padding + printf("2: i:%d size:%d\n\r", i, size); + } + else if (i%4==1) + { + tmp_buf[1] = 0x0; // padding + tmp_buf[2] = 0x0; // padding + tmp_buf[3] = 0x0; // padding + printf("1: i:%d size:%d\n\r", i, size); + } + } +#endif + fprintf(out, "0x%08X, ", *((unsigned long *)(&tmp_buf[0]))); + } + else + { + + if(i%16 == 0){ + fprintf(out, "\n"); + } + + tmp_buf[0] = buf[i+3]; + tmp_buf[1] = buf[i+2]; + tmp_buf[2] = buf[i+1]; + tmp_buf[3] = buf[i+0]; +#if 0 + if( i+4>=size) + { + if(i%4==3) + { + tmp_buf[0] = 0x0; // padding + } + else if (i%4==2) + { + tmp_buf[0] = 0x0; // padding + tmp_buf[1] = 0x0; // padding + } + else if (i%4==1) + { + tmp_buf[0] = 0x0; // padding + tmp_buf[1] = 0x0; // padding + tmp_buf[2] = 0x0; // padding + } + } + else + { + + } +#endif + fprintf(out, "0x%08X, ", *((unsigned long *)(&tmp_buf[0]))); + } + checksum = checksum ^ *((unsigned long *)(&tmp_buf[0])); + } +} + +void write_rom(FILE *out, FILE *in) +{ + int size; + long file_size; + unsigned char buffer[MAX_READ_SIZE]; + int multiple = 0; + + file_size = size = 0; + + while(1) + { + size = fread(buffer, sizeof(unsigned char), sizeof(buffer), in); + file_size += size; + + //write_file(out, buffer, size, NULL, 0); + if( size == 0 ) + { + if (multiple) + fprintf(out, "%08X\n", checksum); + + goto ERR_DONE; + } + else if (size +#include + + +#define MAX_READ_SIZE 80 + +static void crc16ccitt_init(unsigned short *uCcitt16) +{ + *uCcitt16 = 0xFFFF; +} + +static void crc16ccitt_update(unsigned short *uCcitt16, unsigned char *pBuffer, unsigned long uBufSize) +{ + unsigned long i = 0; + unsigned long j = 0; + + for(i = 0; i < uBufSize; i++) + { + for(j=0; j<3; j++) + { + *uCcitt16 = (*uCcitt16 >> 8) | (*uCcitt16 << 8); + *uCcitt16 ^= pBuffer[3-j]; + *uCcitt16 ^= (*uCcitt16 & 0xFF) >> 4; + *uCcitt16 ^= (*uCcitt16 << 8) << 4; + *uCcitt16 ^= ((*uCcitt16 & 0xFF) << 4) << 1; + } + } +} + +static void crc16ccitt_final(unsigned short *uCcitt16) +{ + *uCcitt16 = ~(*uCcitt16); +} + +void write_file(unsigned short crc, unsigned short file_size, FILE *out, FILE *in) +{ + unsigned char buffer[MAX_READ_SIZE]; + unsigned short size; + + //set file pointer to start of the file + if( feof(in) ) + fseek(in,0,SEEK_SET); + + while(1) + { + size = fread(buffer, sizeof(unsigned char), sizeof(buffer), in); + + if (size output crc 0x%04x with file size 0x%04x\n\r", crc, file_size); + + return crc; +} + + +int main(int argc, char* argv[]) +{ + FILE *in, *out; + int retVal; + int i=0; + unsigned short crc = 0; + unsigned short size = 0; + char input_file_name[80]; + char output_file_name[80]; + + in = out = 0x0; + + if( argc < 3 ) + { + printf("\"imghdr [input_file] [output_file] - calculate the image and prefix to the binary \"!\n\r"); + goto ERR_DONE; + } + strcpy(input_file_name, argv[1]); + strcpy(output_file_name, argv[2]); + + printf("imghdr %s %s!\n\r", input_file_name, output_file_name); + + if((in = fopen(input_file_name,"rb")) == NULL) + goto ERR_DONE; + + if((out = fopen(output_file_name,"wt")) == NULL) + goto ERR_DONE; + + crc = cal_crc(in); + + fseek( in, 0, SEEK_END ); + size = ftell(in); // get file length + fseek( in, 0, SEEK_SET ); + + printf(" ==> (2) output crc 0x%04x with file size 0x%04x\n\r", crc, size); + write_file(crc, size, out, in); + + +ERR_DONE: + + if(in) fclose(in); + if(out) fclose(out); + + return 0; + +} diff --git a/target_firmware/magpie_fw_dev/build/utility/patch_gen/Makefile b/target_firmware/magpie_fw_dev/build/utility/patch_gen/Makefile new file mode 100755 index 0000000..ed2fda8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/patch_gen/Makefile @@ -0,0 +1,13 @@ +TARGET = ../bin/patch_gen # for Linux environment +#TARGET = ../bin/_gen.exe # for CygWin environment + +INC = $(HOME)/project/src/inc + +all: $(TARGET) + +$(TARGET): + gcc -o $(TARGET) -I$(INC) main.c patch.c + + +clean: + -rm $(TARGET) diff --git a/target_firmware/magpie_fw_dev/build/utility/patch_gen/dt_defs.h b/target_firmware/magpie_fw_dev/build/utility/patch_gen/dt_defs.h new file mode 100755 index 0000000..1cffc7f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/patch_gen/dt_defs.h @@ -0,0 +1,47 @@ +/*************************************************************************/ +/* Copyright (c) 2006 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : dt_defs.h */ +/* */ +/* Abstract */ +/* This file contains the common data structure definition. */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#ifndef _DT_DEFS_H +#define _DT_DEFS_H + +#if 0 +#define LOCAL static +#else +#define LOCAL +#endif + +/* data type definition */ +typedef unsigned long uint32_t; +typedef unsigned short uint16_t; +typedef unsigned char uint8_t; + +typedef signed long int32_t; +typedef signed short int16_t; +typedef signed char int8_t; + +typedef uint16_t BOOLEAN; + +#define ptrData uint8_t * + +/* marco definition */ +#define SIZE_HASH_BUFFER 128 + +#define TRUE (0==0) +#define FALSE (0!=0) + + +#ifndef NULL +#define NULL 0x0 +#endif + +#endif diff --git a/target_firmware/magpie_fw_dev/build/utility/patch_gen/main.c b/target_firmware/magpie_fw_dev/build/utility/patch_gen/main.c new file mode 100755 index 0000000..4e2ee9b --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/patch_gen/main.c @@ -0,0 +1,102 @@ +#include +#include +#include +#include "dt_defs.h" +#include "patch.h" + +#define SIZE_HASH_BUFFER 4096 + +#define ROM_SIZE 32 + +uint8_t pBuf[SIZE_HASH_BUFFER]; + +//unsigned short m_crc16ccitt; + +void print_help() +{ + printf("\n\rThis utility is used to prefix a header to the patch code!\n\r"); + printf("For loading into the bootcode and the integrity crc header!\n\r"); + printf(" - patch_hdr [patch binary] [load address]\n\r"); + printf("\n\r e.g. patch_hdr patch.bin 0x8e0000\n\r"); +} + + +#define _ROMP_SIZE_ 64 + +int main(int argc, char* argv[] ) +{ + FILE *out; + FILE *in; + + struct rom_patch_st patch_item; + uint8_t *pBufPtr = NULL; + uint32_t ran_patch_size = 0; + uint8_t *ld_addr; + uint8_t *fun_addr; + uint8_t name_of_patch[32]; + + // init the patch + _patch_init(&patch_item); + + if(argc==2) + { + _patch_oepn(pBuf, argv[1]); + _patch_dump(pBuf); + + goto ERROR; + } + else if (argc<3) + goto ERROR; + else + printf("%s %s %s\n\r", argv[0], argv[1], argv[2]); + + + + /***************************************************************/ + // allocate the buffer space for func address + if( !(patch_item.fun = (uint8_t *)malloc(SIZE_HASH_BUFFER)) ) + goto ERROR; + + // check the function address of the patch code + ld_addr = argv[2]; + if( db_ascii_to_hex((ld_addr+2), &(patch_item.ld_addr))!=0 ) + goto ERROR; + + // check the function address of the patch code + fun_addr = argv[3]; + if( db_ascii_to_hex((fun_addr+2), &(patch_item.fun_addr))!=0 ) + goto ERROR; + + /***************************************************************/ + + if( gen_patch_item(&patch_item, argv[1])!=TRUE ) + goto ERROR; + + printf(" - prefix to the patch binary -\n\r"); + _patch_dump(&patch_item); + + sprintf(name_of_patch, "h_%s", argv[1]); + + printf("%s is to be outputed\n\r", name_of_patch); + + _patch_append(pBuf, &patch_item); + + out = fopen(name_of_patch, "wb"); + + // ( struct size -4 ) + patch_code size + 4-%4( make it alignment ) + printf(" write %d bytes to h_%s as an output file", (sizeof(struct rom_patch_st)-4)+patch_item.len+(4-(patch_item.len%4)), argv[1]); + fwrite((uint8_t *)pBuf, sizeof(uint8_t), (sizeof(struct rom_patch_st)-4)+patch_item.len+(4-(patch_item.len%4)), out); + + fclose(out); + + goto DONE; + +ERROR: + print_help(); + +DONE: + if( patch_item.fun ) + free(patch_item.fun); + + return 0; +} diff --git a/target_firmware/magpie_fw_dev/build/utility/patch_gen/patch.c b/target_firmware/magpie_fw_dev/build/utility/patch_gen/patch.c new file mode 100755 index 0000000..6f8548d --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/patch_gen/patch.c @@ -0,0 +1,164 @@ +#include +#include "dt_defs.h" +#include "patch.h" + +//extern unsigned short m_crc16ccitt; +uint16_t uRead = 0; +uint8_t patchBuf[SIZE_HASH_BUFFER]; + + +int db_ascii_to_hex(char* num_str, unsigned long* hex_num) +{ + int i = 0; + + *hex_num = 0; + while (num_str[i]) + { + if ((num_str[i] >= '0') && (num_str[i] <= '9')) + { + *hex_num <<= 4; + *hex_num += (num_str[i] - '0'); + } + else if ((num_str[i] >= 'A') && (num_str[i] <= 'F')) + { + *hex_num <<= 4; + *hex_num += (num_str[i] - 'A' + 10); + } + else if ((num_str[i] >= 'a') && (num_str[i] <= 'f')) + { + *hex_num <<= 4; + *hex_num += (num_str[i] - 'a' + 10); + } + else + { + return -1; + } + i++; + } + return 0; +} + + +#if 0 +void dump_hex(uint8_t *buf, uint16_t size) +{ + uint16_t i=0; + + + for(i=0; i0) && (i%8==0) ) + printf("- "); + + printf("%02x ", buf[i]); + } +} +#endif + + +BOOLEAN gen_patch_item(struct rom_patch_st *patch, uint8_t *file_name) +{ + BOOLEAN retVal = FALSE; + FILE *in; + uint8_t *ptr; + uint16_t size = 0; + + if((in = fopen(file_name,"rb")) != NULL) + retVal = TRUE; + else + goto ERR_DONE; + + ptr = patchBuf; + while (1) + { + ptr += uRead; + uRead = fread(ptr, 1, SIZE_HASH_BUFFER, in); + + // debug to dump the data we read + //dump_hex(ptr, uRead); + + patch->len += uRead; + + if(uRead != SIZE_HASH_BUFFER) + break; + } + + memcpy(patch->fun, patchBuf, patch->len); + patch->crc16 = patch->len; // bugs? workaround? + + printf("\n\n"); + + fclose(in); +ERR_DONE: + + return retVal; +} + +BOOLEAN _patch_init(struct rom_patch_st *patch) +{ + // init the pact_pack + //memset((uint8_t *)patch_patck, 0x0, sizeof(struct rom_patch_pack_st)); + patch->crc16 = 0; + patch->len = 0; + patch->ld_addr = 0; + patch->fun_addr = 0; + patch->fun = NULL; + + return TRUE; +} + +void _patch_dump(struct rom_patch_st *patch) +{ + printf(" -----------------------------\n\r"); + printf(" patch code crc: 0x%04x\n\r", patch->crc16); + printf(" patch code size: %d\n\r", patch->len); + printf(" patch ld_addr: 0x%08x\n\r", patch->ld_addr); + printf(" patch fun_addr: 0x%08x\n\r", patch->fun_addr); + printf(" -----------------------------\n\r"); +} + + +BOOLEAN _patch_oepn(uint8_t *buf, uint8_t *mFile) +{ + FILE *in; + BOOLEAN retVal = FALSE; + uint16_t offset = 0; + + if((in = fopen(mFile,"rb")) != NULL) + { + printf("%s is opened successful!\n\r", mFile); + retVal = TRUE; + } + else + goto ERROR; + + while (1) + { + uRead = fread(buf+offset, 1, SIZE_HASH_BUFFER, in); + + // debug to dump the data we read + //dump_hex(buf+offset, uRead); + + offset += uRead; + if(uRead != SIZE_HASH_BUFFER) break; + + } +ERROR: + if(in) + close(in); + + return retVal; +} + +uint32_t _patch_append(uint8_t *buf, struct rom_patch_st *patch) +{ + + memcpy(buf, (uint8_t *)patch, (sizeof(struct rom_patch_st)-4)); + memcpy(buf+(sizeof(struct rom_patch_st)-4), patch->fun, patch->len); + + return 0; +} + + diff --git a/target_firmware/magpie_fw_dev/build/utility/patch_gen/patch.h b/target_firmware/magpie_fw_dev/build/utility/patch_gen/patch.h new file mode 100755 index 0000000..b7e380f --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/patch_gen/patch.h @@ -0,0 +1,16 @@ +#include "dt_defs.h" + +#define MAX_NUM_OF_PATCH 16 + +struct rom_patch_st { +#if 0 + uint16_t len; + uint16_t crc16; +#else + uint16_t crc16; + uint16_t len; +#endif + uint32_t ld_addr; + uint32_t fun_addr; // entry address of the patch code + uint8_t *fun; +}; diff --git a/target_firmware/magpie_fw_dev/build/utility/sh/make_fw.sh b/target_firmware/magpie_fw_dev/build/utility/sh/make_fw.sh new file mode 100755 index 0000000..e3b1829 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/sh/make_fw.sh @@ -0,0 +1,58 @@ +#!/bin/sh +# Copyright (c) 2008 Atheros Communications Inc. +# All rights reserved. +# $ATH_LICENSE_TARGET_MK$ + +# This script constructs an AR6002 ROM firmware binary image +# for FPGA(if FPGA_FLAG is "1") or for actual silicon if FPGA_FLAG +# is not "1". +# +# It takes two things as input: +# sw.rom.out, which is an ELF image of ROM software +# that was built for actual hardware or for FPGA +# data.rom.hw.bin OR data.rom.fpga.bin, which contains +# DataSets and other data that is to be included +# at the end of the firmware image +# +# It produces fw.rom.bin, a firmware image appropriate for +# use with AR6002 ROM. +# +# The image is constructed by converting the sw.rom.out ELF +# image to binary, padding at the end of that binary, +# and appending the data binary from data.rom.*.bin. So the +# final fw.rom.bin image is a binary image that's exactly +# the same size as the ROM hardware and which contains ROM +# software (including literals, read-only data, data that +# will be copied to RAM in order to initialize read/write data), +# DataSet Metadata, and DataSets. + + +sw_image=${sw_image:-$PRJ_ROOT/build/image/magpie/rom.out} +sw_bin=${sw_bin:-$PRJ_ROOT/build/image/magpie/rom.bin} + + +ds_in_rom="0x`xt-nm $sw_image | grep " _data_start_in_rom" | cut -b -8`" + +# Place data binary at the end of ROM. +#data_start=$((9168*1024-`stat --format='%s' $data_bin`)) + +xt-objcopy \ + --change-section-lma .lit4+0x400000 \ + --change-section-vma .lit4+0x400000 \ + --change-section-lma .rodata+0x400000 \ + --change-section-vma .rodata+0x400000 \ + --change-section-lma .dram0.literal+0x400000 \ + --change-section-vma .dram0.literal+0x400000 \ + --remove-section .dport0.data \ + --change-section-lma .data=$((ds_in_rom)) \ + --change-section-vma .data=$((ds_in_rom)) \ + -O binary $sw_image $sw_bin + +#cat $sw_bin $data_bin > $fw_bin + +#if [ `stat --format='%s' $fw_bin` -ne $((96*1024)) ] +#then +# echo "$0 ERROR: firmware $fw_bin is NOT the expected size." +#fi + +exit 0 diff --git a/target_firmware/magpie_fw_dev/build/utility/sh/make_ld.sh b/target_firmware/magpie_fw_dev/build/utility/sh/make_ld.sh new file mode 100755 index 0000000..69ba805 --- /dev/null +++ b/target_firmware/magpie_fw_dev/build/utility/sh/make_ld.sh @@ -0,0 +1,66 @@ +#!/bin/sh + +# This script is intended for use with separately linked ROM and RAM. +# It creates EITHER a linker script that satisfies references made by RAM +# applications to ROM symbols OR a linker script that forces symbols to +# be included in a ROM image. +# +# The option "--addrs" causes the RAM linkage script to be generated +# and the option "--externs" causes the ROM linkage script to be generated. +# +# Example usage: +# make_ld.sh --addrs athos.rom.out athos.rom.symbols > rom.addrs.ld +# make_ld.sh --externs athos.rom.symbols > rom.externs.ld + +eval XTNM=xt-nm + +Usage() { + echo Usage: + echo $progname '{--addrs ROM_ELF_Image | --externs} symbol_file' +} + +Provide() { + addr0=`echo $1 | sed 's/ $//'` + addr=0x`nm $image_file | grep -w $addr0 | cut -d ' ' -f 1` + if [ "$addr" != "0x" ] + then + echo PROVIDE \( $addr0 = $addr \)\; + fi +} + +Extern() { + echo EXTERN \( $1 \)\; +} + +progname=$0 +script_choice=$1 + +if [ "$script_choice"=="--addrs" ] +then + action=Provide + image_file=$2 + + if [ ! -r "$image_file" ] + then + echo "Cannot read ELF image: $image_file" + Usage + fi + symbol_file=$3 +elif [ "$script_choice"=="--externs" ] +then + action=Extern + symbol_file=$2 + + if [ ! -r "$symbol_file" ] + then + echo "Cannot read symbol list from: $symbol_file" + Usage + fi +else + Usage +fi + +for i in `cat $symbol_file` +do + $action $i +done diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_nbuf.c b/target_firmware/magpie_fw_dev/target/adf/adf_nbuf.c new file mode 100755 index 0000000..69b00c4 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_nbuf.c @@ -0,0 +1,692 @@ +/** + * (c) Copyright Atheros Communications Inc.,2002-2008 + * All rights reserved + * + * This file contains buffer Abstraction routines for FreeBSD + * the abstracted buffer called adf_nbuf is opaque to the + * user,hence these routines should be called to manipulate + * anything inside it. + * + */ +#include +#include +#include "cmnos_api.h" +#include +#include + +// ############################################################################# +VDESC * __adf_nbuf_last(VBUF *buf); + + +// ############################################################################# + +/** + * + * @brief allocate a new nbuf, + * + * @param hdl (adf_net handle) + * @param size (size of the new buf) + * @param reserve (amount of space to reserve in the head) + * + * @return newly allocated nbuf + */ +__adf_nbuf_t +__adf_nbuf_alloc(adf_os_size_t size, a_uint32_t reserve, + a_uint32_t align) +{ + VBUF *buf = NULL; + VDESC *desc; + + buf = VBUF_alloc_vbuf(); + if ( buf != NULL ) { + desc = VDESC_alloc_vdesc(); + desc->buf_addr = (A_UINT8 *)A_ALLOCRAM(size); + desc->buf_size = size; + desc->next_desc = NULL; + desc->data_offset = reserve; + desc->data_size = 0; + desc->control = 0; + + buf->desc_list = desc; + buf->buf_length = 0; + } + + return buf; +} + +/** + * @brief Free the nbuf + * function to be called in + * @param hdl + * @param adf_nbuf + * + */ +void __adf_nbuf_free(__adf_nbuf_t buf) +{ + adf_os_assert(0); +} + +/** + * @brief reallocate the head space, call it only after the you + * have called headroom + * + * @param adf_nbuf + * @param headroom + * + * @return new nbuf + */ +__adf_nbuf_t +__adf_nbuf_realloc_headroom(__adf_nbuf_t buf, a_uint32_t headroom) +{ + adf_os_assert(0); + return NULL; +} + +/** + * @brief expand the tailroom, mostly by adding the new tail + * buffer, also take care of the priv + * + * @param buf + * @param tailroom + * + * @return struct mbuf * (buffer with the new tailroom) + */ +__adf_nbuf_t +__adf_nbuf_realloc_tailroom(__adf_nbuf_t buf, a_uint32_t tailroom) +{ + adf_os_assert(0); + return NULL; +} + +/** + * @brief expand the headroom or tailroom or both + * + * @param buf + * @param headroom ( 0 if no headroom expansion req) + * @param tailroom ( 0 if no tailroom expansion req) + * + * @return struct mbuf* (NULL if something goofed up) + */ +__adf_nbuf_t +__adf_nbuf_expand(__adf_nbuf_t buf, a_uint32_t headroom, a_uint32_t tailroom) +{ + adf_os_assert(0); + return NULL; +} + +/** + * @brief put data in the head + * + * @param buf + * @param len (how much data to put) + * + * @return new data pointer ,NULL if the len is more than the + * space available in the head frag. + */ +a_uint8_t * +__adf_nbuf_push_head(__adf_nbuf_t buf, adf_os_size_t len) +{ + a_uint8_t *ptr = NULL; + VDESC *desc = buf->desc_list; + + desc->data_offset -= len; + desc->data_size += len; + buf->buf_length += len; + ptr = desc->buf_addr + desc->data_offset; + return(ptr); +} + +/** + * + * @brief add data in the end of tail + * + * @param buf + * @param len (how much data to put) + * + * @return previous tail (data+len),NULL if the len is more than + * space available + */ +a_uint8_t * +__adf_nbuf_put_tail(__adf_nbuf_t buf, adf_os_size_t len) +{ + a_uint8_t *tail = NULL; + VDESC *last_desc = __adf_nbuf_last(buf); + + tail = last_desc->buf_addr + last_desc->data_offset + last_desc->data_size; + last_desc->data_size += len; + buf->buf_length += len; + + return tail; +} + +/** + * @brief strip data from head + * + * @param adf_nbuf + * @param len (how much data to rip) + * + * @return new data pointer + */ +a_uint8_t * +__adf_nbuf_pull_head(__adf_nbuf_t buf, adf_os_size_t len) +{ + a_uint8_t *ptr = NULL; + VDESC *desc = buf->desc_list; + + desc->data_offset += len; + desc->data_size -= len; + buf->buf_length -= len; + ptr = desc->buf_addr + desc->data_offset; + + return ptr; +} +/** + * @brief strip data from tail, priv safe + * + * @param buf + * @param len (how much to strip down) + * + */ +void +__adf_nbuf_trim_tail(__adf_nbuf_t buf, adf_os_size_t len) +{ + VDESC *last_desc = __adf_nbuf_last(buf); + + adf_os_assert(buf != NULL); + last_desc->data_size -= len; + buf->buf_length -= len; + + //adf_os_assert(0); //0820 +} +/** + * @brief Copy assumes that we create a writeable copy of the + * nbuf which is equivalent in FreeBSD as duping the + * mbuf. + * + * @param src + * + * @return struct mbuf * (newly allocated buffer) + */ +__adf_nbuf_t +__adf_nbuf_copy(__adf_nbuf_t src) +{ + __adf_nbuf_t buf = NULL; + + adf_os_assert(src != NULL); + + return buf; +} +/** + * @brief make the writable copy of the nbuf + * + * @param adf_nbuf + * + * @return new nbuf + */ +__adf_nbuf_t +__adf_nbuf_unshare(__adf_nbuf_t src) +{ + __adf_nbuf_t buf = NULL; + + adf_os_assert(src != NULL); + + return buf; +} + +/** + * @brief return the frag data & len, where frag no. is + * specified by the index + * + * @param[in] buf + * @param[out] sg (scatter/gather list of all the frags) + * + */ +void +__adf_nbuf_frag_info(__adf_nbuf_t buf, adf_os_sglist_t *sg) +{ + VDESC *desc = buf->desc_list; + int count = 0; + + while( desc != NULL ) { + sg->sg_segs[count].vaddr = desc->buf_addr + desc->data_offset; + sg->sg_segs[count].len = desc->data_size; + + count++; + desc = desc->next_desc; + } + + sg->nsegs = count; +} +/** + * @brief retrieve the priv space pointer from nbuf + * + * @param buf (nbuf to attach the priv space) + * + * @return uint8_t* ( pointer to the data ) + */ +a_uint8_t * +__adf_nbuf_get_priv(__adf_nbuf_t buf) +{ + adf_os_assert(buf != NULL); + + return buf->ctx; +} + +/** + * + * @brief append the nbuf to the queue + * + * @param adf_qhead + * @param adf_nbuf + * + */ +void +__adf_nbuf_queue_add(__adf_nbuf_qhead_t *qhead, + __adf_nbuf_t buf) +{ + qhead->qlen++; + + buf->next_buf = NULL; + + if (qhead->head == NULL) { + qhead->head = buf; + } + else { + qhead->tail->next_buf = buf; + } + qhead->tail = buf; +} + +/** + * @brief dequeue an nbuf + * + * @param adf_qhead + * + * @return the nbuf + */ +__adf_nbuf_t +__adf_nbuf_queue_remove(__adf_nbuf_qhead_t *qhead) +{ + __adf_nbuf_t b0 = NULL; + + if (qhead->head) { + qhead->qlen--; + b0 = qhead->head; + if ( qhead->head == qhead->tail ) { + qhead->head = NULL; + qhead->tail = NULL; + } else { + qhead->head = qhead->head->next_buf; + } + + b0->next_buf = NULL; + } + return b0; +} + +/** + * ****************DMA Routines Start Here***************** + */ + + +/** + * @brief creates a streaming mapping (takes a pre allocated + * global tag for 4K mbuf sizes) + * + * @param hdl + * @param max_sz + * @param dmap + * + * @return a_status_t + */ +a_status_t +__adf_nbuf_dmamap_create(__adf_os_device_t osdev, __adf_os_dma_map_t *dmap) +{ + a_status_t retval = A_STATUS_OK; + + (*dmap) = A_ALLOCRAM(sizeof(struct __adf_dma_map)); + if(*dmap == NULL) + return A_STATUS_ENOMEM; + + (*dmap)->buf = NULL; + return retval; +} + + +a_status_t +__adf_nbuf_map(__adf_os_device_t osdev, __adf_os_dma_map_t bmap, + __adf_nbuf_t buf, adf_os_dma_dir_t dir) +{ + bmap->buf = buf; + + return A_STATUS_OK; +} + +void +__adf_nbuf_unmap(__adf_os_device_t osdev, __adf_os_dma_map_t bmap, + adf_os_dma_dir_t dir) +{ + bmap->buf = NULL; + + return; +} + +void +__adf_nbuf_dmamap_destroy(__adf_os_device_t osdev, + __adf_os_dma_map_t dmap) +{ + //dmap->buf = NULL; + + // Should not be called in FW! + //return A_STATUS_OK; +} + + + +/** + * @brief return the dma map info + * + * @param[in] bmap + * @param[out] sg (map_info ptr) + */ +void +__adf_nbuf_dmamap_info(__adf_os_dma_map_t bmap, adf_os_dmamap_info_t *sg) +{ + VDESC *desc = bmap->buf->desc_list; + int count = 0; + + while( desc != NULL ) { + sg->dma_segs[count].paddr = (adf_os_dma_addr_t)(desc->buf_addr + desc->data_offset); + sg->dma_segs[count].len = desc->data_size; + + count++; + desc = desc->next_desc; + } + + sg->nsegs = count; +} + +/** + * **************************Misc routines*************** + */ + + +/** + * @brief sets the cksum type & value for nbuf + * XXX: not fully implemented + * + * @param buf + * @param cksum + */ +void +__adf_nbuf_set_rx_cksum(__adf_nbuf_t buf, adf_nbuf_rx_cksum_t *cksum) +{ + +} + +a_status_t +__adf_nbuf_get_vlan_info(adf_net_handle_t hdl, __adf_nbuf_t buf, + adf_net_vlanhdr_t *vlan) +{ + return A_STATUS_OK; +} + +__adf_nbuf_t +__adf_nbuf_create_frm_frag(__adf_nbuf_queue_t *qhead) +{ + VBUF *buf_tmp, *buf_head = NULL; + VDESC *vdesc_prev = NULL, *vdesc_tmp = NULL; + a_uint32_t cnt = 0, len = __adf_nbuf_queue_len(qhead); + a_uint16_t total_len = 0; + + buf_head = VBUF_alloc_vbuf(); + buf_tmp = __adf_nbuf_queue_first(qhead); + + __adf_os_assert(buf_head); + __adf_os_assert(buf_tmp); + + buf_head->desc_list = buf_tmp->desc_list; + + while ((buf_tmp = __adf_nbuf_queue_remove(qhead)) != NULL) { + cnt++; + + //adf_os_print("merge buf: %x\n", buf_tmp->desc_list->buf_addr + buf_tmp->desc_list->data_offset); + + total_len += buf_tmp->buf_length; + + if (vdesc_prev) { + /* link "the last VDESC of previous VBUF" to "the 1st VDESC of this VBUF" */ + vdesc_prev->next_desc = buf_tmp->desc_list; + } + + /* traverse VDESC list in this VBUF to find out the last VDESC */ + vdesc_tmp = buf_tmp->desc_list; + while (vdesc_tmp->next_desc) { + vdesc_tmp = vdesc_tmp->next_desc; + } + vdesc_prev = vdesc_tmp; + + /* return VBUF to the pool */ + buf_tmp->desc_list = NULL; + buf_tmp->buf_length = 0; + VBUF_free_vbuf(buf_tmp); + } + + if (cnt != len) { + //adf_os_print("cnt: %x, len: %x, __adf_nbuf_queue_len: %x\n", cnt, len, + // __adf_nbuf_queue_len(qhead)); + adf_os_assert(0); + } + //__adf_os_assert(cnt == len); + + buf_head->buf_length = total_len; + + return buf_head; +} + +void +__adf_nbuf_split_to_frag(__adf_nbuf_t buf, __adf_nbuf_qhead_t *qhead) +{ + VBUF *buf_tmp; + VDESC *desc_tmp = NULL; + + __adf_nbuf_queue_init(qhead); + desc_tmp = buf->desc_list; + + while (desc_tmp /*&& desc_tmp->buf_addr*/) { + buf_tmp = VBUF_alloc_vbuf(); + + __adf_os_assert(buf_tmp); + + //desc_tmp->data_size = 0; + buf_tmp->desc_list = desc_tmp; + //buf_tmp->buf_length = desc_tmp->buf_size; + buf_tmp->buf_length = desc_tmp->data_size; + buf_tmp->next_buf = NULL; + + //adf_os_print("split - buf: %x\n", buf_tmp->desc_list->buf_addr + buf_tmp->desc_list->data_offset); + + __adf_nbuf_queue_add(qhead, buf_tmp); + + desc_tmp = desc_tmp->next_desc; + + buf_tmp->desc_list->next_desc = NULL; + } + + buf->desc_list = NULL; + buf->buf_length = 0; + VBUF_free_vbuf(buf); + +} + +/** + * @brief return the last mbuf + * + * @param m0 + * + * @return struct mbuf* + */ +VDESC * +__adf_nbuf_last(VBUF *buf) +{ + VDESC *desc = buf->desc_list; + + //for(; desc->next_desc != NULL; desc = desc->next_desc) + // ; + while(desc->next_desc != NULL) + { + desc = desc->next_desc; + } + + return desc; +} + +/** + * @brief num bytes in the head + * + * @param adf_nbuf + * + * @return num of bytes available + */ +a_uint32_t +__adf_nbuf_headroom(__adf_nbuf_t buf) +{ + return buf->desc_list->data_offset; +} + + +/** + * @brief num of bytes available in the tail excluding the priv + * portion + * + * @param adf_nbuf + * + * @return num of bytes + */ + +a_uint32_t +__adf_nbuf_tailroom(__adf_nbuf_t buf) +{ + VDESC *last_desc = __adf_nbuf_last(buf); + + return last_desc->buf_size - last_desc->data_offset - last_desc->data_size; +} + +/** + * @brief get the entire packet length + * + * @param adf_nbuf + * + * @return total length of packet (sum of all frag lengths) + */ +a_uint32_t +__adf_nbuf_len(__adf_nbuf_t buf) +{ + return buf->buf_length; +} + +/** + * @brief Clone the nbuf (will not create writeable copies) + * + * @param adf_nbuf + * + * @return Read-only copy of the nbuf (including clusters) + */ +__adf_nbuf_t +__adf_nbuf_clone(__adf_nbuf_t src) +{ + __adf_nbuf_t buf = NULL; + + return buf; +} + +void +__adf_nbuf_cat(__adf_nbuf_t dst, __adf_nbuf_t src) +{ + +} + + + +/* + * @brief check if the mbuf is cloned or not + * + * @param buf + * + * @return a_bool_t + */ +a_bool_t +__adf_nbuf_is_cloned(__adf_nbuf_t buf) +{ + return A_FALSE; +} +/** + * @brief This will return the header's addr & m_len + */ +void +__adf_nbuf_peek_header(__adf_nbuf_t buf, a_uint8_t **addr, + a_uint32_t *len) +{ + VDESC *desc = buf->desc_list; + + *addr = desc->buf_addr + desc->data_offset; + *len = desc->data_size; +} +/** + * @brief init the queue + * @param qhead + */ +void +__adf_nbuf_queue_init(__adf_nbuf_qhead_t *qhead) +{ + qhead->qlen = 0; + qhead->head = NULL; + qhead->tail = NULL; +} +/** + * @brief return the length of queue + * @param adf_qhead + * + * @return length + * + */ +a_uint32_t +__adf_nbuf_queue_len(__adf_nbuf_qhead_t *qhead) +{ + return qhead->qlen; +} +/** + * @brief returns the first guy in the Q + * @param qhead + * + * @return (NULL if the Q is empty) + */ +__adf_nbuf_t +__adf_nbuf_queue_first(__adf_nbuf_queue_t *qhead) +{ + return qhead->head; +} +/** + * @brief return the next packet from packet chain + * + * @param buf (packet) + * + * @return (NULL if no packets are there) + */ +__adf_nbuf_t +__adf_nbuf_queue_next(__adf_nbuf_t buf) +{ + return buf->next_buf; +} +/** + * @brief check if the queue is empty or not + * + * @param qhead + * + * @return a_bool_t + */ +a_bool_t +__adf_nbuf_is_queue_empty(__adf_nbuf_qhead_t *qhead) +{ + return ((qhead->qlen == 0)); +} diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_nbuf_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_nbuf_pvt.h new file mode 100755 index 0000000..a93e2be --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_nbuf_pvt.h @@ -0,0 +1,232 @@ +/* + * (c) Copyright Atheros Communications + * FreeBSD specific prototypes + */ +#ifndef _ADF_NBUF_PVT_H +#define _ADF_NBUF_PVT_H + +#include +//#include +#include +//#include + +#define __ADF_NBUF_NULL NULL +#define __ADF_NBUF_CTX_BUF + +typedef VBUF * __adf_nbuf_t; + +/** + * queue head + */ +typedef struct __adf_nbuf_qhead { + VBUF *head; + VBUF *tail; + a_uint32_t qlen; +}__adf_nbuf_qhead_t; + +typedef __adf_nbuf_qhead_t __adf_nbuf_queue_t; + +__adf_nbuf_t +__adf_nbuf_alloc(adf_os_size_t size, + a_uint32_t reserve, a_uint32_t align); + +void +__adf_nbuf_free(__adf_nbuf_t buf); + +a_uint8_t * +__adf_nbuf_push_head(__adf_nbuf_t buf, adf_os_size_t size); + +a_uint8_t * +__adf_nbuf_pull_head(__adf_nbuf_t buf, adf_os_size_t size); + +a_uint8_t * +__adf_nbuf_put_tail(__adf_nbuf_t buf, adf_os_size_t size); + +void +__adf_nbuf_trim_tail(__adf_nbuf_t buf, adf_os_size_t size); + +__adf_nbuf_t +__adf_nbuf_realloc_headroom(__adf_nbuf_t buf, + a_uint32_t headroom); + +__adf_nbuf_t +__adf_nbuf_realloc_tailroom(__adf_nbuf_t buf, + a_uint32_t tailroom); + +__adf_nbuf_t +__adf_nbuf_expand(__adf_nbuf_t buf, + a_uint32_t headroom, a_uint32_t tailroom); + +__adf_nbuf_t +__adf_nbuf_copy(__adf_nbuf_t src); + +__adf_nbuf_t +__adf_nbuf_unshare(__adf_nbuf_t src); + +void +__adf_nbuf_frag_info(__adf_nbuf_t buf, adf_os_sglist_t *sg); + +a_uint8_t * +__adf_nbuf_get_priv(__adf_nbuf_t buf); +void +__adf_nbuf_queue_add(__adf_nbuf_qhead_t *qhead, + __adf_nbuf_t buf); + +__adf_nbuf_t +__adf_nbuf_queue_remove(__adf_nbuf_qhead_t *qhead); + +a_uint32_t +__adf_nbuf_tx_cksum_info(__adf_nbuf_t buf, + a_uint8_t **hdr_off, + a_uint8_t **where); + +void +__adf_nbuf_set_rx_cksum(__adf_nbuf_t buf, adf_nbuf_rx_cksum_t *cksum); +void +__adf_nbuf_get_tso_info(__adf_nbuf_t buf, adf_nbuf_tso_t *tso); + +a_status_t +__adf_nbuf_get_vlan_info(adf_net_handle_t hdl, + __adf_nbuf_t buf, + adf_net_vlanhdr_t *vlan); + +void +__adf_nbuf_dmamap_info(__adf_os_dma_map_t bmap, adf_os_dmamap_info_t *sg); + +/** + * @brief return the last mbuf + * + * @param m0 + * + * @return struct mbuf* + */ +VDESC * +__adf_nbuf_last(VBUF *buf); + +/** + * @brief num bytes in the head + * + * @param adf_nbuf + * + * @return num of bytes available + */ +a_uint32_t +__adf_nbuf_headroom(__adf_nbuf_t buf); + +/** + * @brief num of bytes available in the tail excluding the priv + * portion + * + * @param adf_nbuf + * + * @return num of bytes + */ + +a_uint32_t +__adf_nbuf_tailroom(__adf_nbuf_t buf); + +/** + * @brief get the entire packet length + * + * @param adf_nbuf + * + * @return total length of packet (sum of all frag lengths) + */ +a_uint32_t +__adf_nbuf_len(__adf_nbuf_t buf); + +/** + * @brief Clone the nbuf (will not create writeable copies) + * + * @param adf_nbuf + * + * @return Read-only copy of the nbuf (including clusters) + */ +__adf_nbuf_t +__adf_nbuf_clone(__adf_nbuf_t src); + +void +__adf_nbuf_cat(__adf_nbuf_t dst, __adf_nbuf_t src); + + +/* + * @brief check if the mbuf is cloned or not + * + * @param buf + * + * @return a_bool_t + */ +a_bool_t +__adf_nbuf_is_cloned(__adf_nbuf_t buf); + +/** + * @brief This will return the header's addr & m_len + */ +void +__adf_nbuf_peek_header(__adf_nbuf_t buf, a_uint8_t **addr, + a_uint32_t *len); + +/** + * @brief init the queue + * @param qhead + */ +void +__adf_nbuf_queue_init(__adf_nbuf_qhead_t *qhead); + +/** + * @brief return the length of queue + * @param adf_qhead + * + * @return length + * + */ +a_uint32_t +__adf_nbuf_queue_len(__adf_nbuf_qhead_t *qhead); + +/** + * @brief returns the first guy in the Q + * @param qhead + * + * @return (NULL if the Q is empty) + */ +__adf_nbuf_t +__adf_nbuf_queue_first(__adf_nbuf_queue_t *qhead); + +/** + * @brief return the next packet from packet chain + * + * @param buf (packet) + * + * @return (NULL if no packets are there) + */ +__adf_nbuf_t +__adf_nbuf_queue_next(__adf_nbuf_t buf); + +/** + * @brief check if the queue is empty or not + * + * @param qhead + * + * @return a_bool_t + */ +a_bool_t +__adf_nbuf_is_queue_empty(__adf_nbuf_qhead_t *qhead); + +__adf_nbuf_t +__adf_nbuf_create_frm_frag(__adf_nbuf_queue_t *head); +void +__adf_nbuf_split_to_frag(__adf_nbuf_t buf, __adf_nbuf_queue_t *qhead); + +a_status_t __adf_nbuf_dmamap_create(__adf_os_device_t osdev, + __adf_os_dma_map_t *dmap); + +void __adf_nbuf_dmamap_destroy(__adf_os_device_t osdev, + __adf_os_dma_map_t dmap); + +a_status_t __adf_nbuf_map(__adf_os_device_t osdev, __adf_os_dma_map_t dmap, + __adf_nbuf_t buf, adf_os_dma_dir_t dir); +void __adf_nbuf_unmap(__adf_os_device_t osdev, __adf_os_dma_map_t dmap, + adf_os_dma_dir_t dir); + +#endif + diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_net.c b/target_firmware/magpie_fw_dev/target/adf/adf_net.c new file mode 100755 index 0000000..f4e2e11 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_net.c @@ -0,0 +1,39 @@ +/** + * Copyright (c) 2002-2008 Atheros Communications, Inc. + * All rights reserved + * + * @module_name ADF_NET + * @module_desc Magpie Network Shim + */ + +#include +//#include +//#include "adf_net_wcmd_pvt.h" +#include + +/** + * Prototypes + */ + +/** + * @brief register the driver into the shim + * @param[in] drv + * + * @return a_status_t + */ +a_status_t +__adf_net_register_drv(adf_drv_info_t *drv) +{ + wlan_pci_register_drv(drv); + return A_STATUS_OK; +} + +/** + * @brief unregister the driver from the shim + * @param[in] name + */ +void +__adf_net_unregister_drv(a_uint8_t *name) +{ + // do nothing... +} diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_net_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_net_pvt.h new file mode 100755 index 0000000..8fd66ca --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_net_pvt.h @@ -0,0 +1,111 @@ +#ifndef __ADF_NET_PVT_H +#define __ADF_NET_PVT_H + + + +#define ADF_NET_MAX_NAME 64 +#define ADF_DEF_TX_TIMEOUT 5 /*Seconds I suppose*/ + +#define __ADF_NET_NULL NULL +#define __ADF_PCI_BAR0 0x10 + + +a_status_t +__adf_net_register_drv(adf_drv_info_t *drv); + +void +__adf_net_unregister_drv(a_uint8_t *name); + +typedef struct __adf_net_mod { +int dummy; +}__adf_net_mod_t; + + + +typedef struct __adf_softc { +int dummy; +}__adf_softc_t; + +static inline a_bool_t +__adf_net_carrier_ok(adf_net_handle_t hdl) +{ + return 1; +} + +static inline void +__adf_net_carrier_off(adf_net_handle_t hdl) +{ + +} + +static inline void +__adf_net_carrier_on(adf_net_handle_t hdl) +{ + +} + +static inline void +__adf_net_start_queue(adf_net_handle_t hdl) +{ + +} + +static inline void +__adf_net_stop_queue(adf_net_handle_t hdl) +{ + +} + +static inline void +__adf_net_wake_queue(adf_net_handle_t hdl) +{ +} + +static inline a_bool_t +__adf_net_queue_stopped(adf_net_handle_t hdl) +{ + return 1; +} + +static inline a_bool_t +__adf_net_is_running(adf_net_handle_t hdl) +{ + return 1; +} +static inline a_bool_t +__adf_net_is_up(adf_net_handle_t hdl) +{ + return 1; +} + +static inline adf_net_handle_t __adf_net_dev_create(adf_drv_handle_t hdl, adf_dev_sw_t *op, + adf_net_dev_info_t *info) +{ + return NULL; +} + +static inline adf_net_handle_t __adf_net_vdev_create(adf_net_handle_t dev_hdl, + adf_drv_handle_t hdl, adf_vdev_sw_t *op, + adf_net_dev_info_t *info) +{ + return NULL; +} + +static inline const a_uint8_t * __adf_net_ifname(adf_net_handle_t hdl) +{ + return NULL; +} + +static inline adf_os_handle_t +__adf_net_dev_to_os(__adf_os_device_t osdev) +{ + return NULL; +} + +static inline adf_os_handle_t +__adf_net_hdl_to_os(adf_net_handle_t hdl) +{ + return NULL; +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_atomic_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_atomic_pvt.h new file mode 100755 index 0000000..61fdb5a --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_atomic_pvt.h @@ -0,0 +1,50 @@ +#ifndef __ADF_OS_ATOMIC_PVT_H +#define __ADF_OS_ATOMIC_PVT_H + +//#include +//#include + +#include + +typedef a_uint32_t __adf_os_atomic_t; + +/** + * @brief This initiallizes the varriable to zero + * + * @param __adf_os_atomic_t (int pointer) + * + */ +static inline void +__adf_os_atomic_init(__adf_os_atomic_t *v) +{ + //atomic_store_rel_int(v,0); +} +static inline a_uint32_t +__adf_os_atomic_read(__adf_os_atomic_t *v) +{ + //return (atomic_load_acq_int(v)); + return *v; +} + +static inline void +__adf_os_atomic_inc(__adf_os_atomic_t *v) +{ + //atomic_add_int(v,1); + (*v)++; +} + +static inline void +__adf_os_atomic_dec(__adf_os_atomic_t *v) +{ + //atomic_subtract_int(v,1); + (*v)--; +} +/* +static inline void +__adf_os_atomic_write(__adf_os_atomic_t *v,a_uint32_t p) +{ + atomic_store_rel_int(v,(int)p); +} + */ + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_defer_pvt.c b/target_firmware/magpie_fw_dev/target/adf/adf_os_defer_pvt.c new file mode 100755 index 0000000..c4c638b --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_defer_pvt.c @@ -0,0 +1,11 @@ +#include "adf_os_defer_pvt.h" + +void +__adf_os_defer_func(void *arg, int pending) +{ + __adf_os_defer_ctx_t *ctx = (__adf_os_defer_ctx_t *)arg; + + ctx->caller_fn(ctx->caller_arg); +} + + diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_defer_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_defer_pvt.h new file mode 100755 index 0000000..a0d3592 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_defer_pvt.h @@ -0,0 +1,89 @@ +#ifndef __ADF_OS_DEFER_PVT_H +#define __ADF_OS_DEFER_PVT_H + +#include +#include +#include "Magpie_api.h" + +/* + * Because the real function taked an extra int :( + */ +typedef struct { + adf_os_defer_fn_t caller_fn; + void *caller_arg; +}__adf_os_defer_ctx_t; + +/* + * wrapper around the real task func + */ +typedef struct { + //struct task tsk; + __adf_os_defer_ctx_t ctx; +}__adf_os_defer_t; + +//typedef __adf_os_defer_t __adf_os_bh_t; +typedef A_tasklet_t __adf_os_bh_t; +typedef __adf_os_defer_t __adf_os_work_t; + +/* + * wrapper function + */ +extern void __adf_os_defer_func(void *arg, int pending); + +/** + * @brief initiallize the defer function (work or bh) + * + * @param defer + * @param func + * @param arg + */ +static inline void __adf_os_init_defer(__adf_os_defer_t *defer, + adf_os_defer_fn_t func, + void *arg) +{ + defer->ctx.caller_fn = func; + defer->ctx.caller_arg = arg; + + //TASK_INIT(&defer->tsk, 0, __adf_os_defer_func, &defer->ctx); +} + +static inline void __adf_os_init_work(adf_os_handle_t hdl, + __adf_os_work_t *work, + adf_os_defer_fn_t func, + void *arg) +{ + __adf_os_init_defer(work, func, arg); +} + +static inline void __adf_os_init_bh(adf_os_handle_t hdl, + __adf_os_bh_t *bh, + adf_os_defer_fn_t func, + void *arg) +{ + //__adf_os_init_defer(bh, func, arg); + A_TASKLET_INIT_TASK(func, arg, bh); +} +static inline void __adf_os_sched_work(adf_os_handle_t hdl, + __adf_os_work_t * work) +{ + //taskqueue_enqueue(taskqueue_thread, &work->tsk); +} +static inline void __adf_os_disable_work(adf_os_handle_t hdl, + __adf_os_work_t * work) +{ + //taskqueue_drain(taskqueue_thread, &work->tsk); +} + +static inline void __adf_os_sched_bh(adf_os_handle_t hdl, + __adf_os_bh_t * bh) +{ + A_TASKLET_SCHEDULE(bh); +} + +static inline void __adf_os_disable_bh(adf_os_handle_t hdl, + __adf_os_bh_t * bh) +{ + A_TASKLET_DISABLE(bh); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_dma.c b/target_firmware/magpie_fw_dev/target/adf/adf_os_dma.c new file mode 100755 index 0000000..7bae273 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_dma.c @@ -0,0 +1,47 @@ +#include +#include "Magpie_api.h" +#include "cmnos_api.h" + +#if 0 +void __adf_os_dma_load(void *arg, bus_dma_segment_t *dseg, int nseg, int error) +{ + if (error) + return; + + adf_os_assert(nseg == 1); + + ((bus_dma_segment_t *)arg)[0].ds_addr = dseg[0].ds_addr; + ((bus_dma_segment_t *)arg)[0].ds_len = dseg[0].ds_len; +} +#endif + +/** + * @brief Allocates a DMA region, uses the tag elem to store the + * tag value which constant for all the mappings done + * through this API. + * + * @param osdev + * @param size + * @param coherent + * @param dmap + * + * @return void* (Virtual address) + */ +inline void* +__adf_os_dmamem_alloc(__adf_os_device_t osdev, adf_os_size_t size, + a_bool_t coherent, __adf_os_dma_map_t *dmap) +{ + (*dmap) = A_ALLOCRAM(sizeof(struct __adf_dma_map)); + + if((*dmap) == NULL){ + goto fail_malloc; + } + + (*dmap)->ds_addr = A_ALLOCRAM(size); + (*dmap)->ds_len = size; + + return (*dmap)->ds_addr; + +fail_malloc: + return NULL; +} diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_dma_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_dma_pvt.h new file mode 100755 index 0000000..508bea8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_dma_pvt.h @@ -0,0 +1,42 @@ +#ifndef __ADF_NBUF_DMA_PVT_H +#define __ADF_NBUF_DMA_PVT_H + +#include +#include + +inline void* +__adf_os_dmamem_alloc(__adf_os_device_t osdev, adf_os_size_t size, + a_bool_t coherent, __adf_os_dma_map_t *dmap); + +/* + * Free a previously mapped DMA buffer + * Direction doesnt matter, since this API is called at closing time. + */ +static inline void +__adf_os_dmamem_free(adf_os_device_t osdev, __adf_os_size_t size, a_bool_t coherent, + void *vaddr, __adf_os_dma_map_t dmap) +{ + +} + + +//#define __adf_os_dmamem_map2addr(_dmap) ((_dmap)->seg[0].ds_addr) +#define __adf_os_dmamem_map2addr(_dmap) ((adf_os_dma_addr_t)(_dmap)->ds_addr) + +static inline void +__adf_os_dmamem_cache_sync(__adf_os_device_t osdev, __adf_os_dma_map_t dmap, adf_os_cache_sync_t sync) +{ + +} + + +static inline adf_os_size_t +__adf_os_cache_line_size(void) +{ + /** + * Todo + */ + return 0; +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_io_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_io_pvt.h new file mode 100755 index 0000000..98cfcbb --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_io_pvt.h @@ -0,0 +1,69 @@ +#ifndef _ADF_OS_IO_PVT_H +#define _ADF_OS_IO_PVT_H + +#include + +#define __bswap16(x) \ + ((((x) & 0xff00) >> 8) | \ + (((x) & 0x00ff) << 8)) + +#define __bswap32(x) \ + ((((x) & 0xff000000) >> 24) | \ + (((x) & 0x00ff0000) >> 8) | \ + (((x) & 0x0000ff00) << 8) | \ + (((x) & 0x000000ff) << 24)) + +#if 0 +static __inline __uint64_t +__bswap64(__uint64_t _x) +{ + + return ((_x >> 56) | ((_x >> 40) & 0xff00) | ((_x >> 24) & 0xff0000) | + ((_x >> 8) & 0xff000000) | ((_x << 8) & ((__uint64_t)0xff << 32)) | + ((_x << 24) & ((__uint64_t)0xff << 40)) | + ((_x << 40) & ((__uint64_t)0xff << 48)) | ((_x << 56))); +} +#endif + +#define __adf_os_reg_read8(_dev, _addr) oops no implementation... + +#define __adf_os_reg_read16(_dev, _addr) oops no implementation... + +#define __adf_os_reg_read32(_dev, _addr) *((a_uint32_t *)(WLAN_BASE_ADDRESS + _addr)) + +#define __adf_os_reg_read64(_dev, _addr) oops no implementation... + +#define __adf_os_reg_write8(_dev, _addr, _val) oops no implementation... + +#define __adf_os_reg_write16(_dev, _addr, _val) oops no implementation... + +// RAY: The delay is required. But needs to know why?? +//#define __adf_os_reg_write32(_dev, _addr, _val) *((a_uint32_t *)(0x10ff0000 + _addr)) = _val; A_DELAY_USECS(100); +//RAY 0806: Move the delay (100us) to the host side because of throughput issue +#define __adf_os_reg_write32(_dev, _addr, _val) *((a_uint32_t *)(WLAN_BASE_ADDRESS + _addr)) = _val; + +#define __adf_os_reg_write64(_dev, _addr, _val) oops no implementation... + +#define __adf_os_ntohs(x) x +#define __adf_os_ntohl(x) x + +#define __adf_os_htons(x) x +#define __adf_os_htonl(x) x + +#define __adf_os_cpu_to_le16(x) __bswap16(x) +#define __adf_os_cpu_to_le32(x) __bswap32(x) +#define __adf_os_cpu_to_le64(x) x + +#define __adf_os_cpu_to_be16(x) x +#define __adf_os_cpu_to_be32(x) x +#define __adf_os_cpu_to_be64(x) x + +#define __adf_os_le16_to_cpu(x) __bswap16(x) +#define __adf_os_le32_to_cpu(x) __bswap32(x) +#define __adf_os_le64_to_cpu(x) x + +#define __adf_os_be16_to_cpu(x) x +#define __adf_os_be32_to_cpu(x) x +#define __adf_os_be64_to_cpu(x) x + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_irq_pvt.c b/target_firmware/magpie_fw_dev/target/adf/adf_os_irq_pvt.c new file mode 100755 index 0000000..69f0b42 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_irq_pvt.c @@ -0,0 +1,35 @@ + +#include +#include + +int __adf_os_setup_intr(__adf_os_device_t osdev, adf_os_drv_intr fn); +void __adf_os_free_intr(__adf_os_device_t osdev); + +extern adf_os_drv_intr g_wlan_intr; + +/** + * @brief setup the Interrupt handler for the driver + * @param[in] dev + * @param[in] sc + * + * @return int + */ + +int +__adf_os_setup_intr(__adf_os_device_t osdev, adf_os_drv_intr fn) +{ + g_wlan_intr = fn; + + return 0; +} + +/** + * @brief deregister from the kernel the interrupt handler + * @param[in] dev + * @param[in] sc + */ +void +__adf_os_free_intr(__adf_os_device_t osdev) +{ + g_wlan_intr = NULL; +} diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_irq_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_irq_pvt.h new file mode 100755 index 0000000..2730b37 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_irq_pvt.h @@ -0,0 +1,7 @@ +#ifndef __ADF_OS_IRQ_PVT_H +#define __ADF_OS_IRQ_PVT_H + +int __adf_os_setup_intr(__adf_os_device_t osdev, adf_os_drv_intr fn); +void __adf_os_free_intr(__adf_os_device_t osdev); + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_lock_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_lock_pvt.h new file mode 100755 index 0000000..bf9654c --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_lock_pvt.h @@ -0,0 +1,51 @@ +#ifndef _ADF_OS_LOCK_PVT_H +#define _ADF_OS_LOCK_PVT_H + +typedef int __adf_os_spinlock_t; +typedef int __adf_os_mutex_t; + +static inline void __adf_os_init_mutex(__adf_os_mutex_t *mtx) +{ + +} + +static inline int __adf_os_mutex_acquire(__adf_os_mutex_t *mtx) +{ + return 0; +} +static inline void __adf_os_mutex_release(__adf_os_mutex_t *mtx) +{ + +} +static inline void __adf_os_spinlock_init(__adf_os_spinlock_t *lock) +{ + +} +/* + * Synchronous versions - only for OS' that have interrupt disable + */ +static inline void __adf_os_spin_lock_irq(__adf_os_spinlock_t *lock, a_uint32_t *flags) +{ + //mtx_lock_spin(lock); + (*flags)=0; +} + +static inline void __adf_os_spin_unlock_irq(__adf_os_spinlock_t *lock, a_uint32_t *flags) +{ + //mtx_unlock_spin(lock); +} + +static inline void __adf_os_spin_lock_bh(__adf_os_spinlock_t *lock) +{ + //mtx_lock_spin(lock); +} +static inline void __adf_os_spin_unlock_bh(__adf_os_spinlock_t *lock) +{ + //mtx_unlock_spin(lock); +} +static inline a_bool_t __adf_os_spinlock_irq_exec(adf_os_handle_t hdl, __adf_os_spinlock_t *lock, + adf_os_irqlocked_func_t func, void *arg) +{ + return 0; +} +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_mem_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_mem_pvt.h new file mode 100755 index 0000000..cf8dadd --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_mem_pvt.h @@ -0,0 +1,48 @@ +#ifndef ADF_OS_MEM_PVT_H +#define ADF_OS_MEM_PVT_H + +#include "cmnos_api.h" +#include "Magpie_api.h" + +static inline void * __adf_os_mem_alloc(adf_os_size_t size) +{ +// return (malloc(size,M_DEVBUF,M_DONTWAIT | M_ZERO)); + return A_ALLOCRAM(size); +} + +static inline void __adf_os_mem_free(void *buf) +{ + //Should not be called in FW! + //free(buf,M_DEVBUF); +} + +/* move a memory buffer */ +static inline void __adf_os_mem_copy(void *dst, void *src, adf_os_size_t size) +{ + A_MEMCPY(dst,src,size); +} + +/* set a memory buffer */ +static inline void +__adf_os_mem_set(void *buf, a_uint8_t b, adf_os_size_t size) +{ + A_MEMSET(buf, b, size); +} +static inline void +__adf_os_mem_move(void *dst, void *src, adf_os_size_t size) +{ + A_MEMMOVE(dst, src, size); +} +/* zero a memory buffer */ +static inline void +__adf_os_mem_zero(void *buf, adf_os_size_t size) +{ + A_MEMZERO(buf,size); +} +/* compare two memory buffers */ +static inline int +__adf_os_mem_cmp(void *buf1, void *buf2, adf_os_size_t size) +{ + return (A_MEMCMP (buf1, buf2, size) == 0) ? 0 : 1; +} +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_module_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_module_pvt.h new file mode 100755 index 0000000..d42be1a --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_module_pvt.h @@ -0,0 +1,44 @@ +#ifndef __ADF_OS_MODULE_PVT_H +#define __ADF_OS_MODULE_PVT_H + +//#include +//#include + + +//int __adf_os_virt_mod(struct module *mod, int event, void *arg); + + + + +/** + * MACRO's for initiallization + */ + +/** + * init module macro + */ +#define __adf_os_virt_module_init(_fn) + +/** + * @brief generic driver /module init function + * + * @param mod (module data) + * @param event (LOAD or UNLOAD) + * @param arg (any extra argument needed if + * + * @return int + */ +/** + * exit module macro + */ +#define __adf_os_virt_module_exit(_fn) + +/** + * initiallize a generic module + */ +#define __adf_os_virt_module_name(_name) + + +#define __adf_os_module_dep(_name, _dep) + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_pci_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_pci_pvt.h new file mode 100755 index 0000000..20f6e85 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_pci_pvt.h @@ -0,0 +1,86 @@ +#ifndef __ADF_OS_PCI_PVT_H +#define __ADF_OS_PCI_PVT_H + +#include + +//extern A_PCI_INIT_FUNC g_pci_init_func; + +/** + * init module macro + */ +#define __adf_os_pci_module_init(_fn) A_PCI_INIT_FUNC g_pci_init_func = _fn; + +/** + * exit module macro + */ +#define __adf_os_pci_module_exit(_fn) + +/** + * initiallize the PCI driver structure + * Instance name will be _pci_info + */ +#define __adf_os_pci_set_drv_info(_name, _pci_ids, _attach, _detach, _suspend, _resume) \ +{ \ + (_attach), \ + (_detach), \ + (_suspend), \ + (_resume), \ + ADF_OS_BUS_TYPE_PCI, \ + { (_pci_ids)}, \ + #_name \ +}; + +/** + * XXX: pci functions undone + * @param osdev + * @param offset + * @param val + * + * @return int + */ +static inline int +__adf_os_pci_config_read8(adf_os_device_t osdev, int offset, a_uint8_t *val) +{ + (*val) = wlan_pci_config_read(offset, 1); + return 0; + /** + * XXX:how do we know the read succeded + */ +} + +static inline int +__adf_os_pci_config_write8(adf_os_device_t osdev, int offset, a_uint8_t val) +{ + wlan_pci_config_write(offset, val, 1); + return 0; +} + +static inline int +__adf_os_pci_config_read16(adf_os_device_t osdev, int offset, a_uint16_t *val) +{ + (*val) = wlan_pci_config_read(offset, 2); + return 0; +} + +static inline int +__adf_os_pci_config_write16(adf_os_device_t osdev, int offset, a_uint16_t val) +{ + wlan_pci_config_write(offset, val, 2); + return 0; +} + +static inline int +__adf_os_pci_config_read32(adf_os_device_t osdev, int offset, a_uint32_t *val) +{ + (*val) = wlan_pci_config_read(offset, 4); + return 0; +} + +static inline int +__adf_os_pci_config_write32(adf_os_device_t osdev, int offset, a_uint32_t val) +{ + wlan_pci_config_write(offset, val, 4); + return 0; +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_time_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_time_pvt.h new file mode 100755 index 0000000..6c9e355 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_time_pvt.h @@ -0,0 +1,47 @@ +#ifndef _ADF_OS_TIME_PVT_H +#define _ADF_OS_TIME_PVT_H + +#include +#include "Magpie_api.h" + +/** + * @brief this code is modified version of tvtohz(9) which + * returns signed int which we don't require, hence we + * got rid of the type casting thing + * + * @return unsigned long + */ +static inline unsigned long +__adf_os_ticks(void) +{ + return MSEC_TO_TICK(A_MILLISECONDS()); +} +static inline a_uint32_t +__adf_os_ticks_to_msecs(unsigned long ticks) +{ + return TICK_TO_MSEC(ticks); +} +static inline unsigned long +__adf_os_msecs_to_ticks(a_uint32_t msecs) +{ + return MSEC_TO_TICK(msecs); +} +static inline unsigned long +__adf_os_getuptime(void) +{ + return MSEC_TO_TICK(A_MILLISECONDS());; +} + +static inline void +__adf_os_udelay(int usecs) +{ + A_DELAY_USECS(usecs); +} + +static inline void +__adf_os_mdelay(int msecs) +{ + A_DELAY_USECS(msecs*1000); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_timer.c b/target_firmware/magpie_fw_dev/target/adf/adf_os_timer.c new file mode 100755 index 0000000..519d9a3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_timer.c @@ -0,0 +1,11 @@ + +#include +#include + +void +__adf_os_timer_func(A_HANDLE timer_handle, void *arg) +{ + __adf_os_timer_t *timer = (__adf_os_timer_t *)timer_handle; + + timer->timer_func(arg); +} diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_timer_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_timer_pvt.h new file mode 100755 index 0000000..43cb0ca --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_timer_pvt.h @@ -0,0 +1,73 @@ +#ifndef _ADF_OS_TIMER_PVT_H +#define _ADF_OS_TIMER_PVT_H + +#include +#include "Magpie_api.h" + + +typedef struct +{ + A_timer_t *magpie_timer; + adf_os_timer_func_t timer_func; +}__adf_os_timer_t; + +//typedef A_timer_t __adf_os_timer_t; + +void +__adf_os_timer_func(A_HANDLE timer_handle, void *arg); + +/* + * Initialize a timer + */ +static inline void +__adf_os_timer_init(adf_os_handle_t hdl, __adf_os_timer_t *timer, + adf_os_timer_func_t func, void *arg) +{ + timer->timer_func = func; + A_INIT_TIMER(timer->magpie_timer, __adf_os_timer_func, arg); +} + +/* + * start a timer + */ +static inline void +__adf_os_timer_start(__adf_os_timer_t *timer, int msec) +{ + A_TIMEOUT_MS(timer->magpie_timer, msec); +} +/* + * Cancel a timer + * + * Return: TRUE if timer was cancelled and deactived, + * FALSE if timer was cancelled but already got fired. + */ +static inline a_bool_t +__adf_os_timer_cancel(__adf_os_timer_t *timer) +{ + A_UNTIMEOUT(timer->magpie_timer); + return A_TRUE; +} + +/* + * XXX Synchronously canel a timer + * + * Return: TRUE if timer was cancelled and deactived, + * FALSE if timer was cancelled but already got fired. + * + * Synchronization Rules: + * 1. caller must make sure timer function will not use + * adf_os_set_timer to add iteself again. + * 2. caller must not hold any lock that timer function + * is likely to hold as well. + * 3. It can't be called from interrupt context. + */ +static inline a_bool_t +__adf_os_timer_sync_cancel(__adf_os_timer_t *timer) +{ + // @TODO: IS OK?? + A_UNTIMEOUT(timer->magpie_timer); + return A_TRUE; +} + + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_types_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_types_pvt.h new file mode 100755 index 0000000..38921f3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_types_pvt.h @@ -0,0 +1,146 @@ +#ifndef __ADF_OS_TYPES_PVT_H +#define __ADF_OS_TYPES_PVT_H + +#include +#include +//#include "cmnos_api.h" +//#include "Magpie_api.h" +#include + +#define __ADF_OS_MAX_SCATTER 1 +#define __adf_os_packed __attribute__((__packed__)) +#define __ADF_OS_NAME_SIZE 10 + +/* + * Private definitions of general data types + */ +/** + * Endian-ness + */ +#undef ADF_LITTLE_ENDIAN_MACHINE +#undef ADF_BIG_ENDIAN_MACHINE + +//#if (_BYTE_ORDER == _LITTLE_ENDIAN) +#ifdef LITTLE_ENDIAN +#define ADF_LITTLE_ENDIAN_MACHINE +//#elif (_BYTE_ORDER == _BIG_ENDIAN) +#elif BIG_ENDIAN +#define ADF_BIG_ENDIAN_MACHINE +#endif + +/** + * CACHE-SYNC (DMA) + */ +#define __ADF_SYNC_PREREAD 0 +#define __ADF_SYNC_POSTREAD 1 +#define __ADF_SYNC_PREWRITE 2 +#define __ADF_SYNC_POSTWRITE 3 + +#define __ADF_OS_DMA_TO_DEVICE 0 +#define __ADF_OS_DMA_FROM_DEVICE 1 + + +struct __adf_softc; + + +enum __adf_net_wireless_evcode{ + __ADF_IEEE80211_ASSOC = 100, + __ADF_IEEE80211_REASSOC = 101, + __ADF_IEEE80211_DISASSOC = 102, + __ADF_IEEE80211_JOIN = 103, + __ADF_IEEE80211_LEAVE = 104, + __ADF_IEEE80211_SCAN = 105, + __ADF_IEEE80211_REPLAY = 106, + __ADF_IEEE80211_MICHAEL = 107, + __ADF_IEEE80211_REJOIN = 108, + __ADF_CUSTOM_PUSH_BUTTON = 109, +}; + +/* generic data types */ +struct __adf_device { +int dummy; +}; + +typedef struct __adf_device *__adf_os_device_t; + + +struct __adf_dma_map { + VBUF *buf; + + A_UINT32 *ds_addr; + A_UINT16 ds_len; +}; + +typedef struct __adf_dma_map *__adf_os_dma_map_t; + +typedef A_UINT32 __adf_os_dma_addr_t; +typedef A_UINT32 __adf_os_dma_size_t; + +typedef unsigned int __adf_os_size_t; +typedef int __adf_os_off_t; + +#define __adf_os_iomem_t + +#if 0 +typedef int __a_uint8_t; +typedef int __a_int8_t; +typedef int __a_uint16_t; +typedef int __a_int16_t; +typedef int __a_uint32_t; +typedef int __a_int32_t; +typedef int __a_uint64_t; +typedef int __a_int64_t; +#else +typedef A_UINT8 __a_uint8_t; +typedef A_INT8 __a_int8_t; +typedef A_UINT16 __a_uint16_t; +typedef A_INT16 __a_int16_t; +typedef A_UINT32 __a_uint32_t; +typedef A_INT32 __a_int32_t; +typedef A_UINT64 __a_uint64_t; +typedef A_INT64 __a_int64_t; + +typedef A_UINT32 u_int32_t; +typedef A_UINT16 u_int16_t; +typedef A_UINT8 u_int8_t; +typedef unsigned int u_int; +typedef unsigned long u_long; +//typedef __adf_os_size_t size_t; + +typedef A_UINT64 u_int64_t; + +#endif + +//extern void my_printf(struct ath_hal *ah, const char* fmt, ...); + +//#define __adf_os_print my_printf +#define __adf_os_print A_PRINTF + +#if 1 +#if defined(__XCC__) +#include "stdarg.h" +#define __va_list __gnuc_va_list +#endif +#endif + +/* For compiling WLAN drivers */ +#define IFNAMSIZ 10 +#define ENXIO -1 +#define ENOMEM -1 +#define EIO -1 +#define caddr_t int +#define ENODEV -1 +#define EOPNOTSUPP -1 +#define KASSERT(exp, msg) + +#if 0 +#ifndef __packed +#define __packed __attribute__((__packed__)) +#endif + +#ifndef roundup +#define roundup(x, y) ((((x)+((y)-1))/(y))*(y)) /* to any y */ +#endif +#endif + +#endif diff --git a/target_firmware/magpie_fw_dev/target/adf/adf_os_util_pvt.h b/target_firmware/magpie_fw_dev/target/adf/adf_os_util_pvt.h new file mode 100755 index 0000000..f147f19 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/adf/adf_os_util_pvt.h @@ -0,0 +1,66 @@ +#ifndef __ADF_OS_UTIL_PVT_H +#define __ADF_OS_UTIL_PVT_H + +#include + +#define __adf_os_unlikely(_expr) +#define __adf_os_likely(_expr) + +/** + * @brief memory barriers. + */ +#define __adf_os_wmb() oops no implementation... +#define __adf_os_rmb() oops no implementation... +#define __adf_os_mb() oops no implementation... + +#define __adf_os_min(_a, _b) ((_a < _b) ? _a : _b) +#define __adf_os_max(_a, _b) ((_a > _b) ? _a : _b) + +#ifdef _DEBUG_BUILD_ +#define __adf_os_assert(expr) do {\ + if(!(expr)) { \ + adf_os_print("Assertion failed! %s:%s %s:%d\n", #expr, __FUNCTION__, __FILE__, __LINE__); \ + while(1){} \ + \ + }\ +}while(0); +#else +#if defined(PROJECT_MAGPIE) +#define __adf_os_assert(expr) do { \ + if(!(expr)) { \ + adf_os_print("Assertion failed! %s\n", __FUNCTION__); \ + (*((volatile uint32_t *)(0x12345678))); \ + } \ +}while(0); +#else +#define __adf_os_assert(expr) do { \ + if(!(expr)) { \ + while(1){} \ + } \ +}while(0); +#endif +#endif + +#ifndef inline +#define inline +#endif + +static void inline +__adf_os_get_rand(adf_os_handle_t hdl,__a_uint8_t *ptr, __a_uint32_t len) +{ +#if 0 + u_int8_t *dp = ptr; + u_int32_t v; + size_t nb; + while (len > 0) { + v = arc4random(); + nb = len > sizeof(u_int32_t) ? sizeof(u_int32_t) : len; + bcopy(&v, dp, len > sizeof(u_int32_t) ? sizeof(u_int32_t) : len); + dp += sizeof(u_int32_t); + len -= nb; + } +#endif +} + + +#endif /*_ADF_OS_UTIL_PVT_H*/ diff --git a/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_api.h b/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_api.h new file mode 100755 index 0000000..c69e1b7 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_api.h @@ -0,0 +1,50 @@ +/* + * @File: buf_pool_api.h + * + * @Abstract: BUF Pool api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _BUF_POOL_API_H +#define _BUF_POOL_API_H + +#include + +/* endpoint defines */ +typedef enum +{ + POOL_ID_HTC_CONTROL = 0, + POOL_ID_WMI_SVC_CMD_REPLY = 1, + POOL_ID_WMI_SVC_EVENT = 2, + POOL_ID_WLAN_RX_BUF = 3, + POOL_ID_MAX = 10 +} BUF_POOL_ID; + +typedef void* pool_handle_t; + +/* hardware API table structure (API descriptions below) */ +struct buf_pool_api { + pool_handle_t (*_init)(adf_os_handle_t handle); + + void (*_shutdown)(pool_handle_t handle); + + void (*_create_pool)(pool_handle_t handle, BUF_POOL_ID poolId, int nItems, int nSize); + + adf_nbuf_t (*_alloc_buf)(pool_handle_t handle, BUF_POOL_ID poolId, int reserve); + + adf_nbuf_t (*_alloc_buf_align)(pool_handle_t handle, BUF_POOL_ID poolId, int reserve, int align); + + void (*_free_buf)(pool_handle_t handle, BUF_POOL_ID poolId, adf_nbuf_t buf); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void buf_pool_module_install(struct buf_pool_api *apis); + +#endif /* #ifndef _BUF_POOL_API_H */ diff --git a/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_dynamic.c b/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_dynamic.c new file mode 100755 index 0000000..33caf24 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_dynamic.c @@ -0,0 +1,97 @@ +/* + * @File: + * + * @Abstract: Buf pool implementation: Dynamic version + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ +#include +#include +#include +#include +//#include +#include + +LOCAL htc_handle_t _buf_pool_dynamic_init(adf_os_handle_t handle); +LOCAL void _buf_pool_dynamic_create_pool(pool_handle_t handle, BUF_POOL_ID poolId, int nItems, int nSize); +LOCAL adf_nbuf_t _buf_pool_dynamic_alloc_buf(pool_handle_t handle, BUF_POOL_ID poolId, int reserve); +LOCAL adf_nbuf_t _buf_pool_dynamic_alloc_buf_align(pool_handle_t handle, BUF_POOL_ID poolId, int reserve, int align); +LOCAL void _buf_pool_dynamic_free_buf(pool_handle_t handle, BUF_POOL_ID poolId, adf_nbuf_t buf); +LOCAL void _buf_pool_dynamic_shutdown(pool_handle_t handle); + +typedef struct _POOL_CONFIG { + int nSize; +} POOL_CONFIG; + +typedef struct _BUF_POOL_DYNAMIC_CONTEXT { + adf_os_handle_t OSHandle; + POOL_CONFIG poolConf[POOL_ID_MAX]; +} BUF_POOL_DYNAMIC_CONTEXT; + +void buf_pool_module_install(struct buf_pool_api *pAPIs) +{ + pAPIs->_init = _buf_pool_dynamic_init; + pAPIs->_create_pool = _buf_pool_dynamic_create_pool; + pAPIs->_alloc_buf = _buf_pool_dynamic_alloc_buf; + pAPIs->_alloc_buf_align = _buf_pool_dynamic_alloc_buf_align; + pAPIs->_free_buf = _buf_pool_dynamic_free_buf; + pAPIs->_shutdown = _buf_pool_dynamic_shutdown; +} + +LOCAL pool_handle_t _buf_pool_dynamic_init(adf_os_handle_t handle) +{ + BUF_POOL_DYNAMIC_CONTEXT *ctx; + + ctx = (BUF_POOL_DYNAMIC_CONTEXT *)adf_os_mem_alloc(sizeof(BUF_POOL_DYNAMIC_CONTEXT)); + ctx->OSHandle = handle; + + return ctx; +} + +LOCAL void _buf_pool_dynamic_shutdown(pool_handle_t handle) +{ + BUF_POOL_DYNAMIC_CONTEXT *ctx = (BUF_POOL_DYNAMIC_CONTEXT *)handle; + + adf_os_mem_free(ctx); +} + +LOCAL void _buf_pool_dynamic_create_pool(pool_handle_t handle, BUF_POOL_ID poolId, int nItems, int nSize) +{ + BUF_POOL_DYNAMIC_CONTEXT *ctx = (BUF_POOL_DYNAMIC_CONTEXT *)handle; + + ctx->poolConf[poolId].nSize = nSize; +} + +LOCAL adf_nbuf_t _buf_pool_dynamic_alloc_buf(pool_handle_t handle, BUF_POOL_ID poolId, int reserve) +{ + BUF_POOL_DYNAMIC_CONTEXT *ctx = (BUF_POOL_DYNAMIC_CONTEXT *)handle; + POOL_CONFIG *poolConf = &ctx->poolConf[poolId]; + + return adf_nbuf_alloc(poolConf->nSize, + reserve, 0); + +} + +LOCAL adf_nbuf_t _buf_pool_dynamic_alloc_buf_align(pool_handle_t handle, BUF_POOL_ID poolId, int reserve, int align) +{ + BUF_POOL_DYNAMIC_CONTEXT *ctx = (BUF_POOL_DYNAMIC_CONTEXT *)handle; + POOL_CONFIG *poolConf = &ctx->poolConf[poolId]; + + return adf_nbuf_alloc(poolConf->nSize, + reserve, align); + +} + +LOCAL void _buf_pool_dynamic_free_buf(pool_handle_t handle, BUF_POOL_ID poolId, adf_nbuf_t buf) +{ + //BUF_POOL_DYNAMIC_CONTEXT *ctx = (BUF_POOL_DYNAMIC_CONTEXT *)handle; + + adf_nbuf_free(buf); +} + +adf_os_export_symbol(buf_pool_module_install); diff --git a/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_static.c b/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_static.c new file mode 100755 index 0000000..8365a60 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_static.c @@ -0,0 +1,128 @@ +/* + * @File: + * + * @Abstract: Buf pool implementation: static version + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ + +#include +#include +#include +#include +#include +#include +#include + +#include "buf_pool_static.h" + +LOCAL htc_handle_t _buf_pool_static_init(adf_net_handle_t handle); +LOCAL void _buf_pool_static_create_pool(pool_handle_t handle, BUF_POOL_ID poolId, int nItems, int nSize); +LOCAL adf_nbuf_t _buf_pool_static_alloc_buf(pool_handle_t handle, BUF_POOL_ID poolId, int reserve); +LOCAL adf_nbuf_t _buf_pool_static_alloc_buf_align(pool_handle_t handle, BUF_POOL_ID poolId, int reserve, int align); +LOCAL void _buf_pool_static_free_buf(pool_handle_t handle, BUF_POOL_ID poolId, adf_nbuf_t buf); +LOCAL void _buf_pool_static_shutdown(pool_handle_t handle); + +BUF_POOL_STATIC_CONTEXT g_poolCtx; + +void buf_pool_module_install(struct buf_pool_api *pAPIs) +{ + pAPIs->_init = _buf_pool_static_init; + pAPIs->_create_pool = _buf_pool_static_create_pool; + pAPIs->_alloc_buf = _buf_pool_static_alloc_buf; + pAPIs->_alloc_buf_align = _buf_pool_static_alloc_buf_align; + pAPIs->_free_buf = _buf_pool_static_free_buf; + pAPIs->_shutdown = _buf_pool_static_shutdown; +} + +LOCAL pool_handle_t _buf_pool_static_init(adf_os_handle_t handle) +{ +#if 1 + int i; + + for(i=0; i < POOL_ID_MAX; i++) { + g_poolCtx.bufQ[i] = NULL; + } + + return &g_poolCtx; +#else + BUF_POOL_STATIC_CONTEXT *ctx; + + //ctx = (BUF_POOL_static_CONTEXT *)A_ALLOCRAM(sizeof(BUF_POOL_static_CONTEXT)); + ctx = (BUF_POOL_STATIC_CONTEXT *)adf_os_mem_alloc(sizeof(BUF_POOL_STATIC_CONTEXT)); + ctx->NetHandle = handle; + + return ctx; +#endif +} + +LOCAL void _buf_pool_static_shutdown(pool_handle_t handle) +{ + // SHALL NOT BE USED in FW +} + +LOCAL void _buf_pool_static_create_pool(pool_handle_t handle, BUF_POOL_ID poolId, int nItems, int nSize) +{ + int i; + VBUF *buf; + VDESC *desc; + + //BUF_POOL_STATIC_CONTEXT *ctx = (BUF_POOL_STATIC_CONTEXT *)handle; + + for ( i = 0; i < nItems; i++) { + buf = VBUF_alloc_vbuf(); + desc = VDESC_alloc_vdesc(); + + desc->buf_addr = (A_UINT8 *)adf_os_mem_alloc(nSize); + desc->buf_size = nSize; + desc->data_offset = 0; + desc->data_size = 0; + + buf->buf_length = 0; + buf->desc_list = desc; + + if ( g_poolCtx.bufQ[poolId] == NULL ) { + g_poolCtx.bufQ[poolId] = buf; + } else { + buf->next_buf = g_poolCtx.bufQ[poolId]; + g_poolCtx.bufQ[poolId] = buf; + } + } +} + +LOCAL adf_nbuf_t _buf_pool_static_alloc_buf(pool_handle_t handle, BUF_POOL_ID poolId, int reserve) +{ + VBUF *buf; + + buf = g_poolCtx.bufQ[poolId]; + if ( buf != NULL ) { + g_poolCtx.bufQ[poolId] = buf->next_buf; + + buf->next_buf = NULL; + buf->desc_list->data_offset = reserve; + buf->desc_list->data_size = 0; + buf->buf_length = 0; + } + + return buf; +} + +LOCAL adf_nbuf_t _buf_pool_static_alloc_buf_align(pool_handle_t handle, BUF_POOL_ID poolId, int reserve, int align) +{ + return _buf_pool_static_alloc_buf(handle, poolId, reserve); +} + +LOCAL void _buf_pool_static_free_buf(pool_handle_t handle, BUF_POOL_ID poolId, adf_nbuf_t buf) +{ + if ( g_poolCtx.bufQ[poolId] == NULL ) { + g_poolCtx.bufQ[poolId] = buf; + } else { + buf->next_buf = g_poolCtx.bufQ[poolId]; + g_poolCtx.bufQ[poolId] = buf; + } +} diff --git a/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_static.h b/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_static.h new file mode 100755 index 0000000..32feb19 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/buf_pool/buf_pool_static.h @@ -0,0 +1,24 @@ +/* + * @File: + * + * @Abstract: + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef BUF_POOL_STATIC_H_ +#define BUF_POOL_STATIC_H_ + +typedef struct _BUF_POOL_STATIC_CONTEXT { + VBUF *bufQ[POOL_ID_MAX]; + + // Left a door for extension the structure + void *pReserved; +} BUF_POOL_STATIC_CONTEXT; + +#endif /*BUF_POOL_STATIC_H_*/ diff --git a/target_firmware/magpie_fw_dev/target/cmnos/clock_api.h b/target_firmware/magpie_fw_dev/target/cmnos/clock_api.h new file mode 100755 index 0000000..e4c9b27 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/clock_api.h @@ -0,0 +1,21 @@ +#ifndef __CLOCK_API_H__ +#define __CLOCK_API_H__ + +#define TICK_MSEC_RATIO 1 +#define TICK_TO_MSEC(tick) ((tick)/TICK_MSEC_RATIO) +#define MSEC_TO_TICK(msec) ((msec)* TICK_MSEC_RATIO) + +struct clock_api { + void (* _clock_init)(A_UINT32 ref_clk); + void (* _clockregs_init)(void); + A_UINT32 (* _uart_frequency)(void); + void (* _delay_us)(int); + void (* _wlan_band_set)(int); + A_UINT32 (* _refclk_speed_get)(void); + A_UINT32 (* _milliseconds)(void); + void (* _sysclk_change)(void); + + void (* _clock_tick)(void); +}; + +#endif /* __CLOCK_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/cmnos/cmnos_api.h b/target_firmware/magpie_fw_dev/target/cmnos/cmnos_api.h new file mode 100755 index 0000000..40fa033 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/cmnos_api.h @@ -0,0 +1,638 @@ +#ifndef __CMNOS_API_H__ +#define __CMNOS_API_H__ + +/* + * This file contains wrappers to OS operating system functions + * that are available in all versions of the operating system. + * + * Target software must always use these wrappers to access OS + * services -- it may not access any OS services directly. + */ + +#include "sys_cfg.h" + +#include "xtensa/config/core.h" +#include "xtensa/hal.h" +#include "xtensa/xtruntime.h" + +/* cmnos interface */ +#include "printf_api.h" +#include "uart_api.h" +#include "dbg_api.h" +#include "mem_api.h" +#include "misc_api.h" +#include "string_api.h" +#include "timer_api.h" +#include "romp_api.h" +#include "allocram_api.h" +#include "tasklet_api.h" +#include "clock_api.h" +#include "intr_api.h" +#include "wdt_api.h" +#include "eeprom_api.h" +#include "usb_api.h" +#include +#include + +#if defined(PROJECT_K2) +#if SYSTEM_MODULE_SFLASH +#include "sflash_api.h" +#endif +#endif + +#define AR6K_ROM_START 0x004e0000 +#define AR6K_ROM_ADDR(byte_offset) (AR6K_ROM_START+(byte_offset)) +#define TARG_ROM_ADDRS(byte_offset) AR6K_ROM_ADDR(byte_offset) + +#define IML_SIGNAL_UNUSED0_ADDR TARG_ROM_ADDRS(0) /* Cannot be used -- aligned */ +#define IML_SIGNAL_ASSERT_ADDR TARG_ROM_ADDRS(1) /* Signal an assertion failure */ +#define IML_SIGNAL_PRINTF_ADDR TARG_ROM_ADDRS(2) /* Signal a printf request */ +#define IML_SIGNAL_UNUSED4_ADDR TARG_ROM_ADDRS(4) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSED8_ADDR TARG_ROM_ADDRS(8) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSEDC_ADDR TARG_ROM_ADDRS(0xc) /* Cannot be used -- aligned */ +#define IML_SIGNAL_MASK 0xfffe000f +#define IML_LINENUM_SHIFT 4 + +#define NOW() xthal_get_ccount() + +#if defined(__XTENSA__) +#define _A_BARRIER asm volatile("memw") +#else +#define _A_BARRIER +#endif + +#define A_ASSERT( __bool ) \ + do { \ + if (0 == (__bool)) { \ + (void)*((volatile int *)(IML_SIGNAL_ASSERT_ADDR+(__LINE__<<4)));\ + _A_BARRIER; \ + } \ + } while (0) + + +#define A_IML_IS_ASSERT(vaddr) \ + (((vaddr) & IML_SIGNAL_MASK) == (IML_SIGNAL_ASSERT_ADDR & IML_SIGNAL_MASK)) + + +#define PRINT_FAILURE_STATE() \ +do { \ + uint32_t epc1, epc2, epc3, epc4; \ + \ + asm volatile("rsr %0,%1" : "=r" (epc1) : "n" (EPC_1)); \ + asm volatile("rsr %0,%1" : "=r" (epc2) : "n" (EPC_2)); \ + asm volatile("rsr %0,%1" : "=r" (epc3) : "n" (EPC_3)); \ + asm volatile("rsr %0,%1" : "=r" (epc4) : "n" (EPC_4)); \ + \ + A_PRINTF("\tepc1=0x%x, epc2=0x%x, epc3=0x%x, epc4=0x%x\n", \ + epc1, epc2, epc3, epc4); \ + A_PRINTF("0x%08x, 0x%08x, 0x%08x, \n\r", \ + DEBUG_SYSTEM_STATE, WATCH_DOG_RESET_COUNTER, \ + WATCH_DOG_MAGIC_PATTERN); \ +} while(0) +//////////////////////////////////////////////////////////////////////////////////// + + +//#define A_CMN(sym) _A_OS_INDIRECTION_TABLE->cmnos.sym +#define A_CMN(sym) _A_MAGPIE_INDIRECTION_TABLE->cmnos.sym + +#if SYSTEM_MODULE_MEM +/* Mem interfaces */ +#define A_MEMSET(addr, value, size) \ + A_CMN(mem._memset((char *)(addr), (int)(value), (int)(size))) + +#define A_MEMZERO(addr, size) \ + A_CMN(mem._memset((char *)(addr), (int)0, (int)(size))) + +#define A_MEMCPY(dst, src, size) \ + A_CMN(mem._memcpy((char *)(dst), (char *)(src), (int)(size))) + +#define A_MEMMOVE(dst, src, size) \ + A_CMN(mem._memmove((char *)(dst), (char *)(src), (int)(size))) + +#define A_MEMCMP(p1, p2, nbytes) \ + A_CMN(mem._memcmp)((void *)(p1), (void *)(p2), (int)(nbytes)) +#else +/* Mem interfaces */ +#define A_MEMSET(addr, value, size) + +#define A_MEMZERO(addr, size) + +#define A_MEMCPY(dst, src, size) + +#define A_MEMMOVE(dst, src, size) + +#define A_MEMCMP(p1, p2, nbytes) +#endif + + +#if 1 + /* String interfaces */ + #define A_STRCPY(dst, src) A_CMN(string._strcpy((dst), (src))) + #define A_STRNCPY(dst, src, n) A_CMN(string._strncpy((dst), (src), (n))) + #define A_STRLEN(str) A_CMN(string._strlen(str)) + #define A_STRCMP(str1, str2) A_CMN(string._strcmp((str1), (str2))) + #define A_STRNCMP(str1, str2, n) A_CMN(string._strncmp((str1), (str2), (n))) +#endif + +#if SYSTEM_MODULE_PRINT +/* Printf support */ +#define A_PRINTF_INIT() A_CMN(printf._printf_init()) +#define A_PRINTF A_CMN(printf._printf) +#else +#define A_PRINTF_INIT() +#define A_PRINTF +#endif /* SYSTEM_MODULE_PRINT */ + +#if SYSTEM_MODULE_UART +/* Serial port support */ +#define A_UART_INIT() A_CMN(uart._uart_init()) + +#define A_UART_HWINIT(freq, baud) \ + A_CMN(uart._uart_hwinit((freq), (baud))) + +#define A_UART_ENABLED() (HOST_INTEREST->hi_uart_enable) + +#define A_PUTS(str) A_CMN(uart._uart_str_out(str)) + +#define A_PUTC(ch) A_CMN(uart._uart_char_put(ch)) +#define A_GETC(pCh) A_CMN(uart._uart_char_get(pCh)) + +#define A_UART_TASK() A_CMN(uart._uart_task()) +#define A_UART_CONFIG(x) A_CMN(uart._uart_config(x)) + +#else + +#define A_UART_INIT() + +#define A_UART_HWINIT(freq, baud) + +#define A_UART_ENABLED() + +#define A_PUTS(str) + +#define A_PUTC(ch) +#define A_GETC(pCh) + +#define A_UART_TASK() +#define A_UART_CONFIG(x) + +#endif + +#if SYSTEM_MODULE_MISC +/* Reset Support */ +#define A_RESET() A_CMN(misc._system_reset()) +#define A_RESET_MAC() A_CMN(misc._mac_reset()) + +/* Assertion failure */ +#define A_ASSFAIL(regdump) A_CMN(misc._assfail((regdump))) + +/* Report a failure to the Host */ +#define A_REPORT_FAILURE(data, len) \ + A_CMN(misc._report_failure_to_host((data), (len))) + +/* UNALIGNED references are used for ASSERTs */ +#define A_MISALIGNED_LOAD_HANDLER(dump) A_CMN(misc._misaligned_load_handler(dump)) + +/* reture the host interface type */ +#define A_IS_HOST_PRESENT() A_CMN(misc._is_host_present()) +#define A_KBHIT(delay) A_CMN(misc._kbhit(delay)) +#define A_GET_ROM_VER() A_CMN(misc._rom_version_get()) +#else +/* Reset Support */ +#define A_RESET() +#define A_RESET_MAC() + +/* Assertion failure */ +#define A_ASSFAIL(regdump) + +#define A_MISALIGNED_LOAD_HANDLER(dump) + +/* Report a failure to the Host */ +#define A_REPORT_FAILURE(data, len) + +#define A_IS_HOST_PRESENT() +#define A_KBHIT(delay) +#define A_GET_ROM_VER() +#endif + +//#if SYSTEM_MODULE_DBG +/* debug Support */ +//#define A_DBG_INIT() A_CMN(dbg._dbg_init()) +//#define A_DBG_TASK() A_CMN(dbg._dbg_task()) +//#else +//#define A_DBG_INIT() +//#define A_DBG_TASK() +//#endif + +#if SYSTEM_MODULE_USB +/* debug Support */ +#define A_USB_INIT() A_CMN(usb._usb_init()) +#define A_USB_ROM_TASK() A_CMN(usb._usb_rom_task()) +#define A_USB_FW_TASK() A_CMN(usb._usb_fw_task()) +#define A_USB_INIT_PHY() A_CMN(usb._usb_init_phy()) + +#define A_USB_EP0_SETUP() A_CMN(usb._usb_ep0_setup()) +#define A_USB_EP0_TX_DATA() A_CMN(usb._usb_ep0_tx_data()) +#define A_USB_EP0_RX_DATA() A_CMN(usb._usb_ep0_rx_data()) + +#define A_USB_GET_CONFIG() A_CMN(usb._usb_get_configuration()) +#define A_USB_SET_CONFIG() A_CMN(usb._usb_set_configuration()) + +#define A_USB_GET_INTERFACE() A_CMN(usb._usb_get_interface()) +#define A_USB_SET_INTERFACE() A_CMN(usb._usb_set_interface()) + +#define A_USB_STANDARD_CMD() A_CMN(usb._usb_standard_cmd()) +#define A_USB_VENDOR_CMD() A_CMN(usb._usb_vendor_cmd()) + +#define A_USB_POWER_OFF() A_CMN(usb._usb_power_off()) +#define A_USB_RESET_FIFO() A_CMN(usb._usb_reset_fifo()) +#define A_USB_GEN_WDT() A_CMN(usb._usb_gen_wdt()) +#define A_USB_JUMP_BOOT() A_CMN(usb._usb_jump_boot()) + +#define A_USB_GET_DESCRIPTOR() A_CMN(usb._usb_get_descriptor()) +#define A_USB_SET_ADDRESS() A_CMN(usb._usb_set_address()) +#define A_USB_SET_FEATURE() A_CMN(usb._usb_set_feature()) +#define A_USB_CLEAR_FEATURE() A_CMN(usb._usb_clr_feature()) + +#define A_USB_GET_STATUS() A_CMN(usb._usb_get_status()) +#define A_USB_SETUP_DESC() A_CMN(usb._usb_setup_desc()) +#define A_USB_STATUS_IN() A_CMN(usb._usb_status_in()) +#define A_USB_REG_OUT() A_CMN(usb._usb_reg_out()) + +#define A_USB_EP0_TX() A_CMN(usb._usb_ep0_tx()) +#define A_USB_EP0_RX() A_CMN(usb._usb_ep0_rx()) +#define A_USB_CLK_INIT() A_CMN(usb._usb_clk_init()) + +#else +#define A_USB_INIT() +#define A_USB_TASK() +#define A_USB_INIT_PHY() + +#define A_USB_EP0_SETUP() +#define A_USB_EP0_TX() +#define A_USB_EP0_RX() + +#define A_USB_GET_CONFIG() +#define A_USB_SET_CONFIG() + +#define A_USB_GET_INTERFACE() +#define A_USB_SET_INTERFACE() + +#define A_USB_STANDARD_CMD() +#define A_USB_VENDOR_CMD() + +#define A_USB_POWER_OFF() +#define A_USB_RESET_FIFO() +#define A_USB_GEN_WDT() +#define A_USB_JUMP_BOOT() + +#define A_USB_GET_DESCRIPTOR() +#define A_USB_SET_ADDRESS() +#define A_USB_SET_FEATURE() +#define A_USB_CLEAR_FEATURE() + +#define A_USB_GET_STATUS() +#define A_USB_SETUP_DESC() + + +#define A_USB_STATUS_IN() +#define A_USB_REG_OUT() + +#define A_USB_EP0_TX() +#define A_USB_EP0_RX() + +#define A_USB_CLK_INIT() +#endif + +#if SYSTEM_MODULE_INTR +/* Low-level interrupt support intended for use by OS modules */ +#define A_INTR_GET_INTRENABLE() A_CMN(intr._get_intrenable()) +#define A_INTR_SET_INTRENABLE(val) A_CMN(intr._set_intrenable(val)) +#define A_INTR_GET_INTRPENDING() A_CMN(intr._get_intrpending()) +#define A_INTR_UNBLOCK_ALL_INTRLVL() A_CMN(intr._unblock_all_intrlvl()) + +/* Interrupt support */ +#define A_INTR_INIT() A_CMN(intr._intr_init()) + +#define A_INTR_DISABLE(pOld) \ + do { \ + *(pOld) = A_CMN(intr._intr_disable()); \ + } while (0) + +#define A_INTR_RESTORE(old) A_CMN(intr._intr_restore((old))) + +#define A_INVOKE_ISR(inum) A_CMN(intr._intr_invoke_isr(inum)) + +#define A_INTR_MASK(inum) A_CMN(intr._intr_mask_inum(inum)) +#define A_INTR_UNMASK(inum) A_CMN(intr._intr_unmask_inum(inum)) + +#define A_ATTACH_ISR(inum, isr, arg) A_CMN(intr._intr_attach_isr(inum, isr, arg)) +#else +#define A_INTR_INIT() +#define A_INTR_DISABLE(pOld) +#define A_INTR_RESTORE(old) + +#define A_INTR_GET_INTRENABLE() +#define A_INTR_SET_INTRENABLE(val) +#define A_INTR_GET_INTRPENDING() +#define A_INTR_UNBLOCK_ALL_INTRLVL() +#define A_INVOKE_ISR(inum) +#define A_INTR_MASK(inum) +#define A_INTR_UNMASK(inum) +#define A_ATTACH_ISR(inum, isr, arg) + +#endif + +/* Tasklet Support */ +#define A_TASKLET_INIT() A_CMN(tasklet._tasklet_init()) +#define A_TASKLET_INIT_TASK(f, arg, t) A_CMN(tasklet._tasklet_init_task(f, arg, t)) +#define A_TASKLET_DISABLE(t) A_CMN(tasklet._tasklet_disable(t)) +#define A_TASKLET_SCHEDULE(t) A_CMN(tasklet._tasklet_schedule(t)) +#define A_TASKLET_RUN() A_CMN(tasklet._tasklet_run()) + + +/* RAM Allocation Support */ +#if defined(__mips__) +#define alloc_arena_start _end +#endif +#if defined(__XTENSA__) +#define alloc_arena_start _end +#endif + +#if SYSTEM_MODULE_CLOCK + +#define A_CLOCK_INIT(refclk_guess) A_CMN(clock._clock_init(refclk_guess)) +#define A_CLOCK_TICK() A_CMN(clock._clock_tick()) +#define A_CLOCK_GET_TICK() A_CMN(clock._clock_get_tick()) + +/* + * Get the number of millisecond ticks since the system was started. + * Note that this only approximates 1Ms. It's actually 32 ticks of + * a 32KHz clock. + * + * Returns a A_UINT32 value. + */ +#define A_MILLISECONDS() A_CMN(clock._milliseconds()) + +/* + * Get the frequency of the reference clock, expressed as + * an A_refclk_speed_t. + */ +#define A_REFCLK_SPEED_GET() A_CMN(clock._refclk_speed_get()) + +/* Spin delay */ +#define A_DELAY_USECS(us) A_CMN(clock._delay_us(us)) + +#define A_UART_FREQUENCY() A_CMN(clock._uart_frequency()) + +#define A_CLOCKREGS_INIT() A_CMN(clock._clockregs_init()) + +/* which_band is either A_BAND_24GHZ or A_BAND_5GHZ */ +#define A_WLAN_BAND_SET(which_band) \ + A_CMN(clock._wlan_band_set(which_band)) + +/* Called whenever the system clock changes speed */ +#define A_SYSCLK_CHANGE() A_CMN(clock._sysclk_change()) + +#else + +#define A_CLOCK_INIT(refclk_guess) +#define A_CLOCK_TICK() +#define A_CLOCK_GET_TICK() +#define A_MILLISECONDS() +#define A_REFCLK_SPEED_GET() +#define A_DELAY_USECS(us) +#define A_UART_FREQUENCY() +#define A_CLOCKREGS_INIT() +#define A_WLAN_BAND_SET(which_band) +#define A_SYSCLK_CHANGE() + +#endif + +// Timer +#define A_INIT_TIMER(pTimer, pFunction, pArg) \ + A_CMN(timer._timer_setfn((pTimer), (pFunction), (pArg))) + +/* Set a (possibly periodic) timer for "period" Milliseconds. */ +#define A_TIMEOUT_MS(pTimer, period) \ + A_CMN(timer._timer_arm((pTimer), (period))) + +#define A_UNTIMEOUT(pTimer) \ + A_CMN(timer._timer_disarm(pTimer)) + +#define A_TIMER_RUN() \ + A_CMN(timer._timer_run()) + +#define A_PCI_BOOT_INIT() \ + A_CMN(pci.pci_boot_init()) + +#define A_GMAC_BOOT_INIT() \ + A_CMN(gmac.gmac_boot_init()) + +#if SYSTEM_MODULE_ALLOCRAM +/* Default size of ALLOCRAM area */ +#define ARENA_SZ_DEFAULT 12000 + +#define A_ALLOCRAM_INIT(arena_start, arena_size) \ +do { \ + extern unsigned int alloc_arena_start; \ + void *astart; \ + int asize; \ + astart = (arena_start) ? (void *)(arena_start) : &alloc_arena_start; \ + asize = (arena_size) ? (arena_size) : (ARENA_SZ_DEFAULT); \ + A_CMN(allocram.cmnos_allocram_init((astart), (asize))); \ +} while (0) + +#define A_ALLOCRAM(nbytes) A_CMN(allocram.cmnos_allocram(0, (nbytes))) + +#define A_ALLOCRAM_DEBUG() A_CMN(allocram.cmnos_allocram_debug()) + +#else +#define A_ALLOCRAM_INIT(arena_start, arena_size) +#define A_ALLOCRAM(nbytes) +#define A_ALLOCRAM_DEBUG() +#endif + +#if SYSTEM_MODULE_ROM_PATCH + +#define A_ROMP_INIT() A_CMN(romp._romp_init()) +#define A_ROMP_DOWNLOAD(x) A_CMN(romp._romp_download(x)) +#define A_ROMP_DECODE(addr) A_CMN(romp._romp_decode(addr)) +#define A_ROMP_INSTALL() A_CMN(romp._romp_install()) +#else +#define A_ROMP_INIT() +#define A_ROMP_DOWNLOAD(x) +#define A_ROMP_DECODE(addr) +#define A_ROMP_INSTALL() +#endif + +#if SYSTEM_MODULE_WDT + +#define A_WDT_INIT() A_CMN(wdt_timer._wdt_init()) +#define A_WDT_ENABLE() A_CMN(wdt_timer._wdt_enable()) +#define A_WDT_DISABLE() A_CMN(wdt_timer._wdt_disable()) +#define A_WDT_SET(t) A_CMN(wdt_timer._wdt_set(t)) +#define A_WDT_TASK() A_CMN(wdt_timer._wdt_task()) +#define A_WDT_LASTBOOT() A_CMN(wdt_timer._wdt_last_boot()) +#define A_WDT_RESET() A_CMN(wdt_timer._wdt_reset()) + +#else +#define A_WDT_INIT() +#define A_WDT_ENABLE() +#define A_WDT_DISABLE() +#define A_WDT_SET(t) +#define A_WDT_TASK() +#define A_WDT_LASTBOOT() +#define A_WDT_RESET() +#endif + + +#if SYSTEM_MODULE_EEPROM +#define A_EEP_INIT() A_CMN(eep._eep_init()) +#define A_EEP_READ(off, len, buf) A_CMN(eep._eep_read(off, len, buf)) +#define A_EEP_WRITE(off, len, buf) A_CMN(eep._eep_write(off, len, buf)) +#define A_EEP_IS_EXIST() A_CMN(eep._eep_is_exist()) +#else +#define A_EEP_INIT() +#define A_EEP_READ(off, len, buf) +#define A_EEP_WRITE(off, len, buf) +#define A_EEP_IS_EXIST() +#endif + + + +struct _A_os_linkage_check; /* OS-dependent */ + +typedef struct _A_cmnos_indirection_table { + int (* hal_linkage_check)(int sz, struct _A_os_linkage_check *); + unsigned int *start_bss; + void (* app_start)(void); + +#if SYSTEM_MODULE_MEM + struct mem_api mem; +#endif + +#if SYSTEM_MODULE_MISC + struct misc_api misc; +#endif + +#if SYSTEM_MODULE_PRINT + struct printf_api printf; +#endif + +#if SYSTEM_MODULE_UART + struct uart_api uart; +#endif + +//#if SYSTEM_MODULE_DBG +// struct dbg_api dbg; +//#endif + +#if SYSTEM_MODULE_PCI + struct pci_api pci; +#endif + +#if SYSTEM_MODULE_GMAC + struct gmac_api gmac; +#endif + +#if SYSTEM_MODULE_USB + struct usb_api usb; +#endif + +#if SYSTEM_MODULE_CLOCK + struct clock_api clock; +#endif + +#if SYSTEM_MODULE_TIMER + struct timer_api timer; +#endif + +#if SYSTEM_MODULE_INTR + struct intr_api intr; +#endif + +#if SYSTEM_MODULE_ALLOCRAM + struct allocram_api allocram; +#endif + +#if SYSTEM_MODULE_ROM_PATCH + struct romp_api romp; +#endif + +#if SYSTEM_MODULE_WDT + struct wdt_api wdt_timer; +#endif + +#if SYSTEM_MODULE_EEPROM + struct eep_api eep; +#endif + + struct string_api string; + struct tasklet_api tasklet; + +} _A_cmnos_indirection_table_t; + +/* Module installation for cmnos modules */ + +#if SYSTEM_MODULE_MEM +extern void cmnos_mem_module_install(struct mem_api *); +#endif + +#if SYSTEM_MODULE_MISC +extern void cmnos_misc_module_install(struct misc_api *); +#endif + +#if SYSTEM_MODULE_PRINT +extern void cmnos_printf_module_install(struct printf_api *); +#endif + +#if SYSTEM_MODULE_UART +extern void cmnos_uart_module_install(struct uart_api *); +#endif + +//#if SYSTEM_MODULE_DBG +//extern void cmnos_dbg_module_install(struct dbg_api *); +//#endif + +#if SYSTEM_MODULE_USB +extern void cmnos_usb_module_install(struct usb_api *); +#endif + +#if SYSTEM_MODULE_INTR +extern void cmnos_intr_module_install(struct intr_api *); +#endif + +#if SYSTEM_MODULE_CLOCK +extern void cmnos_clock_module_install(struct clock_api *); +#endif + +#if SYSTEM_MODULE_TIMER +extern void cmnos_timer_module_install(struct timer_api *); +#endif + +#if SYSTEM_MODULE_ALLOCRAM +extern void cmnos_allocram_module_install(struct allocram_api *); +#endif + +#if SYSTEM_MODULE_ROM_PATCH +extern void cmnos_romp_module_install(struct romp_api *); +#endif + +#if SYSTEM_MODULE_WDT +extern void cmnos_wdt_module_install(struct wdt_api *); +#endif + +#if SYSTEM_MODULE_EEPROM +extern void cmnos_eep_module_install(struct eep_api *); +#endif + +#if SYSTEM_MODULE_PCI +extern void cmnos_pci_module_install(struct pci_api *); +#endif + +extern void cmnos_tasklet_module_install(struct tasklet_api *); + +extern void cmnos_string_module_install(struct string_api *tbl); + +#endif /* __CMNOS_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/cmnos/cmnos_clock.c b/target_firmware/magpie_fw_dev/target/cmnos/cmnos_clock.c new file mode 100755 index 0000000..f466d8d --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/cmnos_clock.c @@ -0,0 +1,290 @@ +#include "sys_cfg.h" + +#if SYSTEM_MODULE_CLOCK +#include "athos_api.h" + +LOCAL A_UINT32 cticks = 0; + +#define A_BAND_DEFAULT 0 // not ust now, avoiding compile error/warning, Ryan + +LOCAL int curr_band = A_BAND_DEFAULT; +LOCAL void cmnos_pll_init(void); + +/* We accept frequencies within this deviation from an expected frequency. */ +#define A_REFCLK_DEVIATION 800000 + +#define A_REFCLK_UNKNOWN SYS_CFG_REFCLK_UNKNOWN +#define A_REFCLK_10_MHZ SYS_CFG_REFCLK_10_MHZ +#define A_REFCLK_20_MHZ SYS_CFG_REFCLK_20_MHZ +#define A_REFCLK_40_MHZ SYS_CFG_REFCLK_40_MHZ + +LOCAL const struct cmnos_clock_s { + A_refclk_speed_t refclk_speed; + A_UINT32 ticks_per_sec; + // below are useless so far, ryan + A_UINT32 pll_ctrl_5ghz; + A_UINT32 pll_ctrl_24ghz; + A_UINT32 pll_settling_time; /* 50us */ +} cmnos_clocking_table[] = { + {A_REFCLK_10_MHZ, + //10485760, + 10000000, + 0x0, + 0x0, + 0x0}, + + {A_REFCLK_20_MHZ, + //20971520, + 20000000, + 0x0, + 0x0, + 0x0}, + + {A_REFCLK_40_MHZ, + //41943040, + 40000000, + 0x0, + 0x0, + 0x0}, + + {A_REFCLK_UNKNOWN, + 0, + 0x0, + 0x0, + 0x0}, +}; + + +#define CMNOS_CLOCKING_TABLE_NUM_ENTRIES \ + (sizeof(cmnos_clocking_table)/sizeof(cmnos_clocking_table[0])) + +LOCAL struct cmnos_clock_s *clock_info; + + +LOCAL void cmnos_tick(void); + +/* + * In case we have PLL initialization problems, software can arrange + * (e.g. through BMI) to skip PLL initialization, and other software + * can handle it. + */ +int cmnos_skip_pll_init = 0; +A_UINT32 pll_ctrl_setting_24ghz = 0; +A_UINT32 pll_ctrl_setting_5ghz = 0; + +/* + * Use default hardware values for clock-related registers. + * The defaults can be overridden through BMI, EJTAG, or patches. + * + * CPU clock frequencies depend on what mode we're in (2.4GHz or 5GHz): + * NB: AR6001 has a "reduced power" mode, but we don't use it. + * + * AR6001/AR6002 FPGA CPU clock is always at 40MHz + * + * AR6001 Rev 2.x supports 4 CPU speed selections: + * selector: 0 1 2 3 + * 2.4GHz: 44, 88, 141, refclk + * 5 GHz: 40, 80, 128, refclk + * + * AR6002 supports 7 CPU/SoC speed selections via CORE_CLK: + * CORE_CLK.DIV setting: 6,7 5 4 3 2 1 0 + * divisor: 16 14 12 10 8 6 4 + * 2.4GHz (pll at 352MHz): 22 25.1, 29.3, 35.2, 44, 58.7, 88 + * 5 GHz (pll at 320MHz): 20 22.9, 26.7, 32, 40, 53.3, 80 + */ + +#if defined(DISABLE_SYNC_DURING_PLL_UPDATE_WAR) +A_UINT32 cpu_clock_setting; +#endif + +//A_COMPILE_TIME_ASSERT(verify_host_interest_small_enough, +// (sizeof(struct host_interest_s) <= HOST_INTEREST_MAX_SIZE)) + +//A_COMPILE_TIME_ASSERT(verify_flash_is_present_addr, +// ((A_UINT32)&HOST_INTEREST->hi_flash_is_present) == FLASH_IS_PRESENT_TARGADDR) + + +LOCAL void +cmnos_delay_us(int us) +{ +// A_UINT32 start_time = A_RTC_REG_READ(LF_TIMER_COUNT0_ADDRESS); +// unsigned int num_LF_ticks = (us+29) / 30 + 1; /* ~30.5us per LF tick */ + //A_UINT32 ref_clk = (clock_info->ticks_per_sec)/1000/1000; + A_UINT32 ref_clk = (clock_info->ticks_per_sec) >> 20; + A_UINT32 start_time = NOW(); + unsigned int num_ticks = us*ref_clk; // system_freq == number of ticks per 1us + + while ( (NOW() - start_time) < num_ticks) { + /* busy spin */; + } +} + +/* + * Return the number of milliseconds since startup. + * For this purpose, a "millisecond" is approximated by + * 1/32 of a 32KHz clock. + */ +LOCAL A_UINT32 +cmnos_milliseconds(void) +{ + //unsigned int lowfreq_timer; + + //lowfreq_timer = A_RTC_REG_READ(LF_TIMER_COUNT0_ADDRESS); + //lowfreq_timer = NOW(); + + /* LF0 timer counts at 32KHz, so adjust to approximate Ms with >> 5. */ + //lowfreq_timer = lowfreq_timer; + + /* + * NB: We do not account for wrap, which occurs every 36 + * hours when the 32768Hz low frequency timer wraps the + * 32 bit counter. + */ + cmnos_tick(); + + return cticks; +} + + +/* Expect 40MHz on AR6001 and 26MHz on AR6002 */ +//LOCAL A_refclk_speed_t cmnos_refclk_speed; + +LOCAL A_UINT32 +cmnos_refclk_speed_get(void) +{ + return clock_info->ticks_per_sec; +} + +/* The UART is clocked at the reference clock frequency. */ +LOCAL A_UINT32 +cmnos_uart_frequency(void) +{ +#if 0 +#if defined(FPGA) + return clock_info->ticks_per_sec; +#else + return clock_info->ticks_per_sec; +#endif +#else + /* TBD */ + /* do we need keep a struct to hold the data ?*/ +#endif +} + + +/* + * Adjust any state that needs adjusting when the clock + * speed changes. + */ +LOCAL void +cmnos_sysclk_change(void) +{ + /* OS may override this function */ +} + + +LOCAL void +cmnos_clockregs_init(void) +{ + /* TBD */ + /* we might don't need this init() */ +} + +/* + * Make whatever system-level changes are needed in order to operate + * in the specified wireless band. + * + * For AR6K, we just need to set the PLL appropriately. + */ +LOCAL void +cmnos_wlan_band_set(int which_band) +{ + /* TBD */ + /* we don't have wlan need to config */ +} + +LOCAL void +cmnos_pll_init(void) +{ + /* TBD */ + /* we don't have pll now, */ +} + +LOCAL void +cmnos_clock_init(A_UINT32 ref_clk) +{ +#if 1 + unsigned int i; + + /* Look up the nearest supported frequency. */ + for (i = 0; + i < CMNOS_CLOCKING_TABLE_NUM_ENTRIES-1; + i++) + { + A_UINT32 ticks_per_sec; + + ticks_per_sec = cmnos_clocking_table[i].ticks_per_sec; + if ((ref_clk > ticks_per_sec - A_REFCLK_DEVIATION) && + (ref_clk < ticks_per_sec + A_REFCLK_DEVIATION)) + { + break; + } + } + + clock_info = (struct cmnos_clock_s *)&cmnos_clocking_table[i]; +// HOST_INTEREST->hi_clock_info = (A_UINT32)clock_info; + +#endif +} + +//////////////////////////////////////////////////////////////////////// +// software emulate ticks on millisecond based +LOCAL void +cmnos_tick(void) +{ +#if 0 + + set_ccompare0(xthal_get_ccompare(XTENSA_TIMER_0)+ONE_MSEC); + + cticks++; + +#else + static A_UINT32 last_tick = 0; + A_UINT32 current_tick = NOW(); + A_UINT32 delta_tick; + + // tick is 32 bit register, will overflow soon + if( current_tick < last_tick ) + { + delta_tick = (A_UINT32 )((0xffffffff-last_tick)+current_tick+1)/(1000); + } + else + { + delta_tick = (A_UINT32 ) (current_tick - last_tick)/(1000); + } + + if( delta_tick > 0 ) + last_tick = current_tick; + + cticks += delta_tick; +#endif +} + +//////////////////////////////////////////////////////////////////////// + +void +cmnos_clock_module_install(struct clock_api *tbl) +{ + tbl->_clock_init = cmnos_clock_init; + tbl->_clockregs_init = cmnos_clockregs_init; + tbl->_delay_us = cmnos_delay_us; + tbl->_wlan_band_set = cmnos_wlan_band_set; + tbl->_refclk_speed_get = cmnos_refclk_speed_get; + tbl->_milliseconds = cmnos_milliseconds; + tbl->_uart_frequency = cmnos_uart_frequency; + tbl->_sysclk_change = cmnos_sysclk_change; + + tbl->_clock_tick = cmnos_tick; +} +#endif /* SYSTEM_MODULE_CLOCK */ + diff --git a/target_firmware/magpie_fw_dev/target/cmnos/cmnos_printf.c b/target_firmware/magpie_fw_dev/target/cmnos/cmnos_printf.c new file mode 100755 index 0000000..1f0a55e --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/cmnos_printf.c @@ -0,0 +1,322 @@ +//####ECOSGPLCOPYRIGHTBEGIN#### +// ------------------------------------------- +// This file is part of eCos, the Embedded Configurable Operating System. +// Copyright (C) 1998, 1999, 2000, 2001, 2002 Red Hat, Inc. +// Copyright (C) 2002 Gary Thomas +// +// eCos is free software; you can redistribute it and/or modify it under +// the terms of the GNU General Public License as published by the Free +// Software Foundation; either version 2 or (at your option) any later version. +// +// eCos is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or +// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +// for more details. +// +// You should have received a copy of the GNU General Public License along +// with eCos; if not, write to the Free Software Foundation, Inc., +// 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA. +// +// As a special exception, if other files instantiate templates or use macros +// or inline functions from this file, or you compile this file and link it +// with other works to produce a work based on this file, this file does not +// by itself cause the resulting work to be covered by the GNU General Public +// License. However the source code for this file must still be made available +// in accordance with section (3) of the GNU General Public License. +// +// This exception does not invalidate any other reasons why a work based on +// this file might be covered by the GNU General Public License. +// +// Alternative licenses for eCos may be arranged by contacting Red Hat, Inc. +// at http://sources.redhat.com/ecos/ecos-license/ +// ------------------------------------------- +//####ECOSGPLCOPYRIGHTEND#### + +#include "dt_defs.h" +#include "sys_cfg.h" + +#if SYSTEM_MODULE_PRINT + +#include "athos_api.h" + +#define is_digit(c) ((c >= '0') && (c <= '9')) + +#if defined(__GNUC__) && defined(__mips__) +#define va_list __builtin_va_list +#define va_arg __builtin_va_arg +#define va_start __builtin_va_start +#define va_end __builtin_va_end +#define va_copy __builtin_va_copy +#endif + +#if defined(__XCC__) +#include "stdarg.h" +#define va_list __gnuc_va_list +#endif + +void +cmnos_write_char(char c) +{ + if (c == '\n') { + A_PUTC('\r'); + A_PUTC('\n'); + } else if (c == '\r') { + } else { + A_PUTC(c); + } +} + +void (*_putc)(char c) = cmnos_write_char; + +static int _cvt(unsigned long val, char *buf, long radix, char *digits) +{ + char temp[80]; + char *cp = temp; + int length = 0; + + if (val == 0) { + /* Special case */ + *cp++ = '0'; + } else { + while (val) { + *cp++ = digits[val % radix]; + val /= radix; + } + } + while (cp != temp) { + *buf++ = *--cp; + length++; + } + *buf = '\0'; + return (length); +} + + +static int cmnos_vprintf(void (*putc)(char c), const char *fmt, va_list ap) +{ + char buf[sizeof(long)*8]; + char c, sign, *cp=buf; + int left_prec, right_prec, zero_fill, pad, pad_on_right, + i, islong, islonglong; + long val = 0; + int res = 0, length = 0; + + while ((c = *fmt++) != '\0') { + if (c == '%') { + c = *fmt++; + left_prec = right_prec = pad_on_right = islong = islonglong = 0; + if (c == '-') { + c = *fmt++; + pad_on_right++; + } + if (c == '0') { + zero_fill = TRUE; + c = *fmt++; + } else { + zero_fill = FALSE; + } + while (is_digit(c)) { + left_prec = (left_prec * 10) + (c - '0'); + c = *fmt++; + } + if (c == '.') { + c = *fmt++; + zero_fill++; + while (is_digit(c)) { + right_prec = (right_prec * 10) + (c - '0'); + c = *fmt++; + } + } else { + right_prec = left_prec; + } + sign = '\0'; + if (c == 'l') { + // 'long' qualifier + c = *fmt++; + islong = 1; + if (c == 'l') { + // long long qualifier + c = *fmt++; + islonglong = 1; + } + } + // Fetch value [numeric descriptors only] + switch (c) { + case 'p': + islong = 1; + case 'd': + case 'D': + case 'x': + case 'X': + case 'u': + case 'U': + case 'b': + case 'B': + if (islonglong) { + val = va_arg(ap, long); + } else if (islong) { + val = (long)va_arg(ap, long); + } else{ + val = (long)va_arg(ap, int); + } + if ((c == 'd') || (c == 'D')) { + if (val < 0) { + sign = '-'; + val = -val; + } + } else { + // Mask to unsigned, sized quantity + if (islong) { + val &= (1ULL << (sizeof(long) * 8)) - 1; + } else{ + val &= (1ULL << (sizeof(int) * 8)) - 1; + } + } + break; + default: + break; + } + // Process output + switch (c) { + case 'p': // Pointer + (*putc)('0'); + (*putc)('x'); + zero_fill = TRUE; + left_prec = sizeof(unsigned long)*2; + case 'd': + case 'D': + case 'u': + case 'U': + case 'x': + case 'X': + switch (c) { + case 'd': + case 'D': + case 'u': + case 'U': + length = _cvt(val, buf, 10, "0123456789"); + break; + case 'p': + case 'x': + length = _cvt(val, buf, 16, "0123456789abcdef"); + break; + case 'X': + length = _cvt(val, buf, 16, "0123456789ABCDEF"); + break; + } + cp = buf; + break; + case 's': + case 'S': + cp = va_arg(ap, char *); + if (cp == NULL) { + cp = ""; + } + length = 0; + while (cp[length] != '\0') length++; + break; + case 'c': + case 'C': + c = va_arg(ap, int /*char*/); + (*putc)(c); + res++; + continue; + case 'b': + case 'B': + length = left_prec; + if (left_prec == 0) { + if (islonglong) + length = sizeof(long)*8; + else if (islong) + length = sizeof(long)*8; + else + length = sizeof(int)*8; + } + for (i = 0; i < length-1; i++) { + buf[i] = ((val & ((long)1< 0) { + (*putc)(c); + res++; + } + } + if (sign != '\0') { + (*putc)(sign); + res++; + } + while (length-- > 0) { + c = *cp++; + (*putc)(c); + res++; + } + if (pad_on_right) { + while (pad-- > 0) { + (*putc)(' '); + res++; + } + } + } else { + (*putc)(c); + res++; + } + } + return (res); +} + +int cmnos_printf(const char *fmt, ...) +{ + va_list ap; + int ret; + + va_start(ap, fmt); + + //if (A_SERIAL_ENABLED()) { + if (1) { + ret = cmnos_vprintf(_putc, fmt, ap); + } else { + ret = 0; + } + + va_end(ap); + + return (ret); +} + +void +cmnos_printf_init(void) +{ +} + +void cmnos_printf_module_install(struct printf_api *tbl) +{ + tbl->_printf_init = cmnos_printf_init; + tbl->_printf = cmnos_printf; +} + +#endif /* SYSTEM_MODULE_PRINT */ + diff --git a/target_firmware/magpie_fw_dev/target/cmnos/cmnos_sflash.c b/target_firmware/magpie_fw_dev/target/cmnos/cmnos_sflash.c new file mode 100755 index 0000000..8fc81fe --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/cmnos_sflash.c @@ -0,0 +1,598 @@ +#include "sys_cfg.h" +#include "athos_api.h" + +#if SYSTEM_MODULE_SFLASH + +#include "reg_defs.h" +#include "sflash_api.h" + +/******************************************* + * Definitions of module internal constant * + *******************************************/ + +/* Definitions of base address and Flash sise -> Project dependent */ +#define ZM_SPI_REGISTER_BASE SPI_REG_BASE_ADDRESS /* 0x0005B000 */ +#define ZM_SPI_FLASH_BASE SPI_FLASH_BASE /* 0x0F000000 */ +#define ZM_SPI_FLASH_MAX_ADDR SPI_FLASH_MAX_ADDR /* 0x0FFFFFFF */ +#define ZM_SPI_FLASH_MAX_SIZE SPI_FLASH_MAX_SIZE /* 0x01000000 */ + +/* + * Base address of Clock and Reset Control Registers is 0x00050000 + * Offset of Clock Control Register is 0x40 + * SPI_SEL (bit 8) : Switch the function of I/O pin 19~22 between GPIO and SPI. + * 0 -> act as GPIO5~8; + * 1 -> act as SPI pins. + */ +#define ZM_SPI_CLK_CTRL_ADDR 0x00050040 +#define ZM_SPI_SPI_SEL_BIT 0x100 + +/* Definitions of Serial Flash constants -> According to standard or vendor dependent */ +#define ZM_SFLASH_PAGE_SIZE 256 + +/* Definitions of OP Code -> According to standard or vendor dependent */ +#define ZM_SFLASH_OP_READ 0x03 /* Read Data Bytes */ +#define ZM_SFLASH_OP_FAST_READ 0x0B /* Read Data Bytes at Higher Speed */ +/* + * For MXIC, sector erase : Command 0x20, size 4K bytes + * block erase : Command 0xD8, size 64K bytes + * chip earse : command 0x60 or 0xC7 + * For Spansion, sector erase : Command 0x20 or 0xD8, size 64K bytes (For 64 KB sector devices, either command is valid and performs the same function.) + * block erase : Command 0xD8, size 256K bytes + * chip earse : command 0x60 or 0xC7, Uniform 64 KB Sector Product (For 64 KB sector devices, either command is valid and performs the same function.) + * 0xC7, Uniform 256 KB Sector Product + */ +#define ZM_SFLASH_OP_SE 0x20 /* Sector Erase */ +#define ZM_SFLASH_OP_BE 0xD8 /* Block Erase */ +#define ZM_SFLASH_OP_CE 0xC7 /* Chip Erase */ +#define ZM_SFLASH_OP_PP 0x02 /* Page Program */ +#define ZM_SFLASH_OP_RDSR 0x05 /* Read from Status Register */ +#define ZM_SFLASH_OP_WRSR 0x01 /* Write to Status Register */ +#define ZM_SFLASH_OP_WREN 0x06 /* Write Enable */ +#define ZM_SFLASH_OP_WRDI 0x04 /* Write Disable */ +#define ZM_SFLASH_OP_RDID 0x9F /* Read Identification */ +#define ZM_SFLASH_OP_DP 0xB9 /* Deep Power Down */ +#define ZM_SFLASH_OP_RES 0xAB /* Release from Deep Power Down, Release from Deep Power Down and Read Electronic Signature */ + +/* Definitions of Status Register -> According to standard or vendor dependent */ +/* Write in progress bit + * 1 = Device Busy. A Write Status Register, program, or erase operation is in progress + * 0 = Ready. Device is in standby mode and can accept commands. + */ +#define ZM_SFLASH_STATUS_REG_WIP (1<<0) +/* Write enable latch bit + * 1 = Device accepts Write Status Register, program, or erase commands + * 0 = Ignores Write Status Register, program, or erase commands + */ +#define ZM_SFLASH_STATUS_REG_WEL (1<<1) +/* Status register write disable bit + * 1 = Protects when WP#/ACC is low + * 0 = No protection, even when WP#/ACC is low + */ +#define ZM_SFLASH_STATUS_REG_SRWD (1<<7) + +/* Definitions of SPI Flash Controller -> SPI Flash Controller dependent */ +/* SPI Flash Controller used in K2 project is part of Falcon's "Driver Support Logic" (DSL) block */ +/* + * Offset Register + * ====== ========================================================== + * 0x0000 SPI control/status register (SPI_CS) + * 0x0004 SPI address/opcode register (SPI_AO) + * 0x0008 SPI data register (SPI_D) + */ + +/* + * SPI control/status register (SPI_CS) + * Access: R/W + * Cold reset: (See field descriptions) + * Warm reset: (Same as cold reset) + * Notes: + * + * 3:0 - Transmit byte count. Determines the number of bytes + * transmitted from Falcon to the SPI device. Values of 1-8 are + * valid; other values are illegal. See the 'Notes' section below + * for details on how to use this field. Resets to an undefined + * value. + * 7:4 - Receive byte count. Determines the number of bytes received + * from the SPI device into Falcon. Values of 0-8 are valid; + * other values are illegal. See the 'Notes' section below for + * details on how to use this field. Resets to an undefined + * value. + * 8 - SPI transaction start. Only writes to this field are + * meaningful; reads always return 0. Resets to 0x0. For writes: + * * A write of '1' starts the SPI transaction defined by the + * transmit byte count, receive byte count, SPI_AO, and SPI_D + * registers. + * * A write of '0' has no effect + * 9 - SPI chip select 1 enable. Resets to 0x0. See bug 12540. + * 0 - SP0 is enabled and SP1 is forced inactive. + * 1 - SP1 is enabled and SP0 is forced inactive. + * 15:10 - Reserved + * 16 - Transaction busy indication. Read-only; writes to this bit are + * ignored. Resets to 0x0. + * 0 - No SPI transaction is ongoing. Software may start a new + * SPI transaction by writing to the 'SPI transaction start' + * bit within this register. + * 1 - An SPI transaction presently is underway. Software must + * not try to start a new SPI transaction, nor may software + * alter the value of any field of the SPI_CS, SPI_AO, or + * SPI_D registers. + * 18:17 - Automatically-determined SPI address size. Read-only; writes + * to this bit are ignored. Resets to an undefined value, but + * then is updated after the autosizing process completes. + * 0 - SPI address size was determined to be 16 bits + * 1 - SPI address size was determined to be 24 bits + * 2 - Reserved + * 3 - Automatic SPI address size determination failed. Typical + * causes of this result: + * * The SPI device is missing + * * The SPI device is unprogrammed + * * The SPI device is programmed with an incorrect + * SPI_MAGIC value + * 20:19 - SPI autosize override. Resets to 0x0. + * 0 - Use automatically-determined SPI address size (see bits + * [18:17] of this register) + * 1 - Force SPI address size to 16 bits + * 2 - Force SPI address size to 24 bits + * 3 - Reserved + * 31:21 - Reserved + */ + +#define SPI_CS_ADDRESS MAGPIE_REG_SPI_CS_ADDR //(ZM_SPI_REGISTER_BASE + 0x00000000) +/* 3:0 - Transmit byte count, values of 1-8 are valid */ +#define SPI_CS_TXBCNT_MSB 3 +#define SPI_CS_TXBCNT_LSB 0 +#define SPI_CS_TXBCNT_MASK 0x0000000f +#define SPI_CS_TXBCNT_GET(x) (((x) & SPI_CS_TXBCNT_MASK) >> SPI_CS_TXBCNT_LSB) +#define SPI_CS_TXBCNT_SET(x) (((0x0 | (x)) << SPI_CS_TXBCNT_LSB) & SPI_CS_TXBCNT_MASK) + +/* 7:4 - Receive byte count, values of 1-8 are valid */ +#define SPI_CS_RXBCNT_MSB 7 +#define SPI_CS_RXBCNT_LSB 4 +#define SPI_CS_RXBCNT_MASK 0x000000f0 +#define SPI_CS_RXBCNT_GET(x) (((x) & SPI_CS_RXBCNT_MASK) >> SPI_CS_RXBCNT_LSB) +#define SPI_CS_RXBCNT_SET(x) (((0x0 | (x)) << SPI_CS_RXBCNT_LSB) & SPI_CS_RXBCNT_MASK) + +/* 8 - SPI transaction start */ +#define SPI_CS_XCNSTART_MSB 8 +#define SPI_CS_XCNSTART_LSB 8 +#define SPI_CS_XCNSTART_MASK 0x00000100 +#define SPI_CS_XCNSTART_GET(x) 0x0 +#define SPI_CS_XCNSTART_SET(x) (((0x0 | (x)) << SPI_CS_XCNSTART_LSB) & SPI_CS_XCNSTART_MASK) +#define SPI_CS_XCNSTART_RESET 0x0 + +/* 9 - SPI chip select */ +#define SPI_CS_CS_MSB 9 +#define SPI_CS_CS_LSB 9 +#define SPI_CS_CS_MASK 0x00000200 +#define SPI_CS_CS_GET(x) (((x) & SPI_CS_CS_MASK) >> SPI_CS_CS_LSB) +#define SPI_CS_CS_SET(x) (((0x0 | (x)) << SPI_CS_CS_LSB) & SPI_CS_CS_MASK) +#define SPI_CS_CS_RESET 0x0 + +/* 16 - Transaction busy indication */ +#define SPI_CS_BUSY_MSB 16 +#define SPI_CS_BUSY_LSB 16 +#define SPI_CS_BUSY_MASK 0x00010000 +#define SPI_CS_BUSY_GET(x) (((x) & SPI_CS_BUSY_MASK) >> SPI_CS_BUSY_LSB) +#define SPI_CS_BUSY_SET(x) (((0x0 | (x)) << SPI_CS_BUSY_LSB) & SPI_CS_BUSY_MASK) +#define SPI_CS_BUSY_RESET 0x0 + +/* 18:17 - Automatically-determined SPI address size */ +#define SPI_CS_AUTOSIZ_MSB 18 +#define SPI_CS_AUTOSIZ_LSB 17 +#define SPI_CS_AUTOSIZ_MASK 0x00060000 +#define SPI_CS_AUTOSIZ_GET(x) (((x) & SPI_CS_AUTOSIZ_MASK) >> SPI_CS_AUTOSIZ_LSB) +#define SPI_CS_AUTOSIZ_SET(x) (((0x0 | (x)) << SPI_CS_AUTOSIZ_LSB) & SPI_CS_AUTOSIZ_MASK) + +/* 20:19 - SPI autosize override */ +#define SPI_CS_AUTOSIZ_OVR_MSB 20 +#define SPI_CS_AUTOSIZ_OVR_LSB 19 +#define SPI_CS_AUTOSIZ_OVR_MASK 0x00180000 +#define SPI_CS_AUTOSIZ_OVR_GET(x) (((x) & SPI_CS_AUTOSIZ_OVR_MASK) >> SPI_CS_AUTOSIZ_OVR_LSB) +#define SPI_CS_AUTOSIZ_OVR_SET(x) (((0x0 | (x)) << SPI_CS_AUTOSIZ_OVR_LSB) & SPI_CS_AUTOSIZ_OVR_MASK) +#define SPI_CS_AUTOSIZ_OVR_RESET 0x0 + +#define SPI_CS_RESET (0x0 | \ + SPI_CS_AUTOSIZ_OVR_SET(SPI_CS_AUTOSIZ_OVR_RESET) | \ + SPI_CS_BUSY_SET(SPI_CS_BUSY_RESET) | \ + SPI_CS_CS_SET(SPI_CS_CS_RESET) | \ + SPI_CS_XCNSTART_SET(SPI_CS_XCNSTART_RESET)) + +/* + * SPI address/opcode register (SPI_AO) + * Access: R/W + * Cold reset: (See field descriptions) + * Warm reset: (Same as cold reset) + * Notes: + * + * 7:0 - SPI opcode. Usually this field specifies the 8-bit opcode + * (aka "instruction") to transmit to the SPI device as the first + * part of an SPI transaction. See the 'Notes' section below for + * more details. Resets to an undefined value. + * 31:8 - Address. Usually this field specifies the 24-bit address to + * transmit to the SPI device. See the 'Notes' section below for + * more details. Resets to an undefined value. + */ + +#define SPI_AO_ADDRESS MAGPIE_REG_SPI_AO_ADDR //(ZM_SPI_REGISTER_BASE + 0x00000004) +/* 7:0 - SPI opcode */ +#define SPI_AO_OPC_MSB 7 +#define SPI_AO_OPC_LSB 0 +#define SPI_AO_OPC_MASK 0x000000ff +#define SPI_AO_OPC_GET(x) (((x) & SPI_AO_OPC_MASK) >> SPI_AO_OPC_LSB) +#define SPI_AO_OPC_SET(x) (((0x0 | (x)) << SPI_AO_OPC_LSB) & SPI_AO_OPC_MASK) +/* 31:8 - Address */ +#define SPI_AO_ADDR_MSB 31 +#define SPI_AO_ADDR_LSB 8 +#define SPI_AO_ADDR_MASK 0xffffff00 +#define SPI_AO_ADDR_GET(x) (((x) & SPI_AO_ADDR_MASK) >> SPI_AO_ADDR_LSB) +#define SPI_AO_ADDR_SET(x) (((0x0 | (x)) << SPI_AO_ADDR_LSB)& SPI_AO_ADDR_MASK) + +/* + * SPI data register (SPI_D) + * Access: R/W + * Cold reset: (See field descriptions) + * Warm reset: (Same as cold reset) + * Notes: + * + * 31:0 - SPI data. Usually this register specifies a series of up to + * four data bytes to transmit to or receive from the SPI device. + * See the 'Notes' section below for more details. Resets to an + * undefined value. + */ + +#define SPI_D_ADDRESS MAGPIE_REG_SPI_D_ADDR //(ZM_SPI_REGISTER_BASE + 0x00000008) +/* 31:0 - SPI data */ +#define SPI_D_DATA_MSB 31 +#define SPI_D_DATA_LSB 0 +#define SPI_D_DATA_MASK 0xffffffff +#define SPI_D_DATA_GET(x) (((x) & SPI_D_DATA_MASK) >> SPI_D_DATA_LSB) +#define SPI_D_DATA_SET(x) (((0x0 | (x)) << SPI_D_DATA_LSB) & SPI_D_DATA_MASK) + +/* + * SPI clock division register (SPI_CLKDIV) + * Access: R/W + * Cold reset: (See field descriptions) + * Warm reset: (Same as cold reset) + * Notes: + * + * 17:16 - 0b00(fastest), 0b01, 0b10, 0b11(slowest) + */ +#define SPI_CLKDIV_ADDRESS MAGPIE_REG_SPI_CLKDIV_ADDR //SPI_BASE_ADDRESS + 0x0000001c +#define SPI_CLKDIV_MSB 17 +#define SPI_CLKDIV_LSB 16 +#define SPI_CLKDIV_MASK 0x00030000 +#define SPI_CLKDIV_GET(x) (((x) & SPI_CLKDIV_MASK) >> SPI_CLKDIV_LSB) +#define SPI_CLKDIV_SET(x) (((0x0 | (x)) << SPI_CLKDIV_LSB) & SPI_CLKDIV_MASK) // read-then-write +#define SPI_CLKDIV_RESET 0x3 + +/* + * Notes + * ----- + * * Background + * An SPI transaction consists of three phases: an opcode transmit + * phase (always a single byte), followed by an optional address + * transmit phase of 0-3 bytes, followed by an optional data transmit + * or receive phase of 0-4 bytes. + * + * Combined, then, an SPI transaction consists of a 1- to 8-byte + * transmit phase from Falcon to the SPI device, followed by a 0- to + * 8-byte receive phase from the SPI device into Falcon. + * + * The 'transmit byte count' field in the SPI_CS register controls the + * size (number of bytes) of the transmit phase. The source of each + * of the bytes transmitted is fixed: + * + * Byte Source + * ---- ----------------------------------------------------------- + * 0 SPI_AO[7:0] (the 'SPI opcode' field) + * 1 SPI_AO[31:24] (the high byte of the 'SPI address' field) + * 2 SPI_AO[23:16] (the middle byte of the 'SPI address' field) + * 3 SPI_AO[15:8] (the low byte of the 'SPI address' field) + * 4 SPI_D[7:0] (the low byte of the 'SPI data' register) + * 5 SPI_D[15:8] (the next byte of the 'SPI data' register) + * 6 SPI_D[23:16] (the next byte of the 'SPI data' register) + * 7 SPI_D[31:24] (the high byte of the 'SPI data' register) + * + * + * The 'receive byte count' field in the SPI_CS register controls the + * size (number of bytes) of the receive phase. The destination of + * each of the bytes received is fixed: + * + * Byte Destination + * ---- ----------------------------------------------------------- + * 0 SPI_D[7:0] (the low byte of the 'SPI data' register) + * 1 SPI_D[15:8] (the next byte of the 'SPI data' register) + * 2 SPI_D[23:16] (the next byte of the 'SPI data' register) + * 3 SPI_D[31:24] (the high byte of the 'SPI data' register) + * 4 SPI_AO[7:0] (the 'SPI opcode' field) + * 5 SPI_AO[15:8] (the low byte of the 'SPI address' field) + * 6 SPI_AO[23:16] (the middle byte of the 'SPI address' field) + * 7 SPI_AO[31:24] (the high byte of the 'SPI address' field) + * + * + * * To perform an SPI transaction: + * Write the appropriate values into the SPI_AO and SPI_D registers + * * Write the appropriate values into the 'transmit byte count' and + * 'received byte count' fields of the SPI_CS register. + * * Write a '1' to the 'SPI transaction start' bit of the SPI_CS + * register (this step can be combined with the one above if desired + * so that only a single SPI_CS write is needed). + * * Poll the 'transaction busy indication' bit in the SPI_CS register + * until it is clear, indicating that the SPI transaction has + * completed. + * * If the transaction included a receive phase, then retrieve the + * received data by reading the appropriate bytes from the SPI_D and + * SPI_AO registers. + * + * + * * Examples: + * * A "write disable" (WRDI) transaction: + * * Opcode (SPI_AO[7:0]): 0x04 (for STMicro; varies by + * manufacturer and device type) + * * Address (SPI_AO[31:8]): don't care (not used) + * * Data (SPI_D[31:0]): don't care (not used) + * * Transmission byte count: 1 + * * Receive byte count: 0 + * + * * A "read status register" (RDSR) transaction: + * * Opcode (SPI_AO[7:0]): 0x05 (for STMicro; varies by + * manufacturer and device type) + * * Address (SPI_AO[31:8]): don't care (not used) + * * Data (SPI_D[31:0]): don't care (not used) + * * Transmission byte count: 1 + * * Receive byte count: 1 + * * Read SPI_D[7:0] to retrieve status register value + * + * * A "page program" (PP) transaction to write a value of 0xdeadbeef + * to address 0x123456: + * * Opcode (SPI_AO[7:0]): 0x02 (for STMicro; varies by + * manufacturer and device type) + * * Address (SPI_AO[31:8]): 0x123456 + * * Data (SPI_D[31:0]): 0xdeadbeef + * * Transmission byte count: 8 + * * Receive byte count: 0 + */ + +/* Wait till Transaction busy indication bit in SPI control/status register of Falcon's SPI Flash Controller is clear */ +LOCAL void +_cmnos_sflash_WaitTillTransactionOver(void) +{ + A_UINT32 poldata; + A_UINT32 flg; + + do + { + poldata = HAL_WORD_REG_READ(SPI_CS_ADDRESS); + + flg = SPI_CS_BUSY_GET(poldata); + } while (flg != 0x0); +} + +/* Wait till Write In Progress bit in Status Register of Serial Flash is clear */ +LOCAL void +_cmnos_sflash_WaitTillNotWriteInProcess(void) +{ + A_UINT32 poldata; + A_UINT32 flg; + + do + { + _cmnos_sflash_WaitTillTransactionOver(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(ZM_SFLASH_OP_RDSR) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(1) | SPI_CS_RXBCNT_SET(1) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); + + poldata = HAL_WORD_REG_READ(SPI_D_ADDRESS); + flg = poldata & ZM_SFLASH_STATUS_REG_WIP; + + } while (flg != 0x0); +} + +/************************************************************************/ +/* Function to Send WREN(Write Enable) Operation */ +/************************************************************************/ +LOCAL void +_cmnos_sflash_WriteEnable() +{ + _cmnos_sflash_WaitTillNotWriteInProcess(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(ZM_SFLASH_OP_WREN) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(1) | SPI_CS_RXBCNT_SET(0) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); +} + +/************************************************************************/ +/* Function to Initialize SPI Flash Controller */ +/************************************************************************/ +LOCAL void +cmnos_sflash_init(void) +{ + /* Switch the function of I/O pin 19~22 to act as SPI pins */ + HAL_WORD_REG_WRITE( MAGPIE_REG_CLOCK_CTRL_ADDR, HAL_WORD_REG_READ(MAGPIE_REG_CLOCK_CTRL_ADDR)|BIT8 ); + + /* "Autosize-determination of the address size of serial flash" is obsolete according to Brian Yang's mail : + * The designers reached an conclusion that the spi master (the apb_spi interface control) will be + * modified as ¡§presuming the attached flash model to be 24-bit addressing¡¨, i.e., no more + * auto-size detection! + * Hence you are free to force the 24-bit addressing in the *.c test code. + */ + + /* Force SPI address size to 24 bits */ + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_AUTOSIZ_OVR_SET(2) ); +} + +/************************************************************************/ +/* Function to Send Sector/Block/Chip Erase Operation */ +/************************************************************************/ +LOCAL void +cmnos_sflash_erase(A_UINT32 erase_type, A_UINT32 addr) +{ + A_UINT32 erase_opcode; + A_UINT32 tx_len; + + if (erase_type == ZM_SFLASH_SECTOR_ERASE) + { + erase_opcode = ZM_SFLASH_OP_SE; + tx_len = 4; + } + else if (erase_type == ZM_SFLASH_BLOCK_ERASE) + { + erase_opcode = ZM_SFLASH_OP_BE; + tx_len = 4; + } + else + { + erase_opcode = ZM_SFLASH_OP_CE; + tx_len = 1; + } + + _cmnos_sflash_WriteEnable(); + _cmnos_sflash_WaitTillNotWriteInProcess(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(erase_opcode) | SPI_AO_ADDR_SET(addr) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(tx_len) | SPI_CS_RXBCNT_SET(0) | SPI_CS_XCNSTART_SET(1) ); + +#if 0 + /* Do not wait(let it be completed in background) */ + _cmnos_sflash_WaitTillTransactionOver(); +#else + /* Wait till completion */ + _cmnos_sflash_WaitTillNotWriteInProcess(); /* Chip Erase takes 80 - 200 seconds to complete */ +#endif +} + +/************************************************************************/ +/* Function to Perform Page Program Operation */ +/* Notes: */ +/* Serial Flash has the following characteristics : */ +/* 1) In datasheet, 1-256 data bytes can be sent at a time, but */ +/* Falcon supports only 4 bytes at a time. */ +/* 2) If the eight least significant address bits(A7-A0) are not */ +/* all 0, all transmitted data which goes beyond the end of the */ +/* current page are programmed from the start address in the */ +/* same page. */ +/* This API hides the complexity of the above. */ +/************************************************************************/ +LOCAL void +cmnos_sflash_program(A_UINT32 addr, A_UINT32 len, A_UINT8 *buf) +{ + A_UINT32 s_addr, e_addr; + A_UINT32 reminder, write_byte; + A_UINT32 data_offset; + A_UINT32 next_page_base; + A_UINT32 t_word_data; + + e_addr = addr + len; + for (s_addr = addr; s_addr < e_addr; ) + { + next_page_base = (s_addr - s_addr%ZM_SFLASH_PAGE_SIZE) + ZM_SFLASH_PAGE_SIZE; + + reminder = e_addr - s_addr; + + write_byte = next_page_base - s_addr; + + if (write_byte >= 4) + write_byte = 4; + + if (write_byte > reminder) + write_byte = reminder; + + data_offset = s_addr - addr; + + A_MEMCPY(&t_word_data, buf + data_offset, write_byte); + + _cmnos_sflash_WriteEnable(); + _cmnos_sflash_WaitTillNotWriteInProcess(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(ZM_SFLASH_OP_PP) | SPI_AO_ADDR_SET(s_addr) ); + HAL_WORD_REG_WRITE( SPI_D_ADDRESS, SPI_D_DATA_SET(t_word_data) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(4 + write_byte) | SPI_CS_RXBCNT_SET(0) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); + + s_addr += write_byte; + } +} + +/************************************************************************/ +/* Function to Send Read/Fast Read Data Operation */ +/************************************************************************/ +LOCAL void +cmnos_sflash_read(A_UINT32 fast, A_UINT32 addr, A_UINT32 len, A_UINT8 *buf) +{ + A_UINT32 read_opcode; + A_UINT32 i; + A_UINT32 read_cnt, remainder; + A_UINT32 write_byte, read_byte; + + if (fast) + { + read_opcode = ZM_SFLASH_OP_FAST_READ; + write_byte = 5; + } + else + { + read_opcode = ZM_SFLASH_OP_READ; + write_byte = 4; + } + + read_cnt = len/4; + remainder = len%4; + if (remainder) + read_cnt++; + + read_byte = 4; + for (i = 0; i < read_cnt; i ++) + { + if (i == read_cnt-1 && remainder) + read_byte = remainder; + + _cmnos_sflash_WaitTillNotWriteInProcess(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(read_opcode) | SPI_AO_ADDR_SET(addr + i*4) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(write_byte) | SPI_CS_RXBCNT_SET(read_byte) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); + + A_MEMCPY(buf + i*4, (A_UINT8 *)(SPI_D_ADDRESS), read_byte); + } +} + +/************************************************************************/ +/* Function to Read Flash Status Register */ +/************************************************************************/ +LOCAL A_UINT32 +cmnos_sflash_rdsr(void) +{ + A_UINT32 word_data; + + _cmnos_sflash_WaitTillTransactionOver(); + + HAL_WORD_REG_WRITE( SPI_AO_ADDRESS, SPI_AO_OPC_SET(ZM_SFLASH_OP_RDSR) ); + HAL_WORD_REG_WRITE( SPI_CS_ADDRESS, SPI_CS_TXBCNT_SET(1) | SPI_CS_RXBCNT_SET(1) | SPI_CS_XCNSTART_SET(1) ); + + _cmnos_sflash_WaitTillTransactionOver(); + + word_data = HAL_WORD_REG_READ(SPI_D_ADDRESS) & 0x000000FF; + + return word_data; +} + +void +cmnos_sflash_module_install(struct sflash_api *tbl) +{ + /* Indispensable functions */ + tbl->_sflash_init = cmnos_sflash_init; + tbl->_sflash_erase = cmnos_sflash_erase; + tbl->_sflash_program = cmnos_sflash_program; + tbl->_sflash_read = cmnos_sflash_read; + + /* Dispensable functions */ + tbl->_sflash_rdsr = cmnos_sflash_rdsr; +} + +#endif /* SYSTEM_MODULE_SFLASH */ + diff --git a/target_firmware/magpie_fw_dev/target/cmnos/dbg_api.c b/target_firmware/magpie_fw_dev/target/cmnos/dbg_api.c new file mode 100755 index 0000000..0662a82 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/dbg_api.c @@ -0,0 +1,1159 @@ +#include "sys_cfg.h" +#include "athos_api.h" + +#if defined(PROJECT_K2) +#if SYSTEM_MODULE_SFLASH +#include "sflash_api.h" +#endif +#endif /* #if defined(PROJECT_K2) */ + +#if defined(SYSTEM_MODULE_DBG) + +/* Function prototypes */ +int db_help_cmd(char *, char*, char*, char*); +int db_ldr_cmd(char*, char*, char*, char*); +int db_str_cmd(char*, char*, char*, char*); +int db_dump_memory(char* cmd, char* param1, char* param2, char* param3); +int db_info_cmd(char*, char*, char*, char*); +int db_cmd_dbg(char*, char*, char*, char*); +int db_usb_cmd(char*, char*, char*, char*); +int db_intr_cmd(char*, char*, char*, char*); +int db_patch_cmd(char*, char*, char*, char*); + +int db_cmd_memtest(char* cmd, char* param1, char* param2, char* param3); +int db_cmd_dmips(char* cmd, char* param1, char* param2, char* param3); +int db_cmd_starthtc(char* cmd, char* param1, char* param2, char* param3); + +int db_eeprom_cmd(char* cmd, char* param1, char* param2, char* param3); +int db_wdt_cmd(char* cmd, char* param1, char* param2, char* param3); + +#if defined(PROJECT_K2) +#if SYSTEM_MODULE_SFLASH +int db_cmd_sferase(char* cmd, char* param1, char* param2, char* param3); +int db_cmd_sfpg(char* cmd, char* param1, char* param2, char* param3); +int db_cmd_sfru(char* cmd, char* param1, char* param2, char* param3); +int db_cmd_sfrm(char* cmd, char* param1, char* param2, char* param3); +int db_cmd_sfrdsr(char* cmd, char* param1, char* param2, char* param3); +#endif +#endif /* #if defined(PROJECT_K2) */ +int db_cmd_memcmp(char* cmd, char* param1, char* param2, char* param3); +int db_cmd_memdump(char* cmd, char* param1, char* param2, char* param3); + +int db_clock_cmd(char* cmd, char* param1, char* param2, char* param3); + +uint16_t db_get_cmd_line(uint8_t ch, char *cmd_line, uint16_t* i); +int db_formalize_command(char*, char*); +int db_ascii_to_hex(char*, unsigned long*); +int db_hex_to_ascii(unsigned long, char*); +void zfDebugTask(void); + +int db_info_intr(char* cmd, char* param1, char* param2, char* param3); + +extern u32_t this_is_global_variables; + +/* Console debug command table */ +const struct DB_COMMAND_STRUCT command_table[] = +{ + {"HELP", ", List all debug commands", db_help_cmd}, + {"?", ", Equal to HELP comamnd", db_help_cmd}, + + /* Basic load/store/dump command */ + {"LDR", ", Load word", db_ldr_cmd}, + {"LDRH", ", Load half word", db_ldr_cmd}, + {"LDRB", ", Load byte", db_ldr_cmd}, + {"STR", " , Store word", db_str_cmd}, + {"STRH", " , Store half word", db_str_cmd}, + {"STRB", " , Store byte", db_str_cmd}, + {"DUMP", ", Dump memory", db_dump_memory}, + {"INFO", ", Print debug information", db_info_cmd}, + {"USB", ", usb releated command", db_usb_cmd}, + {"INTR", ", intr releated command", db_intr_cmd}, + {"PATCH", ", patch function releated command", db_patch_cmd}, + {"DBG", ", mute all print msg", db_cmd_dbg}, + {"CLOCK", ", change the clock...", db_clock_cmd}, + {"MEMTEST", " test memory", db_cmd_memtest}, + {"HTCR", "Issue HTC ready to host", db_cmd_starthtc}, + {"EEP", ", eeprom r/w debug command", db_eeprom_cmd}, + {"WDT", ", wdt debug command", db_wdt_cmd}, +#if defined(PROJECT_K2) +#if SYSTEM_MODULE_SFLASH + {"SFE", ", S/B/C, SPI Flash chip erase", db_cmd_sferase}, + {"SFPG", " , SPI Flash program", db_cmd_sfpg}, + {"SFRU", "f/r , SPI Flash fast read/read to UART", db_cmd_sfru}, + {"SFRM", "f/r , SPI Flash fast read/read to Memory 0x520000", db_cmd_sfrm}, + {"SFRDSR", ", SPI Flash status register read", db_cmd_sfrdsr}, +#endif +#endif /* #if defined(PROJECT_K2) */ + {"MEMCMP", " , memory comparison", db_cmd_memcmp}, + {"MEMDMP", " , memory dump", db_cmd_memdump}, + {"", "", 0} + /* {Command, Help description, function} */ +}; + +char cmd_buffer[COMMAND_BUFFER_SIZE][DB_MAX_COMMAND_LENGTH]; /* Backup previous command */ +int cmd_buf_ptr; +int cmd_buf_full; +char raw_cmd[DB_MAX_COMMAND_LENGTH]; +char cmd_str[DB_MAX_COMMAND_LENGTH*4]; +int cmd_not_found; +uint16_t gvLen; +int pressed_time; + +////////////////////////////////////////////////// +#define MAX_REG_NUM 16 + +typedef struct reg_elem { + unsigned char valid; + unsigned char mode; // byte, half-word word + unsigned long reg_addr; +} t_reg_elem; + +t_reg_elem reg_buffer[MAX_REG_NUM]; + +////////////////////////////////////////////////// + +void zfDebugInit(void) +{ + uint8_t ch; + + /* Purge Rx FIFO */ + while ((zm_get_char(&ch)) != 0) + { + } + + cmd_buf_ptr = 0; + cmd_buf_full = FALSE; + gvLen = 0; + pressed_time = 0; +} + +void zfDebugTask(void) +{ + int i; + uint8_t ch; + + if ((zm_get_char(&ch)) == 0) + { + return; + } + + if (db_get_cmd_line(ch, raw_cmd, &gvLen) == 0) + { + return; + } + + if (db_formalize_command(raw_cmd, cmd_str)) + { + gvLen = 0; + i = 0; + + cmd_not_found = TRUE; + while(command_table[i].cmd_func) + { + if (!strcmp(command_table[i].cmd_str, cmd_str)) + { + cmd_not_found = FALSE; + command_table[i].cmd_func(cmd_str, + cmd_str+DB_MAX_COMMAND_LENGTH, + cmd_str+DB_MAX_COMMAND_LENGTH*2, + cmd_str+DB_MAX_COMMAND_LENGTH*3); + break; + } + i++; + } + if (cmd_not_found) + { + zm_uart_send("Error, HELP for command list.\n\r", 31); + } + + } + + zm_uart_send(">", 1); + return; +} + +uint16_t db_get_cmd_line(uint8_t ch, char *cmd_line, uint16_t* i) +{ + int cmd_buf_loc; + + switch (ch) + { + case '\\' : /* Last command */ + pressed_time++; + if (pressed_time >= COMMAND_BUFFER_SIZE) + { + pressed_time--; + } + cmd_buf_loc = cmd_buf_ptr - pressed_time; + if (cmd_buf_loc < 0) + { + if (cmd_buf_full == TRUE) + { + cmd_buf_loc += COMMAND_BUFFER_SIZE; + } + else + { + cmd_buf_loc = 0; + } + } + + if(strlen(cmd_buffer[cmd_buf_loc]) != 0) + { + strcpy(cmd_line, cmd_buffer[cmd_buf_loc]); + *i = strlen(cmd_buffer[cmd_buf_loc]); + zm_uart_send("\r>", 2); + zm_uart_send(cmd_line, *i); + } + break; + case 13 : /* Return */ + pressed_time = 0; + cmd_line[*i] = 0; + zm_uart_send("\n\r", 2); + if (*i != 0) + { + //Filter duplicated string in command history + if (strcmp(cmd_buffer[(cmd_buf_ptr==0)?(COMMAND_BUFFER_SIZE-1):(cmd_buf_ptr-1)], cmd_line) != 0) + { + strcpy(cmd_buffer[cmd_buf_ptr++], cmd_line); + } + } + if (cmd_buf_ptr >= COMMAND_BUFFER_SIZE) + { + cmd_buf_ptr = 0; + cmd_buf_full = TRUE; + } + return 1; + case '\b' : /* Backspace */ + pressed_time = 0; + if (*i > 0) + { + *i = *i-1; + zm_uart_send("\b \b", 3); + } + break; + case 0 : //None + break; + default : + if ((ch >= ' ') && (ch <= '~')) + { + pressed_time = 0; + if (*i < DB_MAX_COMMAND_LENGTH-2) + { + if ((ch >= 0x11) && (ch <= 0x7e)) + { + //if ((buf <= 'z') && (buf >= 'a')) + //{ + // buf -= 'a' - 'A'; + //} + cmd_line[*i] = ch; + *i = *i + 1; + zm_uart_send(&ch, 1); + } + } + } + else + { + ch = 7; /* Beep */ + zm_uart_send(&ch, 1); + } + break; + } /* end of switch */ + + return 0; + +} + +int db_formalize_command(char* raw_str, char* cmd_str) +{ + int i = 0; + int j; + int k; + + + for (k=0; k<4; k++) + { + /* Remove preceeding spaces */ + while (raw_str[i++] == ' '){} + i--; + + /* Copy command string */ + j = 0; + while(raw_str[i] && (raw_str[i] != ' ')) + { + if (k == 0) + { + if ((raw_str[i] <= 'z') && (raw_str[i] >= 'a')) + { + raw_str[i] -= 'a' - 'A'; + } + cmd_str[k*DB_MAX_COMMAND_LENGTH + j++] = raw_str[i++]; + } + else + { + cmd_str[k*DB_MAX_COMMAND_LENGTH + j++] = raw_str[i++]; + } + } + cmd_str[k*DB_MAX_COMMAND_LENGTH + j] = 0; + } + return (int)strlen(cmd_str); +} + +int db_ascii_to_hex(char* num_str, unsigned long* hex_num) +{ + int i = 0; + + *hex_num = 0; + while (num_str[i]) + { + if ((num_str[i] >= '0') && (num_str[i] <= '9')) + { + *hex_num <<= 4; + *hex_num += (num_str[i] - '0'); + } + else if ((num_str[i] >= 'A') && (num_str[i] <= 'F')) + { + *hex_num <<= 4; + *hex_num += (num_str[i] - 'A' + 10); + } + else if ((num_str[i] >= 'a') && (num_str[i] <= 'f')) + { + *hex_num <<= 4; + *hex_num += (num_str[i] - 'a' + 10); + } + else + { + return -1; + } + i++; + } + return 0; +} + +int db_ascii_to_int(char* num_str, unsigned long* int_num) +{ + int i = 0; + + *int_num = 0; + while (num_str[i]) + { + if ((num_str[i] >= '0') && (num_str[i] <= '9')) + { + *int_num *= 10; + *int_num += (num_str[i] - '0'); + } + else + { + return -1; + } + i++; + } + return 0; +} + +int db_hex_to_ascii(unsigned long hex_num, char* num_str) +{ + int i; + unsigned long four_bits; + + for (i=7; i>=0; i--) + { + four_bits = (hex_num >> i*4) & 0xf; + if (four_bits < 10) + { + num_str[7-i] = four_bits + '0'; + } + else + { + num_str[7-i] = four_bits - 10 + 'A'; + } + } + num_str[8] = 0; + return 0; +} + +int db_help_cmd(char* cmd, char* param1, char* param2, char* param3) +{ + int i; + + i = 0; + + zm_uart_send(ATH_DEBUGGER_VERSION_STR, strlen(ATH_DEBUGGER_VERSION_STR)); + zm_uart_send(ATH_COMMAND_LIST_STR, strlen(ATH_COMMAND_LIST_STR)); + + while (command_table[i].cmd_func) + { + zm_uart_send(command_table[i].cmd_str, strlen(command_table[i].cmd_str)); + zm_uart_send("\t", 1); + zm_uart_send(command_table[i].help_str, strlen(command_table[i].help_str)); + zm_uart_send("\n\r", 2); + i++; + } + return i; +} + +int db_ldr_cmd(char* cmd, char* param1, char* param2, char* param3) +{ + unsigned long val; + unsigned long addr; + char val_str[20]; + char addr_str[20]; + + if (db_ascii_to_hex(param1, &addr) != -1) + { + if( addr == 0 ) + { + zm_uart_send("Error! bad address 0x%08x.\n\r", (unsigned long)addr); + return -1; + } + if (strcmp(cmd, "LDR") == 0) + { + addr &= 0xfffffffc; + //val = *(unsigned long *)addr; + + val = HAL_WORD_REG_READ(addr); + } + else if (strcmp(cmd, "LDRH") == 0) + { + addr &= 0xfffffffe; + val = HAL_HALF_WORD_REG_READ(addr); + } + else if (strcmp(cmd, "LDRB") == 0) + { + } + + db_hex_to_ascii(val, val_str); + db_hex_to_ascii(addr, addr_str); + + zm_uart_send(addr_str, strlen(addr_str)); + zm_uart_send(" : ", 3); + zm_uart_send(val_str, strlen(val_str)); + zm_uart_send("\n\r", 2); + + return 0; + } + else + { + zm_uart_send("Error! Incorrect format.\n\r", 26); + + return -1; + } +} + +int db_str_cmd(char* cmd, char* param1, char* param2, char* param3) +{ + unsigned long val; + unsigned long addr; + char val_str[20]; + char addr_str[20]; + + if ((strlen(param2) > 0) && + (db_ascii_to_hex(param1, &addr) != -1) && + (db_ascii_to_hex(param2, &val) != -1)) + { + if (strcmp(cmd, "STR") == 0) + { + addr &= 0xfffffffc; + //HAL_WORD_REG_WRITE(addr, val); + HAL_WORD_REG_WRITE(addr, val); + //*(volatile unsigned long *)(addr & 0xfffffffc) = (unsigned long)val; + } + + else if (strcmp(cmd, "STRH") == 0) + { + addr &= 0xfffffffe; + //*(volatile unsigned short *)(addr & 0xfffffffe) = (unsigned short)val; + HAL_HALF_WORD_REG_WRITE(addr, val); + } + else if (strcmp(cmd, "STRB") == 0) + { + if( addr & 0x00f00000 ) + HAL_BYTE_REG_WRITE(addr, val); + else + HAL_BYTE_REG_WRITE(addr^3, val); + //*(volatile unsigned char *)addr = (unsigned char)val; + } + + db_hex_to_ascii(val, val_str); + db_hex_to_ascii(addr, addr_str); + + zm_uart_send(addr_str, strlen(addr_str)); + zm_uart_send(" : ", 3); + zm_uart_send(val_str, strlen(val_str)); + zm_uart_send("\n\r", 2); + + return 0; + } + else + { + zm_uart_send("Error! Incorrect format.\n\r", 26); + + return -1; + } +} + +// macro extension the address to dump the memory +#define FOUR_BYTE_HEX_DUMP(addr) (" %02x %02x %02x %02x", \ + *(uint8_t*)((addr)+3), *(uint8_t*)((addr)+2), \ + *(uint8_t*)((addr)+1), *(uint8_t*)((addr))) + + +int db_dump_memory(char* cmd, char* param1, char* param2, char* param3) +{ + unsigned long addr; + unsigned long length; + unsigned long ptrAddr; + int i; + + if (db_ascii_to_hex(param1, &addr) != -1 && + (db_ascii_to_int(param2, &length) != -1)) + { + // if no length, default is 128 bytes to dump + if( length == 0 ) + length = 128; + addr &= 0xfffffffc; + + A_PRINTF("length: %d\n\r", length); + + //zm_uart_send(" 7 6 5 4 3 2 1 0\n\r", 28); + A_PRINTF(" 15 14 13 12 11 10 09 08 07 06 05 04 03 02 01 00\n\r"); + A_PRINTF("------------------------------------------------------------\n\r"); + for (i=0; i=0 && data <=10 ) + delay = data; + else + delay = 3; + + A_PRINTF("==>set cb to %d seconds \n\r", delay); + } + + } + else + { + A_PRINTF("\tintr read - read the interrenable status\n\r"); + A_PRINTF("\tintr timer on/off/tick - timer attach on/off/ticks\n\r"); + + } + +#endif //#if SYSTEM_MODULE_INTR + return 0; +} + +uint32_t usb_swap_flag = 0; //default +uint32_t usb_swap_flag_changed = 0; +int db_usb_cmd(char* cmd, char* param1, char* param2, char* param3) +{ + A_PRINTF("THIS IS USB COMMAND\n\r"); + + if( strcmp(param1, "que") == 0 ) + { + HIFusb_DescTraceDump(); + } + else + { + A_PRINTF("\tusb que - dump descriptor queue\n\r"); + A_PRINTF("\tusb fw on/off - enable/disable write fw download to ram\n\r"); + + } + return 0; +} + +static void clk_change(uint32_t clk, uint32_t ratio, uint32_t baud) +{ + uint32_t clk_sel = 0; + + switch(clk){ + case 22: + clk_sel = 0; + break; + case 88: + clk_sel = 1; + break; + case 44: + clk_sel = 2; + break; + case 117: + clk_sel = 4; + break; + case 40: + clk_sel = 6; + break; + default: + clk_sel = 6; + break; + } + + HAL_WORD_REG_WRITE(0x50040, (0x300|clk_sel|(ratio>>1)<<12)); + A_UART_HWINIT((clk*1000*1000)/ratio, baud); + +} + +int db_clock_cmd(char* cmd, char* param1, char* param2, char* param3) +{ + uint32_t ratio = 1; + uint32_t baud = 19200; + uint32_t clk = 0; + + if( db_ascii_to_int(param1, &clk) != -1 ) + { + A_PRINTF("changing clock to %d\n", clk); + clk_change(clk, ratio, baud); + } +} + +int db_info_cmd(char* cmd, char* param1, char* param2, char* param3) +{ +#if 1 + + if(strcmp(param1, "ram") == 0 ) + { + A_ALLOCRAM_DEBUG(); + } +#if SYSTEM_MODULE_SYS_MONITOR + else if(strcmp(param1, "cpu") == 0) + zfPrintCpuUtilization(); +#endif + else // defalut dump + HIFusb_DescTraceDump(); + + return 1; + +#else + + { + uint32_t ccount1; + uint32_t ccount2; + + uint32_t data; + register uint32_t data1; + if( db_ascii_to_hex(param1, &data1)==0 ) + { + __asm__ __volatile__ ( + "rsr %0, ccount" + : "=a" (ccount1) : : "memory" + ); + data = *(volatile uint32_t *)(data1); + __asm__ __volatile__ ( + "rsr %0, ccount" + : "=a" (ccount2) : : "memory" + ); + A_PRINTF("\n\rread 0x%08x (0x%08x) use %d clocks\n\r", data1, data, ccount2-ccount1); + } + + __asm__ __volatile__ ( + "rsr %0, ccount" + : "=a" (ccount1) : : "memory" + ); + data = *(volatile uint32_t *)(data1); + __asm__ __volatile__ ( + "rsr %0, ccount" + : "=a" (ccount2) : : "memory" + ); + A_PRINTF("\n\rread 0x%08x (0x%08x) use %d clocks\n\r", data1, data, ccount2-ccount1); + + + __asm__ __volatile__ ( + "rsr %0, ccount" + : "=a" (ccount1) : : "memory" + ); + data = *(volatile uint32_t *)(data2); + __asm__ __volatile__ ( + "rsr %0, ccount" + : "=a" (ccount2) : : "memory" + ); + A_PRINTF("read 0x%08x (0x%08x) use %d clocks\n\r", data2, data, ccount2-ccount1); + + + __asm__ __volatile__ ( + "rsr %0, ccount" + : "=a" (ccount1) : : "memory" + ); + data = *(volatile uint32_t *)(data3); + __asm__ __volatile__ ( + "rsr %0, ccount" + : "=a" (ccount2) : : "memory" + ); + A_PRINTF("read 0x%08x (0x%08x) use %d clocks\n\r", data3, data, ccount2-ccount1); + + } +#endif + return 1; +} + +int db_cmd_dbg(char* cmd, char* param1, char* param2, char* param3) +{ +} + +int db_cmd_dmips(char* cmd, char* param1, char* param2, char* param3) +{ + +} + +int db_cmd_starthtc(char* cmd, char* param1, char* param2, char* param3) +{ + extern htc_handle_t htc_handle; + HTC_Ready(htc_handle); +} + +int db_cmd_memtest(char* cmd, char* param1, char* param2, char* param3) +{ +} + + +void eep_test() +{ +} + +#define WRITE_USB_DESC(pDesc, Offset) \ + { \ + uint16_t *pSrc = 0; \ + uint16_t mSize = 0; \ + pSrc = (uint16_t *)(pDesc); \ + mSize = (*pSrc&0xff)/2; \ + A_PRINTF("0x%04x, 0x%04x, 0x%08x\n", Offset, mSize, pSrc); \ + A_EEP_WRITE(Offset, mSize, pSrc); \ + A_DELAY_USECS(500); \ + } + +#define READ_USB_DESC(pDesc, Offset, Size) \ + { \ + uint16_t *pDst; \ + uint16_t mSize; \ + pDst = (uint16_t *)pDesc; \ + A_EEP_READ(Offset, 1, &mSize); \ + mSize = mSize &0xff; \ + mSize = mSize/2; \ + if( mSize > Size) \ + mSize = Size; \ + A_PRINTF("0x%04x, 0x%04x, 0x%08x\n", Offset, mSize, pDst); \ + A_EEP_READ(Offset, mSize, pDst); \ + A_DELAY_USECS(500); \ + } + + +//////////////////////////////////////////////////////////////////////////////////////////////// + +extern uint16_t UsbDeviceDescriptor[]; +extern uint16_t String00Descriptor[]; +extern uint16_t String10Descriptor[]; +extern uint16_t String20Descriptor[]; +extern uint16_t String30Descriptor[]; + +int db_eeprom_cmd(char* cmd, char* param1, char* param2, char* param3) +{ + +} + +int db_wdt_cmd(char* cmd, char* param1, char* param2, char* param3) +{ + if ( strcmp(param1, "rst") == 0 ) + { + A_PRINTF(" reseting.....................\n\n\r"); + A_WDT_RESET(); + } + else if( strcmp(param1, "on") == 0 ) + { + A_WDT_ENABLE(); + } + else if (strcmp(param1, "off") == 0 ) + { + A_WDT_DISABLE(); + } + else if ( strcmp(param1, "boot") == 0 ) + { + if (ENUM_WDT_BOOT == A_WDT_LASTBOOT() ) + A_PRINTF("LAST BOOT IS %s", "wdt"); + else + A_PRINTF("LAST BOOT IS %s", "normal boot"); + } + else if (strcmp(param1, "loop") == 0 ) + { + T_WDT_CMD wdt_cmd; + uint32_t time_offset; + A_PRINTF(" doing the wdt reseting................\n\n\r"); + + if( db_ascii_to_hex(param2, &time_offset)!=0 ) + { + if( time_offset < 0 || time_offset >0xffffffff ) + time_offset = 0xffffff; + } + A_PRINTF(" doing the wdt reseting (wdt tick: 0x%08x................\n\n\r", time_offset); + wdt_cmd.cmd = WDT_TIMEOUT; + wdt_cmd.timeout = time_offset; + + A_WDT_SET(wdt_cmd); + while(1) ; + } + else if (strcmp(param1, "noloop") == 0 ) + { + T_WDT_CMD wdt_cmd; + uint32_t time_offset; + A_PRINTF(" doing the wdt reseting................\n\n\r"); + + if( db_ascii_to_hex(param3, &time_offset)!=0 ) + { + if( time_offset < 0 || time_offset >0xffffffff ) + time_offset = 0xffffff; + } + A_PRINTF(" doing the wdt reseting (wdt tick: 0x%08x................\n\n\r", time_offset); + + wdt_cmd.cmd = WDT_TIMEOUT; + wdt_cmd.timeout = time_offset; + + A_WDT_SET(wdt_cmd); + } + else if( strcmp(param1, "event") == 0 ) + { + uint32_t event= 0x00123400; +#define USB_BYTE_REG_WRITE(addr, val) HAL_BYTE_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr^3), (val)) +#define USB_BYTE_REG_READ(addr) HAL_BYTE_REG_READ(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr^3)) + +#define USB_WORD_REG_WRITE(addr, val) HAL_WORD_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint32_t)(addr), (val)) +#define USB_WORD_REG_READ(addr) HAL_WORD_REG_READ(USB_CTRL_BASE_ADDRESS|(uint32_t)(addr)) + + // disable ep3 intr + USB_BYTE_REG_WRITE(0x17, USB_BYTE_REG_READ(0x17)|0xc0); + + //ZM_CBUS_FIFO_SIZE_REG = 0xf; + USB_WORD_REG_WRITE(0x100, 0x0f); + + //ZM_EP3_DATA_REG = event; + USB_WORD_REG_WRITE(0xF8, event); + + // tx done + USB_BYTE_REG_WRITE(0xAE, USB_BYTE_REG_READ(0xAE)|0x08); + + // enable ep3 intr + USB_BYTE_REG_WRITE(0x17, USB_BYTE_REG_READ(0x17)&0xbf); + } +} + +#if defined(PROJECT_K2) +#if SYSTEM_MODULE_SFLASH +/* Serial Flash -> Chip Erase, Sector Erase, Block Erase */ +int db_cmd_sferase(char* cmd, char* param1, char* param2, char* param3) +{ + unsigned long addr; + + if (strcmp(param1, "s") == 0) + { + if (db_ascii_to_hex(param2, &addr) != -1 && addr < SPI_FLASH_MAX_SIZE) + { + /* Sector size is 4K (0x1000) */ + A_PRINTF("Sector addr : 0x%08X\n\r", addr - addr%0x1000); + A_SFLASH_ERASE(ZM_SFLASH_SECTOR_ERASE, addr); + + return 0; + } + else + { + A_PRINTF("Error! Incorrect format.\n\r"); + return -1; + } + } + else if (strcmp(param2, "b") == 0) + { + if (db_ascii_to_hex(param2, &addr) != -1 && addr < SPI_FLASH_MAX_SIZE) + { + /* Sector size is 64K (0x10000) */ + A_PRINTF("Block addr : 0x%08X\n\r", addr - addr%0x10000); + A_SFLASH_ERASE(ZM_SFLASH_BLOCK_ERASE, addr); + + return 0; + } + else + { + A_PRINTF("Error! Incorrect format.\n\r"); + return -1; + } + } + else if (strcmp(param1, "c") == 0) + { + A_SFLASH_ERASE(ZM_SFLASH_CHIP_ERASE, addr); + + A_PRINTF("\n\r"); + return 0; + } + else + { + A_PRINTF("Error! Unknown command.\n\r"); + return -1; + } +} + +/* Serial Flash -> Program */ +int db_cmd_sfpg(char* cmd, char* param1, char* param2, char* param3) +{ + unsigned long addr, len, buf; + + if (db_ascii_to_hex(param1, &addr) != -1 && + db_ascii_to_hex(param2, &len) != -1 && + db_ascii_to_hex(param3, &buf) != -1 && + ((addr+len) <= SPI_FLASH_MAX_SIZE) && + addr%4 == 0 && len%4 == 0 && buf%4 == 0 && + ((buf >=0x500000 && buf < 0x528000) || (buf >=0x4e0000 && buf < 0x4e6000)) ) + { + A_SFLASH_PROG(addr, len, (A_UINT8 *)buf); + + A_PRINTF("\n\r"); + return 0; + } + else + { + A_PRINTF("Error! Incorrect format.\n\r"); + return -1; + } +} + +/* Serial Flash -> Read, Fast Read to UART */ +int db_cmd_sfru(char* cmd, char* param1, char* param2, char* param3) +{ + A_UINT32 i; + unsigned long addr1, addr2, t_addr; + A_UINT32 fast, val; + + if (strcmp(param1, "r") == 0) + fast = 0; + else if (strcmp(param1, "f") == 0) + fast = 1; + else + { + A_PRINTF("Error! Unknown command.\n\r"); + return -1; + } + + if (db_ascii_to_hex(param2, &addr1) != -1 && + db_ascii_to_hex(param3, &addr2) != -1 && + addr1 < addr2 && addr1 < SPI_FLASH_MAX_SIZE && + addr2 < SPI_FLASH_MAX_SIZE && addr1%4 == 0) + { + A_PRINTF("addr data data data data data data data data\n\r"); + A_PRINTF("====== ======== ======== ======== ======== ======== ======== ======== ========"); + + for (i = 0, t_addr = addr1; t_addr < addr2; i++, t_addr += 4) + { + if ((i%8) == 0) + A_PRINTF("\n\r%06X ", t_addr); + + A_SFLASH_READ(fast, t_addr, 4, (A_UINT8 *)&val); + A_PRINTF("%08X ", val); + } + + A_PRINTF("\n\r"); + return 0; + } + else + { + A_PRINTF("Error! Incorrect format.\n\r"); + return -1; + } +} + +/* Serial Flash -> Read, Fast Read to Memory */ +int db_cmd_sfrm(char* cmd, char* param1, char* param2, char* param3) +{ + A_UINT32 i; + unsigned long addr1, addr2, t_addr; + A_UINT32 fast; + A_UINT8 *buf = (A_UINT8 *)0x520000; + + if (strcmp(param1, "r") == 0) + fast = 0; + else if (strcmp(param1, "f") == 0) + fast = 1; + else + { + A_PRINTF("Error! Unknown command.\n\r"); + return -1; + } + + if (db_ascii_to_hex(param2, &addr1) != -1 && + db_ascii_to_hex(param3, &addr2) != -1 && + addr1 < addr2 && addr1 < SPI_FLASH_MAX_SIZE && + addr2 < SPI_FLASH_MAX_SIZE && addr1%4 == 0) + { + for (i = 0, t_addr = addr1; t_addr < addr2; i++, t_addr += 4) + { + A_SFLASH_READ(fast, t_addr, 4, buf + i*4); + } + + A_PRINTF("\n\r"); + return 0; + } + else + { + A_PRINTF("Error! Incorrect format.\n\r"); + return -1; + } +} + +/* Serial Flash -> Read Status Register */ +int db_cmd_sfrdsr(char* cmd, char* param1, char* param2, char* param3) +{ + A_PRINTF("0x%02X\n\r", A_SFLASH_RDSR()); + return 0; +} +#endif +#endif /* #if defined(PROJECT_K2) */ + +/* Memory Comparison */ +int db_cmd_memcmp(char* cmd, char* param1, char* param2, char* param3) +{ + unsigned long addr1, addr2, len; + A_UINT8 *buf1, *buf2; + + if (db_ascii_to_hex(param1, &addr1) != -1 && + db_ascii_to_hex(param2, &addr2) != -1 && + db_ascii_to_hex(param3, &len) != -1 && + addr1 != addr2 && addr1%4 == 0 && addr2%4 == 0 && len%4 == 0) + { + buf1 = (A_UINT8 *)addr1; + buf2 = (A_UINT8 *)addr2; ; + + A_PRINTF("memcmp(buf1, buf2, len) = %d\n\r", A_MEMCMP(buf1, buf2, len)); + return 0; + } + else + { + A_PRINTF("Error! Incorrect format.\n\r"); + return -1; + } +} + +/* Memory Dump */ +int db_cmd_memdump(char* cmd, char* param1, char* param2, char* param3) +{ + A_UINT32 i; + unsigned long addr1, addr2, t_addr; + A_UINT32 *val; + + if (db_ascii_to_hex(param1, &addr1) != -1 && db_ascii_to_hex(param2, &addr2) != -1 && addr1 < addr2 && addr1%4 == 0) + { + A_PRINTF("addr data data data data data data data data\n\r"); + A_PRINTF("====== ======== ======== ======== ======== ======== ======== ======== ========"); + + for (i = 0, t_addr = addr1; t_addr < addr2; i++, t_addr += 4) + { + if ((i%8) == 0) + A_PRINTF("\n\r%06X ", t_addr); + + val = (A_UINT32 *)t_addr; + A_PRINTF("%08X ", *val); + } + + A_PRINTF("\n\r"); + return 0; + } + else + { + A_PRINTF("Error! Incorrect format.\n\r"); + return -1; + } +} +void cmnos_dbg_module_install(struct dbg_api *apis) +{ + apis->_dbg_init = zfDebugInit; + apis->_dbg_task = zfDebugTask; +} + +#endif /* SYSTEM_MODULE_DBG */ + diff --git a/target_firmware/magpie_fw_dev/target/cmnos/dbg_api.h b/target_firmware/magpie_fw_dev/target/cmnos/dbg_api.h new file mode 100755 index 0000000..8d877b2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/dbg_api.h @@ -0,0 +1,43 @@ +/************************************************************************/ +/* */ +/* FILE DESCRIPTION */ +/* */ +/* This file contains console debug command table structure and */ +/* relative defination. */ +/* */ +/* ROUTINES */ +/* */ +/* None */ +/* */ +/* NOTES */ +/* */ +/* None */ +/* */ +/************************************************************************/ +#ifndef _DB_DEFS_H +#define _DB_DEFS_H + +#define ATH_DEBUGGER_VERSION_STR "Magpie Debugger: "ATH_VERSION_STR +#define ATH_COMMAND_LIST_STR "\n\r===Command Listing===\n\r" + +#define DB_MAX_COMMAND_LENGTH 40 /* Maximum command line length */ +#define COMMAND_BUFFER_SIZE 6 /* Maximum command buffer size */ + +struct DB_COMMAND_STRUCT +{ + char* cmd_str; /* Command string */ + char* help_str; /* Help description */ + int (*cmd_func)(char *, char*, char*, char*); /* Command function */ +}; + +#define zm_uart_send(x, y) A_PUTS(x) +#define zm_get_char(x) A_GETC(x) + +/******** hardware API table structure (API descriptions below) *************/ +struct dbg_api { + void (*_dbg_init)(void); + void (*_dbg_task)(void); +}; + + +#endif diff --git a/target_firmware/magpie_fw_dev/target/cmnos/k2_cmnos_clock_patch.c b/target_firmware/magpie_fw_dev/target/cmnos/k2_cmnos_clock_patch.c new file mode 100755 index 0000000..0e08535 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/k2_cmnos_clock_patch.c @@ -0,0 +1,60 @@ +#include "athos_api.h" +#include "sys_cfg.h" + +a_uint32_t ref_clk = 0; +extern a_uint32_t cticks; + +// clock change +// +void cmnos_clock_init_patch(a_uint32_t refclk) +{ + ref_clk = refclk; +} + +// retrieve current clock setting +// +a_uint32_t cmnos_refclk_speed_get_patch(void) +{ + return ref_clk; +} + + +// software emulate delay function +// +void cmnos_delay_us_patch(int us) +{ + a_uint32_t start_time = NOW(); + unsigned int num_ticks = us*ref_clk; // system_freq == number of ticks per 1us + + while ( (NOW() - start_time) < num_ticks) { + /* busy spin */ + ; + } +} + + +// software emulate microsecond ticks +// +void cmnos_tick_patch(void) +{ + static a_uint32_t last_tick = 0; + a_uint32_t current_tick = NOW(); + a_uint32_t delta_tick; + + delta_tick = (A_UINT32 ) (current_tick - last_tick)/(ref_clk<<10); + + if( delta_tick > 0 ) + last_tick = current_tick; + + cticks += delta_tick; +} + +// get current sysmem up time in milliseconds based +// +a_uint32_t cmnos_milliseconds_patch(void) +{ + cmnos_tick_patch(); + + return (cticks); +} + diff --git a/target_firmware/magpie_fw_dev/target/cmnos/k2_fw_cmnos_printf.c b/target_firmware/magpie_fw_dev/target/cmnos/k2_fw_cmnos_printf.c new file mode 100755 index 0000000..32dc65e --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/k2_fw_cmnos_printf.c @@ -0,0 +1,316 @@ +//####ECOSGPLCOPYRIGHTBEGIN#### +// ------------------------------------------- +// This file is part of eCos, the Embedded Configurable Operating System. +// Copyright (C) 1998, 1999, 2000, 2001, 2002 Red Hat, Inc. +// Copyright (C) 2002 Gary Thomas +// +// eCos is free software; you can redistribute it and/or modify it under +// the terms of the GNU General Public License as published by the Free +// Software Foundation; either version 2 or (at your option) any later version. +// +// eCos is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or +// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +// for more details. +// +// You should have received a copy of the GNU General Public License along +// with eCos; if not, write to the Free Software Foundation, Inc., +// 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA. +// +// As a special exception, if other files instantiate templates or use macros +// or inline functions from this file, or you compile this file and link it +// with other works to produce a work based on this file, this file does not +// by itself cause the resulting work to be covered by the GNU General Public +// License. However the source code for this file must still be made available +// in accordance with section (3) of the GNU General Public License. +// +// This exception does not invalidate any other reasons why a work based on +// this file might be covered by the GNU General Public License. +// +// Alternative licenses for eCos may be arranged by contacting Red Hat, Inc. +// at http://sources.redhat.com/ecos/ecos-license/ +// ------------------------------------------- +//####ECOSGPLCOPYRIGHTEND#### + + +#include "sys_cfg.h" + +#include "dt_defs.h" + +#if SYSTEM_MODULE_PRINT + +#if MOVE_PRINT_TO_RAM + +#include "athos_api.h" + +#define is_digit(c) ((c >= '0') && (c <= '9')) + +#if defined(__GNUC__) && defined(__mips__) +#define va_list __builtin_va_list +#define va_arg __builtin_va_arg +#define va_start __builtin_va_start +#define va_end __builtin_va_end +#define va_copy __builtin_va_copy +#endif + +#include + +LOCAL void +cmnos_write_char(char c) +{ + if (c == '\n') { + A_PUTC('\r'); + A_PUTC('\n'); + } else if (c == '\r') { + } else { + A_PUTC(c); + } +} + +LOCAL void +(*_putc)(char c) = cmnos_write_char; + +LOCAL int +_cvt(unsigned long val, char *buf, long radix, char *digits) +{ + char temp[80]; + char *cp = temp; + int length = 0; + + if (val == 0) { + /* Special case */ + *cp++ = '0'; + } else { + while (val) { + *cp++ = digits[val % radix]; + val /= radix; + } + } + while (cp != temp) { + *buf++ = *--cp; + length++; + } + *buf = '\0'; + return (length); +} + + +LOCAL +int cmnos_vprintf(void (*putc)(char c), const char *fmt, va_list ap) +{ + char buf[sizeof(long)*8]; + char c, sign, *cp=buf; + int left_prec, right_prec, zero_fill, pad, pad_on_right, + i, islong, islonglong; + long val = 0; + int res = 0, length = 0; + + while ((c = *fmt++) != '\0') { + if (c == '%') { + c = *fmt++; + left_prec = right_prec = pad_on_right = islong = islonglong = 0; + if (c == '-') { + c = *fmt++; + pad_on_right++; + } + if (c == '0') { + zero_fill = TRUE; + c = *fmt++; + } else { + zero_fill = FALSE; + } + while (is_digit(c)) { + left_prec = (left_prec * 10) + (c - '0'); + c = *fmt++; + } + if (c == '.') { + c = *fmt++; + zero_fill++; + while (is_digit(c)) { + right_prec = (right_prec * 10) + (c - '0'); + c = *fmt++; + } + } else { + right_prec = left_prec; + } + sign = '\0'; + if (c == 'l') { + // 'long' qualifier + c = *fmt++; + islong = 1; + if (c == 'l') { + // long long qualifier + c = *fmt++; + islonglong = 1; + } + } + // Fetch value [numeric descriptors only] + switch (c) { + case 'p': + islong = 1; + case 'd': + case 'D': + case 'x': + case 'X': + case 'u': + case 'U': + case 'b': + case 'B': + if (islonglong) { + val = va_arg(ap, long); + } else if (islong) { + val = (long)va_arg(ap, long); + } else{ + val = (long)va_arg(ap, int); + } + if ((c == 'd') || (c == 'D')) { + if (val < 0) { + sign = '-'; + val = -val; + } + } else { + // Mask to unsigned, sized quantity + if (islong) { + val &= (1ULL << (sizeof(long) * 8)) - 1; + } else{ + val &= (1ULL << (sizeof(int) * 8)) - 1; + } + } + break; + default: + break; + } + // Process output + switch (c) { + case 'p': // Pointer + (*putc)('0'); + (*putc)('x'); + zero_fill = TRUE; + left_prec = sizeof(unsigned long)*2; + case 'd': + case 'D': + case 'u': + case 'U': + case 'x': + case 'X': + switch (c) { + case 'd': + case 'D': + case 'u': + case 'U': + length = _cvt(val, buf, 10, "0123456789"); + break; + case 'p': + case 'x': + length = _cvt(val, buf, 16, "0123456789abcdef"); + break; + case 'X': + length = _cvt(val, buf, 16, "0123456789ABCDEF"); + break; + } + cp = buf; + break; + case 's': + case 'S': + cp = va_arg(ap, char *); + if (cp == NULL) { + cp = ""; + } + length = 0; + while (cp[length] != '\0') length++; + break; + case 'c': + case 'C': + c = va_arg(ap, int /*char*/); + (*putc)(c); + res++; + continue; + case 'b': + case 'B': + length = left_prec; + if (left_prec == 0) { + if (islonglong) + length = sizeof(long)*8; + else if (islong) + length = sizeof(long)*8; + else + length = sizeof(int)*8; + } + for (i = 0; i < length-1; i++) { + buf[i] = ((val & ((long)1< 0) { + (*putc)(c); + res++; + } + } + if (sign != '\0') { + (*putc)(sign); + res++; + } + while (length-- > 0) { + c = *cp++; + (*putc)(c); + res++; + } + if (pad_on_right) { + while (pad-- > 0) { + (*putc)(' '); + res++; + } + } + } else { + (*putc)(c); + res++; + } + } + return (res); +} + +int +fw_cmnos_printf(const char *fmt, ...) +{ + va_list ap; + int ret; + + va_start(ap, fmt); + + //if (A_SERIAL_ENABLED()) { + if (1) { + ret = cmnos_vprintf(_putc, fmt, ap); + } else { + ret = 0; + } + + va_end(ap); + return (ret); +} + +#endif /* MOVE_PRINT_TO_RAM */ + +#endif /* SYSTEM_MODULE_PRINT */ diff --git a/target_firmware/magpie_fw_dev/target/cmnos/printf_api.h b/target_firmware/magpie_fw_dev/target/cmnos/printf_api.h new file mode 100755 index 0000000..afcb837 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/printf_api.h @@ -0,0 +1,15 @@ +#ifndef __PRINTF_API_H__ +#define __PRINTF_API_H__ + +struct printf_api { + void (* _printf_init)(void); + int (* _printf)(const char * fmt, ...); +}; + +/* NB: The printf module requires the serial module. */ +void cmnos_printf_module_install(struct printf_api *tbl); +int cmnos_printf(const char *fmt, ...); + + + +#endif /* __PRINTF_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/cmnos/sflash_api.h b/target_firmware/magpie_fw_dev/target/cmnos/sflash_api.h new file mode 100755 index 0000000..e6d21a8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/cmnos/sflash_api.h @@ -0,0 +1,24 @@ +#ifndef __SFLASH_API_H__ +#define __SFLASH_API_H__ + +/* Constant definition */ +#define ZM_SFLASH_SECTOR_ERASE 1 +#define ZM_SFLASH_BLOCK_ERASE 2 +#define ZM_SFLASH_CHIP_ERASE 0 + +/*!- interface of eeprom access + * + */ +struct sflash_api { + /* Indispensable functions */ + void (* _sflash_init)(void); + void (* _sflash_erase)(A_UINT32 erase_type, A_UINT32 addr); /* 1. erase_type : chip/block/sector, 2. addr : no use for chip erase */ + void (* _sflash_program)(A_UINT32 addr, A_UINT32 len, A_UINT8 *buf); /* 1. addr : spi flash address(start from 0x0), 2. len : bite number to write , 3. *buf : source memory address */ + void (* _sflash_read)(A_UINT32 fast, A_UINT32 addr, A_UINT32 len, A_UINT8 *buf); /* 1. fast : 1 for fast read, 0 for read, 2. addr : spi flash address(start from 0x0), 3. len : bite number to read , 3. *buf : destination memory address */ + + /* Dispensable functions */ + A_UINT32 (* _sflash_rdsr)(void); /* return the value of status register */ +}; + +#endif /* __SFLASH_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/target/hif/k2_HIF_usb_patch.c b/target_firmware/magpie_fw_dev/target/hif/k2_HIF_usb_patch.c new file mode 100755 index 0000000..2cbf40e --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/hif/k2_HIF_usb_patch.c @@ -0,0 +1,37 @@ +#include "sys_cfg.h" +#include "dt_defs.h" +#include "reg_defs.h" + +#include +#include +#include +#include +#include +#include + +#include "hif_usb.h" + +/* + * -- support more than 64 bytes command on ep4 -- + */ +int _HIFusb_get_max_msg_len_patch(hif_handle_t handle, int pipe) +{ + switch(pipe) { + case HIF_USB_PIPE_INTERRUPT: + case HIF_USB_PIPE_COMMAND: + return 512; + + default: + return 1600; + } +} + +/* + * -- move the usb_task to here -- + */ +void _HIFusb_isr_handler_patch(hif_handle_t h) +{ + A_USB_FW_TASK(); + + _HIFusb_isr_handler(); +} diff --git a/target_firmware/magpie_fw_dev/target/hif/k2_fw_usb_api.c b/target_firmware/magpie_fw_dev/target/hif/k2_fw_usb_api.c new file mode 100755 index 0000000..c9f15e4 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/hif/k2_fw_usb_api.c @@ -0,0 +1,744 @@ +#include "usb_defs.h" +#include "usb_type.h" +#include "usb_pre.h" +#include "usb_extr.h" +#include "usb_std.h" +#include "reg_defs.h" +#include "athos_api.h" +#include "usbfifo_api.h" + + +#include "sys_cfg.h" + +typedef void (* USBFIFO_recv_command)(VBUF *cmd); +void _fw_usb_suspend_reboot(); + +extern Action eUsbCxFinishAction; +extern CommandType eUsbCxCommand; +extern BOOLEAN UsbChirpFinish; +extern USB_FIFO_CONFIG usbFifoConf; + +USBFIFO_recv_command m_origUsbfifoRecvCmd = NULL; + +#if SYSTEM_MODULE_USB +#define vUsb_ep0end(void) \ +{ \ + eUsbCxCommand = CMD_VOID; \ + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x01); \ +} + +#define vUsb_ep0fail(void) USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x04) + +#define vUsb_rst() \ +{ \ + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&~BIT1)); \ + UsbChirpFinish = FALSE; \ +} + +#define vUsb_suspend() USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&~BIT2)) + +#define vUsb_resm() USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_OFFSET, \ + (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&~BIT3)) + +void _fw_usbfifo_recv_command(VBUF *buf) +{ + A_UINT8 *cmd_data; + A_UINT32 tmp; + + cmd_data = (A_UINT8 *)(buf->desc_list->buf_addr + buf->desc_list->data_offset); + tmp = *((A_UINT32 *)cmd_data); + if ( tmp == 0xFFFFFFFF ) { + _fw_usb_suspend_reboot(); + } else { + m_origUsbfifoRecvCmd(buf); + } +} + +void _fw_usbfifo_init(USB_FIFO_CONFIG *pConfig) +{ + m_origUsbfifoRecvCmd = pConfig->recv_command; + + usbFifoConf.get_command_buf = pConfig->get_command_buf; + usbFifoConf.recv_command = _fw_usbfifo_recv_command; + usbFifoConf.get_event_buf = pConfig->get_event_buf; + usbFifoConf.send_event_done = pConfig->send_event_done; +} + +#define CHECK_SOF_LOOP_CNT 50 + +void _fw_usb_suspend_reboot() +{ + volatile uint32_t gpio_in = 0; + volatile uint32_t pupd = 0; + volatile uint32_t t = 0; + volatile uint32_t sof_no=0,sof_no_new=0; + /* Set GO_TO_SUSPEND bit to USB main control register */ + vUsb_suspend(); + A_PRINTF("!USB suspend\n\r"); + + // keep the record of suspend +#if defined(PROJECT_MAGPIE) + *((volatile uint32_t*)WATCH_DOG_MAGIC_PATTERN_ADDR) = SUS_MAGIC_PATTERN; +#elif defined(PROJECT_K2) + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_STATUS_ADDR, SUS_MAGIC_PATTERN); +#endif /* #if defined(PROJECT_MAGPIE) */ + + /* Reset USB FIFO */ + A_USB_RESET_FIFO(); + + /* Turn off power */ + A_USB_POWER_OFF(); + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xffff)) | 0x1000; + + // reset ep3/ep4 fifo in case there is data which might affect resuming +// HAL_BYTE_REG_WRITE(0x100ae, (HAL_BYTE_REG_READ(0x100ae)|0x10)); +// HAL_BYTE_REG_WRITE(0x100ae, (HAL_BYTE_REG_READ(0x100af)|0x10)); + + { + // config gpio to input before goto suspend + + //disable JTAG/ICE + //jtag = HAL_WORD_REG_READ(0x10004054); + //HAL_WORD_REG_WRITE(0x10004054, (jtag|BIT17)); + + //disable SPI + //spi = HAL_WORD_REG_READ(0x50040); + //HAL_WORD_REG_WRITE(0x50040, (spi&~(BIT8))); + + //set all GPIO to input + gpio_in = HAL_WORD_REG_READ(0x1000404c); + HAL_WORD_REG_WRITE(0x100404c, 0x0); + + //set PU/PD for all GPIO except two UART pins + pupd = HAL_WORD_REG_READ(0x10004088); + HAL_WORD_REG_WRITE(0x10004088, 0xA982AA6A); + } + + sof_no= HAL_WORD_REG_READ(0x10004); + for (t = 0; t < CHECK_SOF_LOOP_CNT; t++) + { + A_DELAY_USECS(1000); //delay 1ms + sof_no_new = HAL_WORD_REG_READ(0x10004); + + if(sof_no_new == sof_no) + break; + + sof_no = sof_no_new; + } + + /* + * Reset "printf" module patch point(RAM to ROM) when K2 warm start or suspend, + * which fixed the error issue cause by redownload another different firmware. + */ + _indir_tbl.cmnos.printf._printf = save_cmnos_printf; + + /////////////////////////////////////////////////////////////// + // setting the go suspend here, power down right away... + if (t != CHECK_SOF_LOOP_CNT) // not time out + HAL_WORD_REG_WRITE(0x10000, HAL_WORD_REG_READ(0x10000)|(0x8)); + /////////////////////////////////////////////////////////////// + + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xffff)) | 0x1100; + +#if 0 // pll unstable, h/w bug? + HAL_WORD_REG_WRITE(0x50040, (0x300|6|(1>>1)<<12)); + A_UART_HWINIT((40*1000*1000)/1, 19200); +#endif + { + // restore gpio setting + //HAL_WORD_REG_WRITE(0x10004054, jtag); + //HAL_WORD_REG_WRITE(0x50040, spi); + HAL_WORD_REG_WRITE(0x1000404c, gpio_in); + HAL_WORD_REG_WRITE(0x10004088, pupd); + } + DEBUG_SYSTEM_STATE = (DEBUG_SYSTEM_STATE&(~0xffff)) | 0x1200; + + { + // since we still need to touch mac_base address after resuming back, so that + // reset mac can't be done in ResetFifo function, move to here... + // whole mac control reset.... (bit1) + HAL_WORD_REG_WRITE( MAGPIE_REG_RST_PWDN_CTRL_ADDR, (BIT1) ); + HAL_WORD_REG_WRITE( MAGPIE_REG_RST_PWDN_CTRL_ADDR, (HAL_WORD_REG_READ(MAGPIE_REG_RST_PWDN_CTRL_ADDR)|BIT0)); + HAL_WORD_REG_WRITE( MAGPIE_REG_RST_PWDN_CTRL_ADDR, 0x0 ); + } + + //A_PRINTF("reg(0x10020)=(%x)\n", HAL_WORD_REG_READ(0x10020)); + // disable ep3 int enable, so that resume back won't send wdt magic pattern out!!! + mUSB_STATUS_IN_INT_DISABLE(); + + MAGPIE_REG_USB_RX0_SWAP_DATA = 0x1; + MAGPIE_REG_USB_TX0_SWAP_DATA = 0x1; + MAGPIE_REG_USB_RX1_SWAP_DATA = 0x1; + MAGPIE_REG_USB_RX2_SWAP_DATA = 0x1; + + if (((DEBUG_SYSTEM_STATE&~(0x0000ffff))>>16 == 0x5342)) { + /* UART_SEL and SPI_SEL */ + HAL_WORD_REG_WRITE(0x50040, (0x300|0|(1>>1)<<12)); + } + + /* Jump to boot code */ + A_USB_JUMP_BOOT(); + +} + +/* + * -- patch usb_fw_task -- + * . usb zero length interrupt should not clear by s/w, h/w will handle that + * . complete suspend handle, configure gpio, turn off related function, + * slow down the pll for stable issue + */ +void _fw_usb_fw_task(void) +{ + register uint8_t usb_interrupt_level1; + register uint8_t usb_interrupt_level2; + + usb_interrupt_level1 = USB_BYTE_REG_READ(ZM_INTR_GROUP_OFFSET); +#if 0 // these endpoints are handled by DMA + if (usb_interrupt_level1 & BIT5) //Group Byte 5 + { + vUsb_Data_In(); + } +#endif + if (usb_interrupt_level1 & BIT4) + { + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_4_OFFSET); + if( usb_interrupt_level2 & BIT6) + A_USB_REG_OUT();//vUsb_Reg_Out(); + } + + if (usb_interrupt_level1 & BIT6) + { + //zfGenWatchDogEvent(); + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_6_OFFSET); + if( usb_interrupt_level2 & BIT6) + A_USB_STATUS_IN();//vUsb_Status_In(); + } + + if (usb_interrupt_level1 & BIT0) //Group Byte 0 + { + //usb_interrupt_level2 = ZM_INTR_SOURCE_0_REG; + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_0_OFFSET); + + // refer to FUSB200, p 48, offset:21H, bit7 description, should clear the command abort interrupt first!? + if (usb_interrupt_level2 & BIT7) + { + //ZM_INTR_SOURCE_0_REG &= 0x7f; // Handle command abort + USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_0_OFFSET, (USB_BYTE_REG_READ(ZM_INTR_SOURCE_0_OFFSET)& ~BIT7)); + A_PRINTF("![SOURCE_0] bit7 on\n\r"); + } + + if (usb_interrupt_level2 & BIT1) + { + //A_PRINTF("![USB] ep0 IN in \n\r"); + A_USB_EP0_TX(); // USB EP0 tx interrupt + } + if (usb_interrupt_level2 & BIT2) + { + //A_PRINTF("![USB] ep0 OUT in\n\r"); + A_USB_EP0_RX(); // USB EP0 rx interrupt + } + if (usb_interrupt_level2 & BIT0) + { + //A_PRINTF("![USB] ep0 SETUP in\n\r"); + A_USB_EP0_SETUP(); + //vWriteUSBFakeData(); + } +// else if (usb_interrupt_level2 & BIT3) + if (usb_interrupt_level2 & BIT3) + { + vUsb_ep0end(); +// A_PRINTF("![SOURCE_0] ep0 CMD_END\n\r"); + } + if (usb_interrupt_level2 & BIT4) + { + vUsb_ep0fail(); +// A_PRINTF("![SOURCE_0] ep0 CMD_FAIL\n\r"); + } + if (eUsbCxFinishAction == ACT_STALL) + { + // set CX_STL to stall Endpoint0 & will also clear FIFO0 + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x04); +// A_PRINTF("![USB] ZM_CX_CONFIG_STATUS_REG = 0x04\n\r"); + } + else if (eUsbCxFinishAction == ACT_DONE) + { + // set CX_DONE to indicate the transmistion of control frame + USB_BYTE_REG_WRITE(ZM_CX_CONFIG_STATUS_OFFSET, 0x01); + } + eUsbCxFinishAction = ACT_IDLE; + } + + if (usb_interrupt_level1 & BIT7) //Group Byte 7 + { + //usb_interrupt_level2 = ZM_INTR_SOURCE_7_REG; + usb_interrupt_level2 = USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET); + +#if 0 + if (usb_interrupt_level2 & BIT7) + { + vUsb_Data_Out0Byte(); +// A_PRINTF("![SOURCE_7] bit7 on, clear it\n\r"); + } + if (usb_interrupt_level2 & BIT6) + { + vUsb_Data_In0Byte(); +// A_PRINTF("![SOURCE_7] bit6 on, clear it\n\r"); + } +#endif + + if (usb_interrupt_level2 & BIT1) + { + vUsb_rst(); + //USB_BYTE_REG_WRITE(ZM_INTR_SOURCE_7_REG, (USB_BYTE_REG_READ(ZM_INTR_SOURCE_7_OFFSET)&~0x2)); + A_PRINTF("!USB reset\n\r"); +// A_PRINTF("![0x1012c]: %\n\r", USB_WORD_REG_READ(0x12c)); + } + if (usb_interrupt_level2 & BIT2) + { + // TBD: the suspend resume code should put here, Ryan, 07/18 + // + // issue, jump back to rom code and what peripherals should we reset here? + // + _fw_usb_suspend_reboot(); + } + if (usb_interrupt_level2 & BIT3) + { + vUsb_resm(); + A_PRINTF("!USB resume\n\r"); + } + } + +} + + +void _fw_usb_reset_fifo(void) +{ + volatile uint32_t *reg_data; + + HAL_BYTE_REG_WRITE(0x100ae, (HAL_BYTE_REG_READ(0x100ae)|0x10)); + HAL_BYTE_REG_WRITE(0x100ae, (HAL_BYTE_REG_READ(0x100af)|0x10)); + + // disable ep3 int enable, so that resume back won't send wdt magic pattern out!!! + mUSB_STATUS_IN_INT_DISABLE(); + + // update magic pattern to indicate this is a suspend + // k2: MAGPIE_REG_RST_WDT_TIMER_CTRL_ADDR + // magpie: MAGPIE_REG_RST_STATUS_ADDR + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_STATUS_ADDR, SUS_MAGIC_PATTERN); + + /* + * Before USB suspend, USB DMA must be reset(refer to Otus) + * Otus runs the following statements only + * HAL_WORD_REG_WRITE( MAGPIE_REG_RST_PWDN_CTRL_ADDR, BIT0|BIT2 ); + * HAL_WORD_REG_WRITE( MAGPIE_REG_RST_PWDN_CTRL_ADDR, 0x0 ); + * K2 must run the following statements additionally + * reg_data = (A_UINT32 *)(USB_CTRL_BASE_ADDRESS + 0x118); + * *reg_data = 0x00000000; + * *reg_data = 0x00000001; + * because of Hardware bug in K2 + */ + reg_data = (uint32_t *)(USB_CTRL_BASE_ADDRESS + 0x118); + *reg_data = 0x00000000; + + // reset both usb(bit2)/wlan(bit1) dma + HAL_WORD_REG_WRITE( MAGPIE_REG_RST_PWDN_CTRL_ADDR, (BIT2) ); + HAL_WORD_REG_WRITE( MAGPIE_REG_RST_PWDN_CTRL_ADDR, (HAL_WORD_REG_READ(MAGPIE_REG_RST_PWDN_CTRL_ADDR)|BIT0)); + HAL_WORD_REG_WRITE( MAGPIE_REG_RST_PWDN_CTRL_ADDR, 0x0 ); + + *reg_data = 0x00000001; + + /* MAC warem reset */ + //reg_data = (uint32_t *)(K2_REG_MAC_BASE_ADDR + 0x7000); + //*reg_data = 0x00000001; + + //A_DELAY_USECS(1); + + //*reg_data = 0x00000000; + + //while (*reg_data) ; + + A_PRINTF("\n change clock to 22 and go to suspend now!"); + + /* UART_SEL */ + HAL_WORD_REG_WRITE(0x50040, (0x200|0|(1>>1)<<12)); + A_UART_HWINIT((22*1000*1000), 19200); +} + +/* + * -- support more than 64 bytes command on ep4 -- + */ +void vUsb_Reg_Out_patch(void) +{ + uint16_t usbfifolen; + uint16_t ii; + uint32_t ep4_data; + static volatile uint32_t *regaddr; // = (volatile uint32_t *) ZM_CMD_BUFFER; + static uint16_t cmdLen; + static VBUF *buf; + BOOLEAN cmd_is_last = FALSE; + static BOOLEAN cmd_is_new = TRUE; + + // get the size of this transcation + usbfifolen = USB_BYTE_REG_READ(ZM_EP4_BYTE_COUNT_LOW_OFFSET); + + // check is command is new + if( cmd_is_new ){ + + buf = usbFifoConf.get_command_buf(); + cmdLen = 0; + + if( !buf ) + goto ERR; + + // copy free, assignment buffer of the address + regaddr = (uint32_t *)buf->desc_list->buf_addr; + + cmd_is_new = FALSE; + } + + // just in case, suppose should not happen + if( !buf ) + goto ERR; + + // if size is smaller, this is the last command! + // + // zero-length supposed should be set through 0x27/bit7->0x19/bit4, not here + // + if( usbfifolen> 2) + 1; + else + usbfifolen = usbfifolen >> 2; + +// A_PRINTF("copy data out from fifo to - %p\n\r", regaddr); + // retrieve the data from fifo + for(ii = 0; ii < usbfifolen; ii++) + { + ep4_data = USB_WORD_REG_READ(ZM_EP4_DATA_OFFSET); // read fifo data out + *regaddr = ep4_data; + regaddr++; + } + + // if this is the last command, callback to HTC + if ( cmd_is_last ) + { + buf->desc_list->next_desc = NULL; + buf->desc_list->data_offset = 0; + buf->desc_list->data_size = cmdLen; + buf->desc_list->control = 0; + buf->next_buf = NULL; + buf->buf_length = cmdLen; + + usbFifoConf.recv_command(buf); + + cmd_is_new = TRUE; + } + + goto DONE; +ERR: +// we might get no command buffer here? +// but if we return here, the ep4 fifo will be lock out, +// so that we still read them out but just drop it ? + for(ii = 0; ii < usbfifolen; ii++) + { + ep4_data = USB_WORD_REG_READ(ZM_EP4_DATA_OFFSET); // read fifo data out + } + +DONE: + //mUSB_STATUS_IN_INT_ENABLE(); + ; +} + + + +/* + * -- usb1.1 ep6 fix -- + */ +extern uint16_t u8UsbConfigValue; +extern uint16_t u8UsbInterfaceValue; +extern uint16_t u8UsbInterfaceAlternateSetting; +extern SetupPacket ControlCmd; +extern void vUsbClrEPx(void); + +void vUSBFIFO_EP6Cfg_FS_patch(void) +{ +#if (FS_C1_I0_A0_EP_NUMBER >= 6) + int i; + + //EP0X06 + mUsbEPMap(EP6, FS_C1_I0_A0_EP6_MAP); + mUsbFIFOMap(FS_C1_I0_A0_EP6_FIFO_START, FS_C1_I0_A0_EP6_FIFO_MAP); + mUsbFIFOConfig(FS_C1_I0_A0_EP6_FIFO_START, FS_C1_I0_A0_EP6_FIFO_CONFIG); + + for(i = FS_C1_I0_A0_EP6_FIFO_START + 1 ; + i < FS_C1_I0_A0_EP6_FIFO_START + FS_C1_I0_A0_EP6_FIFO_NO ; i ++) + { + mUsbFIFOConfig(i, (FS_C1_I0_A0_EP6_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP6, FS_C1_I0_A0_EP6_DIRECTION, (FS_C1_I0_A0_EP6_MAX_PACKET & 0x7ff)); + mUsbEPMxPtSzLow(EP6, FS_C1_I0_A0_EP6_DIRECTION, (FS_C1_I0_A0_EP6_MAX_PACKET & 0x7ff)); + mUsbEPinHighBandSet(EP6 , FS_C1_I0_A0_EP6_DIRECTION, FS_C1_I0_A0_EP6_MAX_PACKET); +#endif +} + +void vUsbFIFO_EPxCfg_FS_patch(void) +{ + switch (u8UsbConfigValue) + { + #if (FS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + case 0X01: + switch (u8UsbInterfaceValue) + { + #if (FS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + case 0: + switch (u8UsbInterfaceAlternateSetting) + { + + #if (FS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + case 0: + + // snapped.... + + // patch up this ep6_fs config + vUSBFIFO_EP6Cfg_FS_patch(); + + break; + + #endif + default: + break; + } + break; + #endif + default: + break; + } + break; + #endif + default: + break; + } + //mCHECK_STACK(); +} + + +BOOLEAN bSet_configuration_patch(void) +{ + //A_PRINTF("bSet_configuration...\n\r"); + + bSet_configuration(); + + if (mLOW_BYTE(mDEV_REQ_VALUE()) == 0) + { + // snapped.... + ; + } + else + { + if (mUsbHighSpeedST()) // First judge HS or FS?? + { + // snapped.... + ; + } + else + { + // snapped.... + vUsbFIFO_EPxCfg_FS_patch(); + } + + // snapped.... + } + + eUsbCxFinishAction = ACT_DONE; + return TRUE; +} + + +/* + * -- support more than 64 bytes command on ep3 -- + */ +void vUsb_Status_In_patch(void) +{ + uint16_t count; + uint16_t remainder; + u16_t RegBufLen; + BOOLEAN cmdEnd = FALSE; + + static u16_t mBufLen; + static VBUF *evntbuf = NULL; + static volatile u32_t *regaddr; + static BOOLEAN cmd_is_new = TRUE; + + if( cmd_is_new ) + { + evntbuf = usbFifoConf.get_event_buf(); + if ( evntbuf != NULL ) + { + regaddr = VBUF_GET_DATA_ADDR(evntbuf); + mBufLen = evntbuf->buf_length; + } + else + { + mUSB_STATUS_IN_INT_DISABLE(); + goto ERR_DONE; + } + + } + +// if( mBufLen>bUSB_EP_MAX_PKT_SIZE_64 ) +// A_PRINTF("EP3 send %d bytes to host \n", mBufLen); + +// while(1) + { + if( mBufLen > bUSB_EP_MAX_PKT_SIZE_64 ) { + RegBufLen = bUSB_EP_MAX_PKT_SIZE_64; + mBufLen -= bUSB_EP_MAX_PKT_SIZE_64; + } + // TODO: 64 byes... controller supposed will take care of zero-length? + else { + RegBufLen = mBufLen; + cmdEnd = TRUE; + } + + /* INT use EP3 */ + for(count = 0; count < (RegBufLen / 4); count++) + { + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, *regaddr); + regaddr++; + } + + remainder = RegBufLen % 4; + + if (remainder) + { + switch(remainder) + { + case 3: + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x7); + break; + case 2: + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x3); + break; + case 1: + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x1); + break; + } + + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, *regaddr); + + // Restore CBus FIFO size to word size + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xF); + } + + mUSB_EP3_XFER_DONE(); + +// if( mBufLen<=bUSB_EP_MAX_PKT_SIZE_64 ) +// break; + } + + if ( evntbuf != NULL && cmdEnd ) + { + usbFifoConf.send_event_done(evntbuf); + cmd_is_new = TRUE; + } + +ERR_DONE: + ; +} + +extern uint16_t *u8ConfigDescriptorEX; +extern uint16_t *pu8DescriptorEX; +extern uint16_t u16TxRxCounter; +extern BOOLEAN bGet_descriptor(void); + +uint16_t ConfigDescriptorPatch[30]; + +#define EP3_TRANSFER_TYPE_OFFSET 17 +#define EP3_INT_INTERVAL 19 +#define EP4_TRANSFER_TYPE_OFFSET 21 +#define EP4_INT_INTERVAL 22 + +BOOLEAN bGet_descriptor_patch(void) +{ + if (mDEV_REQ_VALUE_HIGH() == 2) { + uint8_t *p = (uint8_t *)u8ConfigDescriptorEX; + + /* Copy ConfigDescriptor */ + memcpy(ConfigDescriptorPatch, p, sizeof(ConfigDescriptorPatch)); + + p = (uint8_t *)ConfigDescriptorPatch; + + /* Patch the transfer type of EP3 and EP4 */ + ConfigDescriptorPatch[EP3_TRANSFER_TYPE_OFFSET] = 0x0283; + ConfigDescriptorPatch[EP3_INT_INTERVAL] = 0x0700; + ConfigDescriptorPatch[EP4_TRANSFER_TYPE_OFFSET] = 0x4002; + ConfigDescriptorPatch[EP4_INT_INTERVAL] = 0x00; + + switch (mDEV_REQ_VALUE_LOW()) + { + case 0x00: // configuration no: 0 + pu8DescriptorEX = ConfigDescriptorPatch; + u16TxRxCounter = ConfigDescriptorPatch[1]; + //u16TxRxCounter = 46; + break; + default: + return FALSE; + } + + if (u16TxRxCounter > mDEV_REQ_LENGTH()) + u16TxRxCounter = mDEV_REQ_LENGTH(); + + A_USB_EP0_TX_DATA(); + return TRUE; + } + else { + return bGet_descriptor(); + } +} + +extern BOOLEAN bStandardCommand(void); + +BOOLEAN bStandardCommand_patch(void) +{ + if (mDEV_REQ_REQ() == USB_SET_CONFIGURATION) { + A_USB_SET_CONFIG(); + +#if ENABLE_SWAP_DATA_MODE + // SWAP FUNCTION should be enabled while DMA engine is not working, + // the best place to enable it is before we trigger the DMA + MAGPIE_REG_USB_RX0_SWAP_DATA = 0x1; + MAGPIE_REG_USB_TX0_SWAP_DATA = 0x1; + + #if SYSTEM_MODULE_HP_EP5 + MAGPIE_REG_USB_RX1_SWAP_DATA = 0x1; + #endif + + #if SYSTEM_MODULE_HP_EP6 + MAGPIE_REG_USB_RX2_SWAP_DATA = 0x1; + #endif + +#endif //ENABLE_SWAP_DATA_MODE + return TRUE; + } + else { + return bStandardCommand(); + } +} + +#endif + + diff --git a/target_firmware/magpie_fw_dev/target/htc/htc.c b/target_firmware/magpie_fw_dev/target/htc/htc.c new file mode 100755 index 0000000..99c1561 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/htc/htc.c @@ -0,0 +1,821 @@ +/* + * @File: + * + * @Abstract: host target communications + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ +#include +#include +#include +#include +#include +#include +#include + +#include "htc_internal.h" + +#define A_UNCACHED_ADDR(addr) addr + +/* prototypes */ +LOCAL void HTCControlSvcProcessMsg(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t hdr_buf, adf_nbuf_t pBuffers, void *arg); +LOCAL void HTCControlSvcProcessSendComplete(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers, void *arg); +LOCAL void HTCMsgRecvHandler(adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *context); +LOCAL void HTCSendDoneHandler(adf_nbuf_t buf, void *context); +LOCAL void HTCFreeMsgBuffer(HTC_CONTEXT *pHTC, adf_nbuf_t pBuffer); +LOCAL adf_nbuf_t HTCAllocMsgBuffer(HTC_CONTEXT *pHTC); +LOCAL void HTCCheckAndSendCreditReport(HTC_CONTEXT *pHTC, A_UINT32 EpMask, HTC_ENDPOINT *pEndpoint, HTC_ENDPOINT_ID Id); +LOCAL void AdjustCreditThreshold(HTC_ENDPOINT *pEndpoint); +LOCAL void HTC_AssembleBuffers(HTC_CONTEXT *pHTC, int Count, int Size); +LOCAL htc_handle_t _HTC_Init(/*A_UINT32 dataAddr,*/ + HTC_SETUP_COMPLETE_CB SetupComplete, + HTC_CONFIG *pConfig); +LOCAL void _HTC_RegisterService(htc_handle_t handle, HTC_SERVICE *pService); +LOCAL void _HTC_Ready(htc_handle_t handle); +LOCAL void ReturnBuffers(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers, A_BOOL sendCreditFlag); +LOCAL void _HTC_ReturnBuffers(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers); +LOCAL void _HTC_ReturnBuffersList(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_queue_t bufHead); +LOCAL void _HTC_SendMsg(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers); +void _HTC_PauseRecv(HTC_ENDPOINT_ID EndpointID); +void _HTC_ResumeRecv(HTC_ENDPOINT_ID EndpointID); +LOCAL void HTCProcessConnectMsg(HTC_CONTEXT *pHTC, HTC_CONNECT_SERVICE_MSG *pMsg); +LOCAL void HTCProcessConfigPipeMsg(HTC_CONTEXT *pHTC, HTC_CONFIG_PIPE_MSG *pMsg); +LOCAL void RedistributeCredit(adf_nbuf_t buf, int toPipeId); +LOCAL void _HTC_Shutdown(htc_handle_t htcHandle); + +/* macro to check if the service wants to prevent credit dribbling by using + a dynamic threshold */ +#define CHECK_AND_ADJUST_CREDIT_THRESHOLD(pEndpoint) \ + if ((pEndpoint)->ConnectionFlags & HTC_CONNECT_FLAGS_REDUCE_CREDIT_DRIBBLE) { \ + AdjustCreditThreshold((pEndpoint)); \ + } + +LOCAL void HTC_AssembleBuffers(HTC_CONTEXT *pHTC, int Count, int Size) +{ + BUF_Pool_create_pool(pHTC->PoolHandle, POOL_ID_HTC_CONTROL, Count, Size); +} + +LOCAL htc_handle_t _HTC_Init(HTC_SETUP_COMPLETE_CB SetupComplete, + HTC_CONFIG *pConfig) +{ + HIF_CALLBACK hifCBConfig; + HTC_CONTEXT *pHTC; + + pHTC = (HTC_CONTEXT *)adf_os_mem_alloc(sizeof(HTC_CONTEXT)); + + adf_os_mem_zero(pHTC, sizeof(HTC_CONTEXT)); + + pHTC->OSHandle = pConfig->OSHandle; + pHTC->PoolHandle = pConfig->PoolHandle; + pHTC->hifHandle = pConfig->HIFHandle; + + hifCBConfig.send_buf_done = A_INDIR(htc._HTC_SendDoneHandler); + hifCBConfig.recv_buf = A_INDIR(htc._HTC_MsgRecvHandler); + hifCBConfig.context = pHTC; + + /* initialize hardware layer */ + HIF_register_callback(pConfig->HIFHandle, &hifCBConfig); + + /* see if the host wants us to override the number of ctrl buffers */ + pHTC->NumBuffersForCreditRpts = 0; + + if (0 == pHTC->NumBuffersForCreditRpts) { + /* nothing to override, simply set default */ + pHTC->NumBuffersForCreditRpts = HTC_DEFAULT_NUM_CTRL_BUFFERS; + } + + pHTC->MaxEpPendingCreditRpts = 0; + + if (0 == pHTC->MaxEpPendingCreditRpts) { + pHTC->MaxEpPendingCreditRpts = HTC_DEFAULT_MAX_EP_PENDING_CREDIT_REPORTS; + } + /* calculate the total allocation size based on the number of credit report buffers */ + pHTC->CtrlBufferAllocSize = MIN_CREDIT_BUFFER_ALLOC_SIZE * pHTC->NumBuffersForCreditRpts; + /* we need at least enough buffer space for 1 ctrl message */ + pHTC->CtrlBufferAllocSize = A_MAX(pHTC->CtrlBufferAllocSize,MAX_HTC_SETUP_MSG_SIZE); + + /* save the size of each buffer/credit we will receive */ + pHTC->RecvBufferSize = pConfig->CreditSize; //RecvBufferSize; + pHTC->TotalCredits = pConfig->CreditNumber; + pHTC->TotalCreditsAssigned = 0; + + /* setup the pseudo service that handles HTC control messages */ + pHTC->HTCControlService.ProcessRecvMsg = A_INDIR(htc._HTC_ControlSvcProcessMsg); + pHTC->HTCControlService.ProcessSendBufferComplete = A_INDIR(htc._HTC_ControlSvcProcessSendComplete); + pHTC->HTCControlService.TrailerSpcCheckLimit = HTC_CTRL_BUFFER_CHECK_SIZE; + pHTC->HTCControlService.MaxSvcMsgSize = MAX_HTC_SETUP_MSG_SIZE; + pHTC->HTCControlService.ServiceCtx = pHTC; + + /* automatically register this pseudo service to endpoint 1 */ + pHTC->Endpoints[ENDPOINT0].pService = &pHTC->HTCControlService; + HIF_get_default_pipe(pHTC->hifHandle, &pHTC->Endpoints[ENDPOINT0].UpLinkPipeID, + &pHTC->Endpoints[ENDPOINT0].DownLinkPipeID); + + /* Initialize control pipe so we could receive the HTC control packets */ + // @TODO: msg size! + HIF_config_pipe(pHTC->hifHandle, pHTC->Endpoints[ENDPOINT0].UpLinkPipeID, 1); + + /* set the first free endpoint */ + pHTC->CurrentEpIndex = ENDPOINT1; + pHTC->SetupCompleteCb = SetupComplete; + + /* setup buffers for just the setup phase, we only need 1 buffer to handle + * setup */ + HTC_AssembleBuffers(pHTC, 4, MAX_HTC_SETUP_MSG_SIZE); + + /* start hardware layer so that we can queue buffers */ + HIF_start(pHTC->hifHandle); + + return pHTC; +} + +LOCAL void _HTC_Shutdown(htc_handle_t htcHandle) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + adf_os_mem_free(pHTC); +} + +LOCAL void _HTC_RegisterService(htc_handle_t htcHandle, HTC_SERVICE *pService) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + /* add it to the list */ + pService->pNext = pHTC->pServiceList; + pHTC->pServiceList = pService; +} + +LOCAL void _HTC_Ready(htc_handle_t htcHandle) +{ + adf_nbuf_t pBuffer; + HTC_READY_MSG *pReady; + a_uint8_t *addr; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + pBuffer = HTCAllocMsgBuffer(pHTC); + + /* an optimization... the header length is chosen to + * be aligned on a 16 bit bounday, the fields in the message are designed to + * be aligned */ + addr = adf_nbuf_put_tail(pBuffer, sizeof(HTC_READY_MSG)); + pReady = (HTC_READY_MSG *)addr; + A_MEMZERO(pReady,sizeof(HTC_READY_MSG)); + pReady->MessageID = adf_os_htons(HTC_MSG_READY_ID); + pReady->CreditSize = adf_os_htons((A_UINT16)pHTC->RecvBufferSize); + pReady->CreditCount = adf_os_htons((A_UINT16)pHTC->TotalCredits); + pReady->MaxEndpoints = ENDPOINT_MAX; + + /* send out the message */ + HTC_SendMsg(pHTC, ENDPOINT0, pBuffer); + /* now we need to wait for service connection requests */ +} + +LOCAL void ReturnBuffers(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, + adf_nbuf_t pBuffers, A_BOOL sendCreditFlag) +{ + int nbufs = 1; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + /* supply some head-room again */ + adf_nbuf_push_head(pBuffers, HTC_HDR_LENGTH); + + /* enqueue all buffers to the single mailbox */ + HIF_return_recv_buf(pHTC->hifHandle, pHTC->Endpoints[EndpointID].UpLinkPipeID, pBuffers); + + if (pHTC->StateFlags & HTC_STATE_SETUP_COMPLETE) { + A_UINT32 epCreditMask = (1 << EndpointID); + /* we are running normally */ + /* update pending credit counts with the number of buffers that were added */ + pHTC->Endpoints[EndpointID].CreditsToReturn += (A_INT16)nbufs; + pHTC->Endpoints[EndpointID].CreditsConsumed -= (A_INT16)nbufs; + /* update bit map that this endpoint has non-zero credits */ + pHTC->EpCreditPendingMap |= epCreditMask; + + if (sendCreditFlag) { + HTCCheckAndSendCreditReport(pHTC, epCreditMask,&pHTC->Endpoints[EndpointID],EndpointID); + } + + } else { + /* we have not started yet so all return operations are simply adding buffers + * to the interface at startup, so we can keep track of how many total + * credits we get */ + /* update global count that will be returned to the host */ + pHTC->TotalCredits += nbufs; + } +} + +LOCAL void _HTC_ReturnBuffersList(htc_handle_t htcHandle, + HTC_ENDPOINT_ID EndpointID, + adf_nbuf_queue_t bufHead) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + adf_nbuf_t netbuf, tmpNbuf; + + /* retrieve each nbuf in the queue */ + netbuf = adf_nbuf_queue_first(&bufHead); + + while (netbuf) { + + tmpNbuf = netbuf; + netbuf = adf_nbuf_queue_next(netbuf); + + ReturnBuffers(htcHandle, EndpointID, tmpNbuf, FALSE); + } + + HTCCheckAndSendCreditReport(pHTC, (1 << EndpointID),&pHTC->Endpoints[EndpointID],EndpointID); +} + +LOCAL void _HTC_ReturnBuffers(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, + adf_nbuf_t pBuffers) +{ + ReturnBuffers(htcHandle, EndpointID, pBuffers, TRUE); +} + +LOCAL void _HTC_SendMsg(htc_handle_t htcHandle, HTC_ENDPOINT_ID EndpointID, + adf_nbuf_t pBuffers) +{ + HTC_FRAME_HDR *pHTCHdr; + int totsz; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + HTC_BUF_CONTEXT *ctx; + + ctx = (HTC_BUF_CONTEXT *)adf_nbuf_get_priv(pBuffers); + + /* init total size (this does not include the space we will put in for the HTC header) */ + totsz = adf_nbuf_len(pBuffers); + + /* the first buffer stores the header */ + /* back up buffer by a header size when we pass it down, by agreed upon convention the caller + * points the buffer to it's payload and leaves head room for the HTC header + * Note: in HTCSendDoneHandler(), we undo this so that the caller get's it's buffer + * back untainted */ + pHTCHdr = (HTC_FRAME_HDR *)adf_nbuf_push_head(pBuffers, HTC_HDR_LENGTH); + + /* flag that this is the header buffer that was modified */ + ctx->htc_flags |= HTC_FLAGS_BUF_HDR; + /* mark where this buffer came from */ + ctx->end_point = EndpointID; + /* the header start is ALWAYS aligned since we DMA it directly */ + + /* set some fields, the rest of them will be filled below when we check for + * trailer space */ + pHTCHdr->Flags = 0; + pHTCHdr->EndpointID = EndpointID; + + /* check opportunistically if we can return any reports via a trailer */ + do { + int room,i,totalReportBytes; + A_UINT32 creditsPendingMap, compareMask; + HTC_CREDIT_REPORT *pCreditRpt; + HTC_RECORD_HDR *pRecHdr; + int pipeMaxLen; + A_UINT32 roomForPipeMaxLen; + + /* figure out how much room the last buffer can spare */ + pipeMaxLen = HIF_get_max_msg_len(pHTC->hifHandle, + pHTC->Endpoints[EndpointID].DownLinkPipeID); + roomForPipeMaxLen = pipeMaxLen - adf_nbuf_headroom(pBuffers) - adf_nbuf_len(pBuffers); + if ( roomForPipeMaxLen < 0 ) { + roomForPipeMaxLen = 0; + } + + room = adf_os_min( adf_nbuf_tailroom(pBuffers), roomForPipeMaxLen); + if (room < (int)(sizeof(HTC_CREDIT_REPORT) + sizeof(HTC_RECORD_HDR))) { + /* no room for any reports */ + break; + } + /* note, a record header only has 8 bit fields, so this is safe. + * we need an uncached pointer here too */ + totalReportBytes = 0; + + /* get a copy */ + creditsPendingMap = pHTC->EpCreditPendingMap; + + /* test pending map to see if we can send a report , if any + * credits are available, we might as well send them on the + * unused space in the buffer */ + if (creditsPendingMap) { + + pRecHdr = (HTC_RECORD_HDR *)adf_nbuf_put_tail(pBuffers, + sizeof(HTC_RECORD_HDR)); + + /* set the ID, the length will be updated with the number of credit reports we + * can fit (see below) */ + pRecHdr->RecordID = HTC_RECORD_CREDITS; + pRecHdr->Length = 0; + /* the credit report follows the record header */ + totalReportBytes += sizeof(HTC_RECORD_HDR); + room -= sizeof(HTC_RECORD_HDR); + + /* walkthrough pending credits map and build the records */ + for (i = 0; + (creditsPendingMap != 0) && (room >= (int)sizeof(HTC_CREDIT_REPORT)); + i++) { + compareMask = (1 << i); + if (compareMask & creditsPendingMap) { + + pCreditRpt = (HTC_CREDIT_REPORT *)adf_nbuf_put_tail(pBuffers, + sizeof(HTC_CREDIT_REPORT)); + + /* clear pending mask, we are going to return all these credits */ + creditsPendingMap &= ~(compareMask); + /* add this record */ + pCreditRpt->EndpointID = i; + pCreditRpt->Credits = (A_UINT8)pHTC->Endpoints[i].CreditsToReturn; + /* remove pending credits, we always send deltas */ + pHTC->Endpoints[i].CreditsToReturn = 0; + /* adjust new threshold for this endpoint if needed */ + CHECK_AND_ADJUST_CREDIT_THRESHOLD(&pHTC->Endpoints[i]); + /* update this record length */ + pRecHdr->Length += sizeof(HTC_CREDIT_REPORT); + room -= sizeof(HTC_CREDIT_REPORT); + totalReportBytes += sizeof(HTC_CREDIT_REPORT); + + if ( room < sizeof(HTC_CREDIT_REPORT) ) { + break; + } + } + } + + /* update new pending credits map */ + pHTC->EpCreditPendingMap = creditsPendingMap; + } + + if (totalReportBytes <= 0) { + break; + } + + /* must fit into a byte, this should never actually happen since + * the maximum possible number of endpoints is 32. + * The trailer can have at most 1 credit record with up to 32 reports in the record. + * The trailer can have at most 1 lookahead record with only 1 lookahead report in the record. + */ + + /* set header option bytes */ + pHTCHdr->ControlBytes[0] = totalReportBytes; + /* HTC frame contains a trailer */ + pHTCHdr->Flags |= HTC_FLAGS_RECV_TRAILER; + /* increment total size by the reports we added */ + totsz += totalReportBytes; + /* adjust the last buffer we used for adding on the trailer */ + } while (FALSE); + + if (totsz == 0) { + } + + /* set length for message (this includes any reports that were added above) */ + pHTCHdr->PayloadLen = adf_os_htons(totsz); + HIF_send_buffer(pHTC->hifHandle, pHTC->Endpoints[EndpointID].DownLinkPipeID, pBuffers); +} + +void _HTC_PauseRecv(HTC_ENDPOINT_ID EndpointID) +{ +} + +void _HTC_ResumeRecv(HTC_ENDPOINT_ID EndpointID) +{ +} + +int _HTC_GetReservedHeadroom(htc_handle_t htcHandle) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)htcHandle; + + return HTC_HDR_LENGTH + HIF_get_reserved_headroom(pHTC->hifHandle); +} + +void htc_module_install(struct htc_apis *pAPIs) +{ + pAPIs->_HTC_Init = _HTC_Init; + pAPIs->_HTC_ReturnBuffers = _HTC_ReturnBuffers; + pAPIs->_HTC_ReturnBuffersList = _HTC_ReturnBuffersList; + pAPIs->_HTC_Ready = _HTC_Ready; + pAPIs->_HTC_RegisterService = _HTC_RegisterService; + pAPIs->_HTC_SendMsg = _HTC_SendMsg; + pAPIs->_HTC_Shutdown = _HTC_Shutdown; + pAPIs->_HTC_GetReservedHeadroom = _HTC_GetReservedHeadroom; + pAPIs->_HTC_MsgRecvHandler = HTCMsgRecvHandler; + pAPIs->_HTC_SendDoneHandler = HTCSendDoneHandler; + pAPIs->_HTC_ControlSvcProcessMsg = HTCControlSvcProcessMsg; + pAPIs->_HTC_ControlSvcProcessSendComplete = HTCControlSvcProcessSendComplete; +} + +/* free message to the free list */ +LOCAL void HTCFreeMsgBuffer(HTC_CONTEXT *pHTC, adf_nbuf_t buf) +{ + BUF_Pool_free_buf(pHTC->PoolHandle, POOL_ID_HTC_CONTROL, buf); +} + +/* HTC control message allocator (also used for empty frames to send trailer options) */ +LOCAL adf_nbuf_t HTCAllocMsgBuffer(HTC_CONTEXT *pHTC) +{ + return BUF_Pool_alloc_buf(pHTC->PoolHandle, + POOL_ID_HTC_CONTROL, + HTC_GetReservedHeadroom(pHTC)); +} + +LOCAL void HTCCheckAndSendCreditReport(HTC_CONTEXT *pHTC, A_UINT32 EpMask, + HTC_ENDPOINT *pEndpoint, HTC_ENDPOINT_ID Eid) +{ + adf_nbuf_t pCredBuffer; + HTC_BUF_CONTEXT *ctx; + + do { + /* check if host needs credits */ + if (!(pHTC->EpHostNeedsCreditMap & EpMask)) { + /* host does not need any credits for this set */ + break; + } + /* check if any are pending */ + if (!(pHTC->EpCreditPendingMap & EpMask)) { + /* nothing to send up */ + break; + } + /* was an endpoint specified? */ + if (pEndpoint != NULL) { + /* see if a threshold is in effect for this endpoint */ + if (pEndpoint->CreditReturnThreshhold != 0) { + if (pEndpoint->CreditsToReturn < pEndpoint->CreditReturnThreshhold) { + /* this endpoint is using a threshold to prevent credits from dribbling + * back to the host */ + break; + } + } + + if (pEndpoint->PendingCreditReports >= pHTC->MaxEpPendingCreditRpts) { + /* this endpoint already has some reports outstanding */ + /* flag that as soon as a buffer is reaped, we issue a credit update to + * pick up this credit that is being held up because the endpoint has already + * exceeded the max outstanding credit report limit */ + pHTC->StateFlags |= HTC_SEND_CREDIT_UPDATE_SOON; + break; + } + } + + /* if we get here we have some credits to send up */ + + /* allocate a message buffer for the trailer */ + pCredBuffer = HTCAllocMsgBuffer(pHTC); + if (NULL == pCredBuffer) { + /* no buffers left to send an empty message with trailers, host will just + * have to wait until we get our endpoint 0 messages back.. */ + /* mark that we need to send an update as soon as we can get a buffer back */ + pHTC->StateFlags |= HTC_SEND_CREDIT_UPDATE_SOON; + break; + } + + ctx = (HTC_BUF_CONTEXT *)adf_nbuf_get_priv(pCredBuffer); + if (pEndpoint != NULL) { + /* keep track of pending reports */ + pEndpoint->PendingCreditReports++; + /* save the endpoint in order to decrement the count when the send completes */ + ctx->htc_flags = Eid | HTC_FLAGS_CREDIT_RPT; + } + + /* this is an empty message, the HTC_SendMsg will tack on a trailer in the remaining + * space, NOTE: no need to flush the cache, the header and trailers are assembled + * using uncached addresses */ + HTC_SendMsg(pHTC, ENDPOINT0, pCredBuffer); + + } while (FALSE); +} + +/* called in response to the arrival of a service connection message */ +LOCAL void HTCProcessConnectMsg(HTC_CONTEXT *pHTC, HTC_CONNECT_SERVICE_MSG *pMsg) +{ + HTC_SERVICE *pService = pHTC->pServiceList; + A_UINT8 connectStatus = HTC_SERVICE_NOT_FOUND; + adf_nbuf_t pBuffer; + HTC_CONNECT_SERVICE_RESPONSE_MSG *pRspMsg; + int metaDataOutLen = 0; + A_UINT16 serviceId = adf_os_ntohs(pMsg->ServiceID); + + pBuffer = HTCAllocMsgBuffer(pHTC); + /* note : this will be aligned */ + pRspMsg = (HTC_CONNECT_SERVICE_RESPONSE_MSG *) + adf_nbuf_put_tail(pBuffer, sizeof(HTC_CONNECT_SERVICE_RESPONSE_MSG)); + + A_MEMZERO(pRspMsg,sizeof(HTC_CONNECT_SERVICE_RESPONSE_MSG)); + pRspMsg->MessageID = adf_os_htons(HTC_MSG_CONNECT_SERVICE_RESPONSE_ID); + /* reflect the service ID for this connect attempt */ + pRspMsg->ServiceID = adf_os_htons(serviceId); + + while (pService) { + + if (pHTC->CurrentEpIndex >= ENDPOINT_MAX) { + /* no more endpoints */ + connectStatus = HTC_SERVICE_NO_RESOURCES; + break; + } + + if (serviceId == pService->ServiceID) { + /* we found a match */ + A_UINT8 *pMetaDataIN = NULL; + A_UINT8 *pMetaDataOut; + + /* outgoing meta data resides in the space after the response message */ + pMetaDataOut = ((A_UINT8 *)pRspMsg) + sizeof(HTC_CONNECT_SERVICE_RESPONSE_MSG); + + if (pMsg->ServiceMetaLength != 0) { + /* the meta data follows the connect service message */ + pMetaDataIN = ((A_UINT8 *)pMsg) + sizeof(HTC_CONNECT_SERVICE_MSG); + } + + /* call the connect callback with the endpoint to use and pointers to meta data */ + connectStatus = pService->ProcessConnect(pService, + pHTC->CurrentEpIndex, + pMetaDataIN, + pMsg->ServiceMetaLength, + pMetaDataOut, + &metaDataOutLen); + + /* check if the service accepted this connection request */ + if (HTC_SERVICE_SUCCESS == connectStatus) { + /* set the length of the response meta data going back to the host */ + pRspMsg->ServiceMetaLength = (A_UINT8)metaDataOutLen; + /* set the endpoint ID the host will now communicate over */ + pRspMsg->EndpointID = pHTC->CurrentEpIndex; + /* return the maximum message size for this service */ + pRspMsg->MaxMsgSize = adf_os_htons((A_UINT16)pService->MaxSvcMsgSize); + /* assign this endpoint to this service, this will be used in routing messages */ + pHTC->Endpoints[pHTC->CurrentEpIndex].pService = pService; + /* set connection flags */ + pHTC->Endpoints[pHTC->CurrentEpIndex].ConnectionFlags = pMsg->ConnectionFlags; + + pHTC->Endpoints[pHTC->CurrentEpIndex].DownLinkPipeID = pMsg->DownLinkPipeID; + pHTC->Endpoints[pHTC->CurrentEpIndex].UpLinkPipeID = pMsg->UpLinkPipeID; + + /* mark that we are now connected */ + pService->ServiceFlags |= HTC_SERVICE_FLAGS_CONNECTED; + /* bump up our index, this EP is now in use */ + pHTC->CurrentEpIndex++; + } + + break; + } + + pService = pService->pNext; + } + + pRspMsg->Status = connectStatus; + + /* send out the response message */ + HTC_SendMsg(pHTC, ENDPOINT0, pBuffer); +} + +LOCAL void HTCProcessConfigPipeMsg(HTC_CONTEXT *pHTC, HTC_CONFIG_PIPE_MSG *pMsg) +{ + adf_nbuf_t pBuffer; + HTC_CONFIG_PIPE_RESPONSE_MSG *pRspMsg; + + pBuffer = HTCAllocMsgBuffer(pHTC); + + /* note : this will be aligned */ + pRspMsg = (HTC_CONFIG_PIPE_RESPONSE_MSG *) + adf_nbuf_put_tail(pBuffer, sizeof(HTC_CONFIG_PIPE_RESPONSE_MSG)); + + A_MEMZERO(pRspMsg,sizeof(HTC_CONFIG_PIPE_RESPONSE_MSG)); + + pRspMsg->MessageID = adf_os_htons(HTC_MSG_CONFIG_PIPE_RESPONSE_ID); + /* reflect the service ID for this connect attempt */ + pRspMsg->PipeID = pMsg->PipeID; + + if ( HIF_is_pipe_supported(pHTC->hifHandle, pMsg->PipeID) ) { + pRspMsg->Status = 0; + } else { + pRspMsg->Status = 1; + goto config_done; + } + + if ( (pHTC->TotalCreditsAssigned + pMsg->CreditCount) <= pHTC->TotalCredits ) { + pHTC->TotalCreditsAssigned += pMsg->CreditCount; + } else { + pRspMsg->Status = 2; + goto config_done; + } + + HIF_config_pipe(pHTC->hifHandle, pMsg->PipeID, pMsg->CreditCount); + +config_done: + /* send out the response message */ + HTC_SendMsg(pHTC, ENDPOINT0, pBuffer); +} + +/* process an incomming control message from the host */ +LOCAL void HTCControlSvcProcessMsg(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t hdr_buf, + adf_nbuf_t pBuffers, void *arg) +{ + A_BOOL setupComplete = FALSE; + a_uint8_t *anbdata; + a_uint32_t anblen; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)arg; + HTC_UNKNOWN_MSG *pMsg; + + adf_os_assert(hdr_buf == ADF_NBUF_NULL); + + /* we assume buffers are aligned such that we can access the message + * parameters directly*/ + adf_nbuf_peek_header(pBuffers, &anbdata, &anblen); + pMsg = (HTC_UNKNOWN_MSG *)anbdata; + + /* we cannot handle fragmented messages across buffers */ + + switch ( adf_os_ntohs(pMsg->MessageID) ) { + case HTC_MSG_CONNECT_SERVICE_ID: + HTCProcessConnectMsg(pHTC, (HTC_CONNECT_SERVICE_MSG *)pMsg); + break; + case HTC_MSG_CONFIG_PIPE_ID: + HTCProcessConfigPipeMsg(pHTC, (HTC_CONFIG_PIPE_MSG *)pMsg); + break; + case HTC_MSG_SETUP_COMPLETE_ID: + /* the host has indicated that it has completed all + setup tasks and we can now let the services take over to + run the rest of the application */ + setupComplete = TRUE; + /* can't get this more than once */ + break; + default: + ; + } + + if (pHTC->StateFlags & HTC_STATE_SETUP_COMPLETE) { + /* recycle buffer only if we are fully running */ + HTC_ReturnBuffers(pHTC, ENDPOINT0,pBuffers); + } else { + /* supply some head-room again */ + adf_nbuf_push_head(pBuffers, HTC_HDR_LENGTH); + + /* otherwise return the packet back to mbox */ + HIF_return_recv_buf(pHTC->hifHandle, pHTC->Endpoints[EndpointID].UpLinkPipeID, pBuffers); + } + + if (setupComplete) { + /* mark that setup has completed */ + pHTC->StateFlags |= HTC_STATE_SETUP_COMPLETE; + if (pHTC->SetupCompleteCb != NULL) { + pHTC->SetupCompleteCb(); + } + } +} + +/* callback when endpoint 0 send buffers are completed */ +LOCAL void HTCControlSvcProcessSendComplete(HTC_ENDPOINT_ID EndpointID, + adf_nbuf_t pBuffers, void *arg) +{ + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)arg; + HTC_BUF_CONTEXT *ctx; + HTC_ENDPOINT_ID creditRptEndpoint; + + ctx = (HTC_BUF_CONTEXT *)adf_nbuf_get_priv(pBuffers); + + /* put them back into the pool */ + if ( ctx->htc_flags & HTC_FLAGS_CREDIT_RPT ) { + /* extract the endpoint number that requested this credit report */ + creditRptEndpoint = ctx->htc_flags & HTC_FLAGS_CRPT_EP_MASK; + pHTC->Endpoints[creditRptEndpoint].PendingCreditReports--; + } + + HTCFreeMsgBuffer(pHTC, pBuffers); + + if (pHTC->StateFlags & HTC_SEND_CREDIT_UPDATE_SOON) { + /* this flag is set when the host could not send a credit report + * because we ran out of HTC control buffers */ + pHTC->StateFlags &= ~HTC_SEND_CREDIT_UPDATE_SOON; + /* send out a report if anything is pending */ + HTCCheckAndSendCreditReport(pHTC, HTC_ANY_ENDPOINT_MASK,NULL,ENDPOINT_MAX); + } +} + +LOCAL void HTCSendDoneHandler(adf_nbuf_t buf, void *context) +{ + A_UINT8 current_eid; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)context; + HTC_BUF_CONTEXT *ctx; + + ctx = (HTC_BUF_CONTEXT *)adf_nbuf_get_priv(buf); + current_eid = ctx->end_point; + + /* Walk through the buffers and fixup the ones we used for HTC headers. + * The buffer list may contain more than one string of HTC buffers comprising of an + * HTC message so we need to check every buffer */ + adf_nbuf_pull_head(buf, HTC_HDR_LENGTH); + + pHTC->Endpoints[current_eid].pService-> + ProcessSendBufferComplete(current_eid, + buf, + pHTC->Endpoints[current_eid].pService->ServiceCtx); +} + +LOCAL void AdjustCreditThreshold(HTC_ENDPOINT *pEndpoint) +{ + A_INT16 creditsOutstanding = pEndpoint->CreditsToReturn + pEndpoint->CreditsConsumed; + /* set the new threshold based on the number of credits that have been consumed + * and which have not been returned by the app. + * Note: it is okay for this threshold to be zero which indicates no threshold + * is in use */ + switch (pEndpoint->ConnectionFlags & HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_MASK) { + case HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_ONE_FOURTH : + creditsOutstanding >>= 2; + break; + case HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_ONE_HALF : + creditsOutstanding >>= 1; + break; + case HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_THREE_FOURTHS : + creditsOutstanding = (creditsOutstanding * 3) >> 2; + break; + /* default case is unity */ + } + + pEndpoint->CreditReturnThreshhold = creditsOutstanding; + +} + +LOCAL void RedistributeCredit(adf_nbuf_t buf, int toPipeId) +{ + +} + +/* callback from the mailbox hardware layer when a full message arrives */ +LOCAL void HTCMsgRecvHandler(adf_nbuf_t hdr_buf, adf_nbuf_t buffer, void *context) +{ + A_UINT16 totsz; + HTC_ENDPOINT *pEndpoint; + A_UINT32 eidMask; + int eid; + a_uint8_t *anbdata; + a_uint32_t anblen; + HTC_FRAME_HDR *pHTCHdr; + HTC_CONTEXT *pHTC = (HTC_CONTEXT *)context; + adf_nbuf_t tmp_nbuf; + + if (hdr_buf == ADF_NBUF_NULL) { + /* HTC hdr is not in the hdr_buf */ + tmp_nbuf = buffer; + } + else { + tmp_nbuf = hdr_buf; + } + + adf_nbuf_peek_header(tmp_nbuf, &anbdata, &anblen); + pHTCHdr = (HTC_FRAME_HDR *)anbdata; + + totsz = adf_os_ntohs(pHTCHdr->PayloadLen); + + eid = pHTCHdr->EndpointID; + + pEndpoint = &pHTC->Endpoints[eid]; + eidMask = 1 << eid; + + if (pHTCHdr->Flags & HTC_FLAGS_CREDIT_REDISTRIBUTION) { + /* The pipe id where the credit is redistributed to is carried in Control + * Byte 0 */ + RedistributeCredit(tmp_nbuf, pHTCHdr->ControlBytes[0]); + return; + } + + if (pHTC->StateFlags & HTC_STATE_SETUP_COMPLETE) { + /* after setup we keep track of credit consumption to allow us to + * adjust thresholds to reduce credit dribbling */ + pEndpoint->CreditsConsumed ++; + } + + /* from the design document, we put the endpoint into a "host-needs-credit" state + * when we receive a frame with the NEED_CREDIT_UPDATE flag set . + * if the host received credits through an opportunistic path, then it can + * issue a another frame with this bit cleared, this signals the target to clear + * the "host-needs-credit" state */ + if (pHTCHdr->Flags & HTC_FLAGS_NEED_CREDIT_UPDATE) { + /* the host is running low (or is out) of credits on this + * endpoint, update mask */ + pHTC->EpHostNeedsCreditMap |= eidMask; + /* check and set new threshold since host has reached a low credit situation */ + CHECK_AND_ADJUST_CREDIT_THRESHOLD(pEndpoint); + } else { + /* clear the flag */ + pHTC->EpHostNeedsCreditMap &= ~(eidMask); + pEndpoint->CreditReturnThreshhold = 0; + } + + /* Adjust the first buffer to point to the start of the actual + payload, the first buffer contains the header */ + adf_nbuf_pull_head(tmp_nbuf, HTC_HDR_LENGTH); + + /* NOTE : This callback could re-queue the recv buffers within this calling context. + * The callback could also send a response message within the context of this callback + * as the result of parsing this message. In either case, if there are + * pending credits and the host needs them, a credit report will be sent either through + * the response message trailer or a NULL message through HTC_ReturnBuffers(). + */ + + pEndpoint->pService->ProcessRecvMsg(eid, hdr_buf, buffer, pEndpoint->pService->ServiceCtx); + + /* Calls to HTC_ReturnBuffers drives the endpoint credit reporting state machine. + * We do not want to delay credits for too long in the event that the application is + * holding onto buffers for excessive periods of time. This gives us "some" better + * opportunities to send up credits. */ + HTCCheckAndSendCreditReport(pHTC, eidMask, pEndpoint, eid); +} diff --git a/target_firmware/magpie_fw_dev/target/htc/htc_api.h b/target_firmware/magpie_fw_dev/target/htc/htc_api.h new file mode 100755 index 0000000..a89df34 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/htc/htc_api.h @@ -0,0 +1,117 @@ +/* + * @File: htc_api.h + * + * @Abstract: host-target communications API + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef __HTC_API_H__ +#define __HTC_API_H__ + +#include +#include +#include +#include + +#define HTC_HDR_SZ HTC_HDR_LENGTH +#define HTC_BUFSZ_MAX_SEND 2048 + +typedef void (* HTC_SERVICE_ProcessRecvMsg)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, adf_nbuf_t, void *ServiceCtx); +typedef void (* HTC_SERVICE_ProcessSendBufferComplete)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, void *ServiceCtx); + +/* HTC service structure : + * the caller is required to allocate storage for the service structure and register the + * structure using HTC_RegisterService() The service must set the following fields: + * ProcessRecvMsg + * ProcessSendBufferComplete + * ProcessConnect + * ServiceID + * MaxSvcMsgSize (for message validation) + * */ +typedef struct _HTC_SERVICE { + struct _HTC_SERVICE *pNext; + /* Callback for processing receive messages. HTC calls this callback whenever a + * message arrives on the endpoint assigned to this service. + * HTC_BUFFER is a chain of buffers containing a full application message. + * HTC_BUFFER->buffer points to the start of the msg buffer (past the HTC header) */ + void (* ProcessRecvMsg)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, adf_nbuf_t, void *ServiceCtx); + /* callback to process completed send buffers */ + void (* ProcessSendBufferComplete)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t, void *ServiceCtx); + /* optional callback when a connection request occurs. + * The EndpointID is the assigned endpoint, the callback returns a connect + * response status code to allow or disallow the connection. + * pDataIn points to the optional meta data supplied in the connection request + * pDataOut points to a buffer to send back meta data + * If no callback is supplied, HTC assumes the connect is allowed */ + A_UINT8 (* ProcessConnect)(struct _HTC_SERVICE *pService, + HTC_ENDPOINT_ID EndpointID, + A_UINT8 *pDataIn, + int LengthIn, + A_UINT8 *pDataOut, + int *pLengthOut); + + A_UINT16 ServiceID; /* service ID to match connection requests */ + A_UINT16 ServiceFlags; /* service flags */ + A_UINT16 MaxSvcMsgSize; /* maximum length of service-specific messages exchanged on the endpoint */ + A_UINT16 TrailerSpcCheckLimit; /* amount of space in each send buffer that HTC can check for trailer + data. This should be set to the smallest HTC buffer that can be sent + through the service. The service can disable trailer data insertion + by setting this value to 0. */ + void *ServiceCtx; +} HTC_SERVICE; + +#define HTC_SERVICE_FLAGS_CONNECTED (1 << 0) /* service has at least 1 connection */ + +#define IS_SERVICE_CONNECTED(s) ((s)->ServiceFlags & HTC_SERVICE_FLAGS_CONNECTED) + +/* configuration settings for the WMI service */ +typedef struct _HTC_CONFIG { + int CreditSize; /* */ + int CreditNumber; + adf_os_handle_t OSHandle; + hif_handle_t HIFHandle; + pool_handle_t PoolHandle; +} HTC_CONFIG; + +typedef struct _HTC_BUF_CONTEXT { + A_UINT8 end_point; + A_UINT8 htc_flags; /* htc flags (used by HTC layer only) */ +} HTC_BUF_CONTEXT; + +typedef void* htc_handle_t; + +/* + * setup complete function, supplied by HTC caller at HTC_init time. + * HTC calls this function after the host has indicated that the service connection + * phase is complete. + * + */ +typedef void (* HTC_SETUP_COMPLETE_CB)(void); + +struct htc_apis { + htc_handle_t (* _HTC_Init)(HTC_SETUP_COMPLETE_CB, HTC_CONFIG *pConfig); + void (* _HTC_Shutdown)(htc_handle_t); + void (* _HTC_RegisterService)(htc_handle_t, HTC_SERVICE *); + void (* _HTC_Ready)(htc_handle_t); + void (* _HTC_ReturnBuffers)(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t); + void (* _HTC_ReturnBuffersList)(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_queue_t); + void (* _HTC_SendMsg)(htc_handle_t handle, HTC_ENDPOINT_ID EndpointID, adf_nbuf_t); + int (* _HTC_GetReservedHeadroom)(htc_handle_t handle); + + /* These APIs below are for patch purpose only */ + void (*_HTC_MsgRecvHandler)(adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *context); + void (*_HTC_SendDoneHandler)(adf_nbuf_t buf, void *context); + void (*_HTC_ControlSvcProcessMsg)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *arg); + void (*_HTC_ControlSvcProcessSendComplete)(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t pBuffers, void *arg); + + void *pReserved; /* for expansion if need be */ +}; + +extern void htc_module_install(struct htc_apis *pAPIs); + +#endif /* _HTC_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/htc/htc_internal.h b/target_firmware/magpie_fw_dev/target/htc/htc_internal.h new file mode 100755 index 0000000..b1dcaa9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/htc/htc_internal.h @@ -0,0 +1,94 @@ +/* + * @File: + * + * @Abstract: internal data and structure definitions for HTC + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef HTC_INTERNAL_H_ +#define HTC_INTERNAL_H_ + +/* minimum buffer size to hold up to 8 endpoint reports, lookahead and the HTC header */ +#define MIN_BUF_SIZE_FOR_RPTS (A_ROUND_UP((sizeof(HTC_LOOKAHEAD_REPORT) + \ + (sizeof(HTC_CREDIT_REPORT)) * 8 + \ + (sizeof(HTC_RECORD_HDR)) * 2 ) + \ + HTC_HDR_LENGTH, \ + sizeof(A_UINT32))) +/* minimum allocation for a credit message */ +#define MIN_CREDIT_BUFFER_ALLOC_SIZE (MIN_BUF_SIZE_FOR_RPTS) + +/* max ctrl buffers size for a setup message */ +#define MAX_HTC_SETUP_MSG_SIZE 64 /* The max size of USB command/event pipe is 64 bytes */ + +/* check size for trailer space */ +#define HTC_CTRL_BUFFER_CHECK_SIZE (MIN_BUF_SIZE_FOR_RPTS - HTC_HDR_LENGTH) + +#define HTC_DEFAULT_NUM_CTRL_BUFFERS 6 + +#define HTC_DEFAULT_MAX_EP_PENDING_CREDIT_REPORTS 3 /* an EP should not have more than this many outstanding reports */ + +#define HTC_FLAGS_CRPT_EP_MASK 0x1F /* if the message is a credit report this is the endpoint + that issued it */ + +#define HTC_FLAGS_CREDIT_RPT (1 << 5) /* the buffer was a credit report */ +#define HTC_FLAGS_BUF_HDR (1 << 6) /* the buffer was manipulated and a header added */ +#define HTC_FLAGS_RECV_END_MSG (1 << 7) /* this buffer is the last buffer for the recev + message (used for recv pause logic) */ + +#define HTC_MAILBOX 0 /* we use mailbox 0 for all communications */ +#define HTC_ANY_ENDPOINT_MASK 0xFFFFFFFF +#define HTC_LOOKAHEAD_POST_VALID 0x55 +#define HTC_LOOKAHEAD_PRE_VALID 0xAA +#define MAX_HTC_CREDITS 255 + +typedef struct _HTC_ENDPOINT { + A_INT16 CreditsToReturn; /* credits that are ready to be returned to the host */ + HTC_SERVICE *pService; /* service that is bound to this endpoint */ +#ifdef HTC_PAUSE_RESUME_REF_COUNTING + int PauseRefCount; /* reference count */ +#endif + A_INT16 CreditReturnThreshhold; /* threshold before credits are returned via NULL pkts, + this reduces dribbling effect */ + A_INT16 CreditsConsumed; /* number of credits consumed (outstanding) on the endpoint */ + A_UINT16 ConnectionFlags; /* HTC connection flags */ + int PendingCreditReports; /* no. of pending credit reports issued by this endpoint */ + A_UINT8 DownLinkPipeID; /* The pipe ID to be use for the direction: target -> host */ + A_UINT8 UpLinkPipeID; /* The pipe ID to be use for the direction: host -> target */ +} HTC_ENDPOINT; + +typedef struct _HTC_CONTEXT { + adf_os_handle_t OSHandle; + HTC_ENDPOINT Endpoints[ENDPOINT_MAX]; /* endpoint state structs */ + A_UINT32 EpHostNeedsCreditMap; /* credit update bit map for all EPs */ + A_UINT32 EpCreditPendingMap; /* credits pending bit map for all EPs */ + A_UINT32 EpRecvPausedMap; /* recv pause state bit map for all EPs */ + HTC_ENDPOINT_ID CurrentEpIndex; /* current unused endpoint index */ + HTC_SERVICE HTCControlService; /* the pseudo service that handles EP0 traffic */ + HTC_SERVICE *pServiceList; /* the service list */ + int RecvBufferSize; /* the length of each recv buffer that HTC is given */ + A_UINT32 StateFlags; /* state flags */ + HTC_SETUP_COMPLETE_CB SetupCompleteCb; /* caller supplied setup completion routine */ + int TotalCredits; /* total credits in system */ + int TotalCreditsAssigned; + int NumBuffersForCreditRpts; /* number of control buffers for credit reports */ + int CtrlBufferAllocSize; /* length of allocation */ + A_UINT8 *pCtrlBuffer; /* control buffer to be carved up for messages */ + int MaxEpPendingCreditRpts; /* maximum number of pending credit reports that any 1 EP can have */ + hif_handle_t hifHandle; + pool_handle_t PoolHandle; + + // Left a door for extension the structure + void *pReserved; +} HTC_CONTEXT; + +#define HTC_STATE_SETUP_COMPLETE (1 << 0) /* HTC host-target setup is complete */ +#define HTC_SEND_CREDIT_UPDATE_SOON (1 << 1) /* Credit update message needs to be sent */ +#define HTC_STATE_BUFF_REALLOC (1 << 2) /* buffers have been reallocated for credit messages */ + +#endif /*HTC_INTERNAL_H_*/ diff --git a/target_firmware/magpie_fw_dev/target/htc/htc_tgt.c b/target_firmware/magpie_fw_dev/target/htc/htc_tgt.c new file mode 100755 index 0000000..370aca8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/htc/htc_tgt.c @@ -0,0 +1,42 @@ +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include + +_A_magpie_indirection_table_t _indir_tbl; + +int init_htc_tgt(void); + +int init_htc_tgt(void) +{ + /* target-side HIF/HTC/WMI module installation */ + BUF_POOL_MODULE_INSTALL(); + HIF_MODULE_INSTALL(); + HTC_MODULE_INSTALL(); + WMI_SERVICE_MODULE_INSTALL(); + + adf_os_print("HTC Target Version 1.xx Loaded...\n"); + return 0; +} + +void exit_htc_tgt(void); + +void exit_htc_tgt(void) +{ + adf_os_print("HTC Target UnLoaded...\n"); +} + +adf_os_export_symbol(_indir_tbl); + +adf_os_virt_module_init(init_htc_tgt); +adf_os_virt_module_exit(exit_htc_tgt); +adf_os_module_dep(htc_tgt, adf_net); +adf_os_module_dep(htc_tgt, inproc_hif); +adf_os_virt_module_name(htc_tgt); diff --git a/target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_htc.h b/target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_htc.h new file mode 100755 index 0000000..ba7a62c --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_htc.h @@ -0,0 +1,88 @@ +#ifndef __AR6K_HTC_H__ +#define __AR6K_HTC_H__ + +/* Host/Target Communications for an AR6K Target */ + +/* Number of mailboxes */ +#define AR6000_MBOX_COUNT 4 + +/* ------ MBOX ID ------ */ +typedef enum +{ + ENDPOINT_UNUSED = -1, + ENDPOINT1 = 0, + ENDPOINT2, + ENDPOINT3, + ENDPOINT4, +} HTC_ENDPOINT_ID; + + +/* An AR6000 DMA Descriptor. See HTC_descriptor(). */ +struct AR6000_DMA_desc_s { + A_UINT32 dma_control; + char *dma_data; + struct AR6000_DMA_desc_s *dma_next; +}; + + +/* + * Make a Mailbox DMA descriptor available to HTC. + * + * Once made available, there is no way to reclaim this memory. + * The caller must guarantee that a descriptor exists for each + * buffer that is used to send or receive. It is expected that + * the caller will supply a bunch of descriptors once during + * initialization, and then forget about them. The number of + * buffers given to HTC for send+recv must never exceed the + * number of descriptors given to HTC. + * + * HTC accesses descriptors via uncached accesses. The caller + * must guarantee not to place any other data in the same cache + * line as a DMA descriptor! In practice, this means that the + * caller should allocate a block of memory for descriptors, + * and the block should include padding at the start and end + * to guarantee there will be no other data in the same cache + * line. + * + * It would be far preferable to bury descriptors in the bufinfo + * structure; but there are practical issues that prevent this. + * It turns out that the most efficient way to add descriptors + * to an active DMA engine requires HTC to "own and actively + * manage" the descriptors. HTC needs to make the association + * between descriptors and buffers at the last possible moment. + * + * extern void _HTC_descriptor(struct AR6000_DMA_desc_s *descriptor); + */ + +/* + * The following interfaces make it easy to allocate suitable + * descriptors for HTC. During initialization, simply use the + * HTC_DESCRIPTORS_INIT macro and specify the number of descriptors + * desired. This number must be a constant, since it is used to + * declare a static array! + * + * The descriptor array is padded with a cache line at the start + * and another at the end. This avoids false sharing between adjacent + * cached data and uncached descriptors. + */ +#define HTC_DESCRIPTOR_SPACE_SIZE(ndescs) \ + (((ndescs) * sizeof(struct AR6000_DMA_desc_s)) + 2*A_CACHE_LINE_SIZE) + +#define HTC_DESCRIPTORS_INIT(ndescs) \ +{ \ + static A_UINT8 HTC_descriptor_space[HTC_DESCRIPTOR_SPACE_SIZE(ndescs)]; \ + struct AR6000_DMA_desc_s *desc; \ + int i; \ + \ + A_DATA_CACHE_FLUSH(HTC_descriptor_space, sizeof(HTC_descriptor_space)); \ + \ + desc = (struct AR6000_DMA_desc_s *) \ + A_ROUND_UP((A_UINT32)HTC_descriptor_space, A_CACHE_LINE_SIZE); \ + \ + for (i=0; i<(ndescs); i++) { \ + HTC_descriptor(desc); \ + desc++; \ + } \ +} + +#endif /* __AR6K_HTC_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_misc.h b/target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_misc.h new file mode 100755 index 0000000..666f5e2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_misc.h @@ -0,0 +1,70 @@ +#ifndef __AR6K_MISC_H__ +#define __AR6K_MISC_H__ + +/* + * AR6001: CIS Tuple 0x82, "Board Hardware Configuration Information", + * is set at chip reset according to board configuration. Bits in this + * register indicate what type of Host connection is in use. We don't + * have proper header files to describe tuples, so the offset and layout + * for the one tuple that firmwware needs is defined here. + * + * AR6002: The RESET_TUPLE_STATUS register in the GPIO block holds + * Board Hardware Configuration Information. + * + * If the interface is SDIO, then the "INFO_MASK" must be "SDIO_NORMAL". + * For debug purposes, a Target with the KeepAlive jumper may be booted + * before the Host. In this case, INFO_MASK is 0. + * + * For NON-SDIO Host interfaces, the INFO_MASK may hold board information. + * + * By convention, hostless boards set INTERFACE to SDIO, and INFO to + * something OTHER than SDIO_NORMAL or 0. + * + * Layout of Board HW Cfg Info is below. These values are captured at + * reset and made available to software. + * + * These 3 bits are available on AR6002 via RESET_TUPLE_STATUS_ADDRESS; + * they are NOT available on AR6001. + * bit 10: rftest ??? + * bit 9: cmode[1] Bits 9..8 indicate modes as follows: + * bit 8: cmode[0] 0-->normal + * 1-->rftest + * 2-->functional test (ATE) + * 3-->ATPG/MBIST + * + * These 8 bits are available on AR6002 through RESET_TUPLE_STATUS_ADDRESS + * and on both AR6001 and AR6002 through CIS Tuple 0x82. + * bit 7: gpio9 (aka hmode0) Bits 7..6 are the "Interface Config bits" + * bit 6: tdo (aka hmode1) + * bit 5: clk_req + * bit 4: sdio_cmd + * bit 3: sdio_dat[3] + * bit 2: sdio_dat[2] + * bit 1: sdio_dat[1] + * bit 0: sdio_dat[0] + */ + +#if defined(RESET_TUPLE_STATUS_ADDRESS) +#define AR6K_BOARD_HWCFG_CMODE_MASK 0x300 +#define AR6K_BOARD_HWCFG_CMODE_ATE 0x200 +#else +/* + * CIS Tuple 0x82 happens to be located at offset 0x13c into CIS registers. + * This may change across tapeouts, if CIS tuple information changes. + */ +#define AR6K_BOARD_HWCFG_TUPLE_OFFSET 0x13c +#endif + +#define AR6K_BOARD_HWCFG_INTERFACE_MASK 0xc0 +#define AR6K_BOARD_HWCFG_KEEP_ALIVE_MASK 0x20 +#define AR6K_BOARD_HWCFG_INFO_MASK 0x1f + +/* Values for INTERFACE_MASK indicate type of interface */ +#define AR6K_BOARD_HWCFG_SPI 0x00 +#define AR6K_BOARD_HWCFG_SDIO 0x40 +#define AR6K_BOARD_HWCFG_LBCF 0x80 +#define AR6K_BOARD_HWCFG_MSIO 0xc0 + +#define AR6K_BOARD_HWCFG_SDIO_NORMAL 0x1f + +#endif /* __AR6K_MISC_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_soc.h b/target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_soc.h new file mode 100755 index 0000000..fda1c5d --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/OTUS/OTUS_soc.h @@ -0,0 +1,225 @@ +#ifndef __AR6K_SOC_H__ +#define __AR6K_SOC_H__ + +//#include "hw/apb_map.h" +//#include "hw/rtc_reg.h" +//#include "hw/mbox_reg.h" + +/* + * Basic types, appropriate for both + * the 32-bit MIPS core on AR6000 and + * the 32-bit XTensa core on AR6002 + */ +typedef signed char A_CHAR; +typedef signed char A_INT8; +typedef unsigned char A_UINT8; +typedef unsigned char A_UCHAR; +typedef short A_INT16; +typedef unsigned short A_UINT16; +typedef int A_INT32; +typedef unsigned int A_UINT32; +typedef long long A_INT64; +typedef unsigned long long A_UINT64; +typedef int A_BOOL; +typedef unsigned int ULONG; +typedef ULONG A_ULONG; +typedef A_ULONG A_ADDR; + +#if 0 +//#include "targaddrs.h" + +/* + * Some platform-specific macros and constants that may needed + * outside of the BSP. + */ + +/* + * AR6001/MIPS uses a cache line size of 16 Bytes. + * AR6002/Xtensa has no caches; but existing code assumes + * that this constant is non-zero. To avoid code complexity + * and possibly subtle bugs we define a bogus cache + * line size for Xtensa that matches MIPs'. + */ +#define A_CACHE_LINE_SIZE 16 + +#if defined(AR6001) +#define A_MIPS_KSEG_UNCACHED 0xa0000000 +#define A_MIPS_KSEG_CACHED 0x80000000 +#define A_MIPS_KSEG_MASK 0xe0000000 + +/* + * Convert a cached virtual address or a CPU physical address into + * an uncached virtual address. + */ +#define A_UNCACHED_ADDR(addr) \ + ((void *)(((A_UINT32)(addr)) | A_MIPS_KSEG_UNCACHED)) + +/* + * Convert an uncached or CPU physical address into + * a cached virtual address. + */ +#define A_CACHED_ADDR(addr) \ + ((void *)((((A_UINT32)(addr)) & ~A_MIPS_KSEG_MASK) | A_MIPS_KSEG_CACHED)) + +/* Read/Write a 32-bit AR6000 SOC register, specified by its physical address */ +#define A_SOC_ADDR_READ(addr) (*((volatile A_UINT32 *)A_UNCACHED_ADDR(addr))) + +#define A_SOC_ADDR_WRITE(addr, val) \ + do { \ + (*((volatile A_UINT32 *)A_UNCACHED_ADDR(addr))) = (A_UINT32)(val); \ + } while (0) + +#define A_RTC_REG_READ(addr) A_SOC_ADDR_READ(addr) +#define A_MC_REG_READ(addr) A_SOC_ADDR_READ(addr) +#define A_UART_REG_READ(addr) A_SOC_ADDR_READ(addr) +#define A_SI_REG_READ(addr) A_SOC_ADDR_READ(addr) +#define A_GPIO_REG_READ(addr) A_SOC_ADDR_READ(addr) +#define A_MBOX_REG_READ(addr) A_SOC_ADDR_READ(addr) +#define A_WMAC_REG_READ(addr) A_SOC_ADDR_READ(addr) +#define A_ANALOG_REG_READ(addr) A_SOC_ADDR_READ(addr) + +#define A_RTC_REG_WRITE(addr, val) A_SOC_ADDR_WRITE((addr), (val)) +#define A_MC_REG_WRITE(addr, val) A_SOC_ADDR_WRITE((addr), (val)) +#define A_UART_REG_WRITE(addr, val) A_SOC_ADDR_WRITE((addr), (val)) +#define A_SI_REG_WRITE(addr, val) A_SOC_ADDR_WRITE((addr), (val)) +#define A_GPIO_REG_WRITE(addr, val) A_SOC_ADDR_WRITE((addr), (val)) +#define A_MBOX_REG_WRITE(addr, val) A_SOC_ADDR_WRITE((addr), (val)) +#define A_WMAC_REG_WRITE(addr, val) A_SOC_ADDR_WRITE((addr), (val)) +#define A_ANALOG_REG_WRITE(addr, val) A_SOC_ADDR_WRITE((addr), (val)) +#endif + +#if defined(AR6002) +#define A_UNCACHED_ADDR(addr) (addr) +#define A_CACHED_ADDR(addr) (addr) + +#define A_SOC_ADDR_READ(addr) (*((volatile A_UINT32 *)(addr))) + +#define A_SOC_ADDR_WRITE(addr, val) \ + do { \ + (*((volatile A_UINT32 *)(addr))) = (A_UINT32)(val); \ + } while (0) + +#define A_RTC_REG_READ(addr) A_SOC_ADDR_READ(RTC_BASE_ADDRESS|(A_UINT32)(addr)) +#define A_MC_REG_READ(addr) A_SOC_ADDR_READ(VMC_BASE_ADDRESS|(A_UINT32)(addr)) +#define A_UART_REG_READ(addr) A_SOC_ADDR_READ(UART_BASE_ADDRESS|(A_UINT32)(addr)) +#define A_SI_REG_READ(addr) A_SOC_ADDR_READ(SI_BASE_ADDRESS|(A_UINT32)(addr)) +#define A_GPIO_REG_READ(addr) A_SOC_ADDR_READ(GPIO_BASE_ADDRESS|(A_UINT32)(addr)) +#define A_MBOX_REG_READ(addr) A_SOC_ADDR_READ(MBOX_BASE_ADDRESS|(A_UINT32)(addr)) +#define A_WMAC_REG_READ(addr) A_SOC_ADDR_READ(MAC_BASE_ADDRESS|(A_UINT32)(addr)) +#define A_ANALOG_REG_READ(addr) A_SOC_ADDR_READ(ANALOG_INTF_BASE_ADDRESS|(A_UINT32)(addr)) + +#define A_RTC_REG_WRITE(addr, val) A_SOC_ADDR_WRITE(RTC_BASE_ADDRESS|(A_UINT32)(addr), (val)) +#define A_MC_REG_WRITE(addr, val) A_SOC_ADDR_WRITE(VMC_BASE_ADDRESS|(A_UINT32)(addr), (val)) +#define A_UART_REG_WRITE(addr, val) A_SOC_ADDR_WRITE(UART_BASE_ADDRESS|(A_UINT32)(addr), (val)) +#define A_SI_REG_WRITE(addr, val) A_SOC_ADDR_WRITE(SI_BASE_ADDRESS|(A_UINT32)(addr), (val)) +#define A_GPIO_REG_WRITE(addr, val) A_SOC_ADDR_WRITE(GPIO_BASE_ADDRESS|(A_UINT32)(addr), (val)) +#define A_MBOX_REG_WRITE(addr, val) A_SOC_ADDR_WRITE(MBOX_BASE_ADDRESS|(A_UINT32)(addr), (val)) +#define A_WMAC_REG_WRITE(addr, val) A_SOC_ADDR_WRITE(MAC_BASE_ADDRESS|(A_UINT32)(addr), (val)) +#define A_ANALOG_REG_WRITE(addr, val) A_SOC_ADDR_WRITE(ANALOG_INTF_BASE_ADDRESS|(A_UINT32)(addr), (val)) + +#endif /* AR6002 */ + +/* + * Sleep/stay awake control. + * It is the caller's responsibility to guarantee atomicity. + */ + +typedef A_UINT32 A_old_sleep_t; + +#define A_SYSTEM_SLEEP_DISABLE(pOldSystemSleep) \ +do { \ + *(pOldSystemSleep) = A_RTC_REG_READ(SYSTEM_SLEEP_ADDRESS); \ + A_RTC_REG_WRITE(SYSTEM_SLEEP_ADDRESS, \ + *(pOldSystemSleep) | SYSTEM_SLEEP_DISABLE_MASK); \ + (void)A_RTC_REG_READ(SYSTEM_SLEEP_ADDRESS); /* flush */ \ +} while (0) + +#define A_SYSTEM_SLEEP_RESTORE(OldSystemSleep) \ +do { \ + A_RTC_REG_WRITE(SYSTEM_SLEEP_ADDRESS, (OldSystemSleep)); \ + (void)A_RTC_REG_READ(SYSTEM_SLEEP_ADDRESS); /* flush */ \ +} while (0) + + +/* + * AR6K-specific High Frequency Timestamp support. + * This is intended for use as a performance tool, and + * is not to be used in normal operation. + */ +typedef struct { + A_UINT32 highfreq; /* ~40MHz resolution */ + A_UINT32 lowfreq; /* ~32KHz resolution */ +} A_timestamp_t; + +/* + * Enable HighFrequency timer. + * Normally, we keep this OFF in order to save power. + */ +#define HF_TIMER_CONTROL_START_MASK HF_TIMER_CONTROL_ON_MASK +#define A_TIMESTAMP_ENABLE() \ +do { \ + A_RTC_REG_WRITE(HF_TIMER_ADDRESS, (40000000/32768)<<12); \ + A_RTC_REG_WRITE(HF_TIMER_CONTROL_ADDRESS, \ + HF_TIMER_CONTROL_START_MASK | \ + HF_TIMER_CONTROL_AUTO_RESTART_MASK | \ + HF_TIMER_CONTROL_RESET_MASK); \ +} while (0) + +/* + * Turn it OFF when you're done: + */ +#define A_TIMESTAMP_DISABLE() A_RTC_REG_WRITE(HF_TIMER_CONTROL_ADDRESS, 0) + +/* + * Get a timestamp. It's the caller's responsibility to + * guarantee atomicity of the two reads, if needed. + */ +#define A_TIMESTAMP(pTimestamp) \ + do { \ + (pTimestamp)->highfreq = A_RTC_REG_READ(HF_TIMER_COUNT_ADDRESS); \ + (pTimestamp)->lowfreq = A_RTC_REG_READ(HF_LF_COUNT_ADDRESS); \ + } while (0) + +/* + * Supported reference clock speeds. + * + * Note: MAC HAL code has multiple tables indexed by these values, + * so do not rearrange them. Add any new refclk values at the end. + */ +typedef enum { + AR6K_REFCLK_UNKNOWN = -1, /* Unsupported ref clock -- use PLL Bypass */ + AR6K_REFCLK_19_2_MHZ = 0, + AR6K_REFCLK_26_MHZ = 1, + AR6K_REFCLK_40_MHZ = 2, + AR6K_REFCLK_52_MHZ = 3, + AR6K_REFCLK_38_4_MHZ = 4, + AR6K_REFCLK_24_MHZ = 5, +} A_refclk_speed_t; + +#define A_REFCLK_UNKNOWN AR6K_REFCLK_UNKNOWN +#define A_REFCLK_19_2_MHZ AR6K_REFCLK_19_2_MHZ +#define A_REFCLK_26_MHZ AR6K_REFCLK_26_MHZ +#define A_REFCLK_40_MHZ AR6K_REFCLK_40_MHZ +#define A_REFCLK_52_MHZ AR6K_REFCLK_52_MHZ +#define A_REFCLK_38_4_MHZ AR6K_REFCLK_38_4_MHZ +#define A_REFCLK_24_MHZ AR6K_REFCLK_24_MHZ + +/* System defaults to 2.4GHz settings */ +#define A_BAND_DEFAULT A_BAND_24GHZ + +#if defined(AR6001) +#define FLASH_ADDR(n) AR6000_FLASH_ADDR(n) +#endif + +#if defined(AR6002) +#define HOST_INTEREST ((struct host_interest_s *)AR6002_HOST_INTEREST_ADDRESS) +#else +#define HOST_INTEREST ((struct host_interest_s *)AR6001_HOST_INTEREST_ADDRESS) +#endif + +#define AR6K_OPTION_TEST(option) \ + (A_MBOX_REG_READ(LOCAL_SCRATCH_ADDRESS) & (option)) + +#endif + +#endif /* __AR6K_SOC_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_nbuf.h b/target_firmware/magpie_fw_dev/target/inc/adf_nbuf.h new file mode 100755 index 0000000..5ef7d7f --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_nbuf.h @@ -0,0 +1,645 @@ +/* (c) Copyright 2002 - 2008 Atheros Communications Inc. */ + +/** + * @defgroup adf_nbuf_public network buffer API + */ + +/** + * @ingroup adf_nbuf_public + * @file adf_nbuf.h + * This file defines the network buffer abstraction. + */ + +#ifndef _ADF_NBUF_H +#define _ADF_NBUF_H + +#include +#include +#include +#include +#include + +/** + * @brief Platform indepedent packet abstraction + */ +typedef __adf_nbuf_t adf_nbuf_t; + +/** + * @brief invalid handle + */ +#define ADF_NBUF_NULL __ADF_NBUF_NULL +/** + * @brief Platform independent packet queue abstraction + */ +typedef __adf_nbuf_queue_t adf_nbuf_queue_t; + +/** + * BUS/DMA mapping routines + */ + +/** + * @brief Create a DMA map. This can later be used to map + * networking buffers. They : + * - need space in adf_drv's software descriptor + * - are typically created during adf_drv_create + * - need to be created before any API(adf_nbuf_map) that uses them + * + * @param[in] osdev os device + * @param[out] dmap map handle + * + * @return status of the operation + */ +static inline a_status_t +adf_nbuf_dmamap_create(adf_os_device_t osdev, + adf_os_dma_map_t *dmap) +{ + return (__adf_nbuf_dmamap_create(osdev, dmap)); +} + + +/** + * @brief Delete a dmap map + * + * @param[in] osdev os device + * @param[in] dmap + */ +static inline void +adf_nbuf_dmamap_destroy(adf_os_device_t osdev, adf_os_dma_map_t dmap) +{ + __adf_nbuf_dmamap_destroy(osdev, dmap); +} + + +/** + * @brief Map a buffer to local bus address space + * + * @param[in] osdev os device + * @param[in] bmap map handle + * @param[in] buf buf to be mapped + * @param[in] dir DMA direction + * + * @return status of the operation + */ +static inline a_status_t +adf_nbuf_map(adf_os_device_t osdev, + adf_os_dma_map_t bmap, + adf_nbuf_t buf, + adf_os_dma_dir_t dir) +{ + return __adf_nbuf_map(osdev, bmap, buf, dir); +} + + +/** + * @brief Unmap a previously mapped buf + * + * @param[in] osdev os device + * @param[in] bmap map handle + * @param[in] dir DMA direction + */ +static inline void +adf_nbuf_unmap(adf_os_device_t osdev, + adf_os_dma_map_t bmap, + adf_os_dma_dir_t dir) +{ + __adf_nbuf_unmap(osdev, bmap, dir); +} + +/** + * @brief returns information about the mapped buf + * + * @param[in] bmap map handle + * @param[out] sg map info + */ +static inline void +adf_nbuf_dmamap_info(adf_os_dma_map_t bmap, adf_os_dmamap_info_t *sg) +{ + __adf_nbuf_dmamap_info(bmap, sg); +} + + + +/* + * nbuf allocation rouines + */ + + +/** + * @brief Allocate adf_nbuf + * + * The nbuf created is guarenteed to have only 1 physical segment + * + * @param[in] hdl platform device object + * @param[in] size data buffer size for this adf_nbuf including max header + * size + * @param[in] reserve headroom to start with. + * @param[in] align alignment for the start buffer. + * + * @return The new adf_nbuf instance or NULL if there's not enough memory. + */ +static inline adf_nbuf_t +adf_nbuf_alloc(adf_os_size_t size, + int reserve, + int align) +{ + return __adf_nbuf_alloc(size, reserve,align); +} + + +/** + * @brief Free adf_nbuf + * + * @param[in] buf buffer to free + */ +static inline void +adf_nbuf_free(adf_nbuf_t buf) +{ + __adf_nbuf_free(buf); +} + + +/** + * @brief Reallocate such that there's required headroom in + * buf. Note that this can allocate a new buffer, or + * change geometry of the orignial buffer. The new buffer + * is returned in the (new_buf). + * + * @param[in] buf (older buffer) + * @param[in] headroom + * + * @return newly allocated buffer + */ +static inline adf_nbuf_t +adf_nbuf_realloc_headroom(adf_nbuf_t buf, a_uint32_t headroom) +{ + return (__adf_nbuf_realloc_headroom(buf, headroom)); +} + + +/** + * @brief expand the tailroom to the new tailroom, but the buffer + * remains the same + * + * @param[in] buf buffer + * @param[in] tailroom new tailroom + * + * @return expanded buffer or NULL on failure + */ +static inline adf_nbuf_t +adf_nbuf_realloc_tailroom(adf_nbuf_t buf, a_uint32_t tailroom) +{ + return (__adf_nbuf_realloc_tailroom(buf, tailroom)); +} + + +/** + * @brief this will expand both tail & head room for a given + * buffer, you may or may not get a new buffer.Use it + * only when its required to expand both. Otherwise use + * realloc (head/tail) will solve the purpose. Reason for + * having an extra API is that some OS do this in more + * optimized way, rather than calling realloc (head/tail) + * back to back. + * + * @param[in] buf buffer + * @param[in] headroom new headroom + * @param[in] tailroom new tailroom + * + * @return expanded buffer + */ +static inline adf_nbuf_t +adf_nbuf_expand(adf_nbuf_t buf, a_uint32_t headroom, a_uint32_t tailroom) +{ + return (__adf_nbuf_expand(buf,headroom,tailroom)); +} + + +/** + * @brief Copy src buffer into dst. This API is useful, for + * example, because most native buffer provide a way to + * copy a chain into a single buffer. Therefore as a side + * effect, it also "linearizes" a buffer (which is + * perhaps why you'll use it mostly). It creates a + * writeable copy. + * + * @param[in] buf source nbuf to copy from + * + * @return the new nbuf + */ +static inline adf_nbuf_t +adf_nbuf_copy(adf_nbuf_t buf) +{ + return(__adf_nbuf_copy(buf)); +} + + +/** + * @brief link two nbufs, the new buf is piggybacked into the + * older one. + * + * @param[in] dst buffer to piggyback into + * @param[in] src buffer to put + * + * @return status of the call + */ +static inline void +adf_nbuf_cat(adf_nbuf_t dst,adf_nbuf_t src) +{ + __adf_nbuf_cat(dst, src); +} + + +/** + * @brief clone the nbuf (copy is readonly) + * + * @param[in] buf nbuf to clone from + * + * @return cloned buffer + */ +static inline adf_nbuf_t +adf_nbuf_clone(adf_nbuf_t buf) +{ + return(__adf_nbuf_clone(buf)); +} + + +/** + * @brief Create a version of the specified nbuf whose + * contents can be safely modified without affecting + * other users.If the nbuf is a clone then this function + * creates a new copy of the data. If the buffer is not + * a clone the original buffer is returned. + * + * @param[in] buf source nbuf to create a writable copy from + * + * @return new buffer which is writeable + */ +static inline adf_nbuf_t +adf_nbuf_unshare(adf_nbuf_t buf) +{ + return(__adf_nbuf_unshare(buf)); +} + + + +/* + * nbuf manipulation routines + */ + + + +/** + * @brief return the amount of headroom int the current nbuf + * + * @param[in] buf buffer + * + * @return amount of head room + */ +static inline a_uint32_t +adf_nbuf_headroom(adf_nbuf_t buf) +{ + return (__adf_nbuf_headroom(buf)); +} + + +/** + * @brief return the amount of tail space available + * + * @param[in] buf buffer + * + * @return amount of tail room + */ +static inline a_uint32_t +adf_nbuf_tailroom(adf_nbuf_t buf) +{ + return (__adf_nbuf_tailroom(buf)); +} + + +/** + * @brief Push data in the front + * + * @param[in] buf buf instance + * @param[in] size size to be pushed + * + * @return New data pointer of this buf after data has been pushed, + * or NULL if there is not enough room in this buf. + */ +static inline a_uint8_t * +adf_nbuf_push_head(adf_nbuf_t buf, adf_os_size_t size) +{ + return __adf_nbuf_push_head(buf, size); +} + + +/** + * @brief Puts data in the end + * + * @param[in] buf buf instance + * @param[in] size size to be pushed + * + * @return data pointer of this buf where new data has to be + * put, or NULL if there is not enough room in this buf. + */ +static inline a_uint8_t * +adf_nbuf_put_tail(adf_nbuf_t buf, adf_os_size_t size) +{ + return __adf_nbuf_put_tail(buf, size); +} + + +/** + * @brief pull data out from the front + * + * @param[in] buf buf instance + * @param[in] size size to be popped + * + * @return New data pointer of this buf after data has been popped, + * or NULL if there is not sufficient data to pull. + */ +static inline a_uint8_t * +adf_nbuf_pull_head(adf_nbuf_t buf, adf_os_size_t size) +{ + return __adf_nbuf_pull_head(buf, size); +} + + +/** + * + * @brief trim data out from the end + * + * @param[in] buf buf instance + * @param[in] size size to be popped + * + * @return none + */ +static inline void +adf_nbuf_trim_tail(adf_nbuf_t buf, adf_os_size_t size) +{ + __adf_nbuf_trim_tail(buf, size); +} + + +/** + * @brief Get the length of the buf + * + * @param[in] buf the buf instance + * + * @return The total length of this buf. + */ +static inline adf_os_size_t +adf_nbuf_len(adf_nbuf_t buf) +{ + return (__adf_nbuf_len(buf)); +} + +/** + * @brief test whether the nbuf is cloned or not + * + * @param[in] buf buffer + * + * @return TRUE if it is cloned, else FALSE + */ +static inline a_bool_t +adf_nbuf_is_cloned(adf_nbuf_t buf) +{ + return (__adf_nbuf_is_cloned(buf)); +} + + + +/* + * nbuf frag routines + */ + +/** + * @brief return the frag pointer & length of the frag + * + * @param[in] buf buffer + * @param[out] sg this will return all the frags of the nbuf + * + */ +static inline void +adf_nbuf_frag_info(adf_nbuf_t buf, adf_os_sglist_t *sg) +{ + __adf_nbuf_frag_info(buf, sg); +} +/** + * @brief return the data pointer & length of the header + * + * @param[in] buf nbuf + * @param[out] addr data pointer + * @param[out] len length of the data + * + */ +static inline void +adf_nbuf_peek_header(adf_nbuf_t buf, a_uint8_t **addr, a_uint32_t *len) +{ + __adf_nbuf_peek_header(buf, addr, len); +} +/* + * nbuf private context routines + */ + +/** + * @brief get the priv pointer from the nbuf'f private space + * + * @param[in] buf + * + * @return data pointer to typecast into your priv structure + */ +static inline a_uint8_t * +adf_nbuf_get_priv(adf_nbuf_t buf) +{ + return (__adf_nbuf_get_priv(buf)); +} + + +/* + * nbuf queue routines + */ + + +/** + * @brief Initialize buf queue + * + * @param[in] head buf queue head + */ +static inline void +adf_nbuf_queue_init(adf_nbuf_queue_t *head) +{ + __adf_nbuf_queue_init(head); +} + + +/** + * @brief Append a nbuf to the tail of the buf queue + * + * @param[in] head buf queue head + * @param[in] buf buf + */ +static inline void +adf_nbuf_queue_add(adf_nbuf_queue_t *head, adf_nbuf_t buf) +{ + __adf_nbuf_queue_add(head, buf); +} + + +/** + * @brief Retrieve a buf from the head of the buf queue + * + * @param[in] head buf queue head + * + * @return The head buf in the buf queue. + */ +static inline adf_nbuf_t +adf_nbuf_queue_remove(adf_nbuf_queue_t *head) +{ + return __adf_nbuf_queue_remove(head); +} + + +/** + * @brief get the length of the queue + * + * @param[in] head buf queue head + * + * @return length of the queue + */ +static inline a_uint32_t +adf_nbuf_queue_len(adf_nbuf_queue_t *head) +{ + return __adf_nbuf_queue_len(head); +} + + +/** + * @brief get the first guy/packet in the queue + * + * @param[in] head buf queue head + * + * @return first buffer in queue + */ +static inline adf_nbuf_t +adf_nbuf_queue_first(adf_nbuf_queue_t *head) +{ + return (__adf_nbuf_queue_first(head)); +} + + +/** + * @brief get the next guy/packet of the given buffer (or + * packet) + * + * @param[in] buf buffer + * + * @return next buffer/packet + */ +static inline adf_nbuf_t +adf_nbuf_queue_next(adf_nbuf_t buf) +{ + return (__adf_nbuf_queue_next(buf)); +} + + +/** + * @brief Check if the buf queue is empty + * + * @param[in] nbq buf queue handle + * + * @return TRUE if queue is empty + * @return FALSE if queue is not emty + */ +static inline a_bool_t +adf_nbuf_is_queue_empty(adf_nbuf_queue_t * nbq) +{ + return __adf_nbuf_is_queue_empty(nbq); +} + + + +/* + * nbuf extension routines XXX + */ + + + +/** + * @brief Gets the tx checksumming to be performed on this buf + * + * @param[in] buf buffer + * @param[out] hdr_off the (tcp) header start + * @param[out] where the checksum offset + */ +static inline adf_net_cksum_type_t +adf_nbuf_tx_cksum_info(adf_nbuf_t buf, a_uint8_t **hdr_off, a_uint8_t **where) +{ + return(__adf_nbuf_tx_cksum_info(buf, hdr_off, where)); +} + + +/** + * @brief Drivers that support hw checksumming use this to + * indicate checksum info to the stack. + * + * @param[in] buf buffer + * @param[in] cksum checksum + */ +static inline void +adf_nbuf_set_rx_cksum(adf_nbuf_t buf, adf_nbuf_rx_cksum_t *cksum) +{ + __adf_nbuf_set_rx_cksum(buf, cksum); +} + + +/** + * @brief Drivers that are capable of TCP Large segment offload + * use this to get the offload info out of an buf. + * + * @param[in] buf buffer + * @param[out] tso offload info + */ +static inline void +adf_nbuf_get_tso_info(adf_nbuf_t buf, adf_nbuf_tso_t *tso) +{ + __adf_nbuf_get_tso_info(buf, tso); +} + + +/*static inline void +adf_nbuf_set_vlan_info(adf_nbuf_t buf, adf_net_vlan_tag_t vlan_tag) +{ + __adf_nbuf_set_vlan_info(buf, vlan_tag); +}*/ + +/** + * @brief This function extracts the vid & priority from an + * nbuf + * + * + * @param[in] hdl net handle + * @param[in] buf buffer + * @param[in] vlan vlan header + * + * @return status of the operation + */ +static inline a_status_t +adf_nbuf_get_vlan_info(adf_net_handle_t hdl, adf_nbuf_t buf, + adf_net_vlanhdr_t *vlan) +{ + return __adf_nbuf_get_vlan_info(hdl, buf, vlan); +} + +static inline adf_nbuf_t +adf_nbuf_create_frm_frag(adf_nbuf_queue_t *head) +{ + return __adf_nbuf_create_frm_frag(head); +} + +static inline void +adf_nbuf_split_to_frag(adf_nbuf_t buf, adf_nbuf_queue_t *qhead) +{ + return __adf_nbuf_split_to_frag(buf, qhead); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_net.h b/target_firmware/magpie_fw_dev/target/inc/adf_net.h new file mode 100755 index 0000000..bfa2230 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_net.h @@ -0,0 +1,445 @@ +/** + * @defgroup adf_net_public network abstraction API + */ + +/** + * @ingroup adf_net_public + * @file adf_net.h + * These APIs abstract the OS networking stack from a driver. + */ + +/** + * @mainpage + * @section Introduction + * The Atheros Driver Framework provides a mechanism to run the Atheros + * WLAN driver on a variety of Operating Systems and Platforms. It achieves + * this by abstracting all OS-specific and platform-specific functionality + * the driver requires. This ensures the core logic in the driver is OS- + * and platform-independent. + * @section Modules + * The driver framework consists of three main components: + * @subsection sec1 Network Stack + * This component abstracts the OS network stack. See @ref adf_net_public for details. + * @subsection sec2 Network Buffer + * This component abstracts the OS network buffer. See @ref adf_nbuf_public for details. + * @subsection sec3 OS services + * This component abstracts any OS services. See @ref adf_os_public for details. + */ + +#ifndef _ADF_NET_H +#define _ADF_NET_H + +#include +#include +#include "adf_net_types.h" +#include "adf_net_wcmd.h" +#include +#include + +/* + * check for a NULL handle + * */ +#define ADF_NET_NULL __ADF_NET_NULL + +/** + * @brief this register the driver to the shim, but won't get + * any handle until create device is called. + * + * @param[in] drv driver info structure + * + * @return status of operation + */ +static inline a_status_t +adf_net_register_drv(adf_drv_info_t *drv) +{ + return(__adf_net_register_drv(drv)); +} + + +/** + * @brief deregister the driver from the shim + * + * @param[in] name driver name passed in adf_drv_info_t + * + * @see adf_net_register_drv() + */ +static inline void +adf_net_unregister_drv(a_uint8_t *drv_name) +{ + __adf_net_unregister_drv(drv_name); +} + + +/** + * @brief register a real device with the kernel + * + * @param[in] hdl driver handle for this device + * @param[in] op per-device switch structure + * @param[in] info basic device information + * + * @return opaque device handle + */ +static inline adf_net_handle_t +adf_net_dev_create(adf_drv_handle_t hdl, + adf_dev_sw_t *op, + adf_net_dev_info_t *info) +{ + return (__adf_net_dev_create(hdl, op, info)); +} + + +/** + * @brief unregister a real device with the kernel + * + * @param[in] hdl opaque device handle returned by adf_net_dev_create() + * @see adf_net_dev_create() + */ +static inline void +adf_net_dev_delete(adf_net_handle_t hdl) +{ + __adf_net_dev_delete(hdl); +} + + +/** + * @brief register a virtual device with the kernel. + * A virtual device is always backed by a real device. + * + * @param[in] dev_hdl opaque device handle for the real device + * @param[in] hdl driver handle for this virtual device + * @param[in] op per-virtual-device switch structure + * @param[in] info basic virtual device information + * + * @return opaque device handle + * + * @see adf_net_dev_create() + */ +static inline adf_net_handle_t +adf_net_vdev_create(adf_net_handle_t dev_hdl, + adf_drv_handle_t hdl, + adf_vdev_sw_t *op, + adf_net_dev_info_t *info) +{ + return (__adf_net_vdev_create(dev_hdl, hdl, op, info)); +} + + +/** + * @brief unregister the virtual device with the kernel. + * + * @param[in] hdl opaque device handle returned by adf_net_vdev_create() + * + * @see adf_net_vdev_create() + */ +static inline void +adf_net_vdev_delete(adf_net_handle_t hdl) +{ + __adf_net_vdev_delete(hdl); +} + + +/** + * @brief open the real device + * + * @param[in] hdl opaque device handle + * + * @return status of the operation + * + * @see adf_net_dev_create() + */ +static inline a_status_t +adf_net_dev_open(adf_net_handle_t hdl) +{ + return (__adf_net_dev_open(hdl)); +} + + +/** + * @brief close the real device + * + * @param[in] hdl opaque device handle + * + * @see adf_net_dev_open() + */ +static inline void +adf_net_dev_close(adf_net_handle_t hdl) +{ + __adf_net_dev_close(hdl); +} + + +/** + * @brief transmit a network buffer using a device + * + * @param[in] hdl opaque device handle + * @param[in] pkt network buffer to transmit + * + * @return status of the operation + */ +static inline a_status_t +adf_net_dev_tx(adf_net_handle_t hdl, adf_nbuf_t pkt) +{ + return (__adf_net_dev_tx(hdl,pkt)); +} + + +/** + * @brief Checks if the interface is running or not + * + * @param[in] hdl opaque device handle + * + * @return true if running, false if not + */ +static inline a_bool_t +adf_net_is_running(adf_net_handle_t hdl) +{ + return (__adf_net_is_running(hdl)); +} + +/** + * @brief Checks if the interface is up or not + * + * @param[in] hdl opaque device handle + * + * @return true if up, false if not + */ +static inline a_bool_t +adf_net_is_up(adf_net_handle_t hdl) +{ + return (__adf_net_is_up(hdl)); +} + + +/** + * @brief check whether the carrier is available or not + * + * @param[in] hdl opaque device handle + * + * @return a_bool_t true if available, false if not + */ +static inline a_bool_t +adf_net_carrier_ok(adf_net_handle_t hdl) +{ + return(__adf_net_carrier_ok(hdl)); +} + + +/** + * @brief inform the networking stack that the link is down + * + * @param[in] hdl opaque device handle + */ +static inline void +adf_net_carrier_off(adf_net_handle_t hdl) +{ + __adf_net_carrier_off(hdl); +} + + +/** + * @brief inform the networking stack that the link is up + * + * @param[in] hdl opaque device handle + * + * @see adf_net_carrier_off() + */ +static inline void +adf_net_carrier_on(adf_net_handle_t hdl) +{ + __adf_net_carrier_on(hdl); +} + + +/* + * Queue mgmt. + * driver will use these to keep the native networking stack abreast of its + * resource (descriptor) situation. + */ + +/** + * @brief inform the networking stack that the device is ready to receive + * transmit packets. Typically called during init. + * + * @param[in] hdl opaque device handle + */ +static inline void +adf_net_start_queue(adf_net_handle_t hdl) +{ + __adf_net_start_queue(hdl); +} + +/** + * @brief inform the networking stack to stop sending transmit packets. + * Typically called if the driver runs out of resources for the device. + * + * @param[in] hdl opaque device handle + */ +static inline void +adf_net_stop_queue(adf_net_handle_t hdl) +{ + __adf_net_stop_queue(hdl); +} + + +/** + * @brief inform the native stack to resume sending packets + * to transmit.Typically called when the driver has resources + * available again for the device. + * + * @note adf_net_wake_queue() is the counterpart of adf_net_stop_queue() + * + * @param[in] hdl opaque device handle + */ +static inline void +adf_net_wake_queue(adf_net_handle_t hdl) +{ + __adf_net_wake_queue(hdl); +} + + +/** + * @brief Check the state of the queue + * + * @param[in] hdl opaque device handle + * + * @return true if stopped, false if not + */ +static inline a_bool_t +adf_net_queue_stopped(adf_net_handle_t hdl) +{ + return(__adf_net_queue_stopped(hdl)); +} + + +/** + * @brief This indicates a packet to the networking stack + * (minus the FCS). The driver should just strip + * the FCS and give the packet as a whole. This is + * necessary because different native stacks have + * different expectation of how they want to recv the + * packet. This fucntion will strip off whatever is + * required for the OS interface. The routine will also + * figure out whether its being called in irq context and + * call the appropriate OS API. + * + * @param[in] hdl opaque device handle + * @param[in] pkt network buffer to indicate + * @param[in] len length of buffer + */ +static inline void +adf_net_indicate_packet(adf_net_handle_t hdl, adf_nbuf_t pkt, a_uint32_t len) +{ + __adf_net_indicate_packet(hdl, pkt, len); +} + +/** + * @brief use this when indicating a vlan tagged packet on RX + * + * @param[in] hdl opaque device handle + * @param[in] pkt network buffer to indicate + * @param[in] len length of buffer + * @param[in] vid vlan id + * + * @return status of operation + */ +static inline a_status_t +adf_net_indicate_vlanpkt(adf_net_handle_t hdl, adf_nbuf_t pkt, + a_uint32_t len, adf_net_vid_t *vid) +{ + return (__adf_net_indicate_vlanpkt(hdl, pkt, len, vid)); +} + +/** + * @brief get interface name + * + * @param[in] hdl opaque device handle + * + * @return name of interface + */ +static inline const a_uint8_t * +adf_net_ifname(adf_net_handle_t hdl) +{ + return (__adf_net_ifname(hdl)); +} + +/** + * @brief send management packets to apps (listener). + * This is used for wireless applications. + * + * @param[in] hdl opaque device handle + * @param[in] pkt network buffer to send + * @param[in] len length of buffer + */ +static inline void +adf_net_fw_mgmt_to_app(adf_net_handle_t hdl, adf_nbuf_t pkt, a_uint32_t len) +{ + __adf_net_fw_mgmt_to_app(hdl, pkt, len); +} +/** + * @brief send wireless events to listening applications + * + * @param[in] hdl opaque device handle + * @param[in] what event to send + * @param[in] data information about event + * @param[in] data_len length of accompanying information + */ +static inline void +adf_net_send_wireless_event(adf_net_handle_t hdl, + adf_net_wireless_event_t what, + void *data, adf_os_size_t data_len) +{ + __adf_net_send_wireless_event(hdl, what, data, data_len); +} + +/** + * @brief schedule the poll controller. + * + * @param[in] hdl opaque device handle + */ +static inline void +adf_net_poll_schedule(adf_net_handle_t hdl) +{ + __adf_net_poll_schedule(hdl); +} + + +/** + * @brief per cpu deffered callback (e.g. for RSS) + * + * @param[in] hdl opaque device handle + * @param[in] cpu_msk + * @param[in] arg + */ +static inline void +adf_net_poll_schedule_cpu(adf_net_handle_t hdl, a_uint32_t cpu_msk, void *arg) +{ + __adf_net_poll_schedule_cpu(hdl, cpu_msk, arg); +} + +/** + * @brief Get OS Handle from OS device object. + * + * @param[in] osdev OS device object + * + * @return OS handle + */ +static inline adf_os_handle_t +adf_net_dev_to_os(adf_os_device_t osdev) +{ + return __adf_net_dev_to_os(osdev); +} + +/** + * @brief Get OS Handle from OS net handle. + * + * @param[in] osdev OS net handle + * + * @return OS handle + */ +static inline adf_os_handle_t +adf_net_hdl_to_os(adf_net_handle_t hdl) +{ + return __adf_net_hdl_to_os(hdl); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_net_sw.h b/target_firmware/magpie_fw_dev/target/inc/adf_net_sw.h new file mode 100755 index 0000000..00e7eab --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_net_sw.h @@ -0,0 +1,108 @@ +/** + * @ingroup adf_net_public + * @file adf_net_sw.h + * This file defines the device and virtual device switch tables. + */ + +#ifndef __ADF_NET_SW_H +#define __ADF_NET_SW_H + + +/** + * @brief per device switch structure + */ +typedef struct _adf_dev_sw{ + /** + * @brief Handler for device open - mandatory interface + */ + a_status_t (*drv_open) (adf_drv_handle_t hdl); + /** + * @brief Handler for device close - mandatory interface + */ + void (*drv_close) (adf_drv_handle_t hdl); + /** + * @brief Handler for transmit - mandatory interface + */ + a_status_t (*drv_tx) (adf_drv_handle_t hdl, adf_nbuf_t pkt); + /** + * @brief Handler for configuration command - mandatory interface + */ + a_status_t (*drv_cmd) (adf_drv_handle_t hdl, adf_net_cmd_t cmd, + adf_net_cmd_data_t *data); + /** + * @brief Handler for ioctl - mandatory interface + */ + a_status_t (*drv_ioctl) (adf_drv_handle_t hdl, int num, + void *data); + /** + * @brief Handler for transmission timeout - mandatory interface + */ + a_status_t (*drv_tx_timeout)(adf_drv_handle_t hdl); + /** + * @brief Handler for wireless configuration - optional interface + */ + a_status_t (*drv_wcmd) (adf_drv_handle_t hdl, adf_net_wcmd_type_t cmd, + adf_net_wcmd_data_t *data); + /** + * @brief Handler for polling if polling/deferred processing required - + * optional interface + */ + adf_net_poll_resp_t (*drv_poll) (adf_drv_handle_t hdl, int quota, + int *work_done); + /** + * @brief Handler for per cpu deffered callback (e.g. for RSS) - optional + * interface + */ + adf_net_poll_resp_t (*drv_poll_cpu) (adf_drv_handle_t hdl, int quota, + int *work_done, void *arg); + /** + * @brief Handler for disabling receive interrupts for polling. + * adf_drv should do proper locking - these are not called in atomic context + */ + void (*drv_poll_int_disable)(adf_drv_handle_t hdl); + /** + * @brief Handler for enabling receive interrupts for polling. + * adf_drv should do proper locking - these are not called in atomic context + */ + void (*drv_poll_int_enable) (adf_drv_handle_t hdl); + +}adf_dev_sw_t; + +/** + * @brief Virtual device switch structure + */ +typedef struct _adf_vdev_sw{ + /** + * @brief Handler for device open + */ + a_status_t (*drv_open) (adf_drv_handle_t hdl); + /** + * @brief Handler for device close + */ + void (*drv_close) (adf_drv_handle_t hdl); + /** + * @brief Handler for transmit + */ + a_status_t (*drv_tx) (adf_drv_handle_t hdl, adf_nbuf_t pkt); + /** + * @brief Handler for configuration command + */ + a_status_t (*drv_cmd) (adf_drv_handle_t hdl, adf_net_cmd_t cmd, + adf_net_cmd_data_t *data); + /** + * @brief Handler for wireless configuration + */ + a_status_t (*drv_wcmd) (adf_drv_handle_t hdl, adf_net_wcmd_type_t cmd, + adf_net_wcmd_data_t *data); + /** + * @brief Handler for transmission timeout + */ + a_status_t (*drv_tx_timeout) (adf_drv_handle_t hdl); + /** + * @brief Handler for ioctl + */ + a_status_t (*drv_ioctl) (adf_drv_handle_t hdl, int num, void *data); +}adf_vdev_sw_t; + +#endif + diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_net_types.h b/target_firmware/magpie_fw_dev/target/inc/adf_net_types.h new file mode 100755 index 0000000..459d084 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_net_types.h @@ -0,0 +1,341 @@ +/** + * @ingroup adf_net_public + * @file adf_net_types.h + * This file defines types used in the networking stack abstraction. + */ + +#ifndef _ADF_NET_TYPES_H +#define _ADF_NET_TYPES_H + + + +/** + * @brief These control/get info from the device + */ +#define ADF_NET_CMD(_x) \ + ADF_NET_CMD_GET_##_x, \ + ADF_NET_CMD_SET_##_x + +/** + * @brief Get/Set commands from anet to adf_drv + */ +typedef enum { + ADF_NET_CMD(LINK_INFO), + ADF_NET_CMD(POLL_INFO), + ADF_NET_CMD(CKSUM_INFO), + ADF_NET_CMD(RING_INFO), + ADF_NET_CMD(MAC_ADDR), + ADF_NET_CMD(MTU), + ADF_NET_CMD_GET_DMA_INFO, + ADF_NET_CMD_GET_OFFLOAD_CAP, + ADF_NET_CMD_GET_STATS, + ADF_NET_CMD_ADD_VID, + ADF_NET_CMD_DEL_VID, + ADF_NET_CMD_SET_MCAST, + ADF_NET_CMD_GET_MCAST_CAP +}adf_net_cmd_t; + + + +/** + * @brief Indicates what features are supported by the interface. + */ +#define ADF_NET_LINK_SUPP_10baseT_Half (1 << 0) +#define ADF_NET_LINK_SUPP_10baseT_Full (1 << 1) +#define ADF_NET_LINK_SUPP_100baseT_Half (1 << 2) +#define ADF_NET_LINK_SUPP_100baseT_Full (1 << 3) +#define ADF_NET_LINK_SUPP_1000baseT_Half (1 << 4) +#define ADF_NET_LINK_SUPP_1000baseT_Full (1 << 5) +#define ADF_NET_LINK_SUPP_Autoneg (1 << 6) +#define ADF_NET_LINK_SUPP_Pause (1 << 7) +#define ADF_NET_LINK_SUPP_Asym_Pause (1 << 8) + +#define ADF_NET_LINK_SUPP_100 (ADF_NET_LINK_SUPP_10baseT_Half | \ + ADF_NET_LINK_SUPP_10baseT_Full | \ + ADF_NET_LINK_SUPP_100baseT_Half | \ + ADF_NET_LINK_SUPP_100baseT_Full) + +#define ADF_NET_LINK_SUPP_1000 (ADF_NET_LINK_SUPP_100 | \ + ADF_NET_LINK_SUPP_1000baseT_Full) + +/** + * @brief Indicates what features are advertised by the interface. + */ +#define ADF_NET_LINK_ADV_10baseT_Half (1 << 0) +#define ADF_NET_LINK_ADV_10baseT_Full (1 << 1) +#define ADF_NET_LINK_ADV_100baseT_Half (1 << 2) +#define ADF_NET_LINK_ADV_100baseT_Full (1 << 3) +#define ADF_NET_LINK_ADV_1000baseT_Half (1 << 4) +#define ADF_NET_LINK_ADV_1000baseT_Full (1 << 5) +#define ADF_NET_LINK_ADV_Autoneg (1 << 6) +#define ADF_NET_LINK_ADV_Pause (1 << 7) +#define ADF_NET_LINK_ADV_Asym_Pause (1 << 8) + +#define ADF_NET_LINK_ADV_100 (ADF_NET_LINK_ADV_10baseT_Half | \ + ADF_NET_LINK_ADV_10baseT_Full | \ + ADF_NET_LINK_ADV_100baseT_Half | \ + ADF_NET_LINK_ADV_100baseT_Full) + +#define ADF_NET_LINK_ADV_1000 (ADF_NET_LINK_ADV_100 | \ + ADF_NET_LINK_ADV_1000baseT_Full) + +/** + * @brief The forced/current speed/duplex/autoneg + */ +#define ADF_NET_LINK_SPEED_10 10 +#define ADF_NET_LINK_SPEED_100 100 +#define ADF_NET_LINK_SPEED_1000 1000 + +#define ADF_NET_LINK_DUPLEX_HALF 0x00 +#define ADF_NET_LINK_DUPLEX_FULL 0x01 + +#define ADF_NET_LINK_AUTONEG_DISABLE 0x00 +#define ADF_NET_LINK_AUTONEG_ENABLE 0x01 + +#define ADF_NET_MAC_ADDR_MAX_LEN 6 +#define ADF_NET_IF_NAME_SIZE 64 +#define ADF_NET_ETH_LEN ADF_NET_MAC_ADDR_MAX_LEN +#define ADF_NET_MAX_MCAST_ADDR 128 + +/** + * @brief link info capability/parameters for the device + * Note the flags below + */ +typedef struct { + a_uint32_t supported; /*RO Features this if supports*/ + a_uint32_t advertized; /*Features this interface advertizes*/ + a_int16_t speed; /*Force speed 10M, 100M, gigE*/ + a_int8_t duplex; /*duplex full or half*/ + a_uint8_t autoneg; /*Enabled/disable autoneg*/ +}adf_net_cmd_link_info_t; + +typedef struct adf_net_ethaddr{ + a_uint8_t addr[ADF_NET_ETH_LEN]; +} adf_net_ethaddr_t; +typedef struct { + a_uint8_t ether_dhost[ADF_NET_ETH_LEN]; /* destination eth addr */ + a_uint8_t ether_shost[ADF_NET_ETH_LEN]; /* source ether addr */ + a_uint16_t ether_type; /* packet type ID field */ +}adf_net_ethhdr_t; + +typedef struct { +#if defined (ADF_LITTLE_ENDIAN_MACHINE) + a_uint8_t ip_hl:4, + ip_version:4; +#elif defined (ADF_BIG_ENDIAN_MACHINE) + a_uint8_t ip_version:4, + ip_hl:4; +#else +#error "Please fix" +#endif + a_uint8_t ip_tos; + + a_uint16_t ip_len; + a_uint16_t ip_id; + a_uint16_t ip_frag_off; + a_uint8_t ip_ttl; + a_uint8_t ip_proto; + a_uint16_t ip_check; + a_uint32_t ip_saddr; + a_uint32_t ip_daddr; + /*The options start here. */ + }adf_net_iphdr_t; + +/** + * @brief Vlan header + */ +typedef struct adf_net_vlanhdr{ + a_uint16_t tpid; +#if defined (ADF_LITTLE_ENDIAN_MACHINE) + a_uint16_t vid:12; /* Vlan id*/ + a_uint8_t cfi:1; /* reserved for CFI, don't use*/ + a_uint8_t prio:3; /* Priority*/ +#elif defined (ADF_BIG_ENDIAN_MACHINE) + a_uint8_t prio:3; /* Priority*/ + a_uint8_t cfi:1; /* reserved for CFI, don't use*/ + a_uint16_t vid:12; /* Vlan id*/ +#else +#error "Please fix" +#endif +}adf_net_vlanhdr_t; + +typedef struct adf_net_vid{ +#if defined (ADF_LITTLE_ENDIAN_MACHINE) + a_uint16_t val:12; + a_uint8_t res:4; +#elif defined (ADF_BIG_ENDIAN_MACHINE) + a_uint8_t res:4; + a_uint16_t val:12; +#else +#error "Please fix" +#endif +}adf_net_vid_t; + + +/** + * @brief Command for setting ring paramters. + */ +typedef struct { + a_uint32_t rx_bufsize; /*Ro field. For shim's that maintain a pool*/ + a_uint32_t rx_ndesc; + a_uint32_t tx_ndesc; +}adf_net_cmd_ring_info_t; + +/** + * @brief Whether the interface is polled or not. If so, the polling bias (number of + * packets it wants to process per invocation + */ +typedef struct { + a_bool_t polled; + a_uint32_t poll_wt; +}adf_net_cmd_poll_info_t; + +/** + * @brief Basic device info + */ +typedef struct { + a_uint8_t if_name[ADF_NET_IF_NAME_SIZE]; + a_uint8_t dev_addr[ADF_NET_MAC_ADDR_MAX_LEN]; +}adf_net_dev_info_t; + +typedef struct adf_dma_info { + adf_os_dma_mask_t dma_mask; + a_uint32_t sg_nsegs; /**< scatter segments */ +}adf_net_cmd_dma_info_t; + +/** + * @brief Defines the TX and RX checksumming capabilities/state of the device + * The actual checksum handling happens on an adf_nbuf + * If offload capability command not supported, all offloads are assumed to be + * none. + */ +typedef enum { + ADF_NET_CKSUM_NONE, /*Cannot do any checksum*/ + ADF_NET_CKSUM_TCP_UDP_IPv4, /*tcp/udp on ipv4 with pseudo hdr*/ + ADF_NET_CKSUM_TCP_UDP_IPv6, /*tcp/udp on ipv6*/ +}adf_net_cksum_type_t; + +typedef struct { + adf_net_cksum_type_t tx_cksum; + adf_net_cksum_type_t rx_cksum; +}adf_net_cksum_info_t; + +typedef adf_net_cksum_info_t adf_net_cmd_cksum_info_t; /*XXX needed?*/ + +/** + * @brief Command for set/unset vid + */ +typedef a_uint16_t adf_net_cmd_vid_t ; /*get/set vlan id*/ + +typedef enum { + ADF_NET_TSO_NONE, + ADF_NET_TSO_IPV4, /**< for tsp ipv4 only*/ + ADF_NET_TSO_ALL, /**< ip4 & ipv6*/ +}adf_net_tso_type_t; + +/** + * @brief Command for getting offloading capabilities of a device + */ +typedef struct { + adf_net_cksum_info_t cksum_cap; + adf_net_tso_type_t tso; + a_uint8_t vlan_supported; +}adf_net_cmd_offload_cap_t; + +/** + * @brief Command for getting general stats from a device + */ +typedef struct { + a_uint32_t tx_packets; /**< total packets transmitted*/ + a_uint32_t rx_packets; /**< total packets recieved*/ + a_uint32_t tx_bytes; /**< total bytes transmitted*/ + a_uint32_t rx_bytes; /**< total bytes recieved*/ + a_uint32_t tx_dropped; /**< total tx dropped because of lack of buffers*/ + a_uint32_t rx_dropped; /**< total rx dropped because of lack of buffers*/ + a_uint32_t rx_errors; /**< bad packet recieved*/ + a_uint32_t tx_errors; /**< transmisison problems*/ +}adf_net_cmd_stats_t; + +typedef enum adf_net_cmd_mcast_cap{ + ADF_NET_MCAST_SUP=0, + ADF_NET_MCAST_NOTSUP +}adf_net_cmd_mcast_cap_t; + +typedef struct adf_net_cmd_mcaddr{ + a_uint32_t nelem; /**< No. of mcast addresses*/ + adf_net_ethaddr_t mcast[ADF_NET_MAX_MCAST_ADDR]; +}adf_net_cmd_mcaddr_t; + +typedef union { + adf_net_cmd_link_info_t link_info; + adf_net_cmd_poll_info_t poll_info; + adf_net_cmd_cksum_info_t cksum_info; + adf_net_cmd_ring_info_t ring_info; + adf_net_cmd_dma_info_t dma_info; + adf_net_cmd_vid_t vid; + adf_net_cmd_offload_cap_t offload_cap; + adf_net_cmd_stats_t stats; + adf_net_cmd_mcaddr_t mcast_info; + adf_net_cmd_mcast_cap_t mcast_cap; +}adf_net_cmd_data_t; + +/** + * @brief For polled devices, adf_drv responds with one of the following status in + * its poll function. + */ +typedef enum { + ADF_NET_POLL_DONE, + ADF_NET_POLL_NOT_DONE, + ADF_NET_POLL_OOM, +}adf_net_poll_resp_t; + +/** + * @brief For recieve checksum API + */ +typedef enum { + ADF_NBUF_RX_CKSUM_NONE, /*device failed to ckecksum*/ + ADF_NBUF_RX_CKSUM_HW, /*checksum successful and value returned*/ + ADF_NBUF_RX_CKSUM_UNNECESSARY, /*cksum successful, no value*/ +}adf_nbuf_rx_cksum_type_t; + +typedef struct { + adf_nbuf_rx_cksum_type_t result; + a_uint32_t val; +}adf_nbuf_rx_cksum_t; + +/** + * @brief For TCP large Segment Offload + */ +typedef struct { + adf_net_tso_type_t type; + a_uint16_t mss; + a_uint8_t hdr_off; +}adf_nbuf_tso_t; + +/** + * @brief Wireless events + * ADF_IEEE80211_ASSOC = station associate (bss mode) + * ADF_IEEE80211_REASSOC = station re-associate (bss mode) + * ADF_IEEE80211_DISASSOC = station disassociate (bss mode) + * ADF_IEEE80211_JOIN = station join (ap mode) + * ADF_IEEE80211_LEAVE = station leave (ap mode) + * ADF_IEEE80211_SCAN = scan complete, results available + * ADF_IEEE80211_REPLAY = sequence counter replay detected + * ADF_IEEE80211_MICHAEL = Michael MIC failure detected + * ADF_IEEE80211_REJOIN = station re-associate (ap mode) + * ADF_CUSTOM_PUSH_BUTTON = + */ +typedef enum adf_net_wireless_events{ + ADF_IEEE80211_ASSOC = __ADF_IEEE80211_ASSOC, + ADF_IEEE80211_REASSOC = __ADF_IEEE80211_REASSOC, + ADF_IEEE80211_DISASSOC = __ADF_IEEE80211_DISASSOC, + ADF_IEEE80211_JOIN = __ADF_IEEE80211_JOIN, + ADF_IEEE80211_LEAVE = __ADF_IEEE80211_LEAVE, + ADF_IEEE80211_SCAN = __ADF_IEEE80211_SCAN, + ADF_IEEE80211_REPLAY = __ADF_IEEE80211_REPLAY, + ADF_IEEE80211_MICHAEL = __ADF_IEEE80211_MICHAEL, + ADF_IEEE80211_REJOIN = __ADF_IEEE80211_REJOIN, + ADF_CUSTOM_PUSH_BUTTON = __ADF_CUSTOM_PUSH_BUTTON +}adf_net_wireless_event_t; + +#endif /*_ADF_NET_TYPES_H*/ diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_net_wcmd.h b/target_firmware/magpie_fw_dev/target/inc/adf_net_wcmd.h new file mode 100755 index 0000000..a2070c3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_net_wcmd.h @@ -0,0 +1,1489 @@ +/** + * Copyright (c) Atheros Communications Inc. 2002-2008 + * + */ + +#ifndef __ADF_NET_WCMD_H +#define __ADF_NET_WCMD_H + +#include +#include +#include + + + +/** + * Defines + */ +#define ADF_NET_WCMD_NAME_SIZE __ADF_OS_NAME_SIZE +#define ADF_NET_WCMD_NICK_NAME 32 /**< Max Device nick name size*/ +#define ADF_NET_WCMD_MODE_NAME_LEN 6 +#define ADF_NET_WCMD_IE_MAXLEN 256 /** Max Len for IE */ + +#define ADF_NET_WCMD_MAX_BITRATES 32 +#define ADF_NET_WCMD_MAX_ENC_SZ 8 +#define ADF_NET_WCMD_MAX_FREQ 32 +#define ADF_NET_WCMD_MAX_TXPOWER 8 +#define ADF_NET_WCMD_EVENT_CAP 6 + +/** + * @brief key set/get info + */ +#define ADF_NET_WCMD_KEYBUF_SIZE 16 +#define ADF_NET_WCMD_MICBUF_SIZE 16/**< space for tx+rx keys */ +#define ADF_NET_WCMD_KEY_DEFAULT 0x80/**< default xmit key */ +#define ADF_NET_WCMD_ADDR_LEN 6 +#define ADF_NET_WCMD_KEYDATA_SZ \ + (ADF_NET_WCMD_KEYBUF_SIZE + ADF_NET_WCMD_MICBUF_SIZE) +/** + * @brief key flags + * XXX: enum's + */ +#define ADF_NET_WCMD_VAPKEY_XMIT 0x01/**< xmit */ +#define ADF_NET_WCMD_VAPKEY_RECV 0x02/**< recv */ +#define ADF_NET_WCMD_VAPKEY_GROUP 0x04/**< WPA group*/ +#define ADF_NET_WCMD_VAPKEY_SWCRYPT 0x10/**< Encrypt/decrypt*/ +#define ADF_NET_WCMD_VAPKEY_SWMIC 0x20/**< Enmic/Demic */ +#define ADF_NET_WCMD_VAPKEY_DEFAULT 0x80/**< Default key */ + +#define ADF_NET_WCMD_MAX_SSID 32 +#define ADF_NET_WCMD_CHAN_BYTES 32 + +#define ADF_NET_WCMD_RTS_DEFAULT 512 +#define ADF_NET_WCMD_RTS_MIN 1 +#define ADF_NET_WCMD_RTS_MAX 2346 + +#define ADF_NET_WCMD_FRAG_MIN 256 +#define ADF_NET_WCMD_FRAG_MAX 2346 +/** + * @brief Maximum number of address that you may get in the + * list of access ponts + */ +#define ADF_NET_WCMD_MAX_AP 64 + +#define ADF_NET_WCMD_RATE_MAXSIZE 30 +#define ADF_NET_WCMD_NUM_TR_ENTS 128 +/** + * @brief Ethtool specific + */ +#define ADF_NET_WCMD_BUSINFO_LEN 32 +#define ADF_NET_WCMD_DRIVSIZ 32 +#define ADF_NET_WCMD_VERSIZ 32 +#define ADF_NET_WCMD_FIRMSIZ 32 +/** + * *******************************Enums****************** + */ +typedef enum adf_net_wcmd_vapmode{ + ADF_NET_WCMD_VAPMODE_AUTO, /**< Driver default*/ + ADF_NET_WCMD_VAPMODE_ADHOC, /**< Single cell*/ + ADF_NET_WCMD_VAPMODE_INFRA, /**< Multi Cell or Roaming*/ + ADF_NET_WCMD_VAPMODE_MASTER, /**< Access Point*/ + ADF_NET_WCMD_VAPMODE_REPEAT, /**< Wireless Repeater*/ + ADF_NET_WCMD_VAPMODE_SECOND, /**< Secondary master or repeater*/ + ADF_NET_WCMD_VAPMODE_MONITOR /**< Passive Monitor*/ +}adf_net_wcmd_vapmode_t; +/** + * @brief key type + */ +typedef enum adf_net_wcmd_ciphermode{ + ADF_NET_WCMD_CIPHERMODE_WEP, + ADF_NET_WCMD_CIPHERMODE_TKIP, + ADF_NET_WCMD_CIPHERMODE_AES_OCB, + ADF_NET_WCMD_CIPHERMODE_AES_CCM , + ADF_NET_WCMD_CIPHERMODE_RESERVE, + ADF_NET_WCMD_CIPHERMODE_CKIP, + ADF_NET_WCMD_CIPHERMODE_NONE +}adf_net_wcmd_ciphermode_t; +/** + * @brief Get/Set wireless commands + */ +typedef enum adf_net_wcmd_type{ + /* net80211 */ + ADF_NET_WCMD_GET_RTS_THRES, + ADF_NET_WCMD_SET_RTS_THRES, + ADF_NET_WCMD_GET_FRAGMENT, + ADF_NET_WCMD_SET_FRAGMENT, + ADF_NET_WCMD_GET_VAPMODE, + ADF_NET_WCMD_SET_VAPMODE, + ADF_NET_WCMD_GET_BSSID, + ADF_NET_WCMD_SET_BSSID, + ADF_NET_WCMD_GET_NICKNAME, + ADF_NET_WCMD_SET_NICKNAME, + ADF_NET_WCMD_GET_FREQUENCY, + ADF_NET_WCMD_SET_FREQUENCY, + ADF_NET_WCMD_GET_ESSID, + ADF_NET_WCMD_SET_ESSID, + ADF_NET_WCMD_GET_TX_POWER, + ADF_NET_WCMD_SET_TX_POWER, + ADF_NET_WCMD_GET_PARAM, + ADF_NET_WCMD_SET_PARAM, + ADF_NET_WCMD_GET_OPT_IE, + ADF_NET_WCMD_SET_OPT_IE, + ADF_NET_WCMD_GET_APP_IE_BUF, + ADF_NET_WCMD_SET_APP_IE_BUF, + ADF_NET_WCMD_SET_ENC, + ADF_NET_WCMD_GET_KEY, + ADF_NET_WCMD_SET_KEY, + ADF_NET_WCMD_GET_SCAN, + ADF_NET_WCMD_SET_SCAN, + ADF_NET_WCMD_GET_MODE, + ADF_NET_WCMD_SET_MODE, + ADF_NET_WCMD_GET_CHAN_LIST, + ADF_NET_WCMD_SET_CHAN_LIST, + ADF_NET_WCMD_GET_WMM_PARAM, + ADF_NET_WCMD_SET_WMM_PARAM, + ADF_NET_WCMD_GET_VAPNAME, + ADF_NET_WCMD_GET_IC_CAPS, + ADF_NET_WCMD_GET_RETRIES, + ADF_NET_WCMD_GET_WAP_LIST, + ADF_NET_WCMD_GET_ADDBA_STATUS, + ADF_NET_WCMD_GET_CHAN_INFO, + ADF_NET_WCMD_GET_WPA_IE, + ADF_NET_WCMD_GET_WSC_IE, + ADF_NET_WCMD_SET_TXPOWER_LIMIT, + ADF_NET_WCMD_SET_TURBO, + ADF_NET_WCMD_SET_FILTER, + ADF_NET_WCMD_SET_ADDBA_RESPONSE, + ADF_NET_WCMD_SET_MLME, + ADF_NET_WCMD_SET_SEND_ADDBA, + ADF_NET_WCMD_SET_SEND_DELBA, + ADF_NET_WCMD_SET_DELKEY, + ADF_NET_WCMD_SET_DELMAC, + ADF_NET_WCMD_SET_ADD_MAC, + ADF_NET_WCMD_GET_RANGE, + ADF_NET_WCMD_GET_POWER, + ADF_NET_WCMD_SET_POWER, + ADF_NET_WCMD_GET_DEVSTATS, + ADF_NET_WCMD_SET_MTU, + ADF_NET_WCMD_SET_SYSCTL, + ADF_NET_WCMD_GET_STA_STATS,/* stats_sta */ + ADF_NET_WCMD_GET_VAP_STATS, /* stats_vap */ + ADF_NET_WCMD_GET_STATION_LIST, /* station */ + /* Device specific */ + ADF_NET_WCMD_SET_DEV_VAP_CREATE, + ADF_NET_WCMD_SET_DEV_TX_TIMEOUT, /* XXX:No data definition */ + ADF_NET_WCMD_SET_DEV_MODE_INIT, /* XXX:No data definition */ + ADF_NET_WCMD_GET_DEV_STATUS, + ADF_NET_WCMD_GET_DEV_STATUS_CLR, /* XXX:No data definition */ + ADF_NET_WCMD_GET_DEV_DIALOG, + ADF_NET_WCMD_GET_DEV_PHYERR, + ADF_NET_WCMD_GET_DEV_CWM, + ADF_NET_WCMD_GET_DEV_ETHTOOL, + ADF_NET_WCMD_SET_DEV_MAC, + ADF_NET_WCMD_SET_DEV_CAP,/*ATH_CAP*/ + /* Device write specific */ + ADF_NET_WCMD_SET_DEV_EIFS_MASK, + ADF_NET_WCMD_SET_DEV_EIFS_DUR, + ADF_NET_WCMD_SET_DEV_SLOTTIME, + ADF_NET_WCMD_SET_DEV_ACKTIMEOUT, + ADF_NET_WCMD_SET_DEV_CTSTIMEOUT, + ADF_NET_WCMD_SET_DEV_SOFTLED, + ADF_NET_WCMD_SET_DEV_LEDPIN, + ADF_NET_WCMD_SET_DEV_DEBUG, + ADF_NET_WCMD_SET_DEV_TXANTENNA, + ADF_NET_WCMD_SET_DEV_RXANTENNA, + ADF_NET_WCMD_SET_DEV_DIVERSITY, + ADF_NET_WCMD_SET_DEV_TXINTRPERIOD, + ADF_NET_WCMD_SET_DEV_FFTXQMIN, + ADF_NET_WCMD_SET_DEV_TKIPMIC, + ADF_NET_WCMD_SET_DEV_GLOBALTXTIMEOUT, + ADF_NET_WCMD_SET_DEV_SW_WSC_BUTTON, + /* Device read specific */ + ADF_NET_WCMD_GET_DEV_EIFS_MASK, + ADF_NET_WCMD_GET_DEV_EIFS_DUR, + ADF_NET_WCMD_GET_DEV_SLOTTIME, + ADF_NET_WCMD_GET_DEV_ACKTIMEOUT, + ADF_NET_WCMD_GET_DEV_CTSTIMEOUT, + ADF_NET_WCMD_GET_DEV_SOFTLED, + ADF_NET_WCMD_GET_DEV_LEDPIN, + ADF_NET_WCMD_GET_DEV_COUNTRYCODE, + ADF_NET_WCMD_GET_DEV_REGDOMAIN, + ADF_NET_WCMD_GET_DEV_DEBUG, + ADF_NET_WCMD_GET_DEV_TXANTENNA, + ADF_NET_WCMD_GET_DEV_RXANTENNA, + ADF_NET_WCMD_GET_DEV_DIVERSITY, + ADF_NET_WCMD_GET_DEV_TXINTRPERIOD, + ADF_NET_WCMD_GET_DEV_FFTXQMIN, + ADF_NET_WCMD_GET_DEV_TKIPMIC, + ADF_NET_WCMD_GET_DEV_GLOBALTXTIMEOUT, + ADF_NET_WCMD_GET_DEV_SW_WSC_BUTTON +}adf_net_wcmd_type_t; +/** + * @brief Opmodes for the VAP + */ +typedef enum adf_net_wcmd_opmode{ + ADF_NET_WCMD_OPMODE_IBSS,/**< IBSS (adhoc) station */ + ADF_NET_WCMD_OPMODE_STA,/**< Infrastructure station */ + ADF_NET_WCMD_OPMODE_WDS,/**< WDS link */ + ADF_NET_WCMD_OPMODE_AHDEMO,/**< Old lucent compatible adhoc demo */ + ADF_NET_WCMD_OPMODE_RESERVE0,/** +/** + * @brief Atomic type of variable. + * Use this when you want a simple resource counter etc. which is atomic + * across multiple CPU's. These maybe slower than usual counters on some + * platforms/OS'es, so use them with caution. + */ +typedef __adf_os_atomic_t adf_os_atomic_t; + +/** + * @brief Initialize an atomic type variable + * @param[in] v a pointer to an opaque atomic variable + */ +static inline void +adf_os_atomic_init(adf_os_atomic_t *v) +{ + __adf_os_atomic_init(v); +} + +/** + * @brief Read the value of an atomic variable. + * @param[in] v a pointer to an opaque atomic variable + * + * @return the current value of the variable + */ +static inline a_uint32_t +adf_os_atomic_read(adf_os_atomic_t *v) +{ + return (__adf_os_atomic_read(v)); +} + +/** + * @brief Increment the value of an atomic variable. + * @param[in] v a pointer to an opaque atomic variable + */ +static inline void +adf_os_atomic_inc(adf_os_atomic_t *v) +{ + return (__adf_os_atomic_inc(v)); +} + +/** + * @brief Decrement the value of an atomic variable. + * @param v a pointer to an opaque atomic variable + */ +static inline void +adf_os_atomic_dec(adf_os_atomic_t *v) +{ + return (__adf_os_atomic_dec(v)); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_bitops.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_bitops.h new file mode 100755 index 0000000..d132eed --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_bitops.h @@ -0,0 +1,199 @@ +/** + * @ingroup adf_os_public + * @file adf_os_bitops.h + * This file abstracts bit-level operations on a stream of bytes. + */ + +#ifndef _ADF_OS_BITOPS_H +#define _ADF_OS_BITOPS_H + +#include + +/** + * @brief Set a bit atomically + * @param[in] nr Bit to change + * @param[in] addr Address to start counting from + * + * @note its atomic and cannot be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_set_bit_a(a_uint32_t nr, volatile a_uint32_t *addr) +{ + __adf_os_set_bit_a(nr, addr); +} + +/** + * @brief Set a bit + * @param[in] nr Bit to change + * @param[in] addr Address to start counting from + * + * @note its not atomic and can be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_set_bit(a_uint32_t nr, volatile a_uint32_t *addr) +{ + __adf_os_set_bit(nr, addr); +} + +/** + * @brief Clear a bit atomically + * @param[in] nr Bit to change + * @param[in] addr Address to start counting from + * + * @note its atomic and cannot be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_clear_bit_a(a_uint32_t nr, volatile a_uint32_t *addr) +{ + __adf_os_clear_bit_a(nr, addr); +} + +/** + * @brief Clear a bit + * @param[in] nr Bit to change + * @param[in] addr Address to start counting from + * + * @note its not atomic and can be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_clear_bit(a_uint32_t nr, volatile a_uint32_t *addr) +{ + __adf_os_clear_bit(nr, addr); +} + +/** + * @brief Toggle a bit atomically + * @param[in] nr Bit to change + * @param[in] addr Address to start counting from + * + * @note its atomic and cannot be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_change_bit_a(a_uint32_t nr, volatile a_uint32_t *addr) +{ + __adf_os_change_bit_a(nr, addr); +} + +/** + * @brief Toggle a bit + * @param[in] nr Bit to change + * @param[in] addr Address to start counting from + * + * @note its not atomic and can be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_change_bit(a_uint32_t nr, volatile a_uint32_t *addr) +{ + __adf_os_change_bit(nr, addr); +} + +/** + * @brief Test and Set a bit atomically + * @param[in] nr Bit to set + * @param[in] addr Address to start counting from + * + * @note its atomic and cannot be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_test_and_set_bit_a(a_uint32_t nr, + volatile a_uint32_t *addr) +{ + __adf_os_test_and_set_bit_a(nr, addr); +} + +/** + * @brief Test and Set a bit + * @param[in] nr Bit to set + * @param[in] addr Address to start counting from + * + * @note its not atomic and can be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_test_and_set_bit(a_uint32_t nr, + volatile a_uint32_t *addr) +{ + __adf_os_test_and_set_bit(nr, addr); +} + +/** + * @brief Test and clear a bit atomically + * @param[in] nr Bit to set + * @param[in] addr Address to start counting from + * + * @note its atomic and cannot be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_test_and_clear_bit_a(a_uint32_t nr, + volatile a_uint32_t *addr) +{ + __adf_os_test_and_clear_bit_a(nr, addr); +} + +/** + * @brief Test and clear a bit + * @param[in] nr Bit to set + * @param[in] addr Address to start counting from + * + * @note its not atomic and can be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_test_and_clear_bit(a_uint32_t nr, + volatile a_uint32_t *addr) +{ + __adf_os_test_and_clear_bit(nr, addr); +} + +/** + * @brief Test and change a bit atomically + * @param[in] nr Bit to set + * @param[in] addr Address to start counting from + * + * @note its atomic and cannot be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_test_and_change_bit_a(a_uint32_t nr, + volatile a_uint32_t *addr) +{ + __adf_os_test_and_change_bit_a(nr, addr); +} + +/** + * @brief Test and clear a bit + * @param[in] nr Bit to set + * @param[in] addr Address to start counting from + * + * @note its not atomic and can be re-ordered. + * Note that nr may be almost arbitrarily large; this function is not + * restricted to acting on a single-word quantity. + */ +static inline void adf_os_test_and_change_bit(a_uint32_t nr, + volatile a_uint32_t *addr) +{ + __adf_os_test_and_change_bit(nr, addr); +} + +/** + * @brief test_bit - Determine whether a bit is set + * @param[in] nr bit number to test + * @param[in] addr Address to start counting from + * + * @return 1 if set, 0 if not + */ +static inline int adf_os_test_bit(a_uint32_t nr, volatile a_uint32_t *addr) +{ + __adf_os_test_bit(nr, addr); +} + + +#endif /**_AOD_BITOPS_H*/ diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_crypto.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_crypto.h new file mode 100755 index 0000000..273e63c --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_crypto.h @@ -0,0 +1,76 @@ +/** + * @ingroup adf_os_public + * @file adf_os_crypto.h + * This file defines crypto APIs + */ + +#ifndef __ADF_OS_CRYPTO_H +#define __ADF_OS_CRYPTO_H + +#include + +/** + * @brief Representation of a cipher context. + */ +typedef __adf_os_cipher_t adf_os_cipher_t; + +/** + * @brief Types of crypto algorithms + */ +typedef enum adf_os_crypto_alg{ + ADF_OS_CRYPTO_AES = __ADF_OS_CRYPTO_AES, + ADF_OS_CRYPTO_OTHER = __ADF_OS_CRYPTO_OTHER, +}adf_os_crypto_alg_t; + + +/** + * @brief allocate the cipher context + * @param[in] type crypto algorithm + * + * @return the new cipher context + */ +static inline adf_os_cipher_t +adf_os_crypto_alloc_cipher(adf_os_crypto_alg_t type) +{ + return __adf_os_crypto_alloc_cipher(type); +} + +/** + * @brief free the cipher context + * + * @param[in] cipher cipher context + */ +static inline void +adf_os_crypto_free_cipher(adf_os_cipher_t cipher) +{ + __adf_os_crypto_free_cipher(cipher); +} + +/** + * @brief set the key for cipher context with length keylen + * + * @param[in] cipher cipher context + * @param[in] key key material + * @param[in] keylen length of key material + * + * @return a_uint32_t + */ +static inline a_uint32_t +adf_os_crypto_cipher_setkey(adf_os_cipher_t cipher, const a_uint8_t *key, a_uint8_t keylen) +{ + return __adf_os_crypto_cipher_setkey(cipher, key, keylen); +} + +/** + * @brief encrypt the data with AES + * + * @param[in] cipher cipher context + * @param[in] src unencrypted data + * @param[out] dst encrypted data + */ +static inline void +adf_os_crypto_rijndael_encrypt(adf_os_cipher_t cipher, const void *src, void *dst) +{ + __adf_os_crypto_rijndael_encrypt(cipher, src, dst); +} +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_defer.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_defer.h new file mode 100755 index 0000000..20d40d5 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_defer.h @@ -0,0 +1,126 @@ +/** + * @ingroup adf_os_public + * @file adf_os_defer.h + * This file abstracts deferred execution contexts. + */ + +#ifndef __ADF_OS_DEFER_H +#define __ADF_OS_DEFER_H + +#include +#include + +/** + * TODO This implements work queues (worker threads, kernel threads etc.). + * Note that there is no cancel on a scheduled work. You cannot free a work + * item if its queued. You cannot know if a work item is queued or not unless + * its running, whence you know its not queued. + * + * so if, say, a module is asked to unload itself, how exactly will it make + * sure that the work's not queued, for OS'es that dont provide such a + * mechanism?? + */ + +/** + * @brief Representation of a work queue. + */ +typedef __adf_os_work_t adf_os_work_t; + +/** + * @brief Representation of a bottom half. + */ +typedef __adf_os_bh_t adf_os_bh_t; + + + +/** + * @brief This initiallizes the Bottom half deferred handler + * + * @param[in] hdl OS handle + * @param[in] bh bottom instance + * @param[in] func deferred function to run at bottom half interrupt + * context. + * @param[in] arg argument for the deferred function + */ +static inline void +adf_os_init_bh(adf_os_handle_t hdl, adf_os_bh_t *bh, + adf_os_defer_fn_t func,void *arg) +{ + __adf_os_init_bh(hdl, bh, func, arg); +} + + +/** + * @brief schedule a bottom half (DPC) + * + * @param[in] hdl OS handle + * @param[in] bh bottom instance + */ +static inline void +adf_os_sched_bh(adf_os_handle_t hdl, adf_os_bh_t *bh) +{ + __adf_os_sched_bh(hdl, bh); +} + +/** + * @brief disable the bh (synchronous) + * + * @param[in] hdl OS handle + * @param[in] bh bottom instance + */ +static inline void +adf_os_disable_bh(adf_os_handle_t hdl, adf_os_bh_t *bh) +{ + __adf_os_disable_bh(hdl,bh); +} + +/*********************Non-Interrupt Context deferred Execution***************/ + +/** + * @brief allocate a work/task queue, This runs in non-interrupt + * context, so can be preempted by H/W & S/W intr + * + * @param[in] hdl OS handle + * @param[in] work work instance + * @param[in] func deferred function to run at bottom half non-interrupt + * context. + * @param[in] arg argument for the deferred function + */ +static inline void +adf_os_init_work(adf_os_handle_t hdl, adf_os_work_t *work, + adf_os_defer_fn_t func, void *arg) +{ + __adf_os_init_work(hdl, work, func, arg); +} + +/** + * @brief Schedule a deferred task on non-interrupt context + * + * @param[in] hdl OS handle + * @param[in] work work instance + */ +static inline void +adf_os_sched_work(adf_os_handle_t hdl, adf_os_work_t *work) +{ + __adf_os_sched_work(hdl, work); +} + +/** + *@brief disable the deferred task (synchronous) + * + *@param[in] hdl OS handle + *@param[in] work work instance + */ +static inline void +adf_os_disable_work(adf_os_handle_t hdl, adf_os_work_t *work) +{ + __adf_os_disable_work(hdl, work); +} + + +/** + * XXX API to specify processor while scheduling a bh => only on vista + */ + + +#endif /*_ADF_OS_DEFER_H*/ diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_dma.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_dma.h new file mode 100755 index 0000000..512ce69 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_dma.h @@ -0,0 +1,94 @@ +/** + * @ingroup adf_os_public + * @file adf_os_dma.h + * This file abstracts DMA operations. + */ + +#ifndef _ADF_OS_DMA_H +#define _ADF_OS_DMA_H + +#include +#include + +/* + * @brief a dma address representation of a platform + */ + +/** + * @brief Allocate a DMA buffer and map it to local bus address space + * + * @param[in] osdev platform device instance + * @param[in] size DMA buffer size + * @param[in] coherent 0 => cached. + * @param[out] dmap opaque coherent memory handle + * + * @return returns the virtual address of the memory + */ +static inline void * +adf_os_dmamem_alloc(adf_os_device_t osdev, + adf_os_size_t size, + a_bool_t coherent, + adf_os_dma_map_t *dmap) +{ + return __adf_os_dmamem_alloc(osdev, size, coherent, dmap); +} + +/** + * @brief Free a previously mapped DMA buffer + * + * @param[in] osdev platform device instance + * @param[in] size DMA buffer size + * @param[in] coherent 0 => cached. + * @param[in] vaddr virtual address of DMA buffer + * @param[in] dmap memory handle + */ +static inline void +adf_os_dmamem_free(adf_os_device_t osdev, + adf_os_size_t size, + a_bool_t coherent, + void *vaddr, + adf_os_dma_map_t dmap) +{ + __adf_os_dmamem_free(osdev, size, coherent, vaddr, dmap); +} + +/** + * @brief given a dmamem map, returns the (bus) address + * + * @param[in] dmap memory handle + * + * @return the (bus) address + */ +static inline adf_os_dma_addr_t +adf_os_dmamem_map2addr(adf_os_dma_map_t dmap) +{ + return(__adf_os_dmamem_map2addr(dmap)); +} + +/** + * @brief Flush and invalidate cache for a given dmamem map + * + * @param[in] osdev platform device instance + * @param[in] dmap mem handle + * @param[in] op op code for sync type, (see @ref adf_os_types.h) + */ +static inline void +adf_os_dmamem_cache_sync(adf_os_device_t osdev, + adf_os_dma_map_t dmap, + adf_os_cache_sync_t op) +{ + __adf_os_dmamem_cache_sync(osdev, dmap, op); +} + +/** + * @brief Get the cpu cache line size + * + * @return The CPU cache line size in bytes. + */ +static inline adf_os_size_t +adf_os_cache_line_size(void) +{ + return __adf_os_cache_line_size(); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_io.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_io.h new file mode 100755 index 0000000..424f8e3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_io.h @@ -0,0 +1,169 @@ +/** + * @ingroup adf_os_public + * @file adf_os_io.h + * This file abstracts I/O operations. + */ + +#ifndef _ADF_OS_IO_H +#define _ADF_OS_IO_H + +#include + + +/** + * @brief Read an 8-bit register value + * + * @param[in] osdev platform device object + * @param[in] addr register addr + * + * @return An 8-bit register value. + */ +#define adf_os_reg_read8(osdev, addr) __adf_os_reg_read8(osdev, addr) + +/** + * @brief Read a 16-bit register value + * + * @param[in] osdev platform device object + * @param[in] addr register addr + * + * @return A 16-bit register value. + */ +#define adf_os_reg_read16(osdev, addr) __adf_os_reg_read16(osdev, addr) + +/** + * @brief Read a 32-bit register value + * + * @param[in] osdev platform device object + * @param[in] addr register addr + * + * @return A 32-bit register value. + */ +#define adf_os_reg_read32(osdev, addr) __adf_os_reg_read32(osdev, addr) + +/** + * @brief Read a 64-bit register value + * + * @param[in] osdev platform device object + * @param[in] addr register addr + * + * @return A 64-bit register value. + */ +#define adf_os_reg_read64(osdev, addr) __adf_os_reg_read64(osdev, addr) + +/** + * @brief Write an 8-bit value into register + * + * @param[in] osdev platform device object + * @param[in] addr register addr + * @param[in] b the 8-bit value to be written + */ +#define adf_os_reg_write8(osdev, addr, b) __adf_os_reg_write8(osdev, addr, b) + +/** + * @brief Write a 16-bit value into register + * + * @param[in] osdev platform device object + * @param[in] addr register addr + * @param[in] w the 16-bit value to be written + */ +#define adf_os_reg_write16(osdev, addr, w) __adf_os_reg_write16(osdev, addr, w) + +/** + * @brief Write a 32-bit value into register + * + * @param[in] osdev platform device object + * @param[in] addr register addr + * @param[in] l the 32-bit value to be written + */ +#define adf_os_reg_write32(osdev, addr, l) __adf_os_reg_write32(osdev, addr, l) + +/** + * @brief Write a 64-bit value into register + * + * @param[in] osdev platform device object + * @param[in] addr register addr + * @param[in] q the 64-bit value to be written + */ +#define adf_os_reg_write64(osdev, addr, q) __adf_os_reg_write64(osdev, addr, q) + +/** + * @brief Convert a 16-bit value from network byte order to host byte order + */ +#define adf_os_ntohs(x) __adf_os_ntohs(x) + +/** + * @brief Convert a 32-bit value from network byte order to host byte order + */ +#define adf_os_ntohl(x) __adf_os_ntohl(x) + +/** + * @brief Convert a 16-bit value from host byte order to network byte order + */ +#define adf_os_htons(x) __adf_os_htons(x) + +/** + * @brief Convert a 32-bit value from host byte order to network byte order + */ +#define adf_os_htonl(x) __adf_os_htonl(x) + +/** + * @brief Convert a 16-bit value from CPU byte order to big-endian byte order + */ +#define adf_os_cpu_to_be16(x) __adf_os_cpu_to_be16(x) + +/** + * @brief Convert a 32-bit value from CPU byte order to big-endian byte order + */ +#define adf_os_cpu_to_be32(x) __adf_os_cpu_to_be32(x) + +/** + * @brief Convert a 64-bit value from CPU byte order to big-endian byte order + */ +#define adf_os_cpu_to_be64(x) __adf_os_cpu_to_be64(x) + +/** + * @brief Convert a 16-bit value from CPU byte order to little-endian byte order + */ +#define adf_os_cpu_to_le16(x) __adf_os_cpu_to_le16(x) + +/** + * @brief Convert a 32-bit value from CPU byte order to little-endian byte order + */ +#define adf_os_cpu_to_le32(x) __adf_os_cpu_to_le32(x) + +/** + * @brief Convert a 64-bit value from CPU byte order to little-endian byte order + */ +#define adf_os_cpu_to_le64(x) __adf_os_cpu_to_le64(x) + +/** + * @brief Convert a 16-bit value from big-endian byte order to CPU byte order + */ +#define adf_os_be16_to_cpu(x) __adf_os_be16_to_cpu(x) + +/** + * @brief Convert a 32-bit value from big-endian byte order to CPU byte order + */ +#define adf_os_be32_to_cpu(x) __adf_os_be32_to_cpu(x) + +/** + * @brief Convert a 64-bit value from big-endian byte order to CPU byte order + */ +#define adf_os_be64_to_cpu(x) __adf_os_be64_to_cpu(x) + +/** + * @brief Convert a 16-bit value from little-endian byte order to CPU byte order + */ +#define adf_os_le16_to_cpu(x) __adf_os_le16_to_cpu(x) + +/** + * @brief Convert a 32-bit value from little-endian byte order to CPU byte order + */ +#define adf_os_le32_to_cpu(x) __adf_os_le32_to_cpu(x) + +/** + * @brief Convert a 64-bit value from little-endian byte order to CPU byte order + */ +#define adf_os_le64_to_cpu(x) __adf_os_le64_to_cpu(x) + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_irq.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_irq.h new file mode 100755 index 0000000..5bd4baa --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_irq.h @@ -0,0 +1,19 @@ +#ifndef __ADF_OS_IRQ_H +#define __ADF_OS_IRQ_H + +#include +#include + +static inline int +adf_os_setup_intr(adf_os_device_t osdev, adf_os_drv_intr fn) +{ + return __adf_os_setup_intr(osdev, fn); +} + +static inline void +adf_os_free_intr(adf_os_device_t osdev) +{ + __adf_os_free_intr(osdev); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_lock.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_lock.h new file mode 100755 index 0000000..bf53640 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_lock.h @@ -0,0 +1,134 @@ +/** + * @ingroup adf_os_public + * @file adf_os_lock.h + * This file abstracts locking operations. + */ + +#ifndef _ADF_OS_LOCK_H +#define _ADF_OS_LOCK_H + +#include +#include + +/** + * @brief Platform spinlock object + */ +typedef __adf_os_spinlock_t adf_os_spinlock_t; + +/** + * @brief Platform mutex object + */ +typedef __adf_os_mutex_t adf_os_mutex_t; + +/** + * @brief Initialize a mutex + * + * @param[in] m mutex to initialize + */ +static inline void adf_os_init_mutex(adf_os_mutex_t *m) +{ + __adf_os_init_mutex(m); +} + +/** + * @brief Take the mutex + * + * @param[in] m mutex to take + */ +static inline int adf_os_mutex_acquire(adf_os_mutex_t *m) +{ + return (__adf_os_mutex_acquire(m)); +} + +/** + * @brief Give the mutex + * + * @param[in] m mutex to give + */ +static inline void adf_os_mutex_release(adf_os_mutex_t *m) +{ + __adf_os_mutex_release(m); +} + +/** + * @brief Initialize a spinlock + * + * @param[in] lock spinlock object pointer + */ +static inline void +adf_os_spinlock_init(adf_os_spinlock_t *lock) +{ + __adf_os_spinlock_init(lock); +} + + +/** + * @brief Acquire a spinlock by disabling the interrupts + * + * @param[in] lock spinlock object pointer + * @param[out] flags flags used to hold interrupt state + */ +static inline void +adf_os_spin_lock_irq(adf_os_spinlock_t *lock, a_uint32_t *flags) +{ + __adf_os_spin_lock_irq(lock,flags); +} + + +/** + * @brief Release a spinlock & restore the irq + * + * @param[in] lock spinlock object pointer + * @param[in] flags flags filled in by @ref adf_os_spin_lock_irq + */ +static inline void +adf_os_spin_unlock_irq(adf_os_spinlock_t *lock, a_uint32_t *flags) +{ + __adf_os_spin_unlock_irq(lock,flags); +} + + +/** + * @brief locks the spinlock mutex in soft irq context + * + * @param[in] lock spinlock object pointer + */ +static inline void +adf_os_spin_lock_bh(adf_os_spinlock_t *lock) +{ + __adf_os_spin_lock_bh(lock); +} + + +/** + * @brief unlocks the spinlock mutex in soft irq context + * + * @param[in] lock spinlock object pointer + */ +static inline void +adf_os_spin_unlock_bh(adf_os_spinlock_t *lock) +{ + __adf_os_spin_unlock_bh(lock); +} + + +/** + * @brief Execute the input function with spinlock held and interrupt disabled. + * + * @param[in] hdl OS handle + * @param[in] lock spinlock to be held for the critical region + * @param[in] func critical region function that to be executed + * @param[in] context context of the critical region function + * + * @return Boolean status returned by the critical region function + */ +static inline a_bool_t +adf_os_spinlock_irq_exec(adf_os_handle_t hdl, + adf_os_spinlock_t *lock, + adf_os_irqlocked_func_t func, + void *arg) +{ + return __adf_os_spinlock_irq_exec(hdl, lock, func, arg); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_mem.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_mem.h new file mode 100755 index 0000000..3b42e95 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_mem.h @@ -0,0 +1,107 @@ +/** + * @ingroup adf_os_public + * @file adf_os_mem.h + * This file abstracts memory operations. + */ + +#ifndef _ADF_OS_MEM_H +#define _ADF_OS_MEM_H + +#include +#include + +/** + * @brief Allocate a memory buffer. Note this call can block. + * + * @param[in] size buffer size + * + * @return Buffer pointer or NULL if there's not enough memory. + */ +static inline void * +adf_os_mem_alloc(adf_os_size_t size) +{ + return __adf_os_mem_alloc(size); +} + +/** + * @brief Free malloc'ed buffer + * + * @param[in] buf buffer pointer allocated by @ref adf_os_mem_alloc + */ +static inline void +adf_os_mem_free(void *buf) +{ + __adf_os_mem_free(buf); +} + +/** + * @brief Move a memory buffer. Overlapping regions are not allowed. + * + * @param[in] dst destination address + * @param[in] src source address + * @param[in] size buffer size + */ +static inline void +adf_os_mem_copy(void *dst, void *src, adf_os_size_t size) +{ + __adf_os_mem_copy(dst, src, size); +} + +/** + * @brief Does a non-destructive copy of memory buffer + * + * @param[in] dst destination address + * @param[in] src source address + * @param[in] size buffer size + */ +static inline void +adf_os_mem_move(void *dst, void *src, adf_os_size_t size) +{ + __adf_os_mem_move(dst,src,size); +} + + +/** + * @brief Fill a memory buffer + * + * @param[in] buf buffer to be filled + * @param[in] b byte to fill + * @param[in] size buffer size + */ +static inline void +adf_os_mem_set(void *buf, a_uint8_t b, adf_os_size_t size) +{ + __adf_os_mem_set(buf, b, size); +} + + +/** + * @brief Zero a memory buffer + * + * @param[in] buf buffer to be zeroed + * @param[in] size buffer size + */ +static inline void +adf_os_mem_zero(void *buf, adf_os_size_t size) +{ + __adf_os_mem_zero(buf, size); +} + + +/** + * @brief Compare two memory buffers + * + * @param[in] buf1 first buffer + * @param[in] buf2 second buffer + * @param[in] size buffer size + * + * @retval 0 equal + * @retval 1 not equal + */ +static inline int +adf_os_mem_cmp(void *buf1, void *buf2, adf_os_size_t size) +{ + return __adf_os_mem_cmp(buf1, buf2, size); +} + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_module.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_module.h new file mode 100755 index 0000000..f17f631 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_module.h @@ -0,0 +1,101 @@ +/** + * @ingroup adf_os_public + * @file adf_os_module.h + * This file abstracts "kernel module" semantics. + */ + +#ifndef _ADF_OS_MODULE_H +#define _ADF_OS_MODULE_H + +#include + +typedef a_status_t (*module_init_func_t)(void); + +/** + * @brief Specify the module's entry point. + */ +#define adf_os_virt_module_init(_mod_init_func) __adf_os_virt_module_init(_mod_init_func) + +/** + * @brief Specify the module's exit point. + */ +#define adf_os_virt_module_exit(_mod_exit_func) __adf_os_virt_module_exit(_mod_exit_func) + +/** + * @brief Specify the module's name. + */ +#define adf_os_virt_module_name(_name) __adf_os_virt_module_name(_name) + +/** + * @brief Specify the module's dependency on another module. + */ +#define adf_os_module_dep(_name,_dep) __adf_os_module_dep(_name,_dep) + +/** + * @brief Export a symbol from a module. + */ +#define adf_os_export_symbol(_sym) __adf_os_export_symbol(_sym) + +/** + * @brief Module parameter of type integer. + */ +#define ADF_OS_PARAM_TYPE_INT32 __ADF_OS_PARAM_TYPE_INT32 + +/** + * @brief Module parameter of type string. + */ +#define ADF_OS_PARAM_TYPE_STRING __ADF_OS_PARAM_TYPE_STRING + +/** + * @brief Declare a module parameter. + * + * @param[in] name name of the parameter + * @param[in] type type of the parameter + * + * @note These provide the config data defined by the userland + * for this device. It can be queried at any time, given the name string + * Only two types are supported + * ADF_OS_PARAM_TYPE_STRING + * ADF_OS_PARAM_TYPE_INT32 + * For example, say, the parameters name "my_int" and "my_name" are of + * variables of type int and string respectively. Then you would declare them + * as follows: + * @code + * adf_os_declare_param(my_int, ADF_OS_PARAM_TYPE_INT32); + * adf_os_declare_param(my_name, ADF_OS_PARAM_TYPE_STRING); + * @endcode + * To read the userland provided config value, you would do something like + * + * @code + * adf_os_read_param(my_name, &softc->sc_my_name); + * @endcode + * + * or + * @code + * st = adf_os_read_param(my_int, &softc->sc_my_int); + * @endcode + * st could be : + * + * A_STATUS_OK + * A_STATUS_ENOMEM + * A_STATUS_ENOENT + * + */ +#define adf_os_declare_param(_name, _type) __adf_os_declare_param(_name, _type) + +/** + * @brief Read a parameter's value + * + * @param[in] osdev os handle + * @param[in] name name of parameter + * @param[in] type type of parameter + * @param[out] val value read + * + * @note pval is a point to the variable. Therefore, + * for strings it is a_uint8_t ** + * for integers it is a_int_t * + */ +#define adf_os_read_param(_osdev, _name, _type, _pval) \ + __adf_os_read_param(_osdev, _name, _type, _pval) + +#endif /*_ADF_OS_MODULE_H*/ diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_pci.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_pci.h new file mode 100755 index 0000000..235b42d --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_pci.h @@ -0,0 +1,118 @@ +/** + * @ingroup adf_os_public + * @file adf_os_pci.h + * This file abstracts the PCI subsystem. + */ +#ifndef __ADF_OS_PCI_H +#define __ADF_OS_PCI_H + +#include + +/** + * @brief Define the entry point for the PCI module. + */ +#define adf_os_pci_module_init(_fn) __adf_os_pci_module_init(_fn) + +/** + * @brief Define the exit point for the PCI module. + */ +#define adf_os_pci_module_exit(_fn) __adf_os_pci_module_exit(_fn) + +/** + * @brief Setup the following driver information: name, PCI IDs of devices + * supported and some device handlers. + */ +#define adf_os_pci_set_drv_info(_name, _pci_ids, _attach, _detach, _suspend, _resume) \ + __adf_os_pci_set_drv_info(_name, _pci_ids, _attach, _detach, _suspend, _resume) + +/** + * @brief Read a byte of PCI config space. + * + * @param[in] osdev platform device instance + * @param[in] offset offset to read + * @param[out] val value read + * + * @return status of operation + */ +static inline int +adf_os_pci_config_read8(adf_os_device_t osdev, int offset, a_uint8_t *val) +{ + return __adf_os_pci_config_read8(osdev, offset, val); +} + +/** + * @brief Write a byte to PCI config space. + * + * @param[in] osdev platform device instance + * @param[in] offset offset to write + * @param[in] val value to write + * + * @return status of operation + */ +static inline int +adf_os_pci_config_write8(adf_os_device_t osdev, int offset, a_uint8_t val) +{ + return __adf_os_pci_config_write8(osdev, offset, val); +} + +/** + * @brief Read 2 bytes of PCI config space. + * + * @param[in] osdev platform device instance + * @param[in] offset offset to read + * @param[out] val value read + * + * @return status of operation + */ +static inline int +adf_os_pci_config_read16(adf_os_device_t osdev, int offset, a_uint16_t *val) +{ + return __adf_os_pci_config_read16(osdev, offset, val); +} + +/** + * @brief Write 2 bytes to PCI config space. + * + * @param[in] osdev platform device instance + * @param[in] offset offset to write + * @param[in] val value to write + * + * @return status of operation + */ +static inline int +adf_os_pci_config_write16(adf_os_device_t osdev, int offset, a_uint16_t val) +{ + return __adf_os_pci_config_write16(osdev, offset, val); +} + +/** + * @brief Read 4 bytes of PCI config space. + * + * @param[in] osdev platform device instance + * @param[in] offset offset to read + * @param[out] val value read + * + * @return status of operation + */ +static inline int +adf_os_pci_config_read32(adf_os_device_t osdev, int offset, a_uint32_t *val) +{ + return __adf_os_pci_config_read32(osdev, offset, val); +} + +/** + * @brief Write 4 bytes to PCI config space. + * + * @param[in] osdev platform device instance + * @param[in] offset offset to write + * @param[in] val value to write + * + * @return status of operation + */ +static inline int +adf_os_pci_config_write32(adf_os_device_t osdev, int offset, a_uint32_t val) +{ + return __adf_os_pci_config_write32(osdev, offset, val); +} +#endif + diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_pseudo.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_pseudo.h new file mode 100755 index 0000000..695775b --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_pseudo.h @@ -0,0 +1,31 @@ +/** + * @ingroup adf_os_public + * @file adf_os_pseudo.h + * This file abstracts "pseudo module" semantics. + */ +#ifndef __ADF_OS_PSEUDO_H +#define __ADF_OS_PSEUDO_H + +#include + +/** + * @brief Specify the module's entry point. + */ +#define adf_os_pseudo_module_init(_fn) __adf_os_pseudo_module_init(_fn) + +/** + * @brief Specify the module's exit point. + */ +#define adf_os_pseudo_module_exit(_fn) __adf_os_pseudo_module_exit(_fn) + +/** + * @brief Setup the following driver information: name, pseudo IDs of devices + * supported and some device handlers. + */ +#define adf_os_pseudo_set_drv_info(_name, _ifname, _pseudo_ids, _attach, _detach, \ + _suspend, _resume) \ + __adf_os_pseudo_set_drv_info(_name, _ifname, _pseudo_ids, \ + _attach, _detach, \ + _suspend, _resume) +#endif + diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_stdtypes.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_stdtypes.h new file mode 100755 index 0000000..b43a69c --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_stdtypes.h @@ -0,0 +1,33 @@ +/** + * @defgroup adf_os_public OS abstraction API + */ + +/** + * @ingroup adf_os_public + * @file adf_os_stdtypes.h + * This file defines standard types. + */ + +#ifndef _ADF_OS_STDTYPES_H +#define _ADF_OS_STDTYPES_H + +#include + +/** + * @brief basic data types. + */ +typedef enum { + A_FALSE, + A_TRUE +}a_bool_t; + +typedef __a_uint8_t a_uint8_t; +typedef __a_int8_t a_int8_t; +typedef __a_uint16_t a_uint16_t; +typedef __a_int16_t a_int16_t; +typedef __a_uint32_t a_uint32_t; +typedef __a_int32_t a_int32_t; +typedef __a_uint64_t a_uint64_t; +typedef __a_int64_t a_int64_t; + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_time.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_time.h new file mode 100755 index 0000000..494e4a6 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_time.h @@ -0,0 +1,95 @@ +/** + * @ingroup adf_os_public + * @file adf_os_time.h + * This file abstracts time related functionality. + */ +#ifndef _ADF_OS_TIME_H +#define _ADF_OS_TIME_H + +#include + +/** + * @brief count the number of ticks elapsed from the time when + * the system booted + * + * @return ticks + */ +static inline unsigned long +adf_os_ticks(void) +{ + return __adf_os_ticks(); +} + +/** + * @brief convert ticks to milliseconds + * + * @param[in] ticks number of ticks + * @return time in milliseconds + */ +static inline a_uint32_t +adf_os_ticks_to_msecs(unsigned long ticks) +{ + return (__adf_os_ticks_to_msecs(ticks)); +} + +/** + * @brief convert milliseconds to ticks + * + * @param[in] time in milliseconds + * @return number of ticks + */ +static inline unsigned long +adf_os_msecs_to_ticks(a_uint32_t msecs) +{ + return (__adf_os_msecs_to_ticks(msecs)); +} + +/** + * @brief Return a monotonically increasing time. This increments once per HZ ticks + */ +static inline unsigned long +adf_os_getuptime(void) +{ + return (__adf_os_getuptime()); +} + +/** + * @brief Delay in microseconds + * + * @param[in] microseconds to delay + */ +static inline void +adf_os_udelay(int usecs) +{ + __adf_os_udelay(usecs); +} + +/** + * @brief Delay in milliseconds. + * + * @param[in] milliseconds to delay + */ +static inline void +adf_os_mdelay(int msecs) +{ + __adf_os_mdelay(msecs); +} + +/** + * @brief Check if _a is later than _b. + */ +#define adf_os_time_after(_a, _b) __adf_os_time_after(_a, _b) + +/** + * @brief Check if _a is prior to _b. + */ +#define adf_os_time_before(_a, _b) __adf_os_time_before(_a, _b) + +/** + * @brief Check if _a atleast as recent as _b, if not later. + */ +#define adf_os_time_after_eq(_a, _b) __adf_os_time_after_eq(_a, _b) + +#endif + + diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_timer.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_timer.h new file mode 100755 index 0000000..83a731a --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_timer.h @@ -0,0 +1,64 @@ +/** + * @ingroup adf_os_public + * @file adf_os_timer.h + * This file abstracts OS timers. + */ + +#ifndef _ADF_OS_TIMER_H +#define _ADF_OS_TIMER_H + +#include +#include + + +/** + * @brief Platform timer object + */ +typedef __adf_os_timer_t adf_os_timer_t; + + +/** + * @brief Initialize a timer + * + * @param[in] hdl OS handle + * @param[in] timer timer object pointer + * @param[in] func timer function + * @param[in] context context of timer function + */ +static inline void +adf_os_timer_init(adf_os_handle_t hdl, + adf_os_timer_t *timer, + adf_os_timer_func_t func, + void *arg) +{ + __adf_os_timer_init(hdl, timer, func, arg); +} + +/** + * @brief Start a one-shot timer + * + * @param[in] timer timer object pointer + * @param[in] msec expiration period in milliseconds + */ +static inline void +adf_os_timer_start(adf_os_timer_t *timer, int msec) +{ + __adf_os_timer_start(timer, msec); +} + +/** + * @brief Cancel a timer + * + * @param[in] timer timer object pointer + * + * @retval TRUE timer was cancelled and deactived + * @retval FALSE timer was cancelled but already got fired. + */ +static inline a_bool_t +adf_os_timer_cancel(adf_os_timer_t *timer) +{ + return __adf_os_timer_cancel(timer); +} + +#endif + diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_types.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_types.h new file mode 100755 index 0000000..5e180a9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_types.h @@ -0,0 +1,300 @@ +/** + * @ingroup adf_os_public + * @file adf_os_types.h + * This file defines types used in the OS abstraction API. + */ + +#ifndef _ADF_OS_TYPES_H +#define _ADF_OS_TYPES_H + + +#include +#include + +#define ADF_OS_MAX_SCATTER __ADF_OS_MAX_SCATTER +/** + * @brief Max number of scatter-gather segments. + */ +#define ADF_OS_MAX_SGLIST 4 + +/** + * @brief denotes structure is packed. + */ +#define adf_os_packed __adf_os_packed + +/** + * @brief handles opaque to each other + */ +typedef void * adf_net_handle_t; +typedef void * adf_drv_handle_t; +typedef void * adf_os_handle_t; + +/* + * XXX FIXME For compilation only. + * + */ +typedef void * adf_os_pm_t; + +/** + * @brief Platform/bus generic handle. Used for bus specific functions. + */ +typedef __adf_os_device_t adf_os_device_t; + +/** + * @brief size of an object + */ +typedef __adf_os_size_t adf_os_size_t; + +/** + * @brief offset for API's that need them. + */ +typedef __adf_os_off_t adf_os_off_t; + +/** + * @brief DMA mapping object. + */ +typedef __adf_os_dma_map_t adf_os_dma_map_t; + +/** + * @brief DMA address. + */ +typedef __adf_os_dma_addr_t adf_os_dma_addr_t; + +/** + * @brief DMA size. + */ +typedef __adf_os_dma_size_t adf_os_dma_size_t; + +/** + * @brief Information inside a DMA map. + */ +typedef struct adf_os_dmamap_info{ + a_uint32_t nsegs; /**< total number mapped segments*/ + struct __dma_segs{ + adf_os_dma_addr_t paddr; /**< physical(dma'able) address of the segment*/ + adf_os_dma_size_t len; /**< length of the segment*/ + } dma_segs[ADF_OS_MAX_SCATTER]; + +}adf_os_dmamap_info_t; + +/** + * @brief Representation of a scatter-gather list. + */ +typedef struct adf_os_sglist{ + a_uint32_t nsegs; /**< total number of segments*/ + struct __sg_segs{ + a_uint8_t *vaddr; /**< Virtual address of the segment*/ + a_uint32_t len; /**< Length of the segment*/ + } sg_segs[ADF_OS_MAX_SGLIST]; + +}adf_os_sglist_t; + +/** + * @brief All operations specified below are performed from + * the host memory point of view, where a read + * implies data coming from the device to the host + * memory, and a write implies data going from the + * host memory to the device. Alternately, the + * operations can be thought of in terms of driver + * operations, where reading a network packet or + * storage sector corresponds to a read operation in + * bus_dma. + * + * ADF_SYNC_PREREAD Perform any synchronization + * required prior to an update + * of host memory by the DMA + * read operation. + * ADF_SYNC_PREWRITE Perform any synchronization + * required after an update of + * host memory by the CPU and + * prior to DMA write + * operations. + * ADF_SYNC_POSTREAD Perform any synchronization + * required after DMA read + * operations and prior to CPU + * access to host + * memory. + * ADF_SYNC_POSTWRITE Perform any synchronization + * required after DMA write + * operations. + */ + +typedef enum adf_os_cache_sync{ + ADF_SYNC_PREREAD=__ADF_SYNC_PREREAD, + ADF_SYNC_PREWRITE=__ADF_SYNC_PREWRITE, + ADF_SYNC_POSTREAD=__ADF_SYNC_POSTREAD, + ADF_SYNC_POSTWRITE=__ADF_SYNC_POSTWRITE +}adf_os_cache_sync_t; + +/** + * @brief Generic status to be used by adf_drv. + */ +typedef enum { + A_STATUS_OK, + A_STATUS_FAILED, + A_STATUS_ENOENT, + A_STATUS_ENOMEM, + A_STATUS_EINVAL, + A_STATUS_EINPROGRESS, + A_STATUS_ENOTSUPP, + A_STATUS_EBUSY, + A_STATUS_E2BIG, + A_STATUS_EADDRNOTAVAIL, + A_STATUS_ENXIO, + A_STATUS_EFAULT, + A_STATUS_EIO, +} a_status_t; + +/** + * @brief An ecore needs to provide a table of all pci device/vendor id's it + * supports + * + * This table should be terminated by a NULL entry , i.e. {0} + */ +typedef struct { + a_uint32_t vendor; + a_uint32_t device; + a_uint32_t subvendor; + a_uint32_t subdevice; +}adf_os_pci_dev_id_t; + +#define ADF_OS_PCI_ANY_ID (~0) + +/** + * @brief Typically core's can use this macro to create a table of various device + * ID's + */ +#define ADF_OS_PCI_DEVICE(_vendor, _device) \ + (_vendor), (_device), ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID + + +#define adf_os_iomem_t __adf_os_iomem_t; +/** + * @brief These define the hw resources the OS has allocated for the device + * Note that start defines a mapped area. + */ +typedef enum { + ADF_OS_RESOURCE_TYPE_MEM, + ADF_OS_RESOURCE_TYPE_IO, +}adf_os_resource_type_t; + +/** + * @brief Representation of a h/w resource. + */ +typedef struct { + a_uint64_t start; + a_uint64_t end; + adf_os_resource_type_t type; +}adf_os_resource_t; + +#define ADF_OS_DEV_ID_TABLE_MAX 256 + +/** + * @brief Representation of bus registration data. + */ +typedef union { + adf_os_pci_dev_id_t *pci; + void *raw; +}adf_os_bus_reg_data_t; + +/** + * @brief Representation of data required for attach. + */ +typedef union { + adf_os_pci_dev_id_t pci; + void *raw; +}adf_os_attach_data_t; + +#define ADF_OS_REGIONS_MAX 5 + +/** + * @brief Types of buses. + */ +typedef enum { + ADF_OS_BUS_TYPE_PCI = 1, + ADF_OS_BUS_TYPE_GENERIC, +}adf_os_bus_type_t; + +/** + * @brief IRQ handler response codes. + */ +typedef enum { + ADF_OS_IRQ_NONE, + ADF_OS_IRQ_HANDLED, +}adf_os_irq_resp_t; + +/** + * @brief DMA mask types. + */ +typedef enum { + ADF_OS_DMA_MASK_32BIT, + ADF_OS_DMA_MASK_64BIT, +}adf_os_dma_mask_t; + + +/** + * @brief DMA directions + * ADF_OS_DMA_TO_DEVICE (data going from device to memory) + * ADF_OS_DMA_FROM_DEVICE (data going from memory to device) + */ +typedef enum { + ADF_OS_DMA_TO_DEVICE = __ADF_OS_DMA_TO_DEVICE, + ADF_OS_DMA_FROM_DEVICE = __ADF_OS_DMA_FROM_DEVICE, +} adf_os_dma_dir_t; + +/* + * Protoypes shared between public and private headers + */ + + +/** + * @brief work queue(kernel thread)/DPC function callback + */ +typedef void (*adf_os_defer_fn_t)(void *); + +/** + * @brief Prototype of the critical region function that is to be + * executed with spinlock held and interrupt disalbed + */ +typedef a_bool_t (*adf_os_irqlocked_func_t)(void *); + + +/** + * @brief Prototype of timer function + */ +typedef void (*adf_os_timer_func_t)(void *); + +/** + * @brief Prototype of IRQ function. + */ +typedef adf_os_irq_resp_t (*adf_os_drv_intr)(adf_drv_handle_t hdl); + +/** + * @brief The OS print routine. + */ +#define adf_os_print __adf_os_print + +/** + * @brief driver info structure needed while we do the register + * for the driver to the shim. + */ +typedef struct _adf_drv_info{ + /** + * @brief driver specific functions + */ + adf_drv_handle_t (*drv_attach) (adf_os_resource_t *res, int count, + adf_os_attach_data_t *data, + adf_os_device_t osdev); + void (*drv_detach) (adf_drv_handle_t hdl); + void (*drv_suspend) (adf_drv_handle_t hdl, adf_os_pm_t pm); + void (*drv_resume) (adf_drv_handle_t hdl); + /** + * @brief driver specific data + */ + adf_os_bus_type_t bus_type; + adf_os_bus_reg_data_t bus_data; + unsigned char *mod_name; + unsigned char *ifname; +}adf_drv_info_t; + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/adf_os_util.h b/target_firmware/magpie_fw_dev/target/inc/adf_os_util.h new file mode 100755 index 0000000..98e3b25 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/adf_os_util.h @@ -0,0 +1,62 @@ +/** + * @ingroup adf_os_public + * @file adf_os_util.h + * This file defines utility functions. + */ + +#ifndef _ADF_OS_UTIL_H +#define _ADF_OS_UTIL_H + +#include + +/** + * @brief Compiler-dependent macro denoting code likely to execute. + */ +#define adf_os_unlikely(_expr) __adf_os_unlikely(_expr) + +/** + * @brief Compiler-dependent macro denoting code unlikely to execute. + */ +#define adf_os_likely(_expr) __adf_os_likely(_expr) + +/** + * @brief read memory barrier. + */ +#define adf_os_wmb() __adf_os_wmb() + +/** + * @brief write memory barrier. + */ +#define adf_os_rmb() __adf_os_rmb() + +/** + * @brief read + write memory barrier. + */ +#define adf_os_mb() __adf_os_mb() + +/** + * @brief return the lesser of a, b + */ +#define adf_os_min(_a, _b) __adf_os_min(_a, _b) + +/** + * @brief return the larger of a, b + */ +#define adf_os_max(_a, _b) __adf_os_max(_a, _b) + +/** + * @brief assert "expr" evaluates to true. + */ +#define adf_os_assert(expr) __adf_os_assert(expr) + +/** + * @brief supply pseudo-random numbers + */ +static inline void adf_os_get_rand(adf_os_handle_t hdl, + a_uint8_t *ptr, + a_uint32_t len) +{ + __adf_os_get_rand(hdl, ptr, len); +} + +#endif /*_ADF_OS_UTIL_H*/ diff --git a/target_firmware/magpie_fw_dev/target/inc/asf_bitmap.h b/target_firmware/magpie_fw_dev/target/inc/asf_bitmap.h new file mode 100755 index 0000000..38fa652 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/asf_bitmap.h @@ -0,0 +1,70 @@ +/* + * Copyright (c) 2007-2008 Atheros Communications, Inc. + * All rights reserved. + */ +#ifndef _ASF_BITMAP_H_ +#define _ASF_BITMAP_H_ + +#include "adf_os_types.h" +#include "adf_os_mem.h" + +#define ASF_BYTESZ 8 + +typedef a_uint8_t * asf_bitmap_t; + +/* Bit map related macros. */ +// setbit(a,i) ((a)[(i)/NBBY] |= 1<<((i)%NBBY)) +// clrbit(a,i) ((a)[(i)/NBBY] &= ~(1<<((i)%NBBY))) +// isset(a,i) ((a)[(i)/NBBY] & (1<<((i)%NBBY))) +// isclr(a,i) (((a)[(i)/NBBY] & (1<<((i)%NBBY))) == 0) + +#define asf_howmany(x, y) (((x)+((y)-1))/(y)) +#define asf_roundup(x, y) ((((x)+((y)-1))/(y))*(y)) + + +static inline a_uint8_t * +asf_bitmap_alloc(int sz_bits) +{ + a_uint8_t * bm; + int sz_bytes = sz_bits / ASF_BYTESZ; + + bm = adf_os_mem_alloc(sz_bytes); + if (bm == NULL) + return NULL; + + adf_os_mem_zero(bm, sz_bytes); + return bm; +} + +static inline void +asf_bitmap_free(a_uint8_t *bm) +{ + adf_os_mem_free(bm); +} + +static inline void +asf_bitmap_setbit(a_uint8_t *bm, int pos) +{ + bm[pos / ASF_BYTESZ] |= 1 << (pos % ASF_BYTESZ); +} + + +static inline void +asf_bitmap_clrbit(a_uint8_t *bm, int pos) +{ + bm[pos / ASF_BYTESZ] &= ~(1 << (pos % ASF_BYTESZ)); +} + +static inline a_bool_t +asf_bitmap_isset(a_uint8_t *bm, int pos) +{ + return bm[pos / ASF_BYTESZ] & (1 << (pos % ASF_BYTESZ)); +} + +static inline a_bool_t +asf_bitmap_isclr(a_uint8_t *bm, int pos) +{ + return ((bm[pos / ASF_BYTESZ] & (1 << (pos % ASF_BYTESZ))) == 0); +} + +#endif /* _ASF_BITMAP_H */ diff --git a/target_firmware/magpie_fw_dev/target/inc/asf_queue.h b/target_firmware/magpie_fw_dev/target/inc/asf_queue.h new file mode 100755 index 0000000..1c2caab --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/asf_queue.h @@ -0,0 +1,571 @@ +/* + * Copyright (c) 1991, 1993 + * The Regents of the University of California. All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright + * notice, this list of conditions and the following disclaimer in the + * documentation and/or other materials provided with the distribution. + * 4. Neither the name of the University nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE REGENTS AND CONTRIBUTORS ``AS IS'' AND + * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + * ARE DISCLAIMED. IN NO EVENT SHALL THE REGENTS OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS + * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) + * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT + * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY + * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF + * SUCH DAMAGE. + * + * @(#)queue.h 8.5 (Berkeley) 8/20/94 + * $FreeBSD: src/sys/sys/queue.h,v 1.58 2004/04/07 04:19:49 imp Exp $ + * $Id: //depot/sw/branches/fusion_usb/target_firmware/magpie_fw_dev/asf/include/asf_queue.h#1 $ + */ + +#ifndef _ASF_QUEUE_H_ +#define _ASF_QUEUE_H_ + +/** + * General purpose routines + */ +#define asf_offsetof(type, member) ((adf_os_size_t) &((type *)0)->member) + +#define asf_containerof(ptr, type, member) ({ \ + const typeof( ((type *)0)->member ) *__lptr = (ptr); \ + (type *)( (char *)__mptr - asf_offsetof(type,member) );}) + +/* + * This file defines four types of data structures: singly-linked lists, + * singly-linked tail queues, lists and tail queues. + * + * A singly-linked list is headed by a single forward pointer. The elements + * are singly linked for minimum space and pointer manipulation overhead at + * the expense of O(n) removal for arbitrary elements. New elements can be + * added to the list after an existing element or at the head of the list. + * Elements being removed from the head of the list should use the explicit + * macro for this purpose for optimum efficiency. A singly-linked list may + * only be traversed in the forward direction. Singly-linked lists are ideal + * for applications with large datasets and few or no removals or for + * implementing a LIFO queue. + * + * A singly-linked tail queue is headed by a pair of pointers, one to the + * head of the list and the other to the tail of the list. The elements are + * singly linked for minimum space and pointer manipulation overhead at the + * expense of O(n) removal for arbitrary elements. New elements can be added + * to the list after an existing element, at the head of the list, or at the + * end of the list. Elements being removed from the head of the tail queue + * should use the explicit macro for this purpose for optimum efficiency. + * A singly-linked tail queue may only be traversed in the forward direction. + * Singly-linked tail queues are ideal for applications with large datasets + * and few or no removals or for implementing a FIFO queue. + * + * A list is headed by a single forward pointer (or an array of forward + * pointers for a hash table header). The elements are doubly linked + * so that an arbitrary element can be removed without a need to + * traverse the list. New elements can be added to the list before + * or after an existing element or at the head of the list. A list + * may only be traversed in the forward direction. + * + * A tail queue is headed by a pair of pointers, one to the head of the + * list and the other to the tail of the list. The elements are doubly + * linked so that an arbitrary element can be removed without a need to + * traverse the list. New elements can be added to the list before or + * after an existing element, at the head of the list, or at the end of + * the list. A tail queue may be traversed in either direction. + * + * For details on the use of these macros, see the queue(3) manual page. + * + * + * asf_slist asf_list asf_stailq asf_tailq + * _head + + + + + * _head_initializer + + + + + * _entry + + + + + * _init + + + + + * _empty + + + + + * _first + + + + + * _next + + + + + * _prev - - - + + * _last - - + + + * _foreach + + + + + * _foreach_safe + + + + + * _foreach_reverse - - - + + * _foreach_reverse_safe - - - + + * _insert_head + + + + + * _insert_before - + - + + * _insert_after + + + + + * _insert_tail - - + + + * _concat - - + + + * _remove_head + - + - + * _remove + + + + + * + */ +#define QUEUE_MACRO_DEBUG 0 +#if QUEUE_MACRO_DEBUG +/* Store the last 2 places the queue element or head was altered */ +struct asf_qm_trace { + char * lastfile; + int lastline; + char * prevfile; + int prevline; +}; + +#define TRACEBUF struct asf_qm_trace trace +#define trashit(x) do {(x) = (void *)-1;} while (0) + +#define qmd_trace_head(head) do { \ + (head)->trace.prevline = (head)->trace.lastline; \ + (head)->trace.prevfile = (head)->trace.lastfile; \ + (head)->trace.lastline = __LINE__; \ + (head)->trace.lastfile = __FILE__; \ +} while (0) + +#define qmd_trace_elem(elem) do { \ + (elem)->trace.prevline = (elem)->trace.lastline; \ + (elem)->trace.prevfile = (elem)->trace.lastfile; \ + (elem)->trace.lastline = __LINE__; \ + (elem)->trace.lastfile = __FILE__; \ +} while (0) + +#else +#define qmd_trace_elem(elem) +#define qmd_trace_head(head) +#define TRACEBUF +#define trashit(x) +#endif /* QUEUE_MACRO_DEBUG */ + +/* + * Singly-linked List declarations. + */ +#define asf_slist_head(name, type) \ +struct name { \ + struct type *slh_first; /* first element */ \ +} + +#define asf_slist_head_initializer(head) \ + { NULL } + +#define asf_slist_entry(type) \ +struct { \ + struct type *sle_next; /* next element */ \ +} + +/* + * Singly-linked List functions. + */ +#define asf_slist_empty(head) ((head)->slh_first == NULL) + +#define asf_slist_first(head) ((head)->slh_first) + +#define asf_slist_foreach(var, head, field) \ + for ((var) = asf_slist_first((head)); \ + (var); \ + (var) = asf_slist_next((var), field)) + +#define asf_slist_foreach_safe(var, head, field, tvar) \ + for ((var) = asf_slist_first((head)); \ + (var) && ((tvar) = asf_slist_next((var), field), 1); \ + (var) = (tvar)) + +#define asf_slist_foreach_prevptr(var, varp, head, field) \ + for ((varp) = &asf_slist_first((head)); \ + ((var) = *(varp)) != NULL; \ + (varp) = &asf_slist_next((var), field)) + +#define asf_slist_init(head) do { \ + asf_slist_first((head)) = NULL; \ +} while (0) + +#define asf_slist_insert_after(slistelm, elm, field) do { \ + asf_slist_next((elm), field) = asf_slist_next((slistelm), field); \ + asf_slist_next((slistelm), field) = (elm); \ +} while (0) + +#define asf_slist_insert_head(head, elm, field) do { \ + asf_slist_next((elm), field) = asf_slist_first((head)); \ + asf_slist_first((head)) = (elm); \ +} while (0) + +#define asf_slist_next(elm, field) ((elm)->field.sle_next) + +#define asf_slist_remove(head, elm, type, field) do { \ + if (asf_slist_first((head)) == (elm)) { \ + asf_slist_remove_head((head), field); \ + } \ + else { \ + struct type *curelm = asf_slist_first((head)); \ + while (asf_slist_next(curelm, field) != (elm)) \ + curelm = asf_slist_next(curelm, field); \ + asf_slist_next(curelm, field) = \ + asf_slist_next(asf_slist_next(curelm, field), field); \ + } \ +} while (0) + +#define asf_slist_remove_head(head, field) do { \ + asf_slist_first((head)) = asf_slist_next(asf_slist_first((head)), field); \ +} while (0) + +/* + * Singly-linked Tail queue declarations. + */ +#define asf_stailq_head(name, type) \ +struct name { \ + struct type *stqh_first;/* first element */ \ + struct type **stqh_last;/* addr of last next element */ \ +} + +#define asf_stailq_head_initializer(head) \ + { NULL, &(head).stqh_first } + +#define asf_stailq_entry(type) \ +struct { \ + struct type *stqe_next; /* next element */ \ +} + +/* + * Singly-linked Tail queue functions. + */ +#define asf_stailq_concat(head1, head2) do { \ + if (!asf_stailq_empty((head2))) { \ + *(head1)->stqh_last = (head2)->stqh_first; \ + (head1)->stqh_last = (head2)->stqh_last; \ + asf_stailq_init((head2)); \ + } \ +} while (0) + +#define asf_stailq_empty(head) ((head)->stqh_first == NULL) + +#define asf_stailq_first(head) ((head)->stqh_first) + +#define asf_stailq_foreach(var, head, field) \ + for((var) = asf_stailq_first((head)); \ + (var); \ + (var) = asf_stailq_next((var), field)) + + +#define asf_stailq_foreach_safe(var, head, field, tvar) \ + for ((var) = asf_stailq_first((head)); \ + (var) && ((tvar) = asf_stailq_next((var), field), 1); \ + (var) = (tvar)) + +#define asf_stailq_init(head) do { \ + asf_stailq_first((head)) = NULL; \ + (head)->stqh_last = &asf_stailq_first((head)); \ +} while (0) + +#define asf_stailq_insert_after(head, tqelm, elm, field) do { \ + if ((asf_stailq_next((elm), field) = asf_stailq_next((tqelm), field)) == NULL)\ + (head)->stqh_last = &asf_stailq_next((elm), field); \ + asf_stailq_next((tqelm), field) = (elm); \ +} while (0) + +#define asf_stailq_insert_head(head, elm, field) do { \ + if ((asf_stailq_next((elm), field) = asf_stailq_first((head))) == NULL) \ + (head)->stqh_last = &asf_stailq_next((elm), field); \ + asf_stailq_first((head)) = (elm); \ +} while (0) + +#define asf_stailq_insert_tail(head, elm, field) do { \ + asf_stailq_next((elm), field) = NULL; \ + *(head)->stqh_last = (elm); \ + (head)->stqh_last = &asf_stailq_next((elm), field); \ +} while (0) + +#define asf_stailq_last(head, type, field) \ + (asf_stailq_empty((head)) ? \ + NULL : \ + ((struct type *) \ + ((char *)((head)->stqh_last) - __offsetof(struct type, field)))) + +#define asf_stailq_next(elm, field) ((elm)->field.stqe_next) + +#define asf_stailq_remove(head, elm, type, field) do { \ + if (asf_stailq_first((head)) == (elm)) { \ + asf_stailq_remove_head((head), field); \ + } \ + else { \ + struct type *curelm = asf_stailq_first((head)); \ + while (asf_stailq_next(curelm, field) != (elm)) \ + curelm = asf_stailq_next(curelm, field); \ + if ((asf_stailq_next(curelm, field) = \ + asf_stailq_next(asf_stailq_next(curelm, field), field)) == NULL)\ + (head)->stqh_last = &asf_stailq_next((curelm), field);\ + } \ +} while (0) + + +#define asf_stailq_remove_after(head, elm, field) do { \ + if (asf_stailq_next(elm, field)) { \ + if ((asf_stailq_next(elm, field) = \ + asf_stailq_next(asf_stailq_next(elm, field), field)) == NULL)\ + (head)->stqh_last = &asf_stailq_next((elm), field); \ + } \ +} while (0) + + +#define asf_stailq_remove_head(head, field) do { \ + if ((asf_stailq_first((head)) = \ + asf_stailq_next(asf_stailq_first((head)), field)) == NULL) \ + (head)->stqh_last = &asf_stailq_first((head)); \ +} while (0) + +#define asf_stailq_remove_head_until(head, elm, field) do { \ + if ((asf_stailq_first((head)) = asf_stailq_next((elm), field)) == NULL) \ + (head)->stqh_last = &asf_stailq_first((head)); \ +} while (0) + +/* + * List declarations. + */ +#define asf_list_head(name, type) \ +struct name { \ + struct type *lh_first; /* first element */ \ +} + +#define asf_list_head_initializer(head) \ + { NULL } + +#define asf_list_entry(type) \ +struct { \ + struct type *le_next; /* next element */ \ + struct type **le_prev; /* address of previous next element */ \ +} + +/* + * List functions. + */ + +#define asf_list_empty(head) ((head)->lh_first == NULL) + +#define asf_list_first(head) ((head)->lh_first) + +#define asf_list_foreach(var, head, field) \ + for ((var) = asf_list_first((head)); \ + (var); \ + (var) = asf_list_next((var), field)) + +#define asf_list_foreach_safe(var, head, field, tvar) \ + for ((var) = asf_list_first((head)); \ + (var) && ((tvar) = asf_list_next((var), field), 1); \ + (var) = (tvar)) + +#define asf_list_init(head) do { \ + asf_list_first((head)) = NULL; \ +} while (0) + +#define asf_list_insert_after(listelm, elm, field) do { \ + if ((asf_list_next((elm), field) = asf_list_next((listelm), field)) != NULL)\ + asf_list_next((listelm), field)->field.le_prev = \ + &asf_list_next((elm), field); \ + asf_list_next((listelm), field) = (elm); \ + (elm)->field.le_prev = &asf_list_next((listelm), field); \ +} while (0) + +#define asf_list_insert_before(listelm, elm, field) do { \ + (elm)->field.le_prev = (listelm)->field.le_prev; \ + asf_list_next((elm), field) = (listelm); \ + *(listelm)->field.le_prev = (elm); \ + (listelm)->field.le_prev = &asf_list_next((elm), field); \ +} while (0) + +#define asf_list_insert_head(head, elm, field) do { \ + if ((asf_list_next((elm), field) = asf_list_first((head))) != NULL) \ + asf_list_first((head))->field.le_prev = &asf_list_next((elm), field);\ + asf_list_first((head)) = (elm); \ + (elm)->field.le_prev = &asf_list_first((head)); \ +} while (0) + +#define asf_list_next(elm, field) ((elm)->field.le_next) + +#define asf_list_remove(elm, field) do { \ + if (asf_list_next((elm), field) != NULL) \ + asf_list_next((elm), field)->field.le_prev = \ + (elm)->field.le_prev; \ + *(elm)->field.le_prev = asf_list_next((elm), field); \ +} while (0) + +/* + * Tail queue declarations. + */ +#define asf_tailq_head(name, type) \ +struct name { \ + struct type *tqh_first; /* first element */ \ + struct type **tqh_last; /* addr of last next element */ \ + TRACEBUF; \ +} + +#define asf_tailq_head_initializer(head) \ + { NULL, &(head).tqh_first } + +#define asf_tailq_entry(type) \ +struct { \ + struct type *tqe_next; /* next element */ \ + struct type **tqe_prev; /* address of previous next element */ \ + TRACEBUF; \ +} + +/* + * Tail queue functions. + */ +#define asf_tailq_concat(head1, head2, field) do { \ + if (!asf_tailq_empty(head2)) { \ + *(head1)->tqh_last = (head2)->tqh_first; \ + (head2)->tqh_first->field.tqe_prev = (head1)->tqh_last; \ + (head1)->tqh_last = (head2)->tqh_last; \ + asf_tailq_init((head2)); \ + qmd_trace_head(head); \ + qmd_trace_head(head2); \ + } \ +} while (0) + +#define asf_tailq_empty(head) ((head)->tqh_first == NULL) + +#define asf_tailq_first(head) ((head)->tqh_first) + +#define asf_tailq_foreach(var, head, field) \ + for ((var) = asf_tailq_first((head)); \ + (var); \ + (var) = asf_tailq_next((var), field)) + +#define asf_tailq_foreach_safe(var, head, field, tvar) \ + for ((var) = asf_tailq_first((head)); \ + (var) && ((tvar) = asf_tailq_next((var), field), 1); \ + (var) = (tvar)) + +#define asf_tailq_foreach_reverse(var, head, headname, field) \ + for ((var) = asf_tailq_last((head), headname); \ + (var); \ + (var) = asf_tailq_prev((var), headname, field)) + +#define asf_tailq_foreach_reverse_safe(var, head, headname, field, tvar) \ + for ((var) = asf_tailq_last((head), headname); \ + (var) && ((tvar) = asf_tailq_prev((var), headname, field), 1); \ + (var) = (tvar)) + +#define asf_tailq_init(head) do { \ + asf_tailq_first((head)) = NULL; \ + (head)->tqh_last = &asf_tailq_first((head)); \ + qmd_trace_head(head); \ +} while (0) + +#define asf_tailq_insert_after(head, listelm, elm, field) do { \ + if ((asf_tailq_next((elm), field) = asf_tailq_next((listelm), field)) != NULL)\ + asf_tailq_next((elm), field)->field.tqe_prev = \ + &asf_tailq_next((elm), field); \ + else { \ + (head)->tqh_last = &asf_tailq_next((elm), field); \ + qmd_trace_head(head); \ + } \ + asf_tailq_next((listelm), field) = (elm); \ + (elm)->field.tqe_prev = &asf_tailq_next((listelm), field); \ + qmd_trace_elem(&(elm)->field); \ + qmd_trace_elem(&listelm->field); \ +} while (0) + +#define asf_tailq_insert_before(listelm, elm, field) do { \ + (elm)->field.tqe_prev = (listelm)->field.tqe_prev; \ + asf_tailq_next((elm), field) = (listelm); \ + *(listelm)->field.tqe_prev = (elm); \ + (listelm)->field.tqe_prev = &asf_tailq_next((elm), field); \ + qmd_trace_elem(&(elm)->field); \ + qmd_trace_elem(&listelm->field); \ +} while (0) + +#define asf_tailq_insert_head(head, elm, field) do { \ + if ((asf_tailq_next((elm), field) = asf_tailq_first((head))) != NULL) \ + asf_tailq_first((head))->field.tqe_prev = \ + &asf_tailq_next((elm), field); \ + else \ + (head)->tqh_last = &asf_tailq_next((elm), field); \ + asf_tailq_first((head)) = (elm); \ + (elm)->field.tqe_prev = &asf_tailq_first((head)); \ + qmd_trace_head(head); \ + qmd_trace_elem(&(elm)->field); \ +} while (0) + +#define asf_tailq_insert_tail(head, elm, field) do { \ + asf_tailq_next((elm), field) = NULL; \ + (elm)->field.tqe_prev = (head)->tqh_last; \ + *(head)->tqh_last = (elm); \ + (head)->tqh_last = &asf_tailq_next((elm), field); \ + qmd_trace_head(head); \ + qmd_trace_elem(&(elm)->field); \ +} while (0) + +#define asf_tailq_last(head, headname) \ + (*(((struct headname *)((head)->tqh_last))->tqh_last)) + +#define asf_tailq_next(elm, field) ((elm)->field.tqe_next) + +#define asf_tailq_prev(elm, headname, field) \ + (*(((struct headname *)((elm)->field.tqe_prev))->tqh_last)) + +#define asf_tailq_remove(head, elm, field) do { \ + if ((asf_tailq_next((elm), field)) != NULL) \ + asf_tailq_next((elm), field)->field.tqe_prev = \ + (elm)->field.tqe_prev; \ + else { \ + (head)->tqh_last = (elm)->field.tqe_prev; \ + qmd_trace_head(head); \ + } \ + *(elm)->field.tqe_prev = asf_tailq_next((elm), field); \ + trashit((elm)->field.tqe_next); \ + trashit((elm)->field.tqe_prev); \ + qmd_trace_elem(&(elm)->field); \ +} while (0) + + +#ifdef _KERNEL + +/* + * XXX asf_insque() and remque() are an old way of handling certain queues. + * They bogusly assumes that all queue heads look alike. + */ + +struct asf_qhead { + struct asf_qhead *qh_link; + struct asf_qhead *qh_rlink; +}; + +#if defined(__GNUC__) || defined(__INTEL_COMPILER) + +static __inline void +asf_insque(void *a, void *b) +{ + struct asf_qhead *element = (struct asf_qhead *)a, + *head = (struct asf_qhead *)b; + + element->qh_link = head->qh_link; + element->qh_rlink = head; + head->qh_link = element; + element->qh_link->qh_rlink = element; +} + +static __inline void +asf_remque(void *a) +{ + struct asf_qhead *element = (struct asf_qhead *)a; + + element->qh_link->qh_rlink = element->qh_rlink; + element->qh_rlink->qh_link = element->qh_link; + element->qh_rlink = 0; +} + +#else /* !(__GNUC__ || __INTEL_COMPILER) */ + +void asf_insque(void *a, void *b); +void asf_remque(void *a); + +#endif /* __GNUC__ || __INTEL_COMPILER */ + +#endif /* _KERNEL */ + +#endif /* !_ASF_QUEUE_H_ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/asf_sm.h b/target_firmware/magpie_fw_dev/target/inc/asf_sm.h new file mode 100755 index 0000000..be8632e --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/asf_sm.h @@ -0,0 +1,46 @@ +/* + * Copyright (c) 2007-2008 Atheros Communications, Inc. + * All rights reserved. + */ +#ifndef _ASF_SM_H_ +#define _ASF_SM_H_ + +typedef void (*asf_sm_fn_t)(void *object, int event); + +typedef struct asf_sm_s { + void * object; + asf_sm_fn_t fn; + void * event_arg; +} asf_sm_t; + +static inline void +asf_sm_init(asf_sm_t *sm, void *object) +{ + sm->object = object; +} + +static inline void +asf_sm_set_state(asf_sm_t *sm, asf_sm_fn_t fn) +{ + sm->fn = fn; +} + +static inline void +asf_sm_send_event(asf_sm_t *sm, int event) +{ + sm->fn(sm->object, event); +} + +static inline void +asf_sm_set_event_arg(asf_sm_t *sm, void *arg) +{ + sm->event_arg = arg; +} + +static inline void * +asf_sm_get_event_arg(asf_sm_t *sm) +{ + return sm->event_arg; +} + +#endif /* _ASF_SM_H_ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/dt_defs.h b/target_firmware/magpie_fw_dev/target/inc/dt_defs.h new file mode 100755 index 0000000..a2426b2 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/dt_defs.h @@ -0,0 +1,92 @@ +/*************************************************************************/ +/* Copyright (c) 2006 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : dt_defs.h */ +/* */ +/* Abstract */ +/* This file contains the common data structure definition. */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#ifndef _DT_DEFS_H_ +#define _DT_DEFS_H_ + +#ifndef LOCAL +#define LOCAL static +#endif + +/* data type definition */ +typedef unsigned long uint32_t; +typedef unsigned short uint16_t; +typedef unsigned char uint8_t; + +typedef signed long int32_t; +typedef signed short int16_t; +typedef signed char int8_t; + +typedef uint16_t BOOLEAN; + +// Ray for porting +/* Basic data type */ +#define u32_t unsigned long +#define s32_t signed long +#define u16_t unsigned short +#define s16_t signed short +#define u8_t unsigned char +#define s8_t signed char + +#define ptrData uint8_t * + +/* marco definition */ +//#define SIZE_HASH_BUFFER 128 + +#ifndef TRUE +#define TRUE (0==0) +#endif + +#ifndef FALSE +#define FALSE (0!=0) +#endif + +#ifndef NULL +#define NULL 0x0 +#endif + +#define BIT0 (1<<0) +#define BIT1 (1<<1) +#define BIT2 (1<<2) +#define BIT3 (1<<3) +#define BIT4 (1<<4) +#define BIT5 (1<<5) +#define BIT6 (1<<6) +#define BIT7 (1<<7) +#define BIT8 (1<<8) +#define BIT9 (1<<9) +#define BIT10 (1<<10) +#define BIT11 (1<<11) +#define BIT12 (1<<12) +#define BIT13 (1<<13) +#define BIT14 (1<<14) +#define BIT15 (1<<15) +#define BIT16 (1<<16) +#define BIT17 (1<<17) +#define BIT18 (1<<18) +#define BIT19 (1<<19) +#define BIT20 (1<<20) +#define BIT21 (1<<21) +#define BIT22 (1<<22) +#define BIT23 (1<<23) +#define BIT24 (1<<24) +#define BIT25 (1<<25) +#define BIT26 (1<<26) +#define BIT27 (1<<27) +#define BIT28 (1<<28) +#define BIT29 (1<<29) +#define BIT30 (1<<30) +#define BIT31 (1<<31) + +#endif + diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/Magpie_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/Magpie_api.h new file mode 100755 index 0000000..d579ba1 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/Magpie_api.h @@ -0,0 +1,194 @@ +/* + * @File: Magpie_api.h + * + * @Abstract: Magpie FW api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _MAGPIE_API_H +#define _MAGPIE_API_H + +#include +#include "cmnos_api.h" +#include "vbuf_api.h" +#include "vdesc_api.h" +#include "usbfifo_api.h" +#include "hif_api.h" +#include "htc_api.h" +#include "wmi_svc_api.h" +#include "buf_pool_api.h" +#include "dma_engine_api.h" +#include "dma_lib.h" +#if defined(PROJECT_K2) +#include "sflash_api.h" +#endif + +#define A_INDIR(sym) _A_MAGPIE_INDIRECTION_TABLE->sym + +#if SYSTEM_MODULE_DBG +/* debug Support */ +#define DBG_MODULE_INSTALL() cmnos_dbg_module_install(&_A_MAGPIE_INDIRECTION_TABLE->dbg) +#define A_DBG_INIT() A_INDIR(dbg._dbg_init()) +#define A_DBG_TASK() A_INDIR(dbg._dbg_task()) +#else +#define DBG_MODULE_INSTALL() +#define A_DBG_INIT() +#define A_DBG_TASK() +#endif + +/* Serial Flash support */ +#if SYSTEM_MODULE_SFLASH +#define SFLASH_MODULE_INSTALL() cmnos_sflash_module_install(&_A_MAGPIE_INDIRECTION_TABLE->sflash) +#define A_SFLASH_INIT() A_INDIR(sflash._sflash_init()) +#define A_SFLASH_ERASE(erase_type, addr) A_INDIR(sflash._sflash_erase(erase_type, addr)) +#define A_SFLASH_PROG(addr, len, buf) A_INDIR(sflash._sflash_program(addr, len, buf)) +#define A_SFLASH_READ(fast, addr, len, buf) A_INDIR(sflash._sflash_read(fast, addr, len, buf)) +#define A_SFLASH_RDSR() A_INDIR(sflash._sflash_rdsr()) +#else +#define SFLASH_MODULE_INSTALL() +#define A_SFLASH_INIT() +#define A_SFLASH_ERASE(erase_type, addr) +#define A_SFLASH_PROG(addr, len, buf) +#define A_SFLASH_READ(fast, addr, len, buf) +#define A_SFLASH_RDSR() +#endif + +/* DMA Engine Interface */ +#define DMA_ENGINE_MODULE_INSTALL() dma_engine_module_install(&_A_MAGPIE_INDIRECTION_TABLE->dma_engine); +#define DMA_Engine_init() A_INDIR(dma_engine._init()) +#define DMA_Engine_config_rx_queue(q, nDesc, size) A_INDIR(dma_engine._config_rx_queue(q, nDesc, size)) +#define DMA_Engine_xmit_buf(q, buf) A_INDIR(dma_engine._xmit_buf(q, buf)) +#define DMA_Engine_flush_xmit(q) A_INDIR(dma_engine._flush_xmit(q)) +#define DMA_Engine_reap_recv_buf(q) A_INDIR(dma_engine._reap_recv_buf(q)) +#define DMA_Engine_return_recv_buf(q,buf) A_INDIR(dma_engine._return_recv_buf(q, buf)) +#define DMA_Engine_reap_xmited_buf(q) A_INDIR(dma_engine._reap_xmited_buf(q)) +#define DMA_Engine_swap_data(desc) A_INDIR(dma_engine._swap_data(desc)) +#define DMA_Engine_init_rx_queue(q) A_INDIR(dma_engine._init_rx_queue(q)) +#define DMA_Engine_init_tx_queue(q) A_INDIR(dma_engine._init_tx_queue(q)) +#define DMA_Engine_has_compl_packets(q) A_INDIR(dma_engine._has_compl_packets(q)) +#define DMA_Engine_desc_dump(q) A_INDIR(dma_engine._desc_dump(q)) +#define DMA_Engine_get_packet(q) A_INDIR(dma_engine._get_packet(q)) +#define DMA_Engine_reclaim_packet(q,desc) A_INDIR(dma_engine._reclaim_packet(q,desc)) +#define DMA_Engine_put_packet(q,desc) A_INDIR(dma_engine._put_packet(q,desc)) + +/*DMA Library support for GMAC & PCI(E)*/ +#define DMA_LIB_MODULE_INSTALL() dma_lib_module_install(&_A_MAGPIE_INDIRECTION_TABLE->dma_lib) +#define dma_lib_tx_init(eng_no, if_type) A_INDIR(dma_lib.tx_init(eng_no, if_type)) +#define dma_lib_rx_init(eng_no, if_type) A_INDIR(dma_lib.rx_init(eng_no, if_type)) +#define dma_lib_rx_config(eng_no, desc, gran) A_INDIR(dma_lib.rx_config(eng_no, desc, gran)) +#define dma_lib_tx_start(eng_no) A_INDIR(dma_lib.tx_start(eng_no)) +#define dma_lib_rx_start(eng_no) A_INDIR(dma_lib.rx_start(eng_no)) +#define dma_lib_intr_status(if_type) A_INDIR(dma_lib.intr_status(if_type)) +#define dma_lib_hard_xmit(eng_no, buf) A_INDIR(dma_lib.hard_xmit(eng_no, buf)) +#define dma_lib_flush_xmit(eng_no) A_INDIR(dma_lib.flush_xmit(eng_no)) +#define dma_lib_xmit_done(eng_no) A_INDIR(dma_lib.xmit_done(eng_no)) +#define dma_lib_reap_xmitted(eng_no) A_INDIR(dma_lib.reap_xmitted(eng_no)) +#define dma_lib_reap_recv(eng_no) A_INDIR(dma_lib.reap_recv(eng_no)) +#define dma_lib_return_recv(eng_no, buf) A_INDIR(dma_lib.return_recv(eng_no, buf)) +#define dma_lib_recv_pkt(eng_no) A_INDIR(dma_lib.recv_pkt(eng_no)) + +/* HIF support */ +#define HIF_MODULE_INSTALL() hif_module_install(&_A_MAGPIE_INDIRECTION_TABLE->hif) +#define HIF_init(pConfig) A_INDIR(hif._init(pConfig)) +#define HIF_shutdown(h) A_INDIR(hif._shutdown(h)) +#define HIF_register_callback(h, pConfig) A_INDIR(hif._register_callback(h, pConfig)) +#define HIF_start(h) A_INDIR(hif._start(h)) +#define HIF_config_pipe(h, pipe, desc_list) A_INDIR(hif._config_pipe(h, pipe, desc_list)) +#define HIF_send_buffer(h, pipe, buf) A_INDIR(hif._send_buffer(h, pipe, buf)) +#define HIF_return_recv_buf(h, pipe, buf) A_INDIR(hif._return_recv_buf(h, pipe, buf)) +#define HIF_isr_handler(h) A_INDIR(hif._isr_handler(h)) +#define HIF_is_pipe_supported(h, pipe) A_INDIR(hif._is_pipe_supported(h, pipe)) +#define HIF_get_max_msg_len(h, pipe) A_INDIR(hif._get_max_msg_len(h, pipe)) +#define HIF_get_reserved_headroom(h) A_INDIR(hif._get_reserved_headroom(h)) +#define HIF_get_default_pipe(h,u,d) A_INDIR(hif._get_default_pipe(h,u,d)) + +/* VBUF APIs */ +#define VBUF_MODULE_INSTALL() vbuf_module_install(&_A_MAGPIE_INDIRECTION_TABLE->vbuf) +#define VBUF_init(nBuf) A_INDIR(vbuf._init(nBuf)) +#define VBUF_alloc_vbuf() A_INDIR(vbuf._alloc_vbuf()) +#define VBUF_free_vbuf(buf) A_INDIR(vbuf._free_vbuf(buf)) + +/* VDESC APIs */ +#define VDESC_MODULE_INSTALL() vdesc_module_install(&_A_MAGPIE_INDIRECTION_TABLE->vdesc) +#define VDESC_init(nDesc) A_INDIR(vdesc._init(nDesc)) +#define VDESC_alloc_vdesc() A_INDIR(vdesc._alloc_vdesc()) +#define VDESC_get_hw_desc(desc) A_INDIR(vdesc._get_hw_desc(desc)) +#define VDESC_swap_vdesc(dst, src) A_INDIR(vdesc._swap_vdesc(dst, src)) + +#define HTC_MODULE_INSTALL() htc_module_install(&_A_MAGPIE_INDIRECTION_TABLE->htc) +#define HTC_init(SetupComplete, pConfig) A_INDIR(htc._HTC_Init(SetupComplete, pConfig)) +#define HTC_Shutdown(h) A_INDIR(htc._HTC_Shutdown(h)) +#define HTC_RegisterService(h, s) A_INDIR(htc._HTC_RegisterService(h, s)) +#define HTC_Ready(h) A_INDIR(htc._HTC_Ready(h)) +#define HTC_SendMsg(h, endpt, buf) A_INDIR(htc._HTC_SendMsg(h, endpt, buf)) +#define HTC_ReturnBuffers(h, endpt, buf) A_INDIR(htc._HTC_ReturnBuffers(h, endpt, buf)) +#define HTC_ReturnBuffersList(h, endpt, hd) A_INDIR(htc._HTC_ReturnBuffersList(h, endpt, hd)) +#define HTC_GetReservedHeadroom(h) A_INDIR(htc._HTC_GetReservedHeadroom(h)) + +#define HTC_NotifyTargetInserted(h) +#define HTC_NotifyTargetDetached(h) + +/* WMI SVC module */ +#define WMI_SERVICE_MODULE_INSTALL() WMI_service_module_install(&_A_MAGPIE_INDIRECTION_TABLE->wmi_svc_api) +#define WMI_Init(pCfg) A_INDIR(wmi_svc_api._WMI_Init(pCfg)) +#define WMI_RegisterDispatchTable(h,pT) A_INDIR(wmi_svc_api._WMI_RegisterDispatchTable(h, pT)) +#define WMI_AllocEvent(h,ec,len) A_INDIR(wmi_svc_api._WMI_AllocEvent(h, ec, len)) +#define WMI_SendEvent(h,ev,id,seq,len) A_INDIR(wmi_svc_api._WMI_SendEvent(h, ev, id, seq, len)) +#define WMI_GetPendingEventsCount() A_INDIR(wmi_svc_api._WMI_GetPendingEventsCount()) +#define WMI_GetControlEp() A_INDIR(wmi_svc_api._WMI_GetControlEp()) +#define WMI_SendCompleteHandler(ep, buf) A_INDIR(wmi_svc_api._WMI_SendCompleteHandler(ep, buf)) +#define WMI_Shutdown(h) A_INDIR(wmi_svc_api._WMI_Shutdown(h)) + +/* USB FIFO module */ +#define USBFIFO_MODULE_INSTALL() usbfifo_module_install(&_A_MAGPIE_INDIRECTION_TABLE->usbfifo_api) +#define USBFIFO_init(pConfig) A_INDIR(usbfifo_api._init(pConfig)) +#define USBFIFO_enable_event_isr() A_INDIR(usbfifo_api._enable_event_isr()) + +/* BUF pool module */ +#define BUF_POOL_MODULE_INSTALL() buf_pool_module_install(&_A_MAGPIE_INDIRECTION_TABLE->buf_pool) +#define BUF_Pool_init(h) A_INDIR(buf_pool._init(h)) +#define BUF_Pool_shutdown(h) A_INDIR(buf_pool._shutdown(h)) +#define BUF_Pool_create_pool(h, id, nItems, nSize) A_INDIR(buf_pool._create_pool(h, id, nItems, nSize)) +#define BUF_Pool_alloc_buf(h, id, reserve) A_INDIR(buf_pool._alloc_buf(h, id, reserve)) +#define BUF_Pool_alloc_buf_align(h, id, reserve,align) A_INDIR(buf_pool._alloc_buf_align(h, id, reserve,align)) +#define BUF_Pool_free_buf(h, id, buf) A_INDIR(buf_pool._free_buf(h, id, buf)) + +/* + * This defines the layout of the indirection table, which + * is used to access exported APIs of various modules. The + * layout is shared across ROM and RAM code. RAM code may + * call into ROM and ROM code may call into RAM. Because + * of the latter, existing offsets must not change for the + * lifetime of a revision of ROM; but new members may be + * added at the end. + */ +typedef struct _A_magpie_indirection_table { + _A_cmnos_indirection_table_t cmnos; + struct dbg_api dbg; + struct sflash_api sflash; + struct hif_api hif; + struct htc_apis htc; + WMI_SVC_APIS wmi_svc_api; + struct usbfifo_api usbfifo_api; + struct buf_pool_api buf_pool; + struct vbuf_api vbuf; + struct vdesc_api vdesc; + struct allocram_api allocram; + struct dma_engine_api dma_engine; + struct dma_lib_api dma_lib; +} _A_magpie_indirection_table_t; + +extern _A_magpie_indirection_table_t _indir_tbl; +#define _A_MAGPIE_INDIRECTION_TABLE_SIZE sizeof(_A_magpie_indirection_table_t) +#if defined(__mips__) +#define _A_MAGPIE_INDIRECTION_TABLE ((_A_magpie_indirection_table_t *)0x700) +#else +#define _A_MAGPIE_INDIRECTION_TABLE (&_indir_tbl) +#endif + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/allocram_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/allocram_api.h new file mode 100755 index 0000000..ca0872c --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/allocram_api.h @@ -0,0 +1,51 @@ +/* + * Copyright (c) 2007 Atheros Communications, Inc. All rights reserved. + */ + +#ifndef __ALLOCRAM_API_H__ +#define __ALLOCRAM_API_H__ + +/* API for Target-side startup-time RAM allocations */ + +struct allocram_api { + /* + * Initialize allocram, providing it with a block of RAM + * (an "arena") from which to allocate. + * + * If arena_start is 0, a default start -- the end of + * the application's text & data -- is used. + * + * If arena_sz is 0, a default size -- which uses most + * of physical RAM beyond arena_start -- is used. + * + * Return value is reserved for future use -- it's an arena handle. + */ + void *(* cmnos_allocram_init)(void *arena_start, A_UINT32 arena_sz); + + /* + * Allocate nbytes of memory, returning a pointer to the start + * of the allocated block. Allocation size is rounded up to the + * nearest A_CACHE_LINE_SIZE and the returned address similarly + * aligned. + * + * There is no need to check the return value from this function. + * A failure to satisfy a RAM allocation request is treated as a + * fatal error. + * + * Allocations are expected to occur only during startup; this + * API does not, for instance, guarantee atomicity with respect + * to allocations that might (foolishly) be attempted from + * interrupt handlers. + * + * The "which_arena" parameter is currently unused, and should + * be set to 0 -- only a single arena is currently supported. + */ + void *(* cmnos_allocram)(void *which_arena, A_UINT32 nbytes); + + void (* cmnos_allocram_debug)(void); +}; + +extern void allocram_module_install(struct allocram_api *api); + + +#endif /* __ALLOCRAM_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/athos_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/athos_api.h new file mode 100755 index 0000000..3147d5e --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/athos_api.h @@ -0,0 +1,99 @@ +#ifndef __ATHOS_API_H__ +#define __ATHOS_API_H__ + +/* + * This file contains wrappers to OS operating system functions + * that are available in the Athos version of the operating system. + * + * Target software must always use these wrappers to access OS + * services -- it may not access any OS services directly. + * + * These wrappers are intended to provide OS-independence for applications. + * Using this header file, an application should be able to compile and + * fully link without any other OS header files, source files, or + * binary files. + */ + +#include +#include "dt_defs.h" +#include "cmnos_api.h" +#include "Magpie_api.h" + +/* ROM Patch API */ + +/* save the ROM printf function point */ +extern uint32_t save_cmnos_printf; + +extern unsigned int _data_start_in_rom; +extern unsigned int _data_start; +extern unsigned int _data_end; +extern unsigned int _bss_start; +extern unsigned int _bss_end; +extern unsigned int _stack_sentry; +extern unsigned int __stack; +extern unsigned int _fw_image_end; + +#if defined(__XTENSA__) +#define START_DATA _data_start +#define END_DATA _data_end +#define START_BSS _bss_start +#define END_BSS _bss_end + +#define STACK_START _stack_sentry +#define STACK_END __stack +#endif + +struct _A_os_linkage_check { + int version; + int table; +}; + +/* + * A_INIT() handles any initialization needed by the OS abstraction, + * and it clears the application's BSS, if necessary. (Application BSS + * is not cleared if the application is linked into a single image that + * includes AthOS.) + * + * A_INIT() must be called first thing in the application (from app_start) + * in order to guarantee that BSS has been cleared properly. + */ +static INLINE int +A_INIT(void) +{ + struct _A_os_linkage_check link_check; + unsigned int *clrptr; + + if (&START_BSS != _A_MAGPIE_INDIRECTION_TABLE->cmnos.start_bss) { + /* Clear BSS */ + for (clrptr = &START_BSS; clrptr < &END_BSS; clrptr++) { + *clrptr = 0; + } + } + + /* Copy writable data from flash to RAM. */ + unsigned int *srcptr, *destptr; + + /* + * The _data_start symbol points to the start of data IN FLASH. + * It is defined by flash.ld at application link time. If flash.ld + * is not used, it is defined (on the link line) as 0. + */ + static int *data_start_addr = &_data_start; + + if (data_start_addr != 0) { + for (srcptr = &_data_start, destptr = &START_DATA; + destptr < &END_DATA; + srcptr++, destptr++) { + *destptr = *srcptr; + } + } + +#define OS_LINKAGE_VERSION 4 + link_check.version = OS_LINKAGE_VERSION; + link_check.table = _A_MAGPIE_INDIRECTION_TABLE_SIZE; + + return A_CMN(hal_linkage_check(sizeof(link_check), &link_check)); +} + +#endif /* __ATHOS_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/clock_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/clock_api.h new file mode 100755 index 0000000..74b7753 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/clock_api.h @@ -0,0 +1,29 @@ +#ifndef __CLOCK_API_H__ +#define __CLOCK_API_H__ + +#define TICK_MSEC_RATIO 1 +#define TICK_TO_MSEC(tick) ((tick)/TICK_MSEC_RATIO) +#define MSEC_TO_TICK(msec) ((msec)* TICK_MSEC_RATIO) + +typedef struct date_s { + uint16_t miliseconds; + uint16_t seconds; + uint16_t minutes; + uint16_t hours; +} A_DATE_T; + +struct clock_api { + void (* _clock_init)(A_UINT32 ref_clk); + void (* _clockregs_init)(void); + A_UINT32 (* _uart_frequency)(void); + void (* _delay_us)(int); + void (* _wlan_band_set)(int); + A_UINT32 (* _refclk_speed_get)(void); + A_UINT32 (* _milliseconds)(void); + void (* _sysclk_change)(uint32_t sys_clk); + A_UINT32 (* _sysclk_get)(void); + + void (* _clock_tick)(void); +}; + +#endif /* __CLOCK_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/cmnos_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/cmnos_api.h new file mode 100755 index 0000000..8689a55 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/cmnos_api.h @@ -0,0 +1,630 @@ +#ifndef __CMNOS_API_H__ +#define __CMNOS_API_H__ + +/* + * This file contains wrappers to OS operating system functions + * that are available in all versions of the operating system. + * + * Target software must always use these wrappers to access OS + * services -- it may not access any OS services directly. + */ + +#include "xtensa/config/core.h" +#include "xtensa/hal.h" +#include "xtensa/xtruntime.h" +#include "sys_cfg.h" + +/* cmnos interface */ +#include "printf_api.h" +#include "uart_api.h" +#include "dbg_api.h" +#include "mem_api.h" +#include "misc_api.h" +#include "string_api.h" +#include "timer_api.h" +#include "romp_api.h" +#include "allocram_api.h" +#include "tasklet_api.h" +#include "clock_api.h" +#include "intr_api.h" +#include "wdt_api.h" +#include "eeprom_api.h" +#include "usb_api.h" + +#if defined(PROJECT_K2) +#if SYSTEM_MODULE_SFLASH +#include "sflash_api.h" +#endif +#endif + +#define AR6K_ROM_START 0x004e0000 +#define AR6K_ROM_ADDR(byte_offset) (AR6K_ROM_START+(byte_offset)) +#define TARG_ROM_ADDRS(byte_offset) AR6K_ROM_ADDR(byte_offset) + +#define IML_SIGNAL_UNUSED0_ADDR TARG_ROM_ADDRS(0) /* Cannot be used -- aligned */ +#define IML_SIGNAL_ASSERT_ADDR TARG_ROM_ADDRS(1) /* Signal an assertion failure */ +#define IML_SIGNAL_PRINTF_ADDR TARG_ROM_ADDRS(2) /* Signal a printf request */ +#define IML_SIGNAL_UNUSED4_ADDR TARG_ROM_ADDRS(4) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSED8_ADDR TARG_ROM_ADDRS(8) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSEDC_ADDR TARG_ROM_ADDRS(0xc) /* Cannot be used -- aligned */ +#define IML_SIGNAL_MASK 0xfffe000f +#define IML_LINENUM_SHIFT 4 + +#define NOW() xthal_get_ccount() + +#if defined(__XTENSA__) +#define _A_BARRIER asm volatile("memw") +#else +#define _A_BARRIER +#endif + +#define A_ASSERT( __bool ) \ + do { \ + if (0 == (__bool)) { \ + (void)*((volatile int *)(IML_SIGNAL_ASSERT_ADDR+(__LINE__<<4)));\ + _A_BARRIER; \ + } \ + } while (0) + + +#define A_IML_IS_ASSERT(vaddr) \ + (((vaddr) & IML_SIGNAL_MASK) == (IML_SIGNAL_ASSERT_ADDR & IML_SIGNAL_MASK)) + + +#define PRINT_FAILURE_STATE() \ +do { \ + uint32_t epc1, epc2, epc3, epc4; \ + \ + asm volatile("rsr %0,%1" : "=r" (epc1) : "n" (EPC_1)); \ + asm volatile("rsr %0,%1" : "=r" (epc2) : "n" (EPC_2)); \ + asm volatile("rsr %0,%1" : "=r" (epc3) : "n" (EPC_3)); \ + asm volatile("rsr %0,%1" : "=r" (epc4) : "n" (EPC_4)); \ + \ + A_PRINTF("\tepc1=0x%x, epc2=0x%x, epc3=0x%x, epc4=0x%x\n", \ + epc1, epc2, epc3, epc4); \ + A_PRINTF("0x%08x, 0x%08x, 0x%08x, \n\r", \ + DEBUG_SYSTEM_STATE, WATCH_DOG_RESET_COUNTER, \ + WATCH_DOG_MAGIC_PATTERN); \ +} while(0) +//////////////////////////////////////////////////////////////////////////////////// + + +//#define A_CMN(sym) _A_OS_INDIRECTION_TABLE->cmnos.sym +#define A_CMN(sym) _A_MAGPIE_INDIRECTION_TABLE->cmnos.sym + +#if SYSTEM_MODULE_MEM +/* Mem interfaces */ +#define A_MEMSET(addr, value, size) \ + A_CMN(mem._memset((char *)(addr), (int)(value), (int)(size))) + +#define A_MEMZERO(addr, size) \ + A_CMN(mem._memset((char *)(addr), (int)0, (int)(size))) + +#define A_MEMCPY(dst, src, size) \ + A_CMN(mem._memcpy((char *)(dst), (char *)(src), (int)(size))) + +#define A_MEMMOVE(dst, src, size) \ + A_CMN(mem._memmove((char *)(dst), (char *)(src), (int)(size))) + +#define A_MEMCMP(p1, p2, nbytes) \ + A_CMN(mem._memcmp)((void *)(p1), (void *)(p2), (int)(nbytes)) +#else +/* Mem interfaces */ +#define A_MEMSET(addr, value, size) + +#define A_MEMZERO(addr, size) + +#define A_MEMCPY(dst, src, size) + +#define A_MEMMOVE(dst, src, size) + +#define A_MEMCMP(p1, p2, nbytes) +#endif + + +#if 1 + /* String interfaces */ + #define A_STRCPY(dst, src) A_CMN(string._strcpy((dst), (src))) + #define A_STRNCPY(dst, src, n) A_CMN(string._strncpy((dst), (src), (n))) + #define A_STRLEN(str) A_CMN(string._strlen(str)) + #define A_STRCMP(str1, str2) A_CMN(string._strcmp((str1), (str2))) + #define A_STRNCMP(str1, str2, n) A_CMN(string._strncmp((str1), (str2), (n))) +#endif + +#if SYSTEM_MODULE_PRINT +/* Printf support */ +#define A_PRINTF_INIT() A_CMN(printf._printf_init()) +#define A_PRINTF A_CMN(printf._printf) +#else +#define A_PRINTF_INIT() +#define A_PRINTF +#endif /* SYSTEM_MODULE_PRINT */ + +#if SYSTEM_MODULE_UART +/* Serial port support */ +#define A_UART_INIT() A_CMN(uart._uart_init()) + +#define A_UART_HWINIT(freq, baud) \ + A_CMN(uart._uart_hwinit((freq), (baud))) + +#define A_UART_ENABLED() (HOST_INTEREST->hi_uart_enable) + +#define A_PUTS(str) A_CMN(uart._uart_str_out(str)) + +#define A_PUTC(ch) A_CMN(uart._uart_char_put(ch)) +#define A_GETC(pCh) A_CMN(uart._uart_char_get(pCh)) + +#define A_UART_TASK() A_CMN(uart._uart_task()) +#define A_UART_CONFIG(x) A_CMN(uart._uart_config(x)) + +#else + +#define A_UART_INIT() + +#define A_UART_HWINIT(freq, baud) + +#define A_UART_ENABLED() + +#define A_PUTS(str) + +#define A_PUTC(ch) +#define A_GETC(pCh) + +#define A_UART_TASK() +#define A_UART_CONFIG(x) + +#endif + +#if SYSTEM_MODULE_MISC +/* Reset Support */ +#define A_RESET() A_CMN(misc._system_reset()) +#define A_RESET_MAC() A_CMN(misc._mac_reset()) + +/* Assertion failure */ +#define A_ASSFAIL(regdump) A_CMN(misc._assfail((regdump))) + +/* Report a failure to the Host */ +#define A_REPORT_FAILURE(data, len) \ + A_CMN(misc._report_failure_to_host((data), (len))) + +/* UNALIGNED references are used for ASSERTs */ +#define A_MISALIGNED_LOAD_HANDLER(dump) A_CMN(misc._misaligned_load_handler(dump)) + +/* reture the host interface type */ +#define A_IS_HOST_PRESENT() A_CMN(misc._is_host_present()) +#define A_KBHIT(delay) A_CMN(misc._kbhit(delay)) +#define A_GET_ROM_VER() A_CMN(misc._rom_version_get()) +#else +/* Reset Support */ +#define A_RESET() +#define A_RESET_MAC() + +/* Assertion failure */ +#define A_ASSFAIL(regdump) + +#define A_MISALIGNED_LOAD_HANDLER(dump) + +/* Report a failure to the Host */ +#define A_REPORT_FAILURE(data, len) + +#define A_IS_HOST_PRESENT() +#define A_KBHIT(delay) +#define A_GET_ROM_VER() +#endif + +#if SYSTEM_MODULE_USB +/* debug Support */ +#define A_USB_INIT() A_CMN(usb._usb_init()) +#define A_USB_ROM_TASK() A_CMN(usb._usb_rom_task()) +#define A_USB_FW_TASK() A_CMN(usb._usb_fw_task()) +#define A_USB_INIT_PHY() A_CMN(usb._usb_init_phy()) + +#define A_USB_EP0_SETUP() A_CMN(usb._usb_ep0_setup()) +#define A_USB_EP0_TX_DATA() A_CMN(usb._usb_ep0_tx_data()) +#define A_USB_EP0_RX_DATA() A_CMN(usb._usb_ep0_rx_data()) + +#define A_USB_GET_CONFIG() A_CMN(usb._usb_get_configuration()) +#define A_USB_SET_CONFIG() A_CMN(usb._usb_set_configuration()) + +#define A_USB_GET_INTERFACE() A_CMN(usb._usb_get_interface()) +#define A_USB_SET_INTERFACE() A_CMN(usb._usb_set_interface()) + +#define A_USB_STANDARD_CMD() A_CMN(usb._usb_standard_cmd()) +#define A_USB_VENDOR_CMD() A_CMN(usb._usb_vendor_cmd()) + +#define A_USB_POWER_OFF() A_CMN(usb._usb_power_off()) +#define A_USB_RESET_FIFO() A_CMN(usb._usb_reset_fifo()) +#define A_USB_GEN_WDT() A_CMN(usb._usb_gen_wdt()) +#define A_USB_JUMP_BOOT() A_CMN(usb._usb_jump_boot()) + +#define A_USB_GET_DESCRIPTOR() A_CMN(usb._usb_get_descriptor()) +#define A_USB_SET_ADDRESS() A_CMN(usb._usb_set_address()) +#define A_USB_SET_FEATURE() A_CMN(usb._usb_set_feature()) +#define A_USB_CLEAR_FEATURE() A_CMN(usb._usb_clr_feature()) + +#define A_USB_GET_STATUS() A_CMN(usb._usb_get_status()) +#define A_USB_SETUP_DESC() A_CMN(usb._usb_setup_desc()) +#define A_USB_STATUS_IN() A_CMN(usb._usb_status_in()) +#define A_USB_REG_OUT() A_CMN(usb._usb_reg_out()) + +#define A_USB_EP0_TX() A_CMN(usb._usb_ep0_tx()) +#define A_USB_EP0_RX() A_CMN(usb._usb_ep0_rx()) +#define A_USB_CLK_INIT() A_CMN(usb._usb_clk_init()) + +#else +#define A_USB_INIT() +#define A_USB_TASK() +#define A_USB_INIT_PHY() + +#define A_USB_EP0_SETUP() +#define A_USB_EP0_TX() +#define A_USB_EP0_RX() + +#define A_USB_GET_CONFIG() +#define A_USB_SET_CONFIG() + +#define A_USB_GET_INTERFACE() +#define A_USB_SET_INTERFACE() + +#define A_USB_STANDARD_CMD() +#define A_USB_VENDOR_CMD() + +#define A_USB_POWER_OFF() +#define A_USB_RESET_FIFO() +#define A_USB_GEN_WDT() +#define A_USB_JUMP_BOOT() + +#define A_USB_GET_DESCRIPTOR() +#define A_USB_SET_ADDRESS() +#define A_USB_SET_FEATURE() +#define A_USB_CLEAR_FEATURE() + +#define A_USB_GET_STATUS() +#define A_USB_SETUP_DESC() + + +#define A_USB_STATUS_IN() +#define A_USB_REG_OUT() + +#define A_USB_EP0_TX() +#define A_USB_EP0_RX() + +#define A_USB_CLK_INIT() +#endif + +#if SYSTEM_MODULE_INTR +/* Low-level interrupt support intended for use by OS modules */ +#define A_INTR_GET_INTRENABLE() A_CMN(intr._get_intrenable()) +#define A_INTR_SET_INTRENABLE(val) A_CMN(intr._set_intrenable(val)) +#define A_INTR_GET_INTRPENDING() A_CMN(intr._get_intrpending()) +#define A_INTR_UNBLOCK_ALL_INTRLVL() A_CMN(intr._unblock_all_intrlvl()) + +/* Interrupt support */ +#define A_INTR_INIT() A_CMN(intr._intr_init()) + +#define A_INTR_DISABLE(pOld) \ + do { \ + *(pOld) = A_CMN(intr._intr_disable()); \ + } while (0) + +#define A_INTR_RESTORE(old) A_CMN(intr._intr_restore((old))) + +#define A_INVOKE_ISR(inum) A_CMN(intr._intr_invoke_isr(inum)) + +#define A_INTR_MASK(inum) A_CMN(intr._intr_mask_inum(inum)) +#define A_INTR_UNMASK(inum) A_CMN(intr._intr_unmask_inum(inum)) + +#define A_ATTACH_ISR(inum, isr, arg) A_CMN(intr._intr_attach_isr(inum, isr, arg)) +#else +#define A_INTR_INIT() +#define A_INTR_DISABLE(pOld) +#define A_INTR_RESTORE(old) + +#define A_INTR_GET_INTRENABLE() +#define A_INTR_SET_INTRENABLE(val) +#define A_INTR_GET_INTRPENDING() +#define A_INTR_UNBLOCK_ALL_INTRLVL() +#define A_INVOKE_ISR(inum) +#define A_INTR_MASK(inum) +#define A_INTR_UNMASK(inum) +#define A_ATTACH_ISR(inum, isr, arg) + +#endif + +/* Tasklet Support */ +#define A_TASKLET_INIT() A_CMN(tasklet._tasklet_init()) +#define A_TASKLET_INIT_TASK(f, arg, t) A_CMN(tasklet._tasklet_init_task(f, arg, t)) +#define A_TASKLET_DISABLE(t) A_CMN(tasklet._tasklet_disable(t)) +#define A_TASKLET_SCHEDULE(t) A_CMN(tasklet._tasklet_schedule(t)) +#define A_TASKLET_RUN() A_CMN(tasklet._tasklet_run()) + + +/* RAM Allocation Support */ +#if defined(__mips__) +#define alloc_arena_start _end +#endif +#if defined(__XTENSA__) +#define alloc_arena_start _end +#endif + +#if SYSTEM_MODULE_CLOCK + +#define A_CLOCK_INIT(refclk_guess) A_CMN(clock._clock_init(refclk_guess)) +#define A_CLOCK_TICK() A_CMN(clock._clock_tick()) +#define A_CLOCK_GET_TICK() A_CMN(clock._clock_get_tick()) + +/* + * Get the number of millisecond ticks since the system was started. + * Note that this only approximates 1Ms. It's actually 32 ticks of + * a 32KHz clock. + * + * Returns a A_UINT32 value. + */ +#define A_MILLISECONDS() A_CMN(clock._milliseconds()) + +/* + * Get the frequency of the reference clock, expressed as + * an A_refclk_speed_t. + */ +#define A_REFCLK_SPEED_GET() A_CMN(clock._refclk_speed_get()) + +/* Spin delay */ +#define A_DELAY_USECS(us) A_CMN(clock._delay_us(us)) + +#define A_UART_FREQUENCY() A_CMN(clock._uart_frequency()) + +#define A_CLOCKREGS_INIT() A_CMN(clock._clockregs_init()) + +/* which_band is either A_BAND_24GHZ or A_BAND_5GHZ */ +#define A_WLAN_BAND_SET(which_band) \ + A_CMN(clock._wlan_band_set(which_band)) + +/* Called whenever the system clock changes speed */ +#define A_SYSCLK_CHANGE(mhz) A_CMN(clock._sysclk_change(mhz)) + +#define A_SYSCLK_GET() A_CMN(clock._sysclk_get()) + +#else + +#define A_CLOCK_INIT(refclk_guess) +#define A_CLOCK_TICK() +#define A_CLOCK_GET_TICK() +#define A_MILLISECONDS() +#define A_REFCLK_SPEED_GET() +#define A_DELAY_USECS(us) +#define A_UART_FREQUENCY() +#define A_CLOCKREGS_INIT() +#define A_WLAN_BAND_SET(which_band) +#define A_SYSCLK_CHANGE(mhz) +#define A_SYSCLK_GET() + +#endif + +// Timer +#define A_INIT_TIMER(pTimer, pFunction, pArg) \ + A_CMN(timer._timer_setfn((pTimer), (pFunction), (pArg))) + +/* Set a (possibly periodic) timer for "period" Milliseconds. */ +#define A_TIMEOUT_MS(pTimer, period) \ + A_CMN(timer._timer_arm((pTimer), (period))) + +#define A_UNTIMEOUT(pTimer) \ + A_CMN(timer._timer_disarm(pTimer)) + +#define A_TIMER_RUN() \ + A_CMN(timer._timer_run()) + +#define A_PCI_BOOT_INIT() \ + A_CMN(pci.pci_boot_init()) + +#define A_GMAC_BOOT_INIT() \ + A_CMN(gmac.gmac_boot_init()) + +#if SYSTEM_MODULE_ALLOCRAM +/* Default size of ALLOCRAM area */ +#define ARENA_SZ_DEFAULT 12000 + +#define A_ALLOCRAM_INIT(arena_start, arena_size) \ + do { \ + extern unsigned int alloc_arena_start; \ + void *astart; \ + int asize; \ + astart = (arena_start) ? (void *)(arena_start) : &alloc_arena_start; \ + asize = (arena_size) ? (arena_size) : (ARENA_SZ_DEFAULT); \ + A_CMN(allocram.cmnos_allocram_init((astart), (asize))); \ + } while (0) + +#define A_ALLOCRAM(nbytes) A_CMN(allocram.cmnos_allocram(0, (nbytes))) + +#define A_ALLOCRAM_DEBUG() A_CMN(allocram.cmnos_allocram_debug()) + +#else +#define A_ALLOCRAM_INIT(arena_start, arena_size) +#define A_ALLOCRAM(nbytes) +#define A_ALLOCRAM_DEBUG() +#endif + +#if SYSTEM_MODULE_ROM_PATCH + +#define A_ROMP_INIT() A_CMN(romp._romp_init()) +#define A_ROMP_DOWNLOAD(x) A_CMN(romp._romp_download(x)) +#define A_ROMP_DECODE(addr) A_CMN(romp._romp_decode(addr)) +#define A_ROMP_INSTALL() A_CMN(romp._romp_install()) +#else +#define A_ROMP_INIT() +#define A_ROMP_DOWNLOAD(x) +#define A_ROMP_DECODE(addr) +#define A_ROMP_INSTALL() +#endif + +#if SYSTEM_MODULE_WDT + +#define A_WDT_INIT() A_CMN(wdt_timer._wdt_init()) +#define A_WDT_ENABLE() A_CMN(wdt_timer._wdt_enable()) +#define A_WDT_DISABLE() A_CMN(wdt_timer._wdt_disable()) +#define A_WDT_SET(t) A_CMN(wdt_timer._wdt_set(t)) +#define A_WDT_TASK() A_CMN(wdt_timer._wdt_task()) +#define A_WDT_LASTBOOT() A_CMN(wdt_timer._wdt_last_boot()) +#define A_WDT_RESET() A_CMN(wdt_timer._wdt_reset()) + +#else +#define A_WDT_INIT() +#define A_WDT_ENABLE() +#define A_WDT_DISABLE() +#define A_WDT_SET(t) +#define A_WDT_TASK() +#define A_WDT_LASTBOOT() +#define A_WDT_RESET() +#endif + + +#if SYSTEM_MODULE_EEPROM +#define A_EEP_INIT() A_CMN(eep._eep_init()) +#define A_EEP_READ(off, len, buf) A_CMN(eep._eep_read(off, len, buf)) +#define A_EEP_WRITE(off, len, buf) A_CMN(eep._eep_write(off, len, buf)) +#define A_EEP_IS_EXIST() A_CMN(eep._eep_is_exist()) +#else +#define A_EEP_INIT() +#define A_EEP_READ(off, len, buf) +#define A_EEP_WRITE(off, len, buf) +#define A_EEP_IS_EXIST() +#endif + + + +struct _A_os_linkage_check; /* OS-dependent */ + +typedef struct _A_cmnos_indirection_table { + int (* hal_linkage_check)(int sz, struct _A_os_linkage_check *); + unsigned int *start_bss; + void (* app_start)(void); + +#if SYSTEM_MODULE_MEM + struct mem_api mem; +#endif + +#if SYSTEM_MODULE_MISC + struct misc_api misc; +#endif + +#if SYSTEM_MODULE_PRINT + struct printf_api printf; +#endif + +#if SYSTEM_MODULE_UART + struct uart_api uart; +#endif + +#if SYSTEM_MODULE_DBG +#if !MOVE_DBG_TO_RAM // move to firmware not in cmnos + struct dbg_api dbg; +#endif +#endif +#if SYSTEM_MODULE_PCI + struct pci_api pci; +#endif + +#if SYSTEM_MODULE_GMAC + struct gmac_api gmac; +#endif + +#if SYSTEM_MODULE_USB + struct usb_api usb; +#endif + +#if SYSTEM_MODULE_CLOCK + struct clock_api clock; +#endif + +#if SYSTEM_MODULE_TIMER + struct timer_api timer; +#endif + +#if SYSTEM_MODULE_INTR + struct intr_api intr; +#endif + +#if SYSTEM_MODULE_ALLOCRAM + struct allocram_api allocram; +#endif + +#if SYSTEM_MODULE_ROM_PATCH + struct romp_api romp; +#endif + +#if SYSTEM_MODULE_WDT + struct wdt_api wdt_timer; +#endif + +#if SYSTEM_MODULE_EEPROM + struct eep_api eep; +#endif + + struct string_api string; + struct tasklet_api tasklet; + +} _A_cmnos_indirection_table_t; + +/* Module installation for cmnos modules */ + +#if SYSTEM_MODULE_MEM +extern void cmnos_mem_module_install(struct mem_api *); +#endif + +#if SYSTEM_MODULE_MISC +extern void cmnos_misc_module_install(struct misc_api *); +#endif + +#if SYSTEM_MODULE_PRINT +extern void cmnos_printf_module_install(struct printf_api *); +#endif + +#if SYSTEM_MODULE_UART +extern void cmnos_uart_module_install(struct uart_api *); +#endif + +#if SYSTEM_MODULE_DBG +extern void cmnos_dbg_module_install(struct dbg_api *); +#endif + +#if SYSTEM_MODULE_USB +extern void cmnos_usb_module_install(struct usb_api *); +#endif + +#if SYSTEM_MODULE_INTR +extern void cmnos_intr_module_install(struct intr_api *); +#endif + +#if SYSTEM_MODULE_CLOCK +extern void cmnos_clock_module_install(struct clock_api *); +#endif + +#if SYSTEM_MODULE_TIMER +extern void cmnos_timer_module_install(struct timer_api *); +#endif + +#if SYSTEM_MODULE_ALLOCRAM +extern void cmnos_allocram_module_install(struct allocram_api *); +#endif + +#if SYSTEM_MODULE_ROM_PATCH +extern void cmnos_romp_module_install(struct romp_api *); +#endif + +#if SYSTEM_MODULE_WDT +extern void cmnos_wdt_module_install(struct wdt_api *); +#endif + +#if SYSTEM_MODULE_EEPROM +extern void cmnos_eep_module_install(struct eep_api *); +#endif + +#if SYSTEM_MODULE_PCI +extern void cmnos_pci_module_install(struct pci_api *); +#endif + +extern void cmnos_tasklet_module_install(struct tasklet_api *); + +extern void cmnos_string_module_install(struct string_api *tbl); + +#endif /* __CMNOS_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/dma_engine_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/dma_engine_api.h new file mode 100755 index 0000000..937b5c3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/dma_engine_api.h @@ -0,0 +1,92 @@ +/* + * @File: dma_engine_api.h + * + * @Abstract: DMA Engine api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _DMA_ENGINE_API_H +#define _DMA_ENGINE_API_H + +#include +#include + +struct zsDmaDesc +{ +#if 1 // BIG_ENDIAN + volatile u16_t ctrl; // Descriptor control + volatile u16_t status; // Descriptor status + volatile u16_t totalLen; // Total length + volatile u16_t dataSize; // Data size +#else + volatile u16_t status; // Descriptor status + volatile u16_t ctrl; // Descriptor control + volatile u16_t dataSize; // Data size + volatile u16_t totalLen; // Total length +#endif + struct zsDmaDesc* lastAddr; // Last address of this chain + volatile u32_t dataAddr; // Data buffer address + struct zsDmaDesc* nextAddr; // Next TD address +}; + +struct zsDmaQueue +{ + struct zsDmaDesc* head; + struct zsDmaDesc* terminator; +}; + +// Subclass of zsDmaQueue for TX +struct zsTxDmaQueue +{ + struct zsDmaDesc* head; + struct zsDmaDesc* terminator; + + /* Below are fields specific to TX */ + VBUF *xmited_buf_head; + VBUF *xmited_buf_tail; +}; + +/* hardware API table structure (API descriptions below) */ +struct dma_engine_api +{ + void (*_init)(); + + void (*_init_rx_queue)(struct zsDmaQueue *q); + + void (*_init_tx_queue)(struct zsTxDmaQueue *q); + + void (*_config_rx_queue)(struct zsDmaQueue *q, int num_desc, int buf_size); + + void (*_xmit_buf)(struct zsTxDmaQueue *q, VBUF *buf); + + void (*_flush_xmit)(struct zsDmaQueue *q); + + VBUF* (*_reap_recv_buf)(struct zsDmaQueue *q); + + void (*_return_recv_buf)(struct zsDmaQueue *q, VBUF *buf); + + VBUF* (*_reap_xmited_buf)(struct zsTxDmaQueue *q); + + void (*_swap_data)(struct zsDmaDesc* desc); + + int (*_has_compl_packets)(struct zsDmaQueue *q); + + void (*_desc_dump)(struct zsDmaQueue *q); + + /* The functions below are for patchable */ + struct zsDmaDesc* (*_get_packet)(struct zsDmaQueue* q); + void (*_reclaim_packet)(struct zsDmaQueue* q, struct zsDmaDesc* desc); + void (*_put_packet)(struct zsDmaQueue* q, struct zsDmaDesc* desc); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void dma_engine_module_install(struct dma_engine_api *apis); + +#endif /* #ifndef _DMA_ENGINE_API_H */ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/dma_lib.h b/target_firmware/magpie_fw_dev/target/inc/k2/dma_lib.h new file mode 100755 index 0000000..7b44c56 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/dma_lib.h @@ -0,0 +1,57 @@ +#ifndef __DMA_LIB_H +#define __DMA_LIB_H + + +/***********************External***************************/ + +/** + * @brief DMA engine numbers, HIF need to map them to there + * respective order + */ +typedef enum dma_engine{ + DMA_ENGINE_RX0, + DMA_ENGINE_RX1, + DMA_ENGINE_RX2, + DMA_ENGINE_RX3, + DMA_ENGINE_TX0, + DMA_ENGINE_TX1, + DMA_ENGINE_MAX +}dma_engine_t; + +/** + * @brief Interface type, each HIF should call with its own interface type + */ +typedef enum dma_iftype{ + DMA_IF_GMAC = 0x0,/* GMAC */ + DMA_IF_PCI = 0x1,/*PCI */ + DMA_IF_PCIE = 0x2 /*PCI Express */ +}dma_iftype_t; + + +struct dma_lib_api{ + A_UINT16 (*tx_init)(dma_engine_t eng_no, dma_iftype_t if_type); + void (*tx_start)(dma_engine_t eng_no); + A_UINT16 (*rx_init)(dma_engine_t eng_no, dma_iftype_t if_type); + void (*rx_config)(dma_engine_t eng_no, a_uint16_t num_desc, + a_uint16_t gran); + void (*rx_start)(dma_engine_t eng_no); + A_UINT32 (*intr_status)(dma_iftype_t if_type); + A_UINT16 (*hard_xmit)(dma_engine_t eng_no, VBUF *buf); + void (*flush_xmit)(dma_engine_t eng_no); + A_UINT16 (*xmit_done)(dma_engine_t eng_no); + VBUF * (*reap_xmitted)(dma_engine_t eng_no); + VBUF * (*reap_recv)(dma_engine_t eng_no); + void (*return_recv)(dma_engine_t eng_no, VBUF *buf); + A_UINT16 (*recv_pkt)(dma_engine_t eng_no); +}; + + +/** + * @brief Install the DMA lib api's this for ROM patching + * support + * + * @param apis + */ +void dma_lib_module_install(struct dma_lib_api *apis); + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/eeprom_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/eeprom_api.h new file mode 100755 index 0000000..bcaa0cf --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/eeprom_api.h @@ -0,0 +1,27 @@ +#ifndef __EEPROM_API_H__ +#define __EEPROM_API_H__ + +typedef enum { + RET_SUCCESS = 0, + RET_NOT_INIT, + RET_NOT_EXIST, + RET_EEP_CORRUPT, + RET_EEP_OVERFLOW, + + // add return code from here + RET_UNKNOWN +} T_EEP_RET; + + +/*!- interface of eeprom access + * + */ +struct eep_api { + void (* _eep_init)(void); + T_EEP_RET (* _eep_read)(uint16_t, uint16_t, uint16_t *); + T_EEP_RET (* _eep_write)(uint16_t, uint16_t, uint16_t *); + T_EEP_RET (*_eep_is_exist)(void); +}; + +#endif /* __EEPROM_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/hif_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/hif_api.h new file mode 100755 index 0000000..734dcea --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/hif_api.h @@ -0,0 +1,70 @@ +/* + * @File: HIF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _HIF_API_H +#define _HIF_API_H + +#include + +/* mailbox hw module configuration structure */ +typedef struct _HIF_CONFIG { + int dummy; +} HIF_CONFIG; + +typedef struct _HIF_CALLBACK { + /* callback when a buffer has be sent to the host*/ + void (*send_buf_done)(adf_nbuf_t buf, void *context); + /* callback when a receive message is received */ + void (*recv_buf)(adf_nbuf_t hdr_buf, adf_nbuf_t buf, void *context); + /* context used for all callbacks */ + void *context; +} HIF_CALLBACK; + +typedef void* hif_handle_t; + +/* hardware API table structure (API descriptions below) */ +struct hif_api { + hif_handle_t (*_init)(HIF_CONFIG *pConfig); + + void (* _shutdown)(hif_handle_t); + + void (*_register_callback)(hif_handle_t, HIF_CALLBACK *); + + int (*_get_total_credit_count)(hif_handle_t); + + void (*_start)(hif_handle_t); + + void (*_config_pipe)(hif_handle_t handle, int pipe, int creditCount); + + int (*_send_buffer)(hif_handle_t handle, int pipe, adf_nbuf_t buf); + + void (*_return_recv_buf)(hif_handle_t handle, int pipe, adf_nbuf_t buf); + //void (*_set_recv_bufsz)(int pipe, int bufsz); + //void (*_pause_recv)(int pipe); + //void (*_resume_recv)(int pipe); + int (*_is_pipe_supported)(hif_handle_t handle, int pipe); + + int (*_get_max_msg_len)(hif_handle_t handle, int pipe); + + int (*_get_reserved_headroom)(hif_handle_t handle); + + void (*_isr_handler)(hif_handle_t handle); + + void (*_get_default_pipe)(hif_handle_t handle, A_UINT8 *pipe_uplink, A_UINT8 *pipe_downlink); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void hif_module_install(struct hif_api *apis); + +#endif /* #ifndef _HIF_API_H */ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/hif_gmac.h b/target_firmware/magpie_fw_dev/target/inc/k2/hif_gmac.h new file mode 100755 index 0000000..71229bf --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/hif_gmac.h @@ -0,0 +1,35 @@ + +#ifndef __HIF_GMAC_H +#define __HIF_GMAC_H + +#include +#include + + +#define ETH_ALEN 6 +#define GMAC_MAX_PKT_LEN 1600 +#define GMAC_MAX_DESC 5 + +#define GMAC_DISCV_PKT_SZ 60 +#define GMAC_DISCV_WAIT 2000 + +#define ATH_P_MAGBOOT 0x12 /*Magpie GMAC 18 for boot downloader*/ +#define ATH_P_MAGNORM 0x13 /*Magpie GMAC 19 for HTC & others*/ + +#define ETH_P_ATH 0x88bd + +typedef enum hif_gmac_pipe{ + HIF_GMAC_PIPE_RX = 1, /*Normal Priority RX*/ + HIF_GMAC_PIPE_TX = 2, /*Normal Priority TX*/ +}hif_gmac_pipe_t; + +struct gmac_api{ + void (*gmac_boot_init)(void); +}; + +void cmnos_gmac_module_install(struct gmac_api *boot_apis); +void hif_gmac_module_install(struct hif_api *apis); + + +#endif + diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/hif_pci.h b/target_firmware/magpie_fw_dev/target/inc/k2/hif_pci.h new file mode 100755 index 0000000..0db441a --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/hif_pci.h @@ -0,0 +1,33 @@ + +#ifndef __HIF_PCI_H +#define __HIF_PCI_H + +#include + + +#define PCI_MAX_PKT_LEN 1600 +#define PCI_MAX_DESC 2 + +typedef enum hif_pci_pipe_rx{ + HIF_PCI_PIPE_RX0, /*Normal Priority RX*/ + HIF_PCI_PIPE_RX1, + HIF_PCI_PIPE_RX2, + HIF_PCI_PIPE_RX3, + HIF_PCI_PIPE_RX_MAX +}hif_pci_pipe_rx_t; + +typedef enum hif_pci_pipe_tx{ + HIF_PCI_PIPE_TX0, /*Normal Priority TX*/ + HIF_PCI_PIPE_TX1, + HIF_PCI_PIPE_TX_MAX +}hif_pci_pipe_tx_t; + +struct pci_api{ + void (*pci_boot_init)(void); +}; + +void cmnos_pci_module_install(struct pci_api *apis); +void hif_pci_module_install(struct hif_api *apis); +#endif + + diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/hif_usb.h b/target_firmware/magpie_fw_dev/target/inc/k2/hif_usb.h new file mode 100755 index 0000000..f5d1a24 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/hif_usb.h @@ -0,0 +1,54 @@ +/* + * @File: mbox_hw.h + * + * @Abstract: mailbox hardware definitions + * + * @Notes: + * * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef __HIF_USB_H__ +#define __HIF_USB_H__ + +#include +#include +//#include +#include + +#define HIF_USB_PIPE_TX 1 +#define HIF_USB_PIPE_RX 2 +#define HIF_USB_PIPE_INTERRUPT 3 +#define HIF_USB_PIPE_COMMAND 4 +#define HIF_USB_PIPE_HP_TX 5 +#define HIF_USB_PIPE_MP_TX 6 + +struct VBUF_QUEUE +{ + VBUF *head; + VBUF *tail; +}; + + /* the mailbox hardware layer context */ +typedef struct _HIF_USB_CONTEXT { + HIF_CALLBACK hifCb; + struct zsDmaQueue dnQ; + struct zsTxDmaQueue upQ; +#if SYSTEM_MODULE_HP_EP5 + struct zsDmaQueue hpdnQ; // high priority +#endif +#if SYSTEM_MODULE_HP_EP6 + struct zsDmaQueue mpdnQ; // medium priority +#endif + //struct VBUF_QUEUE upVbufQ; + VBUF *cmdQueue; + struct VBUF_QUEUE eventBufQ; + + // Left a door for extension the structure + void *pReserved; +} HIF_USB_CONTEXT; + + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/intr_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/intr_api.h new file mode 100755 index 0000000..b0eb658 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/intr_api.h @@ -0,0 +1,144 @@ +#ifndef __INTR_API_H__ +#define __INTR_API_H__ + +/* + * Interrupt handler, for application-managed interrupts. + * When an interrupt occurs, it is automatically disabled. + * See A_WMAC_INTR_ATTACH() and A_MBOX_INTR_ATTACH(). + * + * If a handler returns A_HANDLER_DONE, the interrupt is + * re-enabled. The OS calls the handler next time service + * is required. This is the normal case for a handler. + * + * If a handler returns A_HANDLER_YIELD, the interrupt + * remains masked. The handler is called again when + * it is "convenient". This gives the OS an opportunity + * to run other code/handlers. A handler should return + * A_HANDLER_YIELD if it might dominate the CPU for too + * long. + * + * If a handler returns A_HANDLER_NOENABLE, the interrupt + * remains disabled. It is up to the application to re-enable + * the interrupt (via A_*_INTR_UNMASK) when it's appropriate. + * + * Note that many combinations of interrupt functions and + * interrupt vectors are NOT supported: Callers should use + * only the macros defined in cmnos_api.h to access the + * interrupt API. + */ +#include "cmnos_api.h" + +typedef uint32_t A_old_intr_t; + +////////////////////////////////////////////////////////////////// +// this is copied from mercury/cmnos_xtensa.h +/* + * These are CMNOS interrupt manifest constants. + * They have specially-chosen values that align with hardware and or + * operating system values (see cmnos_interrupt_info). + */ +#if defined(__XTENSA__) +/* + * Enumeration of low and medium priority interrupt numbers + * which match the CPU hardware configuration: + */ + +/* XTensa Level 1 interrupt */ +#define A_INUM_SOFTWARE 0 /* currently unused */ + +/* XTensa Level2 interrupts */ +#define A_INUM_XTTIMER 1 /* Tensilica timer */ +#define A_INUM_TBD_2 2 /* TBD */ +#define A_INUM_CPU_WDT 3 /* RST_CPU watchodg interrupt */ +#define A_INUM_TBD_4 4 /* TBD */ +#define A_INUM_TBD_5 5 /* TBD */ +#define A_INUM_TBD_6 6 /* TBD */ +#define A_INUM_CPU_GEN_TIMER 7 /* CPU general timer */ +#define A_INUM_TBD_8 8 /* TBD */ +#define A_INUM_TBD_9 9 /* TBD */ +#define A_INUM_USB_CTRL 10 /* USB core control */ +#define A_INUM_USB_DMA 11 /* USB DMA */ +#define A_INUM_TBD_12 12 /* TBD */ +#define A_INUM_TBD_13 13 /* TBD */ +#define A_INUM_TBD_14 14 /* TBD */ + +/* Level 3 interrupts */ +#define A_INUM_ERROR 15 /* Errors (e.g. access illegal address) */ +#define A_INUM_TBD_16 16 /* TBD */ +#define A_INUM_MAC 17 /* MAC */ + +/* Level 5 interrupts */ +#define A_INUM_CPU_NMI 18 /* CPU NMI */ + +/* Number of interrupts that map directly into CPU/hal interrupt bits. */ +#define NUM_DIRECT_INTR 19 + +#endif +////////////////////////////////////////////////////////////////// + +#define CMNOS_IMASK_XTTIMER (1< + +/************************* Resource DEFS ***********************/ +#define MAX_DESC_NUM 100 + +#ifdef RX_SCATTER +#define MAX_BUF_NUM 100 +#else +#define MAX_BUF_NUM 60 +#endif + +#undef SYSTEM_MODULE_DBG +#undef MOVE_PRINT_TO_RAM +#ifdef _DEBUG_BUILD_ +#define SYSTEM_MODULE_DBG 1 +#define MOVE_PRINT_TO_RAM 1 +#else +#define SYSTEM_MODULE_DBG 0 +#define MOVE_PRINT_TO_RAM 1 +#endif +#undef SYSTEM_MODULE_SFLASH +#define SYSTEM_MODULE_SFLASH 0 + +/************************* WLAN DEFS ***************************/ +#define MAGPIE_ENABLE_WLAN 1 +#define MAGPIE_ENABLE_PCIE 0 +#define MAGPIE_ENABLE_WLAN_IN_TARGET 0 +#define MAGPIE_ENABLE_WLAN_SELF_TX 0 +#define MAGPIE_ENABLE_WLAN_RATE_CTRL 1 + +/****************************** WATCH DOG *******************************/ +#define WDT_DEFAULT_TIMEOUT_VALUE 3*ONE_MSEC*1000 // Initial value is 3 seconds, firmware changes it to 65 milliseconds + +#endif + + +#endif /* _SYS_CFG_H_ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/tasklet_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/tasklet_api.h new file mode 100755 index 0000000..526cb64 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/tasklet_api.h @@ -0,0 +1,26 @@ +#ifndef __TASKLET_API_H__ +#define __TASKLET_API_H__ + +typedef void (*A_TASKLET_FUNC)(void *arg); + +#define A_TASKLET_STATE_DISABLE 0 +#define A_TASKLET_STATE_SCHEDULED 1 +#define A_TASKLET_STATE_RUNNING 2 + +struct _tasklet { + A_TASKLET_FUNC func; + void *arg; + int state; + struct _tasklet *next; +}; + +typedef struct _tasklet A_tasklet_t; + +struct tasklet_api { + void (* _tasklet_init)(void); + void (* _tasklet_init_task)(A_TASKLET_FUNC, void * arg, A_tasklet_t *); + void (* _tasklet_disable)(A_tasklet_t *); + void (* _tasklet_schedule)(A_tasklet_t *); + void (* _tasklet_run)(void); +}; +#endif /* __TASKLET_API_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/timer_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/timer_api.h new file mode 100755 index 0000000..925579b --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/timer_api.h @@ -0,0 +1,23 @@ +#ifndef __TIMER_API_H__ +#define __TIMER_API_H__ +/* + * In order to remain completely independent of OS header files, + * "_SPACE" structures are declared with sufficient room to hold + * corresponding OS structures. + */ +typedef unsigned int _A_TIMER_SPACE[5]; +typedef _A_TIMER_SPACE A_timer_t; +#define A_TIMER A_timer_t /* historical */ + +typedef unsigned int A_HANDLE; /* historical */ +typedef void A_TIMER_FUNC(A_HANDLE timer_handle, void *arg); + +struct timer_api { + void (* _timer_init)(void); + void (* _timer_arm)(A_timer_t *, unsigned int); + void (* _timer_disarm)(A_timer_t *); + void (* _timer_setfn)(A_timer_t *, A_TIMER_FUNC, void *); + void (* _timer_run)(void); +}; +#endif /* __TIMER_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/uart_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/uart_api.h new file mode 100755 index 0000000..3d0870f --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/uart_api.h @@ -0,0 +1,304 @@ +/*************************************************************************/ +/* Copyright (c) 2006 Atheros Communications, Inc., All Rights Reserved */ +/* */ +/* Module Name : uart.h */ +/* */ +/* Abstract */ +/* This file contains definition of uart registers, marco and api. */ +/* */ +/* NOTES */ +/* None */ +/* */ +/*************************************************************************/ + +#ifndef _UART_API_H_ +#define _UART_API_H_ + +#include "k2/reg_defs.h" + +/************************* Register Process marco ************************/ +#define UART_REG_WRITE(addr, val) HAL_WORD_REG_WRITE(UART_BASE_ADDRESS|(uint32_t)(addr), (val)) +#define UART_REG_READ(addr) HAL_WORD_REG_READ(UART_BASE_ADDRESS|(uint32_t)(addr)) + +/************************** Register deinition ***************************/ +#define RBR_ADDRESS 0x00051000 +#define RBR_OFFSET 0x00000000 +#define RBR_RBR_MSB 7 +#define RBR_RBR_LSB 0 +#define RBR_RBR_MASK 0x000000ff +#define RBR_RBR_GET(x) (((x) & RBR_RBR_MASK) >> RBR_RBR_LSB) +#define RBR_RBR_SET(x) (((x) << RBR_RBR_LSB) & RBR_RBR_MASK) + +#define THR_ADDRESS 0x00051000 +#define THR_OFFSET 0x00000000 +#define THR_THR_MSB 7 +#define THR_THR_LSB 0 +#define THR_THR_MASK 0x000000ff +#define THR_THR_GET(x) (((x) & THR_THR_MASK) >> THR_THR_LSB) +#define THR_THR_SET(x) (((x) << THR_THR_LSB) & THR_THR_MASK) + +#define DLL_ADDRESS 0x00051000 +#define DLL_OFFSET 0x00000000 +#define DLL_DLL_MSB 7 +#define DLL_DLL_LSB 0 +#define DLL_DLL_MASK 0x000000ff +#define DLL_DLL_GET(x) (((x) & DLL_DLL_MASK) >> DLL_DLL_LSB) +#define DLL_DLL_SET(x) (((x) << DLL_DLL_LSB) & DLL_DLL_MASK) + +#define DLH_ADDRESS 0x00051004 +#define DLH_OFFSET 0x00000004 +#define DLH_DLH_MSB 7 +#define DLH_DLH_LSB 0 +#define DLH_DLH_MASK 0x000000ff +#define DLH_DLH_GET(x) (((x) & DLH_DLH_MASK) >> DLH_DLH_LSB) +#define DLH_DLH_SET(x) (((x) << DLH_DLH_LSB) & DLH_DLH_MASK) + +#define IER_ADDRESS 0x00051004 +#define IER_OFFSET 0x00000004 +#define IER_EDDSI_MSB 3 +#define IER_EDDSI_LSB 3 +#define IER_EDDSI_MASK 0x00000008 +#define IER_EDDSI_GET(x) (((x) & IER_EDDSI_MASK) >> IER_EDDSI_LSB) +#define IER_EDDSI_SET(x) (((x) << IER_EDDSI_LSB) & IER_EDDSI_MASK) +#define IER_ELSI_MSB 2 +#define IER_ELSI_LSB 2 +#define IER_ELSI_MASK 0x00000004 +#define IER_ELSI_GET(x) (((x) & IER_ELSI_MASK) >> IER_ELSI_LSB) +#define IER_ELSI_SET(x) (((x) << IER_ELSI_LSB) & IER_ELSI_MASK) +#define IER_ETBEI_MSB 1 +#define IER_ETBEI_LSB 1 +#define IER_ETBEI_MASK 0x00000002 +#define IER_ETBEI_GET(x) (((x) & IER_ETBEI_MASK) >> IER_ETBEI_LSB) +#define IER_ETBEI_SET(x) (((x) << IER_ETBEI_LSB) & IER_ETBEI_MASK) +#define IER_ERBFI_MSB 0 +#define IER_ERBFI_LSB 0 +#define IER_ERBFI_MASK 0x00000001 +#define IER_ERBFI_GET(x) (((x) & IER_ERBFI_MASK) >> IER_ERBFI_LSB) +#define IER_ERBFI_SET(x) (((x) << IER_ERBFI_LSB) & IER_ERBFI_MASK) + +#define IIR_ADDRESS 0x00051008 +#define IIR_OFFSET 0x00000008 +#define IIR_FIFO_STATUS_MSB 7 +#define IIR_FIFO_STATUS_LSB 6 +#define IIR_FIFO_STATUS_MASK 0x000000c0 +#define IIR_FIFO_STATUS_GET(x) (((x) & IIR_FIFO_STATUS_MASK) >> IIR_FIFO_STATUS_LSB) +#define IIR_FIFO_STATUS_SET(x) (((x) << IIR_FIFO_STATUS_LSB) & IIR_FIFO_STATUS_MASK) +#define IIR_IID_MSB 3 +#define IIR_IID_LSB 0 +#define IIR_IID_MASK 0x0000000f +#define IIR_IID_GET(x) (((x) & IIR_IID_MASK) >> IIR_IID_LSB) +#define IIR_IID_SET(x) (((x) << IIR_IID_LSB) & IIR_IID_MASK) + +#define FCR_ADDRESS 0x00051008 +#define FCR_OFFSET 0x00000008 +#define FCR_RCVR_TRIG_MSB 7 +#define FCR_RCVR_TRIG_LSB 6 +#define FCR_RCVR_TRIG_MASK 0x000000c0 +#define FCR_RCVR_TRIG_GET(x) (((x) & FCR_RCVR_TRIG_MASK) >> FCR_RCVR_TRIG_LSB) +#define FCR_RCVR_TRIG_SET(x) (((x) << FCR_RCVR_TRIG_LSB) & FCR_RCVR_TRIG_MASK) +#define FCR_DMA_MODE_MSB 3 +#define FCR_DMA_MODE_LSB 3 +#define FCR_DMA_MODE_MASK 0x00000008 +#define FCR_DMA_MODE_GET(x) (((x) & FCR_DMA_MODE_MASK) >> FCR_DMA_MODE_LSB) +#define FCR_DMA_MODE_SET(x) (((x) << FCR_DMA_MODE_LSB) & FCR_DMA_MODE_MASK) +#define FCR_XMIT_FIFO_RST_MSB 2 +#define FCR_XMIT_FIFO_RST_LSB 2 +#define FCR_XMIT_FIFO_RST_MASK 0x00000004 +#define FCR_XMIT_FIFO_RST_GET(x) (((x) & FCR_XMIT_FIFO_RST_MASK) >> FCR_XMIT_FIFO_RST_LSB) +#define FCR_XMIT_FIFO_RST_SET(x) (((x) << FCR_XMIT_FIFO_RST_LSB) & FCR_XMIT_FIFO_RST_MASK) +#define FCR_RCVR_FIFO_RST_MSB 1 +#define FCR_RCVR_FIFO_RST_LSB 1 +#define FCR_RCVR_FIFO_RST_MASK 0x00000002 +#define FCR_RCVR_FIFO_RST_GET(x) (((x) & FCR_RCVR_FIFO_RST_MASK) >> FCR_RCVR_FIFO_RST_LSB) +#define FCR_RCVR_FIFO_RST_SET(x) (((x) << FCR_RCVR_FIFO_RST_LSB) & FCR_RCVR_FIFO_RST_MASK) +#define FCR_FIFO_EN_MSB 0 +#define FCR_FIFO_EN_LSB 0 +#define FCR_FIFO_EN_MASK 0x00000001 +#define FCR_FIFO_EN_GET(x) (((x) & FCR_FIFO_EN_MASK) >> FCR_FIFO_EN_LSB) +#define FCR_FIFO_EN_SET(x) (((x) << FCR_FIFO_EN_LSB) & FCR_FIFO_EN_MASK) + +#define LCR_ADDRESS 0x0005100c +#define LCR_OFFSET 0x0000000c +#define LCR_DLAB_MSB 7 +#define LCR_DLAB_LSB 7 +#define LCR_DLAB_MASK 0x00000080 +#define LCR_DLAB_GET(x) (((x) & LCR_DLAB_MASK) >> LCR_DLAB_LSB) +#define LCR_DLAB_SET(x) (((x) << LCR_DLAB_LSB) & LCR_DLAB_MASK) +#define LCR_BREAK_MSB 6 +#define LCR_BREAK_LSB 6 +#define LCR_BREAK_MASK 0x00000040 +#define LCR_BREAK_GET(x) (((x) & LCR_BREAK_MASK) >> LCR_BREAK_LSB) +#define LCR_BREAK_SET(x) (((x) << LCR_BREAK_LSB) & LCR_BREAK_MASK) +#define LCR_EPS_MSB 4 +#define LCR_EPS_LSB 4 +#define LCR_EPS_MASK 0x00000010 +#define LCR_EPS_GET(x) (((x) & LCR_EPS_MASK) >> LCR_EPS_LSB) +#define LCR_EPS_SET(x) (((x) << LCR_EPS_LSB) & LCR_EPS_MASK) +#define LCR_PEN_MSB 3 +#define LCR_PEN_LSB 3 +#define LCR_PEN_MASK 0x00000008 +#define LCR_PEN_GET(x) (((x) & LCR_PEN_MASK) >> LCR_PEN_LSB) +#define LCR_PEN_SET(x) (((x) << LCR_PEN_LSB) & LCR_PEN_MASK) +#define LCR_STOP_MSB 2 +#define LCR_STOP_LSB 2 +#define LCR_STOP_MASK 0x00000004 +#define LCR_STOP_GET(x) (((x) & LCR_STOP_MASK) >> LCR_STOP_LSB) +#define LCR_STOP_SET(x) (((x) << LCR_STOP_LSB) & LCR_STOP_MASK) +#define LCR_CLS_MSB 1 +#define LCR_CLS_LSB 0 +#define LCR_CLS_MASK 0x00000003 +#define LCR_CLS_GET(x) (((x) & LCR_CLS_MASK) >> LCR_CLS_LSB) +#define LCR_CLS_SET(x) (((x) << LCR_CLS_LSB) & LCR_CLS_MASK) + +#define MCR_ADDRESS 0x00051010 +#define MCR_OFFSET 0x00000010 +#define MCR_LOOPBACK_MSB 5 +#define MCR_LOOPBACK_LSB 5 +#define MCR_LOOPBACK_MASK 0x00000020 +#define MCR_LOOPBACK_GET(x) (((x) & MCR_LOOPBACK_MASK) >> MCR_LOOPBACK_LSB) +#define MCR_LOOPBACK_SET(x) (((x) << MCR_LOOPBACK_LSB) & MCR_LOOPBACK_MASK) +#define MCR_OUT2_MSB 3 +#define MCR_OUT2_LSB 3 +#define MCR_OUT2_MASK 0x00000008 +#define MCR_OUT2_GET(x) (((x) & MCR_OUT2_MASK) >> MCR_OUT2_LSB) +#define MCR_OUT2_SET(x) (((x) << MCR_OUT2_LSB) & MCR_OUT2_MASK) +#define MCR_OUT1_MSB 2 +#define MCR_OUT1_LSB 2 +#define MCR_OUT1_MASK 0x00000004 +#define MCR_OUT1_GET(x) (((x) & MCR_OUT1_MASK) >> MCR_OUT1_LSB) +#define MCR_OUT1_SET(x) (((x) << MCR_OUT1_LSB) & MCR_OUT1_MASK) +#define MCR_RTS_MSB 1 +#define MCR_RTS_LSB 1 +#define MCR_RTS_MASK 0x00000002 +#define MCR_RTS_GET(x) (((x) & MCR_RTS_MASK) >> MCR_RTS_LSB) +#define MCR_RTS_SET(x) (((x) << MCR_RTS_LSB) & MCR_RTS_MASK) +#define MCR_DTR_MSB 0 +#define MCR_DTR_LSB 0 +#define MCR_DTR_MASK 0x00000001 +#define MCR_DTR_GET(x) (((x) & MCR_DTR_MASK) >> MCR_DTR_LSB) +#define MCR_DTR_SET(x) (((x) << MCR_DTR_LSB) & MCR_DTR_MASK) + +#define LSR_ADDRESS 0x00051014 +#define LSR_OFFSET 0x00000014 +#define LSR_FERR_MSB 7 +#define LSR_FERR_LSB 7 +#define LSR_FERR_MASK 0x00000080 +#define LSR_FERR_GET(x) (((x) & LSR_FERR_MASK) >> LSR_FERR_LSB) +#define LSR_FERR_SET(x) (((x) << LSR_FERR_LSB) & LSR_FERR_MASK) +#define LSR_TEMT_MSB 6 +#define LSR_TEMT_LSB 6 +#define LSR_TEMT_MASK 0x00000040 +#define LSR_TEMT_GET(x) (((x) & LSR_TEMT_MASK) >> LSR_TEMT_LSB) +#define LSR_TEMT_SET(x) (((x) << LSR_TEMT_LSB) & LSR_TEMT_MASK) +#define LSR_THRE_MSB 5 +#define LSR_THRE_LSB 5 +#define LSR_THRE_MASK 0x00000020 +#define LSR_THRE_GET(x) (((x) & LSR_THRE_MASK) >> LSR_THRE_LSB) +#define LSR_THRE_SET(x) (((x) << LSR_THRE_LSB) & LSR_THRE_MASK) +#define LSR_BI_MSB 4 +#define LSR_BI_LSB 4 +#define LSR_BI_MASK 0x00000010 +#define LSR_BI_GET(x) (((x) & LSR_BI_MASK) >> LSR_BI_LSB) +#define LSR_BI_SET(x) (((x) << LSR_BI_LSB) & LSR_BI_MASK) +#define LSR_FE_MSB 3 +#define LSR_FE_LSB 3 +#define LSR_FE_MASK 0x00000008 +#define LSR_FE_GET(x) (((x) & LSR_FE_MASK) >> LSR_FE_LSB) +#define LSR_FE_SET(x) (((x) << LSR_FE_LSB) & LSR_FE_MASK) +#define LSR_PE_MSB 2 +#define LSR_PE_LSB 2 +#define LSR_PE_MASK 0x00000004 +#define LSR_PE_GET(x) (((x) & LSR_PE_MASK) >> LSR_PE_LSB) +#define LSR_PE_SET(x) (((x) << LSR_PE_LSB) & LSR_PE_MASK) +#define LSR_OE_MSB 1 +#define LSR_OE_LSB 1 +#define LSR_OE_MASK 0x00000002 +#define LSR_OE_GET(x) (((x) & LSR_OE_MASK) >> LSR_OE_LSB) +#define LSR_OE_SET(x) (((x) << LSR_OE_LSB) & LSR_OE_MASK) +#define LSR_DR_MSB 0 +#define LSR_DR_LSB 0 +#define LSR_DR_MASK 0x00000001 +#define LSR_DR_GET(x) (((x) & LSR_DR_MASK) >> LSR_DR_LSB) +#define LSR_DR_SET(x) (((x) << LSR_DR_LSB) & LSR_DR_MASK) + +#define MSR_ADDRESS 0x00051018 +#define MSR_OFFSET 0x00000018 +#define MSR_DCD_MSB 7 +#define MSR_DCD_LSB 7 +#define MSR_DCD_MASK 0x00000080 +#define MSR_DCD_GET(x) (((x) & MSR_DCD_MASK) >> MSR_DCD_LSB) +#define MSR_DCD_SET(x) (((x) << MSR_DCD_LSB) & MSR_DCD_MASK) +#define MSR_RI_MSB 6 +#define MSR_RI_LSB 6 +#define MSR_RI_MASK 0x00000040 +#define MSR_RI_GET(x) (((x) & MSR_RI_MASK) >> MSR_RI_LSB) +#define MSR_RI_SET(x) (((x) << MSR_RI_LSB) & MSR_RI_MASK) +#define MSR_DSR_MSB 5 +#define MSR_DSR_LSB 5 +#define MSR_DSR_MASK 0x00000020 +#define MSR_DSR_GET(x) (((x) & MSR_DSR_MASK) >> MSR_DSR_LSB) +#define MSR_DSR_SET(x) (((x) << MSR_DSR_LSB) & MSR_DSR_MASK) +#define MSR_CTS_MSB 4 +#define MSR_CTS_LSB 4 +#define MSR_CTS_MASK 0x00000010 +#define MSR_CTS_GET(x) (((x) & MSR_CTS_MASK) >> MSR_CTS_LSB) +#define MSR_CTS_SET(x) (((x) << MSR_CTS_LSB) & MSR_CTS_MASK) +#define MSR_DDCD_MSB 3 +#define MSR_DDCD_LSB 3 +#define MSR_DDCD_MASK 0x00000008 +#define MSR_DDCD_GET(x) (((x) & MSR_DDCD_MASK) >> MSR_DDCD_LSB) +#define MSR_DDCD_SET(x) (((x) << MSR_DDCD_LSB) & MSR_DDCD_MASK) +#define MSR_TERI_MSB 2 +#define MSR_TERI_LSB 2 +#define MSR_TERI_MASK 0x00000004 +#define MSR_TERI_GET(x) (((x) & MSR_TERI_MASK) >> MSR_TERI_LSB) +#define MSR_TERI_SET(x) (((x) << MSR_TERI_LSB) & MSR_TERI_MASK) +#define MSR_DDSR_MSB 1 +#define MSR_DDSR_LSB 1 +#define MSR_DDSR_MASK 0x00000002 +#define MSR_DDSR_GET(x) (((x) & MSR_DDSR_MASK) >> MSR_DDSR_LSB) +#define MSR_DDSR_SET(x) (((x) << MSR_DDSR_LSB) & MSR_DDSR_MASK) +#define MSR_DCTS_MSB 0 +#define MSR_DCTS_LSB 0 +#define MSR_DCTS_MASK 0x00000001 +#define MSR_DCTS_GET(x) (((x) & MSR_DCTS_MASK) >> MSR_DCTS_LSB) +#define MSR_DCTS_SET(x) (((x) << MSR_DCTS_LSB) & MSR_DCTS_MASK) + + +/************************** config definition ***************************/ +#define UART_FIFO_SIZE 512 //Must be 2^N + +#define USE_POST_BUFFER 0 // ENABLE a tx buffer for post processing, +/*********************** data struction definition ************************/ +// data struction definition +struct uart_fifo { +#if USE_POST_BUFFER + uint8_t buf[UART_FIFO_SIZE]; +#endif + uint16_t start_index; + uint16_t end_index; + uint32_t overrun_err; +}; + + +struct uart_blk { + uint16_t debug_mode; + uint16_t baud; + struct uart_api *_uart; + struct uart_fifo _tx; +}; + +/******** hardware API table structure (API descriptions below) *************/ +struct uart_api { + uint32_t (*_uart_init)(void); + void (*_uart_char_put)(uint8_t ch); + uint16_t (*_uart_char_get)(uint8_t* ch); + void (*_uart_str_out)(uint8_t* str); + void (*_uart_task)(void); + uint32_t (*_uart_status)(void); + void (*_uart_config)(uint16_t flag); + void (*_uart_hwinit)(uint32_t freq, uint32_t baud); +}; + +#endif // end of _UART_API_H_ + diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/usb_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/usb_api.h new file mode 100755 index 0000000..a9c3168 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/usb_api.h @@ -0,0 +1,52 @@ +#ifndef USB_API_H +#define USB_API_H + +#include "dt_defs.h" + +/******** hardware API table structure (API descriptions below) *************/ +struct usb_api { + void (*_usb_init)(void); + void (*_usb_rom_task)(void); + void (*_usb_fw_task)(void); + void (*_usb_init_phy)(void); + + // ep0 operation + void (*_usb_ep0_setup)(void); + + void (*_usb_ep0_tx)(void); + void (*_usb_ep0_rx)(void); + + // get/set interface + BOOLEAN (*_usb_get_interface)(void); + BOOLEAN (*_usb_set_interface)(void); + + // get/set configuration + BOOLEAN (*_usb_get_configuration)(void); + BOOLEAN (*_usb_set_configuration)(void); + + // standard/vendor command + BOOLEAN (*_usb_standard_cmd)(void); + void (*_usb_vendor_cmd)(void); + + void (*_usb_power_off)(void); + void (*_usb_reset_fifo)(void); + void (*_usb_gen_wdt)(void); + void (*_usb_jump_boot)(void); + + BOOLEAN (*_usb_clr_feature)(void); + BOOLEAN (*_usb_set_feature)(void); + BOOLEAN (*_usb_set_address)(void); + BOOLEAN (*_usb_get_descriptor)(void); + + BOOLEAN (*_usb_get_status)(void); + void (*_usb_setup_desc)(void); + void (*_usb_reg_out)(void); + void (*_usb_status_in)(void); + + void (*_usb_ep0_tx_data)(void); + void (*_usb_ep0_rx_data)(void); + + void (*_usb_clk_init)(void); +}; + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/usb_defs.h b/target_firmware/magpie_fw_dev/target/inc/k2/usb_defs.h new file mode 100755 index 0000000..bef0190 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/usb_defs.h @@ -0,0 +1,904 @@ +#ifndef USB_DEFS_H +#define USB_DEFS_H + +#include "usb_table.h" +#include "dt_defs.h" +#include "reg_defs.h" + +#define CHECK_SWITCH_BY_BOOTCODE 1 //to be verified for ZD1215, OK for ZD1211 +#define VERIFY_CHECKSUM_BY_BOOTCODE 1 + +/***********************************************************************/ +/* for SEEPROM Boot */ +/***********************************************************************/ +#define WLAN_BOOT_SIGNATURE (0x19710303) + +#define WLAN_SIGNATURE_ADDR (0x102000) + +#define cMAX_ADDR 0x10000 + +#define cEEPROM_SIZE 0x800 // 2k word (4k byte) + +#define cRESERVE_LOAD_SPACE 0 + +// start addr. of boot code +#define cBOOT_CODE_ADDR (cMAX_ADDR - cEEPROM_SIZE) // 0xF800 + +/************************** Register Addr Process *********************/ +#define mpADDR(addr) ((volatile uint16_t*) (addr)) +#define mADDR(addr) (*mpADDR(addr)) +#define muADDR(addr) ((uint16_t) (&(addr))) + +#define USB_BYTE_REG_WRITE(addr, val) HAL_BYTE_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr^3), (val)) +#define USB_BYTE_REG_READ(addr) HAL_BYTE_REG_READ(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr^3)) +//#define USB_BYTE_REG_READ(addr) HAL_BYTE_REG_READ(USB_CTRL_BASE_ADDRESS|(uint8_t)(addr)) + +#define USB_HALF_WORD_REG_WRITE(addr, val) HAL_HALF_WORD_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint16_t)(addr), (val)) +#define USB_HALF_WORD_REG_READ(addr) HAL_HALF_WORD_REG_READ(USB_CTRL_BASE_ADDRESS|(uint16_t)(addr)) + +#define USB_WORD_REG_WRITE(addr, val) HAL_WORD_REG_WRITE(USB_CTRL_BASE_ADDRESS|(uint32_t)(addr), (val)) +#define USB_WORD_REG_READ(addr) HAL_WORD_REG_READ(USB_CTRL_BASE_ADDRESS|(uint32_t)(addr)) + + +/************************** Register Deinition ***************************/ +//#define USB_BASE_ADDR_SOC 0x8000 + +//#define SOC_Reg mpADDR(USB_BASE_ADDR_SOC) + +#define cSOC_USB_OFST (0x100) + +#define ZM_CBUS_FIFO_SIZE_OFFSET (cSOC_USB_OFST) //OFFSET 0 + +#define cSOC_CBUS_CTL_OFFSET 0xF0 + +#define ZM_FUSB_BASE USB_CTRL_BASE_ADDRESS + +#define ZM_MAIN_CTRL_OFFSET 0x00 +#define ZM_DEVICE_ADDRESS_OFFSET 0x01 +#define ZM_TEST_OFFSET 0x02 +#define ZM_PHY_TEST_SELECT_OFFSET 0x08 +#define ZM_VDR_SPECIFIC_MODE_OFFSET 0x0A +#define ZM_CX_CONFIG_STATUS_OFFSET 0x0B +#define ZM_EP0_DATA1_OFFSET 0x0C +#define ZM_EP0_DATA2_OFFSET 0x0D +#define ZM_EP0_DATA_OFFSET 0x0C + +#define ZM_INTR_MASK_BYTE_0_OFFSET 0x11 +#define ZM_INTR_MASK_BYTE_1_OFFSET 0x12 +#define ZM_INTR_MASK_BYTE_2_OFFSET 0x13 +#define ZM_INTR_MASK_BYTE_3_OFFSET 0x14 +#define ZM_INTR_MASK_BYTE_4_OFFSET 0x15 +#define ZM_INTR_MASK_BYTE_5_OFFSET 0x16 +#define ZM_INTR_MASK_BYTE_6_OFFSET 0x17 +#define ZM_INTR_MASK_BYTE_7_OFFSET 0x18 + +#define ZM_INTR_GROUP_OFFSET 0x20 +#define ZM_INTR_SOURCE_0_OFFSET 0x21 +#define ZM_INTR_SOURCE_1_OFFSET 0x22 +#define ZM_INTR_SOURCE_2_OFFSET 0x23 +#define ZM_INTR_SOURCE_3_OFFSET 0x24 +#define ZM_INTR_SOURCE_4_OFFSET 0x25 +#define ZM_INTR_SOURCE_5_OFFSET 0x26 +#define ZM_INTR_SOURCE_6_OFFSET 0x27 +#define ZM_INTR_SOURCE_7_OFFSET 0x28 + +#define ZM_EP_IN_MAX_SIZE_HIGH_OFFSET 0x3F +#define ZM_EP_IN_MAX_SIZE_LOW_OFFSET 0x3E + +#define ZM_EP_OUT_MAX_SIZE_HIGH_OFFSET 0x5F +#define ZM_EP_OUT_MAX_SIZE_LOW_OFFSET 0x5E + +#define ZM_EP3_BYTE_COUNT_HIGH_OFFSET 0xAE +#define ZM_EP3_BYTE_COUNT_LOW_OFFSET 0xBE +#define ZM_EP4_BYTE_COUNT_HIGH_OFFSET 0xAF +#define ZM_EP4_BYTE_COUNT_LOW_OFFSET 0xBF + +#define ZM_EP3_DATA_OFFSET 0xF8 +#define ZM_EP4_DATA_OFFSET 0xFC + +#define ZM_SOC_USB_MODE_CTRL_OFFSET 0x108 +#define ZM_SOC_USB_MAX_AGGREGATE_OFFSET 0x110 +#define ZM_SOC_USB_TIME_CTRL_OFFSET 0x114 + +#define ZM_ADDR_CONV 0x0 + +#define ZM_CBUS_FIFO_SIZE_REG (ZM_CBUS_FIFO_SIZE_OFFSET^ZM_ADDR_CONV) + +#define ZM_CBUS_CTRL_REG (cSOC_USB_OFST+cSOC_CBUS_CTL_OFFSET^ZM_ADDR_CONV) + +#define ZM_MAIN_CTRL_REG (ZM_MAIN_CTRL_OFFSET^ZM_ADDR_CONV) + +#define ZM_DEVICE_ADDRESS_REG (ZM_DEVICE_ADDRESS_OFFSET^ZM_ADDR_CONV) + +#define ZM_TEST_REG (ZM_TEST_OFFSET^ZM_ADDR_CONV) + +#define ZM_PHY_TEST_SELECT_REG (ZM_PHY_TEST_SELECT_OFFSET^ZM_ADDR_CONV))) + +#define ZM_CX_CONFIG_STATUS_REG (ZM_CX_CONFIG_STATUS_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP0_DATA1_REG (ZM_EP0_DATA1_OFFSET^ZM_ADDR_CONV))) + +#define ZM_EP0_DATA2_REG (ZM_EP0_DATA2_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP0_DATA_REG (ZM_EP0_DATA_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_0_REG (ZM_INTR_MASK_BYTE_0_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_1_REG (ZM_INTR_MASK_BYTE_1_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_2_REG (ZM_INTR_MASK_BYTE_2_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_3_REG (ZM_INTR_MASK_BYTE_3_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_4_REG (ZM_INTR_MASK_BYTE_4_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_5_REG (ZM_INTR_MASK_BYTE_5_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_6_REG (ZM_INTR_MASK_BYTE_6_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_MASK_BYTE_7_REG (ZM_INTR_MASK_BYTE_7_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_0_REG (ZM_INTR_SOURCE_0_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_1_REG (ZM_INTR_SOURCE_1_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_2_REG (ZM_INTR_SOURCE_2_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_3_REG (ZM_INTR_SOURCE_3_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_4_REG (ZM_INTR_SOURCE_4_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_5_REG (ZM_INTR_SOURCE_5_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_6_REG (ZM_INTR_SOURCE_6_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_SOURCE_7_REG (ZM_INTR_SOURCE_7_OFFSET^ZM_ADDR_CONV) + +#define ZM_INTR_GROUP_REG (ZM_INTR_GROUP_OFFSET^ZM_ADDR_CONV))) + +#define ZM_EP3_BYTE_COUNT_HIGH_REG (ZM_EP3_BYTE_COUNT_HIGH_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP3_BYTE_COUNT_LOW_REG (ZM_EP3_BYTE_COUNT_LOW_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP4_BYTE_COUNT_HIGH_REG (ZM_EP4_BYTE_COUNT_HIGH_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP4_BYTE_COUNT_LOW_REG (ZM_EP4_BYTE_COUNT_LOW_OFFSET^ZM_ADDR_CONV) + +#define ZM_EP3_DATA_REG (ZM_EP3_DATA_OFFSET) + +#define ZM_EP4_DATA_REG (ZM_EP4_DATA_OFFSET) + +#define ZM_SOC_USB_MODE_CTRL_REG (ZM_SOC_USB_MODE_CTRL_OFFSET) + +#define ZM_SOC_USB_MAX_AGGREGATE_REG (ZM_SOC_USB_MAX_AGGREGATE_OFFSET) + +#define ZM_SOC_USB_TIME_CTRL_REG (ZM_SOC_USB_TIME_CTRL_OFFSET) + +#define bmHIGH_SPEED BIT6 +#define bmCWR_BUF_END BIT1 + +#define mUsbEP0DataRd1() (USB_BYTE_REG_READ(ZM_EP0_DATA1_OFFSET)) +//#define mUsbEP0DataRd2() ZM_EP0_DATA2_REG +//#define mUsbEP0DataRd3() ZM_EP0_DATA3_REG +//#define mUsbEP0DataRd4() ZM_EP0_DATA4_REG +#define mUsbEP0DataWr1(data) (USB_BYTE_REG_WRITE(ZM_EP0_DATA1_OFFSET, data)) +#define mUsbEP0DataWr2(data) (USB_BYTE_REG_WRITE(ZM_EP0_DATA2_OFFSET, data)) + +#define mGetByte0(data) ( data & 0xff ) +#define mGetByte1(data) ( (data >> 8) & 0xff ) +#define mGetByte2(data) ( (data >> 16) & 0xff ) +#define mGetByte3(data) ( (data >> 24) & 0xff ) + +//#define mUsbHighSpeedST() (ZM_MAIN_CTRL_REG & BIT6) +//#define mUsbCfgST() (ZM_DEVICE_ADDRESS_REG & BIT7) +//#define mUsbApWrEnd() (ZM_CBUS_CTRL_REG = bmCWR_BUF_END) +//#define mUsbApRdEnd() (ZM_CBUS_CTRL_REG = bmCWR_BUF_END) + +#define mUsbHighSpeedST() (USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET) & BIT6) +#define mUsbCfgST() (USB_BYTE_REG_READ(ZM_DEVICE_ADDRESS_OFFSET) & BIT7) +#define mUsbApWrEnd() (USB_BYTE_REG_WRITE((cSOC_USB_OFST+cSOC_CBUS_CTL_OFFSET), bmCWR_BUF_END) +#define mUsbApRdEnd() (USB_BYTE_REG_WRITE((cSOC_USB_OFST+cSOC_CBUS_CTL_OFFSET), bmCWR_BUF_END) + +#define mUsbRmWkupST() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)&BIT0) +#define mUsbRmWkupClr() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)&~BIT0) +#define mUsbRmWkupSet() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)|BIT0) + +#define mUsbGlobIntEnable() USB_BYTE_REG_WRITE(ZM_MAIN_CTRL_OFFSET, \ + USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)|BIT2) + +#define mUSB_REG_OUT_INT_ENABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_4_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_4_OFFSET)&0x3f) +#define mUSB_REG_OUT_INT_DISABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_4_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_4_OFFSET)|0xc0) +#define mUSB_STATUS_IN_INT_ENABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_6_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_6_OFFSET)&0xbf) +#define mUSB_STATUS_IN_INT_DISABLE() USB_BYTE_REG_WRITE(ZM_INTR_MASK_BYTE_6_OFFSET, \ + USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_6_OFFSET)|0xc0) +// USB_BYTE_REG_READ(ZM_INTR_MASK_BYTE_6_OFFSET)|0x40) + +#define mUSB_EP3_XFER_DONE() USB_BYTE_REG_WRITE(ZM_EP3_BYTE_COUNT_HIGH_OFFSET, \ + USB_BYTE_REG_READ(ZM_EP3_BYTE_COUNT_HIGH_OFFSET)|0x08) + + + +#define HS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_512 +#define HS_C1_I0_A0_EP1_bInterval 00 + +#define HS_C1_I0_A0_EP_NUMBER 0x06 +#define HS_C1_I0_A0_EP_LENGTH (EP_LENGTH * HS_C1_I0_A0_EP_NUMBER) +#define HS_C1_I0_ALT_LENGTH (HS_C1_I0_A0_EP_LENGTH) +#define HS_C1_INTERFACE_LENGTH (HS_C1_I0_ALT_LENGTH) + +#define HS_C1_CONFIG_TOTAL_LENGTH (CONFIG_LENGTH + INTERFACE_LENGTH + HS_C1_INTERFACE_LENGTH) +#define FS_C1_CONFIG_TOTAL_LENGTH (CONFIG_LENGTH + INTERFACE_LENGTH + FS_C1_INTERFACE_LENGTH) + +#define FS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_64 +//#define FS_C1_I0_A0_EP1_bInterval HS_C1_I0_A0_EP1_bInterval + +#define HS_CONFIGURATION_NUMBER 1 +#define FS_CONFIGURATION_NUMBER 1 + +#define fDOUBLE_BUF 1 +#define fDOUBLE_BUF_IN 1 + +#define fFLASH_DISK 0 +#define fENABLE_ISO 0 + +#if (HS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + #define HS_C1_INTERFACE_NUMBER 0x01 + #define HS_C1 0x01 + #define HS_C1_iConfiguration 0x00 + #define HS_C1_bmAttribute 0x80 + #if !(fFLASH_DISK && !fFLASH_BOOT) + #define HS_C1_iMaxPower 0xFA + #else + #define HS_C1_iMaxPower 0x32 + #endif + + #if (HS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #define HS_C1_I0_ALT_NUMBER 0X01 + #if (HS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0X00 + #define HS_C1_I0_A0_bInterfaceNumber 0X00 + #define HS_C1_I0_A0_bAlternateSetting 0X00 + //JWEI 2003/07/14 + //#if fINDEPEND_REG_RW && !(fFLASH_DISK && !fFLASH_BOOT) + #define HS_C1_I0_A0_EP_NUMBER 0x06 + //#else + //#define HS_C1_I0_A0_EP_NUMBER 0X03 + //#endif + #if !(fFLASH_DISK && !fFLASH_BOOT) + #define HS_C1_I0_A0_bInterfaceClass 0XFF + #define HS_C1_I0_A0_bInterfaceSubClass 0X00 + #define HS_C1_I0_A0_bInterfaceProtocol 0X00 + #else + #define HS_C1_I0_A0_bInterfaceClass 0X08 + #define HS_C1_I0_A0_bInterfaceSubClass 0X06 + #define HS_C1_I0_A0_bInterfaceProtocol 0X50 + #endif + #define HS_C1_I0_A0_iInterface 0X00 + + #if (HS_C1_I0_A0_EP_NUMBER >= 1) + //EP0X01 + #define HS_C1_I0_A0_EP1_BLKSIZE BLK512BYTE + //JWEI 2003/05/19 + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP1_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP1_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP1_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP1_TYPE TF_TYPE_BULK + //JWEI 2003/05/07 + #define HS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP1_bInterval 00 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 2) + //EP0X02 + #define HS_C1_I0_A0_EP2_BLKSIZE BLK512BYTE + //JWEI 2003/08/20 + #if fDOUBLE_BUF_IN + #define HS_C1_I0_A0_EP2_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP2_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP2_DIRECTION DIRECTION_IN + #define HS_C1_I0_A0_EP2_TYPE TF_TYPE_BULK + #define HS_C1_I0_A0_EP2_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP2_bInterval 00 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 3) + //EP0X03 + #define HS_C1_I0_A0_EP3_BLKSIZE BLK64BYTE + #define HS_C1_I0_A0_EP3_BLKNO SINGLE_BLK + #define HS_C1_I0_A0_EP3_DIRECTION DIRECTION_IN + #define HS_C1_I0_A0_EP3_TYPE TF_TYPE_INTERRUPT + #define HS_C1_I0_A0_EP3_MAX_PACKET 0x0040 + #define HS_C1_I0_A0_EP3_bInterval 01 + #endif + // Note: HS Bulk type require max pkt size = 512 + // ==> must use Interrupt type for max pkt size = 64 + #if (HS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + //EP0X04 + #define HS_C1_I0_A0_EP4_BLKSIZE BLK64BYTE + #define HS_C1_I0_A0_EP4_BLKNO SINGLE_BLK + #define HS_C1_I0_A0_EP4_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP4_TYPE TF_TYPE_INTERRUPT + #define HS_C1_I0_A0_EP4_MAX_PACKET 0x0040 + #define HS_C1_I0_A0_EP4_bInterval 01 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 5) + //EP0X04 + #define HS_C1_I0_A0_EP5_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP5_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP5_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP5_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP5_TYPE TF_TYPE_BULK + #define HS_C1_I0_A0_EP5_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP5_bInterval 00 + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 6) + //EP0X04 + #define HS_C1_I0_A0_EP6_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP6_BLKNO DOUBLE_BLK + #else + #define HS_C1_I0_A0_EP6_BLKNO SINGLE_BLK + #endif + #define HS_C1_I0_A0_EP6_DIRECTION DIRECTION_OUT + #define HS_C1_I0_A0_EP6_TYPE TF_TYPE_BULK + #define HS_C1_I0_A0_EP6_MAX_PACKET MX_PA_SZ_512 + #define HS_C1_I0_A0_EP6_bInterval 00 + #endif + #endif + #endif +#endif + +#if (HS_CONFIGURATION_NUMBER >= 1) + // Configuration 1 + #if (HS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #if (HS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define HS_C1_I0_A0_EP_LENGTH (EP_LENGTH * HS_C1_I0_A0_EP_NUMBER) + #if (HS_C1_I0_A0_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I0_A0_EP1_FIFO_START FIFO0 + #define HS_C1_I0_A0_EP1_FIFO_NO (HS_C1_I0_A0_EP1_BLKNO * HS_C1_I0_A0_EP1_BLKSIZE) + #define HS_C1_I0_A0_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP1_BLKNO - 1) << 2) | HS_C1_I0_A0_EP1_TYPE) + #define HS_C1_I0_A0_EP1_FIFO_MAP (((1 - HS_C1_I0_A0_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I0_A0_EP1_MAP (HS_C1_I0_A0_EP1_FIFO_START | (HS_C1_I0_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP1_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 2) + // EP2 + #if fDOUBLE_BUF + #define HS_C1_I0_A0_EP2_FIFO_START (HS_C1_I0_A0_EP1_FIFO_START + HS_C1_I0_A0_EP1_FIFO_NO) + #else + #define HS_C1_I0_A0_EP2_FIFO_START FIFO2 + #endif + #define HS_C1_I0_A0_EP2_FIFO_NO (HS_C1_I0_A0_EP2_BLKNO * HS_C1_I0_A0_EP2_BLKSIZE) + #define HS_C1_I0_A0_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP2_BLKNO - 1) << 2) | HS_C1_I0_A0_EP2_TYPE) + #define HS_C1_I0_A0_EP2_FIFO_MAP (((1 - HS_C1_I0_A0_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I0_A0_EP2_MAP (HS_C1_I0_A0_EP2_FIFO_START | (HS_C1_I0_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP2_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 3) + // EP3 + //JWEI 2003/07/15 + // #define HS_C1_I0_A0_EP3_FIFO_START (HS_C1_I0_A0_EP2_FIFO_START + HS_C1_I0_A0_EP2_FIFO_NO) + #define HS_C1_I0_A0_EP3_FIFO_START FIFO14 + #define HS_C1_I0_A0_EP3_FIFO_NO (HS_C1_I0_A0_EP3_BLKNO * HS_C1_I0_A0_EP3_BLKSIZE) + #define HS_C1_I0_A0_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP3_BLKNO - 1) << 2) | HS_C1_I0_A0_EP3_TYPE) + #define HS_C1_I0_A0_EP3_FIFO_MAP (((1 - HS_C1_I0_A0_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I0_A0_EP3_MAP (HS_C1_I0_A0_EP3_FIFO_START | (HS_C1_I0_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP3_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + // EP4 + #define HS_C1_I0_A0_EP4_FIFO_START (HS_C1_I0_A0_EP3_FIFO_START + HS_C1_I0_A0_EP3_FIFO_NO) + #define HS_C1_I0_A0_EP4_FIFO_NO (HS_C1_I0_A0_EP4_BLKNO * HS_C1_I0_A0_EP4_BLKSIZE) + #define HS_C1_I0_A0_EP4_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP4_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP4_BLKNO - 1) << 2) | HS_C1_I0_A0_EP4_TYPE) + #define HS_C1_I0_A0_EP4_FIFO_MAP (((1 - HS_C1_I0_A0_EP4_DIRECTION) << 4) | EP4) + #define HS_C1_I0_A0_EP4_MAP (HS_C1_I0_A0_EP4_FIFO_START | (HS_C1_I0_A0_EP4_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP4_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 5) + // EP5 + #define HS_C1_I0_A0_EP5_FIFO_START (HS_C1_I0_A0_EP2_FIFO_START + HS_C1_I0_A0_EP2_FIFO_NO) + #define HS_C1_I0_A0_EP5_FIFO_NO (HS_C1_I0_A0_EP5_BLKNO * HS_C1_I0_A0_EP5_BLKSIZE) + #define HS_C1_I0_A0_EP5_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP5_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP5_BLKNO - 1) << 2) | HS_C1_I0_A0_EP5_TYPE) + #define HS_C1_I0_A0_EP5_FIFO_MAP (((1 - HS_C1_I0_A0_EP5_DIRECTION) << 4) | EP5) + #define HS_C1_I0_A0_EP5_MAP (HS_C1_I0_A0_EP5_FIFO_START | (HS_C1_I0_A0_EP5_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP5_DIRECTION))) + #endif + #if (HS_C1_I0_A0_EP_NUMBER >= 6) + // EP5 + #define HS_C1_I0_A0_EP6_FIFO_START (HS_C1_I0_A0_EP5_FIFO_START + HS_C1_I0_A0_EP5_FIFO_NO) + #define HS_C1_I0_A0_EP6_FIFO_NO (HS_C1_I0_A0_EP6_BLKNO * HS_C1_I0_A0_EP6_BLKSIZE) + #define HS_C1_I0_A0_EP6_FIFO_CONFIG (0x80 | ((HS_C1_I0_A0_EP6_BLKSIZE - 1) << 4) | ((HS_C1_I0_A0_EP6_BLKNO - 1) << 2) | HS_C1_I0_A0_EP6_TYPE) + #define HS_C1_I0_A0_EP6_FIFO_MAP (((1 - HS_C1_I0_A0_EP6_DIRECTION) << 4) | EP6) + #define HS_C1_I0_A0_EP6_MAP (HS_C1_I0_A0_EP6_FIFO_START | (HS_C1_I0_A0_EP6_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A0_EP6_DIRECTION))) + #endif + #endif + + #if (HS_C1_I0_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define HS_C1_I0_A1_EP_LENGTH (EP_LENGTH * HS_C1_I0_A1_EP_NUMBER) + #if (HS_C1_I0_A1_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I0_A1_EP1_FIFO_START FIFO0 + #define HS_C1_I0_A1_EP1_FIFO_NO (HS_C1_I0_A1_EP1_BLKNO * HS_C1_I0_A1_EP1_BLKSIZE) + #define HS_C1_I0_A1_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I0_A1_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I0_A1_EP1_BLKNO - 1) << 2) | HS_C1_I0_A1_EP1_TYPE) + #define HS_C1_I0_A1_EP1_FIFO_MAP (((1 - HS_C1_I0_A1_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I0_A1_EP1_MAP (HS_C1_I0_A1_EP1_FIFO_START | (HS_C1_I0_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A1_EP1_DIRECTION))) + #endif + #if (HS_C1_I0_A1_EP_NUMBER >= 2) + // EP2 + #define HS_C1_I0_A1_EP2_FIFO_START (HS_C1_I0_A1_EP1_FIFO_START + HS_C1_I0_A1_EP1_FIFO_NO) + #define HS_C1_I0_A1_EP2_FIFO_NO (HS_C1_I0_A1_EP2_BLKNO * HS_C1_I0_A1_EP2_BLKSIZE) + #define HS_C1_I0_A1_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I0_A1_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I0_A1_EP2_BLKNO - 1) << 2) | HS_C1_I0_A1_EP2_TYPE) + #define HS_C1_I0_A1_EP2_FIFO_MAP (((1 - HS_C1_I0_A1_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I0_A1_EP2_MAP (HS_C1_I0_A1_EP2_FIFO_START | (HS_C1_I0_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A1_EP2_DIRECTION))) + #endif + #if (HS_C1_I0_A1_EP_NUMBER >= 3) + // EP3 + #define HS_C1_I0_A1_EP3_FIFO_START (HS_C1_I0_A1_EP2_FIFO_START + HS_C1_I0_A1_EP2_FIFO_NO) + #define HS_C1_I0_A1_EP3_FIFO_NO (HS_C1_I0_A1_EP3_BLKNO * HS_C1_I0_A1_EP3_BLKSIZE) + #define HS_C1_I0_A1_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I0_A1_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I0_A1_EP3_BLKNO - 1) << 2) | HS_C1_I0_A1_EP3_TYPE) + #define HS_C1_I0_A1_EP3_FIFO_MAP (((1 - HS_C1_I0_A1_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I0_A1_EP3_MAP (HS_C1_I0_A1_EP3_FIFO_START | (HS_C1_I0_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I0_A1_EP3_DIRECTION))) + #endif + #endif + + #if (HS_C1_I0_ALT_NUMBER == 1) + #define HS_C1_I0_ALT_LENGTH (HS_C1_I0_A0_EP_LENGTH) + #elif (HS_C1_I0_ALT_NUMBER == 2) + #define HS_C1_I0_ALT_LENGTH (HS_C1_I0_A0_EP_LENGTH + HS_C1_I0_A1_EP_LENGTH) + #endif + #endif + + #if (HS_C1_INTERFACE_NUMBER >= 2) + // Interface 1 + #if (HS_C1_I1_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define HS_C1_I1_A0_EP_LENGTH (EP_LENGTH * HS_C1_I1_A0_EP_NUMBER) + #if (HS_C1_I1_A0_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I1_A0_EP1_FIFO_START FIFO0 + #define HS_C1_I1_A0_EP1_FIFO_NO (HS_C1_I1_A0_EP1_BLKNO * HS_C1_I1_A0_EP1_BLKSIZE) + #define HS_C1_I1_A0_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I1_A0_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I1_A0_EP1_BLKNO - 1) << 2) | HS_C1_I1_A0_EP1_TYPE) + #define HS_C1_I1_A0_EP1_FIFO_MAP (((1 - HS_C1_I1_A0_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I1_A0_EP1_MAP (HS_C1_I1_A0_EP1_FIFO_START | (HS_C1_I1_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A0_EP1_DIRECTION))) + #endif + #if (HS_C1_I1_A0_EP_NUMBER >= 2) + // EP2 + #define HS_C1_I1_A0_EP2_FIFO_START (HS_C1_I1_A0_EP1_FIFO_START + HS_C1_I1_A0_EP1_FIFO_NO) + #define HS_C1_I1_A0_EP2_FIFO_NO (HS_C1_I1_A0_EP2_BLKNO * HS_C1_I1_A0_EP2_BLKSIZE) + #define HS_C1_I1_A0_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I1_A0_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I1_A0_EP2_BLKNO - 1) << 2) | HS_C1_I1_A0_EP2_TYPE) + #define HS_C1_I1_A0_EP2_FIFO_MAP (((1 - HS_C1_I1_A0_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I1_A0_EP2_MAP (HS_C1_I1_A0_EP2_FIFO_START | (HS_C1_I1_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A0_EP2_DIRECTION))) + #endif + #if (HS_C1_I1_A0_EP_NUMBER >= 3) + // EP3 + #define HS_C1_I1_A0_EP3_FIFO_START (HS_C1_I1_A0_EP2_FIFO_START + HS_C1_I1_A0_EP2_FIFO_NO) + #define HS_C1_I1_A0_EP3_FIFO_NO (HS_C1_I1_A0_EP3_BLKNO * HS_C1_I1_A0_EP3_BLKSIZE) + #define HS_C1_I1_A0_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I1_A0_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I1_A0_EP3_BLKNO - 1) << 2) | HS_C1_I1_A0_EP3_TYPE) + #define HS_C1_I1_A0_EP3_FIFO_MAP (((1 - HS_C1_I1_A0_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I1_A0_EP3_MAP (HS_C1_I1_A0_EP3_FIFO_START | (HS_C1_I1_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A0_EP3_DIRECTION))) + #endif + #endif + + #if (HS_C1_I1_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define HS_C1_I1_A1_EP_LENGTH (EP_LENGTH * HS_C1_I1_A1_EP_NUMBER) + #if (HS_C1_I1_A1_EP_NUMBER >= 1) + // EP1 + #define HS_C1_I1_A1_EP1_FIFO_START FIFO0 + #define HS_C1_I1_A1_EP1_FIFO_NO (HS_C1_I1_A1_EP1_BLKNO * HS_C1_I1_A1_EP1_BLKSIZE) + #define HS_C1_I1_A1_EP1_FIFO_CONFIG (0x80 | ((HS_C1_I1_A1_EP1_BLKSIZE - 1) << 4) | ((HS_C1_I1_A1_EP1_BLKNO - 1) << 2) | HS_C1_I1_A1_EP1_TYPE) + #define HS_C1_I1_A1_EP1_FIFO_MAP (((1 - HS_C1_I1_A1_EP1_DIRECTION) << 4) | EP1) + #define HS_C1_I1_A1_EP1_MAP (HS_C1_I1_A1_EP1_FIFO_START | (HS_C1_I1_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A1_EP1_DIRECTION))) + #endif + #if (HS_C1_I1_A1_EP_NUMBER >= 2) + // EP2 + #define HS_C1_I1_A1_EP2_FIFO_START (HS_C1_I1_A1_EP1_FIFO_START + HS_C1_I1_A1_EP1_FIFO_NO) + #define HS_C1_I1_A1_EP2_FIFO_NO (HS_C1_I1_A1_EP2_BLKNO * HS_C1_I1_A1_EP2_BLKSIZE) + #define HS_C1_I1_A1_EP2_FIFO_CONFIG (0x80 | ((HS_C1_I1_A1_EP2_BLKSIZE - 1) << 4) | ((HS_C1_I1_A1_EP2_BLKNO - 1) << 2) | HS_C1_I1_A1_EP2_TYPE) + #define HS_C1_I1_A1_EP2_FIFO_MAP (((1 - HS_C1_I1_A1_EP2_DIRECTION) << 4) | EP2) + #define HS_C1_I1_A1_EP2_MAP (HS_C1_I1_A1_EP2_FIFO_START | (HS_C1_I1_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A1_EP2_DIRECTION))) + #endif + #if (HS_C1_I1_A1_EP_NUMBER >= 3) + // EP3 + #define HS_C1_I1_A1_EP3_FIFO_START (HS_C1_I1_A1_EP2_FIFO_START + HS_C1_I1_A1_EP2_FIFO_NO) + #define HS_C1_I1_A1_EP3_FIFO_NO (HS_C1_I1_A1_EP3_BLKNO * HS_C1_I1_A1_EP3_BLKSIZE) + #define HS_C1_I1_A1_EP3_FIFO_CONFIG (0x80 | ((HS_C1_I1_A1_EP3_BLKSIZE - 1) << 4) | ((HS_C1_I1_A1_EP3_BLKNO - 1) << 2) | HS_C1_I1_A1_EP3_TYPE) + #define HS_C1_I1_A1_EP3_FIFO_MAP (((1 - HS_C1_I1_A1_EP3_DIRECTION) << 4) | EP3) + #define HS_C1_I1_A1_EP3_MAP (HS_C1_I1_A1_EP3_FIFO_START | (HS_C1_I1_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*HS_C1_I1_A1_EP3_DIRECTION))) + #endif + #endif + + #if (HS_C1_I1_ALT_NUMBER == 1) + #define HS_C1_I1_ALT_LENGTH (HS_C1_I1_A0_EP_LENGTH) + #elif (HS_C1_I1_ALT_NUMBER == 2) + #define HS_C1_I1_ALT_LENGTH (HS_C1_I1_A0_EP_LENGTH + HS_C1_I1_A1_EP_LENGTH) + #endif + #endif + + #if (HS_C1_INTERFACE_NUMBER == 1) + #define HS_C1_INTERFACE_LENGTH (HS_C1_I0_ALT_LENGTH) + #elif (HS_C1_INTERFACE_NUMBER == 2) + #define HS_C1_INTERFACE_LENGTH (HS_C1_I0_ALT_LENGTH + HS_C1_I1_ALT_LENGTH) + #endif +#endif + +#if (FS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + #define FS_C1_INTERFACE_NUMBER 0X01 + #define FS_C1 0X01 + #define FS_C1_iConfiguration 0X00 + #define FS_C1_bmAttribute 0X80 + #define FS_C1_iMaxPower 0XFA + + #if (FS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #define FS_C1_I0_ALT_NUMBER 0X01 + #if (FS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0X00 + #define FS_C1_I0_A0_bInterfaceNumber 0X00 + #define FS_C1_I0_A0_bAlternateSetting 0X00 + //JWEI 2003/07/14 + //#if fINDEPEND_REG_RW && !(fFLASH_DISK && !fFLASH_BOOT) + #define FS_C1_I0_A0_EP_NUMBER 0x05 + //#else + //#define FS_C1_I0_A0_EP_NUMBER 0X03 + //#endif + #if !(fFLASH_DISK && !fFLASH_BOOT) + #define FS_C1_I0_A0_bInterfaceClass 0XFF + #define FS_C1_I0_A0_bInterfaceSubClass 0X00 + #define FS_C1_I0_A0_bInterfaceProtocol 0X00 + #else + #define FS_C1_I0_A0_bInterfaceClass 0X08 + #define FS_C1_I0_A0_bInterfaceSubClass 0X06 + #define FS_C1_I0_A0_bInterfaceProtocol 0X50 + #endif + #define FS_C1_I0_A0_iInterface 0X00 + + #if (FS_C1_I0_A0_EP_NUMBER >= 1) + //EP0X01 + #define FS_C1_I0_A0_EP1_BLKSIZE BLK512BYTE + //JWEI 2003/05/19 + #if fDOUBLE_BUF + #define FS_C1_I0_A0_EP1_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP1_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP1_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP1_TYPE TF_TYPE_BULK + //JWEI 2003/05/07 + #define FS_C1_I0_A0_EP1_MAX_PACKET MX_PA_SZ_64 + #define FS_C1_I0_A0_EP1_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 2) + //EP0X02 + #define FS_C1_I0_A0_EP2_BLKSIZE BLK512BYTE + //JWEI 2003/08/20 + #if fDOUBLE_BUF_IN + #define FS_C1_I0_A0_EP2_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP2_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP2_DIRECTION DIRECTION_IN + #define FS_C1_I0_A0_EP2_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP2_MAX_PACKET MX_PA_SZ_64 + #define FS_C1_I0_A0_EP2_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 3) + //EP0X03 + #define FS_C1_I0_A0_EP3_BLKSIZE BLK64BYTE + #define FS_C1_I0_A0_EP3_BLKNO SINGLE_BLK + #define FS_C1_I0_A0_EP3_DIRECTION DIRECTION_IN + #define FS_C1_I0_A0_EP3_TYPE TF_TYPE_INTERRUPT + #define FS_C1_I0_A0_EP3_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP3_bInterval 01 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + //EP0X04 + #define FS_C1_I0_A0_EP4_BLKSIZE BLK64BYTE + #define FS_C1_I0_A0_EP4_BLKNO SINGLE_BLK + #define FS_C1_I0_A0_EP4_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP4_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP4_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP4_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 5) + //EP0X04 + #define FS_C1_I0_A0_EP5_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF_IN + #define FS_C1_I0_A0_EP5_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP5_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP5_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP5_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP5_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP5_bInterval 00 + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 6) + //EP0X04 + #define FS_C1_I0_A0_EP6_BLKSIZE BLK512BYTE + #if fDOUBLE_BUF_IN + #define FS_C1_I0_A0_EP6_BLKNO DOUBLE_BLK + #else + #define FS_C1_I0_A0_EP6_BLKNO SINGLE_BLK + #endif + #define FS_C1_I0_A0_EP6_DIRECTION DIRECTION_OUT + #define FS_C1_I0_A0_EP6_TYPE TF_TYPE_BULK + #define FS_C1_I0_A0_EP6_MAX_PACKET 0x0040 + #define FS_C1_I0_A0_EP6_bInterval 00 + #endif + #endif + #endif +#endif + +#if (FS_CONFIGURATION_NUMBER >= 1) + // Configuration 1 + #if (FS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + #if (FS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define FS_C1_I0_A0_EP_LENGTH (EP_LENGTH * FS_C1_I0_A0_EP_NUMBER) + #if (FS_C1_I0_A0_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I0_A0_EP1_FIFO_START FIFO0 + #define FS_C1_I0_A0_EP1_FIFO_NO (FS_C1_I0_A0_EP1_BLKNO * FS_C1_I0_A0_EP1_BLKSIZE) + #define FS_C1_I0_A0_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP1_BLKNO - 1) << 2) | FS_C1_I0_A0_EP1_TYPE) + #define FS_C1_I0_A0_EP1_FIFO_MAP (((1 - FS_C1_I0_A0_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I0_A0_EP1_MAP (FS_C1_I0_A0_EP1_FIFO_START | (FS_C1_I0_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP1_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I0_A0_EP2_FIFO_START (FS_C1_I0_A0_EP1_FIFO_START + FS_C1_I0_A0_EP1_FIFO_NO) + #define FS_C1_I0_A0_EP2_FIFO_NO (FS_C1_I0_A0_EP2_BLKNO * FS_C1_I0_A0_EP2_BLKSIZE) + #define FS_C1_I0_A0_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP2_BLKNO - 1) << 2) | FS_C1_I0_A0_EP2_TYPE) + #define FS_C1_I0_A0_EP2_FIFO_MAP (((1 - FS_C1_I0_A0_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I0_A0_EP2_MAP (FS_C1_I0_A0_EP2_FIFO_START | (FS_C1_I0_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP2_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 3) + // EP3 + //JWEI 2003/07/15 + // #define FS_C1_I0_A0_EP3_FIFO_START (FS_C1_I0_A0_EP2_FIFO_START + FS_C1_I0_A0_EP2_FIFO_NO) + #define FS_C1_I0_A0_EP3_FIFO_START FIFO14 + #define FS_C1_I0_A0_EP3_FIFO_NO (FS_C1_I0_A0_EP3_BLKNO * FS_C1_I0_A0_EP3_BLKSIZE) + #define FS_C1_I0_A0_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP3_BLKNO - 1) << 2) | FS_C1_I0_A0_EP3_TYPE) + #define FS_C1_I0_A0_EP3_FIFO_MAP (((1 - FS_C1_I0_A0_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I0_A0_EP3_MAP (FS_C1_I0_A0_EP3_FIFO_START | (FS_C1_I0_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP3_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 4) || fFLASH_DISK + // EP4 + #define FS_C1_I0_A0_EP4_FIFO_START (FS_C1_I0_A0_EP3_FIFO_START + FS_C1_I0_A0_EP3_FIFO_NO) + #define FS_C1_I0_A0_EP4_FIFO_NO (FS_C1_I0_A0_EP4_BLKNO * FS_C1_I0_A0_EP4_BLKSIZE) + #define FS_C1_I0_A0_EP4_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP4_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP4_BLKNO - 1) << 2) | FS_C1_I0_A0_EP4_TYPE) + #define FS_C1_I0_A0_EP4_FIFO_MAP (((1 - FS_C1_I0_A0_EP4_DIRECTION) << 4) | EP4) + #define FS_C1_I0_A0_EP4_MAP (FS_C1_I0_A0_EP4_FIFO_START | (FS_C1_I0_A0_EP4_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP4_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 5) + // EP5 + #define FS_C1_I0_A0_EP5_FIFO_START (FS_C1_I0_A0_EP2_FIFO_START + FS_C1_I0_A0_EP2_FIFO_NO) + #define FS_C1_I0_A0_EP5_FIFO_NO (FS_C1_I0_A0_EP5_BLKNO * FS_C1_I0_A0_EP5_BLKSIZE) + #define FS_C1_I0_A0_EP5_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP5_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP5_BLKNO - 1) << 2) | FS_C1_I0_A0_EP5_TYPE) + #define FS_C1_I0_A0_EP5_FIFO_MAP (((1 - FS_C1_I0_A0_EP5_DIRECTION) << 4) | EP5) + #define FS_C1_I0_A0_EP5_MAP (FS_C1_I0_A0_EP5_FIFO_START | (FS_C1_I0_A0_EP5_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP5_DIRECTION))) + #endif + #if (FS_C1_I0_A0_EP_NUMBER >= 6) + // EP5 + #define FS_C1_I0_A0_EP6_FIFO_START (FS_C1_I0_A0_EP5_FIFO_START + FS_C1_I0_A0_EP5_FIFO_NO) + #define FS_C1_I0_A0_EP6_FIFO_NO (FS_C1_I0_A0_EP6_BLKNO * FS_C1_I0_A0_EP6_BLKSIZE) + #define FS_C1_I0_A0_EP6_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP6_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP6_BLKNO - 1) << 2) | FS_C1_I0_A0_EP6_TYPE) + #define FS_C1_I0_A0_EP6_FIFO_MAP (((1 - FS_C1_I0_A0_EP6_DIRECTION) << 4) | EP6) + #define FS_C1_I0_A0_EP6_MAP (FS_C1_I0_A0_EP6_FIFO_START | (FS_C1_I0_A0_EP6_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP6_DIRECTION))) + #endif + #endif + + #if (FS_C1_I0_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define FS_C1_I0_A1_EP_LENGTH (EP_LENGTH * FS_C1_I0_A1_EP_NUMBER) + #if (FS_C1_I0_A1_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I0_A1_EP1_FIFO_START FIFO0 + #define FS_C1_I0_A1_EP1_FIFO_NO (FS_C1_I0_A1_EP1_BLKNO * FS_C1_I0_A1_EP1_BLKSIZE) + #define FS_C1_I0_A1_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I0_A1_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I0_A1_EP1_BLKNO - 1) << 2) | FS_C1_I0_A1_EP1_TYPE) + #define FS_C1_I0_A1_EP1_FIFO_MAP (((1 - FS_C1_I0_A1_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I0_A1_EP1_MAP (FS_C1_I0_A1_EP1_FIFO_START | (FS_C1_I0_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A1_EP1_DIRECTION))) + #endif + #if (FS_C1_I0_A1_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I0_A1_EP2_FIFO_START (FS_C1_I0_A1_EP1_FIFO_START + FS_C1_I0_A1_EP1_FIFO_NO) + #define FS_C1_I0_A1_EP2_FIFO_NO (FS_C1_I0_A1_EP2_BLKNO * FS_C1_I0_A1_EP2_BLKSIZE) + #define FS_C1_I0_A1_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I0_A1_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I0_A1_EP2_BLKNO - 1) << 2) | FS_C1_I0_A1_EP2_TYPE) + #define FS_C1_I0_A1_EP2_FIFO_MAP (((1 - FS_C1_I0_A1_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I0_A1_EP2_MAP (FS_C1_I0_A1_EP2_FIFO_START | (FS_C1_I0_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A1_EP2_DIRECTION))) + #endif + #if (FS_C1_I0_A1_EP_NUMBER >= 3) + // EP3 + #define FS_C1_I0_A1_EP3_FIFO_START (FS_C1_I0_A1_EP2_FIFO_START + FS_C1_I0_A1_EP2_FIFO_NO) + #define FS_C1_I0_A1_EP3_FIFO_NO (FS_C1_I0_A1_EP3_BLKNO * FS_C1_I0_A1_EP3_BLKSIZE) + #define FS_C1_I0_A1_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I0_A1_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I0_A1_EP3_BLKNO - 1) << 2) | FS_C1_I0_A1_EP3_TYPE) + #define FS_C1_I0_A1_EP3_FIFO_MAP (((1 - FS_C1_I0_A1_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I0_A1_EP3_MAP (FS_C1_I0_A1_EP3_FIFO_START | (FS_C1_I0_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A1_EP3_DIRECTION))) + #endif + #endif + + #if (FS_C1_I0_ALT_NUMBER == 1) + #define FS_C1_I0_ALT_LENGTH (FS_C1_I0_A0_EP_LENGTH) + #elif (FS_C1_I0_ALT_NUMBER == 2) + #define FS_C1_I0_ALT_LENGTH (FS_C1_I0_A0_EP_LENGTH + FS_C1_I0_A1_EP_LENGTH) + #endif + #endif + + #if (FS_C1_INTERFACE_NUMBER >= 2) + // Interface 1 + #if (FS_C1_I1_ALT_NUMBER >= 1) + // AlternateSetting 0 + #define FS_C1_I1_A0_EP_LENGTH (EP_LENGTH * FS_C1_I1_A0_EP_NUMBER) + #if (FS_C1_I1_A0_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I1_A0_EP1_FIFO_START FIFO0 + #define FS_C1_I1_A0_EP1_FIFO_NO (FS_C1_I1_A0_EP1_BLKNO * FS_C1_I1_A0_EP1_BLKSIZE) + #define FS_C1_I1_A0_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I1_A0_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I1_A0_EP1_BLKNO - 1) << 2) | FS_C1_I1_A0_EP1_TYPE) + #define FS_C1_I1_A0_EP1_FIFO_MAP (((1 - FS_C1_I1_A0_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I1_A0_EP1_MAP (FS_C1_I1_A0_EP1_FIFO_START | (FS_C1_I1_A0_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A0_EP1_DIRECTION))) + #endif + #if (FS_C1_I1_A0_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I1_A0_EP2_FIFO_START (FS_C1_I1_A0_EP1_FIFO_START + FS_C1_I1_A0_EP1_FIFO_NO) + #define FS_C1_I1_A0_EP2_FIFO_NO (FS_C1_I1_A0_EP2_BLKNO * FS_C1_I1_A0_EP2_BLKSIZE) + #define FS_C1_I1_A0_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I1_A0_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I1_A0_EP2_BLKNO - 1) << 2) | FS_C1_I1_A0_EP2_TYPE) + #define FS_C1_I1_A0_EP2_FIFO_MAP (((1 - FS_C1_I1_A0_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I1_A0_EP2_MAP (FS_C1_I1_A0_EP2_FIFO_START | (FS_C1_I1_A0_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A0_EP2_DIRECTION))) + #endif + #if (FS_C1_I1_A0_EP_NUMBER >= 3) + // EP3 + #define FS_C1_I1_A0_EP3_FIFO_START (FS_C1_I1_A0_EP2_FIFO_START + FS_C1_I1_A0_EP2_FIFO_NO) + #define FS_C1_I1_A0_EP3_FIFO_NO (FS_C1_I1_A0_EP3_BLKNO * FS_C1_I1_A0_EP3_BLKSIZE) + #define FS_C1_I1_A0_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I1_A0_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I1_A0_EP3_BLKNO - 1) << 2) | FS_C1_I1_A0_EP3_TYPE) + #define FS_C1_I1_A0_EP3_FIFO_MAP (((1 - FS_C1_I1_A0_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I1_A0_EP3_MAP (FS_C1_I1_A0_EP3_FIFO_START | (FS_C1_I1_A0_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A0_EP3_DIRECTION))) + #endif + #endif + + #if (FS_C1_I1_ALT_NUMBER >= 2) + // AlternateSetting 1 + #define FS_C1_I1_A1_EP_LENGTH (EP_LENGTH * FS_C1_I1_A1_EP_NUMBER) + #if (FS_C1_I1_A1_EP_NUMBER >= 1) + // EP1 + #define FS_C1_I1_A1_EP1_FIFO_START FIFO0 + #define FS_C1_I1_A1_EP1_FIFO_NO (FS_C1_I1_A1_EP1_BLKNO * FS_C1_I1_A1_EP1_BLKSIZE) + #define FS_C1_I1_A1_EP1_FIFO_CONFIG (0x80 | ((FS_C1_I1_A1_EP1_BLKSIZE - 1) << 4) | ((FS_C1_I1_A1_EP1_BLKNO - 1) << 2) | FS_C1_I1_A1_EP1_TYPE) + #define FS_C1_I1_A1_EP1_FIFO_MAP (((1 - FS_C1_I1_A1_EP1_DIRECTION) << 4) | EP1) + #define FS_C1_I1_A1_EP1_MAP (FS_C1_I1_A1_EP1_FIFO_START | (FS_C1_I1_A1_EP1_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A1_EP1_DIRECTION))) + #endif + #if (FS_C1_I1_A1_EP_NUMBER >= 2) + // EP2 + #define FS_C1_I1_A1_EP2_FIFO_START (FS_C1_I1_A1_EP1_FIFO_START + FS_C1_I1_A1_EP1_FIFO_NO) + #define FS_C1_I1_A1_EP2_FIFO_NO (FS_C1_I1_A1_EP2_BLKNO * FS_C1_I1_A1_EP2_BLKSIZE) + #define FS_C1_I1_A1_EP2_FIFO_CONFIG (0x80 | ((FS_C1_I1_A1_EP2_BLKSIZE - 1) << 4) | ((FS_C1_I1_A1_EP2_BLKNO - 1) << 2) | FS_C1_I1_A1_EP2_TYPE) + #define FS_C1_I1_A1_EP2_FIFO_MAP (((1 - FS_C1_I1_A1_EP2_DIRECTION) << 4) | EP2) + #define FS_C1_I1_A1_EP2_MAP (FS_C1_I1_A1_EP2_FIFO_START | (FS_C1_I1_A1_EP2_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A1_EP2_DIRECTION))) + #endif + #if (FS_C1_I1_A1_EP_NUMBER >= 3) + // EP3 + #define FS_C1_I1_A1_EP3_FIFO_START (FS_C1_I1_A1_EP2_FIFO_START + FS_C1_I1_A1_EP2_FIFO_NO) + #define FS_C1_I1_A1_EP3_FIFO_NO (FS_C1_I1_A1_EP3_BLKNO * FS_C1_I1_A1_EP3_BLKSIZE) + #define FS_C1_I1_A1_EP3_FIFO_CONFIG (0x80 | ((FS_C1_I1_A1_EP3_BLKSIZE - 1) << 4) | ((FS_C1_I1_A1_EP3_BLKNO - 1) << 2) | FS_C1_I1_A1_EP3_TYPE) + #define FS_C1_I1_A1_EP3_FIFO_MAP (((1 - FS_C1_I1_A1_EP3_DIRECTION) << 4) | EP3) + #define FS_C1_I1_A1_EP3_MAP (FS_C1_I1_A1_EP3_FIFO_START | (FS_C1_I1_A1_EP3_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I1_A1_EP3_DIRECTION))) + #endif + #endif + + #if (FS_C1_I1_ALT_NUMBER == 1) + #define FS_C1_I1_ALT_LENGTH (FS_C1_I1_A0_EP_LENGTH) + #elif (FS_C1_I1_ALT_NUMBER == 2) + #define FS_C1_I1_ALT_LENGTH (FS_C1_I1_A0_EP_LENGTH + FS_C1_I1_A1_EP_LENGTH) + #endif + #endif + + #if (FS_C1_INTERFACE_NUMBER == 1) + #define FS_C1_INTERFACE_LENGTH (FS_C1_I0_ALT_LENGTH) + #elif (FS_C1_INTERFACE_NUMBER == 2) + #define FS_C1_INTERFACE_LENGTH (FS_C1_I0_ALT_LENGTH + HS_FS_C1_I1_ALT_LENGTH) + #endif +#endif + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_UP_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT0)) // upstream DMA enable + +#define USB_DISABLE_UP_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT0))) // upstream DMA disable + +#define USB_UP_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT3))) // upQ stream mode + +#define USB_UP_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT3)) // upQ packet mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_LP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT1)) // lp downstream DMA enable + +#define USB_DISABLE_LP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT1))) // lp downstream DMA disable + +#define USB_LP_DN_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT6))) // lpQ packet mode + +#define USB_LP_DN_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT6)) // lpQ stream mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_HP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT8)) // hp downstream DMA enable + +#define USB_DISABLE_HP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT8))) // hp downstream DMA disable + +#define USB_HP_DN_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT7))) // hpQ packet mode + +#define USB_HP_DN_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT7)) // hpQ stream mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_MP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT9)) // mp downstream DMA enable + +#define USB_DISABLE_MP_DN_DMA() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT9))) // mp downstream DMA disable + +#define USB_MP_DN_PACKET_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT10))) // hpQ packet mode + +#define USB_MP_DN_STREAM_MODE() USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT10)) // hpQ stream mode + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// + +#define USB_ENABLE_UP_PACKET_MODE() USB_DISABLE_UP_DMA(); \ + USB_UP_PACKET_MODE(); \ + USB_ENABLE_UP_DMA(); + +#define USB_ENABLE_LP_DN_PACKET_MODE() USB_DISABLE_LP_DN_DMA(); \ + USB_LP_DN_PACKET_MODE(); \ + USB_ENABLE_LP_DN_DMA() + +#define USB_ENABLE_MP_DN_PACKET_MODE() USB_DISABLE_MP_DN_DMA(); \ + USB_MP_DN_PACKET_MODE(); \ + USB_ENABLE_MP_DN_DMA(); + +#define USB_ENABLE_HP_DN_PACKET_MODE() USB_DISABLE_HP_DN_DMA(); \ + USB_HP_DN_PACKET_MODE(); \ + USB_ENABLE_HP_DN_DMA(); + +/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// +#define USB_ENABLE_UP_STREAM_MODE() USB_DISABLE_UP_DMA(); \ + USB_UP_STREAM_MODE(); \ + USB_ENABLE_UP_DMA(); + +#define USB_ENABLE_LP_DN_STREAM_MODE() USB_DISABLE_LP_DN_DMA(); \ + USB_LP_DN_STREAM_MODE(); \ + USB_ENABLE_LP_DN_DMA() + +#define USB_ENABLE_MP_DN_STREAM_MODE() USB_DISABLE_MP_DN_DMA(); \ + USB_MP_DN_STREAM_MODE(); \ + USB_ENABLE_MP_DN_DMA(); + +#define USB_ENABLE_HP_DN_STREAM_MODE() USB_DISABLE_HP_DN_DMA(); \ + USB_HP_DN_STREAM_MODE(); \ + USB_ENABLE_HP_DN_DMA(); + +#define USB_STREAM_HOST_BUF_SIZE(size) USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(size))); +#define USB_STREAM_TIMEOUT(time_cnt) USB_WORD_REG_WRITE(ZM_SOC_USB_TIME_CTRL_OFFSET, time_cnt); // set stream mode timeout critirea +#define USB_STREAM_AGG_PKT_CNT(cnt) USB_WORD_REG_WRITE(ZM_SOC_USB_MAX_AGGREGATE_OFFSET, cnt); // set stream mode packet buffer critirea + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/usb_extr.h b/target_firmware/magpie_fw_dev/target/inc/k2/usb_extr.h new file mode 100755 index 0000000..1886800 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/usb_extr.h @@ -0,0 +1,34 @@ +#ifndef USB_EXTR_H +#define USB_EXTR_H + +#if 0 +#include "dt_defs.h" +#include "usb_type.h" + +/* Variable for USB EP0 pipe (USB.c) */ +uint16_t *pu8DescriptorEX; +uint16_t u16TxRxCounter; +uint16_t *u8ConfigDescriptorEX; +//extern BOOLEAN bUsbEP0HaltSt; +Action eUsbCxFinishAction; +CommandType eUsbCxCommand; +BOOLEAN UsbChirpFinish; +uint16_t u8UsbConfigValue; +uint16_t u8UsbInterfaceValue; +uint16_t u8UsbInterfaceAlternateSetting; +uint16_t u16FirmwareComplete; + +uint16_t *UsbDeviceDescriptor; +uint16_t *String00Descriptor; +uint16_t *String10Descriptor; +uint16_t *String20Descriptor; +uint16_t *String30Descriptor; +uint16_t *u8DeviceQualifierDescriptorEX; +uint16_t *u8OtherSpeedConfigDescriptorEX; +uint16_t *u8UsbDeviceDescriptor; +uint16_t *u8String00Descriptor; +uint16_t *u8String10Descriptor; +uint16_t *u8String20Descriptor; +uint16_t *u8String30Descriptor; +#endif +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/usb_pre.h b/target_firmware/magpie_fw_dev/target/inc/k2/usb_pre.h new file mode 100755 index 0000000..b63e3a9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/usb_pre.h @@ -0,0 +1,99 @@ +#ifndef __USB_USER_PRE_H +#define __USB_USER_PRE_H + +#define FUSB200_MAX_EP 10 // 1..10 +#define FUSB200_MAX_FIFO 10 // 0.. 9 +#define EP0MAXPACKETSIZE 0x40 +// #define EP0FIFOSIZE 64 // EP0_FIFO +//JWEI 2003/04/29 +//#define EP0MAXPACKETSIZE 0x08 + +// Max. Packet Size define +#define MX_PA_SZ_8 8 +#define MX_PA_SZ_16 16 +#define MX_PA_SZ_32 32 +#define MX_PA_SZ_64 64 +#define MX_PA_SZ_128 128 +#define MX_PA_SZ_256 256 +#define MX_PA_SZ_512 512 +#define MX_PA_SZ_1024 1024 + +#define MASK_F0 0xF0 + +// Block Size define +#define BLK512BYTE 1 +#define BLK1024BYTE 2 + +#define BLK64BYTE 1 +#define BLK128BYTE 2 + +// Block toggle number define +#define SINGLE_BLK 1 +#define DOUBLE_BLK 2 +#define TRIBLE_BLK 3 + +// Endpoint transfer type +#define TF_TYPE_ISOCHRONOUS 1 +#define TF_TYPE_BULK 2 +#define TF_TYPE_INTERRUPT 3 + +// Endpoint or FIFO direction define +#define DIRECTION_IN 0 +#define DIRECTION_OUT 1 + +// FIFO number define +#define FIFO0 0x0 +#define FIFO1 0x1 +#define FIFO2 0x2 +#define FIFO3 0x3 +#define FIFO4 0x4 +#define FIFO5 0x5 +#define FIFO6 0x6 +#define FIFO7 0x7 +#define FIFO8 0x8 +#define FIFO9 0x9 +#define FIFO10 10 +#define FIFO11 11 +#define FIFO12 12 +#define FIFO13 13 +#define FIFO14 14 +#define FIFO15 15 + +// Descriptor Table uses the following parameters : fixed +#define DEVICE_LENGTH 0x12 +#define CONFIG_LENGTH 0x09 +#define INTERFACE_LENGTH 0x09 +#define EP_LENGTH 0x07 +#define DEVICE_QUALIFIER_LENGTH 0x0A + +//JWEI 2003/04/29 +// Endpoint number define +#define EP0 0 +#define EP1 1 +#define EP2 2 +#define EP3 3 +#define EP4 4 +#define EP5 5 +#define EP6 6 +#define EP7 7 +#define EP8 8 +#define EP9 9 +#define EP10 10 +#define EP11 11 +#define EP12 12 +#define EP13 13 +#define EP14 14 +#define EP15 15 + +#define STRING_00_LENGTH 0x04 +#define STRING_10_LENGTH 0x0c +#define STRING_20_LENGTH 0x18 +#define STRING_30_LENGTH 0x18 +#define STRING_40_LENGTH 0x04 +#define STRING_50_LENGTH 0x04 +#define STRING_60_LENGTH 0x04 +#define STRING_70_LENGTH 0x04 +#define STRING_80_LENGTH 0x04 +#define STRING_90_LENGTH 0x00 + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/usb_std.h b/target_firmware/magpie_fw_dev/target/inc/k2/usb_std.h new file mode 100755 index 0000000..7272eaf --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/usb_std.h @@ -0,0 +1,106 @@ +#ifndef USB_STD_H +#define USB_STD_H + +#define mDEV_REQ_REQ_DIR() (ControlCmd.Direction) +#define mDEV_REQ_REQ_TYPE() (ControlCmd.Type) +#define mDEV_REQ_REQ_RECI() (ControlCmd.Object) +#define mDEV_REQ_REQ() (ControlCmd.Request) +#define mDEV_REQ_VALUE() (ControlCmd.Value) +#define mDEV_REQ_VALUE_LOW() (mLOW_BYTE(mDEV_REQ_VALUE())) +#define mDEV_REQ_VALUE_HIGH() (mHIGH_BYTE(mDEV_REQ_VALUE())) +#define mDEV_REQ_INDEX() (ControlCmd.Index) +#define mDEV_REQ_LENGTH() (ControlCmd.Length) + +/******************** USB Protocol Definition *************************/ +/* Standard Request Code (Table 9-4) */ +#define USB_GET_STATUS 0 +#define USB_CLEAR_FEATURE 1 +#define USB_SET_FEATURE 3 +#define USB_SET_ADDRESS 5 +#define USB_GET_DESCRIPTOR 6 +#define USB_SET_DESCRIPTOR 7 +#define USB_GET_CONFIGURATION 8 +#define USB_SET_CONFIGURATION 9 +#define USB_GET_INTERFACE 10 +#define USB_SET_INTERFACE 11 +#define USB_SYNCH_FRAME 12 + +/* Descriptor Type (Table 9-5) */ +#define USB_DESC_TYPE_DEVICE 1 +#define USB_DESC_TYPE_CONFIG 2 +#define USB_DESC_TYPE_STRING 3 +#define USB_DESC_TYPE_INTERFACE 4 +#define USB_DESC_TYPE_ENDPOINT 5 + +/* Endpoint Attribute (Table 9-10) */ +#define USB_EP_ATTR_CTRL 0 +#define USB_EP_ATTR_ISOCH 1 +#define USB_EP_ATTR_BULK 2 +#define USB_EP_ATTR_INTRPT 3 + +/*********************** for USB 2.0 **********************************/ +// Table 9-5. Descriptor Types +#define DT_DEVICE 1 +#define DT_CONFIGURATION 2 +#define DT_STRING 3 +#define DT_INTERFACE 4 +#define DT_ENDPOINT 5 +#define DT_DEVICE_QUALIFIER 6 +#define DT_OTHER_SPEED_CONFIGURATION 7 +#define DT_INTERFACE_POWER 8 + +/**********************************************************************/ +// Values for bmAttributes Field in USB_CONFIGURATION_DESCRIPTOR +#define USB_BUS_POWERED 0x80 +#define USB_SELF_POWERED 0x40 +#define USB_REMOTE_WAKEUP 0x20 + +#define cUSB_REQTYPE_DIR_POS 7 +#define cUSB_REQTYPE_DIR_LEN 1 +#define cUSB_REQTYPE_TYPE_POS 5 +#define cUSB_REQTYPE_TYPE_LEN 2 +#define cUSB_REQTYPE_RX_POS 0 +#define cUSB_REQTYPE_RX_LEN 5 + +/* for USB State */ +#define cUSB_DEFAULT_STATE 0 +#define cUSB_ADDRESS_STATE 1 +#define cUSB_CONFIG_STATE 2 + +/* for Data transfer direction */ +#define bmUSB_HOST_DIR 7 /* Bit 7 */ +#define cUSB_DIR_HOST_OUT 0 +#define cUSB_DIR_HOST_IN 1 + +/* for Type */ +#define cUSB_REQTYPE_STD 0 +#define cUSB_REQTYPE_CLASS 1 +#define cUSB_REQTYPE_VENDOR 2 + +/* for Recipient */ +#define cUSB_REQTYPE_DEVICE 0 +#define cUSB_REQTYPE_INTERFACE 1 +#define cUSB_REQTYPE_ENDPOINT 2 +#define cUSB_REQTYPE_OTHER 3 + +/* for Descriptor Type */ +#define cUSB_DESTYPE_DEVICE 1 +#define cUSB_DESTYPE_CONFIG 2 +#define cUSB_DESTYPE_STRING 3 +#define cUSB_DESTYPE_INTERFACE 4 +#define cUSB_DESTYPE_ENDPOINT 5 +#define cUSB_DESTYPE_END cUSB_DESTYPE_ENDPOINT // for range check + +/* for Feature selector */ +#define cUSB_FEATSEL_RMWAKEUP 0 +#define cUSB_FEATSEL_EPHAL 1 +#define cUSB_FEATSEL_END cUSB_FEATSEL_EPHAL // for range check + +#define bmREQ_RECI 0 +#define bmwREQ_RECI 5 // mMASKS(bmwREQ_RECI, bmREQ_RECI) +#define bmREQ_TYPE 5 +#define bmwREQ_TYPE 2 // mMASKS(bmwREQ_TYPE, bmREQ_TYPE) +#define bmREQ_DIR 7 +#define bmwREQ_DIR 1 + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/usb_table.h b/target_firmware/magpie_fw_dev/target/inc/k2/usb_table.h new file mode 100755 index 0000000..0f0278f --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/usb_table.h @@ -0,0 +1,138 @@ +#ifndef _USB_TABLE_H_ +#define _USB_TABLE_H_ + +#include "sys_cfg.h" +// UsbDeviceDescriptor +#define USB_DEVICE_DESC_TYPE 0x01 +#define USB_DEVICE_DESC_LEN 0x12 +#define USB_SPEC_VERSION 0x0200 +#define USB_DEVICE_CLASS 0xFF +#define USB_DEVICE_SUB_CLASS 0xFF +#define USB_DEVICE_PROTOCOL 0xFF +#define USB_MAX_PKT_SIZE 0x40 +#define USB_VENDOR_ID 0x0CF3 +#define USB_PRODUCT_ID 0x9271 + +#if 1 /* USB Device Descriptor : byte 12, 13 Device BCD -> Device release number in binary-coded decimal. */ +#define USB_DEVICE_BCD BOOTROM_VER +#else +#define USB_DEVICE_BCD 0x0106 +#endif + +#define USB_MANUFACTURER_INDEX 0x10 +#define USB_PRODUCT_INDEX 0x20 +#define USB_SERIAL_INDEX 0x30 +#define USB_CONFIGURATION_NUM 0x01 +// end UsbDeviceDescriptor + +#define USB_CONFIG_DESC_TYPE 0x02 +#define USB_CONFIG_DESC_LEN 0x09 +//#define USB_TOTAL_DESC_LEN 0x002E // 4 ep +//#define USB_TOTAL_DESC_LEN 0x0035 // 5 ep +#define USB_TOTAL_DESC_LEN 0x003C // 6 ep +#define USB_INTERFACE_NUM 0x01 +#define USB_CONFIG_NUM 0x01 +#define USB_STRING_INDEX 0x00 +#define USB_ATTRIBUTE 0x80 +#define USB_MAX_POWER 0xFA + +#define USB_INTERFACE_DESC_TYPE 0x04 +#define USB_INTERFACE_DESC_LEN 0x09 +#define USB_INTERFACE_INDEX_NUM 0x00 +#define USB_INTERFACE_ALT_SETTING 0x00 +//#define USB_INTERFACE_EP_NUM 0x04 +//#define USB_INTERFACE_EP_NUM 0x05 +#define USB_INTERFACE_EP_NUM 0x06 +#define USB_INTERFACE_CLASS 0xFF +#define USB_INTERFACE_SUB_CLASS 0x00 +#define USB_INTERFACE_PROTOCOL 0x00 +#define USB_INTERFACE_STRING_INDEX 0x00 + +#define USB_EP_DESC_TYPE 0x05 +#define USB_EP_DESC_LEN 0x07 + +/* USB Endpoint attribute */ +#define bUSB_EP1_NUM 0x01 +#define bUSB_EP2_NUM 0x02 +#define bUSB_EP3_NUM 0x03 +#define bUSB_EP4_NUM 0x04 +#define bUSB_EP5_NUM 0x05 +#define bUSB_EP6_NUM 0x06 + +#define bUSB_EP_DIRECTION_IN 0x80 +#define bUSB_EP_DIRECTION_OUT 0x00 + +#define bUSB_EP_TYPE_CONTROL 0x00 +#define bUSB_EP_TYPE_ISOCHRONOUS 0x01 +#define bUSB_EP_TYPE_BULK 0x02 +#define bUSB_EP_TYPE_INTERRUPT 0x03 + +#define bUSB_EP_MAX_PKT_SIZE_64 0x0040 +#define bUSB_EP_MAX_PKT_SIZE_512 0x0200 + +/* High Speed Endpoint */ +#define USB_HS_EP1_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP1_NUM) +#define USB_HS_EP1_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP1_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP1_INTERVAL 0x00 + +#define USB_HS_EP2_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP2_NUM) +#define USB_HS_EP2_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP2_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP2_INTERVAL 0x00 + +#define USB_HS_EP3_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP3_NUM) +#define USB_HS_EP3_ATTRIBUTE bUSB_EP_TYPE_INTERRUPT +#define USB_HS_EP3_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_HS_EP3_INTERVAL 0x01 + +#define USB_HS_EP4_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP4_NUM) +#define USB_HS_EP4_ATTRIBUTE bUSB_EP_TYPE_INTERRUPT //bUSB_EP_TYPE_BULK +#define USB_HS_EP4_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_HS_EP4_INTERVAL 0x01 //0x00 + +#define USB_HS_EP5_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP5_NUM) +#define USB_HS_EP5_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP5_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP5_INTERVAL 0x00 + +#define USB_HS_EP6_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP6_NUM) +#define USB_HS_EP6_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_HS_EP6_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_512 +#define USB_HS_EP6_INTERVAL 0x00 + +/* Full Speed Endpoint */ +#define USB_FS_EP1_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP1_NUM) +#define USB_FS_EP1_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP1_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP1_INTERVAL 0x00 + +#define USB_FS_EP2_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP2_NUM) +#define USB_FS_EP2_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP2_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP2_INTERVAL 0x00 + +#define USB_FS_EP3_ADDRESS (bUSB_EP_DIRECTION_IN | bUSB_EP3_NUM) +#define USB_FS_EP3_ATTRIBUTE bUSB_EP_TYPE_INTERRUPT +#define USB_FS_EP3_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP3_INTERVAL 0x01 + +#define USB_FS_EP4_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP4_NUM) +#define USB_FS_EP4_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP4_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP4_INTERVAL 0x00 + +#define USB_FS_EP5_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP5_NUM) +#define USB_FS_EP5_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP5_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP5_INTERVAL 0x00 + +#define USB_FS_EP6_ADDRESS (bUSB_EP_DIRECTION_OUT | bUSB_EP6_NUM) +#define USB_FS_EP6_ATTRIBUTE bUSB_EP_TYPE_BULK +#define USB_FS_EP6_MAX_PACKET_SIZE bUSB_EP_MAX_PKT_SIZE_64 +#define USB_FS_EP6_INTERVAL 0x00 + +//#define USB_QUALIFIER_DESC_ADDR 0x8cff00 +//#define USB_OTHER_SPEED_DESC_ADDR 0x8cffA + +#endif // end of _USB_TABLE_H_ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/usb_type.h b/target_firmware/magpie_fw_dev/target/inc/k2/usb_type.h new file mode 100755 index 0000000..7e31478 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/usb_type.h @@ -0,0 +1,60 @@ +#ifndef USB_TYPE_H +#define USB_TYPE_H + +#include "dt_defs.h" + +/*********************** for Faraday USB controller *******************/ +typedef enum +{ + CMD_VOID, // No command + CMD_GET_DESCRIPTOR, // Get_Descriptor command + CMD_SET_DESCRIPTOR // Set_Descriptor command +} CommandType; + +typedef enum +{ + ACT_IDLE, + ACT_DONE, + ACT_STALL +} Action; + +typedef struct Setup_Packet +{ + uint8_t Direction; /* Data transfer direction: IN, OUT */ + uint8_t Type; /* Request Type: Standard, Class, Vendor */ + uint8_t Object; /* Recipient: Device, Interface, Endpoint,other */ + uint16_t Request; /* Refer to Table 9-3 */ + uint16_t Value; + uint16_t Index; + uint16_t Length; +} SetupPacket; + +#define mBIT(b) (1 << (b)) +#define mMASK(w) (mBIT(w) - 1) + +#define mWORD_IDX(bsize) ((bsize) >> 1) +#define mWORD_SIZE(bsize) (((bsize) + 1) >> 1) + +#define mTABLE_WID mWORD_SIZE +#define mTABLE_IDX mWORD_IDX +#define mTABLE_LEN mLOW_BYTE + +#define mLOW_MASK(u16) ((uint8_t) ((u16) & mMASK(8))) +#define mHIGH_MASK(u16) ((uint8_t) ((u16) & ~mMASK(8))) +#define mLOW2HIGH(u16) (((uint8_t) (u16)) << 8) + +/* (1234) -> 0034 */ +//#define mLOW_BYTE(u16) ((U_8)(u16)) +#define mLOW_BYTE(u16) mLOW_MASK(u16) +/* (1234) -> 0012 */ +#define mHIGH_BYTE(u16) ((uint8_t) (((uint16_t) (u16)) >> 8)) + +#define mGET_REG1(var0, reg0) { var0 = reg0; } + +/* (1234, 5678) -> 7834 */ +#define m2BYTE(ch1L, ch2H) (mLOW_MASK(ch1L) | mLOW2HIGH(ch2H)) + +#define mREAD_WORD(var0, reg0, reg1) \ + { var0 = reg0; var0 += mLOW2HIGH(reg1); } + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/usbfifo_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/usbfifo_api.h new file mode 100755 index 0000000..08c4644 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/usbfifo_api.h @@ -0,0 +1,43 @@ +/* + * @File: HIF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _USB_FIFO_API_H +#define _USB_FIFO_API_H + +#include "vbuf_api.h" + +typedef struct _USB_FIFO_CONFIG { + /* callback to get the buf for receiving commands from USB FIFO */ + VBUF* (*get_command_buf)(void); + /* callback when receiving a command */ + void (*recv_command)(VBUF *cmd); + /* callback to get the buf for event to send to the host */ + VBUF* (*get_event_buf)(void); + /* callback to indicate the event has been sent to the host */ + void (*send_event_done)(VBUF *buf); + + /* context used for all callbacks */ + //void *context; +} USB_FIFO_CONFIG; + +/* hardware API table structure (API descriptions below) */ +struct usbfifo_api { + void (*_init)(USB_FIFO_CONFIG *pConfig); + void (*_enable_event_isr)(void); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void usbfifo_module_install(struct usbfifo_api *apis); + +#endif /* #ifndef _USB_FIFO_API_H */ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/vbuf_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/vbuf_api.h new file mode 100755 index 0000000..7c35fc6 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/vbuf_api.h @@ -0,0 +1,44 @@ +/* + * @File: VBUF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _VBUF_API_H +#define _VBUF_API_H + +#include + +#define MAX_BUF_CTX_LEN 20 + +typedef struct _VBUF +{ + VDESC *desc_list; + struct _VBUF *next_buf; + A_UINT16 buf_length; + A_UINT8 reserved[2]; + A_UINT8 ctx[MAX_BUF_CTX_LEN]; +} VBUF; + +#define VBUF_GET_DATA_ADDR(vbuf) (vbuf->desc_list->buf_addr + vbuf->desc_list->data_offset) + +/* hardware API table structure (API descriptions below) */ +struct vbuf_api { + void (*_init)(int nBuf); + VBUF* (*_alloc_vbuf)(void); + VBUF* (*_alloc_vbuf_with_size)(int size, int reserve); + void (*_free_vbuf)(VBUF *buf); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void vbuf_module_install(struct vbuf_api *apis); + +#endif /* #ifndef _HIF_API_H */ diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/vdesc_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/vdesc_api.h new file mode 100755 index 0000000..09219f9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/vdesc_api.h @@ -0,0 +1,43 @@ +/* + * @File: VBUF_api.h + * + * @Abstract: Host Interface api + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _VDESC_API_H +#define _VDESC_API_H + +#define MAX_HW_DESC_SIZE 20 + +typedef struct _VDESC +{ + struct _VDESC *next_desc; + A_UINT8 *buf_addr; + A_UINT16 buf_size; + A_UINT16 data_offset; + A_UINT16 data_size; + A_UINT16 control; + A_UINT8 hw_desc_buf[MAX_HW_DESC_SIZE]; +} VDESC; + +#define VDESC_HW_TO_VDESC(hwdesc) ((VDESC *)(((A_UINT32 *)hwdesc - 4))) + +struct vdesc_api { + void (*_init)(int nDesc); + VDESC* (*_alloc_vdesc)(); + A_UINT8* (*_get_hw_desc)(VDESC *desc); + void (*_swap_vdesc)(VDESC *dest, VDESC *src); + + /* room to expand this table by another table */ + void *pReserved; +}; + +extern void vdesc_module_install(struct vdesc_api *apis); + +#endif diff --git a/target_firmware/magpie_fw_dev/target/inc/k2/wdt_api.h b/target_firmware/magpie_fw_dev/target/inc/k2/wdt_api.h new file mode 100755 index 0000000..8b5a820 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/k2/wdt_api.h @@ -0,0 +1,51 @@ +#ifndef __WDT_API_H__ +#define __WDT_API_H__ + +typedef enum { + WDT_ACTION_NO = 0, // bit1, bit0: 00 + WDT_ACTION_INTR, // bit1, bit0: 01 + WDT_ACTION_NMI, // bit1, bit0: 10 + WDT_ACTION_RESET, // bit1, bit0: 11 + + WDT_ACTION_UNKNOWN +} T_WDT_ACTION_TYPE; + +typedef enum { + WDT_TIMEOUT = 1, + WDT_ACTION, + + WDT_UNKNOWN +} T_WDT_CMD_TYPE; + +typedef struct { + uint32_t cmd; + union { + uint32_t timeout; + uint32_t action; + }; +}T_WDT_CMD; + +typedef enum { + ENUM_WDT_BOOT = 1, + ENUM_COLD_BOOT, + ENUM_SUSP_BOOT, + + // add above here + ENUM_UNKNOWN_BOOT +} T_BOOT_TYPE; + + +/*!- interface of watchdog timer + * + */ +struct wdt_api { + void (* _wdt_init)(void); + void (* _wdt_enable)(void); + void (* _wdt_disable)(void); + void (* _wdt_set)(T_WDT_CMD); + void (* _wdt_task)(void); + void (* _wdt_reset)(void); + T_BOOT_TYPE (*_wdt_last_boot)(void); +}; +#endif /* __WDT_API_H__ */ + diff --git a/target_firmware/magpie_fw_dev/target/inc/osapi.h b/target_firmware/magpie_fw_dev/target/inc/osapi.h new file mode 100755 index 0000000..06e84d6 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/inc/osapi.h @@ -0,0 +1,201 @@ +#ifndef __OSAPI_H__ +#define __OSAPI_H__ + +#define A_COMPILE_TIME_ASSERT(assertion_name, predicate) \ + typedef char assertion_name[(predicate) ? 1 : -1]; + +#if !defined(LOCAL) +#if 0 /* At least for now, simplify debugging. */ +#define LOCAL static +#else +#define LOCAL +#endif +#endif + +#if !defined(NULL) +#define NULL (void *)0 +#endif + +#if !defined(FALSE) +#define FALSE 0 +#endif + +#if !defined(TRUE) +#define TRUE 1 +#endif + +#ifdef __GNUC__ +#define __ATTRIB_PACK __attribute__ ((packed)) +#define __ATTRIB_PRINTF __attribute__ ((format (printf, 1, 2))) +#define __ATTRIB_NORETURN __attribute__ ((noreturn)) +#define __ATTRIB_ALIGN(x) __attribute__ ((aligned((x)))) +#define INLINE __inline__ +#else /* Not GCC */ +#define __ATTRIB_PACK +#define __ATTRIB_PRINTF +#define __ATTRIB_NORETURN +#define __ATTRIB_ALIGN(x) +#define INLINE __inline +#endif /* End __GNUC__ */ + +#define PREPACK +#define POSTPACK __ATTRIB_PACK + +/* Utility macros */ +#define A_SWAB32(_x) ( \ + ((A_UINT32)( \ + (((A_UINT32)(_x) & (A_UINT32)0x000000ffUL) << 24) | \ + (((A_UINT32)(_x) & (A_UINT32)0x0000ff00UL) << 8) | \ + (((A_UINT32)(_x) & (A_UINT32)0x00ff0000UL) >> 8) | \ + (((A_UINT32)(_x) & (A_UINT32)0xff000000UL) >> 24) )) \ +) + +#define A_SWAB16(_x) \ + ((A_UINT16)( \ + (((A_UINT16)(_x) & (A_UINT16)0x00ffU) << 8) | \ + (((A_UINT16)(_x) & (A_UINT16)0xff00U) >> 8) )) + +/* unaligned little endian access */ +#define A_LE_READ_2(p) \ + ((A_UINT16)( \ + (((A_UINT8 *)(p))[0]) | (((A_UINT8 *)(p))[1] << 8))) + +#define A_LE_READ_4(p) \ + ((A_UINT32)( \ + (((A_UINT8 *)(p))[0] ) | (((A_UINT8 *)(p))[1] << 8) | \ + (((A_UINT8 *)(p))[2] << 16) | (((A_UINT8 *)(p))[3] << 24))) + +#define A_LE64_TO_CPU(_x) ((A_UINT64)(_x)) +#define A_LE32_TO_CPU(_x) ((A_UINT32)(_x)) +#define A_CPU_TO_LE32(_x) ((A_UINT32)(_x)) +#define A_BE32_TO_CPU(_x) A_SWAB32(_x) +#define A_CPU_TO_BE32(_x) A_SWAB32(_x) +#define A_LE16_TO_CPU(_x) ((A_UINT16)(_x)) +#define A_CPU_TO_LE16(_x) ((A_UINT16)(_x)) +#define A_BE16_TO_CPU(_x) A_SWAB16(_x) +#define A_CPU_TO_BE16(_x) A_SWAB16(_x) + + +#define A_LE32TOH(_x) A_LE32_TO_CPU(_x) +#define A_HTOLE32(_x) A_CPU_TO_LE32(_x) +#define A_BE32TOH(_x) A_BE32_TO_CPU(_x) +#define A_HTOBE32(_x) A_CPU_TO_BE32(_x) +#define A_LE16TOH(_x) A_LE16_TO_CPU(_x) +#define A_HTOLE16(_x) A_CPU_TO_LE16(_x) +#define A_BE16TOH(_x) A_BE16_TO_CPU(_x) +#define A_HTOBE16(_x) A_CPU_TO_BE16(_x) + +#define A_MAX(x, y) (((x) > (y)) ? (x) : (y)) +#define A_MIN(x, y) (((x) < (y)) ? (x) : (y)) +#define A_ABS(x) (((x) >= 0) ? (x) : (-(x))) +#define A_ROUND_UP(x, y) ((((x) + ((y) - 1)) / (y)) * (y)) +#define A_ROUND_UP_PAD(x, y) (A_ROUND_UP(x, y) - (x)) +#define A_ROUND_UP_PWR2(x, align) (((int) (x) + ((align)-1)) & ~((align)-1)) +#define A_ROUND_DOWN_PWR2(x, align) ((int)(x) & ~((align)-1)) + +#define A_TOLOWER(c) (((c) >= 'A' && (c) <= 'Z') ? ((c)-'A'+'a') : (c)) +#define A_TOUPPER(c) (((c) >= 'a' && (c) <= 'z') ? ((c)-'a'+'A') : (c)) + +#define A_ARRAY_NUM_ENTRIES(a) (sizeof(a)/sizeof(*(a))) +#define A_FIELD_OFFSET(type, field) ((int)(&((type *)0)->field)) + +#define A_MSECS_PER_SECOND 1000 /* Milliseconds */ +#define A_USECS_PER_SECOND 1000000 /* Microseconds */ +#define A_NSECS_PER_SECOND 1000000000 /* Nanoseconds */ + +/* + * Intentional Misaligned Load special "addresses". + * Loads from misaligned addresses have special semantics, + * handled by the OS, depending on the lower nibble. + * + * NOTE1: word-aligned nibbles will not cause any exception, + * so they must not be used. + * + * NOTE2: On AR6002, the Xtensa CPU may issue a load speculatively. + * If this load accesses an unmapped region of SOC (such as the + * lower 4KB), AR6002 hardware generates an Address Error interrupt + * even before the instruction has actually executed and therefore + * before it has a chance to generate the expected Misaligned Load + * error. To avoid this, we make these IML accesses be to an address + * range that is valid....ROM. + */ +#if 0 +#define IML_SIGNAL_UNUSED0_ADDR TARG_ROM_ADDRS(0) /* Cannot be used -- aligned */ +#define IML_SIGNAL_ASSERT_ADDR TARG_ROM_ADDRS(1) /* Signal an assertion failure */ +#define IML_SIGNAL_PRINTF_ADDR TARG_ROM_ADDRS(2) /* Signal a printf request */ +#define IML_SIGNAL_UNUSED4_ADDR TARG_ROM_ADDRS(4) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSED8_ADDR TARG_ROM_ADDRS(8) /* Cannot be used -- aligned */ +#define IML_SIGNAL_UNUSEDC_ADDR TARG_ROM_ADDRS(0xc) /* Cannot be used -- aligned */ +#define IML_SIGNAL_MASK 0xfffe000f +#define IML_LINENUM_SHIFT 4 +#endif + +#ifdef HTC_TRACE_MBOX_PAUSE +#define A_ASSERT( __bool ) +#else +/* + * Code space dedicated to asserts is minimal. We use an Intentional + * Misaligned Load to signal an assert failure. We embed the line + * number in the misaligned address as a debugging aid. This may + * make it a bit more difficult to recognize a bona fide misaligned + * load, but that's an acceptable tradeoff. + * + * Bits 3..0 encodes the IML_SIGNAL_* number. + * Bits 16..4 encode the LINE number of the ASSERTion. + * Upper nibbles are the start of ROM. + */ +#if defined(__XTENSA__) +#define _A_BARRIER asm volatile("memw") +#else +#define _A_BARRIER +#endif +#define A_ASSERT( __bool ) \ + do { \ + if (0 == (__bool)) { \ + (void)*((volatile int *)(IML_SIGNAL_ASSERT_ADDR+(__LINE__<<4)));\ + _A_BARRIER; \ + } \ + } while (0) +#endif + +#define A_IML_IS_ASSERT(vaddr) \ + (((vaddr) & IML_SIGNAL_MASK) == (IML_SIGNAL_ASSERT_ADDR & IML_SIGNAL_MASK)) + +/* + * The A_ASSERT macro encodes line number in the Intentionally Misaligned + * Address that it uses to signal a failure. This macro extracts that + * line number information. + * + * Note: ASSERTs up to line 8191 (13 bits) of a file are supported. + * Beyond that an assertion failure appears as a misaligned load. + */ +#define A_IML_ASSLINE(vaddr) (((vaddr) & ~IML_SIGNAL_MASK) >> IML_LINENUM_SHIFT) + +/* Prevent compiler code movement */ +#define A_REORDER_BARRIER() asm volatile ( "" : : : "memory" ) + +/* + * Some general system settings may depend on which wireless band is + * to be used. For example, on AR6K the system PLL setting is + * band-dependent. + * + * These constants are used with A_WLAN_BAND_SET. + */ +#define A_BAND_24GHZ 0 +#define A_BAND_5GHZ 1 +#define A_NUM_BANDS 2 + +#define OTUS + +#if defined(AR6K) +//#include +#elif defined(OTUS) +#include +#else +#error "Unsupported platform" +#endif + + +//#include "os/athos_api.h" + +#endif /* __OSAPI_H__ */ diff --git a/target_firmware/magpie_fw_dev/target/init/app_start.c b/target_firmware/magpie_fw_dev/target/init/app_start.c new file mode 100644 index 0000000..5a4b754 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/init/app_start.c @@ -0,0 +1,276 @@ +#include "dt_defs.h" +#include "athos_api.h" + +#include "regdump.h" +#include "usb_defs.h" + +#include "init.h" +// @TODO: Should define the memory region later~ +#define ALLOCRAM_START ( ((unsigned int)&_fw_image_end) + 4) +#define ALLOCRAM_SIZE ( SYS_RAM_SZIE - ( ALLOCRAM_START - SYS_D_RAM_REGION_0_BASE) - SYS_D_RAM_STACK_SIZE) + +// support for more than 64 bytes on command pipe +extern void vUsb_Reg_Out_patch(void); +extern int _HIFusb_get_max_msg_len_patch(hif_handle_t handle, int pipe); +extern void _HIFusb_isr_handler_patch(hif_handle_t h); +extern BOOLEAN bSet_configuration_patch(void); +extern void vUSBFIFO_EP6Cfg_FS_patch(void); +extern void vUsb_Status_In_patch(void); +extern void _fw_usbfifo_init(USB_FIFO_CONFIG *pConfig); +extern void zfTurnOffPower_patch(void); +extern void zfResetUSBFIFO_patch(void); +extern void _HIFusb_start_patch(hif_handle_t handle); +extern void hif_pci_patch_install(struct hif_api *apis); +extern BOOLEAN bGet_descriptor_patch(void); +extern BOOLEAN bStandardCommand_patch(void); + +// patch for clock +extern void cmnos_clock_init_patch(a_uint32_t refclk); +extern a_uint32_t cmnos_refclk_speed_get_patch(void); +extern void cmnos_delay_us_patch(int us); +extern void cmnos_tick_patch(void); +extern a_uint32_t cmnos_milliseconds_patch(void); + +extern BOOLEAN bJumptoFlash; +extern BOOLEAN bEepromExist; +void app_start() +{ + uint32_t rst_status; + A_HOSTIF hostif; +#if defined(PROJECT_MAGPIE) + T_EEP_RET retEEP; +#endif + + /* Zero BSS segment & dynamic memory section. */ + init_mem(); + +#if defined(PROJECT_MAGPIE) + fatal_exception_func(); +#endif + + if( IS_FLASHBOOT() ) { + athos_indirection_table_install(); + DBG_MODULE_INSTALL(); + A_CLOCK_INIT(SYSTEM_CLK); + A_UART_INIT(); + A_PRINTF_INIT(); + A_DBG_INIT(); + A_EEP_INIT(); + A_TASKLET_INIT(); + _indir_tbl.cmnos.timer._timer_init(); + +#if defined(PROJECT_K2) + /* + * WAR: these variable is not initialized when boot from flash + * either re-enumeration or config them to default value = 0 would fix the issue + */ + u8UsbInterfaceAlternateSetting = u8UsbConfigValue = u8UsbInterfaceValue = 0; +#endif + } +#ifdef ROM_VER_1_1 + else + A_EEP_INIT(); /*Required for 1_1*/ +#endif + +#if defined(PROJECT_MAGPIE) + retEEP = A_EEP_IS_EXIST(); + bJumptoFlash = FALSE; + if ( RET_SUCCESS == retEEP ) { + bEepromExist = TRUE; + } else { + bEepromExist = FALSE; + } +#endif + + hostif = A_IS_HOST_PRESENT(); + +#if defined(PROJECT_MAGPIE) + rst_status = *((volatile uint32_t*)WATCH_DOG_MAGIC_PATTERN_ADDR); +#elif defined(PROJECT_K2) + rst_status = HAL_WORD_REG_READ(MAGPIE_REG_RST_STATUS_ADDR); +#endif /* #if defined(PROJECT_MAGPIE) */ + + + A_PRINTF(" A_WDT_INIT()\n\r"); + +#if defined(PROJECT_K2) + save_cmnos_printf = (uint32_t) fw_cmnos_printf; +#endif + + if( hostif == HIF_USB ) { +#if defined(PROJECT_K2) +#if MOVE_PRINT_TO_RAM + save_cmnos_printf = (uint32_t) _indir_tbl.cmnos.printf._printf; + _indir_tbl.cmnos.printf._printf = fw_cmnos_printf; +#endif + _indir_tbl.cmnos.usb._usb_fw_task = _fw_usb_fw_task; + _indir_tbl.cmnos.usb._usb_reset_fifo = _fw_usb_reset_fifo; +#endif + } + + if( rst_status == WDT_MAGIC_PATTERN ) { + A_PRINTF(" ==>WDT reset<==\n"); +#if defined(PROJECT_MAGPIE) + reset_EP4_FIFO(); +#endif + *((volatile uint32_t*)WATCH_DOG_RESET_COUNTER_ADDR)+=1; + } else if (rst_status == SUS_MAGIC_PATTERN) { + A_PRINTF(" ==>warm start<==\n"); + } else + A_PRINTF(" ==>cold start<==\n"); + +#if defined(PROJECT_MAGPIE) + *((volatile uint32_t*)WATCH_DOG_MAGIC_PATTERN_ADDR)=WDT_MAGIC_PATTERN; +#elif defined(PROJECT_K2) + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_STATUS_ADDR, WDT_MAGIC_PATTERN); +#endif /* #if defined(PROJECT_MAGPIE) */ + + /* intr enable would left for firmware */ + /* athos_interrupt_init(); */ + + DBG_MODULE_INSTALL(); +#if defined(PROJECT_K2) + A_DBG_INIT(); +#endif + +#if defined(PROJECT_K2) +#if SYSTEM_MODULE_SFLASH + SFLASH_MODULE_INSTALL(); + A_SFLASH_INIT(); +#endif +#endif + + HIF_MODULE_INSTALL(); + HTC_MODULE_INSTALL(); + WMI_SERVICE_MODULE_INSTALL(); + BUF_POOL_MODULE_INSTALL(); + VBUF_MODULE_INSTALL(); + VDESC_MODULE_INSTALL(); + + //init each module, should be put together.. + A_PRINTF("ALLOCRAM start 0x%x size %d\n", ALLOCRAM_START, ALLOCRAM_SIZE); + A_ALLOCRAM_INIT(ALLOCRAM_START, ALLOCRAM_SIZE); + + if( hostif == HIF_USB ) { + _indir_tbl.hif._get_max_msg_len = _HIFusb_get_max_msg_len_patch; + _indir_tbl.cmnos.usb._usb_reg_out = vUsb_Reg_Out_patch; + _indir_tbl.hif._isr_handler = _HIFusb_isr_handler_patch; + _indir_tbl.cmnos.usb._usb_set_configuration = bSet_configuration_patch; + _indir_tbl.cmnos.usb._usb_status_in = vUsb_Status_In_patch; + _indir_tbl.cmnos.usb._usb_get_descriptor = bGet_descriptor_patch; + _indir_tbl.cmnos.usb._usb_standard_cmd = bStandardCommand_patch; + _indir_tbl.usbfifo_api._init = _fw_usbfifo_init; + +#if defined(PROJECT_MAGPIE) + _indir_tbl.cmnos.usb._usb_power_off = zfTurnOffPower_patch; + _indir_tbl.cmnos.usb._usb_reset_fifo = zfResetUSBFIFO_patch; + _indir_tbl.hif._start = _HIFusb_start_patch; + _indir_tbl.htc._HTC_MsgRecvHandler = HTCMsgRecvHandler_patch; + _indir_tbl.htc._HTC_ControlSvcProcessMsg = HTCControlSvcProcessMsg_patch; +#endif + + if (!(USB_BYTE_REG_READ(ZM_MAIN_CTRL_OFFSET)&BIT6)) { + vUSBFIFO_EP6Cfg_FS_patch(); + } + +#ifdef FUSION_USB_ENABLE_TX_STREAM + // For K2, enable tx stream mode + A_PRINTF("Enable Tx Stream mode\r\n"); + + // Patch for K2 USB STREAM mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT0))); // disable down stream DMA mode + + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, + ((USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT6))); + +#if SYSTEM_MODULE_HP_EP5 + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, + ((USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT8))); +#endif + +#if SYSTEM_MODULE_HP_EP6 + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, + ((USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|BIT9))); +#endif + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(BIT0))); // enable down stream DMA mode +#endif + +#ifdef FUSION_USB_ENABLE_RX_STREAM + // Patch for K2 USB STREAM mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT1))); // disable upstream DMA mode + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(~BIT3))); // enable upstream stream mode + + // K2, Set maximum IN transfer to 8K + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)&(0xcf))); + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, \ + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(0x20))); + + USB_WORD_REG_WRITE(ZM_SOC_USB_MODE_CTRL_OFFSET, + (USB_WORD_REG_READ(ZM_SOC_USB_MODE_CTRL_OFFSET)|(BIT1))); // enable upstream DMA mode + + USB_WORD_REG_WRITE(ZM_SOC_USB_TIME_CTRL_OFFSET, 0xa0); // set stream mode timeout critirea +#if defined(PROJECT_K2) + /*0x10004020 is vaild in k2 but could be invaild in other chip*/ + if ((HAL_WORD_REG_READ(0x10004020) & 0x2000) != 0) { + /* disable stream mode for AR9270 */ + USB_WORD_REG_WRITE(ZM_SOC_USB_MAX_AGGREGATE_OFFSET, 0); + } else { + /* enable stream mode for AR9271 */ + USB_WORD_REG_WRITE(ZM_SOC_USB_MAX_AGGREGATE_OFFSET, 9); + } +#else + USB_WORD_REG_WRITE(ZM_SOC_USB_MAX_AGGREGATE_OFFSET, 9); +#endif +#endif + } +#if defined(PROJECT_MAGPIE) && !defined(ROM_VER_1_1) + else if (hostif == HIF_PCI ) + hif_pci_patch_install(&_indir_tbl.hif); +#endif + + // patch the clock function + if(1) { + _indir_tbl.cmnos.clock._clock_init = cmnos_clock_init_patch; + _indir_tbl.cmnos.clock._refclk_speed_get = cmnos_refclk_speed_get_patch; + _indir_tbl.cmnos.clock._delay_us = cmnos_delay_us_patch; + _indir_tbl.cmnos.clock._clock_tick = cmnos_tick_patch; + _indir_tbl.cmnos.clock._milliseconds = cmnos_milliseconds_patch; + + //default clock, setup initial variable, SYSTEM_FREQ=40 + A_CLOCK_INIT(SYSTEM_FREQ); + } + + Magpie_init(); + +#if MAGPIE_ENABLE_WLAN == 1 + + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)&(~(BIT10|BIT8|BIT7|BIT6)))); +#if defined(PROJECT_MAGPIE) + HAL_WORD_REG_WRITE(MAGPIE_REG_AHB_ARB_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_AHB_ARB_ADDR)|BIT1)); +#endif + + wlan_pci_module_init(); + wlan_pci_probe(); +#endif + + + A_PRINTF("Tgt running\n\r"); + +#if defined(PROJECT_MAGPIE) + if(1) { + A_PRINTF("======= Apply MISC Assert patch\n\r"); + _assfail_ori = _indir_tbl.cmnos.misc._assfail; + _indir_tbl.cmnos.misc._assfail = exception_reset; + } + + change_magpie_clk(); +#endif + wlan_task(); //never return +} diff --git a/target_firmware/magpie_fw_dev/target/init/init.c b/target_firmware/magpie_fw_dev/target/init/init.c new file mode 100755 index 0000000..922cf6a --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/init/init.c @@ -0,0 +1,365 @@ +#if defined(_RAM_) + +#include "athos_api.h" + +#if defined(PROJECT_MAGPIE) +#include "regdump.h" +#include "usb_defs.h" +extern uint32_t *init_htc_handle; +uint8_t htc_complete_setup = 0; +void reset_EP4_FIFO(void); +#endif +#include "init.h" + +void Magpie_init(void); + + +#if defined(PROJECT_MAGPIE) +extern BOOLEAN bEepromExist; +extern BOOLEAN bJumptoFlash; +#endif + +static uint32_t loop_low, loop_high; + +// reference idle count at the beginning +uint32_t idle_cnt = 0; + +#if defined(PROJECT_K2) +// save the ROM printf function point +uint32_t save_cmnos_printf; +#endif + +#define ATH_DATE_STRING __DATE__" "__TIME__ + +static void idle_task(); + +#if defined(PROJECT_MAGPIE) +void fatal_exception_func() +{ + // patch for execption + (void)_xtos_set_exception_handler(EXCCAUSE_UNALIGNED, AR6002_fatal_exception_handler_patch); + (void)_xtos_set_exception_handler(EXCCAUSE_LOAD_STORE_ERROR, AR6002_fatal_exception_handler_patch); + (void)_xtos_set_exception_handler(EXCCAUSE_ILLEGAL, AR6002_fatal_exception_handler_patch); + (void)_xtos_set_exception_handler(EXCCAUSE_INSTR_ERROR, AR6002_fatal_exception_handler_patch); + (void)_xtos_set_exception_handler(EXCCAUSE_PRIVILEGED, AR6002_fatal_exception_handler_patch); + (void)_xtos_set_exception_handler(EXCCAUSE_INSTR_DATA_ERROR, AR6002_fatal_exception_handler_patch); + (void)_xtos_set_exception_handler(EXCCAUSE_LOAD_STORE_DATA_ERROR, AR6002_fatal_exception_handler_patch); + (void)_xtos_set_exception_handler(EXCCAUSE_DIVIDE_BY_ZERO, AR6002_fatal_exception_handler_patch); +} +#endif + +#if defined(PROJECT_MAGPIE) +void +change_magpie_clk(void) +{ + volatile uint32_t i=0, rd_data; + + HAL_WORD_REG_WRITE(0x00056004, 0x11); + rd_data = HAL_WORD_REG_READ(0x00056004) & 0x1; + + /* Wait for the update bit to get cleared */ + while (rd_data) + rd_data = HAL_WORD_REG_READ(0x00056004) & 0x1; + + /* Put the PLL into reset */ + rd_data = HAL_WORD_REG_READ(0x00050010) | (1<<1); + HAL_WORD_REG_WRITE(0x00050010,rd_data); + + /* + * XXX: statically set the CPU clock to 200Mhz + */ + /* Setting of the PLL */ + HAL_WORD_REG_WRITE(0x00056000, 0x325);//400 MHz + + /* Pull CPU PLL out of Reset */ + rd_data = HAL_WORD_REG_READ(0x00050010) & ~(1<<1); + HAL_WORD_REG_WRITE(0x00050010,rd_data); + + A_DELAY_USECS(60); // wait for stable + + /* CPU & AHB settings */ + /* + * AHB clk = ( CPU clk / 2 ) + */ + HAL_WORD_REG_WRITE(0x00056004, ((0x00001 | (1 << 16)|(1 << 8)))); // set plldiv to 2 + rd_data = HAL_WORD_REG_READ(0x00056004) & 0x1; + + while (rd_data) + rd_data = HAL_WORD_REG_READ(0x00056004) & 0x1; + + /* UART Setting */ + A_UART_HWINIT((100*1000*1000), 115200); + +} + +void exception_reset(struct register_dump_s *dump) +{ + A_PRINTF("exception_reset \n"); + + /* phase I dump info */ + A_PRINTF("exception reset-phase 1\n"); + if(_assfail_ori) + _assfail_ori(dump); + + /* phase II reset */ + A_PRINTF("exception reset-phase 2\n"); + *((volatile uint32_t*)WATCH_DOG_MAGIC_PATTERN_ADDR) = WDT_MAGIC_PATTERN; + + HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, + HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)|(BIT10|BIT8|BIT7|BIT6)); + + HAL_WORD_REG_WRITE(MAGPIE_REG_AHB_ARB_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_AHB_ARB_ADDR)|BIT1)); + + HAL_WORD_REG_WRITE((USB_CTRL_BASE_ADDRESS+0x118), 0x0); + HAL_WORD_REG_WRITE(0x50010, HAL_WORD_REG_READ(0x50010)|BIT4); + A_DELAY_USECS(5); + HAL_WORD_REG_WRITE(0x50010, HAL_WORD_REG_READ(0x50010)&~BIT4); + A_DELAY_USECS(5); + HAL_WORD_REG_WRITE((USB_CTRL_BASE_ADDRESS+0x118), 0x1); + + // set clock to bypass mode - 40Mhz from XTAL + HAL_WORD_REG_WRITE(MAGPIE_REG_CPU_PLL_BYPASS_ADDR, (BIT0|BIT4)); + A_DELAY_USECS(100); // wait for stable + HAL_WORD_REG_WRITE(MAGPIE_REG_CPU_PLL_ADDR, (BIT16)); + + A_UART_HWINIT((40*1000*1000), 115200); + + A_PRINTF("do TX/RX swap\n"); + + MAGPIE_REG_USB_RX0_SWAP_DATA = 0x1; + MAGPIE_REG_USB_TX0_SWAP_DATA = 0x1; + MAGPIE_REG_USB_RX1_SWAP_DATA = 0x1; + MAGPIE_REG_USB_RX2_SWAP_DATA = 0x1; + + A_PRINTF("Jump to BOOT\n"); + + // reboot..... + A_USB_JUMP_BOOT(); +} + +void reset_EP4_FIFO(void) +{ + int i; + + // reset EP4 FIFO + USB_BYTE_REG_WRITE(ZM_EP4_BYTE_COUNT_HIGH_OFFSET, (USB_BYTE_REG_READ(ZM_EP4_BYTE_COUNT_HIGH_OFFSET) | BIT4)); + for(i = 0; i < 100; i++) {} + USB_BYTE_REG_WRITE(ZM_EP4_BYTE_COUNT_HIGH_OFFSET, (USB_BYTE_REG_READ(ZM_EP4_BYTE_COUNT_HIGH_OFFSET) & ~BIT4)); +} + +LOCAL void zfGenExceptionEvent(uint32_t exccause, uint32_t pc, uint32_t badvaddr) +{ + uint32_t pattern = 0x33221199; + + A_PRINTF("Tgt Drv send an event 44332211 to Host Drv\n"); + mUSB_STATUS_IN_INT_DISABLE(); + + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x0f); + + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, pattern); + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, exccause); + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, pc); + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, badvaddr); + + mUSB_EP3_XFER_DONE(); +} + +LOCAL void zfGenWrongEpidEvent(uint32_t epid) +{ + uint32_t pattern = 0x33221299; + + A_PRINTF("Tgt Drv send an event 44332212 to Host Drv\n"); + mUSB_STATUS_IN_INT_DISABLE(); + + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x0f); + + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, pattern); + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, epid); + + mUSB_EP3_XFER_DONE(); +} + +void +AR6002_fatal_exception_handler_patch(CPU_exception_frame_t *exc_frame) +{ + struct register_dump_s dump; + void (*reset_func)(void) = (void*)(RESET_VECTOR_ADDRESS); + uint32_t exc_cause, exc_vaddr; + asm volatile("rsr %0,%1" : "=r" (exc_cause) : "n" (EXCCAUSE)); + asm volatile("rsr %0,%1" : "=r" (exc_vaddr) : "n" (EXCVADDR)); + + dump.exc_frame = *exc_frame; /* structure copy */ + dump.badvaddr = exc_vaddr; + dump.exc_frame.xt_exccause = exc_cause; + dump.pc = exc_frame->xt_pc; + dump.assline = 0; + + zfGenExceptionEvent(dump.exc_frame.xt_exccause, dump.pc, dump.badvaddr); + +#if SYSTEM_MODULE_PRINT + A_PRINTF("\nFatal exception (%d): \tpc=0x%x \n\r\tbadvaddr=0x%x \n\r\tdump area=0x%x\n", + dump.exc_frame.xt_exccause, dump.pc, dump.badvaddr, &dump); + PRINT_FAILURE_STATE(); +#else + A_PUTS("Fatal exception\n\r"); +#endif + A_ASSFAIL(&dump); + +#if defined(_ROM_) + A_WDT_ENABLE(); +#endif + + while(1) ; +} + +void +HTCControlSvcProcessMsg_patch(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t hdr_buf, + adf_nbuf_t pBuffers, void *arg) +{ + a_uint8_t *anbdata; + a_uint32_t anblen; + HTC_UNKNOWN_MSG *pMsg; + + /* we assume buffers are aligned such that we can access the message + * parameters directly*/ + adf_nbuf_peek_header(pBuffers, &anbdata, &anblen); + pMsg = (HTC_UNKNOWN_MSG *)anbdata; + + if (pMsg->MessageID == HTC_MSG_SETUP_COMPLETE_ID) { + htc_complete_setup = 1; + } + + HTCControlSvcProcessMsg(EndpointID, hdr_buf, pBuffers, arg); +} + +/* Patch callback for check the endpoint ID is correct or not */ +void +HTCMsgRecvHandler_patch(adf_nbuf_t hdr_buf, adf_nbuf_t buffer, void *context) +{ + int eid; + a_uint8_t *anbdata; + a_uint32_t anblen; + adf_nbuf_t tmp_nbuf; + HTC_FRAME_HDR *pHTCHdr; + + if (hdr_buf == ADF_NBUF_NULL) { + /* HTC hdr is not in the hdr_buf */ + tmp_nbuf = buffer; + } else { + tmp_nbuf = hdr_buf; + } + + adf_nbuf_peek_header(tmp_nbuf, &anbdata, &anblen); + pHTCHdr = (HTC_FRAME_HDR *)anbdata; + + eid = pHTCHdr->EndpointID; + + if ((eid != 0) && (htc_complete_setup == 0)) { + A_PRINTF("\nHTC Hdr EndpointID = %d, anblen = %d\n", pHTCHdr->EndpointID, anblen); + A_PRINTF("HTC Hder : %2x-%2x-%2x-%2x-%2x-%2x-%2x-%2x-%2x-%2x-%2x-%2x\n", + *anbdata, *(anbdata+1), *(anbdata+2), *(anbdata+3), + *(anbdata+4), *(anbdata+5), *(anbdata+6), *(anbdata+7), + *(anbdata+8), *(anbdata+9), *(anbdata+10), *(anbdata+11)); + A_PRINTF("init_htc_handle = 0x%8x\n", init_htc_handle); + + if (pHTCHdr->EndpointID == 1) { + A_PRINTF("Return WMI Command buffer\n"); + HTC_ReturnBuffers(init_htc_handle, 1, tmp_nbuf); + } else if ((pHTCHdr->EndpointID == 5) || (pHTCHdr->EndpointID == 6)) { + A_PRINTF("Return Data buffer\n"); + HTC_ReturnBuffers(init_htc_handle, 6, tmp_nbuf); + } else { + } + } else { + if ((pHTCHdr->EndpointID < 0) || (pHTCHdr->EndpointID >= ENDPOINT_MAX)) { + A_PRINTF("HTC Hdr EndpointID = %d, anblen = %d\n", pHTCHdr->EndpointID, anblen); + A_PRINTF("HTC Hder : %2x-%2x-%2x-%2x-%2x-%2x-%2x-%2x\n", + *anbdata, *(anbdata+1), *(anbdata+2), *(anbdata+3), + *(anbdata+4), *(anbdata+5), *(anbdata+6), *(anbdata+7)); + + if (anblen > 64) { + A_PRINTF("EP1-Tx-Data with Wrong Htc Header Endpoint ID, WAR free this buffer\n"); + HTC_ReturnBuffers(init_htc_handle, 6, tmp_nbuf); + A_PRINTF("EP1-Tx-Data > Free this buffer successfully\n"); + } else { + A_PRINTF("EP4-WMI-Cmd with Wrong Htc Header Endpoint ID, WAR free this buffer\n"); + zfGenWrongEpidEvent((a_uint32_t)pHTCHdr->EndpointID); + HTC_ReturnBuffers(init_htc_handle, 1, tmp_nbuf); + A_PRINTF("EP4-WMI-Cmd > Free this buffer successfully\n"); + } + } else + HTCMsgRecvHandler( hdr_buf, buffer, context); + } +} +#endif + +void init_mem() +{ + int i = 0; + uint32_t *temp = (uint32_t *)ALLOCRAM_START; + + /* clear bss segment */ + for(temp = (uint32_t *)&START_BSS; temp < (uint32_t *)&END_BSS; temp++) + *temp = 0; + + /* clear heap segment */ + for(i = 0; i < ((ALLOCRAM_SIZE - 4)/4); i++) + temp[i] = 0; +} + +static void idle_task() +{ + if (loop_low == 0xffffffff) { + loop_low = 0; + loop_high++; + } else { + loop_low++; + } + return; +} + +void wlan_task(void) +{ + loop_low=loop_high=0; + + while(1) { +#if defined(PROJECT_MAGPIE) + if (bJumptoFlash){ + bJumptoFlash = FALSE; + break; + } +#endif + + /* update wdt timer */ + A_WDT_TASK(); + + /* UPDATE cticks - to be moved to idle_tsk, put here will be easier to read */ + A_CLOCK_TICK(); + + HIF_isr_handler(NULL); + +#if MAGPIE_ENABLE_WLAN == 1 + wlan_pci_isr(); +#endif + + A_TASKLET_RUN(); + A_TIMER_RUN(); + + /* Low priority tasks */ + if ((loop_low & 0xf) == 0) { + } + + /* Very low priority tasks */ + if ((loop_low & 0xfff) == 0x7) { + if ((loop_low & 0x1000) == 0) { + A_DBG_TASK(); + } else { + } + } + + idle_task(); + } +} + +#endif /* #if defined(_RAM_) */ diff --git a/target_firmware/magpie_fw_dev/target/init/init.h b/target_firmware/magpie_fw_dev/target/init/init.h new file mode 100644 index 0000000..1900ced --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/init/init.h @@ -0,0 +1,37 @@ +// @TODO: Should define the memory region later~ +#define ALLOCRAM_START ( ((unsigned int)&_fw_image_end) + 4) +#define ALLOCRAM_SIZE ( SYS_RAM_SZIE - ( ALLOCRAM_START - SYS_D_RAM_REGION_0_BASE) - SYS_D_RAM_STACK_SIZE) + +#include "regdump.h" + +#define SBOOT_PATTERN 0x5342 +#define IS_FLASHBOOT() (((DEBUG_SYSTEM_STATE&~(0x0000ffff))>>16==SBOOT_PATTERN)) + +// patch for exception handle +void AR6002_fatal_exception_handler_patch(CPU_exception_frame_t *exc_frame); +void exception_reset(struct register_dump_s *dump); + +void (* _assfail_ori)(struct register_dump_s *); +void HTCMsgRecvHandler_patch(adf_nbuf_t hdr_buf, adf_nbuf_t buffer, void *context); +void HTCControlSvcProcessMsg_patch(HTC_ENDPOINT_ID EndpointID, adf_nbuf_t hdr_buf, + adf_nbuf_t pBuffers, void *arg); + +#if defined(PROJECT_K2) + +#if MOVE_PRINT_TO_RAM +extern int fw_cmnos_printf(const char *fmt, ...); + +extern uint16_t u8UsbConfigValue; +extern uint16_t u8UsbInterfaceValue; +extern uint16_t u8UsbInterfaceAlternateSetting; +#endif + +extern void _fw_usb_fw_task(void); +extern void _fw_usb_reset_fifo(void); + +#endif + + +void fatal_exception_func(); +void init_mem(); +void wlan_task(); diff --git a/target_firmware/magpie_fw_dev/target/init/magpie.c b/target_firmware/magpie_fw_dev/target/init/magpie.c new file mode 100755 index 0000000..4039eae --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/init/magpie.c @@ -0,0 +1,143 @@ +#include "sys_cfg.h" + +#if defined(_RAM_) + +#include "dt_defs.h" +#include "athos_api.h" + +#include "adf_os_mem.h" + +#define MAGPIE 1 + +#if MAGPIE==1 + +void htc_setup_comp(void) +{ +} + +/* target WMI command related globals */ +static void dispatch_magpie_sys_cmds(void *pContext, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *buffer, int Length); + +static WMI_DISPATCH_ENTRY Magpie_Sys_DispatchEntries[] = +{ + {dispatch_magpie_sys_cmds, WMI_ECHO_CMDID, 0}, + {dispatch_magpie_sys_cmds, WMI_ACCESS_MEMORY_CMDID, 0} +}; + +static WMI_DECLARE_DISPATCH_TABLE(Magpie_Sys_Commands_Tbl, Magpie_Sys_DispatchEntries); + +htc_handle_t htc_handle; + +extern void HTC_Loopback_Init(htc_handle_t handle); +extern void _wmi_cmd_rsp(void *pContext, WMI_COMMAND_ID cmd_id, + A_UINT16 SeqNo, A_UINT8 *buffer, int Length); + +static void handle_echo_command(void *pContext, A_UINT16 SeqNo, + A_UINT8 *buffer, int Length) +{ + _wmi_cmd_rsp(pContext, WMI_ECHO_CMDID, SeqNo, buffer, Length); +} + +static void dispatch_magpie_sys_cmds(void *pContext, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *buffer, int Length) +{ + switch(Command) + { + case WMI_ECHO_CMDID: + handle_echo_command(pContext, SeqNo, buffer, Length); + break; + + case WMI_ACCESS_MEMORY_CMDID: + break; + } +} + +void _wmi_cmd_rsp(void *pContext, WMI_COMMAND_ID cmd_id, A_UINT16 SeqNo, + A_UINT8 *buffer, int Length) +{ + adf_nbuf_t netbuf = ADF_NBUF_NULL; + A_UINT8 *pData; + + netbuf = WMI_AllocEvent(pContext, WMI_EVT_CLASS_CMD_REPLY, sizeof(WMI_CMD_HDR) + Length); + + if (netbuf == ADF_NBUF_NULL) { + adf_os_print("%s: buffer allocation for event_id %x failed!\n", __FUNCTION__, cmd_id); + adf_os_assert(0); + return; + } + + if (Length != 0 && buffer != NULL) { + pData = (A_UINT8 *)adf_nbuf_put_tail(netbuf, Length); + adf_os_mem_copy(pData, buffer, Length); + } + + WMI_SendEvent(pContext, netbuf, cmd_id, SeqNo, Length); +} + + +void Magpie_init(void) +{ + A_PRINTF("[+++Magpie_init]\n\r"); + + A_PRINTF("[+++VBUF_init(%d)]\n\r", MAX_BUF_NUM); + VBUF_init(MAX_BUF_NUM); + + A_PRINTF("[+++VBUF_init(%d)]\n\r", MAX_DESC_NUM); + VDESC_init(MAX_DESC_NUM); + +#if MAGPIE_ENABLE_WLAN == 0 + aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa + hif_handle = HIF_init(0); + +#if ZM_FM_LOOPBACK == 1 + HIF_config_pipe(hif_handle, HIF_USB_PIPE_TX, 5); + HIF_config_pipe(hif_handle, HIF_USB_PIPE_COMMAND, 2); + +#if SYSTEM_MODULE_HP_EP5 + HIF_config_pipe(hif_handle, HIF_USB_PIPE_HP_TX, 3); +#endif +#if SYSTEM_MODULE_HP_EP6 + HIF_config_pipe(hif_handle, HIF_USB_PIPE_MP_TX, 3); +#endif + + A_PRINTF("[+++HIF_init(0)]\n\r"); + + HIF_start(hif_handle); + +#else /* ZM_FM_LOOPBACK == 0 */ + // initialize HTC + htcConf.CreditSize = 320; + htcConf.CreditNumber = 10; +#if 1 + htcConf.ControlDownLinkPipeID = HIF_USB_PIPE_INTERRUPT; // Target -> Host + htcConf.ControlUpLinkPipeID = HIF_USB_PIPE_COMMAND; // Host -> Target +#else + htcConf.ControlDownLinkPipeID = HIF_USB_PIPE_RX; + htcConf.ControlUpLinkPipeID = HIF_USB_PIPE_TX; +#endif + htcConf.HIFHandle = hif_handle; + htcConf.OSHandle = 0; // not used + htcConf.PoolHandle = pool_handle; + + htc_handle = HTC_init(htc_setup_comp, &htcConf); + // Initialize HTC services + HTC_Loopback_Init(htc_handle); + + adf_os_mem_zero(&wmiConfig, sizeof(WMI_SVC_CONFIG)); + wmiConfig.HtcHandle = htc_handle; + wmiConfig.PoolHandle = pool_handle; + wmiConfig.MaxCmdReplyEvts = 1; + wmiConfig.MaxEventEvts = 1; + + wmi_handle = WMI_Init(&wmiConfig); + Magpie_Sys_Commands_Tbl.pContext = wmi_handle; + WMI_RegisterDispatchTable(Magpie_Sys_Commands_Tbl.pContext, &Magpie_Sys_Commands_Tbl); + +#endif/* ZM_FM_LOOPBACK == 0 */ +#endif /* MAGPIE_ENABLE_WLAN */ +} + +#endif /* #if MAGPIE==1 */ + +#endif /* #if defined(_RAM_) */ diff --git a/target_firmware/magpie_fw_dev/target/rompatch/HIF_usb_patch.c b/target_firmware/magpie_fw_dev/target/rompatch/HIF_usb_patch.c new file mode 100755 index 0000000..d3a59f9 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/rompatch/HIF_usb_patch.c @@ -0,0 +1,70 @@ +#include "sys_cfg.h" +#include "dt_defs.h" +#include "reg_defs.h" + +#include +#include +#include +#include +#include +#include + +#include "hif_usb.h" + +/* + * -- support more than 64 bytes command on ep4 -- + */ +int _HIFusb_get_max_msg_len_patch(hif_handle_t handle, int pipe) +{ + switch(pipe) { + case HIF_USB_PIPE_INTERRUPT: + case HIF_USB_PIPE_COMMAND: + return 512; + + default: + return 1600; + } +} + +/* + * -- move the usb_task to here -- + */ +void _HIFusb_isr_handler_patch(hif_handle_t h) +{ + A_USB_FW_TASK(); + + _HIFusb_isr_handler(); +} + + +/* + * -- reset usb dma -- + * + * - make sure DMA_START bit0 is zero + * - update DMA_START bit4 to 1 + * - update DESC_START_ADDR + * - update DMA_START bit 0 + */ +void _HIFusb_start_patch(hif_handle_t handle) +{ + MAGPIE_REG_USB_TX0_DMA_START = 0x0; + MAGPIE_REG_USB_RX0_DMA_START = 0x0; + MAGPIE_REG_USB_RX1_DMA_START = 0x0; + MAGPIE_REG_USB_RX2_DMA_START = 0x0; + + while( 1 ) + { + if(!MAGPIE_REG_USB_TX0_DMA_START && + !MAGPIE_REG_USB_RX0_DMA_START && + !MAGPIE_REG_USB_RX1_DMA_START && + !MAGPIE_REG_USB_RX2_DMA_START ) + { + MAGPIE_REG_USB_TX0_DMA_START = MAGPIE_REG_USB_TX0_DMA_START|BIT4; + MAGPIE_REG_USB_RX0_DMA_START = MAGPIE_REG_USB_RX0_DMA_START|BIT4; + MAGPIE_REG_USB_RX1_DMA_START = MAGPIE_REG_USB_RX1_DMA_START|BIT4; + MAGPIE_REG_USB_RX2_DMA_START = MAGPIE_REG_USB_RX2_DMA_START|BIT4; + break; + } + } + _HIFusb_start(); +} diff --git a/target_firmware/magpie_fw_dev/target/rompatch/cmnos_clock_patch.c b/target_firmware/magpie_fw_dev/target/rompatch/cmnos_clock_patch.c new file mode 100755 index 0000000..4ecb9dc --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/rompatch/cmnos_clock_patch.c @@ -0,0 +1,55 @@ +#include "athos_api.h" +#include "sys_cfg.h" + +a_uint32_t ref_clk = 0; +extern a_uint32_t cticks; + +// clock change +// +void cmnos_clock_init_patch(a_uint32_t refclk) +{ + ref_clk = refclk; +} + +// retrieve current clock setting +a_uint32_t cmnos_refclk_speed_get_patch(void) +{ + return ref_clk; +} + + +// software emulate delay function +void cmnos_delay_us_patch(int us) +{ + a_uint32_t start_time = NOW(); + unsigned int num_ticks = us*ref_clk; // system_freq == number of ticks per 1us + + while ( (NOW() - start_time) < num_ticks) { + /* busy spin */ + ; + } +} + + +// software emulate microsecond ticks +void cmnos_tick_patch(void) +{ + static a_uint32_t last_tick = 0; + a_uint32_t current_tick = NOW(); + a_uint32_t delta_tick; + + delta_tick = (A_UINT32 ) (current_tick - last_tick)/(ref_clk<<10); + + if( delta_tick > 0 ) + last_tick = current_tick; + + cticks += delta_tick; +} + +// get current sysmem up time in milliseconds based +a_uint32_t cmnos_milliseconds_patch(void) +{ + cmnos_tick_patch(); + + return (cticks); +} diff --git a/target_firmware/magpie_fw_dev/target/rompatch/usb_api_patch.c b/target_firmware/magpie_fw_dev/target/rompatch/usb_api_patch.c new file mode 100755 index 0000000..c0747e4 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/rompatch/usb_api_patch.c @@ -0,0 +1,844 @@ +#include "usb_defs.h" +#include "usb_type.h" +#include "usb_pre.h" +#include "usb_extr.h" +#include "usb_std.h" +#include "reg_defs.h" +#include "athos_api.h" +#include "usbfifo_api.h" + +#include "sys_cfg.h" + +#define measure_time 0 +#define measure_time_pll 10000000 + +typedef void (* USBFIFO_recv_command)(VBUF *cmd); + +extern Action eUsbCxFinishAction; +extern CommandType eUsbCxCommand; +extern BOOLEAN UsbChirpFinish; +extern USB_FIFO_CONFIG usbFifoConf; +extern uint16_t *pu8DescriptorEX; +extern uint16_t u16TxRxCounter; + +USBFIFO_recv_command m_origUsbfifoRecvCmd = NULL; + +void zfTurnOffPower_patch(void); + +static void _fw_reset_dma_fifo(); +static void _fw_restore_dma_fifo(); +static void _fw_power_on(); +static void _fw_power_off(); + +BOOLEAN bEepromExist = TRUE; +BOOLEAN bJumptoFlash = FALSE; + +void _fw_usbfifo_recv_command(VBUF *buf) +{ + A_UINT8 *cmd_data; + A_UINT32 tmp; + + cmd_data = (A_UINT8 *)(buf->desc_list->buf_addr + buf->desc_list->data_offset); + tmp = *((A_UINT32 *)cmd_data); + if ( tmp == 0xFFFFFFFF ) { + // reset usb/wlan dma + _fw_reset_dma_fifo(); + + // restore gpio setting and usb/wlan dma state + _fw_restore_dma_fifo(); + + // set clock to bypass mode - 40Mhz from XTAL + HAL_WORD_REG_WRITE(MAGPIE_REG_CPU_PLL_BYPASS_ADDR, (BIT0|BIT4)); + + A_DELAY_USECS(100); // wait for stable + + HAL_WORD_REG_WRITE(MAGPIE_REG_CPU_PLL_ADDR, (BIT16)); + + A_DELAY_USECS(100); // wait for stable + A_UART_HWINIT((40*1000*1000), 19200); + + A_CLOCK_INIT(40); + + if (!bEepromExist) { //jump to flash boot (eeprom data in flash) + bJumptoFlash = TRUE; + A_PRINTF("Jump to Flash BOOT\n"); + app_start(); + }else{ + A_PRINTF("receive the suspend command...\n"); + // reboot..... + A_USB_JUMP_BOOT(); + } + + } else { + m_origUsbfifoRecvCmd(buf); + } +} + +void _fw_usbfifo_init(USB_FIFO_CONFIG *pConfig) +{ + m_origUsbfifoRecvCmd = pConfig->recv_command; + + usbFifoConf.get_command_buf = pConfig->get_command_buf; + usbFifoConf.recv_command = _fw_usbfifo_recv_command; + usbFifoConf.get_event_buf = pConfig->get_event_buf; + usbFifoConf.send_event_done = pConfig->send_event_done; +} + +/* + * -- support more than 64 bytes command on ep4 -- + */ +void vUsb_Reg_Out_patch(void) +{ + uint16_t usbfifolen; + uint16_t ii; + uint32_t ep4_data; + static volatile uint32_t *regaddr; + static uint16_t cmdLen; + static VBUF *buf; + BOOLEAN cmd_is_last = FALSE; + static BOOLEAN cmd_is_new = TRUE; + + // get the size of this transcation + usbfifolen = USB_BYTE_REG_READ(ZM_EP4_BYTE_COUNT_LOW_OFFSET); + + // check is command is new + if( cmd_is_new ){ + + buf = usbFifoConf.get_command_buf(); + cmdLen = 0; + + if( !buf ) + goto ERR; + + // copy free, assignment buffer of the address + regaddr = (uint32_t *)buf->desc_list->buf_addr; + + cmd_is_new = FALSE; + } + + // just in case, suppose should not happen + if( !buf ) + goto ERR; + + // if size is smaller, this is the last command! + // zero-length supposed should be set through 0x27/bit7->0x19/bit4, not here + if( usbfifolen<64 ) { + cmd_is_last = TRUE; + } + + // accumulate the size + cmdLen += usbfifolen; + + // round it to alignment + if(usbfifolen % 4) + usbfifolen = (usbfifolen >> 2) + 1; + else + usbfifolen = usbfifolen >> 2; + + // retrieve the data from fifo + for(ii = 0; ii < usbfifolen; ii++) + { + ep4_data = USB_WORD_REG_READ(ZM_EP4_DATA_OFFSET); // read fifo data out + *regaddr = ep4_data; + regaddr++; + } + + // if this is the last command, callback to HTC + if ( cmd_is_last ) + { + buf->desc_list->next_desc = NULL; + buf->desc_list->data_offset = 0; + buf->desc_list->data_size = cmdLen; + buf->desc_list->control = 0; + buf->next_buf = NULL; + buf->buf_length = cmdLen; + + usbFifoConf.recv_command(buf); + + cmd_is_new = TRUE; + } + + goto DONE; +ERR: + // we might get no command buffer here? + // but if we return here, the ep4 fifo will be lock out, + // so that we still read them out but just drop it ? + for(ii = 0; ii < usbfifolen; ii++) + { + ep4_data = USB_WORD_REG_READ(ZM_EP4_DATA_OFFSET); // read fifo data out + } + +DONE: + //mUSB_STATUS_IN_INT_ENABLE(); + ; +} + + +/* + * -- usb1.1 ep6 fix -- + */ +extern uint16_t u8UsbConfigValue; +extern uint16_t u8UsbInterfaceValue; +extern uint16_t u8UsbInterfaceAlternateSetting; +extern SetupPacket ControlCmd; +extern void vUsbClrEPx(void); + +#undef FS_C1_I0_A0_EP_NUMBER +#define FS_C1_I0_A0_EP_NUMBER 6 + +#define FS_C1_I0_A0_EP6_BLKSIZE BLK512BYTE +#define FS_C1_I0_A0_EP6_BLKNO DOUBLE_BLK +#define FS_C1_I0_A0_EP6_DIRECTION DIRECTION_OUT +#define FS_C1_I0_A0_EP6_TYPE TF_TYPE_BULK +#define FS_C1_I0_A0_EP6_MAX_PACKET 0x0040 +#define FS_C1_I0_A0_EP6_bInterval 00 + +// EP6 +#define FS_C1_I0_A0_EP6_FIFO_START (FS_C1_I0_A0_EP5_FIFO_START + FS_C1_I0_A0_EP5_FIFO_NO) +#define FS_C1_I0_A0_EP6_FIFO_NO (FS_C1_I0_A0_EP6_BLKNO * FS_C1_I0_A0_EP6_BLKSIZE) +#define FS_C1_I0_A0_EP6_FIFO_CONFIG (0x80 | ((FS_C1_I0_A0_EP6_BLKSIZE - 1) << 4) | ((FS_C1_I0_A0_EP6_BLKNO - 1) << 2) | FS_C1_I0_A0_EP6_TYPE) +#define FS_C1_I0_A0_EP6_FIFO_MAP (((1 - FS_C1_I0_A0_EP6_DIRECTION) << 4) | EP6) +#define FS_C1_I0_A0_EP6_MAP (FS_C1_I0_A0_EP6_FIFO_START | (FS_C1_I0_A0_EP6_FIFO_START << 4) | (MASK_F0 >> (4*FS_C1_I0_A0_EP6_DIRECTION))) + + +#define CMD_PCI_RC_RESET_ON() HAL_WORD_REG_WRITE(MAGPIE_REG_RST_RESET_ADDR, \ + (HAL_WORD_REG_READ(MAGPIE_REG_RST_RESET_ADDR)| \ + (PCI_RC_PHY_SHIFT_RESET_BIT|PCI_RC_PLL_RESET_BIT|PCI_RC_PHY_RESET_BIT|PCI_RC_RESET_BIT))) + +void vUSBFIFO_EP6Cfg_FS_patch(void) +{ +#if (FS_C1_I0_A0_EP_NUMBER >= 6) + int i; + + //EP0X06 + mUsbEPMap(EP6, FS_C1_I0_A0_EP6_MAP); + mUsbFIFOMap(FS_C1_I0_A0_EP6_FIFO_START, FS_C1_I0_A0_EP6_FIFO_MAP); + mUsbFIFOConfig(FS_C1_I0_A0_EP6_FIFO_START, FS_C1_I0_A0_EP6_FIFO_CONFIG); + + for(i = FS_C1_I0_A0_EP6_FIFO_START + 1 ; + i < FS_C1_I0_A0_EP6_FIFO_START + FS_C1_I0_A0_EP6_FIFO_NO ; i ++) + { + mUsbFIFOConfig(i, (FS_C1_I0_A0_EP6_FIFO_CONFIG & (~BIT7)) ); + } + + mUsbEPMxPtSzHigh(EP6, FS_C1_I0_A0_EP6_DIRECTION, (FS_C1_I0_A0_EP6_MAX_PACKET & 0x7ff)); + mUsbEPMxPtSzLow(EP6, FS_C1_I0_A0_EP6_DIRECTION, (FS_C1_I0_A0_EP6_MAX_PACKET & 0x7ff)); + mUsbEPinHighBandSet(EP6 , FS_C1_I0_A0_EP6_DIRECTION, FS_C1_I0_A0_EP6_MAX_PACKET); +#endif +} + +void vUsbFIFO_EPxCfg_FS_patch(void) +{ + switch (u8UsbConfigValue) + { +#if (FS_CONFIGURATION_NUMBER >= 1) + // Configuration 0X01 + case 0X01: + switch (u8UsbInterfaceValue) + { +#if (FS_C1_INTERFACE_NUMBER >= 1) + // Interface 0 + case 0: + switch (u8UsbInterfaceAlternateSetting) + { + +#if (FS_C1_I0_ALT_NUMBER >= 1) + // AlternateSetting 0 + case 0: + + // snapped.... + + // patch up this ep6_fs config + vUSBFIFO_EP6Cfg_FS_patch(); + + break; + +#endif + default: + break; + } + break; +#endif + default: + break; + } + break; +#endif + default: + break; + } + //mCHECK_STACK(); +} + + +BOOLEAN bSet_configuration_patch(void) +{ + bSet_configuration(); + + if (mLOW_BYTE(mDEV_REQ_VALUE()) == 0) + { + // snapped.... + ; + } + else + { + if (mUsbHighSpeedST()) // First judge HS or FS?? + { + // snapped.... + ; + } + else + { + // snapped.... + vUsbFIFO_EPxCfg_FS_patch(); + } + + // snapped.... + } + + eUsbCxFinishAction = ACT_DONE; + return TRUE; +} + + +/* + * -- support more than 64 bytes command on ep3 -- + */ +void vUsb_Status_In_patch(void) +{ + uint16_t count; + uint16_t remainder; + u16_t RegBufLen; + BOOLEAN cmdEnd = FALSE; + + static u16_t mBufLen; + static VBUF *evntbuf = NULL; + static volatile u32_t *regaddr; + static BOOLEAN cmd_is_new = TRUE; + + if( cmd_is_new ) + { + evntbuf = usbFifoConf.get_event_buf(); + if ( evntbuf != NULL ) + { + regaddr = (u32_t *)VBUF_GET_DATA_ADDR(evntbuf); + mBufLen = evntbuf->buf_length; + } + else + { + mUSB_STATUS_IN_INT_DISABLE(); + goto ERR_DONE; + } + + cmd_is_new = FALSE; + } + + if( mBufLen > bUSB_EP_MAX_PKT_SIZE_64 ) { + RegBufLen = bUSB_EP_MAX_PKT_SIZE_64; + mBufLen -= bUSB_EP_MAX_PKT_SIZE_64; + } + // TODO: 64 byes... controller supposed will take care of zero-length? + else { + RegBufLen = mBufLen; + cmdEnd = TRUE; + } + + /* INT use EP3 */ + for(count = 0; count < (RegBufLen / 4); count++) + { + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, *regaddr); + regaddr++; + } + + remainder = RegBufLen % 4; + + if (remainder) + { + switch(remainder) + { + case 3: + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x7); + break; + case 2: + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x3); + break; + case 1: + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0x1); + break; + } + + USB_WORD_REG_WRITE(ZM_EP3_DATA_OFFSET, *regaddr); + + // Restore CBus FIFO size to word size + USB_WORD_REG_WRITE(ZM_CBUS_FIFO_SIZE_OFFSET, 0xF); + } + + mUSB_EP3_XFER_DONE(); + + if ( evntbuf != NULL && cmdEnd ) + { + usbFifoConf.send_event_done(evntbuf); + cmd_is_new = TRUE; + } + +ERR_DONE: + ; +} + + +#define PCI_RC_RESET_BIT BIT6 +#define PCI_RC_PHY_RESET_BIT BIT7 +#define PCI_RC_PLL_RESET_BIT BIT8 +#define PCI_RC_PHY_SHIFT_RESET_BIT BIT10 + + +/* + * -- urn_off_merlin -- + * . values suggested from Lalit + * + */ +static void turn_off_merlin() +{ + volatile uint32_t default_data[9]; + volatile uint32_t read_data = 0; + uint32_t i=0; + + if(1) + { + A_PRINTF("turn_off_merlin_ep_start ......\n"); + A_DELAY_USECS(measure_time); + default_data[0] = 0x9248fd00; + default_data[1] = 0x24924924; + default_data[2] = 0xa8000019; + default_data[3] = 0x17160820; + default_data[4] = 0x25980560; + default_data[5] = 0xc1c00000; + default_data[6] = 0x1aaabe40; + default_data[7] = 0xbe105554; + default_data[8] = 0x00043007; + + for(i=0; i<9; i++) + { + A_DELAY_USECS(10); + + HAL_WORD_REG_WRITE( 0x10ff4040, default_data[i]); + } + A_DELAY_USECS(10); + HAL_WORD_REG_WRITE(0x10ff4044, BIT0); + A_PRINTF("turn_off_merlin_ep_end ......\n"); + } +} + +/* + * -- turn_off_phy -- + * + * . write shift register to both pcie ep and rc + * . + */ + +static void turn_off_phy() +{ + + volatile uint32_t default_data[9]; + volatile uint32_t read_data = 0; + uint32_t i=0; + + default_data[0] = 0x9248fd00; + default_data[1] = 0x24924924; + default_data[2] = 0xa8000019; + default_data[3] = 0x17160820; + default_data[4] = 0x25980560; + default_data[5] = 0xc1c00000; + default_data[6] = 0x1aaabe40; + default_data[7] = 0xbe105554; + default_data[8] = 0x00043007; + + for(i=0; i<9; i++) + { + // check for the done bit to be set + + while (1) + { + read_data=HAL_WORD_REG_READ(0x40028); + if( read_data & BIT31 ) + break; + } + + A_DELAY_USECS(1); + + HAL_WORD_REG_WRITE( 0x40024, default_data[i]); + } + HAL_WORD_REG_WRITE(0x40028, BIT0); +} + +static void turn_off_phy_rc() +{ + + volatile uint32_t default_data[9]; + volatile uint32_t read_data = 0; + uint32_t i=0; + + A_PRINTF("turn_off_phy_rc\n"); + + default_data[0] = 0x9248fd00; + default_data[1] = 0x24924924; + default_data[2] = 0xa8000019; + default_data[3] = 0x13160820;//PwdClk1MHz=0 + default_data[4] = 0x25980560; + default_data[5] = 0xc1c00000; + default_data[6] = 0x1aaabe40; + default_data[7] = 0xbe105554; + default_data[8] = 0x00043007; + + for(i=0; i<9; i++) + { + // check for the done bit to be set + + while (1) + { + read_data=HAL_WORD_REG_READ(0x40028); + if( read_data & BIT31 ) + break; + } + + A_DELAY_USECS(1); + + HAL_WORD_REG_WRITE( 0x40024, default_data[i]); + } + HAL_WORD_REG_WRITE(0x40028, BIT0); +} + +volatile uint32_t gpio_func = 0x0; +volatile uint32_t gpio = 0x0; + +/* + * -- patch zfTurnOffPower -- + * + * . set suspend counter to non-zero value + * . + */ +void zfTurnOffPower_patch(void) +{ + uint32_t i=0; + A_PRINTF("+++ goto suspend ......\n"); + + // setting the go suspend here, power down right away... + HAL_WORD_REG_WRITE(0x10000, HAL_WORD_REG_READ(0x10000)|(0x8)); + + A_DELAY_USECS(100); + + // TURN OFF ETH PLL + _fw_power_off(); + + //32clk wait for External ETH PLL stable + A_DELAY_USECS(100); + + HAL_WORD_REG_WRITE(0x52000, 0x70303);//read back 0x703f7 + HAL_WORD_REG_WRITE(0x52008, 0x0e91c);//read back 0x1e948 + + HAL_WORD_REG_WRITE(MAGPIE_REG_SUSPEND_ENABLE_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_SUSPEND_ENABLE_ADDR)|(BIT0))); //0x56030 + // wake up, and turn on cpu, eth, pcie and usb pll + _fw_power_on(); + // restore gpio and other settings + _fw_restore_dma_fifo(); + + // clear suspend.................. + HAL_WORD_REG_WRITE(MAGPIE_REG_SUSPEND_ENABLE_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_SUSPEND_ENABLE_ADDR)&(~BIT0))); + HAL_WORD_REG_WRITE(0x52028, HAL_WORD_REG_READ(0x52028)&(~(BIT8|BIT12|BIT16))); +} + +/* + * -- patch zfResetUSBFIFO_patch -- + * + * . clear ep3/ep4 fifo + * . set suspend magic pattern + * . reset pcie ep phy + * . reset pcie rc phy + * . turn off pcie pll + * . reset all pcie/gmac related registers + * . reset usb dma + */ +void zfResetUSBFIFO_patch(void) +{ + A_PRINTF("0x9808 0x%x ......\n", HAL_WORD_REG_READ(0x10ff9808)); + A_PRINTF("0x7890 0x%x ......\n", HAL_WORD_REG_READ(0x10ff7890)); + A_PRINTF("0x7890 0x%x ......\n", HAL_WORD_REG_READ(0x10ff7890)); + A_PRINTF("0x4088 0x%x ......\n", HAL_WORD_REG_READ(0x10ff4088)); + _fw_reset_dma_fifo(); +} + +static void _fw_reset_dma_fifo() +{ + int i; + + HAL_BYTE_REG_WRITE(0x100ae, (HAL_BYTE_REG_READ(0x100ae)|0x10)); + HAL_BYTE_REG_WRITE(0x100ae, (HAL_BYTE_REG_READ(0x100af)|0x10)); + A_PRINTF("_fw_reset_dma_fifo\n"); + + // disable ep3 int enable, so that resume back won't send wdt magic pattern out!!! + mUSB_STATUS_IN_INT_DISABLE(); + + // update magic pattern to indicate this is a suspend + HAL_WORD_REG_WRITE(WATCH_DOG_MAGIC_PATTERN_ADDR, SUS_MAGIC_PATTERN); + + A_PRINTF("org 0x4048 0x%x ......\n", HAL_WORD_REG_READ(0x10ff4048)); + A_PRINTF("org 0x404C 0x%x ......\n", HAL_WORD_REG_READ(0x10ff404C)); + A_PRINTF("org 0x4088 0x%x ......\n", HAL_WORD_REG_READ(0x10ff4088)); + + HAL_WORD_REG_WRITE(0x10ff4088,0xaaa6a);//1010.1010.1010.0110.1010 for UB94 + HAL_WORD_REG_WRITE(0x10ff404C,0x0); + + A_DELAY_USECS(1000); + A_PRINTF("0x4048 0x%x ......\n", HAL_WORD_REG_READ(0x10ff4048)); + A_PRINTF("0x404C 0x%x ......\n", HAL_WORD_REG_READ(0x10ff404C)); + A_PRINTF("0x4088 0x%x ......\n", HAL_WORD_REG_READ(0x10ff4088)); + + // turn off merlin + turn_off_merlin(); + // pcie ep + A_PRINTF("turn_off_magpie_ep_start ......\n"); + A_DELAY_USECS(measure_time); + HAL_WORD_REG_WRITE( 0x40040, (HAL_WORD_REG_READ(0x40040)|BIT0|(1<<1))); + turn_off_phy(); + HAL_WORD_REG_WRITE( 0x40040, (HAL_WORD_REG_READ(0x40040)&~(BIT0|(1<<1)))); + A_PRINTF("turn_off_magpie_ep_end ......\n"); + + // pcie rc + A_PRINTF("turn_off_magpie_rc_start ......\n"); + A_DELAY_USECS(measure_time); + HAL_WORD_REG_WRITE( 0x40040, (HAL_WORD_REG_READ(0x40040)&(~BIT0))); + turn_off_phy_rc(); + A_PRINTF("turn_off_magpie_rc_end ......down\n"); + A_DELAY_USECS(measure_time); + + A_PRINTF("0x4001C %p ......\n", HAL_WORD_REG_READ(0x4001c)); + A_PRINTF("0x40040 %p ......\n", HAL_WORD_REG_READ(0x40040)); + + // turn off pcie_pll - power down (bit16) + A_PRINTF(" before pwd PCIE PLL CFG:0x5601C %p ......\n", HAL_WORD_REG_READ(0x5601C)); + HAL_WORD_REG_WRITE(0x5601C, (HAL_WORD_REG_READ(0x5601C)|(BIT18))); + A_PRINTF(" after pwd PCIE PLL CFG:0x5601C %p ......\n", HAL_WORD_REG_READ(0x5601C)); + + /* set everything to reset state?, requested by Oligo */ + HAL_WORD_REG_WRITE(0x50010, HAL_WORD_REG_READ(0x50010)|(BIT13|BIT12|BIT11|BIT9|BIT7|BIT6)); + + HAL_WORD_REG_WRITE(0x5C000, 0); + + A_DELAY_USECS(10); + + // reset usb DMA controller + HAL_WORD_REG_WRITE((USB_CTRL_BASE_ADDRESS+0x118), 0x0); + + HAL_WORD_REG_WRITE(0x50010, (HAL_WORD_REG_READ(0x50010)|(BIT4))); + A_DELAY_USECS(5); + HAL_WORD_REG_WRITE(0x50010, (HAL_WORD_REG_READ(0x50010)&(~BIT4))); + + + HAL_WORD_REG_WRITE((USB_CTRL_BASE_ADDRESS+0x118), 0x1); +} + +static void _fw_power_off() +{ + /* + * 1. set CPU bypass + * 2. turn off CPU PLL + * 3. turn off ETH PLL + * 4. disable ETH PLL bypass and update + * 4.1 set suspend timeout + * 5. set SUSPEND_ENABLE + */ + + HAL_WORD_REG_WRITE(MAGPIE_REG_CPU_PLL_BYPASS_ADDR, (BIT0|BIT4)); //0x56004 + + A_DELAY_USECS(100); // wait for stable + + HAL_WORD_REG_WRITE(MAGPIE_REG_CPU_PLL_ADDR, (BIT16));//0x56000 + + A_DELAY_USECS(100); // wait for stable + + A_UART_HWINIT((40*1000*1000), 19200); + A_CLOCK_INIT(40); + + HAL_WORD_REG_WRITE(MAGPIE_REG_ETH_PLL_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_ETH_PLL_ADDR)|(BIT16))); //0x5600c + + HAL_WORD_REG_WRITE(MAGPIE_REG_ETH_PLL_BYPASS_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_ETH_PLL_BYPASS_ADDR)|(BIT4|BIT0))); //0x56010 + + HAL_WORD_REG_WRITE(MAGPIE_REG_SUSPEND_ENABLE_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_SUSPEND_ENABLE_ADDR)|(0x10<<8))); //0x56030 +} + +static void _fw_power_on() +{ + /* + * 1. turn on CPU PLL + * 2. disable CPU bypass + * 3. turn on ETH PLL + * 4. disable ETH PLL bypass and update + * 5. turn on pcie pll + */ + + HAL_WORD_REG_WRITE(MAGPIE_REG_ETH_PLL_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_ETH_PLL_ADDR)&(~BIT16))); + + // deassert eth_pll bypass mode and trigger update bit + HAL_WORD_REG_WRITE(MAGPIE_REG_ETH_PLL_BYPASS_ADDR, + (HAL_WORD_REG_READ(MAGPIE_REG_ETH_PLL_BYPASS_ADDR)&(~(BIT4|BIT0)))); +} + +static void _fw_restore_dma_fifo(void) +{ + HAL_WORD_REG_WRITE(0x5601C, (HAL_WORD_REG_READ(0x5601C)&(~(BIT18)))); + + // reset pcie_rc shift + HAL_WORD_REG_WRITE(0x50010, (HAL_WORD_REG_READ(0x50010)&(~(BIT10|BIT8|BIT7)))); + A_DELAY_USECS(1); + HAL_WORD_REG_WRITE(0x50010, (HAL_WORD_REG_READ(0x50010)|(BIT10|BIT8|BIT7))); + + // reset pci_rc phy + CMD_PCI_RC_RESET_ON(); + A_DELAY_USECS(20); + + // enable dma swap function + MAGPIE_REG_USB_RX0_SWAP_DATA = 0x1; + MAGPIE_REG_USB_TX0_SWAP_DATA = 0x1; + MAGPIE_REG_USB_RX1_SWAP_DATA = 0x1; + MAGPIE_REG_USB_RX2_SWAP_DATA = 0x1; +} + +extern uint16_t *u8ConfigDescriptorEX; +extern uint16_t *pu8DescriptorEX; +extern uint16_t u16TxRxCounter; + +extern uint16_t *u8UsbDeviceDescriptor; + +extern BOOLEAN bGet_descriptor(void); + +uint16_t ConfigDescriptorPatch[30]; + + uint16_t UsbDeviceDescriptorPatch[18]; + #define VENDOR_ID_OFFSET 8 + #define PRODUCT_ID_OFFSET 10 + +#define EP3_TRANSFER_TYPE_OFFSET 17 +#define EP3_INT_INTERVAL 19 +#define EP4_TRANSFER_TYPE_OFFSET 21 +#define EP4_INT_INTERVAL 22 + + + + #define A_SFLASH_READ_4B(u32Data, start_addr) u32Data = *(uint32_t *)(0xf000000+start_addr); + #define FLASH_SIZE 0x800000 //8M + #define FLASH_USB_VENDOR_ID_OFFSET 0x86 + #define FLASH_USB_PRODUCT_ID_OFFSET 0x87 + + // flash reserved size for saving eeprom data is 4K. + #define EE_DATA_RESERVED_LEN 0x1000 //4K + +#define mLOW_MASK(u16) ((uint8_t) ((u16) & mMASK(8))) +#define mHIGH_MASK(u16) ((uint8_t) ((u16) & ~mMASK(8))) + +/* (1234) -> 0034 */ +//#define mLOW_BYTE(u16) ((U_8)(u16)) +#define mLOW_BYTE(u16) mLOW_MASK(u16) +/* (1234) -> 0012 */ +#define mHIGH_BYTE(u16) ((uint8_t) (((uint16_t) (u16)) >> 8)) + +#define mLOW_WORD0(u32) ((uint16_t) ((u32) & 0xFFFF)) +#define mHIGH_WORD0(u32) ((uint16_t) ((u32) >> 16)) + +/* (1234) -> 3412 */ +#define mSWAP_BYTE(u16) ((mLOW_MASK(u16) << 8) | mHIGH_BYTE(u16)) + +BOOLEAN bGet_descriptor_patch(void) +{ + /* Patch for custom id from flash */ + if (bEepromExist == FALSE && mDEV_REQ_VALUE_HIGH() == 1) + { + uint8_t *p = (uint8_t *)u8UsbDeviceDescriptor; + uint32_t u32Tmp=0; + /* Copy Usb Device Descriptor */ + memcpy(UsbDeviceDescriptorPatch, p, sizeof(UsbDeviceDescriptorPatch)); + + A_SFLASH_READ_4B(u32Tmp, FLASH_SIZE - EE_DATA_RESERVED_LEN + FLASH_USB_VENDOR_ID_OFFSET*2); + UsbDeviceDescriptorPatch[VENDOR_ID_OFFSET] = mSWAP_BYTE(mLOW_WORD0(u32Tmp)); + UsbDeviceDescriptorPatch[PRODUCT_ID_OFFSET] = mSWAP_BYTE(mHIGH_WORD0(u32Tmp)); + + pu8DescriptorEX = UsbDeviceDescriptorPatch; + u16TxRxCounter = mTABLE_LEN(u8UsbDeviceDescriptor[0]); + + if (u16TxRxCounter > mDEV_REQ_LENGTH()) + u16TxRxCounter = mDEV_REQ_LENGTH(); + + A_USB_EP0_TX_DATA(); + + //u16TxRxCounter = 18; + return TRUE; + } + if (mDEV_REQ_VALUE_HIGH() == 2) { + uint8_t *p = (uint8_t *)u8ConfigDescriptorEX; + + /* Copy ConfigDescriptor */ + memcpy(ConfigDescriptorPatch, p, sizeof(ConfigDescriptorPatch)); + + p = (uint8_t *)ConfigDescriptorPatch; + + /* Patch the transfer type of EP3 and EP4 */ + ConfigDescriptorPatch[EP3_TRANSFER_TYPE_OFFSET] = 0x0283; + ConfigDescriptorPatch[EP3_INT_INTERVAL] = 0x0700; + ConfigDescriptorPatch[EP4_TRANSFER_TYPE_OFFSET] = 0x4002; + ConfigDescriptorPatch[EP4_INT_INTERVAL] = 0x00; + + switch (mDEV_REQ_VALUE_LOW()) + { + case 0x00: // configuration no: 0 + pu8DescriptorEX = ConfigDescriptorPatch; + u16TxRxCounter = ConfigDescriptorPatch[1]; + //u16TxRxCounter = 46; + break; + default: + return FALSE; + } + + if (u16TxRxCounter > mDEV_REQ_LENGTH()) + u16TxRxCounter = mDEV_REQ_LENGTH(); + + A_USB_EP0_TX_DATA(); + return TRUE; + } + else { + return bGet_descriptor(); + } +} + +extern BOOLEAN bStandardCommand(void); + +BOOLEAN bStandardCommand_patch(void) +{ + if (mDEV_REQ_REQ() == USB_SET_CONFIGURATION) { + A_USB_SET_CONFIG(); + +#if ENABLE_SWAP_DATA_MODE + // SWAP FUNCTION should be enabled while DMA engine is not working, + // the best place to enable it is before we trigger the DMA + MAGPIE_REG_USB_RX0_SWAP_DATA = 0x1; + MAGPIE_REG_USB_TX0_SWAP_DATA = 0x1; + +#if SYSTEM_MODULE_HP_EP5 + MAGPIE_REG_USB_RX1_SWAP_DATA = 0x1; +#endif + +#if SYSTEM_MODULE_HP_EP6 + MAGPIE_REG_USB_RX2_SWAP_DATA = 0x1; +#endif + +#endif //ENABLE_SWAP_DATA_MODE + return TRUE; + } + else { + return bStandardCommand(); + } +} diff --git a/target_firmware/magpie_fw_dev/target/wlan/wlan_pci.c b/target_firmware/magpie_fw_dev/target/wlan/wlan_pci.c new file mode 100755 index 0000000..a44b5c4 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/wlan/wlan_pci.c @@ -0,0 +1,131 @@ +#include +#include +#include +#include +#include +#include + +#if MAGPIE_ENABLE_WLAN == 0 +A_PCI_INIT_FUNC g_pci_init_func; +#endif + +#if MAGPIE_ENABLE_PCIE == 0 +#define EMULATE_PCI_CONFIG +#endif + +#define PCI_CONFIG_BASE_ADDR 0x14000000 + +extern A_PCI_INIT_FUNC g_pci_init_func; +adf_drv_info_t* g_wlan_drv = NULL; +adf_drv_handle_t g_wlan_drv_handle = NULL; +adf_os_drv_intr g_wlan_intr = NULL; + +void wlan_pci_module_init(void) +{ + if (g_pci_init_func != NULL) { + g_pci_init_func(); + } +} + +void wlan_pci_register_drv(adf_drv_info_t *drv) +{ + g_wlan_drv = drv; +} + +#define ATHEROS_VENDOR_ID 0x168c +#define AR5416_DEVID_PCIE 0x24 + +void wlan_pci_probe(void) +{ + __adf_softc_t *sc; + adf_os_resource_t drv_res = {0}; + adf_os_attach_data_t drv_data = {{0}}; + int vendor_id; + int device_id; + + A_PRINTF(": Attaching the driver\n"); + +#if MAGPIE_ENABLE_PCIE == 0 + vendor_id = ATHEROS_VENDOR_ID; + device_id = AR5416_DEVID_PCIE; +#else + vendor_id = wlan_pci_config_read(0, 2); + device_id = wlan_pci_config_read(2, 2); +#endif + A_PRINTF(": Vendor id 0x%x Dev id 0x%x\n", vendor_id, device_id); + + if (vendor_id != ATHEROS_VENDOR_ID) { + A_PRINTF(": Atheros card not found\n"); + return; + } + + /** + * Allocate the sc & zero down + */ + sc = A_ALLOCRAM(sizeof(__adf_softc_t)); + if (!sc) { + A_PRINTF("Cannot malloc softc\n"); + goto mem_fail; + } + +#define AR5416_DEVID_PCIE 0x24 + + drv_data.pci.device = AR5416_DEVID_PCIE; + drv_data.pci.vendor = 0x168c; + drv_data.pci.subvendor = 0; + drv_data.pci.subdevice = 0; + + drv_res.start = (a_uint32_t) 0; + drv_res.end = 0; + drv_res.type = ADF_OS_RESOURCE_TYPE_MEM; + + g_wlan_drv_handle = g_wlan_drv->drv_attach(&drv_res, 1, &drv_data, NULL); + + return; +mem_fail: + return; +} + +int wlan_pci_config_write(int offset, a_uint32_t val, int width) +{ +#if MAGPIE_ENABLE_PCIE == 1 + unsigned long addr = ( PCI_CONFIG_BASE_ADDR + offset ) & 0xfffffffc; + A_UINT8 *ptr = (A_UINT8 *)addr; + A_UINT8 *valptr = (A_UINT8 *)&val; + int idx = offset & 0x3; + int i; + + for (i = 0; i < width; i++) { + ptr[idx + i] = valptr[3-i]; + } +#endif + + return 0; +} + +int wlan_pci_config_read(int offset, int width) +{ +#if MAGPIE_ENABLE_PCIE == 0 + return 0; +#else + unsigned long addr = ( PCI_CONFIG_BASE_ADDR + offset ) & 0xfffffffc; + unsigned long value = *((unsigned long *)addr); + A_UINT8 *ptr = (A_UINT8 *)&value; + int idx = offset & 0x3; + int result = 0; + int i; + + for (i = 0; i < width; i++) { + result |= (ptr[ 3 - (idx + i)] << (8*i)); + } + + return result; +#endif +} + +void wlan_pci_isr() +{ + if (g_wlan_intr != NULL && g_wlan_drv_handle != NULL) { + g_wlan_intr(g_wlan_drv_handle); + } +} diff --git a/target_firmware/magpie_fw_dev/target/wlan/wlan_pci.h b/target_firmware/magpie_fw_dev/target/wlan/wlan_pci.h new file mode 100755 index 0000000..9a724d3 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/wlan/wlan_pci.h @@ -0,0 +1,27 @@ +/* + * @File: wlan_pci.h + * + * @Abstract: + * + * @Notes: + * + * Copyright (c) 2008 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef _WLAN_PCI_H +#define _WLAN_PCI_H + +typedef int (*A_PCI_INIT_FUNC)(void); + +//extern A_PCI_INIT_FUNC g_pci_init_func; + +void wlan_pci_module_init(void); +void wlan_pci_register_drv(adf_drv_info_t *drv); +void wlan_pci_probe(void); +int wlan_pci_config_write(int offset, a_uint32_t val, int width); +int wlan_pci_config_read(int offset, int width); +void wlan_pci_isr(); + +#endif /* #ifndef _WLAN_PCI_H */ diff --git a/target_firmware/magpie_fw_dev/target/wmi/wmi_internal.h b/target_firmware/magpie_fw_dev/target/wmi/wmi_internal.h new file mode 100755 index 0000000..2e4d210 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/wmi/wmi_internal.h @@ -0,0 +1,65 @@ +/* + * @File: + * + * @Abstract: internal data and structure definitions for WMI service + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ + +#ifndef WMI_INTERNAL_H_ +#define WMI_INTERNAL_H_ + +#define WMI_CMD_ALIGNMENT_SIZE 128 + +#ifdef WMI_DEBUG + +/* WMI debug log definitions */ + +#define WMI_DBG0_LOG(debugid) \ + DBGLOG_ARG0_RECORD(DBGLOG_HEADER_UPPER_HALF(debugid, \ + DBGLOG_MODULEID_WMI, 0)) + +#define WMI_DBG1_LOG(debugid, arg1) \ + DBGLOG_ARG1_RECORD(DBGLOG_HEADER_UPPER_HALF(debugid, \ + DBGLOG_MODULEID_WMI, 1), arg1) + +#define WMI_DBG2_LOG(debugid, arg1, arg2) \ + DBGLOG_ARG2_RECORD(DBGLOG_HEADER_UPPER_HALF(debugid, \ + DBGLOG_MODULEID_WMI, 2), arg1, arg2) + +#else +#define WMI_DBG0_LOG(debugid) +#define WMI_DBG1_LOG(debugid, arg1) +#define WMI_DBG2_LOG(debugid, arg1, arg2) +#endif /* WMI_DEBUG */ + +#define EVT_PKT_IN_USE (1 << 0) +#define EVT_PKT_IS_FREE(e) !((e)->Flags & EVT_PKT_IN_USE) +#define EVT_MARK_FREE(e) (e)->Flags &= ~EVT_PKT_IN_USE; +#define EVT_MARK_INUSE(e) (e)->Flags |= EVT_PKT_IN_USE +#define IS_EVT_CLASS_BUFFERED(ec) ((ec) != WMI_EVT_CLASS_DIRECT_BUFFER) + +typedef struct _WMI_POOL_STATE { + int MaxAllocation; /* maximum allocations allowed for this pool */ + int CurrentAllocation; /* current allocations outstanding */ +} WMI_POOL_STATE; + +typedef struct _WMI_SVC_CONTEXT { + htc_handle_t HtcHandle; + pool_handle_t PoolHandle; + int PendingEvents; /* no. of pending events */ + HTC_SERVICE WMIControlService; /* registered control service */ + HTC_ENDPOINT_ID ControlEp; /* endpoint assigned to us */ + WMI_DISPATCH_TABLE *pDispatchHead; /* dispatch list head ptr */ + WMI_DISPATCH_TABLE *pDispatchTail; /* dispatch list tail ptr */ + + // Left a door for extension the structure + void *pReserved; +} WMI_SVC_CONTEXT; + +#endif /*WMI_INTERNAL_H_*/ diff --git a/target_firmware/magpie_fw_dev/target/wmi/wmi_svc.c b/target_firmware/magpie_fw_dev/target/wmi/wmi_svc.c new file mode 100755 index 0000000..2173ae8 --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/wmi/wmi_svc.c @@ -0,0 +1,268 @@ +/* + * @File: + * + * @Abstract: Wireless Module Interface Service Implementation + * + * @Notes: + * + * + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + */ +#include +#include +#include +#include +#include +#include +#include + +#include "wmi_internal.h" + +static void WMIRecvMessageHandler(HTC_ENDPOINT_ID EndPt, adf_nbuf_t hdr_buf, + adf_nbuf_t pHTCBuf, void *arg) +{ + void *pContext; + WMI_SVC_CONTEXT *pWMI = (WMI_SVC_CONTEXT *)arg; + WMI_DISPATCH_TABLE *pCurrentTable; + WMI_DISPATCH_ENTRY*pCurrentEntry; + WMI_CMD_HANDLER pCmdHandler; + A_UINT8* pCmdBuffer; + int i; + A_UINT16 cmd; + A_UINT16 seq; + int length; + a_uint8_t *anbdata; + a_uint32_t anblen; + WMI_CMD_HDR *cmdHdr; + + adf_os_assert(hdr_buf == ADF_NBUF_NULL); + + do { + length = adf_nbuf_len(pHTCBuf); + if (length < sizeof(WMI_CMD_HDR)) { + break; + } + + adf_nbuf_peek_header(pHTCBuf, &anbdata, &anblen); + + pCurrentTable = pWMI->pDispatchHead; + length = length - sizeof(WMI_CMD_HDR); + + cmdHdr = (WMI_CMD_HDR *)anbdata; + cmd = adf_os_ntohs(cmdHdr->commandId); + seq = adf_os_ntohs(cmdHdr->seqNo); + + pCmdBuffer = anbdata + sizeof(WMI_CMD_HDR); + pCmdHandler = NULL; + + while (pCurrentTable != NULL) { + + pContext = pCurrentTable->pContext; + pCurrentEntry = pCurrentTable->pTable; + + /* scan table entries */ + for (i = 0; i < pCurrentTable->NumberOfEntries; i++, pCurrentEntry++) { + if (pCurrentEntry->CmdID == cmd) { + /* found a match */ + pCmdHandler = pCurrentEntry->pCmdHandler; + + /* optionally check length */ + if ((pCurrentEntry->CheckLength != 0) && + (length < pCurrentEntry->CheckLength)) { + /* do not process command */ + pCmdHandler = NULL; + } + /* end search */ + break; + } + } + + if (pCmdHandler != NULL) { + /* found a handler */ + break; + } + + /* scan next table */ + pCurrentTable = pCurrentTable->pNext; + } + + if (NULL == pCmdHandler) { + break; + } + + /* if we get here, we have a command handler to dispatch */ + + /* call dispatch function */ + pCmdHandler(pContext, cmd, seq, pCmdBuffer, length); + + } while (FALSE); + + + /* Invalidate the buffer (including HTC header). Note : we only need to invalidate up to the portion + * that was used (cache invalidate will also round up to the nearest cache line). + * The rest of the buffer should still be coherent. + * */ + + HTC_ReturnBuffers(pWMI->HtcHandle, EndPt, pHTCBuf); +} + +/* send completion handler when any HTC buffers are returned */ +static void _WMI_SendCompleteHandler(HTC_ENDPOINT_ID Endpt, adf_nbuf_t pHTCBuf, void *arg) +{ + WMI_SVC_CONTEXT *pWMI = (WMI_SVC_CONTEXT *)arg; + WMI_BUF_CONTEXT *ctx; + BUF_POOL_ID poolId; + + ctx = (WMI_BUF_CONTEXT *)adf_nbuf_get_priv(pHTCBuf); + + if ( ctx->EventClass == WMI_EVT_CLASS_CMD_EVENT ) { + poolId = POOL_ID_WMI_SVC_EVENT; + } else { + poolId = POOL_ID_WMI_SVC_CMD_REPLY; + } + + BUF_Pool_free_buf(pWMI->PoolHandle, poolId, pHTCBuf); +} + +static A_UINT8 WMIServiceConnect(HTC_SERVICE *pService, + HTC_ENDPOINT_ID eid, + A_UINT8 *pDataIn, + int LengthIn, + A_UINT8 *pDataOut, + int *pLengthOut) +{ + WMI_SVC_CONTEXT *pWMI = (WMI_SVC_CONTEXT *)pService->ServiceCtx; + + /* save the eid to use */ + pWMI->ControlEp = eid; + return HTC_SERVICE_SUCCESS; +} + +/************** public APIS ********************************************/ + +static wmi_handle_t _WMI_Init(WMI_SVC_CONFIG *pWmiConfig) +{ + WMI_SVC_CONTEXT *pWMI = NULL; + int eventSize = WMI_SVC_MAX_BUFFERED_EVENT_SIZE + sizeof(WMI_CMD_HDR) + HTC_HDR_SZ; + + pWMI = (WMI_SVC_CONTEXT *)adf_os_mem_alloc(sizeof(WMI_SVC_CONTEXT)); + if (pWMI == NULL) { + return NULL; + } + + pWMI->pDispatchHead = NULL; + pWMI->PoolHandle = pWmiConfig->PoolHandle; + pWMI->HtcHandle = pWmiConfig->HtcHandle; + + BUF_Pool_create_pool(pWmiConfig->PoolHandle, POOL_ID_WMI_SVC_CMD_REPLY, + pWmiConfig->MaxCmdReplyEvts, eventSize); + + BUF_Pool_create_pool(pWmiConfig->PoolHandle, POOL_ID_WMI_SVC_EVENT, + pWmiConfig->MaxEventEvts, eventSize); + + /* NOTE: since RAM allocation is zero-initialized, there is nothing to do for the + * direct event pool */ + + /* register the WMI control service */ + pWMI->WMIControlService.ProcessRecvMsg = A_INDIR(wmi_svc_api._WMI_RecvMessageHandler); + pWMI->WMIControlService.ProcessSendBufferComplete = A_INDIR(wmi_svc_api._WMI_SendCompleteHandler); + pWMI->WMIControlService.ProcessConnect = A_INDIR(wmi_svc_api._WMI_ServiceConnect); + pWMI->WMIControlService.MaxSvcMsgSize = WMI_SVC_MSG_SIZE + sizeof(WMI_CMD_HDR); + /* all buffers that are sent through the control endpoint are at least WMI_SVC_MAX_BUFFERED_EVENT_SIZE + * in size. Any WMI event that supplies a data buffer must insure that the space in the buffer + * is at least this size. */ + pWMI->WMIControlService.TrailerSpcCheckLimit = WMI_SVC_MAX_BUFFERED_EVENT_SIZE; + pWMI->WMIControlService.ServiceID = WMI_CONTROL_SVC; + pWMI->WMIControlService.ServiceCtx = pWMI; + HTC_RegisterService(pWmiConfig->HtcHandle, &pWMI->WMIControlService); + + return pWMI; +} + +static int _WMI_GetPendingEventsCount(wmi_handle_t handle) +{ + WMI_SVC_CONTEXT *pWMI = (WMI_SVC_CONTEXT *)handle; + return pWMI->PendingEvents; +} + +static int _WMI_GetControlEp(wmi_handle_t handle) +{ + WMI_SVC_CONTEXT *pWMI = (WMI_SVC_CONTEXT *)handle; + return pWMI->ControlEp; +} + +static void _WMI_RegisterDispatchTable(wmi_handle_t handle, + WMI_DISPATCH_TABLE *pDispatchTable) +{ + WMI_SVC_CONTEXT *pWMI = (WMI_SVC_CONTEXT *)handle; + + if (NULL == pWMI->pDispatchHead) { + pWMI->pDispatchHead = pDispatchTable; + pWMI->pDispatchTail = pDispatchTable; + } else { + /* link to the tail */ + pWMI->pDispatchTail->pNext = pDispatchTable; + pWMI->pDispatchTail = pDispatchTable; + } +} + +static adf_nbuf_t _WMI_AllocEvent(wmi_handle_t handle, WMI_EVT_CLASS EventClass, + int Length) +{ + BUF_POOL_ID poolId; + WMI_SVC_CONTEXT *pWMI = (WMI_SVC_CONTEXT *)handle; + adf_nbuf_t buf; + WMI_BUF_CONTEXT *ctx; + + if ( EventClass == WMI_EVT_CLASS_CMD_EVENT ) { + poolId = POOL_ID_WMI_SVC_EVENT; + } else { + poolId = POOL_ID_WMI_SVC_CMD_REPLY; + } + + buf = BUF_Pool_alloc_buf(pWMI->PoolHandle, + poolId, + sizeof(WMI_CMD_HDR) + HTC_GetReservedHeadroom(pWMI->HtcHandle)); + + if ( buf != NULL ) { + ctx = (WMI_BUF_CONTEXT *)adf_nbuf_get_priv(buf); + ctx->EventClass = EventClass; + } + return buf; +} + +static void _WMI_SendEvent(wmi_handle_t handle, adf_nbuf_t pEvt, + A_UINT16 EventId, A_UINT16 SeqNo, int Length) +{ + WMI_SVC_CONTEXT *pWMI = (WMI_SVC_CONTEXT *)handle; + A_UINT8 *pBuffer; + + pBuffer = adf_nbuf_push_head(pEvt, sizeof(WMI_CMD_HDR)); + A_SET_UINT16_FIELD(pBuffer, WMI_CMD_HDR, commandId, adf_os_htons(EventId)); + A_SET_UINT16_FIELD(pBuffer, WMI_CMD_HDR, seqNo, adf_os_htons(SeqNo)); + + HTC_SendMsg(pWMI->HtcHandle, pWMI->ControlEp, pEvt); +} + +static void _WMI_Shutdown(wmi_handle_t handle) +{ + WMI_SVC_CONTEXT *pWMI = (WMI_SVC_CONTEXT *)handle; + + adf_os_mem_free(pWMI); +} + +void WMI_service_module_install(WMI_SVC_APIS *pTbl) +{ + pTbl->_WMI_Init = _WMI_Init; + pTbl->_WMI_RegisterDispatchTable = _WMI_RegisterDispatchTable; + pTbl->_WMI_AllocEvent = _WMI_AllocEvent; + pTbl->_WMI_SendEvent = _WMI_SendEvent; + pTbl->_WMI_SendCompleteHandler = _WMI_SendCompleteHandler; + pTbl->_WMI_GetPendingEventsCount = _WMI_GetPendingEventsCount; + pTbl->_WMI_GetControlEp = _WMI_GetControlEp; + pTbl->_WMI_Shutdown = _WMI_Shutdown; + pTbl->_WMI_RecvMessageHandler = WMIRecvMessageHandler; + pTbl->_WMI_ServiceConnect = WMIServiceConnect; +} diff --git a/target_firmware/magpie_fw_dev/target/wmi/wmi_svc_api.h b/target_firmware/magpie_fw_dev/target/wmi/wmi_svc_api.h new file mode 100755 index 0000000..ad9c5eb --- /dev/null +++ b/target_firmware/magpie_fw_dev/target/wmi/wmi_svc_api.h @@ -0,0 +1,106 @@ +/* + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + * + * This file contains the API for the Wireless Module Interface (WMI) Service + * + */ + +#ifndef WMI_SVC_API_H_ +#define WMI_SVC_API_H_ + +#include +#include +#include +#include +#include + +#define WMI_SVC_MAX_BUFFERED_EVENT_SIZE 100 +#define WMI_SVC_MSG_SIZE 1536 /* maximum size of any WMI control or event message */ + +/* event classes */ +typedef enum WMI_EVT_CLASS { + WMI_EVT_CLASS_NONE = -1, + WMI_EVT_CLASS_CMD_EVENT = 0, + WMI_EVT_CLASS_CMD_REPLY = 1, + WMI_EVT_CLASS_MAX +} WMI_EVT_CLASS; + +/* command handler callback when a message is dispatched */ +typedef void (* WMI_CMD_HANDLER)(void *pContext, /* application supplied context from dispatch table */ + A_UINT16 Command, /* command ID that was dispatched */ + A_UINT16 SeqNo, + A_UINT8 *pCmdBuffer, /* command data, 256 bytes max, 32-bit aligned */ + int Length); /* length of command (excludes WMI header) */ + +/* configuration settings for the WMI service */ +typedef struct _WMI_SVC_CONFIG { + htc_handle_t HtcHandle; + pool_handle_t PoolHandle; + int MaxCmdReplyEvts; /* total buffers for command replies */ + int MaxEventEvts; /* total buffers for low priority events */ +} WMI_SVC_CONFIG; + +/* command dispatch entry */ +typedef struct _WMI_DISPATCH_ENTRY { + WMI_CMD_HANDLER pCmdHandler; /* dispatch function */ + A_UINT16 CmdID; /* WMI command to dispatch from */ + A_UINT16 CheckLength; /* expected length of command, set to 0 to bypass check */ +} WMI_DISPATCH_ENTRY; + +/* dispatch table that is used to register a set of dispatch entries */ +typedef struct _WMI_DISPATCH_TABLE { + struct _WMI_DISPATCH_TABLE *pNext; /* next dispatch, WMI-reserved */ + void *pContext; /* optional context that is passed to command handlers + assigned to this dispatch table */ + int NumberOfEntries; /* number of elements pointed to by pTable */ + WMI_DISPATCH_ENTRY *pTable; /* start of table */ +} WMI_DISPATCH_TABLE; + +#define WMI_DISPATCH_ENTRY_COUNT(table) \ + (sizeof((table)) / sizeof(WMI_DISPATCH_ENTRY)) + + /* handy macro to declare a dispatch table */ +#define WMI_DECLARE_DISPATCH_TABLE(name,dispatchEntries) \ +WMI_DISPATCH_TABLE name = \ +{ NULL, NULL, WMI_DISPATCH_ENTRY_COUNT(dispatchEntries), dispatchEntries } + + /* macro to programatically set the dispatch table context */ +#define WMI_SET_DISPATCH_CONTEXT(pDispTable, pCtxt) (pDispTable)->pContext = (pCtxt) + +typedef struct _WMI_BUF_CONTEXT { + HTC_BUF_CONTEXT HtcBufCtx; + + WMI_EVT_CLASS EventClass; /* the event class this packet belongs to */ + A_UINT16 Flags; /* internal flags reserved for WMI */ +} WMI_BUF_CONTEXT; + +/* ROM-version, eventually. For now, in RAM */ + +typedef void* wmi_handle_t; + +/* the API table */ +typedef struct _wmi_svc_apis { + wmi_handle_t (* _WMI_Init)(WMI_SVC_CONFIG *pWmiConfig); + void (* _WMI_RegisterDispatchTable)(wmi_handle_t h, WMI_DISPATCH_TABLE *pDispatchTable); + adf_nbuf_t (* _WMI_AllocEvent)(wmi_handle_t h, WMI_EVT_CLASS EventClass, int Length); + void (* _WMI_SendEvent)(wmi_handle_t h, adf_nbuf_t pEvt, A_UINT16 EventId, A_UINT16 SeqNo, int Length); + int (* _WMI_GetPendingEventsCount)(wmi_handle_t handle); + void (* _WMI_SendCompleteHandler)(HTC_ENDPOINT_ID Endpt, adf_nbuf_t pHTCBuf, void *arg); + int (* _WMI_GetControlEp)(wmi_handle_t h); + void (* _WMI_Shutdown)(wmi_handle_t h); + + /* */ + void (*_WMI_RecvMessageHandler)(HTC_ENDPOINT_ID EndPt, adf_nbuf_t hdr_buf, adf_nbuf_t pHTCBuf, void *arg); + A_UINT8 (*_WMI_ServiceConnect)(HTC_SERVICE *pService, HTC_ENDPOINT_ID eid, + A_UINT8 *pDataIn, + int LengthIn, + A_UINT8 *pDataOut, + int *pLengthOut); + + void *pReserved; /* for expansion if need be */ +} WMI_SVC_APIS; + +extern void WMI_service_module_install(WMI_SVC_APIS *pAPIs); + +#endif /*WMI_SVC_API_H_*/ diff --git a/target_firmware/wlan/_ieee80211.h b/target_firmware/wlan/_ieee80211.h new file mode 100755 index 0000000..1e64078 --- /dev/null +++ b/target_firmware/wlan/_ieee80211.h @@ -0,0 +1,96 @@ +/*- + * Copyright (c) 2001 Atsushi Onoe + * Copyright (c) 2002-2005 Sam Leffler, Errno Consulting + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright + * notice, this list of conditions and the following disclaimer in the + * documentation and/or other materials provided with the distribution. + * 3. The name of the author may not be used to endorse or promote products + * derived from this software without specific prior written permission. + * + * Alternatively, this software may be distributed under the terms of the + * GNU General Public License ("GPL") version 2 as published by the Free + * Software Foundation. + * + * THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR + * IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES + * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. + * IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, + * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT + * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, + * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY + * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT + * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF + * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + * $FreeBSD: src/sys/net80211/_ieee80211.h,v 1.2 2004/12/31 22:42:38 sam Exp $ + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/madwifi/net80211/_ieee80211.h#1 $ + */ +#ifndef _NET80211__IEEE80211_H_ +#define _NET80211__IEEE80211_H_ + +enum ieee80211_phytype { + IEEE80211_T_DS, /* direct sequence spread spectrum */ + IEEE80211_T_FH, /* frequency hopping */ + IEEE80211_T_OFDM, /* frequency division multiplexing */ + IEEE80211_T_TURBO, /* high rate OFDM, aka turbo mode */ + IEEE80211_T_HT, /* HT - full GI */ + IEEE80211_T_MAX +}; +#define IEEE80211_T_CCK IEEE80211_T_DS /* more common nomenclature */ + +/* XXX nOt really a mode; there are really multiple PHY's */ +enum ieee80211_phymode { + IEEE80211_MODE_11NA = 0, + IEEE80211_MODE_11NG = 1, +}; +#define IEEE80211_MODE_MAX (IEEE80211_MODE_11NG+1) + +enum ieee80211_opmode { + IEEE80211_M_STA = 1, /* infrastructure station */ + IEEE80211_M_IBSS = 0, /* IBSS (adhoc) station */ + IEEE80211_M_AHDEMO = 3, /* Old lucent compatible adhoc demo */ + IEEE80211_M_HOSTAP = 6, /* Software Access Point */ + IEEE80211_M_MONITOR = 8, /* Monitor mode */ + IEEE80211_M_WDS = 2 /* WDS link */ +}; + +/* + * 802.11g protection mode. + */ +enum ieee80211_protmode { + IEEE80211_PROT_NONE = 0, /* no protection */ + IEEE80211_PROT_CTSONLY = 1, /* CTS to self */ + IEEE80211_PROT_RTSCTS = 2, /* RTS-CTS */ +}; + +/* + * 802.11 rate set. + */ +#define IEEE80211_RATE_SIZE 8 /* 802.11 standard */ +#define IEEE80211_RATE_MAXSIZE 30 /* max rates we'll handle */ +#define IEEE80211_HT_RATE_SIZE 128 + + +/* + * 11n A-MPDU & A-MSDU limits + */ +#define IEEE80211_AMPDU_LIMIT_MIN (1 * 1024) +#define IEEE80211_AMPDU_LIMIT_MAX (64 * 1024 - 1) +#define IEEE80211_AMPDU_SUBFRAME_MIN 2 +#define IEEE80211_AMPDU_SUBFRAME_MAX 64 +#define IEEE80211_AMPDU_SUBFRAME_DEFAULT 32 +#define IEEE80211_AMSDU_LIMIT_MAX 4096 + +struct ieee80211_rateset { + a_uint8_t rs_nrates; + a_uint8_t rs_rates[IEEE80211_RATE_MAXSIZE]; +}; + +#endif /* _NET80211__IEEE80211_H_ */ diff --git a/target_firmware/wlan/ah.c b/target_firmware/wlan/ah.c new file mode 100755 index 0000000..837627c --- /dev/null +++ b/target_firmware/wlan/ah.c @@ -0,0 +1,195 @@ +#include "opt_ah.h" +#include "ah.h" +#include "ah_internal.h" +#include + +extern struct ath_hal *ar5416Attach(a_uint32_t devid,HAL_SOFTC sc, adf_os_device_t dev, + HAL_BUS_HANDLE sh, a_uint32_t flags, HAL_STATUS *status); + +struct ath_hal* +ath_hal_attach_tgt(a_uint32_t devid,HAL_SOFTC sc, + adf_os_device_t dev, HAL_BUS_HANDLE sh, + a_uint32_t flags, HAL_STATUS *error) +{ + struct ath_hal *ah = AH_NULL; + + devid = AR5416_DEVID_PCIE; + ah = ar5416Attach(devid, sc, dev, sh, flags, error); + + return ah; +} + +HAL_STATUS +ath_hal_getcapability(struct ath_hal *ah, HAL_CAPABILITY_TYPE type, + a_uint32_t capability, a_uint32_t *result) + +{ + const HAL_CAPABILITIES *pCap = &AH_PRIVATE(ah)->ah_caps; + switch (type) { + case HAL_CAP_TSF_ADJUST: + return HAL_ENOTSUPP; + case HAL_CAP_BSSIDMASK: + return pCap->halBssIdMaskSupport ? HAL_OK : HAL_ENOTSUPP; + case HAL_CAP_VEOL: + return pCap->halVEOLSupport ? HAL_OK : HAL_ENOTSUPP; +#ifdef MAGPIE_MERLIN + case HAL_CAP_RX_STBC: + return HAL_ENOTSUPP; + case HAL_CAP_TX_STBC: + return HAL_ENOTSUPP; +#endif + default: + return HAL_EINVAL; + } +} + +void +ath_hal_setupratetable(struct ath_hal *ah, HAL_RATE_TABLE *rt) +{ + a_int32_t i; + + if (rt->rateCodeToIndex[0] != 0) + return; + + for (i = 0; i < 32; i++) + rt->rateCodeToIndex[i] = (a_uint8_t) -1; + for (i = 0; i < rt->rateCount; i++) { + a_uint8_t code = rt->info[i].rateCode; + a_uint8_t cix = rt->info[i].controlRate; + + rt->rateCodeToIndex[code] = i; + rt->rateCodeToIndex[code | rt->info[i].shortPreamble] = i; + rt->info[i].lpAckDuration = ath_hal_computetxtime(ah, rt, + WLAN_CTRL_FRAME_SIZE, cix, AH_FALSE); + rt->info[i].spAckDuration = ath_hal_computetxtime(ah, rt, + WLAN_CTRL_FRAME_SIZE, cix, AH_TRUE); + } +} + +#define CCK_SIFS_TIME 10 +#define CCK_PREAMBLE_BITS 144 +#define CCK_PLCP_BITS 48 + +#define OFDM_SIFS_TIME 16 +#define OFDM_PREAMBLE_TIME 20 +#define OFDM_PLCP_BITS 22 +#define OFDM_SYMBOL_TIME 4 + +#define OFDM_SIFS_TIME_HALF 32 +#define OFDM_PREAMBLE_TIME_HALF 40 +#define OFDM_PLCP_BITS_HALF 22 +#define OFDM_SYMBOL_TIME_HALF 8 + +#define OFDM_SIFS_TIME_QUARTER 64 +#define OFDM_PREAMBLE_TIME_QUARTER 80 +#define OFDM_PLCP_BITS_QUARTER 22 +#define OFDM_SYMBOL_TIME_QUARTER 16 + +a_uint16_t +ath_hal_computetxtime(struct ath_hal *ah, + const HAL_RATE_TABLE *rates, a_uint32_t frameLen, a_uint16_t rateix, + HAL_BOOL shortPreamble) +{ + a_uint32_t bitsPerSymbol, numBits, numSymbols, phyTime, txTime; + a_uint32_t kbps; + + kbps = rates->info[rateix].rateKbps; + + /* + * index can be invalid duting dynamic Turbo transitions. + */ + if(kbps == 0) return 0; + switch (rates->info[rateix].phy) { + + case IEEE80211_T_CCK: + phyTime = CCK_PREAMBLE_BITS + CCK_PLCP_BITS; + if (shortPreamble && rates->info[rateix].shortPreamble) + phyTime >>= 1; + numBits = frameLen << 3; + txTime = phyTime + ((numBits * 1000)/kbps); + /* TODO: make sure the same value of txTime can use in all device */ + if (ath_hal_getcapability(ah, HAL_CAP_HT, 0, AH_NULL) != HAL_OK) + txTime = txTime + CCK_SIFS_TIME; + break; + case IEEE80211_T_OFDM: + /* full rate channel */ + bitsPerSymbol = (kbps * OFDM_SYMBOL_TIME) / 1000; + HALASSERT(bitsPerSymbol != 0); + + numBits = OFDM_PLCP_BITS + (frameLen << 3); + numSymbols = asf_howmany(numBits, bitsPerSymbol); + txTime = OFDM_PREAMBLE_TIME + (numSymbols * OFDM_SYMBOL_TIME); + /* TODO: make sure the same value of txTime can use in all device */ + if (ath_hal_getcapability(ah, HAL_CAP_HT, 0, AH_NULL) != HAL_OK) + txTime = txTime + OFDM_SIFS_TIME; + break; + default: + txTime = 0; + break; + } + return txTime; +} + +#undef CCK_SIFS_TIME +#undef CCK_PREAMBLE_BITS +#undef CCK_PLCP_BITS + +#undef OFDM_SIFS_TIME +#undef OFDM_PREAMBLE_TIME +#undef OFDM_PLCP_BITS +#undef OFDM_SYMBOL_TIME + +#ifdef MAGPIE_MERLIN +a_uint32_t +ath_hal_get_curmode(struct ath_hal *ah, HAL_CHANNEL_INTERNAL *chan) +{ + if (!chan) + return HAL_MODE_11NG; + + if (IS_CHAN_NA(chan)) + return HAL_MODE_11NA; + + if (IS_CHAN_A(chan)) + return HAL_MODE_11A; + + if (IS_CHAN_NG(chan)) + return HAL_MODE_11NG; + + if (IS_CHAN_G(chan)) + return HAL_MODE_11G; + + if (IS_CHAN_B(chan)) + return HAL_MODE_11B; + + HALASSERT(0); + return HAL_MODE_11NG; +} + +#endif + +HAL_BOOL +ath_hal_wait(struct ath_hal *ah, a_uint32_t reg, a_uint32_t mask, a_uint32_t val) +{ +#define AH_TIMEOUT_11N 100000 +#define AH_TIMEOUT_11G 1000 + + a_int32_t i; + + if (ath_hal_getcapability(ah, HAL_CAP_HT, 0, AH_NULL) == HAL_OK) { + for (i = 0; i < AH_TIMEOUT_11N; i++) { + if ((OS_REG_READ(ah, reg) & mask) == val) + return AH_TRUE; + OS_DELAY(10); + } + } else { + for (i = 0; i < AH_TIMEOUT_11G; i++) { + if ((OS_REG_READ(ah, reg) & mask) == val) + return AH_TRUE; + OS_DELAY(10); + } + } + return AH_FALSE; + +#undef AH_TIMEOUT_11N +#undef AH_TIMEOUT_11G +} diff --git a/target_firmware/wlan/ah.h b/target_firmware/wlan/ah.h new file mode 100755 index 0000000..c86d115 --- /dev/null +++ b/target_firmware/wlan/ah.h @@ -0,0 +1,457 @@ +#ifndef _ATH_AH_H_ +#define _ATH_AH_H_ + +#include +#include + +#ifndef __ahdecl +#define __ahdecl +#endif + +#define AR5416_DEVID_PCIE 0x0024 /* AR5416 PCI-E (XB) (Owl) */ + +typedef enum { + HAL_OK = 0, /* No error */ + HAL_ENXIO = 1, /* No hardware present */ + HAL_ENOMEM = 2, /* Memory allocation failed */ + HAL_EIO = 3, /* Hardware didn't respond as expected */ + HAL_EEMAGIC = 4, /* EEPROM magic number invalid */ + HAL_EEVERSION = 5, /* EEPROM version invalid */ + HAL_EELOCKED = 6, /* EEPROM unreadable */ + HAL_EEBADSUM = 7, /* EEPROM checksum invalid */ + HAL_EEREAD = 8, /* EEPROM read problem */ + HAL_EEBADMAC = 9, /* EEPROM mac address invalid */ + HAL_EESIZE = 10, /* EEPROM size not supported */ + HAL_EEWRITE = 11, /* Attempt to change write-locked EEPROM */ + HAL_EINVAL = 12, /* Invalid parameter to function */ + HAL_ENOTSUPP = 13, /* Hardware revision not supported */ + HAL_ESELFTEST = 14, /* Hardware self-test failed */ + HAL_EINPROGRESS = 15, /* Operation incomplete */ + HAL_FULL_RESET = 16, /* Full reset done */ +} HAL_STATUS; + +typedef enum { + AH_FALSE = 0, + AH_TRUE = 1, +} HAL_BOOL; + +typedef enum { + HAL_CAP_VEOL = 0, + HAL_CAP_BSSIDMASK = 1, + HAL_CAP_TSF_ADJUST = 2, + HAL_CAP_RX_STBC = 3, + HAL_CAP_TX_STBC = 4, + HAL_CAP_HT = 5, + HAL_CAP_RTS_AGGR_LIMIT = 6, +} HAL_CAPABILITY_TYPE; + +typedef enum { + HAL_M_STA = 1, + HAL_M_IBSS = 0, + HAL_M_HOSTAP = 6, + HAL_M_MONITOR = 8, +} HAL_OPMODE; + +typedef enum { + HAL_TX_QUEUE_INACTIVE = 0, + HAL_TX_QUEUE_DATA = 1, + HAL_TX_QUEUE_BEACON = 2, + HAL_TX_QUEUE_CAB = 3, + HAL_TX_QUEUE_PSPOLL = 4, + HAL_TX_QUEUE_UAPSD = 5, +} HAL_TX_QUEUE; + +typedef enum { + HAL_WME_AC_BK = 0, + HAL_WME_AC_BE = 1, + HAL_WME_AC_VI = 2, + HAL_WME_AC_VO = 3, + HAL_WME_UPSD = 4, + HAL_XR_DATA = 5, +} HAL_TX_QUEUE_SUBTYPE; + +#define HAL_NUM_TX_QUEUES 10 + +typedef enum { + HAL_PKT_TYPE_NORMAL = 0, + HAL_PKT_TYPE_ATIM = 1, + HAL_PKT_TYPE_PSPOLL = 2, + HAL_PKT_TYPE_BEACON = 3, + HAL_PKT_TYPE_PROBE_RESP = 4, + HAL_PKT_TYPE_CHIRP = 5, + HAL_PKT_TYPE_GRP_POLL = 6, +} HAL_PKT_TYPE; + +typedef enum { + HAL_RX_CLEAR_CTL_LOW = 0x1, /* force control channel to appear busy */ + HAL_RX_CLEAR_EXT_LOW = 0x2, /* force extension channel to appear busy */ +} HAL_HT_RXCLEAR; + +typedef enum { + HAL_RX_FILTER_UCAST = 0x00000001, /* Allow unicast frames */ + HAL_RX_FILTER_MCAST = 0x00000002, /* Allow multicast frames */ + HAL_RX_FILTER_BCAST = 0x00000004, /* Allow broadcast frames */ + HAL_RX_FILTER_CONTROL = 0x00000008, /* Allow control frames */ + HAL_RX_FILTER_BEACON = 0x00000010, /* Allow beacon frames */ + HAL_RX_FILTER_PROM = 0x00000020, /* Promiscuous mode */ + HAL_RX_FILTER_XRPOLL = 0x00000040, /* Allow XR poll frmae */ + HAL_RX_FILTER_PROBEREQ = 0x00000080, /* Allow probe request frames */ + HAL_RX_FILTER_PHYERR = 0x00000100, /* Allow phy errors */ +#ifdef MAGPIE_MERLIN + HAL_RX_FILTER_PHYRADAR = 0x00002000, /* Allow phy radar errors*/ + HAL_RX_FILTER_PSPOLL = 0x00004000, /* Allow PSPOLL frames */ + /* + ** PHY "Pseudo bits" should be in the upper 16 bits since the lower + ** 16 bits actually correspond to register 0x803c bits + */ +#else + HAL_RX_FILTER_PHYRADAR = 0x00000200, /* Allow phy radar errors*/ +#endif +} HAL_RX_FILTER; + +#define CHANNEL_QUARTER 0x8000 /* Quarter rate channel */ +#define CHANNEL_HALF 0x4000 /* Half rate channel */ + +typedef enum { + HAL_INT_RX = 0x00000001, /* Non-common mapping */ + HAL_INT_RXDESC = 0x00000002, + HAL_INT_RXNOFRM = 0x00000008, + HAL_INT_RXEOL = 0x00000010, + HAL_INT_RXORN = 0x00000020, + HAL_INT_TX = 0x00000040, /* Non-common mapping */ + HAL_INT_TXDESC = 0x00000080, + HAL_INT_TXURN = 0x00000800, + HAL_INT_MIB = 0x00001000, + HAL_INT_RXPHY = 0x00004000, + HAL_INT_RXKCM = 0x00008000, + HAL_INT_SWBA = 0x00010000, + HAL_INT_BMISS = 0x00040000, + HAL_INT_BNR = 0x00100000, /* Non-common mapping */ + HAL_INT_GPIO = 0x01000000, + HAL_INT_CST = 0x02000000, /* Non-common mapping */ + HAL_INT_GTT = 0x20000000, /* Non-common mapping */ + HAL_INT_FATAL = 0x40000000, /* Non-common mapping */ + HAL_INT_GLOBAL = 0x80000000, /* Set/clear IER */ + HAL_INT_GENTIMER =0x08000000, /* Non-common mapping */ + + /* Interrupt bits that map directly to ISR/IMR bits */ + HAL_INT_COMMON = HAL_INT_RXNOFRM + | HAL_INT_RXDESC + | HAL_INT_RXEOL + | HAL_INT_RXORN + | HAL_INT_TXURN + | HAL_INT_TXDESC + | HAL_INT_MIB + | HAL_INT_RXPHY + | HAL_INT_RXKCM + | HAL_INT_SWBA + | HAL_INT_BMISS + | HAL_INT_GPIO, + HAL_INT_NOCARD = 0xffffffff /* To signal the card was removed */ +} HAL_INT; + +#ifdef MAGPIE_MERLIN + +#define HAL_RATESERIES_RTS_CTS 0x0001 /* use rts/cts w/this series */ +#define HAL_RATESERIES_2040 0x0002 /* use ext channel for series */ +#define HAL_RATESERIES_HALFGI 0x0004 /* use half-gi for series */ +#define HAL_RATESERIES_STBC 0x0008 /* use STBC for series */ + +/* 11n */ +typedef enum { + HAL_HT_MACMODE_20 = 0, /* 20 MHz operation */ + HAL_HT_MACMODE_2040 = 1, /* 20/40 MHz operation */ +} HAL_HT_MACMODE; + +typedef enum { + HAL_HT_PHYMODE_20 = 0, /* 20 MHz operation */ + HAL_HT_PHYMODE_2040 = 1, /* 20/40 MHz operation */ +} HAL_HT_PHYMODE; + +typedef enum { + HAL_HT_EXTPROTSPACING_20 = 0, /* 20 MHz spacing */ + HAL_HT_EXTPROTSPACING_25 = 1, /* 25 MHz spacing */ +} HAL_HT_EXTPROTSPACING; + +typedef struct { + HAL_HT_MACMODE ht_macmode; /* MAC - 20/40 mode */ + HAL_HT_PHYMODE ht_phymode; /* PHY - 20/40 mode */ + a_int8_t ht_extoff; /* ext channel offset */ + HAL_HT_EXTPROTSPACING ht_extprotspacing; /* ext channel protection spacing */ +} HAL_HT_CWM; + +typedef struct { + a_uint8_t ht_txchainmask; /* tx chain mask */ + a_uint8_t ht_rxchainmask; /* rx chain mask */ +} HAL_HT_MISC; + +typedef struct { + HAL_HT_CWM cwm; + HAL_HT_MISC misc; +} HAL_HT; + +/* channelFlags */ +#define CHANNEL_CW_INT 0x0002 /* CW interference detected on channel */ +#define CHANNEL_TURBO 0x0010 /* Turbo Channel */ +#define CHANNEL_CCK 0x0020 /* CCK channel */ +#define CHANNEL_OFDM 0x0040 /* OFDM channel */ +#define CHANNEL_2GHZ 0x0080 /* 2 GHz spectrum channel. */ +#define CHANNEL_5GHZ 0x0100 /* 5 GHz spectrum channel */ +#define CHANNEL_PASSIVE 0x0200 /* Only passive scan allowed in the channel */ +#define CHANNEL_DYN 0x0400 /* dynamic CCK-OFDM channel */ +#define CHANNEL_XR 0x0800 /* XR channel */ +#define CHANNEL_STURBO 0x2000 /* Static turbo, no 11a-only usage */ +#define CHANNEL_HALF 0x4000 /* Half rate channel */ +#define CHANNEL_QUARTER 0x8000 /* Quarter rate channel */ +#define CHANNEL_HT20 0x10000 /* HT20 channel */ +#define CHANNEL_HT40 0x20000 /* HT40 channel */ +#define CHANNEL_HT40U 0x40000 /* control channel can be upper channel */ +#define CHANNEL_HT40L 0x80000 /* control channel can be lower channel */ + +/* privFlags */ +#define CHANNEL_INTERFERENCE 0x01 +#define CHANNEL_DFS 0x02 /* DFS required on channel */ +#define CHANNEL_4MS_LIMIT 0x04 /* 4msec packet limit on this channel */ +#define CHANNEL_DFS_CLEAR 0x08 /* if channel has been checked for DFS */ + +#define CHANNEL_A (CHANNEL_5GHZ|CHANNEL_OFDM) +#define CHANNEL_B (CHANNEL_2GHZ|CHANNEL_CCK) +#define CHANNEL_PUREG (CHANNEL_2GHZ|CHANNEL_OFDM) +#define CHANNEL_G (CHANNEL_2GHZ|CHANNEL_OFDM) +#define CHANNEL_T (CHANNEL_5GHZ|CHANNEL_OFDM|CHANNEL_TURBO) +#define CHANNEL_ST (CHANNEL_T|CHANNEL_STURBO) +#define CHANNEL_108G (CHANNEL_2GHZ|CHANNEL_OFDM|CHANNEL_TURBO) +#define CHANNEL_108A CHANNEL_T +#define CHANNEL_X (CHANNEL_5GHZ|CHANNEL_OFDM|CHANNEL_XR) + +#define CHANNEL_G_HT20 (CHANNEL_2GHZ|CHANNEL_HT20) +#define CHANNEL_A_HT20 (CHANNEL_5GHZ|CHANNEL_HT20) +#define CHANNEL_G_HT40 (CHANNEL_2GHZ|CHANNEL_HT20|CHANNEL_HT40) +#define CHANNEL_A_HT40 (CHANNEL_5GHZ|CHANNEL_HT20|CHANNEL_HT40) +#define CHANNEL_ALL \ + (CHANNEL_OFDM | \ + CHANNEL_CCK | \ + CHANNEL_2GHZ | \ + CHANNEL_5GHZ | \ + CHANNEL_TURBO | \ + CHANNEL_HT20 | \ + CHANNEL_HT40) +#define CHANNEL_ALL_NOTURBO (CHANNEL_ALL &~ CHANNEL_TURBO) + +typedef struct { + a_int32_t rateCount; + a_uint8_t rateCodeToIndex[32]; + struct { + a_uint8_t valid; + a_uint8_t phy; + a_int16_t txPower; + a_int16_t txPower2Chains; + a_int16_t txPower3Chains; + a_uint32_t rateKbps; + a_uint8_t rateCode; + a_uint8_t shortPreamble; + a_uint8_t dot11Rate; + a_uint8_t controlRate; + a_uint16_t lpAckDuration; + a_uint16_t spAckDuration; + } info[32]; +} HAL_RATE_TABLE; + +typedef struct { + a_uint32_t Tries; + a_uint32_t Rate; + a_uint32_t PktDuration; + a_uint32_t ChSel; + a_uint32_t RateFlags; + a_uint32_t RateIndex; + a_uint32_t TxPowerCap; /* in 1/2 dBm units */ +} HAL_11N_RATE_SERIES; + +#else + +typedef struct { + a_int32_t rateCount; + a_uint8_t rateCodeToIndex[32]; + struct { + a_uint8_t valid; + a_uint8_t phy; + a_uint32_t rateKbps; + a_uint8_t rateCode; + a_uint8_t shortPreamble; + a_uint8_t dot11Rate; + a_uint8_t controlRate; + a_uint16_t lpAckDuration; + a_uint16_t spAckDuration; + } info[32]; +} HAL_RATE_TABLE; + +#define HAL_RATESERIES_RTS_CTS 0x0001 /* use rts/cts w/this series */ +#define HAL_RATESERIES_2040 0x0002 /* use ext channel for series */ +#define HAL_RATESERIES_HALFGI 0x0004 /* use half-gi for series */ +#define HAL_RATESERIES_STBC 0x0008 /* use STBC for series */ + +typedef struct { + a_uint32_t Tries; + a_uint32_t Rate; + a_uint32_t PktDuration; + a_uint32_t ChSel; + a_uint32_t RateFlags; +} HAL_11N_RATE_SERIES; + +#endif + +enum { + HAL_MODE_11A = 0x001, /* 11a channels */ + HAL_MODE_TURBO = 0x002, /* 11a turbo-only channels */ + HAL_MODE_11B = 0x004, /* 11b channels */ + HAL_MODE_PUREG = 0x008, /* 11g channels (OFDM only) */ + HAL_MODE_11G = 0x008, /* XXX historical */ + HAL_MODE_108G = 0x020, /* 11a+Turbo channels */ + HAL_MODE_108A = 0x040, /* 11g+Turbo channels */ + HAL_MODE_XR = 0x100, /* XR channels */ + HAL_MODE_11A_HALF_RATE = 0x200, /* 11A half rate channels */ + HAL_MODE_11A_QUARTER_RATE = 0x400, /* 11A quarter rate channels */ + HAL_MODE_11NG = 0x4000, /* 11ng channels */ + HAL_MODE_11NA = 0x8000, /* 11na channels */ + HAL_MODE_ALL = 0xffff +}; + +typedef enum { + HAL_KEY_TYPE_CLEAR, + HAL_KEY_TYPE_WEP, + HAL_KEY_TYPE_AES, + HAL_KEY_TYPE_TKIP, + HAL_KEY_TYPE_WAPI, +} HAL_KEY_TYPE; + +struct ath_desc; +struct ath_rx_status; + +struct ath_hal +{ + a_uint32_t ah_magic; + HAL_SOFTC ah_sc; + HAL_BUS_HANDLE ah_sh; + adf_os_device_t ah_dev; + + a_uint32_t ah_macVersion; + a_uint16_t ah_macRev; + a_uint16_t ah_phyRev; + const HAL_RATE_TABLE *__ahdecl(*ah_getRateTable)(struct ath_hal *, + a_uint32_t mode); + void __ahdecl(*ah_detach)(struct ath_hal*); + HAL_BOOL __ahdecl(*ah_updateTxTrigLevel)(struct ath_hal*, + HAL_BOOL incTrigLevel); + + /* Misc Functions */ + HAL_STATUS __ahdecl(*ah_getCapability)(struct ath_hal *, + HAL_CAPABILITY_TYPE, a_uint32_t capability, + a_uint32_t *result); + void __ahdecl(*ah_setDefAntenna)(struct ath_hal*, a_uint32_t); + + HAL_BOOL __ahdecl(*ah_updateCTSForBursting)(struct ath_hal *, + struct ath_desc *, struct ath_desc *, + struct ath_desc *, struct ath_desc *, + a_uint32_t, a_uint32_t); + void __ahdecl(*ah_setRxFilter)(struct ath_hal*, a_uint32_t); + + + /* Target Transmit Functions */ + + a_uint32_t __ahdecl(*ah_getTxDP)(struct ath_hal*, a_uint32_t); + HAL_BOOL __ahdecl(*ah_setTxDP)(struct ath_hal*, a_uint32_t, a_uint32_t txdp); + a_uint32_t __ahdecl(*ah_numTxPending)(struct ath_hal *, a_uint32_t q); + HAL_BOOL __ahdecl(*ah_startTxDma)(struct ath_hal*, a_uint32_t); + HAL_BOOL __ahdecl(*ah_stopTxDma)(struct ath_hal*, a_uint32_t); + + HAL_BOOL __ahdecl(*ah_abortTxDma)(struct ath_hal *); + + void __ahdecl(*ah_set11nTxDesc)(struct ath_hal *ah, + struct ath_desc *ds, + a_uint32_t pktLen, HAL_PKT_TYPE type, + a_uint32_t txPower, a_uint32_t keyIx, + HAL_KEY_TYPE keyType, + a_uint32_t flags); + void __ahdecl(*ah_set11nRateScenario)(struct ath_hal *ah, + struct ath_desc *ds, + a_uint32_t durUpdateEn, + a_uint32_t rtsctsRate, + a_uint32_t rtsctsDuration, + HAL_11N_RATE_SERIES series[], + a_uint32_t nseries, a_uint32_t flags); + void __ahdecl(*ah_set11nAggrFirst)(struct ath_hal *ah, + struct ath_desc *ds, a_uint32_t aggrLen, + a_uint32_t numDelims); + void __ahdecl(*ah_set11nAggrMiddle)(struct ath_hal *ah, + struct ath_desc *ds, a_uint32_t numDelims); + void __ahdecl(*ah_set11nAggrLast)(struct ath_hal *ah, + struct ath_desc *ds); + void __ahdecl(*ah_clr11nAggr)(struct ath_hal *ah, + struct ath_desc *ds); + void __ahdecl(*ah_set11nBurstDuration)(struct ath_hal *ah, + struct ath_desc *ds, + a_uint32_t burstDuration); + void __ahdecl(*ah_set11nVirtualMoreFrag)(struct ath_hal *ah, + struct ath_desc *ds, a_uint32_t vmf); + + HAL_BOOL __ahdecl(*ah_setupTxDesc)(struct ath_hal *, struct ath_desc *, + a_uint32_t pktLen, a_uint32_t hdrLen, + HAL_PKT_TYPE type, a_uint32_t txPower, + a_uint32_t txRate0, a_uint32_t txTries0, + a_uint32_t keyIx, a_uint32_t antMode, a_uint32_t flags, + a_uint32_t rtsctsRate, a_uint32_t rtsctsDuration, + a_uint32_t compicvLen, a_uint32_t compivLen, + a_uint32_t comp); + HAL_BOOL __ahdecl(*ah_fillTxDesc)(struct ath_hal *, struct ath_desc *, + a_uint32_t segLen, HAL_BOOL firstSeg, + HAL_BOOL lastSeg, const struct ath_desc *); + HAL_BOOL __ahdecl (*ah_fillKeyTxDesc) (struct ath_hal *, struct ath_desc *, HAL_KEY_TYPE); + HAL_STATUS __ahdecl(*ah_procTxDesc)(struct ath_hal *, struct ath_desc*); + void __ahdecl(*ah_getTxIntrQueue)(struct ath_hal *, a_uint32_t *); + void __ahdecl(*ah_reqTxIntrDesc)(struct ath_hal *, struct ath_desc*); + HAL_BOOL __ahdecl(*ah_setBssIdMask)(struct ath_hal *, const a_uint8_t*); + void __ahdecl(*ah_setPCUConfig)(struct ath_hal *); + void __ahdecl(*ah_setMulticastFilter)(struct ath_hal*, + a_uint32_t filter0, a_uint32_t filter1); + + a_uint32_t __ahdecl(*ah_getTsf32)(struct ath_hal*); + u_int64_t __ahdecl(*ah_getTsf64)(struct ath_hal*); + void __ahdecl(*ah_resetTsf)(struct ath_hal*); + + /* Target receive Functions */ + a_uint32_t __ahdecl(*ah_getRxDP)(struct ath_hal*); + void __ahdecl(*ah_setRxDP)(struct ath_hal*, a_uint32_t rxdp); + HAL_BOOL __ahdecl(*ah_setupRxDesc)(struct ath_hal *, struct ath_desc *, + a_uint32_t size, a_uint32_t flags); + HAL_STATUS __ahdecl(*ah_procRxDesc)(struct ath_hal *, struct ath_desc *, + a_uint32_t phyAddr, struct ath_desc *next, u_int64_t tsf); + HAL_STATUS __ahdecl(*ah_procRxDescFast)(struct ath_hal *ah, + struct ath_desc *ds, a_uint32_t pa, + struct ath_desc *nds, + struct ath_rx_status *rx_stats); + HAL_BOOL __ahdecl(*ah_stopDmaReceive)(struct ath_hal*); + void __ahdecl(*ah_startPcuReceive)(struct ath_hal*); + void __ahdecl(*ah_stopPcuReceive)(struct ath_hal*); + void __ahdecl(*ah_enableReceive)(struct ath_hal*); + + /* Interrupt functions */ + HAL_BOOL __ahdecl(*ah_isInterruptPending)(struct ath_hal*); + HAL_BOOL __ahdecl(*ah_getPendingInterrupts)(struct ath_hal*, HAL_INT*); + HAL_INT __ahdecl(*ah_getInterrupts)(struct ath_hal*); + HAL_INT __ahdecl(*ah_setInterrupts)(struct ath_hal*, HAL_INT); +}; + + +extern struct ath_hal * __ahdecl ath_hal_attach_tgt(a_uint32_t devid, HAL_SOFTC, + adf_os_device_t dev, HAL_BUS_HANDLE sh, + a_uint32_t flags, HAL_STATUS* status); + +extern const HAL_RATE_TABLE * __ahdecl ath_hal_getratetable(struct ath_hal *, + a_uint32_t mode); + +extern a_uint16_t __ahdecl ath_hal_computetxtime(struct ath_hal *, + const HAL_RATE_TABLE *rates, + a_uint32_t frameLen, + a_uint16_t rateix, + HAL_BOOL shortPreamble); +#endif /* _ATH_AH_H_ */ diff --git a/target_firmware/wlan/ah_desc.h b/target_firmware/wlan/ah_desc.h new file mode 100755 index 0000000..0a4c673 --- /dev/null +++ b/target_firmware/wlan/ah_desc.h @@ -0,0 +1,144 @@ +#ifndef _DEV_ATH_DESC_H +#define _DEV_ATH_DESC_H + +#include +#include + +#define HAL_TXSTAT_ALTRATE 0x80 +#define ts_rssi ts_rssi_combined + +struct ath_tx_status { + a_uint32_t ts_tstamp; + a_uint16_t ts_seqnum; + a_uint8_t ts_status; + a_uint8_t ts_flags; + a_uint8_t ts_rate; + int8_t ts_rssi_combined; + int8_t ts_rssi_ctl0; + int8_t ts_rssi_ctl1; + int8_t ts_rssi_ctl2; + int8_t ts_rssi_ext0; + int8_t ts_rssi_ext1; + int8_t ts_rssi_ext2; + a_uint8_t ts_shortretry; + a_uint8_t ts_longretry; + a_uint8_t ts_virtcol; + a_uint8_t ts_antenna; + a_uint32_t ba_low; + a_uint32_t ba_high; + a_uint32_t evm0; + a_uint32_t evm1; + a_uint32_t evm2; +}; + +#define HAL_TXERR_XRETRY 0x01 +#define HAL_TXERR_FILT 0x02 +#define HAL_TXERR_FIFO 0x04 +#define HAL_TXERR_XTXOP 0x08 +#define HAL_TXERR_TIMER_EXPIRED 0x10 + +#define HAL_TX_BA 0x01 +#define HAL_TX_PWRMGMT 0x02 +#define HAL_TX_DESC_CFG_ERR 0x04 +#define HAL_TX_DATA_UNDERRUN 0x08 +#define HAL_TX_DELIM_UNDERRUN 0x10 +#define HAL_TX_SW_FILTERED 0x80 + +struct ath_rx_status { + a_uint64_t rs_tstamp; + a_uint16_t rs_datalen; + a_uint8_t rs_status; + a_uint8_t rs_phyerr; + int8_t rs_rssi_combined; + int8_t rs_rssi_ctl0; + int8_t rs_rssi_ctl1; + int8_t rs_rssi_ctl2; + int8_t rs_rssi_ext0; + int8_t rs_rssi_ext1; + int8_t rs_rssi_ext2; + a_uint8_t rs_keyix; + a_uint8_t rs_rate; + a_uint8_t rs_antenna; + a_uint8_t rs_more; + a_uint8_t rs_isaggr; + a_uint8_t rs_moreaggr; + a_uint8_t rs_num_delims; + a_uint8_t rs_flags; + a_uint8_t rs_dummy; + a_uint32_t evm0; + a_uint32_t evm1; + a_uint32_t evm2; +}; + +#define rs_rssi rs_rssi_combined + +#define HAL_RXERR_CRC 0x01 +#define HAL_RXERR_PHY 0x02 +#define HAL_RXERR_FIFO 0x04 +#define HAL_RXERR_DECRYPT 0x08 +#define HAL_RXERR_MIC 0x10 + +#define HAL_RX_MORE 0x01 +#define HAL_RX_MORE_AGGR 0x02 +#define HAL_RX_GI 0x04 +#define HAL_RX_2040 0x08 +#define HAL_RX_DELIM_CRC_PRE 0x10 +#define HAL_RX_DELIM_CRC_POST 0x20 +#define HAL_RX_DECRYPT_BUSY 0x40 + + + +#define HAL_RXKEYIX_INVALID ((a_uint8_t) -1) + +#define HAL_TXKEYIX_INVALID ((a_uint32_t) -1) + +/* + * The following definitions are passed directly + * the hardware and managed by the HAL. Drivers + * should not touch those elements marked opaque. + */ +#define ATH_GENERIC_DESC \ + a_uint32_t ds_link; \ + a_uint32_t ds_data; \ + a_uint32_t ds_ctl0; \ + a_uint32_t ds_ctl1; + +struct ath_desc { + ATH_GENERIC_DESC +} adf_os_packed; + +struct ath_rx_desc { + ATH_GENERIC_DESC + a_uint32_t ds_hw[9]; + adf_nbuf_t ds_nbuf; + adf_os_dma_map_t ds_dmap; + adf_os_dmamap_info_t ds_dmap_info; + adf_os_dma_addr_t ds_daddr; + asf_tailq_entry(ath_rx_desc) ds_list; +} adf_os_packed; + +struct ath_tx_desc { + ATH_GENERIC_DESC + a_uint32_t ds_hw[20]; + union { + struct ath_tx_status tx; + } ds_us; +} adf_os_packed; + +#define ds_txstat ds_us.tx + + +#define HAL_TXDESC_CLRDMASK 0x0001 +#define HAL_TXDESC_NOACK 0x0002 +#define HAL_TXDESC_RTSENA 0x0004 +#define HAL_TXDESC_CTSENA 0x0008 +#define HAL_TXDESC_INTREQ 0x0010 +#define HAL_TXDESC_VEOL 0x0020 +#define HAL_TXDESC_EXT_ONLY 0x0040 +#define HAL_TXDESC_EXT_AND_CTL 0x0080 +#define HAL_TXDESC_VMF 0x0100 + + +#define HAL_RXDESC_INTREQ 0x0020 + +#endif diff --git a/target_firmware/wlan/ah_internal.h b/target_firmware/wlan/ah_internal.h new file mode 100755 index 0000000..36d96bd --- /dev/null +++ b/target_firmware/wlan/ah_internal.h @@ -0,0 +1,238 @@ +/* + * Copyright (c) 2002-2005 Sam Leffler, Errno Consulting + * Copyright (c) 2002-2005 Atheros Communications, Inc. + * All rights reserved. + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/hal/main/ah_internal.h#2 $ + */ +#ifndef _ATH_AH_INTERAL_H_ +#define _ATH_AH_INTERAL_H_ + +#include + +#define IEEE80211_AMPDU_LIMIT_MAX (64 * 1024 - 1) + +#define AH_NULL 0 +#define AH_MIN(a,b) ((a)<(b)?(a):(b)) +#define AH_MAX(a,b) ((a)>(b)?(a):(b)) + +/* + * Common assertion interface. Note: it is a bad idea to generate + * an assertion failure for any recoverable event. Instead catch + * the violation and, if possible, fix it up or recover from it; either + * with an error return value or a diagnostic messages. System software + * does not panic unless the situation is hopeless. + */ +#ifdef AH_ASSERT +#define HALASSERT(_x) do { \ + adf_os_assert(_x) \ + } while (0) +#else +#define HALASSERT(_x) +#endif /* AH_ASSERT */ + +#ifndef NBBY +#define NBBY 8 /* number of bits/byte */ +#endif + +#define IEEE80211_ADDR_LEN 6 + +/* + * Internal form of a HAL_CHANNEL. Note that the structure + * must be defined such that you can cast references to a + * HAL_CHANNEL so don't shuffle the first two members. + */ +typedef struct { + a_uint16_t channel; /* NB: must be first for casting */ + a_uint32_t channelFlags; + a_uint8_t privFlags; + int8_t maxRegTxPower; + int8_t maxTxPower; + int8_t minTxPower; /* as above... */ + a_uint8_t regClassId; /* Regulatory class id */ + HAL_BOOL bssSendHere; + a_uint8_t gainI; + HAL_BOOL iqCalValid; + HAL_BOOL oneTimeCalsDone; + int8_t iCoff; + int8_t qCoff; + a_int16_t rawNoiseFloor; + a_int16_t finalNoiseFloor; + int8_t antennaMax; + a_uint32_t regDmnFlags; /* Flags for channel use in reg */ + a_uint32_t conformanceTestLimit; /* conformance test limit from reg domain */ + a_uint16_t mainSpur; /* cached spur value for this cahnnel */ + u_int64_t ah_tsf_last; /* tsf @ which time accured is computed */ + u_int64_t ah_channel_time; /* time on the channel */ + u_int64_t dfsTsf; /* Tsf when channel leaves NOL */ +} HAL_CHANNEL_INTERNAL; + +typedef struct { + a_uint32_t halChanSpreadSupport : 1, + halSleepAfterBeaconBroken : 1, + halCompressSupport : 1, + halBurstSupport : 1, + halFastFramesSupport : 1, + halChapTuningSupport : 1, + halTurboGSupport : 1, + halTurboPrimeSupport : 1, + halXrSupport : 1, + halMicAesCcmSupport : 1, + halMicCkipSupport : 1, + halMicTkipSupport : 1, + halCipherAesCcmSupport : 1, + halCipherCkipSupport : 1, + halCipherTkipSupport : 1, + halPSPollBroken : 1, + halVEOLSupport : 1, + halBssIdMaskSupport : 1, + halMcastKeySrchSupport : 1, + halTsfAddSupport : 1, + halChanHalfRate : 1, + halChanQuarterRate : 1, + halHTSupport : 1, + halRxStbcSupport : 1, + halTxStbcSupport : 1, + halGTTSupport : 1, + halFastCCSupport : 1, + halExtChanDfsSupport : 1, + halUseCombinedRadarRssi : 1, + halCSTSupport : 1, + halRifsRxSupport : 1, + halRifsTxSupport : 1, +#ifdef MAGPIE_MERLIN + halforcePpmSupport : 1, + halAutoSleepSupport : 1, + hal4kbSplitTransSupport : 1, + halEnhancedPmSupport : 1, + halMbssidAggrSupport : 1, + halTkipWepHtRateSupport : 1, +#endif + halRfSilentSupport : 1; + a_uint32_t halWirelessModes; + a_uint16_t halTotalQueues; + a_uint16_t halKeyCacheSize; + a_uint16_t halLow5GhzChan, halHigh5GhzChan; + a_uint16_t halLow2GhzChan, halHigh2GhzChan; + a_uint16_t halNumMRRetries; + a_uint8_t halTxChainMask; + a_uint8_t halRxChainMask; + a_uint16_t halRtsAggrLimit; + a_uint16_t halJapanRegCap; + a_uint8_t halNumGpioPins; +} HAL_CAPABILITIES; + + +#if !defined(_NET_IF_IEEE80211_H_) && !defined(_NET80211__IEEE80211_H_) +/* + * Stuff that would naturally come from _ieee80211.h + */ +#define IEEE80211_ADDR_LEN 6 +#define IEEE80211_WEP_KEYLEN 5 /* 40bit */ +#define IEEE80211_WEP_IVLEN 3 /* 24bit */ +#define IEEE80211_WEP_KIDLEN 1 /* 1 octet */ +#define IEEE80211_WEP_CRCLEN 4 /* CRC-32 */ +#define IEEE80211_CRC_LEN 4 +#define IEEE80211_MTU 1500 +#define IEEE80211_MAX_LEN (2300 + IEEE80211_CRC_LEN + \ + (IEEE80211_WEP_IVLEN + IEEE80211_WEP_KIDLEN + IEEE80211_WEP_CRCLEN)) + +#define WLAN_CTRL_FRAME_SIZE (2+2+6+4) /* ACK+FCS */ + +enum { + IEEE80211_T_DS, /* direct sequence spread spectrum */ + IEEE80211_T_FH, /* frequency hopping */ + IEEE80211_T_OFDM, /* frequency division multiplexing */ + IEEE80211_T_TURBO, /* high rate DS */ + IEEE80211_T_HT, /* HT - full GI */ + IEEE80211_T_MAX +}; +#define IEEE80211_T_CCK IEEE80211_T_DS /* more common nomenclatur */ +#endif /* _NET_IF_IEEE80211_H_ */ + +/* NB: these are defined privately until XR support is announced */ +enum { + ATHEROS_T_XR = IEEE80211_T_MAX, /* extended range */ +}; + +struct ath_hal_private { + struct ath_hal h; + + a_uint32_t ah_macVersion; + a_uint16_t ah_macRev; + a_uint16_t ah_phyRev; + + HAL_CAPABILITIES ah_caps; /* device capabilities */ + HAL_CHANNEL_INTERNAL *ah_curchan; /* current channel */ +}; + +#define AH_PRIVATE(_ah) ((struct ath_hal_private *)(_ah)) + +#define IS_CHAN_A(_c) ((((_c)->channelFlags & CHANNEL_A) == CHANNEL_A) || \ + (((_c)->channelFlags & CHANNEL_A_HT20) == CHANNEL_A_HT20)) +#define IS_CHAN_B(_c) (((_c)->channelFlags & CHANNEL_B) == CHANNEL_B) +#define IS_CHAN_G(_c) ((((_c)->channelFlags & (CHANNEL_108G|CHANNEL_G)) == CHANNEL_G) || \ + (((_c)->channelFlags & CHANNEL_G_HT20) == CHANNEL_G_HT20)) +#define IS_CHAN_108G(_c)(((_c)->channelFlags & CHANNEL_108G) == CHANNEL_108G) +#define IS_CHAN_T(_c) (((_c)->channelFlags & CHANNEL_T) == CHANNEL_T) +#define IS_CHAN_X(_c) (((_c)->channelFlags & CHANNEL_X) == CHANNEL_X) +#define IS_CHAN_PUREG(_c) \ + (((_c)->channelFlags & CHANNEL_PUREG) == CHANNEL_PUREG) +#define IS_CHAN_NA(_c) (((_c)->channelFlags & CHANNEL_A_HT20) == CHANNEL_A_HT20) +#define IS_CHAN_NG(_c) (((_c)->channelFlags & CHANNEL_G_HT20) == CHANNEL_G_HT20) + +#define IS_CHAN_TURBO(_c) (((_c)->channelFlags & CHANNEL_TURBO) != 0) +#define IS_CHAN_CCK(_c) (((_c)->channelFlags & CHANNEL_CCK) != 0) +#define IS_CHAN_OFDM(_c) (((_c)->channelFlags & CHANNEL_OFDM) != 0) +#define IS_CHAN_XR(_c) (((_c)->channelFlags & CHANNEL_XR) != 0) +#define IS_CHAN_5GHZ(_c) (((_c)->channelFlags & CHANNEL_5GHZ) != 0) +#define IS_CHAN_2GHZ(_c) (((_c)->channelFlags & CHANNEL_2GHZ) != 0) +#define IS_CHAN_PASSIVE(_c) (((_c)->channelFlags & CHANNEL_PASSIVE) != 0) +#define IS_CHAN_HALF_RATE(_c) (((_c)->channelFlags & CHANNEL_HALF) != 0) +#define IS_CHAN_QUARTER_RATE(_c) (((_c)->channelFlags & CHANNEL_QUARTER) != 0) +#define IS_CHAN_HT(_c) (((_c)->channelFlags & CHANNEL_HT20) != 0) +#define IS_CHAN_HT20(_c) (((_c)->channelFlags & CHANNEL_HT20) != 0) +#define IS_CHAN_HT40(_c) (((_c)->channelFlags & CHANNEL_HT40) != 0) + +#define IS_CHAN_IN_PUBLIC_SAFETY_BAND(_c) ((_c) > 4940 && (_c) < 4990) + +/* + * Register manipulation macros that expect bit field defines + * to follow the convention that an _S suffix is appended for + * a shift count, while the field mask has no suffix. + */ +#define SM(_v, _f) (((_v) << _f##_S) & _f) +#define MS(_v, _f) (((_v) & _f) >> _f##_S) +#define OS_REG_RMW_FIELD(_a, _r, _f, _v) \ + OS_REG_WRITE(_a, _r, \ + (OS_REG_READ(_a, _r) &~ _f) | (((_v) << _f##_S) & _f)) +#define OS_REG_RMW(_a, _r, _set, _clr) \ + OS_REG_WRITE(_a, _r, (OS_REG_READ(_a, _r) & ~(_clr)) | (_set)) +#define OS_REG_SET_BIT(_a, _r, _f) \ + OS_REG_WRITE(_a, _r, OS_REG_READ(_a, _r) | _f) +#define OS_REG_CLR_BIT(_a, _r, _f) \ + OS_REG_WRITE(_a, _r, OS_REG_READ(_a, _r) &~ _f) + + +/* wait for the register contents to have the specified value */ +extern HAL_BOOL ath_hal_wait(struct ath_hal *, a_uint32_t reg, + a_uint32_t mask, a_uint32_t val); + +extern void ath_hal_vprintf(struct ath_hal *, const char*, __va_list); + +/* allocate and free memory */ +extern void *ath_hal_malloc(size_t); +extern void ath_hal_free(void *); + +/* + * Generic get/set capability support. Each chip overrides + * this routine to support chip-specific capabilities. + */ +extern HAL_STATUS ath_hal_getcapability(struct ath_hal *ah, + HAL_CAPABILITY_TYPE type, a_uint32_t capability, + a_uint32_t *result); +extern HAL_BOOL ath_hal_setcapability(struct ath_hal *ah, + HAL_CAPABILITY_TYPE type, a_uint32_t capability, + a_uint32_t setting, HAL_STATUS *status); + +#endif /* _ATH_AH_INTERAL_H_ */ diff --git a/target_firmware/wlan/ah_osdep.c b/target_firmware/wlan/ah_osdep.c new file mode 100755 index 0000000..bfcc608 --- /dev/null +++ b/target_firmware/wlan/ah_osdep.c @@ -0,0 +1,130 @@ +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "ah.h" +#include +#include "ah_osdep.h" + +a_uint32_t __ahdecl +ath_hal_getuptime(struct ath_hal *ah) +{ + return adf_os_getuptime(); +} + +struct ath_hal * +_ath_hal_attach_tgt(a_uint32_t devid, HAL_SOFTC sc, + adf_os_device_t dev,HAL_BUS_HANDLE sh, a_uint32_t flags, void* s) +{ + HAL_STATUS status; + struct ath_hal *ah = ath_hal_attach_tgt(devid,sc,dev,sh, flags, &status); + adf_os_print(" ath_hal = %p \n",ah); + *(HAL_STATUS *)s = status; + return ah; +} + +void +ath_hal_detach(struct ath_hal *ah) +{ + (*ah->ah_detach)(ah); +} + +extern void *global_hdl; + +/* + * Memory-mapped device register read/write. These are here + * as routines when debugging support is enabled and/or when + * explicitly configured to use function calls. The latter is + * for architectures that might need to do something before + * referencing memory (e.g. remap an i/o window). + * + * NB: see the comments in ah_osdep.h about byte-swapping register + * reads and writes to understand what's going on below. + */ +void __ahdecl +ath_hal_reg_write_target(struct ath_hal *ah, a_uint32_t reg, a_uint32_t val) +{ + adf_os_reg_write32(ah->ah_dev, reg, val); +} + +a_uint32_t __ahdecl +ath_hal_reg_read_target(struct ath_hal *ah, a_uint32_t reg) +{ + a_uint32_t val; + + val = adf_os_reg_read32(ah->ah_dev, reg); + + return val; +} + +/* + * Delay n microseconds. + */ +void __ahdecl +ath_hal_delay(a_int32_t n) +{ + adf_os_udelay(n); +} + +/* + * Allocate/free memory. + */ +void * __ahdecl +ath_hal_malloc(adf_os_size_t size) +{ + void *p; + + p = adf_os_mem_alloc(size); + if (p) + adf_os_mem_zero(p, size); + + return p; +} + +void __ahdecl +ath_hal_free(void* p) +{ + adf_os_mem_free(p); +} + +void __ahdecl +ath_hal_memzero(void *dst, adf_os_size_t n) +{ + adf_os_mem_set(dst, 0, n); +} + +void * __ahdecl +ath_hal_memcpy(void *dst, void *src, adf_os_size_t n) +{ + adf_os_mem_copy(dst, src, n); + return 0; +} + +/* + * Print/log message support. + */ +void __ahdecl +ath_hal_vprintf(struct ath_hal *ah, const char* fmt, va_list ap) +{ +} + +enum { + DEV_ATH = 9, /* XXX must match driver */ +}; + +adf_os_module_dep(hal, adf_net); +adf_os_module_dep(hal, hal); +adf_os_virt_module_name(hal); diff --git a/target_firmware/wlan/ah_osdep.h b/target_firmware/wlan/ah_osdep.h new file mode 100755 index 0000000..54b2a9b --- /dev/null +++ b/target_firmware/wlan/ah_osdep.h @@ -0,0 +1,191 @@ +/*- + * Copyright (c) 2002-2004 Sam Leffler, Errno Consulting, Atheros + * Communications, Inc. All rights reserved. + * + * Redistribution and use in source and binary forms are permitted + * provided that the following conditions are met: + * 1. The materials contained herein are unmodified and are used + * unmodified. + * 2. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following NO + * ''WARRANTY'' disclaimer below (''Disclaimer''), without + * modification. + * 3. Redistributions in binary form must reproduce at minimum a + * disclaimer similar to the Disclaimer below and any redistribution + * must be conditioned upon including a substantially similar + * Disclaimer requirement for further binary redistribution. + * 4. Neither the names of the above-listed copyright holders nor the + * names of any contributors may be used to endorse or promote + * product derived from this software without specific prior written + * permission. + * + * NO WARRANTY + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS + * ''AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT + * LIMITED TO, THE IMPLIED WARRANTIES OF NONINFRINGEMENT, + * MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. + * IN NO EVENT SHALL THE COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE + * FOR SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT + * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF + * USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND + * ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT + * OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF + * SUCH DAMAGES. + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/hal/main/linux/ah_osdep.h#1 $ + */ +#ifndef _ATH_AH_OSDEP_H_ +#define _ATH_AH_OSDEP_H_ +/* + * Atheros Hardware Access Layer (HAL) OS Dependent Definitions. + */ + +/* + * Starting with 2.6.4 the kernel supports a configuration option + * to pass parameters in registers. If this is enabled we must + * mark all function interfaces in+out of the HAL to pass parameters + * on the stack as this is the convention used internally (for + * maximum portability). + * + * XXX A lot of functions have __ahdecl in their definition but not declaration + * So compile breaks. + * Since This is only an issue for i386 which has regparam enabled, instead of + * changing the vanilla FC3 kernel, for now, remove the regparm + * disabling. + */ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +/* CONFIG_REGPARM has been removed from 2.6.20 onwards. + * Since this is relevant only for i386 architectures, changing check to + * i386. + */ + +#ifdef __adf_i386__ +#define __ahdecl __attribute__((regparm(0))) +#else +#define __ahdecl +#endif +#ifndef adf_os_packed +#define adf_os_packed __attribute__((__packed__)) +#endif +#ifdef __FreeBSD__ +/* + * When building the HAL proper we use no GPL-contaminated include + * files and must define these types ourself. Beware of these being + * mismatched against the contents of + */ +/* NB: arm defaults to unsigned so be explicit */ +/* cometothis later when seperating os deps */ +typedef __va_list va_list; +// typedef void *va_list; +#define va_start(ap, last) \ + __builtin_stdarg_start((ap), (last)) + +#define va_end(ap) \ + __builtin_va_end(ap) +#endif + +/* + * Linux/BSD gcc compatibility shims. + */ +#ifdef TODO //freebsd has definition +#define __printflike(_a,_b) \ + __attribute__ ((__format__ (__printf__, _a, _b))) +#endif +#ifndef __va_list +#define __va_list va_list +#endif +#ifdef TODO //freebsd has definition +#define OS_INLINE __inline +#endif + +typedef void* HAL_SOFTC; +typedef a_int32_t HAL_BUS_TAG; +typedef void* HAL_BUS_HANDLE; +typedef a_uint32_t HAL_BUS_ADDR; /* XXX architecture dependent */ + +/* + * Delay n microseconds. + */ +extern void __ahdecl ath_hal_delay(a_int32_t); +#define OS_DELAY(_n) ath_hal_delay(_n) + +extern void* __ahdecl ath_hal_ioremap(a_uint32_t addr, a_uint32_t len); +#define OS_REMAP(_addr, _len) ath_hal_ioremap(_addr, _len) + +#define OS_MEMZERO(_a, _n) ath_hal_memzero((_a), (_n)) +extern void __ahdecl ath_hal_memzero(void *, size_t); +#define OS_MEMCPY(_d, _s, _n) ath_hal_memcpy(_d,_s,_n) +extern void * __ahdecl ath_hal_memcpy(void *, void *, size_t); + +#ifndef abs +#define abs(_a) __builtin_abs(_a) +#endif + +struct ath_hal; +extern a_uint32_t __ahdecl ath_hal_getuptime(struct ath_hal *); +#define OS_GETUPTIME(_ah) ath_hal_getuptime(_ah) + +/* + * Byte order/swapping support. + */ +#define AH_LITTLE_ENDIAN 1234 +#define AH_BIG_ENDIAN 4321 + +#if AH_BYTE_ORDER == AH_BIG_ENDIAN +/* + * This could be optimized but since we only use it for + * a few registers there's little reason to do so. + */ +#ifndef __bswap32 +static inline a_uint32_t +__bswap32(a_uint32_t _x) +{ + return ((a_uint32_t)( + (((const a_uint8_t *)(&_x))[0] ) | + (((const a_uint8_t *)(&_x))[1]<< 8) | + (((const a_uint8_t *)(&_x))[2]<<16) | + (((const a_uint8_t *)(&_x))[3]<<24)) + ); +} +#endif +#ifndef __bswap16 +#define __bswap16(_x) ( (a_uint16_t)( (((const a_uint8_t *)(&_x))[0] ) |\ + ( ( (const a_uint8_t *)( &_x ) )[1]<< 8) ) ) +#endif +#else +#ifndef __bswap32 +#define __bswap32(_x) (_x) +#endif +#ifndef __bswap16 +#define __bswap16(_x) (_x) +#endif +#endif + +#define OS_REG_WRITE(_ah, _reg, _val) ath_hal_reg_write_target(_ah, _reg, _val) +#define OS_REG_READ(_ah, _reg) ath_hal_reg_read_target(_ah, _reg) + +extern void __ahdecl ath_hal_reg_write_target(struct ath_hal *ah, + a_uint32_t reg, a_uint32_t val); +extern a_uint32_t __ahdecl ath_hal_reg_read_target(struct ath_hal *ah, a_uint32_t reg); + +#define AH_USE_EEPROM 0x00000001 +extern struct ath_hal *_ath_hal_attach_tgt( a_uint32_t,HAL_SOFTC,adf_os_device_t,HAL_BUS_HANDLE, + a_uint32_t flags, void* status); +extern void ath_hal_detach(struct ath_hal *); +#endif /* _ATH_AH_OSDEP_H_ */ diff --git a/target_firmware/wlan/ar5416.h b/target_firmware/wlan/ar5416.h new file mode 100755 index 0000000..9fb0df8 --- /dev/null +++ b/target_firmware/wlan/ar5416.h @@ -0,0 +1,83 @@ +#include + +extern HAL_STATUS ar5416GetCapability(struct ath_hal *, HAL_CAPABILITY_TYPE, + a_uint32_t, a_uint32_t *); +extern const HAL_RATE_TABLE *ar5416GetRateTable(struct ath_hal *, a_uint32_t mode); +extern HAL_BOOL ar5416IsInterruptPending(struct ath_hal *ah); +extern HAL_BOOL ar5416GetPendingInterrupts(struct ath_hal *ah, HAL_INT *); +extern HAL_INT ar5416GetInterrupts(struct ath_hal *ah); +extern HAL_INT ar5416SetInterrupts(struct ath_hal *ah, HAL_INT ints); +extern a_uint32_t ar5416Get11nExtBusy(struct ath_hal *ah); +extern HAL_HT_RXCLEAR ar5416Get11nRxClear(struct ath_hal *ah); +extern void ar5416Set11nRxClear(struct ath_hal *ah, HAL_HT_RXCLEAR rxclear); +extern a_uint32_t ar5416GetTsf32(struct ath_hal *ah); +extern u_int64_t ar5416GetTsf64(struct ath_hal *ah); +extern void ar5416ResetTsf(struct ath_hal *ah); +extern void ar5416Detach(struct ath_hal *ah); + +typedef enum Ar5416_Rates { + rate6mb, rate9mb, rate12mb, rate18mb, + rate24mb, rate36mb, rate48mb, rate54mb, + rate1l, rate2l, rate2s, rate5_5l, + rate5_5s, rate11l, rate11s, rateXr, + rateHt20_0, rateHt20_1, rateHt20_2, rateHt20_3, + rateHt20_4, rateHt20_5, rateHt20_6, rateHt20_7, + rateHt40_0, rateHt40_1, rateHt40_2, rateHt40_3, + rateHt40_4, rateHt40_5, rateHt40_6, rateHt40_7, + rateDupCck, rateDupOfdm, rateExtCck, rateExtOfdm, + Ar5416RateSize +} AR5416_RATES; + +#ifdef MAGPIE_MERLIN +#define AR_SREV_HOWL(ah) ((AH_PRIVATE(ah)->ah_macVersion) == AR_SREV_VERSION_HOWL) +#define IS_5416_HOWL AR_SREV_HOWL + +#define AR5416_RATES_OFDM_OFFSET 0 +#define AR5416_RATES_CCK_OFFSET 8 +#define AR5416_RATES_HT20_OFFSET 16 +#define AR5416_RATES_HT40_OFFSET 24 + +/* Delta from which to start power to pdadc table */ + +#define AR5416_PWR_TABLE_OFFSET -5 +#define AR5416_LEGACY_CHAINMASK 1 +#define AR5416_1_CHAINMASK 1 +#define AR5416_2LOHI_CHAINMASK 5 +#define AR5416_2LOMID_CHAINMASK 3 +#define AR5416_3_CHAINMASK 7 + +#define AH5416(_ah) ((struct ath_hal_5416 *)(_ah)) + +#else // For Owl + +#endif // MAGPIE_MERLIN + +#define AR5416_LEGACY_CHAINMASK 1 + +#define AH5416(_ah) ((struct ath_hal_5416 *)(_ah)) + +/* + * Various fifo fill before Tx start, in 64-byte units + * i.e. put the frame in the air while still DMAing + */ +#define MIN_TX_FIFO_THRESHOLD 0x1 +#define MAX_TX_FIFO_THRESHOLD (( 4096 / 64) - 1) +#define INIT_TX_FIFO_THRESHOLD MIN_TX_FIFO_THRESHOLD + +struct ath_hal_5416 +{ + struct ath_hal_private ah_priv; /* base class */ + a_uint16_t ah_antennaSwitchSwap; /* Controls mapping of OID request */ + a_uint32_t ah_maskReg; /* copy of AR_IMR */ + + a_uint32_t ah_slottime; /* user-specified slot time */ + a_int16_t ah_txPowerIndexOffset; + + a_uint32_t ah_intrTxqs; + void *ah_cal_mem; + a_uint16_t ah_ratesArray[Ar5416RateSize]; +#ifdef MAGPIE_MERLIN + /* HT CWM state */ + HAL_HT_CWM ah_htcwm; +#endif +}; diff --git a/target_firmware/wlan/ar5416Phy.c b/target_firmware/wlan/ar5416Phy.c new file mode 100755 index 0000000..29408dd --- /dev/null +++ b/target_firmware/wlan/ar5416Phy.c @@ -0,0 +1,229 @@ +/* + * Copyright (c) 2000-2002 Atheros Communications, Inc., All Rights Reserved + * + */ + +#ident "$Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/ratectrl11n/ar5416Phy.c#5 $" + +#include "ratectrl.h" +#include "ratectrl11n.h" + +#define SHORT_PRE 1 +#define LONG_PRE 0 + +#define WLAN_PHY_HT_20_SS WLAN_RC_PHY_HT_20_SS +#define WLAN_PHY_HT_20_SS_HGI WLAN_RC_PHY_HT_20_SS_HGI +#define WLAN_PHY_HT_20_DS WLAN_RC_PHY_HT_20_DS +#define WLAN_PHY_HT_20_DS_HGI WLAN_RC_PHY_HT_20_DS_HGI +#define WLAN_PHY_HT_40_SS WLAN_RC_PHY_HT_40_SS +#define WLAN_PHY_HT_40_DS WLAN_RC_PHY_HT_40_DS +#define WLAN_PHY_HT_40_DS_HGI WLAN_RC_PHY_HT_40_DS_HGI +#define WLAN_PHY_HT_40_SS_HGI WLAN_RC_PHY_HT_40_SS_HGI + + +/* TRUE_ALL_11N - valid for 20/40/Legacy, TRUE - Legacy only, TRUE_20 - HT 20 only, TRUE_40 - HT 40 only */ +/* 4ms frame limit not used for NG mode. The values filled for HT are the 64K max aggregate limit */ + +#ifndef MAGPIE_MERLIN // K2 + +RATE_TABLE_11N ar5416_11ngRateTable = { + + 54, /* number of rates - should match the no. of rows below */ + 100, /* probe interval */ + 50, /* rssi reduce interval */ + WLAN_RC_HT_FLAG, /* Phy rates allowed initially */ + {/* Multiple Single */ + /* stream stream short dot11 ctrl RssiAck RssiAck Base CW40 SGI Ht tx chain 4ms tx valid for*/ + /* valid valid Kbps uKbps RC Preamble Rate Rate ValidMin DeltaMin Idx Idx Idx Idx mask limit UAPSD */ + /* 1 Mb [0] */ { TRUE_ALL_11N, TRUE_ALL_11N, WLAN_PHY_CCK, 1000, 900, 0x1b, 0x00, 2, 0, 0, 1, 0, 0, 0, 0, 3, 7, 0, TRUE }, + /* 2 Mb [1] */ { TRUE_ALL_11N, TRUE_ALL_11N, WLAN_PHY_CCK, 2000, 1900, 0x1a, 0x04, 4, 1, 1, 1, 1, 1, 1, 1, 3, 7, 0, FALSE}, + /* 5.5 Mb [2] */ { TRUE_ALL_11N, TRUE_ALL_11N, WLAN_PHY_CCK, 5500, 4900, 0x19, 0x04, 11, 2, 2, 2, 2, 2, 2, 2, 3, 7, 0, FALSE}, + /* 11 Mb [3] */ { TRUE_ALL_11N, TRUE_ALL_11N, WLAN_PHY_CCK, 11000, 8100, 0x18, 0x04, 22, 3, 3, 2, 3, 3, 3, 3, 3, 7, 0, TRUE}, + /* 6 Mb [4] */ { FALSE, FALSE, WLAN_PHY_OFDM, 6000, 5400, 0x0b, 0x00, 12, 4, 2, 1, 4, 4, 4, 4, 3, 7, 0, FALSE}, + /* 9 Mb [5] */ { FALSE, FALSE, WLAN_PHY_OFDM, 9000, 7800, 0x0f, 0x00, 18, 4, 3, 1, 5, 5, 5, 5, 3, 7, 0, FALSE}, + /* 12 Mb [6] */ { TRUE, TRUE, WLAN_PHY_OFDM, 12000, 10100, 0x0a, 0x00, 24, 6, 4, 1, 6, 6, 6, 6, 3, 7, 0, FALSE}, + /* 18 Mb [7] */ { TRUE, TRUE, WLAN_PHY_OFDM, 18000, 14100, 0x0e, 0x00, 36, 6, 6, 2, 7, 7, 7, 7, 3, 7, 0, FALSE}, + /* 24 Mb [8] */ { TRUE, TRUE, WLAN_PHY_OFDM, 24000, 17700, 0x09, 0x00, 48, 8, 10, 3, 8, 8, 8, 8, 3, 7, 0, TRUE}, + /* 36 Mb [9] */ { TRUE, TRUE, WLAN_PHY_OFDM, 36000, 23700, 0x0d, 0x00, 72, 8, 14, 3, 9, 9, 9, 9, 3, 7, 0, FALSE}, + /* 48 Mb [10] */ { TRUE, TRUE, WLAN_PHY_OFDM, 48000, 27400, 0x08, 0x00, 96, 8, 20, 3, 10, 10, 10, 10, 1, 1, 0, FALSE}, + /* 54 Mb [11] */ { TRUE, TRUE, WLAN_PHY_OFDM, 54000, 30900, 0x0c, 0x00, 108, 8, 23, 3, 11, 11, 11, 11, 1, 1, 0, TRUE}, + /* 6.5 Mb [12] */ { FALSE, FALSE, WLAN_PHY_HT_20_SS,6500, 6400, 0x80, 0x00, 0, 4, 2, 3, 12, 33, 12, 33, 3, 7, 3216, TRUE}, + /* 13 Mb [13] */ { TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,13000, 12700, 0x81, 0x00, 1, 6, 4, 3, 13, 34, 13, 34, 3, 7, 6434, TRUE}, + /* 19.5 Mb [14] */ { TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,19500, 18800, 0x82, 0x00, 2, 6, 6, 3, 14, 35, 14, 35, 3, 7, 9650, FALSE}, + /* 26 Mb [15] */ { TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,26000, 25000, 0x83, 0x00, 3, 8, 10, 3, 15, 36, 15, 36, 3, 7, 12868, FALSE}, + /* 39 Mb [16] */ { TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,39000, 36700, 0x84, 0x00, 4, 8, 14, 3, 16, 37, 17, 38, 3, 7, 19304, TRUE}, + /* 43.3 Mb [17] */ { FALSE, TRUE_20, WLAN_PHY_HT_20_SS_HGI,43300, 39200, 0x84, 0x00, 4, 8, 14, 3, 16, 37, 17, 38, 3, 7, 21460, TRUE}, + /* 52 Mb [18] */ { FALSE, TRUE_20, WLAN_PHY_HT_20_SS,52000, 48100, 0x85, 0x00, 5, 8, 20, 3, 18, 39, 19, 40, 1, 1, 25740, FALSE}, + /* 57.8 Mb [19] */ { FALSE, TRUE_20, WLAN_PHY_HT_20_SS_HGI,57800, 52300, 0x85, 0x00, 5, 8, 20, 3, 18, 39, 19, 40, 1, 1, 28620, FALSE}, + /* 58.5 Mb [20] */ { FALSE, TRUE_20, WLAN_PHY_HT_20_SS,58500, 53500, 0x86, 0x00, 6, 8, 23, 3, 20, 41, 21, 42, 1, 1, 28956, FALSE}, + /* 65 Mb [21] */ { FALSE, TRUE_20, WLAN_PHY_HT_20_SS_HGI,65000, 58800, 0x86, 0x00, 6, 8, 23, 3, 20, 41, 21, 42, 1, 1, 32200, FALSE}, + /* 65 Mb [22] */ { FALSE, TRUE_20, WLAN_PHY_HT_20_SS,65000, 58801, 0x87, 0x00, 7, 8, 25, 3, 22, 43, 23, 44, 1, 1, 32200, TRUE}, + /* 72.2 Mb [23] */ { FALSE, TRUE_20, WLAN_PHY_HT_20_SS_HGI,72200, 65400, 0x87, 0x00, 7, 8, 25, 3, 22, 43, 23, 44, 1, 1, 35750, TRUE}, + /* 52 Mb [24] */ { TRUE_20, FALSE, WLAN_PHY_HT_20_DS,52000, 48100, 0x8b, 0x00, 11, 8, 10, 3, 24, 45, 24, 45, 3, 7, 25736, FALSE}, + /* 78 Mb [25] */ { TRUE_20, FALSE, WLAN_PHY_HT_20_DS,78000, 69500, 0x8c, 0x00, 12, 8, 14, 3, 25, 46, 26, 47, 3, 7, 38600, TRUE}, + /* 86.7 Mb [26] */ { FALSE, FALSE, WLAN_PHY_HT_20_DS_HGI,86700, 78400, 0x8c, 0x00, 12, 8, 14, 3, 25, 46, 26, 47, 3, 7, 42890, TRUE}, + /* 104 Mb [27] */ { TRUE_20, FALSE, WLAN_PHY_HT_20_DS,104000,89500, 0x8d, 0x00, 13, 8, 20, 3, 27, 48, 28, 49, 3, 5, 51472, FALSE}, + /* 115.6Mb [28] */ { FALSE, FALSE, WLAN_PHY_HT_20_DS_HGI,115600,103900,0x8d, 0x00, 13, 8, 20, 3, 27, 48, 28, 49, 3, 5, 57190, FALSE}, + /* 117 Mb [29] */ { TRUE_20, FALSE, WLAN_PHY_HT_20_DS,117000,105200,0x8e, 0x00, 14, 8, 23, 3, 29, 50, 30, 51, 3, 5, 57890, FALSE}, + /* 130 Mb [30] */ { FALSE, FALSE, WLAN_PHY_HT_20_DS_HGI,130000,116090,0x8e, 0x00, 14, 8, 23, 3, 29, 50, 30, 51, 3, 5, 64320, FALSE}, + /* 130 Mb [31] */ { TRUE_20, FALSE, WLAN_PHY_HT_20_DS,130000,116100,0x8f, 0x00, 15, 8, 25, 3, 31, 52, 32, 53, 3, 5, 64320, TRUE}, + /* 144.4Mb [32] */ { TRUE_20, FALSE, WLAN_PHY_HT_20_DS_HGI,144400,128100,0x8f, 0x00, 15, 8, 25, 3, 31, 52, 32, 53, 3, 5, 71490, TRUE}, + /* 13.5 Mb [33] */ { TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,13500, 13200, 0x80, 0x00, 0, 8, 2, 3, 12, 33, 33, 33, 3, 7, 6684, TRUE}, + /* 27.0 Mb [34] */ { TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,27500, 25900, 0x81, 0x00, 1, 8, 4, 3, 13, 34, 34, 34, 3, 7, 13368, TRUE}, + /* 40.5 Mb [35] */ { TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,40500, 38600, 0x82, 0x00, 2, 8, 6, 3, 14, 35, 35, 35, 3, 7, 20052, FALSE}, + /* 54 Mb [36] */ { TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,54000, 49800, 0x83, 0x00, 3, 8, 10, 3, 15, 36, 36, 36, 3, 7, 26738, FALSE}, + /* 81 Mb [37] */ { TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,81500, 72200, 0x84, 0x00, 4, 8, 14, 3, 16, 37, 38, 38, 3, 7, 40104, TRUE}, + /* 90 Mb [38] */ { FALSE, TRUE_40, WLAN_PHY_HT_40_SS_HGI,90000, 81500, 0x84, 0x00, 4, 8, 14, 3, 16, 37, 38, 38, 3, 7, 44590, TRUE}, + /* 108 Mb [39] */ { FALSE, TRUE_40, WLAN_PHY_HT_40_SS,108000,92900, 0x85, 0x00, 5, 8, 20, 3, 18, 39, 40, 40, 1, 1, 53476, FALSE}, + /* 120 Mb [40] */ { FALSE, TRUE_40, WLAN_PHY_HT_40_SS_HGI,120000,102100,0x85, 0x00, 5, 8, 20, 3, 18, 39, 40, 40, 1, 1, 59450, FALSE}, + /* 121.5Mb [41] */ { FALSE, TRUE_40, WLAN_PHY_HT_40_SS,121500,102700,0x86, 0x00, 6, 8, 23, 3, 20, 41, 42, 42, 1, 1, 60156, FALSE}, + /* 135 Mb [42] */ { FALSE, TRUE_40, WLAN_PHY_HT_40_SS_HGI,135000,111900,0x86, 0x00, 6, 8, 23, 3, 20, 41, 42, 42, 1, 1, 66840, FALSE}, + /* 135 Mb [43] */ { FALSE, TRUE_40, WLAN_PHY_HT_40_SS,135000,112000,0x87, 0x00, 7, 8, 25, 3, 22, 43, 44, 44, 1, 1, 66840, TRUE}, + /* 150 Mb [44] */ { FALSE, TRUE_40, WLAN_PHY_HT_40_SS_HGI,150000,122000,0x87, 0x00, 7, 8, 25, 3, 22, 43, 44, 44, 1, 1, 74200, TRUE}, + /* 108 Mb [45] */ { TRUE_40, FALSE, WLAN_PHY_HT_40_DS,108000,92500, 0x8b, 0x00, 11, 8, 10, 3, 24, 45, 45, 45, 3, 7, 53440, FALSE}, + /* 162 Mb [46] */ { TRUE_40, FALSE, WLAN_PHY_HT_40_DS,162000,130300,0x8c, 0x00, 12, 8, 14, 3, 25, 46, 47, 47, 3, 7, 80160, TRUE}, + /* 180 Mb [47] */ { FALSE, FALSE, WLAN_PHY_HT_40_DS_HGI,180000,156900,0x8c, 0x00, 12, 8, 14, 3, 25, 46, 47, 47, 3, 7, 89090, TRUE}, + /* 216 Mb [48] */ { TRUE_40, FALSE, WLAN_PHY_HT_40_DS,216000,162800,0x8d, 0x00, 13, 8, 20, 3, 27, 48, 49, 49, 3, 5, 106880, FALSE}, + /* 240 Mb [49] */ { FALSE, FALSE, WLAN_PHY_HT_40_DS_HGI,240000,178000,0x8d, 0x00, 13, 8, 20, 3, 27, 48, 49, 49, 3, 5, 118790, FALSE}, + /* 243 Mb [50] */ { TRUE_40, FALSE, WLAN_PHY_HT_40_DS,243000,178200,0x8e, 0x00, 14, 8, 23, 3, 29, 50, 51, 51, 3, 5, 120240, FALSE}, + /* 270 Mb [51] */ { FALSE, FALSE, WLAN_PHY_HT_40_DS_HGI,270000,192050,0x8e, 0x00, 14, 8, 23, 3, 29, 50, 51, 51, 3, 5, 133600, FALSE}, + /* 270 Mb [52] */ { TRUE_40, FALSE, WLAN_PHY_HT_40_DS,270000,192100,0x8f, 0x00, 15, 8, 23, 3, 31, 52, 53, 53, 3, 5, 133600, FALSE}, + /* 300 Mb [53] */ { TRUE_40, FALSE, WLAN_PHY_HT_40_DS_HGI,300000,207000,0x8f, 0x00, 15, 8, 25, 3, 31, 52, 53, 53, 3, 5, 148400, TRUE}, + /* Multiple Single */ + /* stream stream short dot11 ctrl RssiAck RssiAck Base CW40 SGI Ht tx chain 4ms tx valid for*/ + /* valid valid Kbps uKbps RC Preamble Rate Rate ValidMin DeltaMin Idx Idx Idx Idx mask limit UAPSD */ + }, +}; + +#else + +RATE_TABLE_11N ar5416_11ngRateTable = { + + 46, /* number of rates - should match the no. of rows below */ + 50, /* probe interval */ + 50, /* rssi reduce interval */ + WLAN_RC_HT_FLAG, /* Phy rates allowed initially */ + {/* Multiple Single Single */ + /* stream stream stream short dot11 ctrl RssiAck RssiAck Base CW40 SGI Ht tx chain 4ms tx valid for*/ + /* valid valid STBC Kbps uKbps RC Preamble Rate Rate ValidMin DeltaMin Idx Idx Idx Idx mask limit UAPSD */ + /* 1 Mb [0] */ { TRUE_ALL_11N, TRUE_ALL_11N, TRUE_ALL_11N, WLAN_PHY_CCK, 1000, 900, 0x1b, 0x00, 2, 0, 0, 1, 0, 0, 0, 0, 3, 7, 0, TRUE }, + /* 2 Mb [1] */ { TRUE_ALL_11N, TRUE_ALL_11N, TRUE_ALL_11N, WLAN_PHY_CCK, 2000, 1900, 0x1a, 0x04, 4, 1, 1, 1, 1, 1, 1, 1, 3, 7, 0, FALSE}, + /* 5.5 Mb [2] */ { TRUE_ALL_11N, TRUE_ALL_11N, TRUE_ALL_11N, WLAN_PHY_CCK, 5500, 4900, 0x19, 0x04, 11, 2, 2, 2, 2, 2, 2, 2, 3, 7, 0, FALSE}, + /* 11 Mb [3] */ { TRUE_ALL_11N, TRUE_ALL_11N, TRUE_ALL_11N, WLAN_PHY_CCK, 11000, 8100, 0x18, 0x04, 22, 3, 3, 2, 3, 3, 3, 3, 3, 7, 0, TRUE}, + /* 6 Mb [4] */ { FALSE, FALSE, FALSE, WLAN_PHY_OFDM, 6000, 5400, 0x0b, 0x00, 12, 4, 2, 1, 4, 4, 4, 4, 3, 7, 0, FALSE}, + /* 9 Mb [5] */ { FALSE, FALSE, FALSE, WLAN_PHY_OFDM, 9000, 7800, 0x0f, 0x00, 18, 4, 3, 1, 5, 5, 5, 5, 3, 7, 0, FALSE}, + /* 12 Mb [6] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 12000, 10100, 0x0a, 0x00, 24, 6, 4, 1, 6, 6, 6, 6, 3, 7, 0, FALSE}, + /* 18 Mb [7] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 18000, 14100, 0x0e, 0x00, 36, 6, 6, 2, 7, 7, 7, 7, 3, 7, 0, FALSE}, + /* 24 Mb [8] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 24000, 17700, 0x09, 0x00, 48, 8, 10, 3, 8, 8, 8, 8, 3, 7, 0, TRUE}, + /* 36 Mb [9] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 36000, 23700, 0x0d, 0x00, 72, 8, 14, 3, 9, 9, 9, 9, 3, 7, 0, FALSE}, + /* 48 Mb [10] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 48000, 27400, 0x08, 0x00, 96, 8, 20, 3, 10, 10, 10, 10, 1, 1, 0, FALSE}, + /* 54 Mb [11] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 54000, 30900, 0x0c, 0x00, 108, 8, 23, 3, 11, 11, 11, 11, 1, 1, 0, TRUE}, + /* 6.5 Mb [12] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_20_SS,6500, 6400, 0x80, 0x00, 0, 4, 2, 3, 12, 28, 12, 28, 3, 7, 3216, TRUE}, + /* 13 Mb [13] */ { TRUE_20, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,13000, 12700, 0x81, 0x00, 1, 6, 4, 3, 13, 29, 13, 29, 3, 7, 6434, TRUE}, + /* 19.5 Mb [14] */ { TRUE_20, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,19500, 18800, 0x82, 0x00, 2, 6, 6, 3, 14, 30, 14, 30, 3, 7, 9650, FALSE}, + /* 26 Mb [15] */ { TRUE_20, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,26000, 25000, 0x83, 0x00, 3, 8, 10, 3, 15, 31, 15, 31, 3, 7, 12868, FALSE}, + /* 39 Mb [16] */ { TRUE_20, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,39000, 36700, 0x84, 0x00, 4, 8, 14, 3, 16, 32, 16, 32, 3, 7, 19304, TRUE}, + /* 52 Mb [17] */ { FALSE, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,52000, 48100, 0x85, 0x00, 5, 8, 20, 3, 17, 33, 17, 33, 1, 1, 25740, FALSE}, + /* 58.5 Mb [18] */ { FALSE, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,58500, 53500, 0x86, 0x00, 6, 8, 23, 3, 18, 34, 18, 34, 1, 1, 28956, FALSE}, + /* 65 Mb [19] */ { FALSE, TRUE_20, FALSE, WLAN_PHY_HT_20_SS,65000, 59000, 0x87, 0x00, 7, 8, 25, 3, 19, 35, 19, 36, 1, 1, 32180, TRUE}, + /* 13 Mb [20] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_20_DS,13000, 12700, 0x88, 0x00, 8, 4, 2, 3, 20, 37, 20, 37, 3, 7, 6430, TRUE}, + /* 26 Mb [21] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_20_DS,26000, 24800, 0x89, 0x00, 9, 6, 4, 3, 21, 38, 21, 38, 3, 7, 12860, FALSE}, + /* 39 Mb [22] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_20_DS,39000, 36600, 0x8a, 0x00, 10, 6, 6, 3, 22, 39, 22, 39, 3, 7, 19300, TRUE}, + /* 52 Mb [23] */ { TRUE_20, FALSE, FALSE, WLAN_PHY_HT_20_DS,52000, 48100, 0x8b, 0x00, 11, 8, 10, 3, 23, 40, 23, 40, 3, 7, 25736, FALSE}, + /* 78 Mb [24] */ { TRUE_20, FALSE, TRUE_20, WLAN_PHY_HT_20_DS,78000, 69500, 0x8c, 0x00, 12, 8, 14, 3, 24, 41, 24, 41, 3, 7, 38600, TRUE}, + /* 104 Mb [25] */ { TRUE_20, FALSE, TRUE_20, WLAN_PHY_HT_20_DS,104000,89500, 0x8d, 0x00, 13, 8, 20, 3, 25, 42, 25, 42, 3, 5, 51472, FALSE}, + /* 117 Mb [26] */ { TRUE_20, FALSE, TRUE_20, WLAN_PHY_HT_20_DS,117000,98900, 0x8e, 0x00, 14, 8, 23, 3, 26, 43, 26, 44, 3, 5, 57890, FALSE}, + /* 130 Mb [27] */ { TRUE_20, FALSE, TRUE_20, WLAN_PHY_HT_20_DS,130000,108300,0x8f, 0x00, 15, 8, 25, 3, 27, 44, 27, 45, 3, 5, 64320, TRUE}, + /* 13.5 Mb [28] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,13500, 13200, 0x80, 0x00, 0, 8, 2, 3, 12, 28, 28, 28, 3, 7, 6684, TRUE}, + /* 27.0 Mb [29] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,27500, 25900, 0x81, 0x00, 1, 8, 4, 3, 13, 29, 29, 29, 3, 7, 13368, TRUE}, + /* 40.5 Mb [30] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,40500, 38600, 0x82, 0x00, 2, 8, 6, 3, 14, 30, 30, 30, 3, 7, 20052, FALSE}, + /* 54 Mb [31] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,54000, 49800, 0x83, 0x00, 3, 8, 10, 3, 15, 31, 31, 31, 3, 7, 26738, FALSE}, + /* 81 Mb [32] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,81500, 72200, 0x84, 0x00, 4, 8, 14, 3, 16, 32, 32, 32, 3, 7, 40104, TRUE}, + /* 108 Mb [33] */ { FALSE, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,108000,92900, 0x85, 0x00, 5, 8, 20, 3, 17, 33, 33, 33, 1, 1, 53476, FALSE}, + /* 121.5Mb [34] */ { FALSE, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,121500,102700,0x86, 0x00, 6, 8, 23, 3, 18, 34, 34, 34, 1, 1, 60156, FALSE}, + /* 135 Mb [35] */ { FALSE, TRUE_40, FALSE, WLAN_PHY_HT_40_SS,135000,112000,0x87, 0x00, 7, 8, 25, 3, 19, 35, 36, 36, 1, 1, 66840, TRUE}, + /* 150 Mb [36] */ { FALSE, TRUE_40, FALSE, WLAN_PHY_HT_40_SS_HGI,150000,122000,0x87, 0x00, 7, 8, 25, 3, 19, 35, 36, 36, 1, 1, 74200, TRUE}, + /* 27 Mb [37] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_40_DS,27000, 25800, 0x88, 0x00, 8, 8, 2, 3, 20, 37, 37, 37, 3, 7, 13360, TRUE}, + /* 54 Mb [38] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_40_DS,54000, 49800, 0x89, 0x00, 9, 8, 4, 3, 21, 38, 38, 38, 3, 7, 26720, FALSE}, + /* 81 Mb [39] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_40_DS,81000, 71900, 0x8a, 0x00, 10, 8, 6, 3, 22, 39, 39, 39, 3, 7, 40080, TRUE}, + /* 108 Mb [40] */ { TRUE_40, FALSE, FALSE, WLAN_PHY_HT_40_DS,108000,92500, 0x8b, 0x00, 11, 8, 10, 3, 23, 40, 40, 40, 3, 7, 53440, FALSE}, + /* 162 Mb [41] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS,162000,130300,0x8c, 0x00, 12, 8, 14, 3, 24, 41, 41, 41, 3, 7, 80160, TRUE}, + /* 216 Mb [42] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS,216000,162800,0x8d, 0x00, 13, 8, 20, 3, 25, 42, 42, 42, 3, 5, 106880, FALSE}, + /* 243 Mb [43] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS,243000,178200,0x8e, 0x00, 14, 8, 23, 3, 26, 43, 43, 43, 3, 5, 120240, FALSE}, + /* 270 Mb [44] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS,270000,192100,0x8f, 0x00, 15, 8, 23, 3, 27, 44, 45, 45, 3, 5, 133600, FALSE}, + /* 300 Mb [45] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS_HGI,300000,207000,0x8f, 0x00, 15, 8, 25, 3, 27, 44, 45, 45, 3, 5, 148400, TRUE}, + /* Multiple Single */ + /* stream stream short dot11 ctrl RssiAck RssiAck Base CW40 SGI Ht tx chain 4ms tx valid for*/ + /* valid valid Kbps uKbps RC Preamble Rate Rate ValidMin DeltaMin Idx Idx Idx Idx mask limit UAPSD */ + }, +}; + +#ifdef ATH_SUPPORT_A_MODE +//static RATE_TABLE_11N ar5416_11naRateTable = { +RATE_TABLE_11N ar5416_11naRateTable = { + + 42, /* number of rates */ + 50, /* probe interval */ + 50, /* rssi reduce interval */ + WLAN_RC_HT_FLAG, /* Phy rates allowed initially */ + {/* Multiple Single Single*/ + /* stream stream stream rate short dot11 ctrl RssiAck RssiAck Base CW40 SGI Ht tx chain 4ms tx valid for */ + /* valid valid STBC Kbps uKbps Code Preamble Rate Rate ValidMin DeltaMin Idx Idx Idx Idx mask limit UAPSD */ + /* 6 Mb [0] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 6000, 5400, 0x0b, 0x00, 12, 0, 2, 1, 0, 0, 0, 0, 3, 7, 0, TRUE}, + /* 9 Mb [1] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 9000, 7800, 0x0f, 0x00, 18, 0, 3, 1, 1, 1, 1, 1, 3, 7, 0, FALSE}, + /* 12 Mb [2] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 12000, 10000, 0x0a, 0x00, 24, 2, 4, 2, 2, 2, 2, 2, 3, 7, 0, TRUE}, + /* 18 Mb [3] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 18000, 13900, 0x0e, 0x00, 36, 2, 6, 2, 3, 3, 3, 3, 3, 7, 0, FALSE}, + /* 24 Mb [4] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 24000, 17300, 0x09, 0x00, 48, 4, 10, 3, 4, 4, 4, 4, 3, 7, 0, TRUE}, + /* 36 Mb [5] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 36000, 23000, 0x0d, 0x00, 72, 4, 14, 3, 5, 5, 5, 5, 3, 7, 0, FALSE}, + /* 48 Mb [6] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 48000, 27400, 0x08, 0x00, 96, 4, 20, 3, 6, 6, 6, 6, 1, 1, 0, FALSE}, + /* 54 Mb [7] */ { TRUE, TRUE, TRUE, WLAN_PHY_OFDM, 54000, 29300, 0x0c, 0x00, 108, 4, 23, 3, 7, 7, 7, 7, 1, 1, 0, TRUE}, + /* 6.5 Mb [8] */ { TRUE_20, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS, 6500, 6400, 0x80, 0x00, 0, 0, 2, 3, 8, 24, 8, 24, 3, 7, 3216, TRUE}, + /* 13 Mb [9] */ { TRUE_20, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,13000, 12700, 0x81, 0x00, 1, 2, 4, 3, 9, 25, 9, 25, 3, 7, 6434, TRUE}, + /* 19.5 Mb [10] */ { TRUE_20, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,19500, 18800, 0x82, 0x00, 2, 2, 6, 3, 10, 26, 10, 26, 3, 7, 9650, FALSE}, + /* 26 Mb [11] */ { TRUE_20, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,26000, 25000, 0x83, 0x00, 3, 4, 10, 3, 11, 27, 11, 27, 3, 7, 12868, FALSE}, + /* 39 Mb [12] */ { TRUE_20, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,39000, 36700, 0x84, 0x00, 4, 4, 14, 3, 12, 28, 12, 28, 3, 7, 19304, TRUE}, + /* 52 Mb [13] */ { FALSE, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,52000, 48100, 0x85, 0x00, 5, 4, 20, 3, 13, 29, 13, 29, 1, 1, 25740, FALSE}, + /* 58.5 Mb [14] */ { FALSE, TRUE_20, TRUE_20, WLAN_PHY_HT_20_SS,58500, 53500, 0x86, 0x00, 6, 4, 23, 3, 14, 30, 14, 30, 1, 1, 28956, FALSE}, + /* 65 Mb [15] */ { FALSE, TRUE_20, FALSE, WLAN_PHY_HT_20_SS,65000, 59000, 0x87, 0x00, 7, 4, 25, 3, 15, 31, 15, 32, 1, 1, 32180, TRUE}, + /* 13 Mb [16] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_20_DS,13000, 12700, 0x88, 0x00, 8, 0, 2, 3, 16, 33, 16, 33, 3, 7, 6430, TRUE}, + /* 26 Mb [17] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_20_DS,26000, 24800, 0x89, 0x00, 9, 2, 4, 3, 17, 34, 17, 34, 3, 7, 12860, FALSE}, + /* 39 Mb [18] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_20_DS,39000, 36600, 0x8a, 0x00, 10, 2, 6, 3, 18, 35, 18, 35, 3, 7, 19300, TRUE}, + /* 52 Mb [19] */ { TRUE_20, FALSE, FALSE, WLAN_PHY_HT_20_DS,52000, 48100, 0x8b, 0x00, 11, 4, 10, 3, 19, 36, 19, 36, 3, 7, 25736, FALSE}, + /* 78 Mb [20] */ { TRUE_20, FALSE, TRUE_20, WLAN_PHY_HT_20_DS,78000, 69500, 0x8c, 0x00, 12, 4, 14, 3, 20, 37, 20, 37, 3, 7, 38600, TRUE}, + /* 104 Mb [21] */ { TRUE_20, FALSE, TRUE_20, WLAN_PHY_HT_20_DS,104000,89500, 0x8d, 0x00, 13, 4, 20, 3, 21, 38, 21, 38, 3, 5, 51472, FALSE}, + /* 117 Mb [22] */ { TRUE_20, FALSE, TRUE_20, WLAN_PHY_HT_20_DS,117000,98900, 0x8e, 0x00, 14, 4, 23, 3, 22, 39, 22, 39, 3, 5, 57890, FALSE}, + /* 130 Mb [23] */ { TRUE_20, FALSE, TRUE_20, WLAN_PHY_HT_20_DS,130000,108300,0x8f, 0x00, 15, 4, 25, 3, 23, 40, 23, 41, 3, 5, 64320, TRUE}, + /* 13.5 Mb [24] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,13500, 13200, 0x80, 0x00, 0, 0, 2, 3, 8, 24, 24, 24, 3, 7, 6684, TRUE}, + /* 27.0 Mb [25] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,27500, 25900, 0x81, 0x00, 1, 2, 4, 3, 9, 25, 25, 25, 3, 7, 13368, TRUE}, + /* 40.5 Mb [26] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,40500, 38600, 0x82, 0x00, 2, 2, 6, 3, 10, 26, 26, 26, 3, 7, 20052, FALSE}, + /* 54 Mb [27] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,54000, 49800, 0x83, 0x00, 3, 4, 10, 3, 11, 27, 27, 27, 3, 7, 26738, FALSE}, + /* 81 Mb [28] */ { TRUE_40, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,81500, 72200, 0x84, 0x00, 4, 4, 14, 3, 12, 28, 28, 28, 3, 7, 40104, TRUE}, + /* 108 Mb [29] */ { FALSE, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,108000,92900, 0x85, 0x00, 5, 4, 20, 3, 13, 29, 29, 29, 1, 1, 53476, FALSE}, + /* 121.5Mb [30] */ { FALSE, TRUE_40, TRUE_40, WLAN_PHY_HT_40_SS,121500,102700,0x86, 0x00, 6, 4, 23, 3, 14, 30, 30, 30, 1, 1, 60156, FALSE}, + /* 135 Mb [31] */ { FALSE, TRUE_40, FALSE, WLAN_PHY_HT_40_SS,135000,112000,0x87, 0x00, 7, 4, 25, 3, 15, 31, 32, 32, 1, 1, 66840, TRUE}, + /* 150 Mb [32] */ { FALSE, TRUE_40, FALSE, WLAN_PHY_HT_40_SS_HGI,150000,122000,0x87, 0x00, 7, 8, 25, 3, 15, 31, 32, 32, 1, 1, 65535, TRUE}, + /* 27 Mb [33] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_40_DS,27000, 25800, 0x88, 0x00, 8, 0, 2, 3, 16, 33, 33, 33, 3, 7, 13360, TRUE}, + /* 54 Mb [34] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_40_DS,54000, 49800, 0x89, 0x00, 9, 2, 4, 3, 17, 34, 34, 34, 3, 7, 26720, FALSE}, + /* 81 Mb [35] */ { FALSE, FALSE, FALSE, WLAN_PHY_HT_40_DS,81000, 71900, 0x8a, 0x00, 10, 2, 6, 3, 18, 35, 35, 35, 3, 7, 40080, TRUE}, + /* 108 Mb [36] */ { TRUE_40, FALSE, FALSE, WLAN_PHY_HT_40_DS,108000,92500, 0x8b, 0x00, 11, 4, 10, 3, 19, 36, 36, 36, 3, 7, 53440, FALSE}, + /* 162 Mb [37] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS,162000,130300,0x8c, 0x00, 12, 4, 14, 3, 20, 37, 37, 37, 3, 7, 80160, TRUE}, + /* 216 Mb [38] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS,216000,162800,0x8d, 0x00, 13, 4, 20, 3, 21, 38, 38, 38, 3, 5, 106880, FALSE}, + /* 243 Mb [39] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS,243000,178200,0x8e, 0x00, 14, 4, 23, 3, 22, 39, 39, 39, 3, 5, 120240, FALSE}, + /* 270 Mb [40] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS,270000,192100,0x8f, 0x00, 15, 4, 25, 3, 23, 40, 41, 41, 3, 5, 133600, TRUE}, + /* 300 Mb [41] */ { TRUE_40, FALSE, TRUE_40, WLAN_PHY_HT_40_DS_HGI,300000,207000,0x8f, 0x00, 15, 4, 25, 3, 23, 40, 41, 41, 3, 5, 148400, TRUE}, + /* stream stream rate short dot11 ctrl RssiAck RssiAck Base CW40 SGI Ht tx chain 4ms tx valid for */ + /* valid valid Kbps uKbps Code Preamble Rate Rate ValidMin DeltaMin Idx Idx Idx Idx mask limit UAPSD */ + }, +}; +#endif //ATH_SUPPORT_A_MODE + +#endif //#ifdef MAGPIE_MERLIN // MAGPIE_MERLIN + +void +ar5416AttachRateTables(struct atheros_softc *sc) +{ + sc->hwRateTable[WIRELESS_MODE_11NG] = &ar5416_11ngRateTable; +#ifdef ATH_SUPPORT_A_MODE + sc->hwRateTable[WIRELESS_MODE_11NA] = &ar5416_11naRateTable; +#endif +} diff --git a/target_firmware/wlan/ar5416_hw.c b/target_firmware/wlan/ar5416_hw.c new file mode 100644 index 0000000..3045ab6 --- /dev/null +++ b/target_firmware/wlan/ar5416_hw.c @@ -0,0 +1,1114 @@ +#include "opt_ah.h" +#include "ah.h" +#include "ah_internal.h" +#include "ar5416.h" +#include "ar5416reg.h" +#include "ar5416phy.h" +#include "ar5416desc.h" + +#define N(a) (sizeof(a)/sizeof(a[0])) +#define AR_INTR_SPURIOUS 0xffffffff +#define ar5416_desc ar5416_desc_20 +#define AR5416_ABORT_LOOPS 1000 +#define AR5416_ABORT_WAIT 5 +#define AR5416DESC AR5416DESC_20 +#define AR5416DESC_CONST AR5416DESC_CONST_20 + +/*****************/ +/* Attach/Detach */ +/*****************/ + +static const struct ath_hal_private ar5416hal_10 = {{ + .ah_getRateTable = ar5416GetRateTable, + .ah_detach = ar5416Detach, + + /* Transmit functions */ + .ah_updateTxTrigLevel = ar5416UpdateTxTrigLevel, + .ah_getTxDP = ar5416GetTxDP, + .ah_setTxDP = ar5416SetTxDP, + .ah_numTxPending = ar5416NumTxPending, + .ah_startTxDma = ar5416StartTxDma, + .ah_stopTxDma = ar5416StopTxDma, + + .ah_getTxIntrQueue = ar5416GetTxIntrQueue, + .ah_abortTxDma = ar5416AbortTxDma, + + /* Misc Functions */ + .ah_getCapability = ar5416GetCapability, + .ah_getTsf32 = ar5416GetTsf32, + .ah_getTsf64 = ar5416GetTsf64, + .ah_resetTsf = ar5416ResetTsf, + .ah_setRxFilter = ar5416SetRxFilter, + + /* RX Functions */ + .ah_getRxDP = ar5416GetRxDP, + .ah_setRxDP = ar5416SetRxDP, + .ah_stopDmaReceive = ar5416StopDmaReceive, + .ah_enableReceive = ar5416EnableReceive, + .ah_startPcuReceive = ar5416StartPcuReceive, + .ah_stopPcuReceive = ar5416StopPcuReceive, + + /* Interrupt Functions */ + .ah_isInterruptPending = ar5416IsInterruptPending, + .ah_getPendingInterrupts = ar5416GetPendingInterrupts, + .ah_getInterrupts = ar5416GetInterrupts, + .ah_setInterrupts = ar5416SetInterrupts, + }, +}; + +void ar5416Detach(struct ath_hal *ah) +{ + HALASSERT(ah != AH_NULL); + ath_hal_free(ah); +} + +struct ath_hal * +ar5416Attach(a_uint32_t devid,HAL_SOFTC sc, adf_os_device_t dev, + HAL_BUS_HANDLE sh, a_uint32_t flags, HAL_STATUS *status) +{ + struct ath_hal_5416 *ahp; + struct ath_hal *ah; + + ahp = ath_hal_malloc(sizeof (struct ath_hal_5416)); + if (ahp == AH_NULL) { + *status = HAL_ENOMEM; + return AH_NULL; + } + ah = &ahp->ah_priv.h; + + OS_MEMCPY(&ahp->ah_priv, &ar5416hal_10, sizeof(struct ath_hal_private)); + + ah->ah_dev = dev; + ah->ah_sc = sc; + ah->ah_sh = sh; + + /* If its a Owl 2.0 chip then change the hal structure to + point to the Owl 2.0 ar5416_hal_20 structure */ + if(1) { + ah->ah_set11nTxDesc = ar5416Set11nTxDesc_20; + ah->ah_set11nRateScenario = ar5416Set11nRateScenario_20; + ah->ah_set11nAggrFirst = ar5416Set11nAggrFirst_20; + ah->ah_set11nAggrMiddle = ar5416Set11nAggrMiddle_20; + ah->ah_set11nAggrLast = ar5416Set11nAggrLast_20; + ah->ah_clr11nAggr = ar5416Clr11nAggr_20; + ah->ah_set11nBurstDuration = ar5416Set11nBurstDuration_20; + ah->ah_setupRxDesc = ar5416SetupRxDesc_20; + ah->ah_procRxDescFast = ar5416ProcRxDescFast_20; + ah->ah_updateCTSForBursting = NULL; + ah->ah_setupTxDesc = ar5416SetupTxDesc_20; + ah->ah_reqTxIntrDesc = ar5416IntrReqTxDesc_20; + ah->ah_fillTxDesc = ar5416FillTxDesc_20; + ah->ah_fillKeyTxDesc = ar5416FillKeyTxDesc_20; + ah->ah_procTxDesc = ar5416ProcTxDesc_20; + ah->ah_set11nVirtualMoreFrag = ar5416Set11nVirtualMoreFrag_20; + } + + return ah; +} + +/**********************/ +/* Interrupt Handling */ +/**********************/ + +HAL_BOOL ar5416IsInterruptPending(struct ath_hal *ah) +{ + a_uint32_t host_isr = OS_REG_READ(ah, AR_INTR_ASYNC_CAUSE); + /* + * Some platforms trigger our ISR before applying power to + * the card, so make sure. + */ + return ((host_isr != AR_INTR_SPURIOUS) && (host_isr & AR_INTR_MAC_IRQ)); +} + +HAL_BOOL ar5416GetPendingInterrupts(struct ath_hal *ah, HAL_INT *masked) +{ + a_uint32_t isr; +#ifndef AR9100 + HAL_BOOL fatal_int = AH_FALSE; + a_uint32_t sync_cause; + + if (OS_REG_READ(ah, AR_INTR_ASYNC_CAUSE) & AR_INTR_MAC_IRQ) { + if ((OS_REG_READ(ah, AR_RTC_STATUS) & AR_RTC_STATUS_M) != AR_RTC_STATUS_ON) { + *masked = 0; + return AH_FALSE; + } + } else { + *masked = 0; + return AH_FALSE; + } +#endif + isr = OS_REG_READ(ah, AR_ISR_RAC); + if (isr == 0xffffffff) { + *masked = 0; + return AH_FALSE; + } + + *masked = isr & HAL_INT_COMMON; + +#ifdef AR5416_INT_MITIGATION + if (isr & (AR_ISR_RXMINTR | AR_ISR_RXINTM)) { + *masked |= HAL_INT_RX; + } + if (isr & (AR_ISR_TXMINTR | AR_ISR_TXINTM)) { + *masked |= HAL_INT_TX; + } +#endif + + if (isr & AR_ISR_BCNMISC) { + a_uint32_t s2_s; + + s2_s = OS_REG_READ(ah, AR_ISR_S2_S); + + if (s2_s & AR_ISR_S2_GTT) { + *masked |= HAL_INT_GTT; + } + + if (s2_s & AR_ISR_S2_CST) { + *masked |= HAL_INT_CST; + } + } + + if (isr & (AR_ISR_RXOK | AR_ISR_RXERR)) + *masked |= HAL_INT_RX; + if (isr & (AR_ISR_TXOK | AR_ISR_TXDESC | AR_ISR_TXERR | AR_ISR_TXEOL)) { + struct ath_hal_5416 *ahp = AH5416(ah); + a_uint32_t s0_s, s1_s; + + *masked |= HAL_INT_TX; + s0_s = OS_REG_READ(ah, AR_ISR_S0_S); + s1_s = OS_REG_READ(ah, AR_ISR_S1_S); + ahp->ah_intrTxqs |= MS(s0_s, AR_ISR_S0_QCU_TXOK); + ahp->ah_intrTxqs |= MS(s0_s, AR_ISR_S0_QCU_TXDESC); + ahp->ah_intrTxqs |= MS(s1_s, AR_ISR_S1_QCU_TXERR); + ahp->ah_intrTxqs |= MS(s1_s, AR_ISR_S1_QCU_TXEOL); + } + +#ifndef AR9100 + sync_cause = OS_REG_READ(ah, AR_INTR_SYNC_CAUSE); + fatal_int = ((sync_cause != AR_INTR_SPURIOUS) && + (sync_cause & (AR_INTR_SYNC_HOST1_FATAL | AR_INTR_SYNC_HOST1_PERR))) ? + AH_TRUE : AH_FALSE; + + if (AH_TRUE == fatal_int) { + OS_REG_WRITE(ah, AR_INTR_SYNC_CAUSE_CLR, sync_cause); + (void) OS_REG_READ(ah, AR_INTR_SYNC_CAUSE_CLR); + } +#endif + return AH_TRUE; +} + +HAL_INT ar5416GetInterrupts(struct ath_hal *ah) +{ + return AH5416(ah)->ah_maskReg; +} + +HAL_INT +ar5416SetInterrupts(struct ath_hal *ah, HAL_INT ints) +{ + struct ath_hal_5416 *ahp = AH5416(ah); + a_uint32_t omask = ahp->ah_maskReg; + a_uint32_t mask; + + if (omask & HAL_INT_GLOBAL) { + OS_REG_WRITE(ah, AR_IER, AR_IER_DISABLE); + (void) OS_REG_READ(ah, AR_IER); + } + + mask = ints & HAL_INT_COMMON; + if (ints & HAL_INT_TX) { +#ifdef AR5416_INT_MITIGATION + mask |= AR_IMR_TXMINTR | AR_IMR_TXINTM; +#else + mask |= AR_IMR_TXOK; + mask |= AR_IMR_TXDESC; +#endif + mask |= AR_IMR_TXERR; + mask |= AR_IMR_TXEOL; + } + if (ints & HAL_INT_RX) { + mask |= AR_IMR_RXERR; +#ifdef AR5416_INT_MITIGATION + mask |= AR_IMR_RXMINTR | AR_IMR_RXINTM; +#else + mask |= AR_IMR_RXOK | AR_IMR_RXDESC; +#endif + } + + if (ints & (HAL_INT_GTT | HAL_INT_CST)) { + mask |= AR_IMR_BCNMISC; + } + + OS_REG_WRITE(ah, AR_IMR, mask); + (void) OS_REG_READ(ah, AR_IMR); + ahp->ah_maskReg = ints; + + /* Re-enable interrupts if they were enabled before. */ + if (ints & HAL_INT_GLOBAL) { + OS_REG_WRITE(ah, AR_IER, AR_IER_ENABLE); + /* See explanation above... */ + (void) OS_REG_READ(ah, AR_IER); + } + + OS_REG_WRITE(ah, AR_INTR_ASYNC_ENABLE, AR_INTR_MAC_IRQ); + OS_REG_WRITE(ah, AR_INTR_ASYNC_MASK, AR_INTR_MAC_IRQ); + OS_REG_WRITE(ah, AR_INTR_SYNC_ENABLE, AR_INTR_SYNC_ALL); + + return omask; +} + +/****************/ +/* Capabilities */ +/****************/ + +HAL_STATUS ar5416GetCapability(struct ath_hal *ah, HAL_CAPABILITY_TYPE type, + a_uint32_t capability, a_uint32_t *result) + +{ + HAL_CAPABILITIES *pCap = &AH_PRIVATE(ah)->ah_caps; +#ifndef MAGPIE_MERLIN // K2 + pCap->halRxStbcSupport = 1; /* K2 supports STBC Rx only */ + pCap->halTxStbcSupport = 0; +#else + pCap->halRxStbcSupport = 1; /* number of streams for STBC recieve. */ + pCap->halTxStbcSupport = 1; +#endif + + switch (type) { +#ifdef MAGPIE_MERLIN + case HAL_CAP_RX_STBC: + { + *result = pCap->halRxStbcSupport; + return HAL_OK; + } + case HAL_CAP_TX_STBC: + { + *result = pCap->halTxStbcSupport; + return HAL_OK; + } +#endif + default: + return ath_hal_getcapability(ah, type, capability, result); + } +} + +/****************/ +/* TSF Handling */ +/****************/ + +u_int64_t ar5416GetTsf64(struct ath_hal *ah) +{ + u_int64_t tsf; + + tsf = OS_REG_READ(ah, AR_TSF_U32); + tsf = (tsf << 32) | OS_REG_READ(ah, AR_TSF_L32); + + return tsf; +} + +a_uint32_t ar5416GetTsf32(struct ath_hal *ah) +{ + return OS_REG_READ(ah, AR_TSF_L32); +} + +void ar5416ResetTsf(struct ath_hal *ah) +{ + a_int32_t count; + + count = 0; + + while (OS_REG_READ(ah, AR_SLP32_MODE) & AR_SLP32_TSF_WRITE_STATUS) { + count++; + if (count > 10) { + break; + } + OS_DELAY(10); + } + OS_REG_WRITE(ah, AR_RESET_TSF, AR_RESET_TSF_ONCE); +} + +/******/ +/* RX */ +/******/ + +a_uint32_t ar5416GetRxDP(struct ath_hal *ath) +{ + return OS_REG_READ(ath, AR_RXDP); +} + + +void ar5416SetRxDP(struct ath_hal *ah, a_uint32_t rxdp) +{ + OS_REG_WRITE(ah, AR_RXDP, rxdp); + HALASSERT(OS_REG_READ(ah, AR_RXDP) == rxdp); +} + +void ar5416SetMulticastFilter(struct ath_hal *ah, a_uint32_t filter0, a_uint32_t filter1) +{ + OS_REG_WRITE(ah, AR_MCAST_FIL0, filter0); + OS_REG_WRITE(ah, AR_MCAST_FIL1, filter1); +} + +HAL_BOOL ar5416ClrMulticastFilterIndex(struct ath_hal *ah, a_uint32_t ix) +{ + a_uint32_t val; + + if (ix >= 64) + return AH_FALSE; + if (ix >= 32) { + val = OS_REG_READ(ah, AR_MCAST_FIL1); + OS_REG_WRITE(ah, AR_MCAST_FIL1, (val &~ (1<<(ix-32)))); + } else { + val = OS_REG_READ(ah, AR_MCAST_FIL0); + OS_REG_WRITE(ah, AR_MCAST_FIL0, (val &~ (1<= 64) + return AH_FALSE; + if (ix >= 32) { + val = OS_REG_READ(ah, AR_MCAST_FIL1); + OS_REG_WRITE(ah, AR_MCAST_FIL1, (val | (1<<(ix-32)))); + } else { + val = OS_REG_READ(ah, AR_MCAST_FIL0); + OS_REG_WRITE(ah, AR_MCAST_FIL0, (val | (1<ds_ctl1 = size & AR_BufLen; + if (flags & HAL_RXDESC_INTREQ) + ads->ds_ctl1 |= AR_RxIntrReq; + + /* this should be enough */ + ads->ds_rxstatus8 &= ~AR_RxDone; + + return AH_TRUE; +} + +HAL_STATUS ar5416ProcRxDescFast_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t pa, struct ath_desc *nds, + struct ath_rx_status *rx_stats) +{ + struct ar5416_desc ads; + struct ar5416_desc *adsp = AR5416DESC(ds); + struct ar5416_desc *ands = AR5416DESC(nds); + + if ((adsp->ds_rxstatus8 & AR_RxDone) == 0) + return HAL_EINPROGRESS; + /* + * Given the use of a self-linked tail be very sure that the hw is + * done with this descriptor; the hw may have done this descriptor + * once and picked it up again...make sure the hw has moved on. + */ + if ((ands->ds_rxstatus8 & AR_RxDone) == 0 + && OS_REG_READ(ah, AR_RXDP) == pa) + return HAL_EINPROGRESS; + + /* + * Now we need to get the stats from the descriptor. Since desc are + * uncached, lets make a copy of the stats first. Note that, since we + * touch most of the rx stats, a memcpy would always be more efficient + * + * Next we fill in all values in a caller passed stack variable. + * This reduces the number of uncached accesses. + * Do this copy here, after the check so that when the checks fail, we + * dont end up copying the entire stats uselessly. + */ + ads.u.rx = adsp->u.rx; + + rx_stats->rs_status = 0; + rx_stats->rs_flags = 0; + + rx_stats->rs_datalen = ads.ds_rxstatus1 & AR_DataLen; + rx_stats->rs_tstamp = ads.AR_RcvTimestamp; + + /* XXX what about KeyCacheMiss? */ + rx_stats->rs_rssi_combined = + MS(ads.ds_rxstatus4, AR_RxRSSICombined); + rx_stats->rs_rssi_ctl0 = MS(ads.ds_rxstatus0, AR_RxRSSIAnt00); + rx_stats->rs_rssi_ctl1 = MS(ads.ds_rxstatus0, AR_RxRSSIAnt01); + rx_stats->rs_rssi_ctl2 = MS(ads.ds_rxstatus0, AR_RxRSSIAnt02); + rx_stats->rs_rssi_ext0 = MS(ads.ds_rxstatus4, AR_RxRSSIAnt10); + rx_stats->rs_rssi_ext1 = MS(ads.ds_rxstatus4, AR_RxRSSIAnt11); + rx_stats->rs_rssi_ext2 = MS(ads.ds_rxstatus4, AR_RxRSSIAnt12); + if (ads.ds_rxstatus8 & AR_RxKeyIdxValid) + rx_stats->rs_keyix = MS(ads.ds_rxstatus8, AR_KeyIdx); + else + rx_stats->rs_keyix = HAL_RXKEYIX_INVALID; + /* NB: caller expected to do rate table mapping */ + rx_stats->rs_rate = RXSTATUS_RATE(ah, (&ads)); + rx_stats->rs_more = (ads.ds_rxstatus1 & AR_RxMore) ? 1 : 0; + + rx_stats->rs_isaggr = (ads.ds_rxstatus8 & AR_RxAggr) ? 1 : 0; + rx_stats->rs_moreaggr = (ads.ds_rxstatus8 & AR_RxMoreAggr) ? 1 : 0; + rx_stats->rs_flags |= (ads.ds_rxstatus3 & AR_GI) ? HAL_RX_GI : 0; + rx_stats->rs_flags |= (ads.ds_rxstatus3 & AR_2040) ? HAL_RX_2040 : 0; + + if (ads.ds_rxstatus8 & AR_PreDelimCRCErr) + rx_stats->rs_flags |= HAL_RX_DELIM_CRC_PRE; + if (ads.ds_rxstatus8 & AR_PostDelimCRCErr) + rx_stats->rs_flags |= HAL_RX_DELIM_CRC_POST; + if (ads.ds_rxstatus8 & AR_DecryptBusyErr) + rx_stats->rs_flags |= HAL_RX_DECRYPT_BUSY; + + if ((ads.ds_rxstatus8 & AR_RxFrameOK) == 0) { + /* + * These four bits should not be set together. The + * 5416 spec states a Michael error can only occur if + * DecryptCRCErr not set (and TKIP is used). Experience + * indicates however that you can also get Michael errors + * when a CRC error is detected, but these are specious. + * Consequently we filter them out here so we don't + * confuse and/or complicate drivers. + */ + if (ads.ds_rxstatus8 & AR_CRCErr) + rx_stats->rs_status |= HAL_RXERR_CRC; + else if (ads.ds_rxstatus8 & AR_PHYErr) { + a_uint32_t phyerr; + + rx_stats->rs_status |= HAL_RXERR_PHY; + phyerr = MS(ads.ds_rxstatus8, AR_PHYErrCode); + rx_stats->rs_phyerr = phyerr; + } else if (ads.ds_rxstatus8 & AR_DecryptCRCErr) + rx_stats->rs_status |= HAL_RXERR_DECRYPT; + else if (ads.ds_rxstatus8 & AR_MichaelErr) + rx_stats->rs_status |= HAL_RXERR_MIC; + } + rx_stats->evm0=ads.AR_RxEVM0; + rx_stats->evm1=ads.AR_RxEVM1; + rx_stats->evm2=ads.AR_RxEVM2; + + return HAL_OK; +} + +/******/ +/* TX */ +/******/ + +HAL_BOOL ar5416UpdateTxTrigLevel(struct ath_hal *ah, HAL_BOOL bIncTrigLevel) +{ + struct ath_hal_5416 *ahp = AH5416(ah); + a_uint32_t txcfg, curLevel, newLevel; + HAL_INT omask; + + /* + * Disable interrupts while futzing with the fifo level. + */ + omask = ar5416SetInterrupts(ah, ahp->ah_maskReg &~ HAL_INT_GLOBAL); + + txcfg = OS_REG_READ(ah, AR_TXCFG); + curLevel = MS(txcfg, AR_FTRIG); + newLevel = curLevel; + + if (bIncTrigLevel) { + if (curLevel < MAX_TX_FIFO_THRESHOLD) + newLevel ++; + } else if (curLevel > MIN_TX_FIFO_THRESHOLD) + newLevel--; + if (newLevel != curLevel) + OS_REG_WRITE(ah, AR_TXCFG, + (txcfg &~ AR_FTRIG) | SM(newLevel, AR_FTRIG)); + + /* re-enable chip interrupts */ + ar5416SetInterrupts(ah, omask); + + return (newLevel != curLevel); +} + +a_uint32_t ar5416GetTxDP(struct ath_hal *ah, a_uint32_t q) +{ + HALASSERT(q < AH_PRIVATE(ah)->ah_caps.halTotalQueues); + return OS_REG_READ(ah, AR_QTXDP(q)); +} + +HAL_BOOL ar5416SetTxDP(struct ath_hal *ah, a_uint32_t q, a_uint32_t txdp) +{ + HALASSERT(q < AH_PRIVATE(ah)->ah_caps.halTotalQueues); + HALASSERT(AH5416(ah)->ah_txq[q].tqi_type != HAL_TX_QUEUE_INACTIVE); + + /* + * Make sure that TXE is deasserted before setting the TXDP. If TXE + * is still asserted, setting TXDP will have no effect. + */ + HALASSERT((OS_REG_READ(ah, AR_Q_TXE) & (1 << q)) == 0); + + OS_REG_WRITE(ah, AR_QTXDP(q), txdp); + + return AH_TRUE; +} + +HAL_BOOL ar5416StartTxDma(struct ath_hal *ah, a_uint32_t q) +{ + HALASSERT(q < AH_PRIVATE(ah)->ah_caps.halTotalQueues); + HALASSERT(AH5416(ah)->ah_txq[q].tqi_type != HAL_TX_QUEUE_INACTIVE); + + /* Check to be sure we're not enabling a q that has its TXD bit set. */ + HALASSERT((OS_REG_READ(ah, AR_Q_TXD) & (1 << q)) == 0); + + OS_REG_WRITE(ah, AR_Q_TXE, 1 << q); + + return AH_TRUE; +} + +a_uint32_t ar5416NumTxPending(struct ath_hal *ah, a_uint32_t q) +{ + a_uint32_t npend; + + HALASSERT(q < AH_PRIVATE(ah)->ah_caps.halTotalQueues); + HALASSERT(AH5416(ah)->ah_txq[q].tqi_type != HAL_TX_QUEUE_INACTIVE); + + npend = OS_REG_READ(ah, AR_QSTS(q)) & AR_Q_STS_PEND_FR_CNT; + if (npend == 0) { + /* + * Pending frame count (PFC) can momentarily go to zero + * while TXE remains asserted. In other words a PFC of + * zero is not sufficient to say that the queue has stopped. + */ + if (OS_REG_READ(ah, AR_Q_TXE) & (1 << q)) + npend = 1; + } +#ifdef DEBUG + if (npend && (AH5416(ah)->ah_txq[q].tqi_type == HAL_TX_QUEUE_CAB)) { + if (OS_REG_READ(ah, AR_Q_RDYTIMESHDN) & (1 << q)) { + isrPrintf("RTSD on CAB queue\n"); + /* Clear the ReadyTime shutdown status bits */ + OS_REG_WRITE(ah, AR_Q_RDYTIMESHDN, 1 << q); + } + } +#endif + return npend; +} + +HAL_BOOL ar5416AbortTxDma(struct ath_hal *ah) +{ + a_int32_t i, q; + + /* + * set txd on all queues + */ + OS_REG_WRITE(ah, AR_Q_TXD, AR_Q_TXD_M); + + /* + * set tx abort bits + */ + OS_REG_SET_BIT(ah, AR_PCU_MISC, (AR_PCU_FORCE_QUIET_COLL | AR_PCU_CLEAR_VMF)); + OS_REG_SET_BIT(ah, AR_DIAG_SW, AR_DIAG_FORCE_CH_IDLE_HIGH); + OS_REG_SET_BIT(ah, AR_D_GBL_IFS_MISC, AR_D_GBL_IFS_MISC_IGNORE_BACKOFF); + + /* + * wait on all tx queues + */ + for (q = 0; q < AR_NUM_QCU; q++) { + for (i = 0; i < AR5416_ABORT_LOOPS; i++) { + if (!ar5416NumTxPending(ah, q)) + break; + + OS_DELAY(AR5416_ABORT_WAIT); + } + if (i == AR5416_ABORT_LOOPS) { + return AH_FALSE; + } + } + + /* + * clear tx abort bits + */ + OS_REG_CLR_BIT(ah, AR_PCU_MISC, (AR_PCU_FORCE_QUIET_COLL | AR_PCU_CLEAR_VMF)); + OS_REG_CLR_BIT(ah, AR_DIAG_SW, AR_DIAG_FORCE_CH_IDLE_HIGH); + OS_REG_CLR_BIT(ah, AR_D_GBL_IFS_MISC, AR_D_GBL_IFS_MISC_IGNORE_BACKOFF); + + /* + * clear txd + */ + OS_REG_WRITE(ah, AR_Q_TXD, 0); + + return AH_TRUE; +} + +HAL_BOOL ar5416StopTxDma(struct ath_hal*ah, a_uint32_t q) +{ + a_uint32_t i; + + HALASSERT(q < AH_PRIVATE(ah)->ah_caps.halTotalQueues); + + HALASSERT(AH5416(ah)->ah_txq[q].tqi_type != HAL_TX_QUEUE_INACTIVE); + + OS_REG_WRITE(ah, AR_Q_TXD, 1 << q); + for (i = 1000; i != 0; i--) { + if (ar5416NumTxPending(ah, q) == 0) + break; + OS_DELAY(100); /* XXX get actual value */ + } + + OS_REG_WRITE(ah, AR_Q_TXD, 0); + return (i != 0); +} + +void ar5416GetTxIntrQueue(struct ath_hal *ah, a_uint32_t *txqs) +{ + struct ath_hal_5416 *ahp = AH5416(ah); + *txqs &= ahp->ah_intrTxqs; + ahp->ah_intrTxqs &= ~(*txqs); +} + +void ar5416IntrReqTxDesc_20(struct ath_hal *ah, struct ath_desc *ds) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + ads->ds_ctl0 |= AR_TxIntrReq; +} + +HAL_BOOL ar5416SetupTxDesc_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t pktLen, + a_uint32_t hdrLen, + HAL_PKT_TYPE type, + a_uint32_t txPower, + a_uint32_t txRate0, a_uint32_t txTries0, + a_uint32_t keyIx, + a_uint32_t antMode, + a_uint32_t flags, + a_uint32_t rtsctsRate, + a_uint32_t rtsctsDuration, + a_uint32_t compicvLen, + a_uint32_t compivLen, + a_uint32_t comp) +{ +#define RTSCTS (HAL_TXDESC_RTSENA|HAL_TXDESC_CTSENA) + + struct ar5416_desc *ads = AR5416DESC(ds); + struct ath_hal_5416 *ahp = AH5416(ah); + + (void) hdrLen; + + ads->ds_txstatus9 &= ~AR_TxDone; + + HALASSERT(txTries0 != 0); + HALASSERT(isValidPktType(type)); + HALASSERT(isValidTxRate(txRate0)); + HALASSERT((flags & RTSCTS) != RTSCTS); + + if (txPower > 63) + txPower=63; + + ads->ds_ctl0 = (pktLen & AR_FrameLen) + | (txPower << AR_XmitPower_S) + | (flags & HAL_TXDESC_VEOL ? AR_VEOL : 0) + | (flags & HAL_TXDESC_CLRDMASK ? AR_ClrDestMask : 0) + | (flags & HAL_TXDESC_INTREQ ? AR_TxIntrReq : 0); + + ads->ds_ctl1 = (type << AR_FrameType_S) + | (flags & HAL_TXDESC_NOACK ? AR_NoAck : 0); + ads->ds_ctl2 = SM(txTries0, AR_XmitDataTries0); + ads->ds_ctl3 = (txRate0 << AR_XmitRate0_S); + + ads->ds_ctl7 = SM(AR5416_LEGACY_CHAINMASK, AR_ChainSel0) + | SM(AR5416_LEGACY_CHAINMASK, AR_ChainSel1) + | SM(AR5416_LEGACY_CHAINMASK, AR_ChainSel2) + | SM(AR5416_LEGACY_CHAINMASK, AR_ChainSel3); + + if (keyIx != HAL_TXKEYIX_INVALID) { + /* XXX validate key index */ + ads->ds_ctl1 |= SM(keyIx, AR_DestIdx); + ads->ds_ctl0 |= AR_DestIdxValid; + } + + if (flags & RTSCTS) { + if (!isValidTxRate(rtsctsRate)) { + return AH_FALSE; + } + /* XXX validate rtsctsDuration */ + ads->ds_ctl0 |= (flags & HAL_TXDESC_CTSENA ? AR_CTSEnable : 0) + | (flags & HAL_TXDESC_RTSENA ? AR_RTSEnable : 0); + ads->ds_ctl2 |= SM(rtsctsDuration, AR_BurstDur); + ads->ds_ctl3 |= (rtsctsRate << AR_RTSCTSRate_S); + } + return AH_TRUE; + +#undef RTSCTS +} + +HAL_BOOL ar5416FillTxDesc_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t segLen, HAL_BOOL firstSeg, HAL_BOOL lastSeg, + const struct ath_desc *ds0) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + + HALASSERT((segLen &~ AR_BufLen) == 0); + + if (firstSeg) { + /* + * First descriptor, don't clobber xmit control data + * setup by ar5416SetupTxDesc. + */ + ads->ds_ctl1 |= segLen | (lastSeg ? 0 : AR_TxMore); + } else if (lastSeg) { + /* + * Last descriptor in a multi-descriptor frame, + * copy the multi-rate transmit parameters from + * the first frame for processing on completion. + */ + ads->ds_ctl0 = 0; + ads->ds_ctl1 = segLen; + ads->ds_ctl2 = AR5416DESC_CONST(ds0)->ds_ctl2; + ads->ds_ctl3 = AR5416DESC_CONST(ds0)->ds_ctl3; + } else { + /* + * Intermediate descriptor in a multi-descriptor frame. + */ + ads->ds_ctl0 = 0; + ads->ds_ctl1 = segLen | AR_TxMore; + ads->ds_ctl2 = 0; + ads->ds_ctl3 = 0; + } + ads->ds_txstatus0 = ads->ds_txstatus1 = 0; + + return AH_TRUE; +} + +HAL_BOOL ar5416FillKeyTxDesc_20(struct ath_hal *ah, struct ath_desc *ds, + HAL_KEY_TYPE keyType) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + + ads->ds_ctl6 = SM(keyType, AR_EncrType); + return AH_TRUE; +} + +HAL_STATUS ar5416ProcTxDesc_20(struct ath_hal *ah, struct ath_desc *gds) +{ + struct ar5416_desc *ads = AR5416DESC(gds); + struct ath_tx_desc *ds = (struct ath_tx_desc *)gds; + + if ((ads->ds_txstatus9 & AR_TxDone) == 0) + return HAL_EINPROGRESS; + + ads->ds_txstatus9 &= ~AR_TxDone; + + /* Update software copies of the HW status */ + ds->ds_txstat.ts_seqnum = MS(ads->ds_txstatus9, AR_SeqNum); + ds->ds_txstat.ts_tstamp = ads->AR_SendTimestamp; + ds->ds_txstat.ts_status = 0; + ds->ds_txstat.ts_flags = 0; + + if (ads->ds_txstatus1 & AR_ExcessiveRetries) + ds->ds_txstat.ts_status |= HAL_TXERR_XRETRY; + if (ads->ds_txstatus1 & AR_Filtered) + ds->ds_txstat.ts_status |= HAL_TXERR_FILT; + if (ads->ds_txstatus1 & AR_FIFOUnderrun) + ds->ds_txstat.ts_status |= HAL_TXERR_FIFO; + if (ads->ds_txstatus9 & AR_TxOpExceeded) + ds->ds_txstat.ts_status |= HAL_TXERR_XTXOP; + if (ads->ds_txstatus1 & AR_TxTimerExpired) + ds->ds_txstat.ts_status |= HAL_TXERR_TIMER_EXPIRED; + + if (ads->ds_txstatus1 & AR_DescCfgErr) + ds->ds_txstat.ts_flags |= HAL_TX_DESC_CFG_ERR; + if (ads->ds_txstatus1 & AR_TxDataUnderrun) { + ds->ds_txstat.ts_flags |= HAL_TX_DATA_UNDERRUN; + ar5416UpdateTxTrigLevel(ah, AH_TRUE); + } + if (ads->ds_txstatus1 & AR_TxDelimUnderrun) { + ds->ds_txstat.ts_flags |= HAL_TX_DELIM_UNDERRUN; + ar5416UpdateTxTrigLevel(ah, AH_TRUE); + } + if (ads->ds_txstatus0 & AR_TxBaStatus) { + ds->ds_txstat.ts_flags |= HAL_TX_BA; + ds->ds_txstat.ba_low = ads->AR_BaBitmapLow; + ds->ds_txstat.ba_high = ads->AR_BaBitmapHigh; + } + + /* + * Extract the transmit rate used and mark the rate as + * ``alternate'' if it wasn't the series 0 rate. + */ + ds->ds_txstat.ts_rate = MS(ads->ds_txstatus9, AR_FinalTxIdx); + ds->ds_txstat.ts_rssi_combined = + MS(ads->ds_txstatus5, AR_TxRSSICombined); + ds->ds_txstat.ts_rssi_ctl0 = MS(ads->ds_txstatus0, AR_TxRSSIAnt00); + ds->ds_txstat.ts_rssi_ctl1 = MS(ads->ds_txstatus0, AR_TxRSSIAnt01); + ds->ds_txstat.ts_rssi_ctl2 = MS(ads->ds_txstatus0, AR_TxRSSIAnt02); + ds->ds_txstat.ts_rssi_ext0 = MS(ads->ds_txstatus5, AR_TxRSSIAnt10); + ds->ds_txstat.ts_rssi_ext1 = MS(ads->ds_txstatus5, AR_TxRSSIAnt11); + ds->ds_txstat.ts_rssi_ext2 = MS(ads->ds_txstatus5, AR_TxRSSIAnt12); + ds->ds_txstat.evm0 = ads->AR_TxEVM0; + ds->ds_txstat.evm1 = ads->AR_TxEVM1; + ds->ds_txstat.evm2 = ads->AR_TxEVM2; + ds->ds_txstat.ts_shortretry = MS(ads->ds_txstatus1, AR_RTSFailCnt); + ds->ds_txstat.ts_longretry = MS(ads->ds_txstatus1, AR_DataFailCnt); + ds->ds_txstat.ts_virtcol = MS(ads->ds_txstatus1, AR_VirtRetryCnt); + ds->ds_txstat.ts_antenna = 0; /* ignored for owl */ + + return HAL_OK; +} + +void ar5416Set11nTxDesc_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t pktLen, HAL_PKT_TYPE type, a_uint32_t txPower, + a_uint32_t keyIx, HAL_KEY_TYPE keyType, + a_uint32_t flags) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + struct ath_hal_5416 *ahp = AH5416(ah); + + HALASSERT(isValidPktType(type)); + HALASSERT(isValidKeyType(keyType)); + + if (txPower > 63) + txPower = 63; + + ads->ds_ctl0 = (pktLen & AR_FrameLen) + | (flags & HAL_TXDESC_VMF ? AR_VirtMoreFrag : 0) + | SM(txPower, AR_XmitPower) + | (flags & HAL_TXDESC_RTSENA ? AR_RTSEnable : 0) + | (flags & HAL_TXDESC_VEOL ? AR_VEOL : 0) + | (flags & HAL_TXDESC_CLRDMASK ? AR_ClrDestMask : 0) + | (flags & HAL_TXDESC_INTREQ ? AR_TxIntrReq : 0) + | (keyIx != HAL_TXKEYIX_INVALID ? AR_DestIdxValid : 0) + | (flags & HAL_TXDESC_CTSENA ? AR_CTSEnable : 0); + + ads->ds_ctl1 = (keyIx != HAL_TXKEYIX_INVALID ? SM(keyIx, AR_DestIdx) : 0) + | SM(type, AR_FrameType) + | (flags & HAL_TXDESC_NOACK ? AR_NoAck : 0) + | (flags & HAL_TXDESC_EXT_ONLY ? AR_ExtOnly : 0) + | (flags & HAL_TXDESC_EXT_AND_CTL ? AR_ExtAndCtl : 0); + + ads->ds_ctl6 = SM(keyType, AR_EncrType); +} + +#ifdef MAGPIE_MERLIN + +void ar5416Set11nRateScenario_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t durUpdateEn, a_uint32_t rtsctsRate, + a_uint32_t rtsctsDuration, + HAL_11N_RATE_SERIES series[], a_uint32_t nseries, + a_uint32_t flags) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + struct ar5416_desc_20 *ads_20 = AR5416DESC_20(ds); + a_uint32_t ds_ctl0; + a_int16_t txpower; + a_uint32_t count; + struct ath_hal_private *ahpriv = AH_PRIVATE(ah); + + HALASSERT(nseries == 4); + (void)nseries; + + /* + * Rate control settings override + */ + ds_ctl0 = ads->ds_ctl0; + + if (flags & (HAL_TXDESC_RTSENA | HAL_TXDESC_CTSENA)) { + if (flags & HAL_TXDESC_RTSENA) { + ds_ctl0 &= ~AR_CTSEnable; + ds_ctl0 |= AR_RTSEnable; + } else { + ds_ctl0 &= ~AR_RTSEnable; + ds_ctl0 |= AR_CTSEnable; + } + } else { + ds_ctl0 = (ds_ctl0 & ~(AR_RTSEnable | AR_CTSEnable)); + } + + ads->ds_ctl0 = ds_ctl0; + + ads->ds_ctl2 = set11nTries(series, 0) + | set11nTries(series, 1) + | set11nTries(series, 2) + | set11nTries(series, 3) + | (durUpdateEn ? AR_DurUpdateEn : 0); + + ads->ds_ctl3 = set11nRate(series, 0) + | set11nRate(series, 1) + | set11nRate(series, 2) + | set11nRate(series, 3); + + ads->ds_ctl4 = set11nPktDurRTSCTS(series, 0) + | set11nPktDurRTSCTS(series, 1); + + ads->ds_ctl5 = set11nPktDurRTSCTS(series, 2) + | set11nPktDurRTSCTS(series, 3); + + ads->ds_ctl7 = set11nRateFlags(series, 0) + | set11nRateFlags(series, 1) + | set11nRateFlags(series, 2) + | set11nRateFlags(series, 3) + | SM(rtsctsRate, AR_RTSCTSRate); +} + +#else + +void ar5416Set11nRateScenario_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t durUpdateEn, a_uint32_t rtsctsRate, + a_uint32_t rtsctsDuration, + HAL_11N_RATE_SERIES series[], a_uint32_t nseries, + a_uint32_t flags) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + a_uint32_t ds_ctl0; + + HALASSERT(nseries == 4); + (void)nseries; + + /* + * Rate control settings override + */ + if (flags & (HAL_TXDESC_RTSENA | HAL_TXDESC_CTSENA)) { + ds_ctl0 = ads->ds_ctl0; + + if (flags & HAL_TXDESC_RTSENA) { + ds_ctl0 &= ~AR_CTSEnable; + ds_ctl0 |= AR_RTSEnable; + } else { + ds_ctl0 &= ~AR_RTSEnable; + ds_ctl0 |= AR_CTSEnable; + } + + ads->ds_ctl0 = ds_ctl0; + } + + ads->ds_ctl2 = set11nTries(series, 0) + | set11nTries(series, 1) + | set11nTries(series, 2) + | set11nTries(series, 3) + | (durUpdateEn ? AR_DurUpdateEn : 0); + + ads->ds_ctl3 = set11nRate(series, 0) + | set11nRate(series, 1) + | set11nRate(series, 2) + | set11nRate(series, 3); + + ads->ds_ctl4 = set11nPktDurRTSCTS(series, 0) + | set11nPktDurRTSCTS(series, 1); + + ads->ds_ctl5 = set11nPktDurRTSCTS(series, 2) + | set11nPktDurRTSCTS(series, 3); + + ads->ds_ctl7 = set11nRateFlags(series, 0) + | set11nRateFlags(series, 1) + | set11nRateFlags(series, 2) + | set11nRateFlags(series, 3) + | SM(rtsctsRate, AR_RTSCTSRate); +} + +#endif + +void ar5416Set11nAggrFirst_20(struct ath_hal *ah, struct ath_desc *ds, a_uint32_t aggrLen, + a_uint32_t numDelims) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + + ads->ds_ctl1 |= (AR_IsAggr | AR_MoreAggr); + + ads->ds_ctl6 &= ~(AR_AggrLen | AR_PadDelim); + ads->ds_ctl6 |= SM(aggrLen, AR_AggrLen) | + SM(numDelims, AR_PadDelim); +} + +void ar5416Set11nAggrMiddle_20(struct ath_hal *ah, struct ath_desc *ds, a_uint32_t numDelims) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + a_uint32_t ctl6; + + ads->ds_ctl1 |= (AR_IsAggr | AR_MoreAggr); + + /* + * We use a stack variable to manipulate ctl6 to reduce uncached + * read modify, modfiy, write. + */ + ctl6 = ads->ds_ctl6; + ctl6 &= ~AR_PadDelim; + ctl6 |= SM(numDelims, AR_PadDelim); + ads->ds_ctl6 = ctl6; +} + +void ar5416Set11nAggrLast_20(struct ath_hal *ah, struct ath_desc *ds) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + + ads->ds_ctl1 |= AR_IsAggr; + ads->ds_ctl1 &= ~AR_MoreAggr; + ads->ds_ctl6 &= ~AR_PadDelim; +} + +void ar5416Clr11nAggr_20(struct ath_hal *ah, struct ath_desc *ds) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + + ads->ds_ctl1 &= (~AR_IsAggr & ~AR_MoreAggr); +} + +void ar5416Set11nBurstDuration_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t burstDuration) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + + ads->ds_ctl2 &= ~AR_BurstDur; + ads->ds_ctl2 |= SM(burstDuration, AR_BurstDur); +} + +void ar5416Set11nVirtualMoreFrag_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t vmf) +{ + struct ar5416_desc *ads = AR5416DESC(ds); + + if (vmf) { + ads->ds_ctl0 |= AR_VirtMoreFrag; + } else { + ads->ds_ctl0 &= ~AR_VirtMoreFrag; + } +} diff --git a/target_firmware/wlan/ar5416_phy.c b/target_firmware/wlan/ar5416_phy.c new file mode 100755 index 0000000..fc7c042 --- /dev/null +++ b/target_firmware/wlan/ar5416_phy.c @@ -0,0 +1,295 @@ +#include "opt_ah.h" +#include "ah.h" +#include "ah_internal.h" +#include "ar5416.h" + +#ifdef MAGPIE_MERLIN + +#define OFDM IEEE80211_T_OFDM +#define CCK IEEE80211_T_CCK +#define TURBO IEEE80211_T_TURBO +#define XR ATHEROS_T_XR +#define HT IEEE80211_T_HT + +HAL_RATE_TABLE ar5416_11a_table = { + 8, /* number of rates */ + { 0 }, + { + /* short ctrl */ + /* valid rateCode Preamble dot11Rate Rate */ + /* 6 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 6000, 0x0b, 0x00, (0x80|12), 0 }, + /* 9 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 9000, 0x0f, 0x00, 18, 0 }, + /* 12 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 12000, 0x0a, 0x00, (0x80|24), 2 }, + /* 18 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 18000, 0x0e, 0x00, 36, 2 }, + /* 24 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 24000, 0x09, 0x00, (0x80|48), 4 }, + /* 36 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 36000, 0x0d, 0x00, 72, 4 }, + /* 48 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 48000, 0x08, 0x00, 96, 4 }, + /* 54 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 54000, 0x0c, 0x00, 108, 4 } + }, +}; + +HAL_RATE_TABLE ar5416_11b_table = { + 4, /* number of rates */ + { 0 }, + { + /* short ctrl */ + /* valid rateCode Preamble dot11Rate Rate */ + /* 1 Mb */ { AH_TRUE, CCK, 60, 60, 60, 1000, 0x1b, 0x00, (0x80| 2), 0 }, + /* 2 Mb */ { AH_TRUE, CCK, 60, 60, 60, 2000, 0x1a, 0x04, (0x80| 4), 1 }, + /* 5.5 Mb */ { AH_TRUE, CCK, 60, 60, 60, 5500, 0x19, 0x04, (0x80|11), 1 }, + /* 11 Mb */ { AH_TRUE, CCK, 60, 60, 60, 11000, 0x18, 0x04, (0x80|22), 1 } + }, +}; + +HAL_RATE_TABLE ar5416_11g_table = { + 12, /* number of rates */ + { 0 }, + { + /* short ctrl */ + /* valid rateCode Preamble dot11Rate Rate */ + /* 1 Mb */ { AH_TRUE, CCK, 60, 60, 60, 1000, 0x1b, 0x00, (0x80| 2), 0 }, + /* 2 Mb */ { AH_TRUE, CCK, 60, 60, 60, 2000, 0x1a, 0x04, (0x80| 4), 1 }, + /* 5.5 Mb */ { AH_TRUE, CCK, 60, 60, 60, 5500, 0x19, 0x04, (0x80|11), 2 }, + /* 11 Mb */ { AH_TRUE, CCK, 60, 60, 60, 11000, 0x18, 0x04, (0x80|22), 3 }, + /* Hardware workaround - remove rates 6, 9 from rate ctrl */ + /* 6 Mb */ { AH_FALSE, OFDM, 60, 60, 60, 6000, 0x0b, 0x00, 12, 4 }, + /* 9 Mb */ { AH_FALSE, OFDM, 60, 60, 60, 9000, 0x0f, 0x00, 18, 4 }, + /* 12 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 12000, 0x0a, 0x00, 24, 6 }, + /* 18 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 18000, 0x0e, 0x00, 36, 6 }, + /* 24 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 24000, 0x09, 0x00, 48, 8 }, + /* 36 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 36000, 0x0d, 0x00, 72, 8 }, + /* 48 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 48000, 0x08, 0x00, 96, 8 }, + /* 54 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 54000, 0x0c, 0x00, 108, 8 } + }, +}; + +HAL_RATE_TABLE ar5416_11ng_table = { + + 28, /* number of rates */ + { -1 }, + { + /* short ctrl */ + /* valid rateCode Preamble dot11Rate Rate */ + /* 1 Mb */ { AH_TRUE, CCK, 60, 60, 60, 1000, 0x1b, 0x00, (0x80| 2), 0 }, + /* 2 Mb */ { AH_TRUE, CCK, 60, 60, 60, 2000, 0x1a, 0x04, (0x80| 4), 1 }, + /* 5.5 Mb */ { AH_TRUE, CCK, 60, 60, 60, 5500, 0x19, 0x04, (0x80|11), 2 }, + /* 11 Mb */ { AH_TRUE, CCK, 60, 60, 60, 11000, 0x18, 0x04, (0x80|22), 3 }, + /* Hardware workaround - remove rates 6, 9 from rate ctrl */ + /* 6 Mb */ { AH_FALSE, OFDM, 60, 60, 60, 6000, 0x0b, 0x00, 12, 4 }, + /* 9 Mb */ { AH_FALSE, OFDM, 60, 60, 60, 9000, 0x0f, 0x00, 18, 4 }, + /* 12 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 12000, 0x0a, 0x00, 24, 6 }, + /* 18 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 18000, 0x0e, 0x00, 36, 6 }, + /* 24 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 24000, 0x09, 0x00, 48, 8 }, + /* 36 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 36000, 0x0d, 0x00, 72, 8 }, + /* 48 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 48000, 0x08, 0x00, 96, 8 }, + /* 54 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 54000, 0x0c, 0x00, 108, 8 }, + /* 6.5 Mb */ { AH_TRUE, HT, 60,60, 60, 6500, 0x80, 0x00, 0, 4 }, + /* 13 Mb */ { AH_TRUE, HT, 60, 60, 60, 13000, 0x81, 0x00, 1, 6 }, + /*19.5 Mb */ { AH_TRUE, HT, 60,60, 60, 19500, 0x82, 0x00, 2, 6 }, + /* 26 Mb */ { AH_TRUE, HT, 60, 60, 60, 26000, 0x83, 0x00, 3, 8 }, + /* 39 Mb */ { AH_TRUE, HT, 60, 60, 60, 39000, 0x84, 0x00, 4, 8 }, + /* 52 Mb */ { AH_TRUE, HT, 60, 60, 60, 52000, 0x85, 0x00, 5, 8 }, + /*58.5 Mb */ { AH_TRUE, HT, 60,60,60, 58500, 0x86, 0x00, 6, 8 }, + /* 65 Mb */ { AH_TRUE, HT, 60, 60, 60, 65000, 0x87, 0x00, 7, 8 }, + /* 13 Mb */ { AH_TRUE, HT, 60, 60, 60, 13000, 0x88, 0x00, 8, 4 }, + /* 26 Mb */ { AH_TRUE, HT, 60, 60, 60, 26000, 0x89, 0x00, 9, 6 }, + /* 39 Mb */ { AH_TRUE, HT, 60, 60, 60, 39000, 0x8a, 0x00, 10, 6 }, + /* 52 Mb */ { AH_TRUE, HT, 60, 60, 60, 52000, 0x8b, 0x00, 11, 8 }, + /* 78 Mb */ { AH_TRUE, HT, 60, 60, 60, 78000, 0x8c, 0x00, 12, 8 }, + /* 104 Mb */ { AH_TRUE, HT, 60, 60, 60, 104000, 0x8d, 0x00, 13, 8 }, + /* 117 Mb */ { AH_TRUE, HT, 60, 60, 60, 117000, 0x8e, 0x00, 14, 8 }, + /* 130 Mb */ { AH_TRUE, HT, 60, 60, 60, 130000, 0x8f, 0x00, 15, 8 }, + }, +}; + +HAL_RATE_TABLE ar5416_11na_table = { + + 24, /* number of rates */ + { -1 }, + { + /* short ctrl */ + /* valid rateCode Preamble dot11Rate Rate */ + /* 6 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 6000, 0x0b, 0x00, (0x80|12), 0 }, + /* 9 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 9000, 0x0f, 0x00, 18, 0 }, + /* 12 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 12000, 0x0a, 0x00, (0x80|24), 2 }, + /* 18 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 18000, 0x0e, 0x00, 36, 2 }, + /* 24 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 24000, 0x09, 0x00, (0x80|48), 4 }, + /* 36 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 36000, 0x0d, 0x00, 72, 4 }, + /* 48 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 48000, 0x08, 0x00, 96, 4 }, + /* 54 Mb */ { AH_TRUE, OFDM, 60, 60, 60, 54000, 0x0c, 0x00, 108, 4 }, + /* 6.5 Mb */ { AH_TRUE, HT, 60, 60, 60, 6500, 0x80, 0x00, 0, 0 }, + /* 13 Mb */ { AH_TRUE, HT, 60, 60, 60, 13000, 0x81, 0x00, 1, 2 }, + /*19.5 Mb */ { AH_TRUE, HT, 60, 60, 60, 19500, 0x82, 0x00, 2, 2 }, + /* 26 Mb */ { AH_TRUE, HT, 60, 60, 60, 26000, 0x83, 0x00, 3, 4 }, + /* 39 Mb */ { AH_TRUE, HT, 60, 60, 60, 39000, 0x84, 0x00, 4, 4 }, + /* 52 Mb */ { AH_TRUE, HT, 60, 60, 60, 52000, 0x85, 0x00, 5, 4 }, + /*58.5 Mb */ { AH_TRUE, HT, 60, 60, 60, 58500, 0x86, 0x00, 6, 4 }, + /* 65 Mb */ { AH_TRUE, HT, 60, 60, 60, 65000, 0x87, 0x00, 7, 4 }, + /* 13 Mb */ { AH_TRUE, HT, 60, 60, 60, 13000, 0x88, 0x00, 8, 0 }, + /* 26 Mb */ { AH_TRUE, HT, 60, 60, 60, 26000, 0x89, 0x00, 9, 2 }, + /* 39 Mb */ { AH_TRUE, HT, 60, 60, 60, 39000, 0x8a, 0x00, 10, 2 }, + /* 52 Mb */ { AH_TRUE, HT, 60, 60, 60, 52000, 0x8b, 0x00, 11, 4 }, + /* 78 Mb */ { AH_TRUE, HT, 60, 60, 60, 78000, 0x8c, 0x00, 12, 4 }, + /* 104 Mb */ { AH_TRUE, HT, 60, 60, 60, 104000, 0x8d, 0x00, 13, 4 }, + /* 117 Mb */ { AH_TRUE, HT, 60, 60, 60, 117000, 0x8e, 0x00, 14, 4 }, + /* 130 Mb */ { AH_TRUE, HT, 60, 60, 60, 130000, 0x8f, 0x00, 15, 4 }, + }, +}; + +adf_os_export_symbol(ar5416_11na_table); + +#undef OFDM +#undef CCK +#undef TURBO +#undef XR +#undef HT +#undef HT_HGI + +const HAL_RATE_TABLE * +ar5416GetRateTable(struct ath_hal *ah, a_uint32_t mode) +{ + HAL_RATE_TABLE *rt; + switch (mode) { + case HAL_MODE_11A: + rt = &ar5416_11a_table; + break; + case HAL_MODE_11B: + rt = &ar5416_11b_table; + break; + case HAL_MODE_11G: + rt = &ar5416_11g_table; + break; + case HAL_MODE_11NG: + rt = &ar5416_11ng_table; + break; + case HAL_MODE_11NA: + rt = &ar5416_11na_table; + break; + default: + return AH_NULL; + } + + return rt; +} + +#else + +#define OFDM IEEE80211_T_OFDM +#define CCK IEEE80211_T_CCK +#define TURBO IEEE80211_T_TURBO +#define XR ATHEROS_T_XR +#define HT IEEE80211_T_HT + +HAL_RATE_TABLE ar5416_11ng_table = { + + 33, /* number of rates */ + { -1 }, + { + /* short ctrl */ + /* valid rateCode Preamble dot11Rate Rate */ + /*[ 0] 1 Mb */ { AH_TRUE, CCK, 1000, 0x1b, 0x00, (0x80| 2), 0 }, + /*[ 1] 2 Mb */ { AH_TRUE, CCK, 2000, 0x1a, 0x04, (0x80| 4), 1 }, + /*[ 2] 5.5 Mb */ { AH_TRUE, CCK, 5500, 0x19, 0x04, (0x80|11), 2 }, + /*[ 3] 11 Mb */ { AH_TRUE, CCK, 11000, 0x18, 0x04, (0x80|22), 3 }, + /* Hardware workaround - remove rates 6, 9 from rate ctrl */ + /*[ 4] 6 Mb */ { AH_FALSE, OFDM, 6000, 0x0b, 0x00, 12, 4 }, + /*[ 5] 9 Mb */ { AH_FALSE, OFDM, 9000, 0x0f, 0x00, 18, 4 }, + /*[ 6] 12 Mb */ { AH_TRUE, OFDM, 12000, 0x0a, 0x00, 24, 6 }, + /*[ 7] 18 Mb */ { AH_TRUE, OFDM, 18000, 0x0e, 0x00, 36, 6 }, + /*[ 8] 24 Mb */ { AH_TRUE, OFDM, 24000, 0x09, 0x00, 48, 8 }, + /*[ 9] 36 Mb */ { AH_TRUE, OFDM, 36000, 0x0d, 0x00, 72, 8 }, + /*[10] 48 Mb */ { AH_TRUE, OFDM, 48000, 0x08, 0x00, 96, 8 }, + /*[11] 54 Mb */ { AH_TRUE, OFDM, 54000, 0x0c, 0x00, 108, 8 }, + /*[12] 6.5 Mb */ { AH_TRUE, HT, 6500, 0x80, 0x00, 0, 4 }, + /*[13] 13 Mb */ { AH_TRUE, HT, 13000, 0x81, 0x00, 1, 6 }, + /*[14]19.5 Mb */ { AH_TRUE, HT, 19500, 0x82, 0x00, 2, 6 }, + /*[15] 26 Mb */ { AH_TRUE, HT, 26000, 0x83, 0x00, 3, 8 }, + /*[16] 39 Mb */ { AH_TRUE, HT, 39000, 0x84, 0x00, 4, 8 }, + /*[17] 39 Mb */ { AH_TRUE, HT, 39000, 0x84, 0x00, 4, 8 }, + /*[18] 52 Mb */ { AH_TRUE, HT, 52000, 0x85, 0x00, 5, 8 }, + /*[19] 52 Mb */ { AH_TRUE, HT, 52000, 0x85, 0x00, 5, 8 }, + /*[20]58.5 Mb */ { AH_TRUE, HT, 58500, 0x86, 0x00, 6, 8 }, + /*[21]58.5 Mb */ { AH_TRUE, HT, 58500, 0x86, 0x00, 6, 8 }, + /*[22] 65 Mb */ { AH_TRUE, HT, 65000, 0x87, 0x00, 7, 8 }, + /*[23] 65 Mb */ { AH_TRUE, HT, 65000, 0x87, 0x00, 7, 8 }, + /*[24] 52 Mb */ { AH_TRUE, HT, 52000, 0x8b, 0x00, 11, 8 }, + /*[25] 78 Mb */ { AH_TRUE, HT, 78000, 0x8c, 0x00, 12, 8 }, + /*[26] 78 Mb */ { AH_TRUE, HT, 78000, 0x8c, 0x00, 12, 8 }, + /*[27] 104 Mb */ { AH_TRUE, HT, 104000, 0x8d, 0x00, 13, 8 }, + /*[28] 104 Mb */ { AH_TRUE, HT, 104000, 0x8d, 0x00, 13, 8 }, + /*[29] 117 Mb */ { AH_TRUE, HT, 117000, 0x8e, 0x00, 14, 8 }, + /*[30] 117 Mb */ { AH_TRUE, HT, 117000, 0x8e, 0x00, 14, 8 }, + /*[31] 130 Mb */ { AH_TRUE, HT, 130000, 0x8f, 0x00, 15, 8 }, + /*[32] 130 Mb */ { AH_TRUE, HT, 130000, 0x8f, 0x00, 15, 8 }, + }, +}; + +#ifdef ATH_SUPPORT_A_MODE + +HAL_RATE_TABLE ar5416_11na_table = { + + 28, /* number of rates */ + { -1 }, + { + /* short ctrl */ + /* valid rateCode Preamble dot11Rate Rate */ + /*[ 0] 6 Mb */ { AH_TRUE, OFDM, 6000, 0x0b, 0x00, (0x80|12), 0 }, + /*[ 1] 9 Mb */ { AH_TRUE, OFDM, 9000, 0x0f, 0x00, 18, 0 }, + /*[ 2] 12 Mb */ { AH_TRUE, OFDM, 12000, 0x0a, 0x00, (0x80|24), 2 }, + /*[ 3] 18 Mb */ { AH_TRUE, OFDM, 18000, 0x0e, 0x00, 36, 2 }, + /*[ 4] 24 Mb */ { AH_TRUE, OFDM, 24000, 0x09, 0x00, (0x80|48), 4 }, + /*[ 5] 36 Mb */ { AH_TRUE, OFDM, 36000, 0x0d, 0x00, 72, 4 }, + /*[ 6] 48 Mb */ { AH_TRUE, OFDM, 48000, 0x08, 0x00, 96, 4 }, + /*[ 7] 54 Mb */ { AH_TRUE, OFDM, 54000, 0x0c, 0x00, 108, 4 }, + /*[ 8] 6.5 Mb */ { AH_TRUE, HT, 6500, 0x80, 0x00, 0, 0 }, + /*[ 9] 13 Mb */ { AH_TRUE, HT, 13000, 0x81, 0x00, 1, 2 }, + /*[10]19.5 Mb */ { AH_TRUE, HT, 19500, 0x82, 0x00, 2, 2 }, + /*[11] 26 Mb */ { AH_TRUE, HT, 26000, 0x83, 0x00, 3, 4 }, + /*[12] 39 Mb */ { AH_TRUE, HT, 39000, 0x84, 0x00, 4, 4 }, + /*[13] 39 Mb */ { AH_TRUE, HT, 39000, 0x84, 0x00, 4, 4 }, + /*[14] 52 Mb */ { AH_TRUE, HT, 52000, 0x85, 0x00, 5, 4 }, + /*[15] 52 Mb */ { AH_TRUE, HT, 52000, 0x85, 0x00, 5, 4 }, + /*[16]58.5 Mb */ { AH_TRUE, HT, 58500, 0x86, 0x00, 6, 4 }, + /*[17]58.5 Mb */ { AH_TRUE, HT, 58500, 0x86, 0x00, 6, 4 }, + /*[18] 65 Mb */ { AH_TRUE, HT, 65000, 0x87, 0x00, 7, 4 }, + /*[19] 65 Mb */ { AH_TRUE, HT, 65000, 0x87, 0x00, 7, 4 }, + /*[20] 52 Mb */ { AH_TRUE, HT, 52000, 0x8b, 0x00, 11, 4 }, + /*[21] 78 Mb */ { AH_TRUE, HT, 78000, 0x8c, 0x00, 12, 4 }, + /*[22] 78 Mb */ { AH_TRUE, HT, 78000, 0x8c, 0x00, 12, 4 }, + /*[23] 104 Mb */ { AH_TRUE, HT, 104000, 0x8d, 0x00, 13, 4 }, + /*[24] 104 Mb */ { AH_TRUE, HT, 104000, 0x8d, 0x00, 13, 4 }, + /*[25] 117 Mb */ { AH_TRUE, HT, 117000, 0x8e, 0x00, 14, 4 }, + /*[26] 117 Mb */ { AH_TRUE, HT, 117000, 0x8e, 0x00, 14, 4 }, + /*[27] 130 Mb */ { AH_TRUE, HT, 130000, 0x8f, 0x00, 15, 4 }, + }, +}; +#endif + +#undef OFDM +#undef CCK +#undef TURBO +#undef XR +#undef HT +#undef HT_HGI + +const HAL_RATE_TABLE * +ar5416GetRateTable(struct ath_hal *ah, a_uint32_t mode) +{ + HAL_RATE_TABLE *rt; + switch (mode) { + case HAL_MODE_11NG: + rt = &ar5416_11ng_table; + break; +#ifdef ATH_SUPPORT_A_MODE + case HAL_MODE_11NA: + rt = &ar5416_11na_table; + break; +#endif + default: + return AH_NULL; + } + + return rt; +} + +#endif diff --git a/target_firmware/wlan/ar5416desc.h b/target_firmware/wlan/ar5416desc.h new file mode 100755 index 0000000..9bc5931 --- /dev/null +++ b/target_firmware/wlan/ar5416desc.h @@ -0,0 +1,465 @@ +#ifndef _ATH_AR5416_DESC_H_ +#define _ATH_AR5416_DESC_H_ + +#define ds_ctl8 u.tx.ctl8 +#define ds_ctl9 u.tx.ctl9 +#define ds_ctl10 u.tx.ctl10 +#define ds_ctl11 u.tx.ctl11 + +struct ar5416_desc_20 { + a_uint32_t ds_link; /* link pointer */ + a_uint32_t ds_data; /* data buffer pointer */ + a_uint32_t ds_ctl0; /* DMA control 0 */ + a_uint32_t ds_ctl1; /* DMA control 1 */ + union { + struct { + a_uint32_t ctl2; + a_uint32_t ctl3; + a_uint32_t ctl4; + a_uint32_t ctl5; + a_uint32_t ctl6; + a_uint32_t ctl7; + a_uint32_t ctl8; + a_uint32_t ctl9; + a_uint32_t ctl10; + a_uint32_t ctl11; + a_uint32_t status0; + a_uint32_t status1; + a_uint32_t status2; + a_uint32_t status3; + a_uint32_t status4; + a_uint32_t status5; + a_uint32_t status6; + a_uint32_t status7; + a_uint32_t status8; + a_uint32_t status9; + } tx; + struct { /* rx desc has 2 control words + 9 status words */ + a_uint32_t status0; + a_uint32_t status1; + a_uint32_t status2; + a_uint32_t status3; + a_uint32_t status4; + a_uint32_t status5; + a_uint32_t status6; + a_uint32_t status7; + a_uint32_t status8; + } rx; + } u; +} adf_os_packed; + +#define AR5416DESC_20(_ds) ((struct ar5416_desc_20 *)(_ds)) +#define AR5416DESC_CONST_20(_ds) ((const struct ar5416_desc_20 *)(_ds)) + +#define ds_ctl2 u.tx.ctl2 +#define ds_ctl3 u.tx.ctl3 +#define ds_ctl4 u.tx.ctl4 +#define ds_ctl5 u.tx.ctl5 +#define ds_ctl6 u.tx.ctl6 +#define ds_ctl7 u.tx.ctl7 + +#define ds_txstatus0 u.tx.status0 +#define ds_txstatus1 u.tx.status1 +#define ds_txstatus2 u.tx.status2 +#define ds_txstatus3 u.tx.status3 +#define ds_txstatus4 u.tx.status4 +#define ds_txstatus5 u.tx.status5 +#define ds_txstatus6 u.tx.status6 +#define ds_txstatus7 u.tx.status7 +#define ds_txstatus8 u.tx.status8 +#define ds_txstatus9 u.tx.status9 + +#define ds_rxstatus0 u.rx.status0 +#define ds_rxstatus1 u.rx.status1 +#define ds_rxstatus2 u.rx.status2 +#define ds_rxstatus3 u.rx.status3 +#define ds_rxstatus4 u.rx.status4 +#define ds_rxstatus5 u.rx.status5 +#define ds_rxstatus6 u.rx.status6 +#define ds_rxstatus7 u.rx.status7 +#define ds_rxstatus8 u.rx.status8 + +/*********** + * TX Desc * + ***********/ + +/* ds_ctl0 */ +#define AR_FrameLen 0x00000fff +#define AR_VirtMoreFrag 0x00001000 +#define AR_TxCtlRsvd00 0x0000e000 + +#define AR_XmitPower 0x003f0000 +#define AR_XmitPower_S 16 + +#define AR_RTSEnable 0x00400000 +#define AR_VEOL 0x00800000 +#define AR_ClrDestMask 0x01000000 +#define AR_TxCtlRsvd01 0x1e000000 +#define AR_TxIntrReq 0x20000000 +#define AR_DestIdxValid 0x40000000 +#define AR_CTSEnable 0x80000000 + +/* ds_ctl1 */ +#define AR_BufLen 0x00000fff +#define AR_TxMore 0x00001000 +#define AR_DestIdx 0x000fe000 +#define AR_DestIdx_S 13 +#define AR_FrameType 0x00f00000 +#define AR_FrameType_S 20 +#define AR_NoAck 0x01000000 +#define AR_InsertTS 0x02000000 +#define AR_CorruptFCS 0x04000000 +#define AR_ExtOnly 0x08000000 +#define AR_ExtAndCtl 0x10000000 +#define AR_MoreAggr 0x20000000 +#define AR_IsAggr 0x40000000 +#define AR_MoreRifs 0x80000000 + +/* ds_ctl2 */ +#define AR_BurstDur 0x00007fff +#define AR_BurstDur_S 0 +#define AR_DurUpdateEn 0x00008000 +#define AR_XmitDataTries0 0x000f0000 +#define AR_XmitDataTries0_S 16 +#define AR_XmitDataTries1 0x00f00000 +#define AR_XmitDataTries1_S 20 +#define AR_XmitDataTries2 0x0f000000 +#define AR_XmitDataTries2_S 24 +#define AR_XmitDataTries3 0xf0000000 +#define AR_XmitDataTries3_S 28 + +/* ds_ctl3 */ +#define AR_XmitRate0 0x000000ff +#define AR_XmitRate0_S 0 +#define AR_XmitRate1 0x0000ff00 +#define AR_XmitRate1_S 8 +#define AR_XmitRate2 0x00ff0000 +#define AR_XmitRate2_S 16 +#define AR_XmitRate3 0xff000000 +#define AR_XmitRate3_S 24 + +/* ds_ctl4 */ +#define AR_PacketDur0 0x00007fff +#define AR_PacketDur0_S 0 +#define AR_RTSCTSQual0 0x00008000 +#define AR_PacketDur1 0x7fff0000 +#define AR_PacketDur1_S 16 +#define AR_RTSCTSQual1 0x80000000 + +/* ds_ctl5 */ +#define AR_PacketDur2 0x00007fff +#define AR_PacketDur2_S 0 +#define AR_RTSCTSQual2 0x00008000 +#define AR_PacketDur3 0x7fff0000 +#define AR_PacketDur3_S 16 +#define AR_RTSCTSQual3 0x80000000 + +/* ds_ctl6 */ +#define AR_AggrLen 0x0000ffff +#define AR_AggrLen_S 0 +#define AR_TxCtlRsvd60 0x00030000 +#define AR_PadDelim 0x03fc0000 +#define AR_PadDelim_S 18 +#define AR_EncrType 0x1c000000 +#define AR_EncrType_S 26 +#define AR_TxCtlRsvd61 0xf0000000 + +/* ds_ctl 7 */ +#define AR_2040_0 0x00000001 +#define AR_GI0 0x00000002 +#define AR_ChainSel0 0x0000001c +#define AR_ChainSel0_S 2 +#define AR_2040_1 0x00000020 +#define AR_GI1 0x00000040 +#define AR_ChainSel1 0x00000380 +#define AR_ChainSel1_S 7 +#define AR_2040_2 0x00000400 +#define AR_GI2 0x00000800 +#define AR_ChainSel2 0x00007000 +#define AR_ChainSel2_S 12 +#define AR_2040_3 0x00008000 +#define AR_GI3 0x00010000 +#define AR_ChainSel3 0x000e0000 +#define AR_ChainSel3_S 17 +#define AR_RTSCTSRate 0x0ff00000 +#define AR_RTSCTSRate_S 20 +#define AR_TxCtlRsvd70 0xf0000000 +#define AR_STBC0 0x10000000 +#define AR_STBC1 0x20000000 +#define AR_STBC2 0x40000000 +#define AR_STBC3 0x80000000 + +#ifdef MAGPIE_MERLIN +/* ds_ctl 8 */ +#define AR_TxCtlRsvd80 0xffffffff + +/* ds_ctl 9 */ +#define AR_TxCtlRsvd90 0x00ffffff +#define AR_XmitPower1 0x3f000000 +#define AR_XmitPower1_S 24 +#define AR_TxCtlRsvd91 0xc0000000 + +/* ds_ctl 10 */ +#define AR_TxCtlRsvd100 0x00ffffff +#define AR_XmitPower2 0x3f000000 +#define AR_XmitPower2_S 24 +#define AR_TxCtlRsvd101 0xc0000000 + +/* ds_ctl 11 */ +#define AR_TxCtlRsvd110 0x00ffffff +#define AR_XmitPower3 0x3f000000 +#define AR_XmitPower3_S 24 +#define AR_TxCtlRsvd111 0xc0000000 + +#endif +/************* + * TX Status * + *************/ + +/* ds_status0 */ +#define AR_TxRSSIAnt00 0x000000ff +#define AR_TxRSSIAnt00_S 0 +#define AR_TxRSSIAnt01 0x0000ff00 +#define AR_TxRSSIAnt01_S 8 +#define AR_TxRSSIAnt02 0x00ff0000 +#define AR_TxRSSIAnt02_S 16 +#define AR_TxStatusRsvd00 0x3f000000 +#define AR_TxBaStatus 0x40000000 +#define AR_TxStatusRsvd01 0x80000000 + +/* ds_status1 */ +#define AR_FrmXmitOK 0x00000001 +#define AR_ExcessiveRetries 0x00000002 +#define AR_FIFOUnderrun 0x00000004 +#define AR_Filtered 0x00000008 +#define AR_RTSFailCnt 0x000000f0 +#define AR_RTSFailCnt_S 4 +#define AR_DataFailCnt 0x00000f00 +#define AR_DataFailCnt_S 8 +#define AR_VirtRetryCnt 0x0000f000 +#define AR_VirtRetryCnt_S 12 +#define AR_TxDelimUnderrun 0x00010000 +#define AR_TxDataUnderrun 0x00020000 +#define AR_DescCfgErr 0x00040000 +#define AR_TxTimerExpired 0x00080000 +#define AR_TxStatusRsvd10 0xfff00000 + +/* ds_status2 */ +#define AR_SendTimestamp ds_txstatus2 + +/* ds_status3 */ +#define AR_BaBitmapLow ds_txstatus3 + +/* ds_status4 */ +#define AR_BaBitmapHigh ds_txstatus4 + +/* ds_status5 */ +#define AR_TxRSSIAnt10 0x000000ff +#define AR_TxRSSIAnt10_S 0 +#define AR_TxRSSIAnt11 0x0000ff00 +#define AR_TxRSSIAnt11_S 8 +#define AR_TxRSSIAnt12 0x00ff0000 +#define AR_TxRSSIAnt12_S 16 +#define AR_TxRSSICombined 0xff000000 +#define AR_TxRSSICombined_S 24 + +/* ds_status6 */ +#define AR_TxEVM0 ds_txstatus5 + +/* ds_status7 */ +#define AR_TxEVM1 ds_txstatus6 + +/* ds_status8 */ +#define AR_TxEVM2 ds_txstatus7 + +/* ds_status9 */ +#define AR_TxDone 0x00000001 +#define AR_SeqNum 0x00001ffe +#define AR_SeqNum_S 1 +#define AR_TxStatusRsvd80 0x0001e000 +#define AR_TxOpExceeded 0x00020000 +#define AR_TxStatusRsvd81 0x001c0000 +#define AR_FinalTxIdx 0x00600000 +#define AR_FinalTxIdx_S 21 +#define AR_TxStatusRsvd82 0x01800000 +#define AR_PowerMgmt 0x02000000 +#define AR_TxStatusRsvd83 0xfc000000 + +/*********** + * RX Desc * + ***********/ + +/* ds_ctl0 */ +#define AR_RxCTLRsvd00 0xffffffff + +/* ds_ctl1 */ +#define AR_BufLen 0x00000fff +#define AR_RxCtlRsvd00 0x00001000 +#define AR_RxIntrReq 0x00002000 +#define AR_RxCtlRsvd01 0xffffc000 + +/************* + * Rx Status * + *************/ + +/* ds_status0 */ +#define AR_RxRSSIAnt00 0x000000ff +#define AR_RxRSSIAnt00_S 0 +#define AR_RxRSSIAnt01 0x0000ff00 +#define AR_RxRSSIAnt01_S 8 +#define AR_RxRSSIAnt02 0x00ff0000 +#define AR_RxRSSIAnt02_S 16 +#define AR_RxRate 0xff000000 +#define AR_RxRate_S 24 +#define AR_RxStatusRsvd00 0xff000000 + +/* ds_status1 */ +#define AR_DataLen 0x00000fff +#define AR_RxMore 0x00001000 +#define AR_NumDelim 0x003fc000 +#define AR_NumDelim_S 14 +#define AR_RxStatusRsvd10 0xff800000 + +/* ds_status2 */ +#define AR_RcvTimestamp ds_rxstatus2 + +/* ds_status3 */ +#define AR_GI 0x00000001 +#define AR_2040 0x00000002 +#define AR_Parallel40 0x00000004 +#define AR_Parallel40_S 2 +#define AR_RxStatusRsvd30 0x000000f8 +#define AR_RxAntenna 0xffffff00 +#define AR_RxAntenna_S 8 + +/* ds_status4 */ +#define AR_RxRSSIAnt10 0x000000ff +#define AR_RxRSSIAnt10_S 0 +#define AR_RxRSSIAnt11 0x0000ff00 +#define AR_RxRSSIAnt11_S 8 +#define AR_RxRSSIAnt12 0x00ff0000 +#define AR_RxRSSIAnt12_S 16 +#define AR_RxRSSICombined 0xff000000 +#define AR_RxRSSICombined_S 24 + +/* ds_status5 */ +#define AR_RxEVM0 ds_rxstatus4 + +/* ds_status6 */ +#define AR_RxEVM1 ds_rxstatus5 + +/* ds_status7 */ +#define AR_RxEVM2 ds_rxstatus6 + +/* ds_status8 */ +#define AR_RxDone 0x00000001 +#define AR_RxFrameOK 0x00000002 +#define AR_CRCErr 0x00000004 +#define AR_DecryptCRCErr 0x00000008 +#define AR_PHYErr 0x00000010 +#define AR_MichaelErr 0x00000020 +#define AR_PreDelimCRCErr 0x00000040 +#define AR_RxStatusRsvd70 0x00000080 +#define AR_RxKeyIdxValid 0x00000100 +#define AR_KeyIdx 0x0000fe00 +#define AR_KeyIdx_S 9 +#define AR_PHYErrCode 0x0000ff00 +#define AR_PHYErrCode_S 8 +#define AR_RxMoreAggr 0x00010000 +#define AR_RxAggr 0x00020000 +#define AR_PostDelimCRCErr 0x00040000 +#define AR_RxStatusRsvd71 0x3ff80000 +#define AR_DecryptBusyErr 0x40000000 +#define AR_KeyMiss 0x80000000 + +#define RXSTATUS_RATE(ah, ads) (MS(ads->ds_rxstatus0, AR_RxRate)) +#define VALID_TX_RATES \ + ((1<<0x0b)|(1<<0x0f)|(1<<0x0a)|(1<<0x0e)|(1<<0x09)|(1<<0x0d)| \ + (1<<0x08)|(1<<0x0c)|(1<<0x1b)|(1<<0x1a)|(1<<0x1e)|(1<<0x19)| \ + (1<<0x1d)|(1<<0x18)|(1<<0x1c)) +#define isValidTxRate(_r) ((1<<(_r)) & VALID_TX_RATES) + +#define set11nTries(_series, _index) \ + (SM((_series)[_index].Tries, AR_XmitDataTries##_index)) + +#define set11nRate(_series, _index) \ + (SM((_series)[_index].Rate, AR_XmitRate##_index)) + +#define set11nPktDurRTSCTS(_series, _index) \ + (SM((_series)[_index].PktDuration, AR_PacketDur##_index) |\ + ((_series)[_index].RateFlags & HAL_RATESERIES_RTS_CTS ?\ + AR_RTSCTSQual##_index : 0)) + +#define set11nRateFlags(_series, _index) \ + ((_series)[_index].RateFlags & HAL_RATESERIES_2040 ? AR_2040_##_index : 0) \ + |((_series)[_index].RateFlags & HAL_RATESERIES_HALFGI ? AR_GI##_index : 0) \ + |((_series)[_index].RateFlags & HAL_RATESERIES_STBC ? AR_STBC##_index : 0) \ + |SM((_series)[_index].ChSel, AR_ChainSel##_index) + +#define set11nTxPower(_index, _txpower) \ + SM(_txpower, AR_XmitPower##_index) + +extern HAL_BOOL ar5416UpdateTxTrigLevel(struct ath_hal *, + HAL_BOOL IncTrigLevel); +extern a_uint32_t ar5416GetTxDP(struct ath_hal *ah, a_uint32_t q); +extern HAL_BOOL ar5416SetTxDP(struct ath_hal *ah, a_uint32_t q, a_uint32_t txdp); +extern HAL_BOOL ar5416StartTxDma(struct ath_hal *ah, a_uint32_t q); +extern a_uint32_t ar5416NumTxPending(struct ath_hal *ah, a_uint32_t q); +extern HAL_BOOL ar5416StopTxDma(struct ath_hal *ah, a_uint32_t q); +extern HAL_BOOL ar5416AbortTxDma(struct ath_hal *ah); +extern void ar5416GetTxIntrQueue(struct ath_hal *ah, a_uint32_t *); +extern HAL_BOOL ar5416SetGlobalTxTimeout(struct ath_hal *, a_uint32_t); +extern a_uint32_t ar5416GetGlobalTxTimeout(struct ath_hal *); +extern HAL_BOOL ar5416AbortTxDma(struct ath_hal *ah); +extern a_uint32_t ar5416GetRxDP(struct ath_hal *ath); +extern void ar5416SetRxDP(struct ath_hal *ah, a_uint32_t rxdp); +extern void ar5416EnableReceive(struct ath_hal *ah); +extern HAL_BOOL ar5416StopDmaReceive(struct ath_hal *ah); +extern void ar5416StartPcuReceive(struct ath_hal *ah); +extern void ar5416StopPcuReceive(struct ath_hal *ah); +extern void ar5416AbortPcuReceive(struct ath_hal *ah); +extern void ar5416SetMulticastFilter(struct ath_hal *ah, + a_uint32_t filter0, a_uint32_t filter1); +extern HAL_BOOL ar5416ClrMulticastFilterIndex(struct ath_hal *, a_uint32_t ix); +extern HAL_BOOL ar5416SetMulticastFilterIndex(struct ath_hal *, a_uint32_t ix); +extern a_uint32_t ar5416GetRxFilter(struct ath_hal *ah); +extern void ar5416SetRxFilter(struct ath_hal *ah, a_uint32_t bits); +extern HAL_BOOL ar5416UpdateCTSForBursting_20(struct ath_hal *, struct ath_desc *, + struct ath_desc *,struct ath_desc *, struct ath_desc *, + a_uint32_t, a_uint32_t); +extern HAL_BOOL ar5416SetupTxDesc_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t pktLen, a_uint32_t hdrLen, HAL_PKT_TYPE type, a_uint32_t txPower, + a_uint32_t txRate0, a_uint32_t txTries0, + a_uint32_t keyIx, a_uint32_t antMode, a_uint32_t flags, + a_uint32_t rtsctsRate, a_uint32_t rtsctsDuration, + a_uint32_t compicvLen, a_uint32_t compivLen, a_uint32_t comp); +extern HAL_BOOL ar5416FillTxDesc_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t segLen, HAL_BOOL firstSeg, HAL_BOOL lastSeg, + const struct ath_desc *ds0); +extern HAL_BOOL ar5416FillKeyTxDesc_20(struct ath_hal *ah, struct ath_desc *,HAL_KEY_TYPE); +extern HAL_STATUS ar5416ProcTxDesc_20(struct ath_hal *ah, struct ath_desc *); + +extern void ar5416IntrReqTxDesc_20(struct ath_hal *ah, struct ath_desc *ds); +extern void ar5416Set11nTxDesc_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t pktLen, HAL_PKT_TYPE type, a_uint32_t txPower, + a_uint32_t keyIx, HAL_KEY_TYPE keyType, a_uint32_t flags); +extern void ar5416Set11nRateScenario_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t durUpdateEn, a_uint32_t rtsctsRate, a_uint32_t rtsctsDuration, HAL_11N_RATE_SERIES series[], + a_uint32_t nseries, a_uint32_t flags); +extern void ar5416Set11nAggrFirst_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t aggrLen, a_uint32_t numDelims); +extern void ar5416Set11nAggrMiddle_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t numDelims); +extern void ar5416Set11nAggrLast_20(struct ath_hal *ah, struct ath_desc *ds); +extern void ar5416Clr11nAggr_20(struct ath_hal *ah, struct ath_desc *ds); +extern void ar5416Set11nBurstDuration_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t burstDuration); +extern void ar5416Set11nVirtualMoreFrag_20(struct ath_hal *ah, struct ath_desc *ds, + a_uint32_t vmf); +extern HAL_BOOL ar5416SetupRxDesc_20(struct ath_hal *, + struct ath_desc *, a_uint32_t size, a_uint32_t flags); +extern HAL_STATUS ar5416ProcRxDescFast_20(struct ath_hal *ah, + struct ath_desc *, a_uint32_t, + struct ath_desc *, + struct ath_rx_status *); +#endif diff --git a/target_firmware/wlan/ar5416phy.h b/target_firmware/wlan/ar5416phy.h new file mode 100755 index 0000000..5a89821 --- /dev/null +++ b/target_firmware/wlan/ar5416phy.h @@ -0,0 +1,425 @@ +/* + * Copyright (c) 2002-2005 Sam Leffler, Errno Consulting + * Copyright (c) 2002-2005 Atheros Communications, Inc. + * All rights reserved. + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/hal/main/ar5416/ar5416phy.h#1 $ + */ +#ifndef _DEV_ATH_AR5416PHY_H_ +#define _DEV_ATH_AR5416PHY_H_ + +/* PHY registers */ +#define AR_PHY_BASE 0x9800 /* base address of phy regs */ +#define AR_PHY(_n) (AR_PHY_BASE + ((_n)<<2)) + +#define AR_PHY_TEST 0x9800 /* PHY test control */ +#define PHY_AGC_CLR 0x10000000 /* disable AGC to A2 */ +#define RFSILENT_BB 0x00002000 /* shush bb */ + +/* TX99_11N_CHANGE begin */ +#define AR_PHY_TESTCTRL 0x9808 /* PHY Test Control/Status */ +#define AR_PHY_TESTCTRL_TXHOLD 0x3800 /* Select Tx hold */ +#define AR_PHY_TESTCTRL_TXSRC_ALT 0x00000080 /* Select input to tsdac along with bit 1 */ +#define AR_PHY_TESTCTRL_TXSRC_ALT_S 7 +#define AR_PHY_TESTCTRL_TXSRC_SRC 0x00000002 /* Used with bit 7 */ +#define AR_PHY_TESTCTRL_TXSRC_SRC_S 1 +/* TX99_11N_CHANGE end */ + + +#define AR_PHY_TURBO 0x9804 /* frame control register */ +#define AR_PHY_FC_TURBO_MODE 0x00000001 /* Set turbo mode bits */ +#define AR_PHY_FC_TURBO_SHORT 0x00000002 /* Set short symbols to turbo mode setting */ +#define AR_PHY_FC_DYN2040_EN 0x00000004 /* Enable dyn 20/40 mode */ +#define AR_PHY_FC_DYN2040_PRI_ONLY 0x00000008 /* dyn 20/40 - primary only */ +#define AR_PHY_FC_DYN2040_PRI_CH 0x00000010 /* dyn 20/40 - primary ch offset (0=+10MHz, 1=-10MHz)*/ +#define AR_PHY_FC_DYN2040_EXT_CH 0x00000020 /* dyn 20/40 - ext ch spacing (0=20MHz/ 1=25MHz) */ +#define AR_PHY_FC_HT_EN 0x00000040 /* ht enable */ +#define AR_PHY_FC_SHORT_GI_40 0x00000080 /* allow short GI for HT 40 */ +#define AR_PHY_FC_WALSH 0x00000100 /* walsh spatial spreading for 2 chains,2 streams TX */ +#define AR_PHY_FC_SINGLE_HT_LTF1 0x00000200 /* single length (4us) 1st HT long training symbol */ + +#define AR_PHY_TIMING2 0x9810 /* Timing Control 2 */ +#define AR_PHY_TIMING2_USE_FORCE 0x00001000 +#define AR_PHY_TIMING2_FORCE_VAL 0x00000fff + +#define AR_PHY_TIMING3 0x9814 /* Timing control 3 */ +#define AR_PHY_TIMING3_DSC_MAN 0xFFFE0000 +#define AR_PHY_TIMING3_DSC_MAN_S 17 +#define AR_PHY_TIMING3_DSC_EXP 0x0001E000 +#define AR_PHY_TIMING3_DSC_EXP_S 13 + +#define AR_PHY_CHIP_ID 0x9818 /* PHY chip revision ID */ +#define AR_PHY_CHIP_ID_REV_0 0x80 /* 5416 Rev 0 (owl 1.0) BB */ +#define AR_PHY_CHIP_ID_REV_1 0x81 /* 5416 Rev 1 (owl 2.0) BB */ +#define AR_PHY_CHIP_ID_SOWL_REV_0 0xb0 /* 9160 Rev 0 (sowl 1.0) BB */ + +#define AR_PHY_ACTIVE 0x981C /* activation register */ +#define AR_PHY_ACTIVE_EN 0x00000001 /* Activate PHY chips */ +#define AR_PHY_ACTIVE_DIS 0x00000000 /* Deactivate PHY chips */ + +#define AR_PHY_RF_CTL2 0x9824 +#define AR_PHY_TX_END_DATA_START 0x000000FF +#define AR_PHY_TX_END_DATA_START_S 0 +#define AR_PHY_TX_END_PA_ON 0x0000FF00 +#define AR_PHY_TX_END_PA_ON_S 8 + + +#define AR_PHY_RF_CTL3 0x9828 +#define AR_PHY_TX_END_TO_A2_RX_ON 0x00FF0000 +#define AR_PHY_TX_END_TO_A2_RX_ON_S 16 + +#define AR_PHY_ADC_CTL 0x982C +#define AR_PHY_ADC_CTL_OFF_INBUFGAIN 0x00000003 +#define AR_PHY_ADC_CTL_OFF_INBUFGAIN_S 0 +#define AR_PHY_ADC_CTL_OFF_PWDDAC 0x00002000 +#define AR_PHY_ADC_CTL_OFF_PWDBANDGAP 0x00004000 /* BB Rev 4.2+ only */ +#define AR_PHY_ADC_CTL_OFF_PWDADC 0x00008000 /* BB Rev 4.2+ only */ +#define AR_PHY_ADC_CTL_ON_INBUFGAIN 0x00030000 +#define AR_PHY_ADC_CTL_ON_INBUFGAIN_S 16 + +#define AR_PHY_ADC_SERIAL_CTL 0x9830 +#define AR_PHY_SEL_INTERNAL_ADDAC 0x00000000 +#define AR_PHY_SEL_EXTERNAL_RADIO 0x00000001 + +#define AR_PHY_RF_CTL4 0x9834 +#define AR_PHY_RF_CTL4_TX_END_XPAB_OFF 0xFF000000 +#define AR_PHY_RF_CTL4_TX_END_XPAB_OFF_S 24 +#define AR_PHY_RF_CTL4_TX_END_XPAA_OFF 0x00FF0000 +#define AR_PHY_RF_CTL4_TX_END_XPAA_OFF_S 16 +#define AR_PHY_RF_CTL4_FRAME_XPAB_ON 0x0000FF00 +#define AR_PHY_RF_CTL4_FRAME_XPAB_ON_S 8 +#define AR_PHY_RF_CTL4_FRAME_XPAA_ON 0x000000FF +#define AR_PHY_RF_CTL4_FRAME_XPAA_ON_S 0 + +/* TX99_11N_CHANGE begin */ +#define AR_PHY_BB_XP_PA_CTL 0x9838 +#define AR_PHY_BB_XPAA_ACTIVE_HIGH 0x00000001 +#define AR_PHY_BB_XPAB_ACTIVE_HIGH 0x00000002 +#define AR_PHY_BB_XPAB_ACTIVE_HIGH_S 1 + +#define AR_PHY_TSTDAC_CONST 0x983C +#define AR_PHY_TSTDAC_CONST_Q 0x0003FE00 +#define AR_PHY_TSTDAC_CONST_Q_S 9 +#define AR_PHY_TSTDAC_CONST_I 0x000001FF +/* TX99_11N_CHANGE end */ + +#define AR_PHY_SETTLING 0x9844 +#define AR_PHY_SETTLING_SWITCH 0x00003F80 +#define AR_PHY_SETTLING_SWITCH_S 7 + +#define AR_PHY_RXGAIN 0x9848 +#define AR_PHY_RXGAIN_TXRX_ATTEN 0x0003F000 +#define AR_PHY_RXGAIN_TXRX_ATTEN_S 12 +#define AR_PHY_RXGAIN_TXRX_RF_MAX 0x007C0000 +#define AR_PHY_RXGAIN_TXRX_RF_MAX_S 18 + +#define AR_PHY_DESIRED_SZ 0x9850 +#define AR_PHY_DESIRED_SZ_ADC 0x000000FF +#define AR_PHY_DESIRED_SZ_ADC_S 0 +#define AR_PHY_DESIRED_SZ_PGA 0x0000FF00 +#define AR_PHY_DESIRED_SZ_PGA_S 8 +#define AR_PHY_DESIRED_SZ_TOT_DES 0x0FF00000 +#define AR_PHY_DESIRED_SZ_TOT_DES_S 20 + +#define AR_PHY_FIND_SIG 0x9858 +#define AR_PHY_FIND_SIG_FIRSTEP 0x0003F000 +#define AR_PHY_FIND_SIG_FIRSTEP_S 12 +#define AR_PHY_FIND_SIG_FIRPWR 0x03FC0000 +#define AR_PHY_FIND_SIG_FIRPWR_S 18 + +#define AR_PHY_AGC_CTL1 0x985C +#define AR_PHY_AGC_CTL1_COARSE_LOW 0x00007F80 +#define AR_PHY_AGC_CTL1_COARSE_LOW_S 7 +#define AR_PHY_AGC_CTL1_COARSE_HIGH 0x003F8000 +#define AR_PHY_AGC_CTL1_COARSE_HIGH_S 15 + +#define AR_PHY_AGC_CONTROL 0x9860 /* chip calibration and noise floor setting */ +#define AR_PHY_AGC_CONTROL_CAL 0x00000001 /* do internal calibration */ +#define AR_PHY_AGC_CONTROL_NF 0x00000002 /* do noise-floor calculation */ + +#define AR_PHY_CCA 0x9864 +#define AR_PHY_MINCCA_PWR 0x0FF80000 +#define AR_PHY_MINCCA_PWR_S 19 +#define AR_PHY_CCA_THRESH62 0x0007F000 +#define AR_PHY_CCA_THRESH62_S 12 + +#define AR_PHY_SFCORR_LOW 0x986C +#define AR_PHY_SFCORR_LOW_USE_SELF_CORR_LOW 0x00000001 +#define AR_PHY_SFCORR_LOW_M2COUNT_THR_LOW 0x00003F00 +#define AR_PHY_SFCORR_LOW_M2COUNT_THR_LOW_S 8 +#define AR_PHY_SFCORR_LOW_M1_THRESH_LOW 0x001FC000 +#define AR_PHY_SFCORR_LOW_M1_THRESH_LOW_S 14 +#define AR_PHY_SFCORR_LOW_M2_THRESH_LOW 0x0FE00000 +#define AR_PHY_SFCORR_LOW_M2_THRESH_LOW_S 21 + +#define AR_PHY_SFCORR 0x9868 +#define AR_PHY_SFCORR_M2COUNT_THR 0x0000001F +#define AR_PHY_SFCORR_M2COUNT_THR_S 0 +#define AR_PHY_SFCORR_M1_THRESH 0x00FE0000 +#define AR_PHY_SFCORR_M1_THRESH_S 17 +#define AR_PHY_SFCORR_M2_THRESH 0x7F000000 +#define AR_PHY_SFCORR_M2_THRESH_S 24 + +#define AR_PHY_SLEEP_CTR_CONTROL 0x9870 +#define AR_PHY_SLEEP_CTR_LIMIT 0x9874 +#define AR_PHY_SLEEP_SCAL 0x9878 + +#define AR_PHY_PLL_CTL 0x987c /* PLL control register */ +#define AR_PHY_PLL_CTL_40 0xaa /* 40 MHz */ +#define AR_PHY_PLL_CTL_40_5413 0x04 +#define AR_PHY_PLL_CTL_44 0xab /* 44 MHz for 11b, 11g */ +#define AR_PHY_PLL_CTL_44_2133 0xeb /* 44 MHz for 11b, 11g */ +#define AR_PHY_PLL_CTL_40_2133 0xea /* 40 MHz for 11a, turbos */ + +#define AR_PHY_RX_DELAY 0x9914 /* analog pow-on time (100ns) */ +#define AR_PHY_RX_DELAY_DELAY 0x00003FFF /* delay from wakeup to rx ena */ + +#define AR_PHY_TIMING_CTRL4(_i) (0x9920 + ((_i) << 12)) /* timing control */ +#define AR_PHY_TIMING_CTRL4_IQCORR_Q_Q_COFF 0x01F /* Mask for kcos_theta-1 for q correction */ +#define AR_PHY_TIMING_CTRL4_IQCORR_Q_Q_COFF_S 0 /* shift for Q_COFF */ +#define AR_PHY_TIMING_CTRL4_IQCORR_Q_I_COFF 0x7E0 /* Mask for sin_theta for i correction */ +#define AR_PHY_TIMING_CTRL4_IQCORR_Q_I_COFF_S 5 /* Shift for sin_theta for i correction */ +#define AR_PHY_TIMING_CTRL4_IQCORR_ENABLE 0x800 /* enable IQ correction */ +#define AR_PHY_TIMING_CTRL4_IQCAL_LOG_COUNT_MAX 0xF000 /* Mask for max number of samples (logarithmic) */ +#define AR_PHY_TIMING_CTRL4_IQCAL_LOG_COUNT_MAX_S 12 /* Shift for max number of samples */ +#define AR_PHY_TIMING_CTRL4_DO_IQCAL 0x10000 /* perform IQ calibration */ + +#define AR_PHY_TIMING5 0x9924 +#define AR_PHY_TIMING5_CYCPWR_THR1 0x000000FE +#define AR_PHY_TIMING5_CYCPWR_THR1_S 1 + +#define AR_PHY_POWER_TX_RATE1 0x9934 +#define AR_PHY_POWER_TX_RATE2 0x9938 +#define AR_PHY_POWER_TX_RATE_MAX 0x993c +#define AR_PHY_POWER_TX_RATE_MAX_TPC_ENABLE 0x00000040 + +#define AR_PHY_FRAME_CTL 0x9944 +#define AR_PHY_FRAME_CTL_TX_CLIP 0x00000038 +#define AR_PHY_FRAME_CTL_TX_CLIP_S 3 + +#define AR_PHY_TXPWRADJ 0x994C /* BB Rev 4.2+ only */ +#define AR_PHY_TXPWRADJ_CCK_GAIN_DELTA 0x00000FC0 +#define AR_PHY_TXPWRADJ_CCK_GAIN_DELTA_S 6 +#define AR_PHY_TXPWRADJ_CCK_PCDAC_INDEX 0x00FC0000 +#define AR_PHY_TXPWRADJ_CCK_PCDAC_INDEX_S 18 + +#define AR_PHY_RADAR_0 0x9954 /* radar detection settings */ +#define AR_PHY_RADAR_0_ENA 0x00000001 /* Enable radar detection */ +#define AR_PHY_RADAR_0_INBAND 0x0000003e /* Inband pulse threshold */ +#define AR_PHY_RADAR_0_INBAND_S 1 +#define AR_PHY_RADAR_0_PRSSI 0x00000FC0 /* Pulse rssi threshold */ +#define AR_PHY_RADAR_0_PRSSI_S 6 +#define AR_PHY_RADAR_0_HEIGHT 0x0003F000 /* Pulse height threshold */ +#define AR_PHY_RADAR_0_HEIGHT_S 12 +#define AR_PHY_RADAR_0_RRSSI 0x00FC0000 /* Radar rssi threshold */ +#define AR_PHY_RADAR_0_RRSSI_S 18 +#define AR_PHY_RADAR_0_FIRPWR 0x7F000000 /* Radar firpwr threshold */ +#define AR_PHY_RADAR_0_FIRPWR_S 24 + +#define AR_PHY_RADAR_1 0x9958 /* AR5413+ radar settigns */ +#define AR_PHY_RADAR_1_RELPWR_ENA 0x00800000 /* enable to check radar relative power */ +#define AR_PHY_RADAR_1_USE_FIR128 0x00400000 /* enable to use the average inband power + * measured over 128 cycles + */ +#define AR_PHY_RADAR_1_RELPWR_THRESH 0x003F0000 /* relative pwr thresh */ +#define AR_PHY_RADAR_1_RELPWR_THRESH_S 16 +#define AR_PHY_RADAR_1_BLOCK_CHECK 0x00008000 /* Enable to block radar check if weak + * OFDM sig or pkt is immediately after + * tx to rx transition + */ +#define AR_PHY_RADAR_1_MAX_RRSSI 0x00004000 /* Enable to use max rssi */ +#define AR_PHY_RADAR_1_RELSTEP_CHECK 0x00002000 /* Enable to use pulse relative step check */ +#define AR_PHY_RADAR_1_RELSTEP_THRESH 0x00001F00 /* Pulse relative step threshold */ +#define AR_PHY_RADAR_1_RELSTEP_THRESH_S 8 +#define AR_PHY_RADAR_1_MAXLEN 0x000000FF /* Max length of radar pulse */ +#define AR_PHY_RADAR_1_MAXLEN_S 0 + +#define AR_PHY_SWITCH_CHAIN_0 0x9960 +#define AR_PHY_SWITCH_COM 0x9964 + +#define AR_PHY_SIGMA_DELTA 0x996C /* AR5312 only */ +#define AR_PHY_SIGMA_DELTA_ADC_SEL 0x00000003 +#define AR_PHY_SIGMA_DELTA_ADC_SEL_S 0 +#define AR_PHY_SIGMA_DELTA_FILT2 0x000000F8 +#define AR_PHY_SIGMA_DELTA_FILT2_S 3 +#define AR_PHY_SIGMA_DELTA_FILT1 0x00001F00 +#define AR_PHY_SIGMA_DELTA_FILT1_S 8 +#define AR_PHY_SIGMA_DELTA_ADC_CLIP 0x01FFE000 +#define AR_PHY_SIGMA_DELTA_ADC_CLIP_S 13 + +#define AR_PHY_RESTART 0x9970 /* restart */ +#define AR_PHY_RESTART_DIV_GC 0x001C0000 /* bb_ant_fast_div_gc_limit */ +#define AR_PHY_RESTART_DIV_GC_S 18 + +#define AR_PHY_RFBUS_REQ 0x997C +#define AR_PHY_RFBUS_REQ_EN 0x00000001 + +#define AR_PHY_RX_CHAINMASK 0x99a4 + +#define AR_PHY_EXT_CCA 0x99bc +#define AR_PHY_EXT_CCA_THRESH62 0x007F0000 // [22:16] not replicated +#define AR_PHY_EXT_CCA_THRESH62_S 16 +#define AR_PHY_EXT_MINCCA_PWR 0xFF800000 +#define AR_PHY_EXT_MINCCA_PWR_S 23 + +#define AR_PHY_HALFGI 0x99D0 /* Timing control 3 */ +#define AR_PHY_HALFGI_DSC_MAN 0x0007FFF0 +#define AR_PHY_HALFGI_DSC_MAN_S 4 +#define AR_PHY_HALFGI_DSC_EXP 0x0000000F +#define AR_PHY_HALFGI_DSC_EXP_S 0 + +#define AR_PHY_HEAVY_CLIP_ENABLE 0x99E0 + +#define AR_PHY_M_SLEEP 0x99f0 /* sleep control registers */ +#define AR_PHY_REFCLKDLY 0x99f4 +#define AR_PHY_REFCLKPD 0x99f8 + +#define AR_PHY_CALMODE 0x99f0 +/* PHY IQ calibration results */ +#define AR_PHY_IQCAL_RES_PWR_MEAS_I(_i) (0x9c10 + ((_i) << 12)) /* power measurement for I */ +#define AR_PHY_IQCAL_RES_PWR_MEAS_Q(_i) (0x9c14 + ((_i) << 12)) /* power measurement for Q */ +#define AR_PHY_IQCAL_RES_IQ_CORR_MEAS(_i) (0x9c18 + ((_i) << 12)) /* IQ correlation measurement */ + +#define AR_PHY_CURRENT_RSSI 0x9c1c /* rssi of current frame rx'd */ + +#define AR_PHY_RFBUS_GRANT 0x9C20 +#define AR_PHY_RFBUS_GRANT_EN 0x00000001 + +#define AR_PHY_MODE 0xA200 /* Mode register */ +#define AR_PHY_MODE_AR2133 0x08 /* AR2133 */ +#define AR_PHY_MODE_AR5111 0x00 /* AR5111/AR2111 */ +#define AR_PHY_MODE_AR5112 0x08 /* AR5112*/ +#define AR_PHY_MODE_DYNAMIC 0x04 /* dynamic CCK/OFDM mode */ +#define AR_PHY_MODE_RF2GHZ 0x02 /* 2.4 GHz */ +#define AR_PHY_MODE_RF5GHZ 0x00 /* 5 GHz */ +#define AR_PHY_MODE_CCK 0x01 /* CCK */ +#define AR_PHY_MODE_OFDM 0x00 /* OFDM */ + +#define AR_PHY_CCK_TX_CTRL 0xA204 +#define AR_PHY_CCK_TX_CTRL_JAPAN 0x00000010 + +#define AR_PHY_CCK_DETECT 0xA208 +#define AR_PHY_CCK_DETECT_WEAK_SIG_THR_CCK 0x0000003F +#define AR_PHY_CCK_DETECT_WEAK_SIG_THR_CCK_S 0 +#define AR_PHY_CCK_DETECT_ANT_SWITCH_TIME 0x00001FC0 // [12:6] settling time for antenna switch +#define AR_PHY_CCK_DETECT_ANT_SWITCH_TIME_S 6 +#define AR_PHY_CCK_DETECT_BB_ENABLE_ANT_FAST_DIV 0x2000 + +#define AR_PHY_GAIN_2GHZ 0xA20C +#define AR_PHY_GAIN_2GHZ_RXTX_MARGIN 0x00FC0000 +#define AR_PHY_GAIN_2GHZ_RXTX_MARGIN_S 18 +#define AR_PHY_GAIN_2GHZ_BSW_MARGIN 0x00003C00 +#define AR_PHY_GAIN_2GHZ_BSW_MARGIN_S 10 +#define AR_PHY_GAIN_2GHZ_BSW_ATTEN 0x0000001F +#define AR_PHY_GAIN_2GHZ_BSW_ATTEN_S 0 + +#define AR_PHY_CCK_RXCTRL4 0xA21C +#define AR_PHY_CCK_RXCTRL4_FREQ_EST_SHORT 0x01F80000 +#define AR_PHY_CCK_RXCTRL4_FREQ_EST_SHORT_S 19 + +#define AR_PHY_DAG_CTRLCCK 0xA228 +#define AR_PHY_DAG_CTRLCCK_EN_RSSI_THR 0x00000200 /* BB Rev 4.2+ only */ +#define AR_PHY_DAG_CTRLCCK_RSSI_THR 0x0001FC00 /* BB Rev 4.2+ only */ +#define AR_PHY_DAG_CTRLCCK_RSSI_THR_S 10 /* BB Rev 4.2+ only */ + +#define AR_PHY_POWER_TX_RATE3 0xA234 +#define AR_PHY_POWER_TX_RATE4 0xA238 + +#define AR_PHY_SCRM_SEQ_XR 0xA23C +#define AR_PHY_HEADER_DETECT_XR 0xA240 +#define AR_PHY_CHIRP_DETECTED_XR 0xA244 +#define AR_PHY_BLUETOOTH 0xA254 + +#define AR_PHY_TPCRG1 0xA258 /* ar2413 power control */ +#define AR_PHY_TPCRG1_NUM_PD_GAIN 0x0000c000 +#define AR_PHY_TPCRG1_NUM_PD_GAIN_S 14 + +#define AR_PHY_TPCRG1_PD_GAIN_1 0x00030000 +#define AR_PHY_TPCRG1_PD_GAIN_1_S 16 +#define AR_PHY_TPCRG1_PD_GAIN_2 0x000C0000 +#define AR_PHY_TPCRG1_PD_GAIN_2_S 18 +#define AR_PHY_TPCRG1_PD_GAIN_3 0x00300000 +#define AR_PHY_TPCRG1_PD_GAIN_3_S 20 +// + +#define AR_PHY_ANALOG_SWAP 0xa268 +#define AR_PHY_SWAP_ALT_CHAIN 0x00000040 + +#define AR_PHY_TPCRG5 0xA26C /* ar2413 power control */ +#define AR_PHY_TPCRG5_PD_GAIN_OVERLAP 0x0000000F +#define AR_PHY_TPCRG5_PD_GAIN_OVERLAP_S 0 +#define AR_PHY_TPCRG5_PD_GAIN_BOUNDARY_1 0x000003F0 +#define AR_PHY_TPCRG5_PD_GAIN_BOUNDARY_1_S 4 +#define AR_PHY_TPCRG5_PD_GAIN_BOUNDARY_2 0x0000FC00 +#define AR_PHY_TPCRG5_PD_GAIN_BOUNDARY_2_S 10 +#define AR_PHY_TPCRG5_PD_GAIN_BOUNDARY_3 0x003F0000 +#define AR_PHY_TPCRG5_PD_GAIN_BOUNDARY_3_S 16 +#define AR_PHY_TPCRG5_PD_GAIN_BOUNDARY_4 0x0FC00000 +#define AR_PHY_TPCRG5_PD_GAIN_BOUNDARY_4_S 22 + +#define AR_PHY_POWER_TX_RATE5 0xA38C +#define AR_PHY_POWER_TX_RATE6 0xA390 + +#define AR_PHY_CAL_CHAINMASK 0xA39C + +#define AR_PHY_POWER_TX_SUB 0xA3C8 +#define AR_PHY_POWER_TX_RATE7 0xA3CC +#define AR_PHY_POWER_TX_RATE8 0xA3D0 +#define AR_PHY_POWER_TX_RATE9 0xA3D4 + +#define AR_PHY_CH1_CCA 0xa864 +#define AR_PHY_CH1_MINCCA_PWR 0x0FF80000 +#define AR_PHY_CH1_MINCCA_PWR_S 19 + +#define AR_PHY_CH2_CCA 0xb864 +#define AR_PHY_CH2_MINCCA_PWR 0x0FF80000 +#define AR_PHY_CH2_MINCCA_PWR_S 19 + +#define AR_PHY_CH1_EXT_CCA 0xa9bc +#define AR_PHY_CH1_EXT_MINCCA_PWR 0xFF800000 +#define AR_PHY_CH1_EXT_MINCCA_PWR_S 23 + +#define AR_PHY_CH2_EXT_CCA 0xb9bc +#define AR_PHY_CH2_EXT_MINCCA_PWR 0xFF800000 +#define AR_PHY_CH2_EXT_MINCCA_PWR_S 23 + + +#ifdef MAGPIE_MERLIN + +#define AR9280_PHY_RXGAIN_TXRX_ATTEN 0x00003F80 +#define AR9280_PHY_RXGAIN_TXRX_ATTEN_S 7 +#define AR9280_PHY_RXGAIN_TXRX_MARGIN 0x001FC000 +#define AR9280_PHY_RXGAIN_TXRX_MARGIN_S 14 + +#define AR_PHY_AGC_CONTROL_ENABLE_NF 0x00008000 /* Enable noise floor calibration to happen */ +#define AR_PHY_AGC_CONTROL_NO_UPDATE_NF 0x00020000 /* Don't update noise floor automatically */ + +#define AR9280_PHY_MINCCA_PWR 0x1FF00000 +#define AR9280_PHY_MINCCA_PWR_S 20 +#define AR9280_PHY_CCA_THRESH62 0x000FF000 +#define AR9280_PHY_CCA_THRESH62_S 12 + +#define AR_PHY_CCA_MAX_GOOD_VALUE -85 +#define AR_PHY_CCA_MAX_HIGH_VALUE -62 +#define AR_PHY_CCA_MIN_BAD_VALUE -121 + +#define AR_PHY_SYNTH_CONTROL 0x9874 +#define AR9280_PHY_CURRENT_RSSI 0x9c3c + +#define AR9280_PHY_CURRENT_RSSI 0x9c3c + +#define AR_PHY_XPA_CFG 0xA3D8 +#define AR_PHY_FORCE_XPA_CFG 0x000000001 +#define AR_PHY_FORCE_XPA_CFG_S 0 + +#define AR9280_PHY_CH1_MINCCA_PWR 0x1FF00000 +#define AR9280_PHY_CH1_MINCCA_PWR_S 20 + +#define AR9280_PHY_CH1_EXT_MINCCA_PWR 0x01FF0000 +#define AR9280_PHY_CH1_EXT_MINCCA_PWR_S 16 + +#endif + + + +#endif /* _DEV_ATH_AR5416PHY_H_ */ diff --git a/target_firmware/wlan/ar5416reg.h b/target_firmware/wlan/ar5416reg.h new file mode 100755 index 0000000..39baade --- /dev/null +++ b/target_firmware/wlan/ar5416reg.h @@ -0,0 +1,1561 @@ +#ifndef _DEV_ATH_AR5416REG_H +#define _DEV_ATH_AR5416REG_H + +/* DMA Control and Interrupt Registers */ +#define AR_CR 0x0008 // MAC Control Register - only write values of 1 have effect +#define AR_CR_RXE 0x00000004 // Receive enable +#define AR_CR_RXD 0x00000020 // Receive disable +#define AR_CR_SWI 0x00000040 // One-shot software interrupt + +#define AR_RXDP 0x000C // MAC receive queue descriptor pointer + +#define AR_CFG 0x0014 // MAC configuration and status register +#define AR_CFG_SWTD 0x00000001 // byteswap tx descriptor words +#define AR_CFG_SWTB 0x00000002 // byteswap tx data buffer words +#define AR_CFG_SWRD 0x00000004 // byteswap rx descriptor words +#define AR_CFG_SWRB 0x00000008 // byteswap rx data buffer words +#define AR_CFG_SWRG 0x00000010 // byteswap register access data words +#define AR_CFG_AP_ADHOC_INDICATION 0x00000020 // AP/adhoc indication (0-AP 1-Adhoc) +#define AR_CFG_PHOK 0x00000100 // PHY OK status +#define AR_CFG_CLK_GATE_DIS 0x00000400 // Clock gating disable +#define AR_CFG_EEBS 0x00000200 // EEPROM busy +#define AR_CFG_PCI_MASTER_REQ_Q_THRESH 0x00060000 // Mask of PCI core master request queue full threshold +#define AR_CFG_PCI_MASTER_REQ_Q_THRESH_S 17 // Shift for PCI core master request queue full threshold + +#define AR_MIRT 0x0020 // Mac Interrupt rate threshold register +#define AR_MIRT_VAL 0x0000ffff // in uS +#define AR_MIRT_VAL_S 16 + +#define AR_IER 0x0024 // MAC Interrupt enable register +#define AR_IER_ENABLE 0x00000001 // Global interrupt enable +#define AR_IER_DISABLE 0x00000000 // Global interrupt disable + +#define AR_TIMT 0x0028 // Mac Tx Interrupt mitigation threshold +#define AR_TIMT_LAST 0x0000ffff // Last packet threshold +#define AR_TIMT_LAST_S 0 +#define AR_TIMT_FIRST 0xffff0000 // First packet threshold +#define AR_TIMT_FIRST_S 16 + +#define AR_RIMT 0x002C // Mac Rx Interrupt mitigation threshold +#define AR_RIMT_LAST 0x0000ffff // Last packet threshold +#define AR_RIMT_LAST_S 0 +#define AR_RIMT_FIRST 0xffff0000 // First packet threshold +#define AR_RIMT_FIRST_S 16 + +#define AR_DMASIZE_4B 0x00000000 // DMA size 4 bytes (TXCFG + RXCFG) +#define AR_DMASIZE_8B 0x00000001 // DMA size 8 bytes +#define AR_DMASIZE_16B 0x00000002 // DMA size 16 bytes +#define AR_DMASIZE_32B 0x00000003 // DMA size 32 bytes +#define AR_DMASIZE_64B 0x00000004 // DMA size 64 bytes +#define AR_DMASIZE_128B 0x00000005 // DMA size 128 bytes +#define AR_DMASIZE_256B 0x00000006 // DMA size 256 bytes +#define AR_DMASIZE_512B 0x00000007 // DMA size 512 bytes + +#define AR_TXCFG 0x0030 // MAC tx DMA size config register +#define AR_TXCFG_DMASZ_MASK 0x00000003 +#define AR_TXCFG_DMASZ_4B 0 +#define AR_TXCFG_DMASZ_8B 1 +#define AR_TXCFG_DMASZ_16B 2 +#define AR_TXCFG_DMASZ_32B 3 +#define AR_TXCFG_DMASZ_64B 4 +#define AR_TXCFG_DMASZ_128B 5 +#define AR_TXCFG_DMASZ_256B 6 +#define AR_TXCFG_DMASZ_512B 7 +#define AR_FTRIG 0x000003F0 // Mask for Frame trigger level +#define AR_FTRIG_S 4 // Shift for Frame trigger level +#define AR_FTRIG_IMMED 0x00000000 // bytes in PCU TX FIFO before air +#define AR_FTRIG_64B 0x00000010 // default +#define AR_FTRIG_128B 0x00000020 +#define AR_FTRIG_192B 0x00000030 +#define AR_FTRIG_256B 0x00000040 // 5 bits total +#define AR_TXCFG_ADHOC_BEACON_ATIM_TX_POLICY 0x00000800 + +#define AR_RXCFG 0x0034 // MAC rx DMA size config register +#define AR_RXCFG_CHIRP 0x00000008 // Only double chirps +#define AR_RXCFG_ZLFDMA 0x00000010 // Enable DMA of zero-length frame +#define AR_RXCFG_DMASZ_MASK 0x00000007 +#define AR_RXCFG_DMASZ_4B 0 +#define AR_RXCFG_DMASZ_8B 1 +#define AR_RXCFG_DMASZ_16B 2 +#define AR_RXCFG_DMASZ_32B 3 +#define AR_RXCFG_DMASZ_64B 4 +#define AR_RXCFG_DMASZ_128B 5 +#define AR_RXCFG_DMASZ_256B 6 +#define AR_RXCFG_DMASZ_512B 7 + +#define AR_MIBC 0x0040 // MAC MIB control register +#define AR_MIBC_COW 0x00000001 // counter overflow warning +#define AR_MIBC_FMC 0x00000002 // freeze MIB counters +#define AR_MIBC_CMC 0x00000004 // clear MIB counters +#define AR_MIBC_MCS 0x00000008 // MIB counter strobe increment all + +#define AR_TOPS 0x0044 // MAC timeout prescale count +#define AR_TOPS_MASK 0x0000FFFF // Mask for timeout prescale + +#define AR_RXNPTO 0x0048 // MAC no frame received timeout +#define AR_RXNPTO_MASK 0x000003FF // Mask for no frame received timeout + +#define AR_TXNPTO 0x004C // MAC no frame trasmitted timeout +#define AR_TXNPTO_MASK 0x000003FF // Mask for no frame transmitted timeout +#define AR_TXNPTO_QCU_MASK 0x000FFC00 // Mask indicating the set of QCUs + // for which frame completions will cause + // a reset of the no frame transmitted timeout + +#define AR_RPGTO 0x0050 // MAC receive frame gap timeout +#define AR_RPGTO_MASK 0x000003FF // Mask for receive frame gap timeout + +#define AR_RPCNT 0x0054 // MAC receive frame count limit +#define AR_RPCNT_MASK 0x0000001F // Mask for receive frame count limit + +#define AR_MACMISC 0x0058 // MAC miscellaneous control/status register +#define AR_MACMISC_PCI_EXT_FORCE 0x00000010 //force msb to 10 to ahb +#define AR_MACMISC_DMA_OBS 0x000001E0 // Mask for DMA observation bus mux select +#define AR_MACMISC_DMA_OBS_S 5 // Shift for DMA observation bus mux select +#define AR_MACMISC_MISC_OBS 0x00000E00 // Mask for MISC observation bus mux select +#define AR_MACMISC_MISC_OBS_S 9 // Shift for MISC observation bus mux select +#define AR_MACMISC_MISC_OBS_BUS_LSB 0x00007000 // Mask for MAC observation bus mux select (lsb) +#define AR_MACMISC_MISC_OBS_BUS_LSB_S 12 // Shift for MAC observation bus mux select (lsb) +#define AR_MACMISC_MISC_OBS_BUS_MSB 0x00038000 // Mask for MAC observation bus mux select (msb) +#define AR_MACMISC_MISC_OBS_BUS_MSB_S 15 // Shift for MAC observation bus mux select (msb) + +#define AR_GTXTO 0x0064 // MAC global transmit timeout +#define AR_GTXTO_TIMEOUT_COUNTER 0x0000FFFF // Mask for timeout counter (in TUs) +#define AR_GTXTO_TIMEOUT_LIMIT 0xFFFF0000 // Mask for timeout limit (in TUs) +#define AR_GTXTO_TIMEOUT_LIMIT_S 16 // Shift for timeout limit + +#define AR_GTTM 0x0068 // MAC global transmit timeout mode +#define AR_GTTM_USEC 0x00000001 // usec strobe +#define AR_GTTM_IGNORE_IDLE 0x00000002 // ignore channel idle +#define AR_GTTM_RESET_IDLE 0x00000004 // reset counter on channel idle low +#define AR_GTTM_CST_USEC 0x00000008 // CST usec strobe + +#define AR_CST 0x006C // MAC carrier sense timeout +#define AR_CST_TIMEOUT_COUNTER 0x0000FFFF // Mask for timeout counter (in TUs) +#define AR_CST_TIMEOUT_LIMIT 0xFFFF0000 // Mask for timeout limit (in TUs) +#define AR_CST_TIMEOUT_LIMIT_S 16 // Shift for timeout limit + +#define AR_SREV_VERSION_HOWL 0x014 + +#define AR_SREV_5416_V20_OR_LATER(_ah) (AR_SREV_HOWL((_ah)) || AR_SREV_OWL_20_OR_LATER(_ah)) +#define AR_SREV_5416_V22_OR_LATER(_ah) (AR_SREV_HOWL((_ah)) || AR_SREV_OWL_22_OR_LATER(_ah)) + +#ifdef AR5416_EMULATION +/* XXX - AR5416 Emulation only + * XXX - TODO - remove when emulation complete + */ +#define AR_EMU 0x0070 // MAC - special emulation only register +#define AR_EMU_RATETHROT 0x00000001 // rate throttling (enabled = 1) +#define AR_EMU_CTL 0x00000002 // ctl channel busy (busy = 1) +#define AR_EMU_EXT 0x00000004 // ext channel busy (busy = 1) +#define AR_EMU_HALF_RATE 0x00000080 // run at half-rate for encryption +#define AR_EMU_VERSION 0xFFFFFF00 // Mask for version (read only) +#define AR_EMU_VERSION_S 8 // Shift for timeout limit + +#endif //AR5416_EMULATION + +/* Interrupt Status Registers */ +#define AR_ISR 0x0080 // MAC Primary interrupt status register +#define AR_ISR_RXOK 0x00000001 // At least one frame received sans errors +#define AR_ISR_RXDESC 0x00000002 // Receive interrupt request +#define AR_ISR_RXERR 0x00000004 // Receive error interrupt +#define AR_ISR_RXNOPKT 0x00000008 // No frame received within timeout clock +#define AR_ISR_RXEOL 0x00000010 // Received descriptor empty interrupt +#define AR_ISR_RXORN 0x00000020 // Receive FIFO overrun interrupt +#define AR_ISR_TXOK 0x00000040 // Transmit okay interrupt +#define AR_ISR_TXDESC 0x00000080 // Transmit interrupt request +#define AR_ISR_TXERR 0x00000100 // Transmit error interrupt +#define AR_ISR_TXNOPKT 0x00000200 // No frame transmitted interrupt +#define AR_ISR_TXEOL 0x00000400 // Transmit descriptor empty interrupt +#define AR_ISR_TXURN 0x00000800 // Transmit FIFO underrun interrupt +#define AR_ISR_MIB 0x00001000 // MIB interrupt - see MIBC +#define AR_ISR_SWI 0x00002000 // Software interrupt +#define AR_ISR_RXPHY 0x00004000 // PHY receive error interrupt +#define AR_ISR_RXKCM 0x00008000 // Key-cache miss interrupt +#define AR_ISR_SWBA 0x00010000 // Software beacon alert interrupt +#define AR_ISR_BRSSI 0x00020000 // Beacon threshold interrupt +#define AR_ISR_BMISS 0x00040000 // Beacon missed interrupt +#define AR_ISR_BNR 0x00100000 // Beacon not ready interrupt +#define AR_ISR_RXCHIRP 0x00200000 // Phy received a 'chirp' +#define AR_ISR_BCNMISC 0x00800000 // In venice 'or' of TIM CABEND DTIMSYNC BCNTO CABTO DTIM bits from ISR_S2 +#define AR_ISR_TIM 0x00800000 // TIM interrupt +#define AR_ISR_QCBROVF 0x02000000 // QCU CBR overflow interrupt +#define AR_ISR_QCBRURN 0x04000000 // QCU CBR underrun interrupt +#define AR_ISR_QTRIG 0x08000000 // QCU scheduling trigger interrupt +#define AR_ISR_GENTMR 0x10000000 // OR of generic timer bits in ISR 5 + +#ifdef AR5416_INT_MITIGATION +#define AR_ISR_TXMINTR 0x00080000 // Maximum interrupt transmit rate +#define AR_ISR_RXMINTR 0x01000000 // Maximum interrupt receive rate +#define AR_ISR_TXINTM 0x40000000 // Tx interrupt after mitigation +#define AR_ISR_RXINTM 0x80000000 // Rx interrupt after mitigation +#endif + +#define AR_ISR_S0 0x0084 // MAC Secondary interrupt status register 0 +#define AR_ISR_S0_QCU_TXOK 0x000003FF // Mask for TXOK (QCU 0-9) +#define AR_ISR_S0_QCU_TXOK_S 0 // Shift for TXOK (QCU 0-9) +#define AR_ISR_S0_QCU_TXDESC 0x03FF0000 // Mask for TXDESC (QCU 0-9) +#define AR_ISR_S0_QCU_TXDESC_S 16 // Shift for TXDESC (QCU 0-9) + +#define AR_ISR_S1 0x0088 // MAC Secondary interrupt status register 1 +#define AR_ISR_S1_QCU_TXERR 0x000003FF // Mask for TXERR (QCU 0-9) +#define AR_ISR_S1_QCU_TXERR_S 0 // Shift for TXERR (QCU 0-9) +#define AR_ISR_S1_QCU_TXEOL 0x03FF0000 // Mask for TXEOL (QCU 0-9) +#define AR_ISR_S1_QCU_TXEOL_S 16 // Shift for TXEOL (QCU 0-9) + +#define AR_ISR_S2 0x008c // MAC Secondary interrupt status register 2 +#define AR_ISR_S2_QCU_TXURN 0x000003FF // Mask for TXURN (QCU 0-9) +#define AR_ISR_S2_CST 0x00400000 // Carrier sense timeout +#define AR_ISR_S2_GTT 0x00800000 // Global transmit timeout +#define AR_ISR_S2_TIM 0x01000000 // TIM +#define AR_ISR_S2_CABEND 0x02000000 // CABEND +#define AR_ISR_S2_DTIMSYNC 0x04000000 // DTIMSYNC +#define AR_ISR_S2_BCNTO 0x08000000 // BCNTO +#define AR_ISR_S2_CABTO 0x10000000 // CABTO +#define AR_ISR_S2_DTIM 0x20000000 // DTIM +#define AR_ISR_S2_TSFOOR 0x40000000 // Rx TSF out of range +#define AR_ISR_S2_TBTT_TIME 0x80000000 // TBTT-referenced timer + +#define AR_ISR_S3 0x0090 // MAC Secondary interrupt status register 3 +#define AR_ISR_S3_QCU_QCBROVF 0x000003FF // Mask for QCBROVF (QCU 0-9) +#define AR_ISR_S3_QCU_QCBRURN 0x03FF0000 // Mask for QCBRURN (QCU 0-9) + +#define AR_ISR_S4 0x0094 // MAC Secondary interrupt status register 4 +#define AR_ISR_S4_QCU_QTRIG 0x000003FF // Mask for QTRIG (QCU 0-9) +#define AR_ISR_S4_RESV0 0xFFFFFC00 // Reserved + +#define AR_ISR_S5 0x0098 // MAC Secondary interrupt status register 5 +#define AR_ISR_S5_TIMER_TRIG 0x000000FF // Mask for timer trigger (0-7) +#define AR_ISR_S5_TIMER_THRESH 0x0007FE00 // Mask for timer threshold(0-7) +#define AR_ISR_S5_GENTIMER7 0x80 //Timer 7 does not have a dedicated function + +/* Interrupt Mask Registers */ +#define AR_IMR 0x00a0 // MAC Primary interrupt mask register +#define AR_IMR_RXOK 0x00000001 // At least one frame received sans errors +#define AR_IMR_RXDESC 0x00000002 // Receive interrupt request +#define AR_IMR_RXERR 0x00000004 // Receive error interrupt +#define AR_IMR_RXNOPKT 0x00000008 // No frame received within timeout clock +#define AR_IMR_RXEOL 0x00000010 // Received descriptor empty interrupt +#define AR_IMR_RXORN 0x00000020 // Receive FIFO overrun interrupt +#define AR_IMR_TXOK 0x00000040 // Transmit okay interrupt +#define AR_IMR_TXDESC 0x00000080 // Transmit interrupt request +#define AR_IMR_TXERR 0x00000100 // Transmit error interrupt +#define AR_IMR_TXNOPKT 0x00000200 // No frame transmitted interrupt +#define AR_IMR_TXEOL 0x00000400 // Transmit descriptor empty interrupt +#define AR_IMR_TXURN 0x00000800 // Transmit FIFO underrun interrupt +#define AR_IMR_MIB 0x00001000 // MIB interrupt - see MIBC +#define AR_IMR_SWI 0x00002000 // Software interrupt +#define AR_IMR_RXPHY 0x00004000 // PHY receive error interrupt +#define AR_IMR_RXKCM 0x00008000 // Key-cache miss interrupt +#define AR_IMR_SWBA 0x00010000 // Software beacon alert interrupt +#define AR_IMR_BRSSI 0x00020000 // Beacon threshold interrupt +#define AR_IMR_BMISS 0x00040000 // Beacon missed interrupt +#define AR_IMR_BNR 0x00100000 // BNR interrupt +#define AR_IMR_RXCHIRP 0x00200000 // RXCHIRP interrupt +#define AR_IMR_BCNMISC 0x00800000 // Venice: BCNMISC +#define AR_IMR_TIM 0x00800000 // TIM interrupt +#define AR_IMR_QCBROVF 0x02000000 // QCU CBR overflow interrupt +#define AR_IMR_QCBRURN 0x04000000 // QCU CBR underrun interrupt +#define AR_IMR_QTRIG 0x08000000 // QCU scheduling trigger interrupt +#define AR_IMR_GENTMR 0x10000000 // Generic timer interrupt + +#ifdef AR5416_INT_MITIGATION +#define AR_IMR_TXMINTR 0x00080000 // Maximum interrupt transmit rate +#define AR_IMR_RXMINTR 0x01000000 // Maximum interrupt receive rate +#define AR_IMR_TXINTM 0x40000000 // Tx interrupt after mitigation +#define AR_IMR_RXINTM 0x80000000 // Rx interrupt after mitigation +#endif + +#define AR_IMR_S0 0x00a4 // MAC Secondary interrupt mask register 0 +#define AR_IMR_S0_QCU_TXOK 0x000003FF // Mask for TXOK (QCU 0-9) +#define AR_IMR_S0_QCU_TXOK_S 0 // Shift for TXOK (QCU 0-9) +#define AR_IMR_S0_QCU_TXDESC 0x03FF0000 // Mask for TXDESC (QCU 0-9) +#define AR_IMR_S0_QCU_TXDESC_S 16 // Shift for TXDESC (QCU 0-9) + +#define AR_IMR_S1 0x00a8 // MAC Secondary interrupt mask register 1 +#define AR_IMR_S1_QCU_TXERR 0x000003FF // Mask for TXERR (QCU 0-9) +#define AR_IMR_S1_QCU_TXERR_S 0 // Shift for TXERR (QCU 0-9) +#define AR_IMR_S1_QCU_TXEOL 0x03FF0000 // Mask for TXEOL (QCU 0-9) +#define AR_IMR_S1_QCU_TXEOL_S 16 // Shift for TXEOL (QCU 0-9) + +#define AR_IMR_S2 0x00ac // MAC Secondary interrupt mask register 2 +#define AR_IMR_S2_QCU_TXURN 0x000003FF // Mask for TXURN (QCU 0-9) +#define AR_IMR_S2_QCU_TXURN_S 0 // Shift for TXURN (QCU 0-9) +#define AR_IMR_S2_CST 0x00400000 // Carrier sense timeout +#define AR_IMR_S2_GTT 0x00800000 // Global transmit timeout +#define AR_IMR_S2_TIM 0x01000000 // TIM +#define AR_IMR_S2_CABEND 0x02000000 // CABEND +#define AR_IMR_S2_DTIMSYNC 0x04000000 // DTIMSYNC +#define AR_IMR_S2_BCNTO 0x08000000 // BCNTO +#define AR_IMR_S2_CABTO 0x10000000 // CABTO +#define AR_IMR_S2_DTIM 0x20000000 // DTIM +#define AR_IMR_S2_TSFOOR 0x40000000 // TSF overrun + +#define AR_IMR_S3 0x00b0 // MAC Secondary interrupt mask register 3 +#define AR_IMR_S3_QCU_QCBROVF 0x000003FF // Mask for QCBROVF (QCU 0-9) +#define AR_IMR_S3_QCU_QCBRURN 0x03FF0000 // Mask for QCBRURN (QCU 0-9) +#define AR_IMR_S3_QCU_QCBRURN_S 16 // Shift for QCBRURN (QCU 0-9) + +#define AR_IMR_S4 0x00b4 // MAC Secondary interrupt mask register 4 +#define AR_IMR_S4_QCU_QTRIG 0x000003FF // Mask for QTRIG (QCU 0-9) +#define AR_IMR_S4_RESV0 0xFFFFFC00 // Reserved + +#define AR_IMR_S5 0x00b8 // MAC Secondary interrupt mask register 5 +#define AR_IMR_S5_TIMER_TRIG 0x000000FF // Mask for timer trigger (0-7) +#define AR_IMR_S5_TIMER_THRESH 0x0000FF00 // Mask for timer threshold(0-7) +#define AR_IMR_S5_GENTIMER7 0x80 //Timer 7 does not have a dedicated function + +/* Interrupt status registers (read-and-clear access secondary shadow copies) */ +#define AR_ISR_RAC 0x00c0 // MAC Primary interrupt status register + // read-and-clear access +#define AR_ISR_S0_S 0x00c4 // MAC Secondary interrupt status register 0 + +/* Interrupt status registers (read-and-clear access secondary shadow copies) */ +#define AR_ISR_RAC 0x00c0 // MAC Primary interrupt status register + // read-and-clear access +#define AR_ISR_S0_S 0x00c4 // MAC Secondary interrupt status register 0 + // shadow copy +#define AR_ISR_S0_QCU_TXOK 0x000003FF // Mask for TXOK (QCU 0-9) +#define AR_ISR_S0_QCU_TXOK_S 0 // Shift for TXOK (QCU 0-9) +#define AR_ISR_S0_QCU_TXDESC 0x03FF0000 // Mask for TXDESC (QCU 0-9) +#define AR_ISR_S0_QCU_TXDESC_S 16 // Shift for TXDESC (QCU 0-9) + +#define AR_ISR_S1_S 0x00c8 // MAC Secondary interrupt status register 1 + // shadow copy +#define AR_ISR_S1_QCU_TXERR 0x000003FF // Mask for TXERR (QCU 0-9) +#define AR_ISR_S1_QCU_TXERR_S 0 // Shift for TXERR (QCU 0-9) +#define AR_ISR_S1_QCU_TXEOL 0x03FF0000 // Mask for TXEOL (QCU 0-9) +#define AR_ISR_S1_QCU_TXEOL_S 16 // Shift for TXEOL (QCU 0-9) + +#define AR_ISR_S2_S 0x00cc // MAC Secondary interrupt status register 2 + // shadow copy +#define AR_ISR_S3_S 0x00d0 // MAC Secondary interrupt status register 3 + // shadow copy +#define AR_ISR_S4_S 0x00d4 // MAC Secondary interrupt status register 4 + // shadow copy +#define AR_ISR_S5_S 0x00d8 // MAC Secondary interrupt status register 5 + // shadow copy +#define AR_DMADBG_0 0x00e0 // MAC DMA Debug Registers +#define AR_DMADBG_1 0x00e4 +#define AR_DMADBG_2 0x00e8 +#define AR_DMADBG_3 0x00ec +#define AR_DMADBG_4 0x00f0 +#define AR_DMADBG_5 0x00f4 +#define AR_DMADBG_6 0x00f8 +#define AR_DMADBG_7 0x00fc + +/* QCU registers */ +#define AR_NUM_QCU 10 // Only use QCU 0-9 for forward QCU compatibility +#define AR_QCU_0 0x0001 +#define AR_QCU_1 0x0002 +#define AR_QCU_2 0x0004 +#define AR_QCU_3 0x0008 +#define AR_QCU_4 0x0010 +#define AR_QCU_5 0x0020 +#define AR_QCU_6 0x0040 +#define AR_QCU_7 0x0080 +#define AR_QCU_8 0x0100 +#define AR_QCU_9 0x0200 + +#define AR_Q0_TXDP 0x0800 // MAC Transmit Queue descriptor pointer +#define AR_Q1_TXDP 0x0804 // MAC Transmit Queue descriptor pointer +#define AR_Q2_TXDP 0x0808 // MAC Transmit Queue descriptor pointer +#define AR_Q3_TXDP 0x080c // MAC Transmit Queue descriptor pointer +#define AR_Q4_TXDP 0x0810 // MAC Transmit Queue descriptor pointer +#define AR_Q5_TXDP 0x0814 // MAC Transmit Queue descriptor pointer +#define AR_Q6_TXDP 0x0818 // MAC Transmit Queue descriptor pointer +#define AR_Q7_TXDP 0x081c // MAC Transmit Queue descriptor pointer +#define AR_Q8_TXDP 0x0820 // MAC Transmit Queue descriptor pointer +#define AR_Q9_TXDP 0x0824 // MAC Transmit Queue descriptor pointer +#define AR_QTXDP(_i) (AR_Q0_TXDP + ((_i)<<2)) + +#define AR_Q_TXE 0x0840 // MAC Transmit Queue enable +#define AR_Q_TXE_M 0x000003FF // Mask for TXE (QCU 0-9) + +#define AR_Q_TXD 0x0880 // MAC Transmit Queue disable +#define AR_Q_TXD_M 0x000003FF // Mask for TXD (QCU 0-9) + +#define AR_Q0_CBRCFG 0x08c0 // MAC CBR configuration +#define AR_Q1_CBRCFG 0x08c4 // MAC CBR configuration +#define AR_Q2_CBRCFG 0x08c8 // MAC CBR configuration +#define AR_Q3_CBRCFG 0x08cc // MAC CBR configuration +#define AR_Q4_CBRCFG 0x08d0 // MAC CBR configuration +#define AR_Q5_CBRCFG 0x08d4 // MAC CBR configuration +#define AR_Q6_CBRCFG 0x08d8 // MAC CBR configuration +#define AR_Q7_CBRCFG 0x08dc // MAC CBR configuration +#define AR_Q8_CBRCFG 0x08e0 // MAC CBR configuration +#define AR_Q9_CBRCFG 0x08e4 // MAC CBR configuration +#define AR_QCBRCFG(_i) (AR_Q0_CBRCFG + ((_i)<<2)) +#define AR_Q_CBRCFG_INTERVAL 0x00FFFFFF // Mask for CBR interval (us) +#define AR_Q_CBRCFG_INTERVAL_S 0 // Shift for CBR interval (us) +#define AR_Q_CBRCFG_OVF_THRESH 0xFF000000 // Mask for CBR overflow threshold +#define AR_Q_CBRCFG_OVF_THRESH_S 24 // Shift for CBR overflow threshold + +#define AR_Q0_RDYTIMECFG 0x0900 // MAC ReadyTime configuration +#define AR_Q1_RDYTIMECFG 0x0904 // MAC ReadyTime configuration +#define AR_Q2_RDYTIMECFG 0x0908 // MAC ReadyTime configuration +#define AR_Q3_RDYTIMECFG 0x090c // MAC ReadyTime configuration +#define AR_Q4_RDYTIMECFG 0x0910 // MAC ReadyTime configuration +#define AR_Q5_RDYTIMECFG 0x0914 // MAC ReadyTime configuration +#define AR_Q6_RDYTIMECFG 0x0918 // MAC ReadyTime configuration +#define AR_Q7_RDYTIMECFG 0x091c // MAC ReadyTime configuration +#define AR_Q8_RDYTIMECFG 0x0920 // MAC ReadyTime configuration +#define AR_Q9_RDYTIMECFG 0x0924 // MAC ReadyTime configuration +#define AR_QRDYTIMECFG(_i) (AR_Q0_RDYTIMECFG + ((_i)<<2)) +#define AR_Q_RDYTIMECFG_DURATION 0x00FFFFFF // Mask for ReadyTime duration (us) +#define AR_Q_RDYTIMECFG_DURATION_S 0 // Shift for ReadyTime duration (us) +#define AR_Q_RDYTIMECFG_EN 0x01000000 // ReadyTime enable + +#define AR_Q_ONESHOTARM_SC 0x0940 // MAC OneShotArm set control +#define AR_Q_ONESHOTARM_SC_M 0x000003FF // Mask for #define AR_Q_ONESHOTARM_SC (QCU 0-9) +#define AR_Q_ONESHOTARM_SC_RESV0 0xFFFFFC00 // Reserved + +#define AR_Q_ONESHOTARM_CC 0x0980 // MAC OneShotArm clear control +#define AR_Q_ONESHOTARM_CC_M 0x000003FF // Mask for #define AR_Q_ONESHOTARM_CC (QCU 0-9) +#define AR_Q_ONESHOTARM_CC_RESV0 0xFFFFFC00 // Reserved + +#define AR_Q0_MISC 0x09c0 // MAC Miscellaneous QCU settings +#define AR_Q1_MISC 0x09c4 // MAC Miscellaneous QCU settings +#define AR_Q2_MISC 0x09c8 // MAC Miscellaneous QCU settings +#define AR_Q3_MISC 0x09cc // MAC Miscellaneous QCU settings +#define AR_Q4_MISC 0x09d0 // MAC Miscellaneous QCU settings +#define AR_Q5_MISC 0x09d4 // MAC Miscellaneous QCU settings +#define AR_Q6_MISC 0x09d8 // MAC Miscellaneous QCU settings +#define AR_Q7_MISC 0x09dc // MAC Miscellaneous QCU settings +#define AR_Q8_MISC 0x09e0 // MAC Miscellaneous QCU settings +#define AR_Q9_MISC 0x09e4 // MAC Miscellaneous QCU settings +#define AR_QMISC(_i) (AR_Q0_MISC + ((_i)<<2)) +#define AR_Q_MISC_FSP 0x0000000F // Mask for Frame Scheduling Policy +#define AR_Q_MISC_FSP_ASAP 0 // ASAP +#define AR_Q_MISC_FSP_CBR 1 // CBR +#define AR_Q_MISC_FSP_DBA_GATED 2 // DMA Beacon Alert gated +#define AR_Q_MISC_FSP_TIM_GATED 3 // TIM gated +#define AR_Q_MISC_FSP_BEACON_SENT_GATED 4 // Beacon-sent-gated +#define AR_Q_MISC_FSP_BEACON_RCVD_GATED 5 // Beacon-received-gated +#define AR_Q_MISC_ONE_SHOT_EN 0x00000010 // OneShot enable +#define AR_Q_MISC_CBR_INCR_DIS1 0x00000020 // Disable CBR expired counter incr (empty q) +#define AR_Q_MISC_CBR_INCR_DIS0 0x00000040 // Disable CBR expired counter incr (empty beacon q) +#define AR_Q_MISC_BEACON_USE 0x00000080 // Beacon use indication +#define AR_Q_MISC_CBR_EXP_CNTR_LIMIT_EN 0x00000100 // CBR expired counter limit enable +#define AR_Q_MISC_RDYTIME_EXP_POLICY 0x00000200 // Enable TXE cleared on ReadyTime expired or VEOL +#define AR_Q_MISC_RESET_CBR_EXP_CTR 0x00000400 // Reset CBR expired counter +#define AR_Q_MISC_DCU_EARLY_TERM_REQ 0x00000800 // DCU frame early termination request control +#define AR_Q_MISC_RESV0 0xFFFFF000 // Reserved + +#define AR_Q0_STS 0x0a00 // MAC Miscellaneous QCU status +#define AR_Q1_STS 0x0a04 // MAC Miscellaneous QCU status +#define AR_Q2_STS 0x0a08 // MAC Miscellaneous QCU status +#define AR_Q3_STS 0x0a0c // MAC Miscellaneous QCU status +#define AR_Q4_STS 0x0a10 // MAC Miscellaneous QCU status +#define AR_Q5_STS 0x0a14 // MAC Miscellaneous QCU status +#define AR_Q6_STS 0x0a18 // MAC Miscellaneous QCU status +#define AR_Q7_STS 0x0a1c // MAC Miscellaneous QCU status +#define AR_Q8_STS 0x0a20 // MAC Miscellaneous QCU status +#define AR_Q9_STS 0x0a24 // MAC Miscellaneous QCU status +#define AR_QSTS(_i) (AR_Q0_STS + ((_i)<<2)) +#define AR_Q_STS_PEND_FR_CNT 0x00000003 // Mask for Pending Frame Count +#define AR_Q_STS_RESV0 0x000000FC // Reserved +#define AR_Q_STS_CBR_EXP_CNT 0x0000FF00 // Mask for CBR expired counter +#define AR_Q_STS_RESV1 0xFFFF0000 // Reserved + +#define AR_Q_RDYTIMESHDN 0x0a40 // MAC ReadyTimeShutdown status +#define AR_Q_RDYTIMESHDN_M 0x000003FF // Mask for ReadyTimeShutdown status (QCU 0-9) + +/* DCU registers */ +#define AR_NUM_DCU 10 // Only use 10 DCU's for forward QCU/DCU compatibility +#define AR_DCU_0 0x0001 +#define AR_DCU_1 0x0002 +#define AR_DCU_2 0x0004 +#define AR_DCU_3 0x0008 +#define AR_DCU_4 0x0010 +#define AR_DCU_5 0x0020 +#define AR_DCU_6 0x0040 +#define AR_DCU_7 0x0080 +#define AR_DCU_8 0x0100 +#define AR_DCU_9 0x0200 + +#define AR_D0_QCUMASK 0x1000 // MAC QCU Mask +#define AR_D1_QCUMASK 0x1004 // MAC QCU Mask +#define AR_D2_QCUMASK 0x1008 // MAC QCU Mask +#define AR_D3_QCUMASK 0x100c // MAC QCU Mask +#define AR_D4_QCUMASK 0x1010 // MAC QCU Mask +#define AR_D5_QCUMASK 0x1014 // MAC QCU Mask +#define AR_D6_QCUMASK 0x1018 // MAC QCU Mask +#define AR_D7_QCUMASK 0x101c // MAC QCU Mask +#define AR_D8_QCUMASK 0x1020 // MAC QCU Mask +#define AR_D9_QCUMASK 0x1024 // MAC QCU Mask +#define AR_DQCUMASK(_i) (AR_D0_QCUMASK + ((_i)<<2)) +#define AR_D_QCUMASK 0x000003FF // Mask for QCU Mask (QCU 0-9) +#define AR_D_QCUMASK_RESV0 0xFFFFFC00 // Reserved + +#define AR_D_TXBLK_CMD 0x1038 /* DCU transmit filter cmd (w/only) */ +#define AR_D_TXBLK_DATA(i) (AR_D_TXBLK_CMD+(i)) /* DCU transmit filter data */ + +#define AR_D0_LCL_IFS 0x1040 // MAC DCU-specific IFS settings +#define AR_D1_LCL_IFS 0x1044 // MAC DCU-specific IFS settings +#define AR_D2_LCL_IFS 0x1048 // MAC DCU-specific IFS settings +#define AR_D3_LCL_IFS 0x104c // MAC DCU-specific IFS settings +#define AR_D4_LCL_IFS 0x1050 // MAC DCU-specific IFS settings +#define AR_D5_LCL_IFS 0x1054 // MAC DCU-specific IFS settings +#define AR_D6_LCL_IFS 0x1058 // MAC DCU-specific IFS settings +#define AR_D7_LCL_IFS 0x105c // MAC DCU-specific IFS settings +#define AR_D8_LCL_IFS 0x1060 // MAC DCU-specific IFS settings +#define AR_D9_LCL_IFS 0x1064 // MAC DCU-specific IFS settings +#define AR_DLCL_IFS(_i) (AR_D0_LCL_IFS + ((_i)<<2)) +#define AR_D_LCL_IFS_CWMIN 0x000003FF // Mask for CW_MIN +#define AR_D_LCL_IFS_CWMIN_S 0 // Shift for CW_MIN +#define AR_D_LCL_IFS_CWMAX 0x000FFC00 // Mask for CW_MAX +#define AR_D_LCL_IFS_CWMAX_S 10 // Shift for CW_MAX +#define AR_D_LCL_IFS_AIFS 0x0FF00000 // Mask for AIFS +#define AR_D_LCL_IFS_AIFS_S 20 // Shift for AIFS + /* + * Note: even though this field is 8 bits wide the + * maximum supported AIFS value is 0xfc. Setting the AIFS value + * to 0xfd 0xfe or 0xff will not work correctly and will cause + * the DCU to hang. + */ +#define AR_D_LCL_IFS_RESV0 0xF0000000 // Reserved + +#define AR_D0_RETRY_LIMIT 0x1080 // MAC Retry limits +#define AR_D1_RETRY_LIMIT 0x1084 // MAC Retry limits +#define AR_D2_RETRY_LIMIT 0x1088 // MAC Retry limits +#define AR_D3_RETRY_LIMIT 0x108c // MAC Retry limits +#define AR_D4_RETRY_LIMIT 0x1090 // MAC Retry limits +#define AR_D5_RETRY_LIMIT 0x1094 // MAC Retry limits +#define AR_D6_RETRY_LIMIT 0x1098 // MAC Retry limits +#define AR_D7_RETRY_LIMIT 0x109c // MAC Retry limits +#define AR_D8_RETRY_LIMIT 0x10a0 // MAC Retry limits +#define AR_D9_RETRY_LIMIT 0x10a4 // MAC Retry limits +#define AR_DRETRY_LIMIT(_i) (AR_D0_RETRY_LIMIT + ((_i)<<2)) +#define AR_D_RETRY_LIMIT_FR_SH 0x0000000F // Mask for frame short retry limit +#define AR_D_RETRY_LIMIT_FR_SH_S 0 // Shift for frame short retry limit +#define AR_D_RETRY_LIMIT_STA_SH 0x00003F00 // Mask for station short retry limit +#define AR_D_RETRY_LIMIT_STA_SH_S 8 // Shift for station short retry limit +#define AR_D_RETRY_LIMIT_STA_LG 0x000FC000 // Mask for station short retry limit +#define AR_D_RETRY_LIMIT_STA_LG_S 14 // Shift for station short retry limit +#define AR_D_RETRY_LIMIT_RESV0 0xFFF00000 // Reserved + +#define AR_D0_CHNTIME 0x10c0 // MAC ChannelTime settings +#define AR_D1_CHNTIME 0x10c4 // MAC ChannelTime settings +#define AR_D2_CHNTIME 0x10c8 // MAC ChannelTime settings +#define AR_D3_CHNTIME 0x10cc // MAC ChannelTime settings +#define AR_D4_CHNTIME 0x10d0 // MAC ChannelTime settings +#define AR_D5_CHNTIME 0x10d4 // MAC ChannelTime settings +#define AR_D6_CHNTIME 0x10d8 // MAC ChannelTime settings +#define AR_D7_CHNTIME 0x10dc // MAC ChannelTime settings +#define AR_D8_CHNTIME 0x10e0 // MAC ChannelTime settings +#define AR_D9_CHNTIME 0x10e4 // MAC ChannelTime settings +#define AR_DCHNTIME(_i) (AR_D0_CHNTIME + ((_i)<<2)) +#define AR_D_CHNTIME_DUR 0x000FFFFF // Mask for ChannelTime duration (us) +#define AR_D_CHNTIME_DUR_S 0 // Shift for ChannelTime duration (us) +#define AR_D_CHNTIME_EN 0x00100000 // ChannelTime enable +#define AR_D_CHNTIME_RESV0 0xFFE00000 // Reserved + +#define AR_D0_MISC 0x1100 // MAC Miscellaneous DCU-specific settings +#define AR_D1_MISC 0x1104 // MAC Miscellaneous DCU-specific settings +#define AR_D2_MISC 0x1108 // MAC Miscellaneous DCU-specific settings +#define AR_D3_MISC 0x110c // MAC Miscellaneous DCU-specific settings +#define AR_D4_MISC 0x1110 // MAC Miscellaneous DCU-specific settings +#define AR_D5_MISC 0x1114 // MAC Miscellaneous DCU-specific settings +#define AR_D6_MISC 0x1118 // MAC Miscellaneous DCU-specific settings +#define AR_D7_MISC 0x111c // MAC Miscellaneous DCU-specific settings +#define AR_D8_MISC 0x1120 // MAC Miscellaneous DCU-specific settings +#define AR_D9_MISC 0x1124 // MAC Miscellaneous DCU-specific settings +#define AR_DMISC(_i) (AR_D0_MISC + ((_i)<<2)) +#define AR_D_MISC_BKOFF_THRESH 0x0000003F // Mask for Backoff threshold setting +#define AR_D_MISC_RETRY_CNT_RESET_EN 0x00000040 // End of tx series station RTS/data failure count reset policy +#define AR_D_MISC_CW_RESET_EN 0x00000080 // End of tx series CW reset enable +#define AR_D_MISC_FRAG_WAIT_EN 0x00000100 // Fragment Starvation Policy +#define AR_D_MISC_FRAG_BKOFF_EN 0x00000200 // Backoff during a frag burst +#define AR_D_MISC_CW_BKOFF_EN 0x00001000 // Use binary exponential CW backoff +#define AR_D_MISC_VIR_COL_HANDLING 0x0000C000 // Mask for Virtual collision handling policy +#define AR_D_MISC_VIR_COL_HANDLING_S 14 // Shift for Virtual collision handling policy +#define AR_D_MISC_VIR_COL_HANDLING_DEFAULT 0 // Normal +#define AR_D_MISC_VIR_COL_HANDLING_IGNORE 1 // Ignore +#define AR_D_MISC_BEACON_USE 0x00010000 // Beacon use indication +#define AR_D_MISC_ARB_LOCKOUT_CNTRL 0x00060000 // Mask for DCU arbiter lockout control +#define AR_D_MISC_ARB_LOCKOUT_CNTRL_S 17 // Shift for DCU arbiter lockout control +#define AR_D_MISC_ARB_LOCKOUT_CNTRL_NONE 0 // No lockout +#define AR_D_MISC_ARB_LOCKOUT_CNTRL_INTRA_FR 1 // Intra-frame +#define AR_D_MISC_ARB_LOCKOUT_CNTRL_GLOBAL 2 // Global +#define AR_D_MISC_ARB_LOCKOUT_IGNORE 0x00080000 // DCU arbiter lockout ignore control +#define AR_D_MISC_SEQ_NUM_INCR_DIS 0x00100000 // Sequence number increment disable +#define AR_D_MISC_POST_FR_BKOFF_DIS 0x00200000 // Post-frame backoff disable +#define AR_D_MISC_VIT_COL_CW_BKOFF_EN 0x00400000 // Virtual coll. handling policy +#define AR_D_MISC_BLOWN_IFS_RETRY_EN 0x00800000 // Initiate Retry procedure on Blown IFS +#define AR_D_MISC_RESV0 0xFF000000 // Reserved + +#define AR_D_SEQNUM 0x1140 // MAC Frame sequence number control/status + +#define AR_D_GBL_IFS_SIFS 0x1030 // MAC DCU-global IFS settings: SIFS duration +#define AR_D_GBL_IFS_SIFS_M 0x0000FFFF // Mask for SIFS duration (core clocks) +#define AR_D_GBL_IFS_SIFS_RESV0 0xFFFFFFFF // Reserved + +#define AR_D_TXBLK_BASE 0x1038 // MAC DCU-global transmit filter bits +#define AR_D_TXBLK_WRITE_BITMASK 0x0000FFFF // Mask for bitmask +#define AR_D_TXBLK_WRITE_BITMASK_S 0 // Shift for bitmask +#define AR_D_TXBLK_WRITE_SLICE 0x000F0000 // Mask for slice +#define AR_D_TXBLK_WRITE_SLICE_S 16 // Shift for slice +#define AR_D_TXBLK_WRITE_DCU 0x00F00000 // Mask for DCU number +#define AR_D_TXBLK_WRITE_DCU_S 20 // Shift for DCU number +#define AR_D_TXBLK_WRITE_COMMAND 0x0F000000 // Mask for command +#define AR_D_TXBLK_WRITE_COMMAND_S 24 // Shift for command + +#define AR_D_GBL_IFS_SLOT 0x1070 // MAC DCU-global IFS settings: slot duration +#define AR_D_GBL_IFS_SLOT_M 0x0000FFFF // Mask for Slot duration (core clocks) +#define AR_D_GBL_IFS_SLOT_RESV0 0xFFFF0000 // Reserved + +#define AR_D_GBL_IFS_EIFS 0x10b0 // MAC DCU-global IFS settings: EIFS duration +#define AR_D_GBL_IFS_EIFS_M 0x0000FFFF // Mask for Slot duration (core clocks) +#define AR_D_GBL_IFS_EIFS_RESV0 0xFFFF0000 // Reserved + +#define AR_D_GBL_IFS_MISC 0x10f0 // MAC DCU-global IFS settings: Miscellaneous +#define AR_D_GBL_IFS_MISC_LFSR_SLICE_SEL 0x00000007 // Mask forLFSR slice select +#define AR_D_GBL_IFS_MISC_TURBO_MODE 0x00000008 // Turbo mode indication +#define AR_D_GBL_IFS_MISC_USEC_DURATION 0x000FFC00 // Mask for microsecond duration +#define AR_D_GBL_IFS_MISC_DCU_ARBITER_DLY 0x00300000 // Mask for DCU arbiter delay +#define AR_D_GBL_IFS_MISC_RANDOM_LFSR_SLICE_DIS 0x01000000 // Random LSFR slice disable +#define AR_D_GBL_IFS_MISC_SLOT_XMIT_WIND_LEN 0x06000000 // Slot transmission window length mask +#define AR_D_GBL_IFS_MISC_FORCE_XMIT_SLOT_BOUND 0x08000000 // Force transmission on slot boundaries +#define AR_D_GBL_IFS_MISC_IGNORE_BACKOFF 0x10000000 // Ignore backoff + +#define AR_D_FPCTL 0x1230 // DCU frame prefetch settings +#define AR_D_FPCTL_DCU 0x0000000F // Mask for DCU for which prefetch is enabled +#define AR_D_FPCTL_DCU_S 0 // Shift for DCU for which prefetch is enabled +#define AR_D_FPCTL_PREFETCH_EN 0x00000010 // Enable prefetch for normal (non-burst) operation +#define AR_D_FPCTL_BURST_PREFETCH 0x00007FE0 // Mask for Burst frame prefetch per DCU +#define AR_D_FPCTL_BURST_PREFETCH_S 5 // Shift for Burst frame prefetch per DCU + +#define AR_D_TXPSE 0x1270 // MAC DCU transmit pause control/status +#define AR_D_TXPSE_CTRL 0x000003FF // Mask of DCUs to pause (DCUs 0-9) +#define AR_D_TXPSE_RESV0 0x0000FC00 // Reserved +#define AR_D_TXPSE_STATUS 0x00010000 // Transmit pause status +#define AR_D_TXPSE_RESV1 0xFFFE0000 // Reserved + +#define AR_D_TXSLOTMASK 0x12f0 // MAC DCU transmission slot mask +#define AR_D_TXSLOTMASK_NUM 0x0000000F // slot numbers + +#define AR_MAC_LED 0x1f04 /* LED control */ +#define AR_MAC_SCLK_RATE_IND 0x00000003 /* sleep clock indication */ +#define AR_MAC_SCLK_RATE_IND_S 0 +#define AR_MAC_SCLK_32MHZ 0x00000000 /* Sleep clock rate */ +#define AR_MAC_SCLK_4MHZ 0x00000001 /* Sleep clock rate */ +#define AR_MAC_SCLK_1MHZ 0x00000002 /* Sleep clock rate */ +#define AR_MAC_SCLK_32KHZ 0x00000003 /* Sleep clock rate */ +#define AR_MAC_LED_BLINK_SLOW 0x00000008 /* LED slowest blink rate mode */ +#define AR_MAC_LED_BLINK_THRESH_SEL 0x00000070 /* LED blink threshold select */ +#define AR_MAC_LED_MODE_SEL 0x00000380 /* LED mode select */ +#define AR_MAC_LED_MODE_SEL_S 7 +#define AR_MAC_LED_MODE_PROP 0 /* Blink prop to filtered tx/rx */ +#define AR_MAC_LED_MODE_RPROP 1 /* Blink prop to unfiltered tx/rx */ +#define AR_MAC_LED_MODE_SPLIT 2 /* Blink power for tx/net for rx */ +#define AR_MAC_LED_MODE_RAND 3 /* Blink randomly */ +#define AR_MAC_LED_ASSOC_CTL 0x00000c00 +#define AR_MAC_LED_ASSOC_NONE 0x00000000 /* STA is not associated or trying */ +#define AR_MAC_LED_ASSOC_ACTIVE 0x00000400 /* STA is associated */ +#define AR_MAC_LED_ASSOC_PENDING 0x00000800 /* STA is trying to associate */ + +#define AR_MAC_SLEEP 0x1ff0 +#define AR_MAC_SLEEP_MAC_AWAKE 0x00000000 // mac is now awake +#define AR_MAC_SLEEP_MAC_ASLEEP 0x00000001 // mac is now asleep + +// DMA & PCI Registers in PCI space (usable during sleep) +#define AR_RC 0x4000 // Warm reset control register +#define AR_RC_AHB 0x00000001 // ahb reset +#define AR_RC_APB 0x00000002 // apb reset + +#define AR_WA 0x4004 // PCI express work-arounds + +#define AR_PM_STATE 0x4008 // power management state +#define AR_PM_STATE_PME_D3COLD_VAUX 0x00100000 //for wow + +#define AR_HOST_TIMEOUT 0x4018 // dma xfer timeout +#define AR_HOST_APB_TIMEOUT 0x0000FFFF // apb bus timeout +#define AR_HOST_LB_TIMEOUT 0xFFFF0000 // local bus timeout + +#define AR_EEPROM 0x401c // eeprom info +#define AR_EEPROM_ABSENT 0x00000100 +#define AR_EEPROM_CORRUPT 0x00000200 +#define AR_EEPROM_PROT_MASK 0x03FFFC00 +#define AR_EEPROM_PROT_MASK_S 10 + +// Protect Bits RP is read protect WP is write protect +#define EEPROM_PROTECT_RP_0_31 0x0001 +#define EEPROM_PROTECT_WP_0_31 0x0002 +#define EEPROM_PROTECT_RP_32_63 0x0004 +#define EEPROM_PROTECT_WP_32_63 0x0008 +#define EEPROM_PROTECT_RP_64_127 0x0010 +#define EEPROM_PROTECT_WP_64_127 0x0020 +#define EEPROM_PROTECT_RP_128_191 0x0040 +#define EEPROM_PROTECT_WP_128_191 0x0080 +#define EEPROM_PROTECT_RP_192_255 0x0100 +#define EEPROM_PROTECT_WP_192_255 0x0200 +#define EEPROM_PROTECT_RP_256_511 0x0400 +#define EEPROM_PROTECT_WP_256_511 0x0800 +#define EEPROM_PROTECT_RP_512_1023 0x1000 +#define EEPROM_PROTECT_WP_512_1023 0x2000 +#define EEPROM_PROTECT_RP_1024_2047 0x4000 +#define EEPROM_PROTECT_WP_1024_2047 0x8000 + +#ifdef AR9100 +#define AR_SREV 0x0600 /*mac silicon rev (expanded from 8 bits to 16 bits for Sowl) */ +#define AR_SREV_ID 0x00000FFF /* Mask to read SREV info */ +#else +#define AR_SREV 0x4020 // mac silicon rev +#define AR_SREV_ID 0x000000FF /* Mask to read SREV info */ +#endif +#define AR_SREV_VERSION 0x000000F0 /* Mask for Chip version */ +#define AR_SREV_VERSION_S 4 /* Mask to shift Major Rev Info */ +#define AR_SREV_REVISION 0x00000007 /* Mask for Chip revision level */ +/* Sowl extension to SREV. AR_SREV_ID must be 0xFF */ +#define AR_SREV_ID2 0xFFFFFFFF /* Mask to read SREV info */ +#define AR_SREV_VERSION2 0xFFFC0000 /* Mask for Chip version */ +#define AR_SREV_VERSION2_S 18 /* Mask to shift Major Rev Info */ +#define AR_SREV_TYPE2 0x0003F000 /* Mask for Chip type */ +#define AR_SREV_TYPE2_S 12 /* Mask to shift Major Rev Info */ +#define AR_SREV_TYPE2_CHAIN 0x00001000 /* chain mode (1 = 3 chains, 0 = 2 chains) */ +#define AR_SREV_TYPE2_HOST_MODE 0x00002000 /* host mode (1 = PCI, 0 = PCIe) */ +#define AR_SREV_REVISION2 0x00000F00 +#define AR_SREV_REVISION2_S 8 + +#define AR_SREV_VERSION_OWL_PCI 0xD +#define AR_SREV_VERSION_OWL_PCIE 0xC + +#define AR_SREV_REVISION_OWL_10 0 /* Owl 1.0 */ +#define AR_SREV_REVISION_OWL_20 1 /* Owl 2.0/2.1 */ +#define AR_SREV_REVISION_OWL_22 2 /* Owl 2.2 */ +#ifdef AR9100 +#define AR_SREV_VERSION_SOWL 0x43 +#else +#define AR_SREV_VERSION_SOWL 0x1F +#endif +#define AR_SREV_REVISION_SOWL_10 0 /* Sowl 1.0 */ +#define AR_SREV_REVISION_SOWL_11 1 /* Sowl 1.1 */ + +#define AR_SREV_VERSION_MERLIN 0x2f /* Merlin Version,0x2F for fusion_merlin branch */ +#define AR_SREV_REVISION_MERLIN_10 0 /* Merlin 1.0 */ +#define AR_SREV_REVISION_MERLIN_20 1 /* Merlin 2.0 */ +#define AR_SREV_REVISION_MERLIN_21 2 /* Merlin 2.1 */ + +#define AR_SREV_OWL_10(_ah) (((_ah)->ah_macVersion == AR_SREV_VERSION_OWL_PCI) || \ + ((_ah)->ah_macVersion == AR_SREV_VERSION_OWL_PCIE)) + +#define AR_SREV_OWL_20_OR_LATER(_ah) ((AH_PRIVATE((_ah))->ah_macVersion >= AR_SREV_VERSION_SOWL) || \ + (AH_PRIVATE((_ah))->ah_macRev >= AR_SREV_REVISION_OWL_20)) +#define AR_SREV_OWL_22_OR_LATER(_ah) ((AH_PRIVATE((_ah))->ah_macVersion >= AR_SREV_VERSION_SOWL) || \ + (AH_PRIVATE((_ah))->ah_macRev >= AR_SREV_REVISION_OWL_22)) +#define AR_SREV_SOWL_10_OR_LATER(_ah) ((AH_PRIVATE((_ah))->ah_macVersion >= AR_SREV_VERSION_SOWL)) + +#define AR_SREV_MERLIN(_ah) ((AH_PRIVATE((_ah))->ah_macVersion == AR_SREV_VERSION_MERLIN)) +#define AR_SREV_MERLIN_10_OR_LATER(_ah) ((AH_PRIVATE((_ah))->ah_macVersion >= AR_SREV_VERSION_MERLIN)) +#define AR_SREV_MERLIN_20(_ah) ((AH_PRIVATE((_ah))->ah_macVersion == AR_SREV_VERSION_MERLIN) && \ + (AH_PRIVATE((_ah))->ah_macRev >= AR_SREV_REVISION_MERLIN_20)) +#define AR_SREV_MERLIN_20_OR_LATER(_ah) ((AH_PRIVATE((_ah))->ah_macVersion > AR_SREV_VERSION_MERLIN) || \ + ((AH_PRIVATE((_ah))->ah_macVersion == AR_SREV_VERSION_MERLIN) && \ + (AH_PRIVATE((_ah))->ah_macRev >= AR_SREV_REVISION_MERLIN_20))) + +#define AR_SREV_SOWL(_ah) ((AH_PRIVATE((_ah))->ah_macVersion == AR_SREV_VERSION_SOWL)) +#define AR_SREV_SOWL_11(_ah) (AR_SREV_SOWL(_ah) && (AH_PRIVATE((_ah))->ah_macRev == AR_SREV_REVISION_SOWL_11)) + +#define AR_RADIO_SREV_MAJOR 0xf0 +#define AR_RAD5133_SREV_MAJOR 0xc0 /* Fowl: 2+5G/3x3 */ +#define AR_RAD2133_SREV_MAJOR 0xd0 /* Fowl: 2G/3x3 */ +#define AR_RAD5122_SREV_MAJOR 0xe0 /* Fowl: 5G/2x2 */ +#define AR_RAD2122_SREV_MAJOR 0xf0 /* Fowl: 2+5G/2x2 */ + +#define AR_AHB_MODE 0x4024 // ahb mode for dma +#define AR_AHB_EXACT_WR_EN 0x00000000 // write exact bytes +#define AR_AHB_BUF_WR_EN 0x00000001 // buffer write upto cacheline +#define AR_AHB_EXACT_RD_EN 0x00000000 // read exact bytes +#define AR_AHB_CACHELINE_RD_EN 0x00000002 // read upto end of cacheline +#define AR_AHB_PREFETCH_RD_EN 0x00000004 // prefetch upto page boundary +#define AR_AHB_PAGE_SIZE_1K 0x00000000 // set page-size as 1k +#define AR_AHB_PAGE_SIZE_2K 0x00000008 // set page-size as 2k +#define AR_AHB_PAGE_SIZE_4K 0x00000010 // set page-size as 4k + +#define AR_INTR_RTC_IRQ 0x00000001 // rtc in shutdown state +#define AR_INTR_MAC_IRQ 0x00000002 // pending mac interrupt +#define AR_INTR_EEP_PROT_ACCESS 0x00000004 // eeprom protected area access +#define AR_INTR_MAC_AWAKE 0x00020000 // mac is awake +#define AR_INTR_MAC_ASLEEP 0x00040000 // mac is asleep +/* TODO: fill in other values */ +#define AR_INTR_GPIO 0x3FF00000 // gpio interrupted +#define AR_INTR_GPIO_S 20 + +#define AR_INTR_SYNC_CAUSE_CLR 0x4028 // clear interrupt +#define AR_INTR_SYNC_CAUSE 0x4028 // check pending interrupts +#define AR_INTR_SYNC_ENABLE 0x402c // enable interrupts +#define AR_INTR_ASYNC_MASK 0x4030 // asynchronous interrupt mask +#define AR_INTR_SYNC_MASK 0x4034 // synchronous interrupt mask +#define AR_INTR_ASYNC_CAUSE 0x4038 // check pending interrupts +#define AR_INTR_ASYNC_ENABLE 0x403c // enable interrupts + + +/* + * synchronous interrupt signals + */ +enum { + AR_INTR_SYNC_RTC_IRQ = 0x00000001, + AR_INTR_SYNC_MAC_IRQ = 0x00000002, + AR_INTR_SYNC_EEPROM_ILLEGAL_ACCESS = 0x00000004, + AR_INTR_SYNC_APB_TIMEOUT = 0x00000008, + AR_INTR_SYNC_PCI_MODE_CONFLICT = 0x00000010, + AR_INTR_SYNC_HOST1_FATAL = 0x00000020, + AR_INTR_SYNC_HOST1_PERR = 0x00000040, + AR_INTR_SYNC_TRCV_FIFO_PERR = 0x00000080, + AR_INTR_SYNC_RADM_CPL_EP = 0x00000100, + AR_INTR_SYNC_RADM_CPL_DLLP_ABORT = 0x00000200, + AR_INTR_SYNC_RADM_CPL_DLP_ABORT = 0x00000400, + AR_INTR_SYNC_RADM_CPL_ECRC_ERR = 0x00000800, + AR_INTR_SYNC_RADM_CPL_TIMEOUT = 0x00001000, + AR_INTR_SYNC_LOCAL_TIMEOUT = 0x00002000, + AR_INTR_SYNC_PM_ACCESS = 0x00004000, + AR_INTR_SYNC_MAC_AWAKE = 0x00008000, + AR_INTR_SYNC_MAC_ASLEEP = 0x00010000, + AR_INTR_SYNC_MAC_SLEEP_ACCESS = 0x00020000, + AR_INTR_SYNC_ALL = 0x0003FFFF, +}; + +#define AR_NUM_GPIO 10 // Ten numbered 0 to 9. + +#ifdef MAGPIE_MERLIN + +#define AR_INTR_ASYNC_ENABLE_GPIO 0xFFFC0000 // enable interrupts: bits 18..31 +#define AR_INTR_ASYNC_ENABLE_GPIO_S 18 // enable interrupts: bits 18..31 + +/* PCIe defines */ +#define AR_PCIE_SERDES 0x4040 +#define AR_PCIE_SERDES2 0x4044 +#define AR_PCIE_PM_CTRL 0x4014 +#define AR_PCIE_PM_CTRL_ENA 0x00080000 + +#define AR928X_NUM_GPIO 10 // Ten numbered 0 to 9 for Merlin. + +#define AR_GPIO_IN_OUT 0x4048 // GPIO input / output register + +#define AR_GPIO_IN_VAL 0x0FFFC000 +#define AR_GPIO_IN_VAL_S 14 +#define AR928X_GPIO_IN_VAL 0x000FFC00 // added for Merlin +#define AR928X_GPIO_IN_VAL_S 10 // added for Merlin + +/* Added for Merlin */ +#define AR_GPIO_OE_OUT 0x404c // GPIO output register +#define AR_GPIO_OE_OUT_DRV 0x3 // 2 bit field mask, shifted by 2*bitpos +#define AR_GPIO_OE_OUT_DRV_NO 0x0 // tristate +#define AR_GPIO_OE_OUT_DRV_LOW 0x1 // drive if low +#define AR_GPIO_OE_OUT_DRV_HI 0x2 // drive if high +#define AR_GPIO_OE_OUT_DRV_ALL 0x3 // drive always +/* 4050-405C added for Merlin */ +#define AR_GPIO_INTR_POL 0x4050 // GPIO interrup polarity: 0 == high level, 1 == lo level +#define AR_GPIO_INTR_POL_VAL 0x00001FFF // bits 13:0 correspond to gpio 13:0 +#define AR_GPIO_INTR_POL_VAL_S 0 // bits 13:0 correspond to gpio 13:0 + +#define AR_GPIO_INPUT_EN_VAL 0x4054 // GPIO input enable and value +#define AR_GPIO_INPUT_EN_VAL_RFSILENT_DEF 0x00000080 // default value for rfsilent_bb_l +#define AR_GPIO_INPUT_EN_VAL_RFSILENT_DEF_S 7 +#define AR_GPIO_INPUT_EN_VAL_RFSILENT_BB 0x00008000 // 0 == set rfsilent_bb_l to default, 1 == connect rfsilent_bb_l to baseband +#define AR_GPIO_INPUT_EN_VAL_RFSILENT_BB_S 15 +#define AR_GPIO_RTC_RESET_OVERRIDE_ENABLE 0x00010000 +#define AR_GPIO_JTAG_DISABLE 0x00020000 // 1 == disable JTAG + +#define AR_GPIO_INPUT_MUX1 0x4058 + +#define AR_GPIO_INPUT_MUX2 0x405c +#define AR_GPIO_INPUT_MUX2_CLK25 0x0000000f // bits 0..3: input mux for clk25 input +#define AR_GPIO_INPUT_MUX2_CLK25_S 0 // bits 0..3: input mux for clk25 input +#define AR_GPIO_INPUT_MUX2_RFSILENT 0x000000f0 // bits 4..7: input mux for rfsilent_bb_l input +#define AR_GPIO_INPUT_MUX2_RFSILENT_S 4 // bits 4..7: input mux for rfsilent_bb_l input +#define AR_GPIO_INPUT_MUX2_RTC_RESET 0x00000f00 // bits 8..11: input mux for RTC Reset input +#define AR_GPIO_INPUT_MUX2_RTC_RESET_S 8 // bits 8..11: input mux for RTC Reset input + +#define AR_GPIO_OUTPUT_MUX1 0x4060 +/* 4064-4068 added for Merlin */ +#define AR_GPIO_OUTPUT_MUX2 0x4064 +#define AR_GPIO_OUTPUT_MUX3 0x4068 + +#define AR_GPIO_OUTPUT_MUX_AS_OUTPUT 0 +#define AR_GPIO_OUTPUT_MUX_AS_PCIE_ATTENTION_LED 1 +#define AR_GPIO_OUTPUT_MUX_AS_PCIE_POWER_LED 2 +#define AR_GPIO_OUTPUT_MUX_AS_MAC_NETWORK_LED 5 +#define AR_GPIO_OUTPUT_MUX_AS_MAC_POWER_LED 6 + +#define AR_INPUT_STATE 0x406c + +#define AR_GPIO_PDPU 0x4088 + +/* 4094 added for Merlin */ +#define AR_PCIE_MSI 0x4094 +#define AR_PCIE_MSI_ENABLE 0x00000001 + +// RTC register +#define AR_RTC_RESET_EN 0x00000001 /* Reset RTC bit */ + +// AR9280: rf long shift registers +#define AR_AN_RF2G1_CH0 0x7810 +#define AR_AN_RF2G1_CH0_OB 0x03800000 +#define AR_AN_RF2G1_CH0_OB_S 23 +#define AR_AN_RF2G1_CH0_DB 0x1C000000 +#define AR_AN_RF2G1_CH0_DB_S 26 + +#define AR_AN_RF5G1_CH0 0x7818 +#define AR_AN_RF5G1_CH0_OB5 0x00070000 +#define AR_AN_RF5G1_CH0_OB5_S 16 +#define AR_AN_RF5G1_CH0_DB5 0x00380000 +#define AR_AN_RF5G1_CH0_DB5_S 19 + +#define AR_AN_RF2G1_CH1 0x7834 +#define AR_AN_RF2G1_CH1_OB 0x03800000 +#define AR_AN_RF2G1_CH1_OB_S 23 +#define AR_AN_RF2G1_CH1_DB 0x1C000000 +#define AR_AN_RF2G1_CH1_DB_S 26 + +#define AR_AN_RF5G1_CH1 0x783C +#define AR_AN_RF5G1_CH1_OB5 0x00070000 +#define AR_AN_RF5G1_CH1_OB5_S 16 +#define AR_AN_RF5G1_CH1_DB5 0x00380000 +#define AR_AN_RF5G1_CH1_DB5_S 19 + +#define AR_AN_TOP2 0x7894 +#define AR_AN_TOP2_XPABIAS_LVL 0xC0000000 +#define AR_AN_TOP2_XPABIAS_LVL_S 30 +#define AR_AN_TOP2_LOCALBIAS 0x00200000 +#define AR_AN_TOP2_LOCALBIAS_S 21 +#define AR_AN_TOP2_PWDCLKIND 0x00400000 +#define AR_AN_TOP2_PWDCLKIND_S 22 + +#define AR_AN_SYNTH9 0x7868 +#define AR_AN_SYNTH9_REFDIVA 0xf8000000 +#define AR_AN_SYNTH9_REFDIVA_S 27 + +#endif // MAGPIE_MERLIN + + +#define AR_GPIO_IN 0x4048 // GPIO input register + +#define AR_GPIO_INTR_OUT 0x404c // GPIO output register +#define AR_GPIO_OUT_CTRL 0x000003FF // 0 = out, 1 = in +#define AR_GPIO_OUT_VAL 0x000FFC00 +#define AR_GPIO_OUT_VAL_S 10 +#define AR_GPIO_INTR_CTRL 0x3FF00000 +#define AR_GPIO_INTR_CTRL_S 20 + +#define AR_GPIO_OUTPUT_MUX1 0x4060 + +#define AR_EEPROM_STATUS_DATA 0x407c +#define AR_EEPROM_STATUS_DATA_VAL 0x0000ffff +#define AR_EEPROM_STATUS_DATA_VAL_S 0 +#define AR_EEPROM_STATUS_DATA_BUSY 0x00010000 +#define AR_EEPROM_STATUS_DATA_BUSY_ACCESS 0x00020000 +#define AR_EEPROM_STATUS_DATA_PROT_ACCESS 0x00040000 +#define AR_EEPROM_STATUS_DATA_ABSENT_ACCESS 0x00080000 + +#define AR_OBS 0x4080 + +// RTC registers +/* Sowl */ +#define AR_RTC_SOWL_PLL_DIV 0x000003ff +#define AR_RTC_SOWL_PLL_DIV_S 0 +#define AR_RTC_SOWL_PLL_REFDIV 0x00003C00 +#define AR_RTC_SOWL_PLL_REFDIV_S 10 +#define AR_RTC_SOWL_PLL_CLKSEL 0x0000C000 +#define AR_RTC_SOWL_PLL_CLKSEL_S 14 + +#ifndef AR9100 +#define AR_RTC_RC 0x7000 /* reset control */ +#define AR_RTC_RC_M 0x00000003 +#define AR_RTC_RC_MAC_WARM 0x00000001 +#define AR_RTC_RC_MAC_COLD 0x00000002 +#define AR_RTC_PLL_CONTROL 0x7014 +/* Owl */ +#define AR_RTC_PLL_DIV 0x0000001f +#define AR_RTC_PLL_DIV_S 0 +#define AR_RTC_PLL_DIV2 0x00000020 +#define AR_RTC_PLL_REFDIV_5 0x000000c0 +#define AR_RTC_PLL_CLKSEL_S 8 +#define AR_RTC_PLL_CLKSEL 0x00000300 + +#define AR_RTC_RESET 0x7040 /* reset RTC */ + +#define AR_RTC_STATUS 0x7044 /* system sleep status */ +#define AR_RTC_STATUS_M 0x0000000f +#define AR_RTC_STATUS_SHUTDOWN 0x00000001 +#define AR_RTC_STATUS_ON 0x00000002 +#define AR_RTC_STATUS_SLEEP 0x00000004 +#define AR_RTC_STATUS_WAKEUP 0x00000008 + +#define AR_RTC_SLEEP_CLK 0x7048 +#define AR_RTC_FORCE_DERIVED_CLK 0x2 + +#define AR_RTC_FORCE_WAKE 0x704c /* control MAC force wake */ +#define AR_RTC_FORCE_WAKE_EN 0x00000001 /* enable force wake */ +#define AR_RTC_FORCE_WAKE_ON_INT 0x00000002 /* auto-wake on MAC interrupt */ + +#define AR_RTC_INTR_CAUSE 0x7050 /* RTC interrupt cause/clear */ +#define AR_RTC_INTR_ENABLE 0x7054 /* RTC interrupt enable */ +#define AR_RTC_INTR_MASK 0x7058 /* RTC interrupt mask */ +#else +#define AR_SEQ_MASK 0x8060 /* MAC AES mute mask */ + +#define AR_RTC_BASE 0x00020000 +#define AR_RTC_RC (AR_RTC_BASE + 0x0000) /* reset control */ +#define AR_RTC_RC_M 0x00000003 +#define AR_RTC_RC_MAC_WARM 0x00000001 +#define AR_RTC_RC_MAC_COLD 0x00000002 +#define AR_RTC_RC_COLD_RESET 0x00000004 +#define AR_RTC_RC_WARM_RESET 0x00000008 + +#define AR_RTC_PLL_CONTROL (AR_RTC_BASE + 0x0014) +#define AR_RTC_PLL_DIV 0x0000001f +#define AR_RTC_PLL_DIV_S 0 +#define AR_RTC_PLL_DIV2 0x00000020 +#define AR_RTC_PLL_REFDIV_5 0x000000c0 +#define AR_RTC_PLL_CLKSEL_S 8 +#define AR_RTC_PLL_CLKSEL 0x00000300 + +#define AR_RTC_RESET (AR_RTC_BASE + 0x0040) /* reset RTC */ +#define AR_RTC_RESET_EN 0x00000001 /* Reset RTC bit */ + +#define AR_RTC_STATUS (AR_RTC_BASE + 0x0044) /* system sleep status */ +#define AR_RTC_PM_STATUS_M 0x0000000f /* Pwr Mgmt Status is the last 4 bits */ +#define AR_RTC_STATUS_M 0x0000003f /* RTC Status is the last 6 bits */ +#define AR_RTC_STATUS_SHUTDOWN 0x00000001 +#define AR_RTC_STATUS_ON 0x00000002 +#define AR_RTC_STATUS_SLEEP 0x00000004 +#define AR_RTC_STATUS_WAKEUP 0x00000008 + +#define AR_RTC_SLEEP_CLK (AR_RTC_BASE + 0x0048) +#define AR_RTC_FORCE_DERIVED_CLK 0x2 + +#define AR_RTC_FORCE_WAKE (AR_RTC_BASE + 0x004c) /* control MAC force wake */ +#define AR_RTC_FORCE_WAKE_EN 0x00000001 /* enable force wake */ +#define AR_RTC_FORCE_WAKE_ON_INT 0x00000002 /* auto-wake on MAC interrupt */ + +#define AR_RTC_INTR_CAUSE (AR_RTC_BASE + 0x0050) /* RTC interrupt cause/clear */ +#define AR_RTC_INTR_ENABLE (AR_RTC_BASE + 0x0054) /* RTC interrupt enable */ +#define AR_RTC_INTR_MASK (AR_RTC_BASE + 0x0058) /* RTC interrupt mask */ + +#endif //HOWL + +// MAC PCU Registers +#define AR_STA_ID0 0x8000 // MAC station ID0 - low 32 bits +#define AR_STA_ID1 0x8004 // MAC station ID1 - upper 16 bits +#define AR_STA_ID1_SADH_MASK 0x0000FFFF // Mask for 16 msb of MAC addr +#define AR_STA_ID1_STA_AP 0x00010000 // Device is AP +#define AR_STA_ID1_ADHOC 0x00020000 // Device is ad-hoc +#define AR_STA_ID1_PWR_SAV 0x00040000 // Power save in generated frames +#define AR_STA_ID1_KSRCHDIS 0x00080000 // Key search disable +#define AR_STA_ID1_PCF 0x00100000 // Observe PCF +#define AR_STA_ID1_USE_DEFANT 0x00200000 // Use default antenna +#define AR_STA_ID1_DEFANT_UPDATE 0x00400000 // Update default ant w/TX antenna +#define AR_STA_ID1_RTS_USE_DEF 0x00800000 // Use default antenna to send RTS +#define AR_STA_ID1_ACKCTS_6MB 0x01000000 // Use 6Mb/s rate for ACK & CTS +#define AR_STA_ID1_BASE_RATE_11B 0x02000000 // Use 11b base rate for ACK & CTS +#define AR_STA_ID1_SECTOR_SELF_GEN 0x04000000 // default ant for generated frames +#define AR_STA_ID1_CRPT_MIC_ENABLE 0x08000000 // Enable Michael +#define AR_STA_ID1_KSRCH_MODE 0x10000000 // Look-up unique key when !keyID +#define AR_STA_ID1_PRESERVE_SEQNUM 0x20000000 // Don't replace seq num +#define AR_STA_ID1_CBCIV_ENDIAN 0x40000000 // IV endian-ness in CBC nonce +#define AR_STA_ID1_MCAST_KSRCH 0x80000000 // Adhoc key search enable + +#define AR_BSS_ID0 0x8008 // MAC BSSID low 32 bits +#define AR_BSS_ID1 0x800C // MAC BSSID upper 16 bits / AID +#define AR_BSS_ID1_U16 0x0000FFFF // Mask for upper 16 bits of BSSID +#define AR_BSS_ID1_AID 0x07FF0000 // Mask for association ID +#define AR_BSS_ID1_AID_S 16 // Shift for association ID + +#define AR_BCN_RSSI_AVE 0x8010 // MAC Beacon average RSSI +#define AR_BCN_RSSI_AVE_MASK 0x00000FFF // Beacon RSSI mask + +#define AR_TIME_OUT 0x8014 // MAC ACK & CTS time-out +#define AR_TIME_OUT_ACK 0x00003FFF // Mask for ACK time-out +#define AR_TIME_OUT_ACK_S 0 +#define AR_TIME_OUT_CTS 0x3FFF0000 // Mask for CTS time-out +#define AR_TIME_OUT_CTS_S 16 + +#define AR_RSSI_THR 0x8018 // beacon RSSI warning / bmiss threshold +#define AR_RSSI_THR_MASK 0x000000FF // Beacon RSSI warning threshold +#define AR_RSSI_THR_BM_THR 0x0000FF00 // Mask for Missed beacon threshold +#define AR_RSSI_THR_BM_THR_S 8 // Shift for Missed beacon threshold +#define AR_RSSI_BCN_WEIGHT 0x1F000000 // RSSI average weight +#define AR_RSSI_BCN_WEIGHT_S 24 +#define AR_RSSI_BCN_RSSI_RST 0x20000000 // Reset RSSI value + +#define AR_USEC 0x801c // MAC transmit latency register +#define AR_USEC_USEC 0x0000007F // Mask for clock cycles in 1 usec +#define AR_USEC_TX_LAT 0x007FC000 // tx latency to start of SIGNAL (usec) +#define AR_USEC_TX_LAT_S 14 // tx latency to start of SIGNAL (usec) +#define AR_USEC_RX_LAT 0x1F800000 // rx latency to start of SIGNAL (usec) +#define AR_USEC_RX_LAT_S 23 // rx latency to start of SIGNAL (usec) + +#define AR_RESET_TSF 0x8020 +#define AR_RESET_TSF_ONCE 0x01000000 // reset tsf once ; self-clears bit + +#define AR_MAX_CFP_DUR 0x8038 // MAC maximum CFP duration +#define AR_CFP_VAL 0x0000FFFF // CFP value in uS + +#define AR_RX_FILTER 0x803C // MAC receive filter register +#define AR_RX_FILTER_ALL 0x00000000 // Disallow all frames +#define AR_RX_UCAST 0x00000001 // Allow unicast frames +#define AR_RX_MCAST 0x00000002 // Allow multicast frames +#define AR_RX_BCAST 0x00000004 // Allow broadcast frames +#define AR_RX_CONTROL 0x00000008 // Allow control frames +#define AR_RX_BEACON 0x00000010 // Allow beacon frames +#define AR_RX_PROM 0x00000020 // Promiscuous mode all packets +#define AR_RX_PROBE_REQ 0x00000080 // Any probe request frameA +#define AR_RX_MY_BEACON 0x00000200 // Any beacon frame with matching BSSID +#define AR_RX_COMPR_BAR 0x00000400 // Compressed directed block ack request +#define AR_RX_COMPR_BA 0x00000800 // Compressed directed block ack +#define AR_RX_UNCOM_BA_BAR 0x00001000 // Uncompressed directed BA or BAR + +#if 0 +#define AR_RX_XR_POLL 0x00000040 // Allow XR Poll frames +#endif +#define AR_RX_PROBE_REQ 0x00000080 // Allow probe request frames +#define AR_RX_MY_BEACON 0x00000200 // Allow beacons with matching BSSID + +#define AR_MCAST_FIL0 0x8040 // MAC multicast filter lower 32 bits +#define AR_MCAST_FIL1 0x8044 // MAC multicast filter upper 32 bits + +#define AR_DIAG_SW 0x8048 // MAC PCU control register +#define AR_DIAG_CACHE_ACK 0x00000001 // disable ACK when no valid key +#define AR_DIAG_ACK_DIS 0x00000002 // disable ACK generation +#define AR_DIAG_CTS_DIS 0x00000004 // disable CTS generation +#define AR_DIAG_ENCRYPT_DIS 0x00000008 // disable encryption +#define AR_DIAG_DECRYPT_DIS 0x00000010 // disable decryption +#define AR_DIAG_RX_DIS 0x00000020 // disable receive +#define AR_DIAG_LOOP_BACK 0x00000040 // enable loopback +#define AR_DIAG_CORR_FCS 0x00000080 // corrupt FCS +#define AR_DIAG_CHAN_INFO 0x00000100 // dump channel info +#if 0 +#define AR_DIAG_EN_SCRAMSD 0x00000200 // enable fixed scrambler seed +#endif +#define AR_DIAG_SCRAM_SEED 0x0001FE00 // Mask for fixed scrambler seed +#define AR_DIAG_SCRAM_SEED_S 8 // Shift for fixed scrambler seed +#define AR_DIAG_FRAME_NV0 0x00020000 // accept w/protocol version !0 +#define AR_DIAG_OBS_PT_SEL1 0x000C0000 // observation point select +#define AR_DIAG_OBS_PT_SEL1_S 18 // Shift for observation point select +#define AR_DIAG_FORCE_RX_CLEAR 0x00100000 // force rx_clear high +#define AR_DIAG_IGNORE_VIRT_CS 0x00200000 // ignore virtual carrier sense +#define AR_DIAG_FORCE_CH_IDLE_HIGH 0x00400000 // force channel idle high +#define AR_DIAG_EIFS_CTRL_ENA 0x00800000 // use framed and ~wait_wep if 0 +#define AR_DIAG_DUAL_CHAIN_INFO 0x01000000 // dual chain channel info +#define AR_DIAG_RX_ABORT 0x02000000 // abort rx +#define AR_DIAG_SATURATE_CYCLE_CNT 0x04000000 // saturate cycle cnts (no shift) +#define AR_DIAG_OBS_PT_SEL2 0x08000000 // Mask for observation point sel +#define AR_DIAG_RX_CLEAR_CTL_LOW 0x10000000 // force rx_clear (ctl) low (i.e. busy) +#define AR_DIAG_RX_CLEAR_EXT_LOW 0x20000000 // force rx_clear (ext) low (i.e. busy) + +#define AR_TSF_L32 0x804c // MAC local clock lower 32 bits +#define AR_TSF_U32 0x8050 // MAC local clock upper 32 bits + +#define AR_TST_ADDAC 0x8054 // ADDAC test register +#define AR_DEF_ANTENNA 0x8058 // default antenna register +#if 0 +#define AR_DEF_ANT_CHN_SEL 0x4 // Default Ant Chain Select bit +#define AR_DEF_ANT_CHN0_ANT 0x1 // Def Ant Chain 0 Antenna Select bit +#define AR_DEF_ANT_CHN1_ANT 0x2 // Def Ant Chain 1 Antenna Select bit +#endif + +#define AR_AES_MUTE_MASK0 0x805c // MAC AES mute mask +#define AR_AES_MUTE_MASK0_FC 0x0000FFFF // frame ctrl mask bits +#define AR_AES_MUTE_MASK0_QOS 0xFFFF0000 // qos ctrl mask bits +#define AR_AES_MUTE_MASK0_QOS_S 16 + +#define AR_AES_MUTE_MASK1 0x8060 // MAC AES mute mask +#define AR_AES_MUTE_MASK1_SEQ 0x0000FFFF // seq + frag mask bits + +#define AR_GATED_CLKS 0x8064 // control clock domain +#define AR_GATED_CLKS_TX 0x00000002 +#define AR_GATED_CLKS_RX 0x00000004 +#define AR_GATED_CLKS_REG 0x00000008 + +#define AR_OBS_BUS_CTRL 0x8068 // select a bus for observation +#define AR_OBS_BUS_SEL_1 0x00040000 +#define AR_OBS_BUS_SEL_2 0x00080000 +#define AR_OBS_BUS_SEL_3 0x000C0000 +#define AR_OBS_BUS_SEL_4 0x08040000 +#define AR_OBS_BUS_SEL_5 0x08080000 + +#define AR_OBS_BUS_1 0x806c // mac debug observation bus +#define AR_OBS_BUS_1_PCU 0x00000001 +#define AR_OBS_BUS_1_RX_END 0x00000002 +#define AR_OBS_BUS_1_RX_WEP 0x00000004 +#define AR_OBS_BUS_1_RX_BEACON 0x00000008 +#define AR_OBS_BUS_1_RX_FILTER 0x00000010 +#define AR_OBS_BUS_1_TX_HCF 0x00000020 +#define AR_OBS_BUS_1_QUIET_TIME 0x00000040 +#define AR_OBS_BUS_1_CHAN_IDLE 0x00000080 +#define AR_OBS_BUS_1_TX_HOLD 0x00000100 +#define AR_OBS_BUS_1_TX_FRAME 0x00000200 +#define AR_OBS_BUS_1_RX_FRAME 0x00000400 +#define AR_OBS_BUS_1_RX_CLEAR 0x00000800 +#define AR_OBS_BUS_1_WEP_STATE 0x0003F000 +#define AR_OBS_BUS_1_WEP_STATE_S 12 +#define AR_OBS_BUS_1_RX_STATE 0x01F00000 +#define AR_OBS_BUS_1_RX_STATE_S 20 +#define AR_OBS_BUS_1_TX_STATE 0x7E000000 +#define AR_OBS_BUS_1_TX_STATE_S 25 + +#define AR_LAST_TSTP 0x8080 // MAC Time stamp of the last beacon received +#define AR_NAV 0x8084 // MAC current NAV value +#define AR_RTS_OK 0x8088 // MAC RTS exchange success counter +#define AR_RTS_FAIL 0x808c // MAC RTS exchange failure counter +#define AR_ACK_FAIL 0x8090 // MAC ACK failure counter +#define AR_FCS_FAIL 0x8094 // FCS check failure counter +#define AR_BEACON_CNT 0x8098 // Valid beacon counter + +#if 0 +#define AR_XRMODE 0x80c0 // Extended range mode +#define AR_XRMODE_XR_POLL_TYPE_M 0x00000003 // poll type mask +#define AR_XRMODE_XR_POLL_TYPE_S 0 +#define AR_XRMODE_XR_POLL_SUBTYPE_M 0x0000003c // poll type mask +#define AR_XRMODE_XR_POLL_SUBTYPE_S 2 +#define AR_XRMODE_XR_WAIT_FOR_POLL 0x00000080 // wait for poll sta only +#define AR_XRMODE_XR_FRAME_HOLD_M 0xfff00000 // cycles hold for chirps +#define AR_XRMODE_XR_FRAME_HOLD_S 20 + +#define AR_XRDEL 0x80c4 // Extended range delay +#define AR_XRDEL_SLOT_DELAY_M 0x0000ffff // cycles +#define AR_XRDEL_SLOT_DELAY_S 0 +#define AR_XRDEL_CHIRP_DATA_DELAY_M 0xffff0000 // cycles +#define AR_XRDEL_CHIRP_DATA_DELAY_S 16 + +#define AR_XRTO 0x80c8 // Extended range timeout +#define AR_XRTO_CHIRP_TO_M 0x0000ffff // cycles +#define AR_XRTO_CHIRP_TO_S 0 +#define AR_XRTO_POLL_TO_M 0xffff0000 // cycles +#define AR_XRTO_POLL_TO_S 16 + +#define AR_XRCRP 0x80cc // Extended range chirp +#define AR_XRCRP_SEND_CHIRP 0x00000001 // generate stand alone chirp +#define AR_XRCRP_CHIRP_GAP_M 0xffff0000 // cycles +#define AR_XRCRP_CHIRP_GAP_S 16 + +#define AR_XRSTMP 0x80d0 // Extended range stomp +#define AR_XRSTMP_RX_ABORT_RSSI 0x00000001 // stomp low rssi receive +#define AR_XRSTMP_RX_ABORT_BSSID 0x00000002 // stomp foreign bssid receive +#define AR_XRSTMP_TX_STOMP_RSSI 0x00000004 // xmit stomp low rssi receive +#define AR_XRSTMP_TX_STOMP_BSSID 0x00000008 // xmit stomp foreign bssid rx +#define AR_XRSTMP_TX_STOMP_DATA 0x00000010 // xmit stomp receive data +#define AR_XRSTMP_RX_ABORT_DATA 0x00000020 // stomp receive data +#define AR_XRSTMP_TX_RSSI_THRESH_M 0x0000FF00 // threshold for tx stomp +#define AR_XRSTMP_TX_RSSI_THRESH_S 8 +#define AR_XRSTMP_RX_RSSI_THRESH_M 0x00FF0000 // threshold for tx stomp +#define AR_XRSTMP_RX_RSSI_THRESH_S 16 +#endif + +#define AR_SLEEP1 0x80d4 // Enhanced sleep control 1 +#if 0 +#define AR_SLEEP1_NEXT_DTIM_M 0x0007ffff // Absolute time(1/8TU) for next dtim mask +#define AR_SLEEP1_NEXT_DTIM_S 0 // Absolute time(1/8TU) for next dtim shift +#endif +#define AR_SLEEP1_ASSUME_DTIM 0x00080000 // Assume DTIM on missed beacon +#if 0 +#define AR_SLEEP1_ENH_SLEEP_ENABLE 0x00100000 // Enables Venice sleep logic +#endif +#define AR_SLEEP1_CAB_TIMEOUT 0xFFE00000 // Cab timeout(TU) mask +#define AR_SLEEP1_CAB_TIMEOUT_S 21 // Cab timeout(TU) shift + +#define AR_SLEEP2 0x80d8 // Enhanced sleep control 2 +#if 0 +#define AR_SLEEP2_NEXT_TIM_M 0x0007ffff // Absolute time(1/8TU) for next tim/beacon mask +#define AR_SLEEP2_NEXT_TIM_S 0 // Absolute time(1/8TU) for next tim/beacon shift +#endif +#define AR_SLEEP2_BEACON_TIMEOUT 0xFFE00000 // Beacon timeout(TU) mask +#define AR_SLEEP2_BEACON_TIMEOUT_S 21 // Beacon timeout(TU) shift + +#if 0 +#define AR_SLEEP3 0x80dc // Enhanced sleep control 3 +#define AR_SLEEP3_TIM_PERIOD_M 0x0000ffff // Tim/Beacon period(TU) mask +#define AR_SLEEP3_TIM_PERIOD_S 0 // Tim/Beacon period(TU) shift +#define AR_SLEEP3_DTIM_PERIOD_M 0xffff0000 // DTIM period(TU) mask +#define AR_SLEEP3_DTIM_PERIOD_S 16 // DTIM period(TU) shift +#endif + +#define AR_BSSMSKL 0x80e0 // BSSID mask lower 32 bits +#define AR_BSSMSKU 0x80e4 // BSSID mask upper 16 bits + +#define AR_TPC 0x80e8 // Transmit power control for gen frames +#define AR_TPC_ACK 0x0000003f // ack frames mask +#define AR_TPC_ACK_S 0x00 // ack frames shift +#define AR_TPC_CTS 0x00003f00 // cts frames mask +#define AR_TPC_CTS_S 0x08 // cts frames shift +#define AR_TPC_CHIRP 0x003f0000 // chirp frames mask +#define AR_TPC_CHIRP_S 0x16 // chirp frames shift + +#define AR_TFCNT 0x80ec // Profile count transmit frames +#define AR_RFCNT 0x80f0 // Profile count receive frames +#define AR_RCCNT 0x80f4 // Profile count receive clear +#define AR_CCCNT 0x80f8 // Profile count cycle counter + +#define AR_QUIET1 0x80fc // Quiet time programming for TGh +#if 0 +#define AR_QUIET1_NEXT_QUIET_S 0 // TSF of next quiet period (TU) +#define AR_QUIET1_NEXT_QUIET_M 0x0000ffff +#define AR_QUIET1_QUIET_ENABLE 0x00010000 // Enable Quiet time operation +#endif +#define AR_QUIET1_QUIET_ACK_CTS_ENABLE 0x00020000 // ack/cts in quiet period +#define AR_QUIET2 0x8100 // More Quiet programming +#if 0 +#define AR_QUIET2_QUIET_PERIOD_S 0 // Periodicity of quiet period (TU) +#define AR_QUIET2_QUIET_PERIOD_M 0x0000ffff +#endif +#define AR_QUIET2_QUIET_DURATION_S 16 // quiet period (TU) +#define AR_QUIET2_QUIET_DURATION 0xffff0000 + +#define AR_TSF_PARM 0x8104 // TSF parameters +#define AR_TSF_INCREMENT_M 0x000000ff +#define AR_TSF_INCREMENT_S 0x00 + +#define AR_QOS_NO_ACK 0x8108 // locate no_ack in qos +#define AR_QOS_NO_ACK_TWO_BIT 0x0000000f // 2 bit sentinel for no-ack +#define AR_QOS_NO_ACK_TWO_BIT_S 0 +#define AR_QOS_NO_ACK_BIT_OFF 0x00000070 // offset for no-ack +#define AR_QOS_NO_ACK_BIT_OFF_S 4 +#define AR_QOS_NO_ACK_BYTE_OFF 0x00000180 // from end of header +#define AR_QOS_NO_ACK_BYTE_OFF_S 7 + +#define AR_PHY_ERR 0x810c // Phy errors to be filtered + /* XXX validate! XXX */ +#define AR_PHY_ERR_DCHIRP 0x00000008 // Bit 3 enables double chirp +#define AR_PHY_ERR_RADAR 0x00000020 // Bit 5 is Radar signal +#define AR_PHY_ERR_OFDM_TIMING 0x00020000 // Bit 17 is false detect for OFDM +#define AR_PHY_ERR_CCK_TIMING 0x02000000 // Bit 25 is false detect for CCK + +#define AR_RXFIFO_CFG 0x8114 + + /* XXX sub-fields? XXX */ +#define AR_MIC_QOS_CONTROL 0x8118 +#define AR_MIC_QOS_SELECT 0x811c + +#define AR_PCU_MISC 0x8120 // PCU Miscellaneous Mode +#define AR_PCU_FORCE_BSSID_MATCH 0x00000001 // force bssid to match +#define AR_PCU_MIC_NEW_LOC_ENA 0x00000004 // tx/rx mic key are together +#define AR_PCU_TX_ADD_TSF 0x00000008 // add tx_tsf + int_tsf +#define AR_PCU_CCK_SIFS_MODE 0x00000010 // assume 11b sifs programmed +#define AR_PCU_RX_ANT_UPDT 0x00000800 // KC_RX_ANT_UPDATE +#define AR_PCU_TXOP_TBTT_LIMIT_ENA 0x00001000 // enforce txop / tbtt +#define AR_PCU_MISS_BCN_IN_SLEEP 0x00004000 // count bmiss's when sleeping +#define AR_PCU_BUG_12306_FIX_ENA 0x00020000 // use rx_clear to count sifs +#define AR_PCU_FORCE_QUIET_COLL 0x00040000 // kill xmit for channel change +#define AR_PCU_BT_ANT_PREVENT_RX 0x00100000 +#define AR_PCU_TBTT_PROTECT 0x00200000 // no xmit upto tbtt + 20 uS +#define AR_PCU_CLEAR_VMF 0x01000000 // clear vmf mode (fast cc) +#define AR_PCU_CLEAR_BA_VALID 0x04000000 // clear ba state + + +#define AR_FILT_OFDM 0x8124 +#define AR_FILT_OFDM_COUNT 0x00FFFFFF // count of filtered ofdm + +#define AR_FILT_CCK 0x8128 +#define AR_FILT_CCK_COUNT 0x00FFFFFF // count of filtered cck + +#define AR_PHY_ERR_1 0x812c +#define AR_PHY_ERR_1_COUNT 0x00FFFFFF // phy errs that pass mask_1 +#define AR_PHY_ERR_MASK_1 0x8130 // mask for err_1_count + +#define AR_PHY_ERR_2 0x8134 +#define AR_PHY_ERR_2_COUNT 0x00FFFFFF // phy errs that pass mask_2 +#define AR_PHY_ERR_MASK_2 0x8138 // mask for err_2_count + +#define AR_PHY_COUNTMAX (3 << 22) // Max counted before intr +#define AR_MIBCNT_INTRMASK (3 << 22) // Mask top 2 bits of counters + +#define AR_TSF_THRESHOLD 0x813c // interrupt if rx_tsf-int_tsf +#define AR_TSF_THRESHOLD_VAL 0x0000FFFF // exceeds threshold + +#define AR_PHY_ERR_EIFS_MASK 0x8144 // phy_errs causing eifs delay + +#define AR_PHY_ERR_3 0x8168 +#define AR_PHY_ERR_3_COUNT 0x00FFFFFF // phy errs that pass mask_3 +#define AR_PHY_ERR_MASK_3 0x816c // mask for err_3_count + +#define AR_BT_COEX_MODE 0x8170 +#define AR_BT_TIME_EXTEND 0x000000ff +#define AR_BT_TIME_EXTEND_S 0 +#define AR_BT_TXSTATE_EXTEND 0x00000100 +#define AR_BT_TXSTATE_EXTEND_S 8 +#define AR_BT_TX_FRAME_EXTEND 0x00000200 +#define AR_BT_TX_FRAME_EXTEND_S 9 +#define AR_BT_MODE 0x00000c00 +#define AR_BT_MODE_S 10 +#define AR_BT_QUIET 0x00001000 +#define AR_BT_QUIET_S 12 +#define AR_BT_QCU_THRESH 0x0001e000 +#define AR_BT_QCU_THRESH_S 13 +#define AR_BT_RX_CLEAR_POLARITY 0x00020000 +#define AR_BT_RX_CLEAR_POLARITY_S 17 +#define AR_BT_PRIORITY_TIME 0x00fc0000 +#define AR_BT_PRIORITY_TIME_S 18 +#define AR_BT_FIRST_SLOT_TIME 0xff000000 +#define AR_BT_FIRST_SLOT_TIME_S 24 + +#define AR_BT_COEX_WEIGHT 0x8174 +#define AR_BT_BT_WGHT 0x0000ffff +#define AR_BT_BT_WGHT_S 0 +#define AR_BT_WL_WGHT 0xffff0000 +#define AR_BT_WL_WGHT_S 16 + +#define AR_TXSIFS 0x81d0 +#define AR_TXSIFS_TIME 0x000000FF // uS in SIFS +#define AR_TXSIFS_TX_LATENCY 0x00000F00 // uS for transmission thru bb +#define AR_TXSIFS_TX_LATENCY_S 8 +#define AR_TXSIFS_ACK_SHIFT 0x00007000 // chan width for ack +#define AR_TXSIFS_ACK_SHIFT_S 12 + +#define AR_TXOP_X 0x81ec // txop for legacy non-qos +#define AR_TXOP_X_VAL 0x000000FF + + /* on-demand subfields */ +#define AR_TXOP_0_3 0x81f0 // txop for various tid's +#define AR_TXOP_4_7 0x81f4 +#define AR_TXOP_8_11 0x81f8 +#define AR_TXOP_12_15 0x81fc + +#if 0 +#define AR_KC_MASK 0x81c4 // MAC Key Cache Mask for words 0x10 0x14 + // 0 is write allow 1 is write blocked +#define AR_KC_MASK_TYPE_M 0x00000007 // MAC Key Cache Type Mask +#define AR_KC_MASK_LAST_TX_ANT 0x00000008 // MAC Key Cache Last Tx Ant Mask +#define AR_KC_MASK_ASYNC_MASK_M 0x000001f0 // MAC Key Cache Async Rate Offset Mask +#define AR_KC_MASK_UPDT_BF 0x00000200 // MAC Key Cache Update Bf coef Mask +#define AR_KC_MASK_RX_CHAIN0_ACK 0x00000400 // MAC Key Cache Ack Ant Ch 0 Mask +#define AR_KC_MASK_RX_CHAIN1_ACK 0x00000800 // MAC Key Cache Ack Ant Ch 1 Mask +#define AR_KC_MASK_TX_CHAIN0_SEL 0x00001000 // MAC Key Cache Tx Sel Ant Ch 0 Mask +#define AR_KC_MASK_TX_CHAIN1_SEL 0x00002000 // MAC Key Cache Tx Sel Ant Ch 1 Mask +#define AR_KC_MASK_CHAIN_SEL 0x00004000 // MAC Key Cache Chain Sel Mask +#define AR_KC_MASK_WORD_10 0x00010000 // MAC Key Cache Word 0x10 Mask +#endif + +/* generic timers based on tsf - all uS */ +#define AR_NEXT_TBTT_TIMER 0x8200 +#define AR_NEXT_DMA_BEACON_ALERT 0x8204 +#define AR_NEXT_SWBA 0x8208 +#define AR_NEXT_CFP 0x8208 +#define AR_NEXT_HCF 0x820C +#define AR_NEXT_TIM 0x8210 +#define AR_NEXT_DTIM 0x8214 +#define AR_NEXT_QUIET_TIMER 0x8218 +#define AR_NEXT_NDP_TIMER 0x821C + +#define AR_BEACON_PERIOD 0x8220 +#define AR_DMA_BEACON_PERIOD 0x8224 +#define AR_SWBA_PERIOD 0x8228 +#define AR_HCF_PERIOD 0x822C +#define AR_TIM_PERIOD 0x8230 +#define AR_DTIM_PERIOD 0x8234 +#define AR_QUIET_PERIOD 0x8238 +#define AR_NDP_PERIOD 0x823C + +#define AR_TIMER_MODE 0x8240 +#define AR_TBTT_TIMER_EN 0x00000001 +#define AR_DBA_TIMER_EN 0x00000002 +#define AR_SWBA_TIMER_EN 0x00000004 +#define AR_HCF_TIMER_EN 0x00000008 +#define AR_TIM_TIMER_EN 0x00000010 +#define AR_DTIM_TIMER_EN 0x00000020 +#define AR_QUIET_TIMER_EN 0x00000040 +#define AR_NDP_TIMER_EN 0x00000080 +#define AR_TIMER_OVERFLOW_INDEX 0x00000700 +#define AR_TIMER_OVERFLOW_INDEX_S 8 +#define AR_TIMER_THRESH 0xFFFFF000 +#define AR_TIMER_THRESH_S 12 + +#define AR_SLP32_MODE 0x8244 +#define AR_SLP32_HALF_CLK_LATENCY 0x000FFFFF // rising <-> falling edge +#define AR_SLP32_ENA 0x00100000 +#define AR_SLP32_TSF_WRITE_STATUS 0x00200000 // tsf update in progress + +#define AR_SLP32_WAKE 0x8248 +#define AR_SLP32_WAKE_XTL_TIME 0x0000FFFF // time to wake crystal + +#define AR_SLP32_INC 0x824c +#define AR_SLP32_TST_INC 0x000FFFFF + +#define AR_SLP_CNT 0x8250 // 32kHz cycles for which mac is asleep +#define AR_SLP_CYCLE_CNT 0x8254 // absolute number of 32kHz cycles + +#define AR_SLP_MIB_CTRL 0x8258 +#define AR_SLP_MIB_CLEAR 0x00000001 // clear pending +#define AR_SLP_MIB_PENDING 0x00000002 // clear counters + +#ifdef AR5416_EMULATION +// MAC trace buffer registers (emulation only) +#define AR_MAC_PCU_LOGIC_ANALYZER 0x8264 +#define AR_MAC_PCU_LOGIC_ANALYZER_CTL 0x0000000F +#define AR_MAC_PCU_LOGIC_ANALYZER_HOLD 0x00000001 +#define AR_MAC_PCU_LOGIC_ANALYZER_CLEAR 0x00000002 +#define AR_MAC_PCU_LOGIC_ANALYZER_STATE 0x00000004 +#define AR_MAC_PCU_LOGIC_ANALYZER_ENABLE 0x00000008 +#define AR_MAC_PCU_LOGIC_ANALYZER_QCU_SEL 0x000000F0 +#define AR_MAC_PCU_LOGIC_ANALYZER_QCU_SEL_S 4 +#define AR_MAC_PCU_LOGIC_ANALYZER_INT_ADDR 0x0003FF00 +#define AR_MAC_PCU_LOGIC_ANALYZER_INT_ADDR_S 8 + +#define AR_MAC_PCU_LOGIC_ANALYZER_DIAG_MODE 0xFFFC0000 +#define AR_MAC_PCU_LOGIC_ANALYZER_DIAG_MODE_S 18 +#define AR_MAC_PCU_LOGIC_ANALYZER_DISBUG20614 0x00040000 +#define AR_MAC_PCU_LOGIC_ANALYZER_DISBUG20768 0x20000000 +#define AR_MAC_PCU_LOGIC_ANALYZER_DISBUG20803 0x40000000 + +#define AR_MAC_PCU_LOGIC_ANALYZER_32L 0x8268 +#define AR_MAC_PCU_LOGIC_ANALYZER_16U 0x826C + +#define AR_MAC_PCU_TRACE_REG_START 0xE000 +#define AR_MAC_PCU_TRACE_REG_END 0xFFFC +#define AR_MAC_PCU_TRACE_BUFFER_LENGTH (AR_MAC_PCU_TRACE_REG_END - AR_MAC_PCU_TRACE_REG_START + sizeof(a_uint32_t)) +#endif // AR5416_EMULATION + +#define AR_2040_MODE 0x8318 +#define AR_2040_JOINED_RX_CLEAR 0x00000001 // use ctl + ext rx_clear for cca + +/* Additional cycle counter. See also AR_CCCNT */ +#define AR_EXTRCCNT 0x8328 // extension channel rx clear count + // counts number of cycles rx_clear (ext) is low (i.e. busy) + // when the MAC is not actively transmitting/receiving + +#define AR_SELFGEN_MASK 0x832c + + +#if 0 +#define AR_FRM_TYPE_CAP_TBL 0x8500 // Frame Type Capabilities Table +#define AR_FRM_TYPE_CAP_SIZE 64 // Frame Type Cap. Table Size +#define AR_FTC_BF_RX_UPDT_NORM 0x00000001 // BFCOEF_RX_UPDATE_NORMAL +#define AR_FTC_BF_RX_UPDT_SELF 0x00000002 // BFCOEF_RX_UPDATE_SELF_GEN +#define AR_FTC_BF_TX_ENB_NORM 0x00000004 // BFCOEF_TX_ENABLE_NORMAL +#define AR_FTC_BF_TX_ENB_SELF 0x00000008 // BFCOEF_TX_ENABLE_SELF_GEN +#define AR_FTC_BF_TX_ENB_GEN 0x00000010 // BFCOEF_TX_ENABLE_GEN +#define AR_FTC_BF_TX_ENB_MCAST 0x00000020 // BFCOEF_TX_ENABLE_MCAST + + // rate duration registers - used for Multi-rate retry. +#define AR_RATE_DURATION_0 0x8700 // 32 registers from 0x8700 to 0x87CC +#define AR_RATE_DURATION_31 0x87CC +#endif + +#define AR_KEYTABLE_0 0x8800 /* MAC Key Cache */ +#define AR_KEYTABLE(_n) (AR_KEYTABLE_0 + ((_n)*32)) +#define AR_KEY_CACHE_SIZE 128 +#define AR_RSVD_KEYTABLE_ENTRIES 4 +#define AR_KEY_TYPE 0x00000007 // MAC Key Type Mask +#define AR_KEYTABLE_TYPE_40 0x00000000 /* WEP 40 bit key */ +#define AR_KEYTABLE_TYPE_104 0x00000001 /* WEP 104 bit key */ +#define AR_KEYTABLE_TYPE_128 0x00000003 /* WEP 128 bit key */ +#define AR_KEYTABLE_TYPE_TKIP 0x00000004 /* TKIP and Michael */ +#define AR_KEYTABLE_TYPE_AES 0x00000005 /* AES/OCB 128 bit key */ +#define AR_KEYTABLE_TYPE_CCM 0x00000006 /* AES/CCM 128 bit key */ +#define AR_KEYTABLE_TYPE_CLR 0x00000007 /* no encryption */ +#define AR_KEYTABLE_ANT 0x00000008 /* previous transmit antenna */ +#define AR_KEYTABLE_VALID 0x00008000 /* key and MAC address valid */ +#define AR_KEYTABLE_KEY0(_n) (AR_KEYTABLE(_n) + 0) /* key bit 0-31 */ +#define AR_KEYTABLE_KEY1(_n) (AR_KEYTABLE(_n) + 4) /* key bit 32-47 */ +#define AR_KEYTABLE_KEY2(_n) (AR_KEYTABLE(_n) + 8) /* key bit 48-79 */ +#define AR_KEYTABLE_KEY3(_n) (AR_KEYTABLE(_n) + 12) /* key bit 80-95 */ +#define AR_KEYTABLE_KEY4(_n) (AR_KEYTABLE(_n) + 16) /* key bit 96-127 */ +#define AR_KEYTABLE_TYPE(_n) (AR_KEYTABLE(_n) + 20) /* key type */ +#define AR_KEYTABLE_MAC0(_n) (AR_KEYTABLE(_n) + 24) /* MAC address 1-32 */ +#define AR_KEYTABLE_MAC1(_n) (AR_KEYTABLE(_n) + 28) /* MAC address 33-47 */ + +#define BT_WGHT 0xff55 +#define STOMP_ALL_WLAN_WGHT 0xfcfc +#define STOMP_LOW_WLAN_WGHT 0xa8a8 +#define STOMP_NONE_WLAN_WGHT 0x0000 +#endif diff --git a/target_firmware/wlan/ieee80211.h b/target_firmware/wlan/ieee80211.h new file mode 100755 index 0000000..8fc53ea --- /dev/null +++ b/target_firmware/wlan/ieee80211.h @@ -0,0 +1,1248 @@ +/*- + * Copyright (c) 2001 Atsushi Onoe + * Copyright (c) 2002-2005 Sam Leffler, Errno Consulting + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright + * notice, this list of conditions and the following disclaimer in the + * documentation and/or other materials provided with the distribution. + * 3. The name of the author may not be used to endorse or promote products + * derived from this software without specific prior written permission. + * + * Alternatively, this software may be distributed under the terms of the + * GNU General Public License ("GPL") version 2 as published by the Free + * Software Foundation. + * + * THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR + * IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES + * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. + * IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, + * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT + * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, + * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY + * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT + * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF + * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + * $FreeBSD: src/sys/net80211/ieee80211.h,v 1.8 2004/12/31 22:44:26 sam Exp $ + */ +#ifndef _NET80211_IEEE80211_H_ +#define _NET80211_IEEE80211_H_ + +/* + * 802.11 protocol definitions. + */ + +#define IEEE80211_ADDR_LEN 6 /* size of 802.11 address */ +/* is 802.11 address multicast/broadcast? */ +#define IEEE80211_IS_MULTICAST(_a) (*(_a) & 0x01) + +#ifdef __CARRIER_PLATFORM__ +#include +#endif + +/* IEEE 802.11 PLCP header */ +struct ieee80211_plcp_hdr { + a_uint16_t i_sfd; + a_uint8_t i_signal; + a_uint8_t i_service; + a_uint16_t i_length; + a_uint16_t i_crc; +} adf_os_packed; + +#define IEEE80211_PLCP_SFD 0xF3A0 +#define IEEE80211_PLCP_SERVICE 0x00 + +/* + * generic definitions for IEEE 802.11 frames + */ +struct ieee80211_frame { + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; + a_uint8_t i_addr1[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr2[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr3[IEEE80211_ADDR_LEN]; + a_uint8_t i_seq[2]; + /* possibly followed by addr4[IEEE80211_ADDR_LEN]; */ + /* see below */ +} adf_os_packed; + +struct ieee80211_qosframe { + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; + a_uint8_t i_addr1[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr2[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr3[IEEE80211_ADDR_LEN]; + a_uint8_t i_seq[2]; + a_uint8_t i_qos[2]; + /* possibly followed by addr4[IEEE80211_ADDR_LEN]; */ + /* see below */ +} adf_os_packed; + +struct ieee80211_qoscntl { + a_uint8_t i_qos[2]; +}; + +struct ieee80211_frame_addr4 { + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; + a_uint8_t i_addr1[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr2[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr3[IEEE80211_ADDR_LEN]; + a_uint8_t i_seq[2]; + a_uint8_t i_addr4[IEEE80211_ADDR_LEN]; +} adf_os_packed; + + +struct ieee80211_qosframe_addr4 { + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; + a_uint8_t i_addr1[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr2[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr3[IEEE80211_ADDR_LEN]; + a_uint8_t i_seq[2]; + a_uint8_t i_addr4[IEEE80211_ADDR_LEN]; + a_uint8_t i_qos[2]; +} adf_os_packed; + +struct ieee80211_ctlframe_addr2 { + a_uint8_t i_fc[2]; + a_uint8_t i_aidordur[2]; /* AID or duration */ + a_uint8_t i_addr1[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr2[IEEE80211_ADDR_LEN]; +} adf_os_packed; + +#define IEEE80211_FC0_VERSION_MASK 0x03 +#define IEEE80211_FC0_VERSION_SHIFT 0 +#define IEEE80211_FC0_VERSION_0 0x00 +#define IEEE80211_FC0_TYPE_MASK 0x0c +#define IEEE80211_FC0_TYPE_SHIFT 2 +#define IEEE80211_FC0_TYPE_MGT 0x00 +#define IEEE80211_FC0_TYPE_CTL 0x04 +#define IEEE80211_FC0_TYPE_DATA 0x08 + +#define IEEE80211_FC0_SUBTYPE_MASK 0xf0 +#define IEEE80211_FC0_SUBTYPE_SHIFT 4 +/* for TYPE_MGT */ +#define IEEE80211_FC0_SUBTYPE_ASSOC_REQ 0x00 +#define IEEE80211_FC0_SUBTYPE_ASSOC_RESP 0x10 +#define IEEE80211_FC0_SUBTYPE_REASSOC_REQ 0x20 +#define IEEE80211_FC0_SUBTYPE_REASSOC_RESP 0x30 +#define IEEE80211_FC0_SUBTYPE_PROBE_REQ 0x40 +#define IEEE80211_FC0_SUBTYPE_PROBE_RESP 0x50 +#define IEEE80211_FC0_SUBTYPE_BEACON 0x80 +#define IEEE80211_FC0_SUBTYPE_ATIM 0x90 +#define IEEE80211_FC0_SUBTYPE_DISASSOC 0xa0 +#define IEEE80211_FC0_SUBTYPE_AUTH 0xb0 +#define IEEE80211_FC0_SUBTYPE_DEAUTH 0xc0 +#define IEEE80211_FC0_SUBTYPE_ACTION 0xd0 +/* for TYPE_CTL */ +#define IEEE80211_FC0_SUBTYPE_BAR 0x80 +#define IEEE80211_FC0_SUBTYPE_PS_POLL 0xa0 +#define IEEE80211_FC0_SUBTYPE_RTS 0xb0 +#define IEEE80211_FC0_SUBTYPE_CTS 0xc0 +#define IEEE80211_FC0_SUBTYPE_ACK 0xd0 +#define IEEE80211_FC0_SUBTYPE_CF_END 0xe0 +#define IEEE80211_FC0_SUBTYPE_CF_END_ACK 0xf0 +/* for TYPE_DATA (bit combination) */ +#define IEEE80211_FC0_SUBTYPE_DATA 0x00 +#define IEEE80211_FC0_SUBTYPE_CF_ACK 0x10 +#define IEEE80211_FC0_SUBTYPE_CF_POLL 0x20 +#define IEEE80211_FC0_SUBTYPE_CF_ACPL 0x30 +#define IEEE80211_FC0_SUBTYPE_NODATA 0x40 +#define IEEE80211_FC0_SUBTYPE_CFACK 0x50 +#define IEEE80211_FC0_SUBTYPE_CFPOLL 0x60 +#define IEEE80211_FC0_SUBTYPE_CF_ACK_CF_ACK 0x70 +#define IEEE80211_FC0_SUBTYPE_QOS 0x80 +#define IEEE80211_FC0_SUBTYPE_QOS_NULL 0xc0 + +#define IEEE80211_FC1_DIR_MASK 0x03 +#define IEEE80211_FC1_DIR_NODS 0x00 /* STA->STA */ +#define IEEE80211_FC1_DIR_TODS 0x01 /* STA->AP */ +#define IEEE80211_FC1_DIR_FROMDS 0x02 /* AP ->STA */ +#define IEEE80211_FC1_DIR_DSTODS 0x03 /* AP ->AP */ + +#define IEEE80211_FC1_MORE_FRAG 0x04 +#define IEEE80211_FC1_RETRY 0x08 +#define IEEE80211_FC1_PWR_MGT 0x10 +#define IEEE80211_FC1_MORE_DATA 0x20 +#define IEEE80211_FC1_WEP 0x40 +#define IEEE80211_FC1_ORDER 0x80 + +#define IEEE80211_SEQ_FRAG_MASK 0x000f +#define IEEE80211_SEQ_FRAG_SHIFT 0 +#define IEEE80211_SEQ_SEQ_MASK 0xfff0 +#define IEEE80211_SEQ_SEQ_SHIFT 4 + +#define IEEE80211_SEQ_LEQ(a,b) ((a_int32_t)((a)-(b)) <= 0) + +#define IEEE80211_NWID_LEN 32 + +#define IEEE80211_QOS_TXOP 0x00ff + +#define IEEE80211_QOS_AMSDU 0x80 +#define IEEE80211_QOS_AMSDU_S 7 +#define IEEE80211_QOS_ACKPOLICY 0x60 +#define IEEE80211_QOS_ACKPOLICY_S 5 +#define IEEE80211_QOS_EOSP 0x10 +#define IEEE80211_QOS_EOSP_S 4 +#define IEEE80211_QOS_TID 0x0f + +/* + * Country/Region Codes from MS WINNLS.H + * Numbering from ISO 3166 + * XXX belongs elsewhere + */ +enum CountryCode { + CTRY_ALBANIA = 8, /* Albania */ + CTRY_ALGERIA = 12, /* Algeria */ + CTRY_ARGENTINA = 32, /* Argentina */ + CTRY_ARMENIA = 51, /* Armenia */ + CTRY_AUSTRALIA = 36, /* Australia */ + CTRY_AUSTRIA = 40, /* Austria */ + CTRY_AZERBAIJAN = 31, /* Azerbaijan */ + CTRY_BAHRAIN = 48, /* Bahrain */ + CTRY_BELARUS = 112, /* Belarus */ + CTRY_BELGIUM = 56, /* Belgium */ + CTRY_BELIZE = 84, /* Belize */ + CTRY_BOLIVIA = 68, /* Bolivia */ + CTRY_BOSNIA_HERZEGOWINA = 70, /* Bosnia and Herzegowina */ + CTRY_BRAZIL = 76, /* Brazil */ + CTRY_BRUNEI_DARUSSALAM = 96, /* Brunei Darussalam */ + CTRY_BULGARIA = 100, /* Bulgaria */ + CTRY_CANADA = 124, /* Canada */ + CTRY_CHILE = 152, /* Chile */ + CTRY_CHINA = 156, /* People's Republic of China */ + CTRY_COLOMBIA = 170, /* Colombia */ + CTRY_COSTA_RICA = 188, /* Costa Rica */ + CTRY_CROATIA = 191, /* Croatia */ + CTRY_CYPRUS = 196, + CTRY_CZECH = 203, /* Czech Republic */ + CTRY_DENMARK = 208, /* Denmark */ + CTRY_DOMINICAN_REPUBLIC = 214, /* Dominican Republic */ + CTRY_ECUADOR = 218, /* Ecuador */ + CTRY_EGYPT = 818, /* Egypt */ + CTRY_EL_SALVADOR = 222, /* El Salvador */ + CTRY_ESTONIA = 233, /* Estonia */ + CTRY_FAEROE_ISLANDS = 234, /* Faeroe Islands */ + CTRY_FINLAND = 246, /* Finland */ + CTRY_FRANCE = 250, /* France */ + CTRY_FRANCE2 = 255, /* France2 */ + CTRY_GEORGIA = 268, /* Georgia */ + CTRY_GERMANY = 276, /* Germany */ + CTRY_GREECE = 300, /* Greece */ + CTRY_GUATEMALA = 320, /* Guatemala */ + CTRY_HONDURAS = 340, /* Honduras */ + CTRY_HONG_KONG = 344, /* Hong Kong S.A.R., P.R.C. */ + CTRY_HUNGARY = 348, /* Hungary */ + CTRY_ICELAND = 352, /* Iceland */ + CTRY_INDIA = 356, /* India */ + CTRY_INDONESIA = 360, /* Indonesia */ + CTRY_IRAN = 364, /* Iran */ + CTRY_IRAQ = 368, /* Iraq */ + CTRY_IRELAND = 372, /* Ireland */ + CTRY_ISRAEL = 376, /* Israel */ + CTRY_ITALY = 380, /* Italy */ + CTRY_JAMAICA = 388, /* Jamaica */ + CTRY_JAPAN = 392, /* Japan */ + CTRY_JAPAN1 = 393, /* Japan (JP1) */ + CTRY_JAPAN2 = 394, /* Japan (JP0) */ + CTRY_JAPAN3 = 395, /* Japan (JP1-1) */ + CTRY_JAPAN4 = 396, /* Japan (JE1) */ + CTRY_JAPAN5 = 397, /* Japan (JE2) */ + CTRY_JAPAN6 = 399, /* Japan (JP6) */ + CTRY_JAPAN7 = 4007, /* Japan */ + CTRY_JAPAN8 = 4008, /* Japan */ + CTRY_JAPAN9 = 4009, /* Japan */ + CTRY_JAPAN10 = 4010, /* Japan */ + CTRY_JAPAN11 = 4011, /* Japan */ + CTRY_JAPAN12 = 4012, /* Japan */ + CTRY_JAPAN13 = 4013, /* Japan */ + CTRY_JAPAN14 = 4014, /* Japan */ + CTRY_JAPAN15 = 4015, /* Japan */ + CTRY_JAPAN16 = 4016, /* Japan */ + CTRY_JAPAN17 = 4017, /* Japan */ + CTRY_JAPAN18 = 4018, /* Japan */ + CTRY_JAPAN19 = 4019, /* Japan */ + CTRY_JAPAN20 = 4020, /* Japan */ + CTRY_JAPAN21 = 4021, /* Japan */ + CTRY_JAPAN22 = 4022, /* Japan */ + CTRY_JAPAN23 = 4023, /* Japan */ + CTRY_JAPAN24 = 4024, /* Japan */ + CTRY_JORDAN = 400, /* Jordan */ + CTRY_KAZAKHSTAN = 398, /* Kazakhstan */ + CTRY_KENYA = 404, /* Kenya */ + CTRY_KOREA_NORTH = 408, /* North Korea */ + CTRY_KOREA_ROC = 410, /* South Korea */ + CTRY_KOREA_ROC2 = 411, /* South Korea */ + CTRY_KUWAIT = 414, /* Kuwait */ + CTRY_LATVIA = 428, /* Latvia */ + CTRY_LEBANON = 422, /* Lebanon */ + CTRY_LIBYA = 434, /* Libya */ + CTRY_LIECHTENSTEIN = 438, /* Liechtenstein */ + CTRY_LITHUANIA = 440, /* Lithuania */ + CTRY_LUXEMBOURG = 442, /* Luxembourg */ + CTRY_MACAU = 446, /* Macau */ + CTRY_MACEDONIA = 807, /* the Former Yugoslav Republic of Macedonia */ + CTRY_MALAYSIA = 458, /* Malaysia */ + CTRY_MEXICO = 484, /* Mexico */ + CTRY_MONACO = 492, /* Principality of Monaco */ + CTRY_MOROCCO = 504, /* Morocco */ + CTRY_NETHERLANDS = 528, /* Netherlands */ + CTRY_NEW_ZEALAND = 554, /* New Zealand */ + CTRY_NICARAGUA = 558, /* Nicaragua */ + CTRY_NORWAY = 578, /* Norway */ + CTRY_OMAN = 512, /* Oman */ + CTRY_PAKISTAN = 586, /* Islamic Republic of Pakistan */ + CTRY_PANAMA = 591, /* Panama */ + CTRY_PARAGUAY = 600, /* Paraguay */ + CTRY_PERU = 604, /* Peru */ + CTRY_PHILIPPINES = 608, /* Republic of the Philippines */ + CTRY_POLAND = 616, /* Poland */ + CTRY_PORTUGAL = 620, /* Portugal */ + CTRY_PUERTO_RICO = 630, /* Puerto Rico */ + CTRY_QATAR = 634, /* Qatar */ + CTRY_ROMANIA = 642, /* Romania */ + CTRY_RUSSIA = 643, /* Russia */ + CTRY_SAUDI_ARABIA = 682, /* Saudi Arabia */ + CTRY_SINGAPORE = 702, /* Singapore */ + CTRY_SLOVAKIA = 703, /* Slovak Republic */ + CTRY_SLOVENIA = 705, /* Slovenia */ + CTRY_SOUTH_AFRICA = 710, /* South Africa */ + CTRY_SPAIN = 724, /* Spain */ + CTRY_SRI_LANKA = 144, /* Sri Lanka */ + CTRY_SWEDEN = 752, /* Sweden */ + CTRY_SWITZERLAND = 756, /* Switzerland */ + CTRY_SYRIA = 760, /* Syria */ + CTRY_TAIWAN = 158, /* Taiwan */ + CTRY_THAILAND = 764, /* Thailand */ + CTRY_TRINIDAD_Y_TOBAGO = 780, /* Trinidad y Tobago */ + CTRY_TUNISIA = 788, /* Tunisia */ + CTRY_TURKEY = 792, /* Turkey */ + CTRY_UAE = 784, /* U.A.E. */ + CTRY_UKRAINE = 804, /* Ukraine */ + CTRY_UNITED_KINGDOM = 826, /* United Kingdom */ + CTRY_UNITED_STATES = 840, /* United States */ + CTRY_UNITED_STATES_FCC49 = 842, /* United States (Public Safety)*/ + CTRY_URUGUAY = 858, /* Uruguay */ + CTRY_UZBEKISTAN = 860, /* Uzbekistan */ + CTRY_VENEZUELA = 862, /* Venezuela */ + CTRY_VIET_NAM = 704, /* Viet Nam */ + CTRY_YEMEN = 887, /* Yemen */ + CTRY_ZIMBABWE = 716, /* Zimbabwe */ + CTRY_AUSTRALIA2 = 5000, /* Australia */ + CTRY_CANADA2 = 5001 /* Canada */ +}; + +/* + * Country information element. + */ +#define IEEE80211_COUNTRY_MAX_TRIPLETS (83) +struct ieee80211_ie_country { + a_uint8_t country_id; + a_uint8_t country_len; + a_uint8_t country_str[3]; + a_uint8_t country_triplet[IEEE80211_COUNTRY_MAX_TRIPLETS*3]; +} adf_os_packed; + +/* does frame have QoS sequence control data */ +#define IEEE80211_QOS_HAS_SEQ(wh) \ + (((wh)->i_fc[0] & \ + (IEEE80211_FC0_TYPE_MASK | IEEE80211_FC0_SUBTYPE_QOS)) == \ + (IEEE80211_FC0_TYPE_DATA | IEEE80211_FC0_SUBTYPE_QOS)) + +#define WME_QOSINFO_COUNT 0x0f /* Mask for Param Set Count field */ +/* + * WME/802.11e information element. + */ +struct ieee80211_ie_wme { + a_uint8_t wme_id; /* IEEE80211_ELEMID_VENDOR */ + a_uint8_t wme_len; /* length in bytes */ + a_uint8_t wme_oui[3]; /* 0x00, 0x50, 0xf2 */ + a_uint8_t wme_type; /* OUI type */ + a_uint8_t wme_subtype; /* OUI subtype */ + a_uint8_t wme_version; /* spec revision */ + a_uint8_t wme_info; /* QoS info */ +} adf_os_packed; + +/* + * WME/802.11e Tspec Element + */ +struct ieee80211_wme_tspec { + a_uint8_t ts_id; + a_uint8_t ts_len; + a_uint8_t ts_oui[3]; + a_uint8_t ts_oui_type; + a_uint8_t ts_oui_subtype; + a_uint8_t ts_version; + a_uint8_t ts_tsinfo[3]; + a_uint8_t ts_nom_msdu[2]; + a_uint8_t ts_max_msdu[2]; + a_uint8_t ts_min_svc[4]; + a_uint8_t ts_max_svc[4]; + a_uint8_t ts_inactv_intv[4]; + a_uint8_t ts_susp_intv[4]; + a_uint8_t ts_start_svc[4]; + a_uint8_t ts_min_rate[4]; + a_uint8_t ts_mean_rate[4]; + a_uint8_t ts_max_burst[4]; + a_uint8_t ts_min_phy[4]; + a_uint8_t ts_peak_rate[4]; + a_uint8_t ts_delay[4]; + a_uint8_t ts_surplus[2]; + a_uint8_t ts_medium_time[2]; +} adf_os_packed; + +/* + * WME AC parameter field + */ + +struct ieee80211_wme_acparams { + a_uint8_t acp_aci_aifsn; + a_uint8_t acp_logcwminmax; + a_uint16_t acp_txop; +} adf_os_packed; + +#define IEEE80211_WME_PARAM_LEN 24 +#define WME_NUM_AC 4 /* 4 AC categories */ + +#define WME_PARAM_ACI 0x60 /* Mask for ACI field */ +#define WME_PARAM_ACI_S 5 /* Shift for ACI field */ +#define WME_PARAM_ACM 0x10 /* Mask for ACM bit */ +#define WME_PARAM_ACM_S 4 /* Shift for ACM bit */ +#define WME_PARAM_AIFSN 0x0f /* Mask for aifsn field */ +#define WME_PARAM_AIFSN_S 0 /* Shift for aifsn field */ +#define WME_PARAM_LOGCWMIN 0x0f /* Mask for CwMin field (in log) */ +#define WME_PARAM_LOGCWMIN_S 0 /* Shift for CwMin field */ +#define WME_PARAM_LOGCWMAX 0xf0 /* Mask for CwMax field (in log) */ +#define WME_PARAM_LOGCWMAX_S 4 /* Shift for CwMax field */ + +#define WME_AC_TO_TID(_ac) ( \ + ((_ac) == WME_AC_VO) ? 6 : \ + ((_ac) == WME_AC_VI) ? 5 : \ + ((_ac) == WME_AC_BK) ? 1 : \ + 0) + +#define TID_TO_WME_AC(_tid) ( \ + (((_tid) == 0) || ((_tid) == 3)) ? WME_AC_BE : \ + (((_tid) == 1) || ((_tid) == 2)) ? WME_AC_BK : \ + (((_tid) == 4) || ((_tid) == 5)) ? WME_AC_VI : \ + WME_AC_VO) + +/* + * WME Parameter Element + */ + +struct ieee80211_wme_param { + a_uint8_t param_id; + a_uint8_t param_len; + a_uint8_t param_oui[3]; + a_uint8_t param_oui_type; + a_uint8_t param_oui_sybtype; + a_uint8_t param_version; + a_uint8_t param_qosInfo; + a_uint8_t param_reserved; + struct ieee80211_wme_acparams params_acParams[WME_NUM_AC]; +} adf_os_packed; + +/* + * WME U-APSD qos info field defines + */ +#define WME_CAPINFO_UAPSD_EN 0x00000080 +#define WME_CAPINFO_UAPSD_VO 0x00000001 +#define WME_CAPINFO_UAPSD_VI 0x00000002 +#define WME_CAPINFO_UAPSD_BK 0x00000004 +#define WME_CAPINFO_UAPSD_BE 0x00000008 +#define WME_CAPINFO_UAPSD_ACFLAGS_SHIFT 0 +#define WME_CAPINFO_UAPSD_ACFLAGS_MASK 0xF +#define WME_CAPINFO_UAPSD_MAXSP_SHIFT 5 +#define WME_CAPINFO_UAPSD_MAXSP_MASK 0x3 +#define WME_CAPINFO_IE_OFFSET 8 +#define WME_UAPSD_MAXSP(_qosinfo) (((_qosinfo) >> WME_CAPINFO_UAPSD_MAXSP_SHIFT) & WME_CAPINFO_UAPSD_MAXSP_MASK) +#define WME_UAPSD_AC_ENABLED(_ac, _qosinfo) ( (1<<(3 - (_ac))) & \ + (((_qosinfo) >> WME_CAPINFO_UAPSD_ACFLAGS_SHIFT) & WME_CAPINFO_UAPSD_ACFLAGS_MASK) ) + +/* + * Atheros Advanced Capability information element. + */ +struct ieee80211_ie_athAdvCap { + a_uint8_t athAdvCap_id; /* IEEE80211_ELEMID_VENDOR */ + a_uint8_t athAdvCap_len; /* length in bytes */ + a_uint8_t athAdvCap_oui[3]; /* 0x00, 0x03, 0x7f */ + a_uint8_t athAdvCap_type; /* OUI type */ + a_uint8_t athAdvCap_subtype; /* OUI subtype */ + a_uint8_t athAdvCap_version; /* spec revision */ + a_uint8_t athAdvCap_capability; /* Capability info */ + a_uint16_t athAdvCap_defKeyIndex; +} adf_os_packed; + +/* + * Atheros Extended Capability information element. + */ +struct ieee80211_ie_ath_extcap { + a_uint8_t ath_extcap_id; /* IEEE80211_ELEMID_VENDOR */ + a_uint8_t ath_extcap_len; /* length in bytes */ + a_uint8_t ath_extcap_oui[3]; /* 0x00, 0x03, 0x7f */ + a_uint8_t ath_extcap_type; /* OUI type */ + a_uint8_t ath_extcap_subtype; /* OUI subtype */ + a_uint8_t ath_extcap_version; /* spec revision */ + a_uint32_t ath_extcap_data; /* Data */ +} adf_os_packed; + +/* + * Atheros XR information element. + */ +struct ieee80211_xr_param { + a_uint8_t param_id; + a_uint8_t param_len; + a_uint8_t param_oui[3]; + a_uint8_t param_oui_type; + a_uint8_t param_oui_sybtype; + a_uint8_t param_version; + a_uint8_t param_Info; + a_uint8_t param_base_bssid[IEEE80211_ADDR_LEN]; + a_uint8_t param_xr_bssid[IEEE80211_ADDR_LEN]; + a_uint16_t param_xr_beacon_interval; + a_uint8_t param_base_ath_capability; + a_uint8_t param_xr_ath_capability; +} adf_os_packed; + +/* + * Management Action Frames + */ + +/* generic frame format */ +struct ieee80211_action { + a_uint8_t ia_category; + a_uint8_t ia_action; +} adf_os_packed; + +/* categories */ +#define IEEE80211_ACTION_CAT_QOS 0 /* qos */ +#define IEEE80211_ACTION_CAT_BA 3 /* BA */ +#define IEEE80211_ACTION_CAT_HT 7 /* HT per IEEE802.11n-D1.06 */ + +/* HT actions */ +#define IEEE80211_ACTION_HT_TXCHWIDTH 0 /* recommended transmission channel width */ +#define IEEE80211_ACTION_HT_SMPOWERSAVE 1 /* Spatial Multiplexing (SM) Power Save */ + + +/* HT - recommended transmission channel width */ +struct ieee80211_action_ht_txchwidth { + struct ieee80211_action at_header; + a_uint8_t at_chwidth; +} adf_os_packed; + +#define IEEE80211_A_HT_TXCHWIDTH_20 0 +#define IEEE80211_A_HT_TXCHWIDTH_2040 1 + + +/* HT - Spatial Multiplexing (SM) Power Save */ +struct ieee80211_action_ht_smpowersave { + struct ieee80211_action as_header; + a_uint8_t as_control; +} adf_os_packed; + +/* values defined for 'as_control' field per 802.11n-D1.06 */ +#define IEEE80211_A_HT_SMPOWERSAVE_DISABLED 0x00 /* SM Power Save Disabled, SM packets ok */ +#define IEEE80211_A_HT_SMPOWERSAVE_ENABLED 0x01 /* SM Power Save Enabled bit */ +#define IEEE80211_A_HT_SMPOWERSAVE_MODE 0x02 /* SM Power Save Mode bit */ +#define IEEE80211_A_HT_SMPOWERSAVE_RESERVED 0xFC /* SM Power Save Reserved bits */ + +/* values defined for SM Power Save Mode bit */ +#define IEEE80211_A_HT_SMPOWERSAVE_STATIC 0x00 /* Static, SM packets not ok */ +#define IEEE80211_A_HT_SMPOWERSAVE_DYNAMIC 0x02 /* Dynamic, SM packets ok if preceded by RTS */ + +/* BA actions */ +#define IEEE80211_ACTION_BA_ADDBA_REQUEST 0 /* ADDBA request */ +#define IEEE80211_ACTION_BA_ADDBA_RESPONSE 1 /* ADDBA response */ +#define IEEE80211_ACTION_BA_DELBA 2 /* DELBA */ + +struct ieee80211_ba_parameterset { +#if _BYTE_ORDER == _BIG_ENDIAN + a_uint16_t buffersize : 10, /* B6-15 buffer size */ + tid : 4, /* B2-5 TID */ + bapolicy : 1, /* B1 block ack policy */ + reserved0 : 1; /* B0 reserved */ +#else + a_uint16_t reserved0 : 1, /* B0 reserved */ + bapolicy : 1, /* B1 block ack policy */ + tid : 4, /* B2-5 TID */ + buffersize : 10; /* B6-15 buffer size */ +#endif +} adf_os_packed; + +#define IEEE80211_BA_POLICY_DELAYED 0 +#define IEEE80211_BA_POLICY_IMMEDIATE 1 + +struct ieee80211_ba_seqctrl { + a_uint16_t startseq; +} adf_os_packed; + +struct ieee80211_delba_parameterset { +#if _BYTE_ORDER == _BIG_ENDIAN + a_uint16_t tid : 4, /* B12-15 tid */ + initiator : 1, /* B11 initiator */ + reserved0 : 11; /* B0-10 reserved */ +#else + a_uint16_t reserved0 : 11, /* B0-10 reserved */ + initiator : 1, /* B11 initiator */ + tid : 4; /* B12-15 tid */ +#endif +} adf_os_packed; + +/* BA - ADDBA request */ +struct ieee80211_action_ba_addbarequest { + struct ieee80211_action rq_header; + a_uint8_t rq_dialogtoken; + struct ieee80211_ba_parameterset rq_baparamset; + a_uint16_t rq_batimeout; /* in TUs */ + struct ieee80211_ba_seqctrl rq_basequencectrl; +} adf_os_packed; + +/* BA - ADDBA response */ +struct ieee80211_action_ba_addbaresponse { + struct ieee80211_action rs_header; + a_uint8_t rs_dialogtoken; + a_uint16_t rs_statuscode; + struct ieee80211_ba_parameterset rs_baparamset; + a_uint16_t rs_batimeout; /* in TUs */ +} adf_os_packed; + +/* BA - DELBA */ +struct ieee80211_action_ba_delba { + struct ieee80211_action dl_header; + struct ieee80211_delba_parameterset dl_delbaparamset; + a_uint16_t dl_reasoncode; +} adf_os_packed; + +/* + * Control frames. + */ +struct ieee80211_frame_min { + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; + a_uint8_t i_addr1[IEEE80211_ADDR_LEN]; + a_uint8_t i_addr2[IEEE80211_ADDR_LEN]; + /* FCS */ +} adf_os_packed; + +/* + * BAR frame format + */ +#define IEEE80211_BAR_CTL_TID_M 0xF000 /* tid mask */ +#define IEEE80211_BAR_CTL_TID_S 12 /* tid shift */ +#define IEEE80211_BAR_CTL_NOACK 0x0001 /* no-ack policy */ +#define IEEE80211_BAR_CTL_COMBA 0x0004 /* compressed block-ack */ +struct ieee80211_frame_bar { + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; + a_uint8_t i_ra[IEEE80211_ADDR_LEN]; + a_uint8_t i_ta[IEEE80211_ADDR_LEN]; + a_uint16_t i_ctl; + a_uint16_t i_seq; + /* FCS */ +} adf_os_packed; + +struct ieee80211_frame_rts { + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; + a_uint8_t i_ra[IEEE80211_ADDR_LEN]; + a_uint8_t i_ta[IEEE80211_ADDR_LEN]; + /* FCS */ +} adf_os_packed; + +struct ieee80211_frame_cts { + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; + a_uint8_t i_ra[IEEE80211_ADDR_LEN]; + /* FCS */ +} adf_os_packed; + +struct ieee80211_frame_ack { + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; + a_uint8_t i_ra[IEEE80211_ADDR_LEN]; + /* FCS */ +} adf_os_packed; + +struct ieee80211_frame_pspoll { + a_uint8_t i_fc[2]; + a_uint8_t i_aid[2]; + a_uint8_t i_bssid[IEEE80211_ADDR_LEN]; + a_uint8_t i_ta[IEEE80211_ADDR_LEN]; + /* FCS */ +} adf_os_packed; + +struct ieee80211_frame_cfend { /* NB: also CF-End+CF-Ack */ + a_uint8_t i_fc[2]; + a_uint8_t i_dur[2]; /* should be zero */ + a_uint8_t i_ra[IEEE80211_ADDR_LEN]; + a_uint8_t i_bssid[IEEE80211_ADDR_LEN]; + /* FCS */ +} adf_os_packed; + +/* + * BEACON management packets + * + * octet timestamp[8] + * octet beacon interval[2] + * octet capability information[2] + * information element + * octet elemid + * octet length + * octet information[length] + */ + +typedef a_uint8_t *ieee80211_mgt_beacon_t; + +#define IEEE80211_BEACON_INTERVAL(beacon) \ + ((beacon)[8] | ((beacon)[9] << 8)) +#define IEEE80211_BEACON_CAPABILITY(beacon) \ + ((beacon)[10] | ((beacon)[11] << 8)) + +#define IEEE80211_CAPINFO_ESS 0x0001 +#define IEEE80211_CAPINFO_IBSS 0x0002 +#define IEEE80211_CAPINFO_CF_POLLABLE 0x0004 +#define IEEE80211_CAPINFO_CF_POLLREQ 0x0008 +#define IEEE80211_CAPINFO_PRIVACY 0x0010 +#define IEEE80211_CAPINFO_SHORT_PREAMBLE 0x0020 +#define IEEE80211_CAPINFO_PBCC 0x0040 +#define IEEE80211_CAPINFO_CHNL_AGILITY 0x0080 +/* bits 8-9 are reserved (8 now for specturm management) */ +#define IEEE80211_CAPINFO_SPECTRUM_MGMT 0x0100 +#define IEEE80211_CAPINFO_SHORT_SLOTTIME 0x0400 +#define IEEE80211_CAPINFO_RSN 0x0800 +/* bit 12 is reserved */ +#define IEEE80211_CAPINFO_DSSSOFDM 0x2000 +/* bits 14-15 are reserved */ + +/* + * 802.11i/WPA information element (maximally sized). + */ +struct ieee80211_ie_wpa { + a_uint8_t wpa_id; /* IEEE80211_ELEMID_VENDOR */ + a_uint8_t wpa_len; /* length in bytes */ + a_uint8_t wpa_oui[3]; /* 0x00, 0x50, 0xf2 */ + a_uint8_t wpa_type; /* OUI type */ + a_uint16_t wpa_version; /* spec revision */ + a_uint32_t wpa_mcipher[1]; /* multicast/group key cipher */ + a_uint16_t wpa_uciphercnt; /* # pairwise key ciphers */ + a_uint32_t wpa_uciphers[8];/* ciphers */ + a_uint16_t wpa_authselcnt; /* authentication selector cnt*/ + a_uint32_t wpa_authsels[8];/* selectors */ + a_uint16_t wpa_caps; /* 802.11i capabilities */ + a_uint16_t wpa_pmkidcnt; /* 802.11i pmkid count */ + a_uint16_t wpa_pmkids[8]; /* 802.11i pmkids */ +} adf_os_packed; + +#ifndef _BYTE_ORDER +#error "Don't know native byte order" +#endif + +#ifndef IEEE80211N_IE +/* Temporary vendor specific IE for 11n pre-standard interoperability */ +#define HT_OUI 0x4c9000 +#endif + +struct ieee80211_ie_htcap_cmn { + a_uint16_t hc_cap; /* HT capabilities */ +#if _BYTE_ORDER == _BIG_ENDIAN + a_uint8_t hc_reserved : 3, /* B5-7 reserved */ + hc_mpdudensity : 3, /* B2-4 MPDU density (aka Minimum MPDU Start Spacing) */ + hc_maxampdu : 2; /* B0-1 maximum rx A-MPDU factor */ +#else + a_uint8_t hc_maxampdu : 2, /* B0-1 maximum rx A-MPDU factor */ + hc_mpdudensity : 3, /* B2-4 MPDU density (aka Minimum MPDU Start Spacing) */ + hc_reserved : 3; /* B5-7 reserved */ +#endif + a_uint8_t hc_mcsset[16]; /* supported MCS set */ + a_uint16_t hc_extcap; /* extended HT capabilities */ + a_uint32_t hc_txbf; /* txbf capabilities */ + a_uint8_t hc_antenna; /* antenna capabilities */ +} adf_os_packed; + +/* + * 802.11n HT Capability IE + */ +struct ieee80211_ie_htcap { + a_uint8_t hc_id; /* element ID */ + a_uint8_t hc_len; /* length in bytes */ + struct ieee80211_ie_htcap_cmn hc_ie; +} adf_os_packed; + +/* + * Temporary vendor private HT Capability IE + */ +struct vendor_ie_htcap { + a_uint8_t hc_id; /* element ID */ + a_uint8_t hc_len; /* length in bytes */ + a_uint8_t hc_oui[3]; + a_uint8_t hc_ouitype; + struct ieee80211_ie_htcap_cmn hc_ie; +} adf_os_packed; + +/* HT capability flags */ +#define IEEE80211_HTCAP_C_ADVCODING 0x0001 +#define IEEE80211_HTCAP_C_CHWIDTH40 0x0002 +#define IEEE80211_HTCAP_C_SMPOWERSAVE_STATIC 0x0000 /* Capable of SM Power Save (Static) */ +#define IEEE80211_HTCAP_C_SMPOWERSAVE_DYNAMIC 0x0004 /* Capable of SM Power Save (Dynamic) */ +#define IEEE80211_HTCAP_C_SM_RESERVED 0x0008 /* Reserved */ +#define IEEE80211_HTCAP_C_SM_ENABLED 0x000c /* SM enabled, no SM Power Save */ +#define IEEE80211_HTCAP_C_GREENFIELD 0x0010 +#define IEEE80211_HTCAP_C_SHORTGI20 0x0020 +#define IEEE80211_HTCAP_C_SHORTGI40 0x0040 +#define IEEE80211_HTCAP_C_TXSTBC 0x0080 +#define IEEE80211_HTCAP_C_RXSTBC 0x0100 /* 2 bits */ +#define IEEE80211_HTCAP_C_DELAYEDBLKACK 0x0400 +#define IEEE80211_HTCAP_C_MAXAMSDUSIZE 0x0800 /* 1 = 8K, 0 = 3839B */ +#define IEEE80211_HTCAP_C_DSSSCCK40 0x1000 +#define IEEE80211_HTCAP_C_PSMP 0x2000 +#define IEEE80211_HTCAP_C_INTOLERANT40 0x4000 +#define IEEE80211_HTCAP_C_LSIGTXOPPROT 0x8000 + +#define IEEE80211_HTCAP_C_SM_MASK 0x000c /* Spatial Multiplexing (SM) capabitlity bitmask */ + +/* B0-1 maximum rx A-MPDU factor 2^(13+Max Rx A-MPDU Factor) */ +enum { + IEEE80211_HTCAP_MAXRXAMPDU_8192, /* 2 ^ 13 */ + IEEE80211_HTCAP_MAXRXAMPDU_16384, /* 2 ^ 14 */ + IEEE80211_HTCAP_MAXRXAMPDU_32768, /* 2 ^ 15 */ + IEEE80211_HTCAP_MAXRXAMPDU_65536, /* 2 ^ 16 */ +}; +#define IEEE80211_HTCAP_MAXRXAMPDU_FACTOR 13 + +/* B2-4 MPDU density (usec) */ +enum { + IEEE80211_HTCAP_MPDUDENSITY_NA, /* No time restriction */ + IEEE80211_HTCAP_MPDUDENSITY_0_25, /* 1/4 usec */ + IEEE80211_HTCAP_MPDUDENSITY_0_5, /* 1/2 usec */ + IEEE80211_HTCAP_MPDUDENSITY_1, /* 1 usec */ + IEEE80211_HTCAP_MPDUDENSITY_2, /* 2 usec */ + IEEE80211_HTCAP_MPDUDENSITY_4, /* 4 usec */ + IEEE80211_HTCAP_MPDUDENSITY_8, /* 8 usec */ + IEEE80211_HTCAP_MPDUDENSITY_16, /* 16 usec */ +}; + +/* HT extended capability flags */ +#define IEEE80211_HTCAP_EXTC_PCO 0x0001 +#define IEEE80211_HTCAP_EXTC_TRANS_TIME_RSVD 0x0000 +#define IEEE80211_HTCAP_EXTC_TRANS_TIME_400 0x0002 /* 20-40 switch time */ +#define IEEE80211_HTCAP_EXTC_TRANS_TIME_1500 0x0004 /* in us */ +#define IEEE80211_HTCAP_EXTC_TRANS_TIME_5000 0x0006 +#define IEEE80211_HTCAP_EXTC_RSVD_1 0x00f8 +#define IEEE80211_HTCAP_EXTC_MCS_FEEDBACK_NONE 0x0000 +#define IEEE80211_HTCAP_EXTC_MCS_FEEDBACK_RSVD 0x0100 +#define IEEE80211_HTCAP_EXTC_MCS_FEEDBACK_UNSOL 0x0200 +#define IEEE80211_HTCAP_EXTC_MCS_FEEDBACK_FULL 0x0300 +#define IEEE80211_HTCAP_EXTC_RSVD_2 0xfc00 + +struct ieee80211_ie_htinfo_cmn { + a_uint8_t hi_ctrlchannel; /* control channel */ +#if _BYTE_ORDER == _BIG_ENDIAN + a_uint8_t hi_serviceinterval : 3, /* B5-7 svc interval granularity */ + hi_ctrlaccess : 1, /* B4 controlled access only */ + hi_rifsmode : 1, /* B3 rifs mode */ + hi_txchwidth : 1, /* B2 recommended xmiss width set */ + hi_extchoff : 2; /* B0-1 extension channel offset */ +#else + a_uint8_t hi_extchoff : 2, /* B0-1 extension channel offset */ + hi_txchwidth : 1, /* B2 recommended xmiss width set */ + hi_rifsmode : 1, /* B3 rifs mode */ + hi_ctrlaccess : 1, /* B4 controlled access only */ + hi_serviceinterval : 3; /* B5-7 svc interval granularity */ +#endif +#if _BYTE_ORDER == _BIG_ENDIAN + a_uint8_t hi_reserved0 : 3, /* B5-7 Reserved */ + hi_obssnonhtpresent: 1, /* B4 OBSS Non-HT STAs Present */ + hi_txburstlimit : 1, /* B3 Transmit Burst Limit */ + hi_nongfpresent : 1, /* B2 Non-greenfield STAs present */ + hi_opmode : 2; /* B0-1 Operating Mode */ +#else + a_uint8_t hi_opmode : 2, /* B0-1 Operating Mode */ + hi_nongfpresent : 1, /* B2 Non-greenfield STAs present */ + hi_txburstlimit : 1, /* B3 Transmit Burst Limit */ + hi_obssnonhtpresent: 1, /* B4 OBSS Non-HT STAs Present */ + hi_reserved0 : 3; /* B5-7 Reserved */ +#endif + a_uint8_t hi_reserved1; + a_uint16_t hi_miscflags; + + a_uint8_t hi_basicmcsset[16]; /* basic MCS set */ +} adf_os_packed; + +/* + * 802.11n HT Information IE + */ +struct ieee80211_ie_htinfo { + a_uint8_t hi_id; /* element ID */ + a_uint8_t hi_len; /* length in bytes */ + struct ieee80211_ie_htinfo_cmn hi_ie; +} adf_os_packed; + +/* + * Temporary vendor private HT Information IE + */ +struct vendor_ie_htinfo { + a_uint8_t hi_id; /* element ID */ + a_uint8_t hi_len; /* length in bytes */ + a_uint8_t hi_oui[3]; + a_uint8_t hi_ouitype; + struct ieee80211_ie_htinfo_cmn hi_ie; +} adf_os_packed; + +/* extension channel offset (2 bit signed number) */ +enum { + IEEE80211_HTINFO_EXTOFFSET_NA = 0, /* 0 no extension channel is present */ + IEEE80211_HTINFO_EXTOFFSET_ABOVE = 1, /* +1 extension channel above control channel */ + IEEE80211_HTINFO_EXTOFFSET_UNDEF = 2, /* -2 undefined */ + IEEE80211_HTINFO_EXTOFFSET_BELOW = 3 /* -1 extension channel below control channel*/ +}; + +/* recommended transmission width set */ +enum { + IEEE80211_HTINFO_TXWIDTH_20, + IEEE80211_HTINFO_TXWIDTH_2040 +}; + +/* operating flags */ +#define IEEE80211_HTINFO_OPMODE_PURE 0x00 /* no protection */ +#define IEEE80211_HTINFO_OPMODE_MIXED_PROT_OPT 0x01 /* prot optional (legacy device maybe present) */ +#define IEEE80211_HTINFO_OPMODE_MIXED_PROT_40 0x02 /* prot required (20 MHz) */ +#define IEEE80211_HTINFO_OPMODE_MIXED_PROT_ALL 0x03 /* prot required (legacy devices present) */ +#define IEEE80211_HTINFO_OPMODE_MASK 0x03 /* For protection 0x00-0x03 */ + +/* Non-greenfield STAs present */ +enum { + IEEE80211_HTINFO_NON_GF_NOT_PRESENT, /* Non-greenfield STAs not present */ + IEEE80211_HTINFO_NON_GF_PRESENT, /* Non-greenfield STAs present */ +}; + +/* Transmit Burst Limit */ +enum { + IEEE80211_HTINFO_TXBURST_UNLIMITED, /* Transmit Burst is unlimited */ + IEEE80211_HTINFO_TXBURST_LIMITED, /* Transmit Burst is limited */ +}; + +/* OBSS Non-HT STAs present */ +enum { + IEEE80211_HTINFO_OBBSS_NONHT_NOT_PRESENT, /* OBSS Non-HT STAs not present */ + IEEE80211_HTINFO_OBBSS_NONHT_PRESENT, /* OBSS Non-HT STAs present */ +}; + +/* misc flags */ +#define IEEE80211_HTINFO_BASICSTBCMCS 0x007F /* B0-6 basic STBC MCS */ +#define IEEE80211_HTINFO_DUALSTBCPROT 0x0080 /* B7 dual stbc protection */ +#define IEEE80211_HTINFO_SECONDARYBEACON 0x0100 /* B8 secondary beacon */ +#define IEEE80211_HTINFO_LSIGTXOPPROT 0x0200 /* B9 lsig txop prot full support */ +#define IEEE80211_HTINFO_PCOACTIVE 0x0400 /* B10 pco active */ +#define IEEE80211_HTINFO_PCOPHASE 0x0800 /* B11 pco phase */ + +/* RIFS mode */ +enum { + IEEE80211_HTINFO_RIFSMODE_PROHIBITED, /* use of rifs prohibited */ + IEEE80211_HTINFO_RIFSMODE_ALLOWED, /* use of rifs permitted */ +}; + +/* + * Management information element payloads. + */ + +enum { + IEEE80211_ELEMID_SSID = 0, + IEEE80211_ELEMID_RATES = 1, + IEEE80211_ELEMID_FHPARMS = 2, + IEEE80211_ELEMID_DSPARMS = 3, + IEEE80211_ELEMID_CFPARMS = 4, + IEEE80211_ELEMID_TIM = 5, + IEEE80211_ELEMID_IBSSPARMS = 6, + IEEE80211_ELEMID_COUNTRY = 7, + IEEE80211_ELEMID_REQINFO = 10, + IEEE80211_ELEMID_CHALLENGE = 16, + /* 17-31 reserved for challenge text extension */ + IEEE80211_ELEMID_PWRCNSTR = 32, + IEEE80211_ELEMID_PWRCAP = 33, + IEEE80211_ELEMID_TPCREQ = 34, + IEEE80211_ELEMID_TPCREP = 35, + IEEE80211_ELEMID_SUPPCHAN = 36, + IEEE80211_ELEMID_CHANSWITCHANN = 37, + IEEE80211_ELEMID_MEASREQ = 38, + IEEE80211_ELEMID_MEASREP = 39, + IEEE80211_ELEMID_QUIET = 40, + IEEE80211_ELEMID_IBSSDFS = 41, + IEEE80211_ELEMID_ERP = 42, + IEEE80211_ELEMID_HTCAP_ANA = 45, + IEEE80211_ELEMID_RSN = 48, + IEEE80211_ELEMID_XRATES = 50, + IEEE80211_ELEMID_HTCAP = 51, + IEEE80211_ELEMID_HTINFO = 52, + IEEE80211_ELEMID_EXTCHANSWITCHANN = 60, /* Fix this later as per ANA definition */ + IEEE80211_ELEMID_HTINFO_ANA = 61, + IEEE80211_ELEMID_TPC = 150, + IEEE80211_ELEMID_CCKM = 156, + IEEE80211_ELEMID_VENDOR = 221, /* vendor private */ +}; + +#define IEEE80211_CHANSWITCHANN_BYTES 5 +#define IEEE80211_EXTCHANSWITCHANN_BYTES 6 + +struct ieee80211_tim_ie { + a_uint8_t tim_ie; /* IEEE80211_ELEMID_TIM */ + a_uint8_t tim_len; + a_uint8_t tim_count; /* DTIM count */ + a_uint8_t tim_period; /* DTIM period */ + a_uint8_t tim_bitctl; /* bitmap control */ + a_uint8_t tim_bitmap[1]; /* variable-length bitmap */ +} adf_os_packed; + +struct ieee80211_country_ie { + a_uint8_t ie; /* IEEE80211_ELEMID_COUNTRY */ + a_uint8_t len; + a_uint8_t cc[3]; /* ISO CC+(I)ndoor/(O)utdoor */ + struct { + a_uint8_t schan; /* starting channel */ + a_uint8_t nchan; /* number channels */ + a_uint8_t maxtxpwr; /* tx power cap */ + } band[4] adf_os_packed; /* up to 4 sub bands */ +} adf_os_packed; + +#define IEEE80211_CHALLENGE_LEN 128 + +#define IEEE80211_SUPPCHAN_LEN 26 + +#define IEEE80211_RATE_BASIC 0x80 +#define IEEE80211_RATE_VAL 0x7f + +/* EPR information element flags */ +#define IEEE80211_ERP_NON_ERP_PRESENT 0x01 +#define IEEE80211_ERP_USE_PROTECTION 0x02 +#define IEEE80211_ERP_LONG_PREAMBLE 0x04 + +/* Atheros private advanced capabilities info */ +#define ATHEROS_CAP_TURBO_PRIME 0x01 +#define ATHEROS_CAP_COMPRESSION 0x02 +#define ATHEROS_CAP_FAST_FRAME 0x04 +/* bits 3-6 reserved */ +#define ATHEROS_CAP_BOOST 0x80 + +#define ATH_OUI 0x7f0300 /* Atheros OUI */ +#define ATH_OUI_TYPE 0x01 +#define ATH_OUI_SUBTYPE 0x01 +#define ATH_OUI_VERSION 0x00 +#define ATH_OUI_TYPE_XR 0x03 +#define ATH_OUI_VER_XR 0x01 +#define ATH_OUI_EXTCAP_TYPE 0x04 /* Atheros Extended Cap Type */ +#define ATH_OUI_EXTCAP_SUBTYPE 0x01 /* Atheros Extended Cap Sub-type */ +#define ATH_OUI_EXTCAP_VERSION 0x00 /* Atheros Extended Cap Version */ + +#define WPA_OUI 0xf25000 +#define WPA_OUI_TYPE 0x01 +#define WPA_VERSION 1 /* current supported version */ + +#define WSC_OUI 0x0050f204 + +#define WPA_CSE_NULL 0x00 +#define WPA_CSE_WEP40 0x01 +#define WPA_CSE_TKIP 0x02 +#define WPA_CSE_CCMP 0x04 +#define WPA_CSE_WEP104 0x05 + +#define WPA_ASE_NONE 0x00 +#define WPA_ASE_8021X_UNSPEC 0x01 +#define WPA_ASE_8021X_PSK 0x02 + +#define RSN_OUI 0xac0f00 +#define RSN_VERSION 1 /* current supported version */ + +#define RSN_CSE_NULL 0x00 +#define RSN_CSE_WEP40 0x01 +#define RSN_CSE_TKIP 0x02 +#define RSN_CSE_WRAP 0x03 +#define RSN_CSE_CCMP 0x04 +#define RSN_CSE_WEP104 0x05 + +#define RSN_ASE_NONE 0x00 +#define RSN_ASE_8021X_UNSPEC 0x01 +#define RSN_ASE_8021X_PSK 0x02 + +#define RSN_CAP_PREAUTH 0x01 + +#define WME_OUI 0xf25000 +#define WME_OUI_TYPE 0x02 +#define WME_INFO_OUI_SUBTYPE 0x00 +#define WME_PARAM_OUI_SUBTYPE 0x01 +#define WME_VERSION 1 + +/* WME stream classes */ +#define WME_AC_BE 0 /* best effort */ +#define WME_AC_BK 1 /* background */ +#define WME_AC_VI 2 /* video */ +#define WME_AC_VO 3 /* voice */ + +/* + * AUTH management packets + * + * octet algo[2] + * octet seq[2] + * octet status[2] + * octet chal.id + * octet chal.length + * octet chal.text[253] + */ + +typedef a_uint8_t *ieee80211_mgt_auth_t; + +#define IEEE80211_AUTH_ALGORITHM(auth) \ + ((auth)[0] | ((auth)[1] << 8)) +#define IEEE80211_AUTH_TRANSACTION(auth) \ + ((auth)[2] | ((auth)[3] << 8)) +#define IEEE80211_AUTH_STATUS(auth) \ + ((auth)[4] | ((auth)[5] << 8)) + +#define IEEE80211_AUTH_ALG_OPEN 0x0000 +#define IEEE80211_AUTH_ALG_SHARED 0x0001 +#define IEEE80211_AUTH_ALG_LEAP 0x0080 + +enum { + IEEE80211_AUTH_OPEN_REQUEST = 1, + IEEE80211_AUTH_OPEN_RESPONSE = 2, +}; + +enum { + IEEE80211_AUTH_SHARED_REQUEST = 1, + IEEE80211_AUTH_SHARED_CHALLENGE = 2, + IEEE80211_AUTH_SHARED_RESPONSE = 3, + IEEE80211_AUTH_SHARED_PASS = 4, +}; + +/* + * Reason codes + * + * Unlisted codes are reserved + */ + +enum { + IEEE80211_REASON_UNSPECIFIED = 1, + IEEE80211_REASON_AUTH_EXPIRE = 2, + IEEE80211_REASON_AUTH_LEAVE = 3, + IEEE80211_REASON_ASSOC_EXPIRE = 4, + IEEE80211_REASON_ASSOC_TOOMANY = 5, + IEEE80211_REASON_NOT_AUTHED = 6, + IEEE80211_REASON_NOT_ASSOCED = 7, + IEEE80211_REASON_ASSOC_LEAVE = 8, + IEEE80211_REASON_ASSOC_NOT_AUTHED = 9, + + IEEE80211_REASON_RSN_REQUIRED = 11, + IEEE80211_REASON_RSN_INCONSISTENT = 12, + IEEE80211_REASON_IE_INVALID = 13, + IEEE80211_REASON_MIC_FAILURE = 14, + + IEEE80211_STATUS_SUCCESS = 0, + IEEE80211_STATUS_UNSPECIFIED = 1, + IEEE80211_STATUS_CAPINFO = 10, + IEEE80211_STATUS_NOT_ASSOCED = 11, + IEEE80211_STATUS_OTHER = 12, + IEEE80211_STATUS_ALG = 13, + IEEE80211_STATUS_SEQUENCE = 14, + IEEE80211_STATUS_CHALLENGE = 15, + IEEE80211_STATUS_TIMEOUT = 16, + IEEE80211_STATUS_TOOMANY = 17, + IEEE80211_STATUS_BASIC_RATE = 18, + IEEE80211_STATUS_SP_REQUIRED = 19, + IEEE80211_STATUS_PBCC_REQUIRED = 20, + IEEE80211_STATUS_CA_REQUIRED = 21, + IEEE80211_STATUS_TOO_MANY_STATIONS = 22, + IEEE80211_STATUS_RATES = 23, + IEEE80211_STATUS_SHORTSLOT_REQUIRED = 25, + IEEE80211_STATUS_DSSSOFDM_REQUIRED = 26, + IEEE80211_STATUS_REFUSED = 37, + IEEE80211_STATUS_INVALID_PARAM = 38, +}; + +#define IEEE80211_WEP_KEYLEN 5 /* 40bit */ +#define IEEE80211_WEP_IVLEN 3 /* 24bit */ +#define IEEE80211_WEP_KIDLEN 1 /* 1 octet */ +#define IEEE80211_WEP_CRCLEN 4 /* CRC-32 */ +#define IEEE80211_WEP_NKID 4 /* number of key ids */ + +/* + * 802.11i defines an extended IV for use with non-WEP ciphers. + * When the EXTIV bit is set in the key id byte an additional + * 4 bytes immediately follow the IV for TKIP. For CCMP the + * EXTIV bit is likewise set but the 8 bytes represent the + * CCMP header rather than IV+extended-IV. + */ +#define IEEE80211_WEP_EXTIV 0x20 +#define IEEE80211_WEP_EXTIVLEN 4 /* extended IV length */ +#define IEEE80211_WEP_MICLEN 8 /* trailing MIC */ +#define IEEE80211_WEP_ICVLEN 4 +#define IEEE80211_WAPI_MICLEN 16 /* trailing MIC */ +#define IEEE80211_WAPI_IVLEN 16 + +#define IEEE80211_CRC_LEN 4 + +/* + * Maximum acceptable MTU is: + * IEEE80211_MAX_LEN - WEP overhead - CRC - + * QoS overhead - RSN/WPA overhead + * Min is arbitrarily chosen > IEEE80211_MIN_LEN. The default + * mtu is Ethernet-compatible; it's set by ether_ifattach. + */ +#define IEEE80211_MTU_MAX 2290 +#define IEEE80211_MTU_MIN 32 + +#define IEEE80211_MAX_LEN (2300 + IEEE80211_CRC_LEN + \ + (IEEE80211_WEP_IVLEN + IEEE80211_WEP_KIDLEN + IEEE80211_WEP_CRCLEN)) +#define IEEE80211_ACK_LEN \ + (sizeof(struct ieee80211_frame_ack) + IEEE80211_CRC_LEN) +#define IEEE80211_MIN_LEN \ + (sizeof(struct ieee80211_frame_min) + IEEE80211_CRC_LEN) + +/* An 802.11 data frame can be one of three types: +1. An unaggregated frame: The maximum length of an unaggregated data frame is 2324 bytes + headers. +2. A data frame that is part of an AMPDU: The maximum length of an AMPDU may be upto 65535 bytes, but data frame is limited to 2324 bytes + header. +3. An AMSDU: The maximum length of an AMSDU is eihther 3839 or 7095 bytes. +The maximum frame length supported by hardware is 4095 bytes. +A length of 3839 bytes is chosen here to support unaggregated data frames, any size AMPDUs and 3839 byte AMSDUs. +*/ +#define IEEE80211N_MAX_FRAMELEN 3839 +#define IEEE80211N_MAX_LEN (IEEE80211N_MAX_FRAMELEN + IEEE80211_CRC_LEN + \ + (IEEE80211_WEP_IVLEN + IEEE80211_WEP_KIDLEN + IEEE80211_WEP_CRCLEN)) + +#define IEEE80211_TX_CHAINMASK_MIN 1 +#define IEEE80211_TX_CHAINMASK_MAX 7 + +#define IEEE80211_RX_CHAINMASK_MIN 1 +#define IEEE80211_RX_CHAINMASK_MAX 7 + +/* + * The 802.11 spec says at most 2007 stations may be + * associated at once. For most AP's this is way more + * than is feasible so we use a default of 128. This + * number may be overridden by the driver and/or by + * user configuration. + */ +#define IEEE80211_AID_MAX 2007 +#define IEEE80211_AID_DEF 128 + +#define IEEE80211_AID(b) ((b) &~ 0xc000) + +/* + * RTS frame length parameters. The default is specified in + * the 802.11 spec. The max may be wrong for jumbo frames. + */ +#define IEEE80211_RTS_DEFAULT 512 +#define IEEE80211_RTS_MIN 1 +#define IEEE80211_RTS_MAX 2346 + +/* + * Regulatory extention identifier for country IE. + */ +#define IEEE80211_REG_EXT_ID 201 + +#endif /* _NET80211_IEEE80211_H_ */ diff --git a/target_firmware/wlan/ieee80211_linux.h b/target_firmware/wlan/ieee80211_linux.h new file mode 100755 index 0000000..840360b --- /dev/null +++ b/target_firmware/wlan/ieee80211_linux.h @@ -0,0 +1,9 @@ +#define _LITTLE_ENDIAN 1234 /* LSB first: i386, vax */ +#define _BIG_ENDIAN 4321 /* MSB first: 68000, ibm, net */ +#if defined(ADF_LITTLE_ENDIAN_MACHINE) +#define _BYTE_ORDER _LITTLE_ENDIAN +#elif defined(ADF_BIG_ENDIAN_MACHINE) +#define _BYTE_ORDER _BIG_ENDIAN +#else +#error "Please fix asm/byteorder.h" +#endif diff --git a/target_firmware/wlan/ieee80211_node.h b/target_firmware/wlan/ieee80211_node.h new file mode 100755 index 0000000..cba72ba --- /dev/null +++ b/target_firmware/wlan/ieee80211_node.h @@ -0,0 +1,27 @@ +#ifndef _NET80211_IEEE80211_NODE_H_ +#define _NET80211_IEEE80211_NODE_H_ + +#include "_ieee80211.h" +#include "ieee80211.h" +#include /* for proto macros on node */ +#include + +#define IEEE80211_NODE_HASHSIZE 32 + +/* Node Table information for the Target */ + +struct ieee80211_node_table { + asf_tailq_head(, ieee80211_node) nt_node; /* information of all nodes */ + asf_list_head(, ieee80211_node) nt_hash[IEEE80211_NODE_HASHSIZE]; + asf_list_head(, ieee80211_wds_addr) nt_wds_hash[IEEE80211_NODE_HASHSIZE]; +}; + +#define IEEE80211_KEYBUF_SIZE 16 +#define IEEE80211_TID_SIZE 17 +#define IEEE80211_MICBUF_SIZE (8+8) /* space for both tx+rx keys */ + +struct ieee80211_key_target { + a_int32_t dummy ; +}; + +#endif diff --git a/target_firmware/wlan/ieee80211_output.c b/target_firmware/wlan/ieee80211_output.c new file mode 100755 index 0000000..43bfeda --- /dev/null +++ b/target_firmware/wlan/ieee80211_output.c @@ -0,0 +1,103 @@ +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include +#include "ieee80211_var.h" + +#include "_ieee80211.h" +#include "ieee80211.h" +#include + +a_status_t +ieee80211_tgt_crypto_encap(struct ieee80211_frame *wh, + struct ieee80211_node_target *ni, + a_uint8_t keytype) +{ +#define CRYPTO_KEY_TYPE_AES 2 +#define CRYPTO_KEY_TYPE_TKIP 3 +#define CRYPTO_KEY_TYPE_WAPI 4 +#define IEEE80211_WLAN_HDR_LEN 24 + + a_uint8_t *iv = NULL; + a_uint16_t tmp; + a_uint16_t offset = IEEE80211_WLAN_HDR_LEN; + a_uint8_t b1, b2; + + if (IEEE80211_QOS_HAS_SEQ(wh)) + offset += 4; // pad for 4 byte alignment + + iv = (a_uint8_t *) wh; + iv = iv + offset; + + switch (keytype) { + case CRYPTO_KEY_TYPE_AES: + ni->ni_iv16++; + if (ni->ni_iv16 == 0) + { + ni->ni_iv32++; + } + + *iv++ = (a_uint8_t) ni->ni_iv16; + *iv++ = (a_uint8_t) (ni->ni_iv16 >> 8); + *iv++ = 0x00; + *iv++ |= 0x20; + + tmp = (a_uint16_t) ni->ni_iv32; + *iv++ = (a_uint8_t) tmp; + *iv++ = (a_uint8_t) (tmp >> 8); + + tmp = (a_uint16_t) (ni->ni_iv32 >> 16); + *iv++ = (a_uint8_t) tmp; + *iv = (a_uint8_t) (tmp >> 8); + break; + case CRYPTO_KEY_TYPE_TKIP: + ni->ni_iv16++; + if (ni->ni_iv16 == 0) + { + ni->ni_iv32++; + } + + b1 = (a_uint8_t) (ni->ni_iv16 >> 8); + b2 = (b1 | 0x20) & 0x7f; + + *iv++ = b1; + *iv++ = b2; + + *iv++ = (a_uint8_t) ni->ni_iv16; + *iv++ |= 0x20; + + tmp = (a_uint16_t) ni->ni_iv32; + *iv++ = (a_uint8_t) tmp; + *iv++ = (a_uint8_t) (tmp >> 8); + + tmp = (a_uint16_t) (ni->ni_iv32 >> 16); + *iv++ = (a_uint8_t) tmp; + *iv = (a_uint8_t) (tmp >> 8); + break; + default: + break; + } + + return 1; + +#undef CRYPTO_KEY_TYPE_TKIP +#undef CRYPTO_KEY_TYPE_AES +#undef CRYPTO_KEY_TYPE_WAPI +#undef IEEE80211_WLAN_HDR_LEN +} + +adf_os_export_symbol(ieee80211_tgt_crypto_encap); + +#undef IEEE80211_ADDR_LEN diff --git a/target_firmware/wlan/ieee80211_proto.h b/target_firmware/wlan/ieee80211_proto.h new file mode 100755 index 0000000..59ba664 --- /dev/null +++ b/target_firmware/wlan/ieee80211_proto.h @@ -0,0 +1,22 @@ + +#ifndef _NET80211_IEEE80211_PROTO_H_ +#define _NET80211_IEEE80211_PROTO_H_ + + +#define IEEE80211_TXOP_TO_US(_txop) (a_uint32_t)(_txop) << 5 + + +/* + * 802.11 protocol implementation definitions. + */ + +enum ieee80211_state { + IEEE80211_S_INIT = 0, /* default state */ + IEEE80211_S_SCAN = 1, /* scanning */ + IEEE80211_S_JOIN = 2, /* join */ + IEEE80211_S_AUTH = 3, /* try to authenticate */ + IEEE80211_S_ASSOC = 4, /* try to assoc */ + IEEE80211_S_RUN = 5, /* associated */ +}; + +#endif diff --git a/target_firmware/wlan/ieee80211_var.h b/target_firmware/wlan/ieee80211_var.h new file mode 100755 index 0000000..48f040d --- /dev/null +++ b/target_firmware/wlan/ieee80211_var.h @@ -0,0 +1,209 @@ +#ifndef _NET80211_IEEE80211_VAR_H_ +#define _NET80211_IEEE80211_VAR_H_ + +#include"ieee80211_linux.h" +#include +#include"_ieee80211.h" +#include"ieee80211.h" +#include"ieee80211_node.h" +#include + +#define ieee80211_tgt_free_nbuf( _nbuf) adf_nbuf_free( _nbuf) +/* + * Built-in implementation for local skb free. Only interesting for platforms + * that pass skbs between OS instances. + */ +#define ieee80211_tgt_free_local_nbuf( _nbuf) ieee80211_tgt_free_nbuf( _nbuf) + + +#define IEEE80211_ADDR_EQ(a1,a2) (adf_os_mem_cmp(a1,a2,IEEE80211_ADDR_LEN) == 0) +#define IEEE80211_ADDR_COPY(dst,src) adf_os_mem_copy(dst, src, IEEE80211_ADDR_LEN) + +/* ic_flags */ +#define IEEE80211_F_FF 0x00000001 /* CONF: ATH FF enabled */ +#define IEEE80211_F_TURBOP 0x00000002 /* CONF: ATH Turbo enabled*/ +#define IEEE80211_F_PROMISC 0x00000004 /* STATUS: promiscuous mode */ +#define IEEE80211_F_ALLMULTI 0x00000008 /* STATUS: all multicast mode */ +/* NB: this is intentionally setup to be IEEE80211_CAPINFO_PRIVACY */ +#define IEEE80211_F_PRIVACY 0x00000010 /* CONF: privacy enabled */ +#define IEEE80211_F_PUREG 0x00000020 /* CONF: 11g w/o 11b sta's */ +#define IEEE80211_F_XRUPDATE 0x00000040 /* CONF: update beacon XR element*/ +#define IEEE80211_F_SCAN 0x00000080 /* STATUS: scanning */ +#define IEEE80211_F_XR 0x00000100 /* CONF: operate in XR mode */ +#define IEEE80211_F_SIBSS 0x00000200 /* STATUS: start IBSS */ +/* NB: this is intentionally setup to be IEEE80211_CAPINFO_SHORT_SLOTTIME */ +#define IEEE80211_F_SHSLOT 0x00000400 /* STATUS: use short slot time*/ +#define IEEE80211_F_PMGTON 0x00000800 /* CONF: Power mgmt enable */ +#define IEEE80211_F_DESBSSID 0x00001000 /* CONF: des_bssid is set */ +#define IEEE80211_F_WME 0x00002000 /* CONF: enable WME use */ +#define IEEE80211_F_BGSCAN 0x00004000 /* CONF: bg scan enabled */ +#define IEEE80211_F_SWRETRY 0x00008000 /* CONF: sw tx retry enabled */ +#define IEEE80211_F_TXPOW_FIXED 0x00010000 /* TX Power: fixed rate */ +#define IEEE80211_F_IBSSON 0x00020000 /* CONF: IBSS creation enable */ +#define IEEE80211_F_SHPREAMBLE 0x00040000 /* STATUS: use short preamble */ +#define IEEE80211_F_DATAPAD 0x00080000 /* CONF: do alignment pad */ +#define IEEE80211_F_USEPROT 0x00100000 /* STATUS: protection enabled */ +#define IEEE80211_F_USEBARKER 0x00200000 /* STATUS: use barker preamble*/ +#define IEEE80211_F_TIMUPDATE 0x00400000 /* STATUS: update beacon tim */ +#define IEEE80211_F_WPA1 0x00800000 /* CONF: WPA enabled */ +#define IEEE80211_F_WPA2 0x01000000 /* CONF: WPA2 enabled */ +#define IEEE80211_F_WPA 0x01800000 /* CONF: WPA/WPA2 enabled */ +#define IEEE80211_F_DROPUNENC 0x02000000 /* CONF: drop unencrypted */ +#define IEEE80211_F_COUNTERM 0x04000000 /* CONF: TKIP countermeasures */ +#define IEEE80211_F_HIDESSID 0x08000000 /* CONF: hide SSID in beacon */ +#define IEEE80211_F_NOBRIDGE 0x10000000 /* CONF: disable internal bridge */ +#define IEEE80211_F_WMEUPDATE 0x20000000 /* STATUS: update beacon wme */ +#define IEEE80211_F_DOTH 0x40000000 /* enable 11.h */ +#define IEEE80211_F_CHANSWITCH 0x80000000 /* force chanswitch */ + +/* ic_flags_ext */ +#define IEEE80211_FEXT_WDS 0x00000001 /* CONF: 4 addr allowed */ +#define IEEE80211_FEXT_COUNTRYIE 0x00000002 /* CONF: enable country IE */ +#define IEEE80211_FEXT_SCAN_PENDING 0x00000004 /* STATE: scan pending */ +#define IEEE80211_FEXT_BGSCAN 0x00000008 /* STATE: enable full bgscan completion */ +#define IEEE80211_FEXT_UAPSD 0x00000010 /* CONF: enable U-APSD */ +#define IEEE80211_FEXT_SLEEP 0x00000020 /* STATUS: sleeping */ +#define IEEE80211_FEXT_EOSPDROP 0x00000040 /* drop uapsd EOSP frames for test */ +#define IEEE80211_FEXT_MARKDFS 0x00000080 /* Enable marking of dfs interfernce */ +#define IEEE80211_FEXT_REGCLASS 0x00000100 /* CONF: send regclassids in country ie */ +#define IEEE80211_FEXT_MARKDFS 0x00000080 /* Enable marking of dfs interfernce */ +#define IEEE80211_FEXT_ERPUPDATE 0x00000200 /* STATUS: update ERP element */ +#define IEEE80211_FEXT_SWBMISS 0x00000400 /* CONF: use software beacon timer */ +#define IEEE80211_FEXT_BLKDFSCHAN 0x00000800 /* CONF: block the use of DFS channels */ +#define IEEE80211_FEXT_APPIE_UPDATE 0x00001000 /* STATE: beacon APP IE updated */ +#define IEEE80211_FAST_CC 0x00002000 /* CONF: Fast channel change */ +#define IEEE80211_C_AMPDU 0x00004000 /* CONF: A-MPDU supported */ +#define IEEE80211_C_AMSDU 0x00008000 /* CONF: A-MSDU supported */ +#define IEEE80211_C_HTPROT 0x00010000 /* CONF: HT traffic protected */ +#define IEEE80211_C_RESET 0x00020000 /* CONF: Reset once */ +#define IEEE80211_F_NONHT_AP 0x00040000 /* STATUS: HT traffic protected */ +#define IEEE80211_FEXT_HTUPDATE 0x00080000 /* STATUS: update HT element */ +#define IEEE80211_C_WDS_AUTODETECT 0x00100000 /* CONF: WDS auto Detect/DELBA */ +#define IEEE80211_C_RB 0x00200000 /* CONF: RB control */ +#define IEEE80211_C_RB_DETECT 0x00400000 /* CONF: RB auto detection */ +#define IEEE80211_C_NO_HTIE 0x00800000 /* CONF: No HT IE sending/parsing */ + +/* ic_caps */ +#define IEEE80211_C_WEP 0x00000001 /* CAPABILITY: WEP available */ +#define IEEE80211_C_TKIP 0x00000002 /* CAPABILITY: TKIP available */ +#define IEEE80211_C_AES 0x00000004 /* CAPABILITY: AES OCB avail */ +#define IEEE80211_C_AES_CCM 0x00000008 /* CAPABILITY: AES CCM avail */ +#define IEEE80211_C_CKIP 0x00000020 /* CAPABILITY: CKIP available */ +#define IEEE80211_C_FF 0x00000040 /* CAPABILITY: ATH FF avail */ +#define IEEE80211_C_TURBOP 0x00000080 /* CAPABILITY: ATH Turbo avail*/ +#define IEEE80211_C_IBSS 0x00000100 /* CAPABILITY: IBSS available */ +#define IEEE80211_C_PMGT 0x00000200 /* CAPABILITY: Power mgmt */ +#define IEEE80211_C_HOSTAP 0x00000400 /* CAPABILITY: HOSTAP avail */ +#define IEEE80211_C_AHDEMO 0x00000800 /* CAPABILITY: Old Adhoc Demo */ +#define IEEE80211_C_SWRETRY 0x00001000 /* CAPABILITY: sw tx retry */ +#define IEEE80211_C_TXPMGT 0x00002000 /* CAPABILITY: tx power mgmt */ +#define IEEE80211_C_SHSLOT 0x00004000 /* CAPABILITY: short slottime */ +#define IEEE80211_C_SHPREAMBLE 0x00008000 /* CAPABILITY: short preamble */ +#define IEEE80211_C_MONITOR 0x00010000 /* CAPABILITY: monitor mode */ +#define IEEE80211_C_TKIPMIC 0x00020000 /* CAPABILITY: TKIP MIC avail */ +#define IEEE80211_C_WPA1 0x00800000 /* CAPABILITY: WPA1 avail */ +#define IEEE80211_C_WPA2 0x01000000 /* CAPABILITY: WPA2 avail */ +#define IEEE80211_C_WPA 0x01800000 /* CAPABILITY: WPA1+WPA2 avail*/ +#define IEEE80211_C_BURST 0x02000000 /* CAPABILITY: frame bursting */ +#define IEEE80211_C_WME 0x04000000 /* CAPABILITY: WME avail */ +#define IEEE80211_C_WDS 0x08000000 /* CAPABILITY: 4-addr support */ +#define IEEE80211_C_WME_TKIPMIC 0x10000000 /* CAPABILITY: TKIP MIC for QoS frame */ +#define IEEE80211_C_BGSCAN 0x20000000 /* CAPABILITY: bg scanning */ +#define IEEE80211_C_UAPSD 0x40000000 /* CAPABILITY: UAPSD */ +#define IEEE80211_C_FASTCC 0x80000000 /* CAPABILITY: fast channel change */ + +/* XXX protection/barker? */ + +#define IEEE80211_C_CRYPTO 0x0000002f /* CAPABILITY: crypto alg's */ + +/* Atheros ABOLT definitions */ +#define IEEE80211_ABOLT_TURBO_G 0x01 /* Legacy Turbo G */ +#define IEEE80211_ABOLT_TURBO_PRIME 0x02 /* Turbo Prime */ +#define IEEE80211_ABOLT_COMPRESSION 0x04 /* Compression */ +#define IEEE80211_ABOLT_FAST_FRAME 0x08 /* Fast Frames */ +#define IEEE80211_ABOLT_BURST 0x10 /* Bursting */ +#define IEEE80211_ABOLT_WME_ELE 0x20 /* WME based cwmin/max/burst tuning */ +#define IEEE80211_ABOLT_XR 0x40 /* XR */ +#define IEEE80211_ABOLT_AR 0x80 /* AR switches out based on adjaced non-turbo traffic */ + +/* Atheros Advanced Capabilities ABOLT definition */ +#define IEEE80211_ABOLT_ADVCAP (IEEE80211_ABOLT_TURBO_PRIME | \ + IEEE80211_ABOLT_COMPRESSION | \ + IEEE80211_ABOLT_FAST_FRAME | \ + IEEE80211_ABOLT_XR | \ + IEEE80211_ABOLT_AR | \ + IEEE80211_ABOLT_BURST | \ + IEEE80211_ABOLT_WME_ELE) + +/* check if a capability was negotiated for use */ +#define IEEE80211_ATH_CAP(vap, ni, bit) \ + ((ni)->ni_ath_flags & (vap)->iv_ath_cap & (bit)) + +/* flags to VAP create function */ +#define IEEE80211_VAP_XR 0x10000 /* create a XR VAP without registering net device with OS*/ + +/* HT flags */ +#define IEEE80211_HTF_SHORTGI 0x0001 + + +/*************** Utility Routines ***/ +/* + * Return the size of the 802.11 header for a management or data frame. + */ +static __inline a_int32_t +ieee80211_hdrsize(const void *data) +{ + const struct ieee80211_frame *wh = data; + a_int32_t size = sizeof(struct ieee80211_frame); + + /* NB: we don't handle control frames */ + adf_os_assert((wh->i_fc[0]&IEEE80211_FC0_TYPE_MASK) != IEEE80211_FC0_TYPE_CTL); + if ((wh->i_fc[1] & IEEE80211_FC1_DIR_MASK) == IEEE80211_FC1_DIR_DSTODS) + size += IEEE80211_ADDR_LEN; + if (IEEE80211_QOS_HAS_SEQ(wh)) + size += sizeof(a_uint16_t); + return size; +} + +/* + * Return the size of the 802.11 header for a management or data frame. + */ +static __inline a_int32_t +ieee80211_hdrsize_padding(const void *data) +{ + const struct ieee80211_frame *wh = data; + a_int32_t size = sizeof(struct ieee80211_frame); + a_int32_t is4addr = (wh->i_fc[1] & IEEE80211_FC1_DIR_MASK) == IEEE80211_FC1_DIR_DSTODS; + a_int32_t is_qos = IEEE80211_QOS_HAS_SEQ(wh); + + /* NB: we don't handle control frames */ + adf_os_assert((wh->i_fc[0]&IEEE80211_FC0_TYPE_MASK) != IEEE80211_FC0_TYPE_CTL); + if (is4addr) + size += IEEE80211_ADDR_LEN; + if (is_qos) + size += sizeof(a_uint16_t); + if (is4addr && is_qos) + size += sizeof(a_uint16_t); + return size; +} + +/* + * Like ieee80211_hdrsize, but handles any type of frame. + */ +static __inline a_int32_t +ieee80211_anyhdrsize(const void *data) +{ + const struct ieee80211_frame *wh = data; + + if ((wh->i_fc[0]&IEEE80211_FC0_TYPE_MASK) == IEEE80211_FC0_TYPE_CTL) { + switch (wh->i_fc[0] & IEEE80211_FC0_SUBTYPE_MASK) { + case IEEE80211_FC0_SUBTYPE_CTS: + case IEEE80211_FC0_SUBTYPE_ACK: + return sizeof(struct ieee80211_frame_ack); + } + return sizeof(struct ieee80211_frame_min); + } else + return ieee80211_hdrsize(data); +} + +#endif diff --git a/target_firmware/wlan/if_ath.c b/target_firmware/wlan/if_ath.c new file mode 100755 index 0000000..789725b --- /dev/null +++ b/target_firmware/wlan/if_ath.c @@ -0,0 +1,1936 @@ +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include "if_ethersubr.h" +#include "if_llc.h" +#include "ieee80211_var.h" +#include "ieee80211_proto.h" +#include "if_athrate.h" +#include "if_athvar.h" +#include "ah_desc.h" + +static a_int32_t ath_numrxbufs = -1; +static a_int32_t ath_numrxdescs = -1; + +#if defined(PROJECT_MAGPIE) +uint32_t *init_htc_handle = 0; +#endif + +#define RX_ENDPOINT_ID 3 +#define ATH_CABQ_HANDLING_THRESHOLD 9000 +#define UAPSDQ_NUM 9 +#define CABQ_NUM 8 + +void wmi_event(wmi_handle_t handle, WMI_EVENT_ID evt_id, A_UINT8 *buffer, a_int32_t Length); +void owl_tgt_tx_tasklet(TQUEUE_ARG data); +static void ath_tgt_send_beacon(struct ath_softc_tgt *sc,adf_nbuf_t bc_hdr,adf_nbuf_t nbuf,HTC_ENDPOINT_ID EndPt); +void wmi_cmd_rsp(void *pContext, WMI_COMMAND_ID cmd_id, A_UINT16 SeqNo, A_UINT8 *buffer, a_int32_t Length); +static void ath_hal_reg_write_tgt(void *Context, A_UINT16 Command, A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen); +extern struct ath_buf * ath_tgt_tx_prepare(struct ath_softc_tgt *sc, adf_nbuf_t skb, ath_data_hdr_t *dh); +extern void ath_tgt_send_mgt(struct ath_softc_tgt *sc,adf_nbuf_t mgt_hdr, adf_nbuf_t skb,HTC_ENDPOINT_ID EndPt); +extern HAL_BOOL ath_hal_wait(struct ath_hal *ah, a_uint32_t reg, a_uint32_t mask, a_uint32_t val); +extern void owltgt_tx_processq(struct ath_softc_tgt *sc, struct ath_txq *txq, owl_txq_state_t txqstate); +void owl_tgt_node_init(struct ath_node_target * an); +void ath_tgt_tx_sched_normal(struct ath_softc_tgt *sc, struct ath_buf *bf); +void ath_tgt_tx_sched_nonaggr(struct ath_softc_tgt *sc,struct ath_buf * bf_host); + +/*************/ +/* Utilities */ +/*************/ + +#undef adf_os_cpu_to_le16 + +static a_uint16_t adf_os_cpu_to_le16(a_uint16_t x) +{ + return ((((x) & 0xff00) >> 8) | (((x) & 0x00ff) << 8)); +} + +/* + * Extend a 32 bit TSF to 64 bit, taking wrapping into account. + */ +static u_int64_t ath_extend_tsf(struct ath_softc_tgt *sc, u_int32_t rstamp) +{ + u_int64_t tsf; + u_int32_t tsf_low; + u_int64_t tsf64; + + tsf = ath_hal_gettsf64(sc->sc_ah); + tsf_low = tsf & 0xffffffff; + tsf64 = (tsf & ~0xffffffffULL) | rstamp; + + if (rstamp > tsf_low && (rstamp - tsf_low > 0x10000000)) + tsf64 -= 0x100000000ULL; + + if (rstamp < tsf_low && (tsf_low - rstamp > 0x10000000)) + tsf64 += 0x100000000ULL; + + return tsf64; +} + +static a_int32_t ath_rate_setup(struct ath_softc_tgt *sc, a_uint32_t mode) +{ + struct ath_hal *ah = sc->sc_ah; + const HAL_RATE_TABLE *rt; + + switch (mode) { + case IEEE80211_MODE_11NA: + sc->sc_rates[mode] = ath_hal_getratetable(ah, HAL_MODE_11NA); + break; + case IEEE80211_MODE_11NG: + sc->sc_rates[mode] = ath_hal_getratetable(ah, HAL_MODE_11NG); + break; + default: + return 0; + } + rt = sc->sc_rates[mode]; + if (rt == NULL) + return 0; + + return 1; +} + +static void ath_setcurmode(struct ath_softc_tgt *sc, + enum ieee80211_phymode mode) +{ + const HAL_RATE_TABLE *rt; + a_int32_t i; + + adf_os_mem_set(sc->sc_rixmap, 0xff, sizeof(sc->sc_rixmap)); + + rt = sc->sc_rates[mode]; + adf_os_assert(rt != NULL); + + for (i = 0; i < rt->rateCount; i++) { + sc->sc_rixmap[rt->info[i].rateCode] = i; + } + + sc->sc_currates = rt; + sc->sc_curmode = mode; + sc->sc_protrix = ((mode == IEEE80211_MODE_11NG) ? 3 : 0); + +} + +void wmi_event(wmi_handle_t handle, WMI_EVENT_ID evt_id, + A_UINT8 *buffer, a_int32_t Length) +{ + adf_nbuf_t netbuf = ADF_NBUF_NULL; + a_uint8_t *pData; + + netbuf = WMI_AllocEvent(handle, WMI_EVT_CLASS_CMD_EVENT, + sizeof(WMI_CMD_HDR) + Length); + + if (netbuf == ADF_NBUF_NULL) { + adf_os_print("Buf null\n"); + return; + } + + if (buffer != NULL && Length != 0 && Length < WMI_SVC_MAX_BUFFERED_EVENT_SIZE) { + pData = adf_nbuf_put_tail(netbuf, Length); + adf_os_mem_copy(pData, buffer, Length); + } + + WMI_SendEvent(handle, netbuf, evt_id, 0, Length); +} + +void wmi_cmd_rsp(void *pContext, WMI_COMMAND_ID cmd_id, A_UINT16 SeqNo, + A_UINT8 *buffer, a_int32_t Length) +{ + adf_nbuf_t netbuf = ADF_NBUF_NULL; + A_UINT8 *pData; + + netbuf = WMI_AllocEvent(pContext, WMI_EVT_CLASS_CMD_REPLY, + sizeof(WMI_CMD_HDR) + Length); + + if (netbuf == ADF_NBUF_NULL) { + adf_os_assert(0); + return; + } + + if (Length != 0 && buffer != NULL) { + pData = (A_UINT8 *)adf_nbuf_put_tail(netbuf, Length); + adf_os_mem_copy(pData, buffer, Length); + } + + WMI_SendEvent(pContext, netbuf, cmd_id, SeqNo, Length); +} + +static void ath_node_vdelete_tgt(struct ath_softc_tgt *sc, a_uint8_t vap_index) +{ + a_int32_t i; + + for (i = 0; i < TARGET_NODE_MAX; i++) { + if(sc->sc_sta[i].ni.ni_vapindex == vap_index) + sc->sc_sta[i].an_valid = 0; + } +} + +a_uint8_t ath_get_minrateidx(struct ath_softc_tgt *sc, struct ath_vap_target *avp) +{ + if (sc->sc_curmode == IEEE80211_MODE_11NG) + return avp->av_minrateidx[0]; + else if (sc->sc_curmode == IEEE80211_MODE_11NA) + return avp->av_minrateidx[1]; + + return 0; +} + +/******/ +/* RX */ +/******/ + +static adf_nbuf_t ath_alloc_skb_align(struct ath_softc_tgt *sc, + a_uint32_t size, a_uint32_t align) +{ + adf_nbuf_t skb; + + skb = BUF_Pool_alloc_buf_align(sc->pool_handle, POOL_ID_WLAN_RX_BUF, + RX_HEADER_SPACE, align); + return skb; +} + +static a_int32_t ath_rxdesc_init(struct ath_softc_tgt *sc, struct ath_rx_desc *ds) +{ + struct ath_hal *ah = sc->sc_ah; + struct ath_rx_desc *ds_held; + a_uint8_t *anbdata; + a_uint32_t anblen; + + if (!sc->sc_rxdesc_held) { + sc->sc_rxdesc_held = ds; + return 0; + } + + ds_held = sc->sc_rxdesc_held; + sc->sc_rxdesc_held = ds; + ds = ds_held; + + if (ds->ds_nbuf == ADF_NBUF_NULL) { + ds->ds_nbuf = ath_alloc_skb_align(sc, sc->sc_rxbufsize, sc->sc_cachelsz); + if (ds->ds_nbuf == ADF_NBUF_NULL) { + sc->sc_rxdesc_held = ds; + sc->sc_rx_stats.ast_rx_nobuf++; + return ENOMEM; + } + adf_nbuf_map(sc->sc_dev, ds->ds_dmap, ds->ds_nbuf, ADF_OS_DMA_FROM_DEVICE); + adf_nbuf_dmamap_info(ds->ds_dmap, &ds->ds_dmap_info); + ds->ds_data = ds->ds_dmap_info.dma_segs[0].paddr; + } + + ds->ds_link = 0; + adf_nbuf_peek_header(ds->ds_nbuf, &anbdata, &anblen); + + ath_hal_setuprxdesc(ah, ds, + adf_nbuf_tailroom(ds->ds_nbuf), + 0); + + if (sc->sc_rxlink == NULL) { + ath_hal_putrxbuf(ah, ds->ds_daddr); + } + else { + *sc->sc_rxlink = ds->ds_daddr; + } + sc->sc_rxlink = &ds->ds_link; + ath_hal_rxena(ah); + + return 0; +} + +static void ath_rx_complete(struct ath_softc_tgt *sc, adf_nbuf_t buf) +{ + struct ath_rx_desc *ds; + adf_nbuf_t buf_tmp; + adf_nbuf_queue_t nbuf_head; + + adf_nbuf_split_to_frag(buf, &nbuf_head); + ds = asf_tailq_first(&sc->sc_rxdesc_idle); + + while (ds) { + struct ath_rx_desc *ds_tmp; + buf_tmp = adf_nbuf_queue_remove(&nbuf_head); + + if (buf_tmp == NULL) { + break; + } + + BUF_Pool_free_buf(sc->pool_handle, POOL_ID_WLAN_RX_BUF, buf_tmp); + + ds_tmp = ds; + ds = asf_tailq_next(ds, ds_list); + + ath_rxdesc_init(sc, ds_tmp); + + asf_tailq_remove(&sc->sc_rxdesc_idle, ds_tmp, ds_list); + asf_tailq_insert_tail(&sc->sc_rxdesc, ds_tmp, ds_list); + } +} + +static void tgt_HTCSendCompleteHandler(HTC_ENDPOINT_ID Endpt, adf_nbuf_t buf, void *ServiceCtx) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)ServiceCtx; + + if (Endpt == RX_ENDPOINT_ID) { + sc->sc_rx_stats.ast_rx_done++; + ath_rx_complete(sc, buf); + } +} + +static void ath_uapsd_processtriggers(struct ath_softc_tgt *sc) +{ + struct ath_hal *ah = sc->sc_ah; + struct ath_rx_buf *bf = NULL; + struct ath_rx_desc *ds, *ds_head, *ds_tail, *ds_tmp; + a_int32_t retval; + a_uint32_t cnt = 0; + a_uint16_t frame_len = 0; + a_uint64_t tsf; + +#define PA2DESC(_sc, _pa) \ + ((struct ath_desc *)((caddr_t)(_sc)->sc_rxdma.dd_desc + \ + ((_pa) - (_sc)->sc_rxdma.dd_desc_paddr))) + + tsf = ath_hal_gettsf64(ah); + bf = asf_tailq_first(&sc->sc_rxbuf); + + ds = asf_tailq_first(&sc->sc_rxdesc); + ds_head = ds; + + while(ds) { + ++cnt; + + if (cnt == ath_numrxbufs - 1) { + adf_os_print("VERY LONG PACKET!!!!!\n"); + ds_tail = ds; + ds_tmp = ds_head; + while (ds_tmp) { + struct ath_rx_desc *ds_rmv; + adf_nbuf_unmap(sc->sc_dev, ds_tmp->ds_dmap, ADF_OS_DMA_FROM_DEVICE); + ds_rmv = ds_tmp; + ds_tmp = asf_tailq_next(ds_tmp, ds_list); + + if (ds_tmp == NULL) { + adf_os_print("ds_tmp is NULL\n"); + adf_os_assert(0); + } + + BUF_Pool_free_buf(sc->pool_handle, POOL_ID_WLAN_RX_BUF, ds_rmv->ds_nbuf); + ds_rmv->ds_nbuf = ADF_NBUF_NULL; + + if (ath_rxdesc_init(sc, ds_rmv) == 0) { + asf_tailq_remove(&sc->sc_rxdesc, ds_rmv, ds_list); + asf_tailq_insert_tail(&sc->sc_rxdesc, ds_rmv, ds_list); + } + else { + asf_tailq_remove(&sc->sc_rxdesc, ds_rmv, ds_list); + asf_tailq_insert_tail(&sc->sc_rxdesc_idle, ds_rmv, ds_list); + } + + if (ds_rmv == ds_tail) { + break; + } + } + break; + } + + if (ds->ds_link == NULL) { + break; + } + + if (bf->bf_status & ATH_BUFSTATUS_DONE) { + continue; + } + + retval = ath_hal_rxprocdescfast(ah, ds, ds->ds_daddr, + PA2DESC(sc, ds->ds_link), &bf->bf_rx_status); + if (HAL_EINPROGRESS == retval) { + break; + } + + if (adf_nbuf_len(ds->ds_nbuf) == 0) { + adf_nbuf_put_tail(ds->ds_nbuf, bf->bf_rx_status.rs_datalen); + } + + frame_len += bf->bf_rx_status.rs_datalen; + + if (bf->bf_rx_status.rs_more == 0) { + adf_nbuf_queue_t nbuf_head; + adf_nbuf_queue_init(&nbuf_head); + + cnt = 0; + + ds_tail = ds; + ds = asf_tailq_next(ds, ds_list); + + ds_tmp = ds_head; + ds_head = asf_tailq_next(ds_tail, ds_list); + + while (ds_tmp) { + struct ath_rx_desc *ds_rmv; + + adf_nbuf_unmap(sc->sc_dev, ds_tmp->ds_dmap, ADF_OS_DMA_FROM_DEVICE); + adf_nbuf_queue_add(&nbuf_head, ds_tmp->ds_nbuf); + ds_tmp->ds_nbuf = ADF_NBUF_NULL; + + ds_rmv = ds_tmp; + ds_tmp = asf_tailq_next(ds_tmp, ds_list); + if (ds_tmp == NULL) { + adf_os_assert(0); + } + + if (ath_rxdesc_init(sc, ds_rmv) == 0) { + asf_tailq_remove(&sc->sc_rxdesc, ds_rmv, ds_list); + asf_tailq_insert_tail(&sc->sc_rxdesc, ds_rmv, ds_list); + } else { + asf_tailq_remove(&sc->sc_rxdesc, ds_rmv, ds_list); + asf_tailq_insert_tail(&sc->sc_rxdesc_idle, ds_rmv, ds_list); + } + + if (ds_rmv == ds_tail) { + break; + } + } + + + bf->bf_rx_status.rs_datalen = frame_len; + frame_len = 0; + + bf->bf_skb = adf_nbuf_create_frm_frag(&nbuf_head); + + bf->bf_status |= ATH_BUFSTATUS_DONE; + + bf = asf_tailq_next(bf, bf_list); + } + else { + ds = asf_tailq_next(ds, ds_list); + } + } + +#undef PA2DESC +} + +static a_int32_t ath_startrecv(struct ath_softc_tgt *sc) +{ + struct ath_hal *ah = sc->sc_ah; + struct ath_rx_desc *ds; + + sc->sc_rxbufsize = 1024+512+128; + sc->sc_rxlink = NULL; + + sc->sc_rxdesc_held = NULL; + + asf_tailq_foreach(ds, &sc->sc_rxdesc, ds_list) { + a_int32_t error = ath_rxdesc_init(sc, ds); + if (error != 0) { + return error; + } + } + + ds = asf_tailq_first(&sc->sc_rxdesc); + ath_hal_putrxbuf(ah, ds->ds_daddr); + + return 0; +} + +static void ath_tgt_rx_tasklet(TQUEUE_ARG data) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)data; + struct ath_rx_buf *bf = NULL; + struct ath_hal *ah = sc->sc_ah; + struct rx_frame_header *rxhdr; + struct ieee80211_frame *wh; + struct ath_rx_status *rxstats; + adf_nbuf_t skb = ADF_NBUF_NULL; + + do { + bf = asf_tailq_first(&sc->sc_rxbuf); + if (bf == NULL) { + break; + } + + if (!(bf->bf_status & ATH_BUFSTATUS_DONE)) { + break; + } + + skb = bf->bf_skb; + if (skb == NULL) { + continue; + } + + asf_tailq_remove(&sc->sc_rxbuf, bf, bf_list); + + bf->bf_skb = NULL; + + rxhdr = (struct rx_frame_header *)adf_nbuf_push_head(skb, + sizeof(struct rx_frame_header)); + rxstats = (struct ath_rx_status *)(&rxhdr->rx_stats[0]); + adf_os_mem_copy(rxstats, &(bf->bf_rx_status), + sizeof(struct ath_rx_status)); + + rxstats->rs_tstamp = ath_extend_tsf(sc, (u_int32_t)rxstats->rs_tstamp); + + HTC_SendMsg(sc->tgt_htc_handle, RX_ENDPOINT_ID, skb); + sc->sc_rx_stats.ast_rx_send++; + + next_buf: + bf->bf_status &= ~ATH_BUFSTATUS_DONE; + asf_tailq_insert_tail(&sc->sc_rxbuf, bf, bf_list); + + } while(1); + + sc->sc_imask |= HAL_INT_RX; + ath_hal_intrset(ah, sc->sc_imask); +} + +/*******************/ +/* Beacon Handling */ +/*******************/ + +/* + * Setup the beacon frame for transmit. + * FIXME: Short Preamble. + */ +static void ath_beacon_setup(struct ath_softc_tgt *sc, + struct ath_buf *bf, + struct ath_vap_target *avp) +{ + adf_nbuf_t skb = bf->bf_skb; + struct ath_hal *ah = sc->sc_ah; + struct ath_desc *ds; + a_int32_t flags, antenna; + const HAL_RATE_TABLE *rt; + a_uint8_t rix, rate; + HAL_11N_RATE_SERIES series[4] = {{ 0 }}; + + flags = HAL_TXDESC_NOACK; + + ds = bf->bf_desc; + ds->ds_link = 0; + ds->ds_data = bf->bf_dmamap_info.dma_segs[0].paddr; + + rix = ath_get_minrateidx(sc, avp); + rt = sc->sc_currates; + rate = rt->info[rix].rateCode; + + ath_hal_setuptxdesc(ah, ds + , adf_nbuf_len(skb) + IEEE80211_CRC_LEN + , sizeof(struct ieee80211_frame) + , HAL_PKT_TYPE_BEACON + , MAX_RATE_POWER + , rate, 1 + , HAL_TXKEYIX_INVALID + , 0 + , flags + , 0 + , 0 + , 0 + , 0 + , ATH_COMP_PROC_NO_COMP_NO_CCS); + + ath_hal_filltxdesc(ah, ds + , asf_roundup(adf_nbuf_len(skb), 4) + , AH_TRUE + , AH_TRUE + , ds); + + series[0].Tries = 1; + series[0].Rate = rate; + series[0].ChSel = sc->sc_ic.ic_tx_chainmask; + series[0].RateFlags = 0; + ath_hal_set11n_ratescenario(ah, ds, 0, 0, 0, series, 4, 0); +} + +static void ath_tgt_send_beacon(struct ath_softc_tgt *sc, adf_nbuf_t bc_hdr, + adf_nbuf_t nbuf, HTC_ENDPOINT_ID EndPt) +{ + struct ath_hal *ah = sc->sc_ah; + struct ath_tx_buf *bf; + a_uint8_t vap_index, *anbdata; + ath_beacon_hdr_t *bhdr; + struct ieee80211vap_target *vap; + a_uint32_t anblen; + struct ieee80211_frame *wh; + + if (!bc_hdr) { + adf_nbuf_peek_header(nbuf, &anbdata, &anblen); + bhdr = (ath_beacon_hdr_t *)anbdata; + } else { + adf_os_print("found bc_hdr! 0x%x\n", bc_hdr); + } + + vap_index = bhdr->vap_index; + adf_os_assert(vap_index < TARGET_VAP_MAX); + vap = &sc->sc_vap[vap_index]; + + wh = (struct ieee80211_frame *)adf_nbuf_pull_head(nbuf, + sizeof(ath_beacon_hdr_t)); + + bf = sc->sc_vap[vap_index].av_bcbuf; + adf_os_assert(bf); + bf->bf_endpt = EndPt; + + if (bf->bf_skb) { + adf_nbuf_unmap(sc->sc_dev, bf->bf_dmamap, ADF_OS_DMA_TO_DEVICE); + adf_nbuf_push_head(bf->bf_skb, sizeof(ath_beacon_hdr_t)); + ath_free_tx_skb(sc->tgt_htc_handle, bf->bf_endpt, bf->bf_skb); + } + + bf->bf_skb = nbuf; + + adf_nbuf_map(sc->sc_dev, bf->bf_dmamap, nbuf, ADF_OS_DMA_TO_DEVICE); + adf_nbuf_dmamap_info(bf->bf_dmamap,&bf->bf_dmamap_info); + + ath_beacon_setup(sc, bf, &sc->sc_vap[vap_index]); + ath_hal_stoptxdma(ah, sc->sc_bhalq); + ath_hal_puttxbuf(ah, sc->sc_bhalq, ATH_BUF_GET_DESC_PHY_ADDR(bf)); + ath_hal_txstart(ah, sc->sc_bhalq); +} + +/******/ +/* TX */ +/******/ + +static void ath_tx_stopdma(struct ath_softc_tgt *sc, struct ath_txq *txq) +{ + struct ath_hal *ah = sc->sc_ah; + + (void) ath_hal_stoptxdma(ah, txq->axq_qnum); +} + +static void owltgt_txq_drain(struct ath_softc_tgt *sc, struct ath_txq *txq) +{ + owltgt_tx_processq(sc, txq, OWL_TXQ_STOPPED); +} + +static void ath_tx_draintxq(struct ath_softc_tgt *sc, struct ath_txq *txq) +{ + owltgt_txq_drain(sc, txq); +} + +static void ath_draintxq(struct ath_softc_tgt *sc, HAL_BOOL drain_softq) +{ + struct ath_hal *ah = sc->sc_ah; + a_uint16_t i; + struct ath_txq *txq = NULL; + struct ath_atx_tid *tid = NULL; + + ath_tx_status_clear(sc); + sc->sc_tx_draining = 1; + + (void) ath_hal_stoptxdma(ah, sc->sc_bhalq); + + for (i = 0; i < HAL_NUM_TX_QUEUES; i++) + if (ATH_TXQ_SETUP(sc, i)) + ath_tx_stopdma(sc, ATH_TXQ(sc, i)); + + for (i = 0; i < HAL_NUM_TX_QUEUES; i++) + if (ATH_TXQ_SETUP(sc, i)) { + owltgt_tx_processq(sc, ATH_TXQ(sc,i), OWL_TXQ_STOPPED); + + txq = ATH_TXQ(sc,i); + while (!asf_tailq_empty(&txq->axq_tidq)){ + TAILQ_DEQ(&txq->axq_tidq, tid, tid_qelem); + if(tid == NULL) + break; + tid->sched = AH_FALSE; + ath_tgt_tid_drain(sc,tid); + } + } + + sc->sc_tx_draining = 0; +} + +static void ath_tgt_txq_setup(struct ath_softc_tgt *sc) +{ + a_int32_t qnum; + struct ath_txq *txq; + + sc->sc_txqsetup=0; + + for (qnum=0;qnumsc_txq[qnum]; + txq->axq_qnum = qnum; + txq->axq_link = NULL; + asf_tailq_init(&txq->axq_q); + txq->axq_depth = 0; + txq->axq_linkbuf = NULL; + asf_tailq_init(&txq->axq_tidq); + sc->sc_txqsetup |= 1<sc_uapsdq = &sc->sc_txq[UAPSDQ_NUM]; + sc->sc_cabq = &sc->sc_txq[CABQ_NUM]; + + sc->sc_ac2q[WME_AC_BE] = &sc->sc_txq[0]; + sc->sc_ac2q[WME_AC_BK] = &sc->sc_txq[1]; + sc->sc_ac2q[WME_AC_VI] = &sc->sc_txq[2]; + sc->sc_ac2q[WME_AC_VO] = &sc->sc_txq[3]; + + return; +#undef N +} + +static void tgt_HTCRecv_beaconhandler(HTC_ENDPOINT_ID EndPt, adf_nbuf_t hdr_buf, + adf_nbuf_t buf, void *ServiceCtx) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)ServiceCtx; + + ath_tgt_send_beacon(sc, hdr_buf, buf, EndPt); +} + +static void tgt_HTCRecv_uapsdhandler(HTC_ENDPOINT_ID EndPt, adf_nbuf_t hdr_buf, + adf_nbuf_t buf, void *ServiceCtx) +{ +} + +static void tgt_HTCRecv_mgmthandler(HTC_ENDPOINT_ID EndPt, adf_nbuf_t hdr_buf, + adf_nbuf_t buf, void *ServiceCtx) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)ServiceCtx; + + ath_tgt_send_mgt(sc,hdr_buf,buf,EndPt); +} + +static void tgt_HTCRecvMessageHandler(HTC_ENDPOINT_ID EndPt, + adf_nbuf_t hdr_buf, adf_nbuf_t buf, + void *ServiceCtx) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)ServiceCtx; + struct ath_tx_buf *bf; + a_uint8_t *data; + a_uint32_t len; + ath_data_hdr_t *dh; + struct ath_node_target *an; + struct ath_atx_tid *tid; + + if (!hdr_buf) { + adf_nbuf_peek_header(buf, &data, &len); + adf_nbuf_pull_head(buf, sizeof(ath_data_hdr_t)); + } else { + adf_nbuf_peek_header(hdr_buf, &data, &len); + } + + adf_os_assert(len >= sizeof(ath_data_hdr_t)); + dh = (ath_data_hdr_t *)data; + + an = &sc->sc_sta[dh->ni_index]; + tid = ATH_AN_2_TID(an, dh->tidno); + + sc->sc_tx_stats.tx_tgt++; + + bf = ath_tgt_tx_prepare(sc, buf, dh); + if (!bf) { + ath_free_tx_skb(sc->tgt_htc_handle,EndPt,buf); + return; + } + + bf->bf_endpt = EndPt; + bf->bf_cookie = dh->cookie; + + if (tid->flag & TID_AGGR_ENABLED) + ath_tgt_handle_aggr(sc, bf); + else + ath_tgt_handle_normal(sc, bf); +} + +static void tgt_HTCRecv_cabhandler(HTC_ENDPOINT_ID EndPt, adf_nbuf_t hdr_buf, + adf_nbuf_t buf, void *ServiceCtx) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)ServiceCtx; + struct ath_hal *ah = sc->sc_ah; + a_uint64_t tsf; + a_uint32_t tmp; + +#ifdef ATH_ENABLE_CABQ + tsf = ath_hal_gettsf64(ah); + tmp = tsf - sc->sc_swba_tsf; + + if ( tmp > ATH_CABQ_HANDLING_THRESHOLD ) { + HTC_ReturnBuffers(sc->tgt_htc_handle, EndPt, buf); + return; + } + + tgt_HTCRecvMessageHandler(EndPt, hdr_buf, buf, ServiceCtx); +#endif +} + +/***********************/ +/* Descriptor Handling */ +/***********************/ + +static a_int32_t ath_descdma_setup(struct ath_softc_tgt *sc, + struct ath_descdma *dd, ath_bufhead *head, + const char *name, a_int32_t nbuf, a_int32_t ndesc, + a_uint32_t bfSize, a_uint32_t descSize) +{ +#define DS2PHYS(_dd, _ds) \ + ((_dd)->dd_desc_paddr + ((caddr_t)(_ds) - (caddr_t)(_dd)->dd_desc)) + + struct ath_desc *ds; + struct ath_buf *bf; + a_int32_t i, bsize, error; + a_uint8_t *bf_addr; + a_uint8_t *ds_addr; + + dd->dd_name = name; + dd->dd_desc_len = descSize * nbuf * ndesc; + + dd->dd_desc = adf_os_dmamem_alloc(sc->sc_dev, + dd->dd_desc_len, 1, &dd->dd_desc_dmamap); + dd->dd_desc_paddr = adf_os_dmamem_map2addr(dd->dd_desc_dmamap); + if (dd->dd_desc == NULL) { + error = -ENOMEM; + goto fail; + } + ds = dd->dd_desc; + + bsize = bfSize * nbuf; + bf = adf_os_mem_alloc(bsize); + if (bf == NULL) { + error = -ENOMEM; + goto fail2; + } + adf_os_mem_set(bf, 0, bsize); + dd->dd_bufptr = bf; + + bf_addr = (a_uint8_t *)bf; + ds_addr = (a_uint8_t *)ds; + + asf_tailq_init(head); + + for (i = 0; i < nbuf; i++) { + a_int32_t j; + + if (adf_nbuf_dmamap_create( sc->sc_dev, &bf->bf_dmamap) != A_STATUS_OK) { + goto fail2; + } + + bf->bf_desc = bf->bf_descarr = bf->bf_lastds = ds; + for (j = 0; j < ndesc; j++) + ATH_BUF_SET_DESC_PHY_ADDR_WITH_IDX(bf, j, (ds_addr + (j*descSize))); + + ATH_BUF_SET_DESC_PHY_ADDR(bf, ATH_BUF_GET_DESC_PHY_ADDR_WITH_IDX(bf, 0)); + + adf_nbuf_queue_init(&bf->bf_skbhead); + asf_tailq_insert_tail(head, bf, bf_list); + + bf_addr += bfSize; + ds_addr += (ndesc * descSize); + bf = (struct ath_buf *)bf_addr; + ds = (struct ath_desc *)ds_addr; + } + + return 0; +fail2: + adf_os_dmamem_free(sc->sc_dev, dd->dd_desc_len, + 1, dd->dd_desc, dd->dd_desc_dmamap); +fail: + adf_os_mem_set(dd, 0, sizeof(*dd)); + adf_os_assert(0); + return error; + +#undef DS2PHYS +} + +static void ath_descdma_cleanup(struct ath_softc_tgt *sc, + struct ath_descdma *dd, + ath_bufhead *head, a_int32_t dir) +{ + struct ath_tx_buf *bf; + struct ieee80211_node *ni; + + asf_tailq_foreach(bf, head, bf_list) { + if (adf_nbuf_queue_len(&bf->bf_skbhead) != 0) { + adf_nbuf_unmap(sc->sc_dev, bf->bf_dmamap, dir); + while(adf_nbuf_queue_len(&bf->bf_skbhead) != 0) { + ath_free_rx_skb(sc, + adf_nbuf_queue_remove(&bf->bf_skbhead)); + } + bf->bf_skb = NULL; + } else if (bf->bf_skb != NULL) { + adf_nbuf_unmap(sc->sc_dev,bf->bf_dmamap, dir); + ath_free_rx_skb(sc, bf->bf_skb); + bf->bf_skb = NULL; + } + + adf_nbuf_dmamap_destroy(sc->sc_dev, bf->bf_dmamap); + + ni = bf->bf_node; + bf->bf_node = NULL; + } + + adf_os_dmamem_free(sc->sc_dev, dd->dd_desc_len, + 1, dd->dd_desc, dd->dd_desc_dmamap); + + asf_tailq_init(head); + adf_os_mem_free(dd->dd_bufptr); + adf_os_mem_set(dd, 0, sizeof(*dd)); +} + +static a_int32_t ath_desc_alloc(struct ath_softc_tgt *sc) +{ +#define DS2PHYS(_dd, _ds) \ + ((_dd)->dd_desc_paddr + ((caddr_t)(_ds) - (caddr_t)(_dd)->dd_desc)) + + a_int32_t error; + struct ath_tx_buf *bf; + + if(ath_numrxbufs == -1) + ath_numrxbufs = ATH_RXBUF; + + if (ath_numrxdescs == -1) + ath_numrxdescs = ATH_RXDESC; + + error = ath_descdma_setup(sc, &sc->sc_rxdma, &sc->sc_rxbuf, + "rx", ath_numrxdescs, 1, + sizeof(struct ath_rx_buf), + sizeof(struct ath_rx_desc)); + if (error != 0) + return error; + + a_uint32_t i; + struct ath_descdma *dd = &sc->sc_rxdma; + struct ath_rx_desc *ds = dd->dd_desc; + struct ath_rx_desc *ds_prev = NULL; + + asf_tailq_init(&sc->sc_rxdesc); + asf_tailq_init(&sc->sc_rxdesc_idle); + + for (i = 0; i < ath_numrxdescs; i++, ds++) { + + if (ds->ds_nbuf != ADF_NBUF_NULL) { + ds->ds_nbuf = ADF_NBUF_NULL; + } + + if (adf_nbuf_dmamap_create(sc->sc_dev, &ds->ds_dmap) != A_STATUS_OK) { + adf_os_assert(0); + } + + ds->ds_daddr = DS2PHYS(&sc->sc_rxdma, ds); + + if (ds_prev) { + ds_prev->ds_link = ds->ds_daddr; + } + + ds->ds_link = 0; + ds_prev = ds; + + asf_tailq_insert_tail(&sc->sc_rxdesc, ds, ds_list); + } + + error = ath_descdma_setup(sc, &sc->sc_txdma, &sc->sc_txbuf, + "tx", ATH_TXBUF + 1, ATH_TXDESC, + sizeof(struct ath_tx_buf), + sizeof(struct ath_tx_desc)); + if (error != 0) { + ath_descdma_cleanup(sc, &sc->sc_rxdma, &sc->sc_rxbuf, + ADF_OS_DMA_FROM_DEVICE); + return error; + } + + error = ath_descdma_setup(sc, &sc->sc_bdma, &sc->sc_bbuf, + "beacon", ATH_BCBUF, 1, + sizeof(struct ath_tx_buf), + sizeof(struct ath_tx_desc)); + if (error != 0) { + ath_descdma_cleanup(sc, &sc->sc_txdma, &sc->sc_txbuf, + ADF_OS_DMA_TO_DEVICE); + ath_descdma_cleanup(sc, &sc->sc_rxdma, &sc->sc_rxbuf, + ADF_OS_DMA_FROM_DEVICE); + return error; + } + + bf = asf_tailq_first(&sc->sc_txbuf); + bf->bf_isaggr = bf->bf_isretried = bf->bf_retries = 0; + asf_tailq_remove(&sc->sc_txbuf, bf, bf_list); + + sc->sc_txbuf_held = bf; + + return 0; + +#undef DS2PHYS +} + +static void ath_desc_free(struct ath_softc_tgt *sc) +{ + asf_tailq_insert_tail(&sc->sc_txbuf, sc->sc_txbuf_held, bf_list); + + sc->sc_txbuf_held = NULL; + + if (sc->sc_txdma.dd_desc_len != 0) + ath_descdma_cleanup(sc, &sc->sc_txdma, &sc->sc_txbuf, + ADF_OS_DMA_TO_DEVICE); + if (sc->sc_rxdma.dd_desc_len != 0) + ath_descdma_cleanup(sc, &sc->sc_rxdma, &sc->sc_rxbuf, + ADF_OS_DMA_FROM_DEVICE); +} + +/**********************/ +/* Interrupt Handling */ +/**********************/ + +adf_os_irq_resp_t ath_intr(adf_drv_handle_t hdl) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)hdl; + struct ath_hal *ah = sc->sc_ah; + struct ieee80211com_target *ic = &sc->sc_ic; + HAL_INT status; + + if (sc->sc_invalid) + return ADF_OS_IRQ_NONE; + + if (!ath_hal_intrpend(ah)) + return ADF_OS_IRQ_NONE; + + ath_hal_getisr(ah, &status); + + status &= sc->sc_imask; + + if (status & HAL_INT_FATAL) { + ath_hal_intrset(ah, 0); + ATH_SCHEDULE_TQUEUE(sc->sc_dev, &sc->sc_fataltq); + } else { + if (status & HAL_INT_SWBA) { + WMI_SWBA_EVENT swbaEvt; + struct ath_txq *txq = ATH_TXQ(sc, 8); + + swbaEvt.tsf = ath_hal_gettsf64(ah); + swbaEvt.beaconPendingCount = ath_hal_numtxpending(ah, sc->sc_bhalq); + sc->sc_swba_tsf = ath_hal_gettsf64(ah); + + wmi_event(sc->tgt_wmi_handle, + WMI_SWBA_EVENTID, + &swbaEvt, + sizeof(WMI_SWBA_EVENT)); + + ath_tx_draintxq(sc, txq); + } + + if (status & HAL_INT_RXORN) + sc->sc_int_stats.ast_rxorn++; + + if (status & HAL_INT_RXEOL) + sc->sc_int_stats.ast_rxeol++; + + if (status & (HAL_INT_RX | HAL_INT_RXEOL | HAL_INT_RXORN)) { + if (status & HAL_INT_RX) + sc->sc_int_stats.ast_rx++; + + ath_uapsd_processtriggers(sc); + + sc->sc_imask &= ~HAL_INT_RX; + ath_hal_intrset(ah, sc->sc_imask); + + ATH_SCHEDULE_TQUEUE(sc->sc_dev, &sc->sc_rxtq); + } + + if (status & HAL_INT_TXURN) { + sc->sc_int_stats.ast_txurn++; + ath_hal_updatetxtriglevel(ah, AH_TRUE); + } + + ATH_SCHEDULE_TQUEUE(sc->sc_dev, &sc->sc_txtq); + + if (status & HAL_INT_BMISS) { + ATH_SCHEDULE_TQUEUE(sc->sc_dev, &sc->sc_bmisstq); + } + + if (status & HAL_INT_GTT) + sc->sc_int_stats.ast_txto++; + + if (status & HAL_INT_CST) + sc->sc_int_stats.ast_cst++; + } + + return ADF_OS_IRQ_HANDLED; +} + +static void ath_fatal_tasklet(TQUEUE_ARG data ) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)data; + + wmi_event(sc->tgt_wmi_handle, WMI_FATAL_EVENTID, NULL, 0); +} + +static void ath_bmiss_tasklet(TQUEUE_ARG data) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)data; + + wmi_event(sc->tgt_wmi_handle, WMI_BMISS_EVENTID, NULL, 0); +} + +/****************/ +/* WMI Commands */ +/****************/ + +static void ath_enable_intr_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_hal *ah = sc->sc_ah; + a_uint32_t intr; + + if (data) + intr = (*(a_uint32_t *)data); + + intr = adf_os_ntohl(intr); + + if (intr & HAL_INT_SWBA) { + sc->sc_imask |= HAL_INT_SWBA; + } else { + sc->sc_imask &= ~HAL_INT_SWBA; + } + + if (intr & HAL_INT_BMISS) { + sc->sc_imask |= HAL_INT_BMISS; + } + + ath_hal_intrset(ah, sc->sc_imask); + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo,NULL, 0); +} + +static void ath_init_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_hal *ah = sc->sc_ah; + a_uint32_t stbcsupport; + + sc->sc_imask = HAL_INT_RX | HAL_INT_TX + | HAL_INT_RXEOL | HAL_INT_RXORN + | HAL_INT_FATAL | HAL_INT_GLOBAL; + + sc->sc_imask |= HAL_INT_GTT; + + if (ath_hal_htsupported(ah)) + sc->sc_imask |= HAL_INT_CST; + +#ifdef MAGPIE_MERLIN + if (ath_hal_txstbcsupport(ah, &stbcsupport)) + sc->sc_txstbcsupport = stbcsupport; + + if (ath_hal_rxstbcsupport(ah, &stbcsupport)) + sc->sc_rxstbcsupport = stbcsupport; +#endif + adf_os_setup_intr(sc->sc_dev, ath_intr); + ath_hal_intrset(ah, sc->sc_imask); + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_int_stats_tgt(void *Context,A_UINT16 Command, A_UINT16 SeqNo, + A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + + struct fusion_stats { + a_uint32_t ast_rx; + a_uint32_t ast_rxorn; + a_uint32_t ast_rxeol; + a_uint32_t ast_txurn; + a_uint32_t ast_txto; + a_uint32_t ast_cst; + }; + + struct fusion_stats stats; + + stats.ast_rx = sc->sc_int_stats.ast_rx; + stats.ast_rxorn = sc->sc_int_stats.ast_rxorn; + stats.ast_rxeol = sc->sc_int_stats.ast_rxeol; + stats.ast_txurn = sc->sc_int_stats.ast_txurn; + stats.ast_txto = sc->sc_int_stats.ast_txto; + stats.ast_cst = sc->sc_int_stats.ast_cst; + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, &stats, sizeof(stats)); +} + +static void ath_tx_stats_tgt(void *Context,A_UINT16 Command, A_UINT16 SeqNo, + A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + + struct fusion_stats { + a_uint32_t ast_tx_xretries; + a_uint32_t ast_tx_fifoerr; + a_uint32_t ast_tx_filtered; + a_uint32_t ast_tx_timer_exp; + a_uint32_t ast_tx_shortretry; + a_uint32_t ast_tx_longretry; + + a_uint32_t tx_qnull; + a_uint32_t tx_noskbs; + a_uint32_t tx_nobufs; + }; + + struct fusion_stats stats; + + stats.ast_tx_xretries = sc->sc_tx_stats.ast_tx_xretries; + stats.ast_tx_fifoerr = sc->sc_tx_stats.ast_tx_fifoerr; + stats.ast_tx_filtered = sc->sc_tx_stats.ast_tx_filtered; + stats.ast_tx_timer_exp = sc->sc_tx_stats.ast_tx_timer_exp; + stats.ast_tx_shortretry = sc->sc_tx_stats.ast_tx_shortretry; + stats.ast_tx_longretry = sc->sc_tx_stats.ast_tx_longretry; + stats.tx_qnull = sc->sc_tx_stats.tx_qnull; + stats.tx_noskbs = sc->sc_tx_stats.tx_noskbs; + stats.tx_nobufs = sc->sc_tx_stats.tx_nobufs; + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, &stats, sizeof(stats)); +} + +static void ath_rx_stats_tgt(void *Context,A_UINT16 Command, A_UINT16 SeqNo, + A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + + struct fusion_stats { + a_uint32_t ast_rx_nobuf; + a_uint32_t ast_rx_send; + a_uint32_t ast_rx_done; + }; + + struct fusion_stats stats; + + stats.ast_rx_nobuf = sc->sc_rx_stats.ast_rx_nobuf; + stats.ast_rx_send = sc->sc_rx_stats.ast_rx_send; + stats.ast_rx_done = sc->sc_rx_stats.ast_rx_done; + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, &stats, sizeof(stats)); +} + +static void ath_get_tgt_version(void *Context,A_UINT16 Command, A_UINT16 SeqNo, + A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct wmi_fw_version ver; + + ver.major = ATH_VERSION_MAJOR; + ver.minor = ATH_VERSION_MINOR; + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, &ver, sizeof(ver)); +} + +static void ath_enable_aggr_tgt(void *Context,A_UINT16 Command, A_UINT16 SeqNo, + A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_aggr_info *aggr = (struct ath_aggr_info *)data; + a_uint8_t nodeindex = aggr->nodeindex; + a_uint8_t tidno = aggr->tidno; + struct ath_node_target *an = NULL ; + struct ath_atx_tid *tid = NULL; + + if (nodeindex >= TARGET_NODE_MAX) { + goto done; + } + + an = &sc->sc_sta[nodeindex]; + if (!an->an_valid) { + goto done; + } + + if (tidno >= WME_NUM_TID) { + adf_os_print("[%s] enable_aggr with invalid tid %d(node = %d)\n", + __FUNCTION__, tidno, nodeindex); + goto done; + } + + tid = ATH_AN_2_TID(an, tidno); + + if (aggr->aggr_enable) { + tid->flag |= TID_AGGR_ENABLED; + } else if ( tid->flag & TID_AGGR_ENABLED ) { + tid->flag &= ~TID_AGGR_ENABLED; + ath_tgt_tx_cleanup(sc, an, tid, 1); + } +done: + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_ic_update_tgt(void *Context,A_UINT16 Command, A_UINT16 SeqNo, + A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ieee80211com_target *ic = (struct ieee80211com_target * )data; + struct ieee80211com_target *ictgt = &sc->sc_ic ; + + adf_os_mem_copy(ictgt, ic, sizeof(struct ieee80211com_target)); + + ictgt->ic_ampdu_limit = adf_os_ntohl(ic->ic_ampdu_limit); + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_vap_create_tgt(void *Context, A_UINT16 Command, A_UINT16 SeqNo, + A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ieee80211vap_target *vap; + a_uint8_t vap_index; + + vap = (struct ieee80211vap_target *)data; + + vap->iv_rtsthreshold = adf_os_ntohs(vap->iv_rtsthreshold); + vap->iv_opmode = adf_os_ntohl(vap->iv_opmode); + + vap_index = vap->iv_vapindex; + + adf_os_assert(sc->sc_vap[vap_index].av_valid == 0); + + adf_os_mem_copy(&(sc->sc_vap[vap_index].av_vap), vap, + VAP_TARGET_SIZE); + + sc->sc_vap[vap_index].av_bcbuf = asf_tailq_first(&(sc->sc_bbuf)); + sc->sc_vap[vap_index].av_valid = 1; + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_node_create_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ieee80211_node_target *node; + a_uint8_t vap_index; + a_uint8_t node_index; + + node = (struct ieee80211_node_target *)data; + + node_index = node->ni_nodeindex; + + node->ni_htcap = adf_os_ntohs(node->ni_htcap); + node->ni_flags = adf_os_ntohs(node->ni_flags); + node->ni_maxampdu = adf_os_ntohs(node->ni_maxampdu); + + adf_os_mem_copy(&(sc->sc_sta[node_index].ni), node, + NODE_TARGET_SIZE); + + vap_index = sc->sc_sta[node_index].ni.ni_vapindex; + sc->sc_sta[node_index].ni.ni_vap = &(sc->sc_vap[vap_index].av_vap); + if(sc->sc_sta[node_index].ni.ni_is_vapnode == 1) + sc->sc_vap[vap_index].av_vap.iv_nodeindex = node_index; + + sc->sc_sta[node_index].an_valid = 1; + sc->sc_sta[node_index].ni.ni_txseqmgmt = 0; + sc->sc_sta[node_index].ni.ni_iv16 = 0; + sc->sc_sta[node_index].ni.ni_iv32 = 0; + + owl_tgt_node_init(&sc->sc_sta[node_index]); + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_node_cleanup_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + a_uint8_t node_index; + a_uint8_t *nodedata; + + nodedata = (a_uint8_t *)data; + node_index = *nodedata; + sc->sc_sta[node_index].an_valid = 0; + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_node_update_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ieee80211_node_target *node; + a_uint8_t vap_index; + a_uint8_t node_index; + + node = (struct ieee80211_node_target *)data; + + node_index = node->ni_nodeindex; + + node->ni_htcap = adf_os_ntohs(node->ni_htcap); + node->ni_flags = adf_os_ntohs(node->ni_flags); + node->ni_maxampdu = adf_os_ntohs(node->ni_maxampdu); + + adf_os_mem_copy(&(sc->sc_sta[node_index].ni), node, + NODE_TARGET_SIZE); + + vap_index = sc->sc_sta[node_index].ni.ni_vapindex; + sc->sc_sta[node_index].ni.ni_vap = &(sc->sc_vap[vap_index].av_vap); + + sc->sc_sta[node_index].ni.ni_txseqmgmt = 0; + sc->sc_sta[node_index].ni.ni_iv16 = 0; + sc->sc_sta[node_index].ni.ni_iv32 = 0; + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_hal_reg_read_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_hal *ah = sc->sc_ah; + a_uint32_t addr; + a_uint32_t val[32]; + int i; + + for (i = 0; i < datalen; i += sizeof(a_int32_t)) { + addr = *(a_uint32_t *)(data + i); + addr = adf_os_ntohl(addr); + + if ((addr & 0xffffe000) == 0x2000) { + /* SEEPROM */ + ath_hal_reg_read_target(ah, addr); + if (!ath_hal_wait(ah, 0x407c, 0x00030000, 0)) { + adf_os_print("SEEPROM Read fail: 0x%08x\n", addr); + } + val[i/sizeof(a_int32_t)] = (ath_hal_reg_read_target(ah, 0x407c) & 0x0000ffff); + } else if (addr > 0xffff) { + val[i/sizeof(a_int32_t)] = *(a_uint32_t *)addr; + } else + val[i/sizeof(a_int32_t)] = ath_hal_reg_read_target(ah, addr); + + val[i/sizeof(a_int32_t)] = adf_os_ntohl(val[i/sizeof(a_int32_t)]); + } + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, &val[0], datalen); +} + +static void ath_hal_reg_write_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_hal *ah = sc->sc_ah; + int i; + struct registerWrite { + a_uint32_t reg; + a_uint32_t val; + }*t; + + for (i = 0; i < datalen; i += sizeof(struct registerWrite)) { + t = (struct registerWrite *)(data+i); + + if( t->reg > 0xffff ) { + a_uint32_t *pReg = (a_uint32_t *)t->reg; + + *pReg = t->val; + +#if defined(PROJECT_K2) + if( t->reg == 0x50040 ) { + static uint8_t flg=0; + + if( flg == 0 ) { + A_CLOCK_INIT(117); + A_UART_HWINIT(117*1000*1000, 19200); + flg = 1; + } + } +#endif + } else { +#if defined(PROJECT_K2) + if( t->reg == 0x7014 ) { + static uint8_t resetPLL = 0; + a_uint32_t *pReg; + + if( resetPLL == 0 ) { + t->reg = 0x50044; + pReg = (a_uint32_t *)t->reg; + *pReg = 0; + ath_hal_reg_write_target(ah, 0x786c, + ath_hal_reg_read_target(ah,0x786c) | 0x6000000); + ath_hal_reg_write_target(ah, 0x786c, + ath_hal_reg_read_target(ah,0x786c) & (~0x6000000)); + *pReg = 0x20; + resetPLL = 1; + } + t->reg = 0x7014; + } +#elif defined(PROJECT_MAGPIE) && !defined (FPGA) + if( t->reg == 0x7014 ){ + static uint8_t resetPLL = 0; + + if( resetPLL == 0 ) { + ath_hal_reg_write_target(ah, 0x7890, + ath_hal_reg_read_target(ah,0x7890) | 0x1800000); + ath_hal_reg_write_target(ah, 0x7890, + ath_hal_reg_read_target(ah,0x7890) & (~0x1800000)); + resetPLL = 1; + } + } +#endif + ath_hal_reg_write_target(ah,t->reg,t->val); + } + } + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_vap_delete_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + a_uint8_t vap_index; + + vap_index = *(a_uint8_t *)data; + + sc->sc_vap[vap_index].av_valid = 0; + sc->sc_vap[vap_index].av_bcbuf = NULL; + ath_node_vdelete_tgt(sc, vap_index); + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_disable_intr_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_hal *ah = sc->sc_ah; + + ath_hal_intrset(ah, 0); + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo,NULL, 0); +} + +static void ath_flushrecv_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_buf *bf; + + asf_tailq_foreach(bf, &sc->sc_rxbuf, bf_list) + if (bf->bf_skb != NULL) { + adf_nbuf_unmap(sc->sc_dev, bf->bf_dmamap, + ADF_OS_DMA_FROM_DEVICE); + ath_free_rx_skb(sc, adf_nbuf_queue_remove(&bf->bf_skbhead)); + bf->bf_skb = NULL; + } + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_tx_draintxq_tgt(void *Context, A_UINT16 Command, A_UINT16 SeqNo, + A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + a_uint32_t q = *(a_uint32_t *)data; + struct ath_txq *txq = NULL; + + q = adf_os_ntohl(q); + txq = ATH_TXQ(sc, q); + + ath_tx_draintxq(sc, txq); + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_draintxq_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + HAL_BOOL b = (HAL_BOOL) *(a_int32_t *)data; + + ath_draintxq(Context, b); + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_aborttx_dma_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + + ath_hal_aborttxdma(sc->sc_ah); + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_aborttxq_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + a_uint16_t i; + + for (i = 0; i < HAL_NUM_TX_QUEUES; i++) { + if (ATH_TXQ_SETUP(sc, i)) + ath_tx_draintxq(sc, ATH_TXQ(sc,i)); + } + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_stop_tx_dma_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_hal *ah = sc->sc_ah; + a_uint32_t q; + + if (data) + q = *(a_uint32_t *)data; + + q = adf_os_ntohl(q); + ath_hal_stoptxdma(ah, q); + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_startrecv_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + + ath_startrecv(sc); + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_stoprecv_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_hal *ah = sc->sc_ah; + + ath_hal_stoppcurecv(ah); + ath_hal_setrxfilter(ah, 0); + ath_hal_stopdmarecv(ah); + + sc->sc_rxlink = NULL; + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void ath_setcurmode_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + a_uint16_t mode; + struct ath_hal *ah = sc->sc_ah; + + mode= *((a_uint16_t *)data); + mode = adf_os_ntohs(mode); + + ath_setcurmode(sc, mode); + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static a_uint32_t ath_detach_tgt(void *Context, A_UINT16 Command, A_UINT16 SeqNo, + A_UINT8 *data, a_int32_t datalen) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct ath_hal *ah = sc->sc_ah; + + ath_desc_free(sc); + ath_hal_detach(ah); + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); + adf_os_mem_free(sc); +} + +static void handle_echo_command(void *pContext, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *buffer, a_int32_t Length) +{ + wmi_cmd_rsp(pContext, WMI_ECHO_CMDID, SeqNo, buffer, Length); +} + +static void handle_rc_state_change_cmd(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *buffer, a_int32_t Length) + +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct wmi_rc_state_change_cmd *wmi_data = (struct wmi_rc_state_change_cmd *)buffer; + + a_uint32_t capflag = adf_os_ntohl(wmi_data->capflag); + + ath_rate_newstate(sc, &sc->sc_vap[wmi_data->vap_index].av_vap, + wmi_data->vap_state, + capflag, + &wmi_data->rs); + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void handle_rc_rate_update_cmd(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *buffer, a_int32_t Length) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct wmi_rc_rate_update_cmd *wmi_data = (struct wmi_rc_rate_update_cmd *)buffer; + + a_uint32_t capflag = adf_os_ntohl(wmi_data->capflag); + + ath_rate_node_update(sc, &sc->sc_sta[wmi_data->node_index], + wmi_data->isNew, + capflag, + &wmi_data->rs); + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static void dispatch_magpie_sys_cmds(void *pContext, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *buffer, a_int32_t Length) +{ + adf_os_assert(0); +} + +static void ath_rc_mask_tgt(void *Context, A_UINT16 Command, + A_UINT16 SeqNo, A_UINT8 *buffer, a_int32_t Length) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)Context; + struct wmi_rc_rate_mask_cmd *wmi_data = (struct wmi_rc_rate_mask_cmd *)buffer; + int idx, band, i; + + idx = wmi_data->vap_index; + band = wmi_data->band; + + sc->sc_vap[idx].av_rate_mask[band] = adf_os_ntohl(wmi_data->mask); + + if (sc->sc_vap[idx].av_rate_mask[band]) { + for (i = 0; i < RATE_TABLE_SIZE; i++) { + if ((1 << i) & sc->sc_vap[idx].av_rate_mask[band]) { + sc->sc_vap[idx].av_minrateidx[band] = i; + break; + } + } + } else { + sc->sc_vap[idx].av_minrateidx[band] = 0; + } + + wmi_cmd_rsp(sc->tgt_wmi_handle, Command, SeqNo, NULL, 0); +} + +static WMI_DISPATCH_ENTRY Magpie_Sys_DispatchEntries[] = +{ + {handle_echo_command, WMI_ECHO_CMDID, 0}, + {dispatch_magpie_sys_cmds, WMI_ACCESS_MEMORY_CMDID, 0}, + {ath_get_tgt_version, WMI_GET_FW_VERSION, 0}, + {ath_disable_intr_tgt, WMI_DISABLE_INTR_CMDID, 0}, + {ath_enable_intr_tgt, WMI_ENABLE_INTR_CMDID, 0}, + {ath_init_tgt, WMI_ATH_INIT_CMDID, 0}, + {ath_aborttxq_tgt, WMI_ABORT_TXQ_CMDID, 0}, + {ath_stop_tx_dma_tgt, WMI_STOP_TX_DMA_CMDID, 0}, + {ath_aborttx_dma_tgt, WMI_ABORT_TX_DMA_CMDID, 0}, + {ath_tx_draintxq_tgt, WMI_DRAIN_TXQ_CMDID, 0}, + {ath_draintxq_tgt, WMI_DRAIN_TXQ_ALL_CMDID, 0}, + {ath_startrecv_tgt, WMI_START_RECV_CMDID, 0}, + {ath_stoprecv_tgt, WMI_STOP_RECV_CMDID, 0}, + {ath_flushrecv_tgt, WMI_FLUSH_RECV_CMDID, 0}, + {ath_setcurmode_tgt, WMI_SET_MODE_CMDID, 0}, + {ath_node_create_tgt, WMI_NODE_CREATE_CMDID, 0}, + {ath_node_cleanup_tgt, WMI_NODE_REMOVE_CMDID, 0}, + {ath_vap_delete_tgt, WMI_VAP_REMOVE_CMDID, 0}, + {ath_vap_create_tgt, WMI_VAP_CREATE_CMDID, 0}, + {ath_hal_reg_read_tgt, WMI_REG_READ_CMDID, 0}, + {ath_hal_reg_write_tgt, WMI_REG_WRITE_CMDID, 0}, + {handle_rc_state_change_cmd, WMI_RC_STATE_CHANGE_CMDID, 0}, + {handle_rc_rate_update_cmd, WMI_RC_RATE_UPDATE_CMDID, 0}, + {ath_ic_update_tgt, WMI_TARGET_IC_UPDATE_CMDID, 0}, + {ath_enable_aggr_tgt, WMI_TX_AGGR_ENABLE_CMDID, 0}, + {ath_detach_tgt, WMI_TGT_DETACH_CMDID, 0}, + {ath_node_update_tgt, WMI_NODE_UPDATE_CMDID, 0}, + {ath_int_stats_tgt, WMI_INT_STATS_CMDID, 0}, + {ath_tx_stats_tgt, WMI_TX_STATS_CMDID, 0}, + {ath_rx_stats_tgt, WMI_RX_STATS_CMDID, 0}, + {ath_rc_mask_tgt, WMI_BITRATE_MASK_CMDID, 0}, +}; + +/*****************/ +/* Init / Deinit */ +/*****************/ + +static void htc_setup_comp(void) +{ +} + +static A_UINT8 tgt_ServiceConnect(HTC_SERVICE *pService, + HTC_ENDPOINT_ID eid, + A_UINT8 *pDataIn, + a_int32_t LengthIn, + A_UINT8 *pDataOut, + a_int32_t *pLengthOut) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)pService->ServiceCtx; + + switch(pService->ServiceID) { + case WMI_CONTROL_SVC: + sc->wmi_command_ep= eid; + break; + case WMI_BEACON_SVC: + sc->beacon_ep= eid; + break; + case WMI_CAB_SVC: + sc->cab_ep= eid; + break; + case WMI_UAPSD_SVC: + sc->uapsd_ep= eid; + break; + case WMI_MGMT_SVC: + sc->mgmt_ep= eid; + break; + case WMI_DATA_VO_SVC: + sc->data_VO_ep = eid; + break; + case WMI_DATA_VI_SVC: + sc->data_VI_ep = eid; + break; + case WMI_DATA_BE_SVC: + sc->data_BE_ep = eid; + break; + case WMI_DATA_BK_SVC: + sc->data_BK_ep = eid; + break; + default: + adf_os_assert(0); + } + + return HTC_SERVICE_SUCCESS; +} + +static void tgt_reg_service(struct ath_softc_tgt *sc, HTC_SERVICE *svc, + int svcId, HTC_SERVICE_ProcessRecvMsg recvMsg) +{ + svc->ProcessRecvMsg = recvMsg; + svc->ProcessSendBufferComplete = tgt_HTCSendCompleteHandler; + svc->ProcessConnect = tgt_ServiceConnect; + svc->MaxSvcMsgSize = 1600; + svc->TrailerSpcCheckLimit = 0; + svc->ServiceID = svcId; + svc->ServiceCtx = sc; + HTC_RegisterService(sc->tgt_htc_handle, svc); +} + +static void tgt_hif_htc_wmi_init(struct ath_softc_tgt *sc) +{ + HTC_CONFIG htc_conf; + WMI_SVC_CONFIG wmiConfig; + WMI_DISPATCH_TABLE *Magpie_Sys_Commands_Tbl; + + /* Init dynamic buf pool */ + sc->pool_handle = BUF_Pool_init(sc->sc_hdl); + + /* Init target-side HIF */ + sc->tgt_hif_handle = HIF_init(0); + + /* Init target-side HTC */ + htc_conf.HIFHandle = sc->tgt_hif_handle; + htc_conf.CreditSize = 320; + htc_conf.CreditNumber = ATH_TXBUF; + htc_conf.OSHandle = sc->sc_hdl; + htc_conf.PoolHandle = sc->pool_handle; + sc->tgt_htc_handle = HTC_init(htc_setup_comp, &htc_conf); +#if defined(PROJECT_MAGPIE) + init_htc_handle = sc->tgt_htc_handle; +#endif + + tgt_reg_service(sc, &sc->htc_beacon_service, WMI_BEACON_SVC, tgt_HTCRecv_beaconhandler); + tgt_reg_service(sc, &sc->htc_cab_service, WMI_CAB_SVC, tgt_HTCRecv_cabhandler); + tgt_reg_service(sc, &sc->htc_uapsd_service, WMI_UAPSD_SVC, tgt_HTCRecv_uapsdhandler); + tgt_reg_service(sc, &sc->htc_mgmt_service, WMI_MGMT_SVC, tgt_HTCRecv_mgmthandler); + tgt_reg_service(sc, &sc->htc_data_BE_service, WMI_DATA_BE_SVC, tgt_HTCRecvMessageHandler); + tgt_reg_service(sc, &sc->htc_data_BK_service, WMI_DATA_BK_SVC, tgt_HTCRecvMessageHandler); + tgt_reg_service(sc, &sc->htc_data_VI_service, WMI_DATA_VI_SVC, tgt_HTCRecvMessageHandler); + tgt_reg_service(sc, &sc->htc_data_VO_service, WMI_DATA_VO_SVC, tgt_HTCRecvMessageHandler); + + /* Init target-side WMI */ + Magpie_Sys_Commands_Tbl = (WMI_DISPATCH_TABLE *)adf_os_mem_alloc(sizeof(WMI_DISPATCH_TABLE)); + adf_os_mem_zero(Magpie_Sys_Commands_Tbl, sizeof(WMI_DISPATCH_TABLE)); + Magpie_Sys_Commands_Tbl->NumberOfEntries = WMI_DISPATCH_ENTRY_COUNT(Magpie_Sys_DispatchEntries); + Magpie_Sys_Commands_Tbl->pTable = Magpie_Sys_DispatchEntries; + + adf_os_mem_zero(&wmiConfig, sizeof(WMI_SVC_CONFIG)); + wmiConfig.HtcHandle = sc->tgt_htc_handle; + wmiConfig.PoolHandle = sc->pool_handle; + wmiConfig.MaxCmdReplyEvts = ATH_WMI_MAX_CMD_REPLY; + wmiConfig.MaxEventEvts = ATH_WMI_MAX_EVENTS; + + sc->tgt_wmi_handle = WMI_Init(&wmiConfig); + Magpie_Sys_Commands_Tbl->pContext = sc; + WMI_RegisterDispatchTable(sc->tgt_wmi_handle, Magpie_Sys_Commands_Tbl); + + HTC_NotifyTargetInserted(sc->tgt_htc_handle); + + /* Start HTC messages exchange */ + HTC_Ready(sc->tgt_htc_handle); +} + +a_int32_t ath_tgt_attach(a_uint32_t devid,a_uint32_t mem_start, + struct ath_softc_tgt *sc, adf_os_device_t osdev) +{ + struct ath_hal *ah; + HAL_STATUS status; + a_int32_t error = 0, i, flags = 0; + a_uint8_t csz; + + adf_os_pci_config_read8(osdev, ATH_PCI_CACHE_LINE_SIZE, &csz); + + if (csz == 0) + csz = 16; + sc->sc_cachelsz = csz << 2; + + sc->sc_dev = osdev; + sc->sc_hdl = osdev; + + ATH_INIT_TQUEUE(sc->sc_dev, &sc->sc_rxtq, ath_tgt_rx_tasklet, sc); + ATH_INIT_TQUEUE(sc->sc_dev, &sc->sc_txtq, owl_tgt_tx_tasklet, sc); + ATH_INIT_TQUEUE(sc->sc_dev, &sc->sc_bmisstq, ath_bmiss_tasklet, sc); + ATH_INIT_TQUEUE(sc->sc_dev, &sc->sc_fataltq, ath_fatal_tasklet, sc); + + flags |= AH_USE_EEPROM; + ah = _ath_hal_attach_tgt(devid,sc,sc->sc_dev,mem_start, flags, &status); + if (ah == NULL) { + error = ENXIO; + goto bad; + } + sc->sc_ah = ah; + + tgt_hif_htc_wmi_init(sc); + + sc->sc_bhalq = HAL_NUM_TX_QUEUES - 1; + + ath_rate_setup(sc, IEEE80211_MODE_11NA); + ath_rate_setup(sc, IEEE80211_MODE_11NG); + + sc->sc_rc = ath_rate_attach(sc); + if (sc->sc_rc == NULL) { + error = EIO; + goto bad2; + } + + for (i=0; i < TARGET_NODE_MAX; i++) { + sc->sc_sta[i].an_rcnode = adf_os_mem_alloc(sc->sc_rc->arc_space); + } + + error = ath_desc_alloc(sc); + if (error != 0) { + goto bad; + } + + BUF_Pool_create_pool(sc->pool_handle, POOL_ID_WLAN_RX_BUF, ath_numrxdescs, 1664); + + ath_tgt_txq_setup(sc); + sc->sc_imask =0; + ath_hal_intrset(ah,0); + + return 0; +bad: +bad2: + ath_desc_free(sc); + if (ah) + ath_hal_detach(ah); +} + +static void tgt_hif_htc_wmi_shutdown(struct ath_softc_tgt *sc) +{ + HTC_NotifyTargetDetached(sc->tgt_htc_handle); + + WMI_Shutdown(sc->tgt_wmi_handle); + HTC_Shutdown(sc->tgt_htc_handle); + HIF_shutdown(sc->tgt_hif_handle); + BUF_Pool_shutdown(sc->pool_handle); +} + +a_int32_t ath_detach(struct ath_softc_tgt *sc) +{ + tgt_hif_htc_wmi_shutdown(sc); +} diff --git a/target_firmware/wlan/if_ath_pci.c b/target_firmware/wlan/if_ath_pci.c new file mode 100755 index 0000000..8f37c08 --- /dev/null +++ b/target_firmware/wlan/if_ath_pci.c @@ -0,0 +1,208 @@ +/*- + * Copyright (c) 2002-2004 Sam Leffler, Errno Consulting + * Copyright (c) 2004 Atheros Communications, Inc. + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer, + * without modification. + * 2. Redistributions in binary form must reproduce at minimum a disclaimer + * similar to the "NO WARRANTY" disclaimer below ("Disclaimer") and any + * redistribution must be conditioned upon including a substantially + * similar Disclaimer requirement for further binary redistribution. + * 3. Neither the names of the above-listed copyright holders nor the names + * of any contributors may be used to endorse or promote products derived + * from this software without specific prior written permission. + * + * Alternatively, this software may be distributed under the terms of the + * GNU General Public License ("GPL") version 2 as published by the Free + * Software Foundation. + * + * NO WARRANTY + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS + * ``AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT + * LIMITED TO, THE IMPLIED WARRANTIES OF NONINFRINGEMENT, MERCHANTIBILITY + * AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL + * THE COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR SPECIAL, EXEMPLARY, + * OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER + * IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF + * THE POSSIBILITY OF SUCH DAMAGES. + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/madwifi/ath/if_ath_pci.c#1 $ + */ + +#ifndef EXPORT_SYMTAB +#define EXPORT_SYMTAB +#endif + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include + +#include +#include "if_athvar.h" +#include "if_ath_pci.h" + +extern a_int32_t ath_tgt_attach(a_uint32_t devid,a_uint32_t mem_start, + struct ath_softc_tgt *sc, adf_os_device_t osdev); +extern a_int32_t ath_detach(void *); +extern adf_os_irq_resp_t ath_intr(adf_drv_handle_t hdl); + +struct ath_pci_softc { + struct ath_softc_tgt aps_sc; +#ifdef CONFIG_PM + u32 ps_pmstate[16]; +#endif +}; + +/* + * User a static table of PCI id's for now. While this is the + * "new way" to do things, we may want to switch back to having + * the HAL check them by defining a probe method. + */ +#ifdef ATH_SUPPORT_XB_ONLY +static adf_os_pci_dev_id_t ath_pci_id_table[] = { + { 0x168c, 0x0024, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* PCI-E (XB) */ + { 0 }, +}; +#else + +static adf_os_pci_dev_id_t ath_pci_id_table[] = { + { 0x168c, 0x0007, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x0012, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x0013, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0xa727, 0x0013, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* 3com */ + { 0x10b7, 0x0013, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* 3com 3CRDAG675 */ + { 0x168c, 0x1014, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* IBM minipci 5212 */ + { 0x168c, 0x101a, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* some Griffin-Lite */ + { 0x168c, 0x0015, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x0016, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x0017, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x0018, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x0019, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x001a, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x001b, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x001c, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0x001d, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, + { 0x168c, 0xff1d, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* owl emulation */ + { 0x168c, 0xff1c, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* owl emulation */ + { 0x168c, 0x0023, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* PCI (MB/CB) */ + { 0x168c, 0x0024, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* PCI-E (XB) */ + { 0x168c, 0x0027, ADF_OS_PCI_ANY_ID, ADF_OS_PCI_ANY_ID }, /* Sowl PCI */ + { 0 }, +}; +#endif + +void bus_read_cachesize(struct ath_softc *sc, a_uint8_t *csz); +void exit_ath_pci(void); +a_int32_t init_ath_pci(void); + +static adf_drv_handle_t +ath_pci_probe(adf_os_resource_t *res,a_int32_t count, adf_os_attach_data_t *data, + adf_os_device_t osdev) +{ + struct ath_pci_softc *sc; + a_uint8_t csz = 32; + adf_os_pci_dev_id_t *id = (adf_os_pci_dev_id_t *)data; + + adf_os_pci_config_write8(osdev, ATH_PCI_CACHE_LINE_SIZE, csz); + adf_os_pci_config_write8(osdev, ATH_PCI_LATENCY_TIMER, 0xa8); + + sc = adf_os_mem_alloc(sizeof(struct ath_pci_softc)); + + if (sc == NULL) { + adf_os_print("ath_pci: no memory for device state\n"); + goto bad2; + } + adf_os_mem_set(sc, 0, sizeof(struct ath_pci_softc)); + + /* + * Mark the device as detached to avoid processing + * interrupts until setup is complete. + */ + sc->aps_sc.sc_invalid = 1; + + adf_os_print("ath_pci_probe %x\n",id->device); + + if (ath_tgt_attach(id->device, res->start, &sc->aps_sc, osdev) != 0) + goto bad3; + + /* ready to process interrupts */ + sc->aps_sc.sc_invalid = 0; + adf_os_setup_intr(osdev, ath_intr); + return (adf_drv_handle_t)sc; +bad3: +bad2: + return NULL; +} + +static void +ath_pci_remove(adf_drv_handle_t hdl) +{ + struct ath_softc_tgt *sc = hdl; + + ath_detach((struct ath_softc_tgt *)hdl); + adf_os_free_intr(sc->sc_dev); +} + +static void +ath_pci_suspend(adf_drv_handle_t hdl, adf_os_pm_t pm) +{ +} + +static void +ath_pci_resume(adf_drv_handle_t hdl) +{ +} + +static char *dev_info = "ath_pci_tgt"; + +void +bus_read_cachesize(struct ath_softc *sc, a_uint8_t *csz) +{ + *csz = adf_os_cache_line_size(); +} + +static adf_drv_info_t ath_drv_info = adf_os_pci_set_drv_info(ath_pci_tgt,&ath_pci_id_table[0], ath_pci_probe, ath_pci_remove, ath_pci_suspend, ath_pci_resume); + +a_int32_t +init_ath_pci(void) +{ + return adf_net_register_drv( &ath_drv_info ); +} + +void +exit_ath_pci(void) +{ + adf_net_unregister_drv("ath_pci"); +} + +adf_os_pci_module_init(init_ath_pci); +adf_os_pci_module_exit(exit_ath_pci); +adf_os_module_dep(ath_pci_tgt, adf_net); +adf_os_module_dep(ath_pci_tgt, hal); +adf_os_module_dep(ath_pci_tgt, ath_pci); +adf_os_module_dep(ath_pci_tgt, wlan_tgt); +adf_os_module_dep(ath_pci_tgt, htc_tgt); +adf_os_module_dep(ath_pci_tgt, inproc_hif); diff --git a/target_firmware/wlan/if_ath_pci.h b/target_firmware/wlan/if_ath_pci.h new file mode 100755 index 0000000..dc5a1da --- /dev/null +++ b/target_firmware/wlan/if_ath_pci.h @@ -0,0 +1,46 @@ +/*- + * Copyright (c) 2002-2004 Sam Leffler, Errno Consulting + * Copyright (c) 2004 Atheros Communications, Inc. + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer, + * without modification. + * 2. Redistributions in binary form must reproduce at minimum a disclaimer + * similar to the "NO WARRANTY" disclaimer below ("Disclaimer") and any + * redistribution must be conditioned upon including a substantially + * similar Disclaimer requirement for further binary redistribution. + * 3. Neither the names of the above-listed copyright holders nor the names + * of any contributors may be used to endorse or promote products derived + * from this software without specific prior written permission. + * + * Alternatively, this software may be distributed under the terms of the + * GNU General Public License ("GPL") version 2 as published by the Free + * Software Foundation. + * + * NO WARRANTY + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS + * ``AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT + * LIMITED TO, THE IMPLIED WARRANTIES OF NONINFRINGEMENT, MERCHANTIBILITY + * AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL + * THE COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR SPECIAL, EXEMPLARY, + * OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER + * IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF + * THE POSSIBILITY OF SUCH DAMAGES. + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/madwifi/ath/if_ath_pci.h#1 $ + */ + +#ifndef _DEV_ATH_PCI_H_ +#define _DEV_ATH_PCI_H_ + +#define ATH_PCI_CACHE_LINE_SIZE 0x0c +#define ATH_PCI_LATENCY_TIMER 0x0d + +#endif /* _DEV_ATH_PCI_H_ */ diff --git a/target_firmware/wlan/if_athrate.h b/target_firmware/wlan/if_athrate.h new file mode 100755 index 0000000..1ca50b1 --- /dev/null +++ b/target_firmware/wlan/if_athrate.h @@ -0,0 +1,117 @@ +/*- + * Copyright (c) 2004 Sam Leffler, Errno Consulting + * Copyright (c) 2004 Video54 Technologies, Inc. + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer, + without modification. + * 2. Redistributions in binary form must reproduce at minimum a disclaimer + * similar to the "NO WARRANTY" disclaimer below ("Disclaimer") and any + * redistribution must be conditioned upon including a substantially + * similar Disclaimer requirement for further binary redistribution. + * 3. Neither the names of the above-listed copyright holders nor the names + * of any contributors may be used to endorse or promote products derived + * from this software without specific prior written permission. + * + * Alternatively, this software may be distributed under the terms of the + * GNU General Public License ("GPL") version 2 as published by the Free + * Software Foundation. + * + * NO WARRANTY + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS + * ``AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT + * LIMITED TO, THE IMPLIED WARRANTIES OF NONINFRINGEMENT, MERCHANTIBILITY + * AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL + * THE COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR SPECIAL, EXEMPLARY, + * OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER + * IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF + * THE POSSIBILITY OF SUCH DAMAGES. + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/madwifi/ath/if_athrate.h#2 $ + */ +#ifndef _ATH_RATECTRL_H_ +#define _ATH_RATECTRL_H_ + +struct ath_softc; +struct ath_softc_tgt; +struct ath_node; +struct ath_node_target; +struct ath_desc; +struct ieee80211vap; +struct ieee80211com_target; + +struct ath_ratectrl { + size_t arc_space; /* space required for per-node state */ +}; + +#define ATH_RC_DS_FLAG 0x01 +#define ATH_RC_CW40_FLAG 0x02 +#define ATH_RC_HT40_SGI_FLAG 0x04 +#define ATH_RC_HT_FLAG 0x08 +#define ATH_RC_RTSCTS_FLAG 0x10 +#define ATH_RC_TX_STBC_FLAG 0x20 /* TX STBC */ +#define ATH_RC_RX_STBC_FLAG 0xC0 /* RX STBC ,2 bits */ +#define ATH_RC_RX_STBC_FLAG_S 6 +#define ATH_RC_WEP_TKIP_FLAG 0x100 /* WEP/TKIP encryption */ + +enum ath_rc_cwmode{ + ATH_RC_CW20_MODE, + ATH_RC_CW40_MODE, +}; + +#define ATH_RC_PROBE_ALLOWED 0x00000001 +#define ATH_RC_MINRATE_LASTRATE 0x00000002 + +struct ath_rc_series { + a_uint8_t rix; + a_uint8_t tries; + u_int8_t tx_chainmask; + a_uint8_t flags; + a_uint32_t max4msframelen; + a_uint32_t txrateKbps; +}; + +/* + * Attach/detach a rate control module. + */ +struct ath_ratectrl *ath_rate_attach(struct ath_softc_tgt *); +void ath_rate_detach(struct ath_ratectrl *); + +/* + * Return the transmit info for a data packet. If multi-rate state + * is to be setup then try0 should contain a value other than ATH_TXMATRY + * and ath_rate_setupxtxdesc will be called after deciding if the frame + * can be transmitted with multi-rate retry. + */ +void ath_rate_findrate(struct ath_softc_tgt *sc, + struct ath_node_target *an, + a_int32_t shortPreamble, + size_t frameLen, + a_int32_t numTries, + a_int32_t numRates, + a_int32_t stepDnInc, + a_uint32_t rcflag, + struct ath_rc_series series[], + a_int32_t *isProbe); +/* + * Update rate control state for a packet associated with the + * supplied transmit descriptor. The routine is invoked both + * for packets that were successfully sent and for those that + * failed (consult the descriptor for details). + */ +void ath_rate_tx_complete(struct ath_softc_tgt *, struct ath_node_target *, + struct ath_tx_desc *, struct ath_rc_series series[], + a_int32_t nframes, a_int32_t nbad); + + +void ath_rate_stateupdate(struct ath_softc_tgt *sc, struct ath_node_target *an, + enum ath_rc_cwmode cwmode); + +#endif /* _ATH_RATECTRL_H_ */ diff --git a/target_firmware/wlan/if_athvar.h b/target_firmware/wlan/if_athvar.h new file mode 100755 index 0000000..b57c4e0 --- /dev/null +++ b/target_firmware/wlan/if_athvar.h @@ -0,0 +1,530 @@ +#ifndef _DEV_ATH_ATHVAR_H +#define _DEV_ATH_ATHVAR_H + + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "ah.h" + +#include "ieee80211_var.h" +#include "ieee80211_node.h" +#include "if_athrate.h" +#include +#include +#include +#include +#include +#include + +#define tq_struct adf_os_bh_t +#define ATH_INIT_TQUEUE(a,b,c,d) adf_os_init_bh((a),(b),(c),(void *)(d)) +#define ATH_SCHEDULE_TQUEUE(a,b) adf_os_sched_bh((a),(b)) +typedef void * TQUEUE_ARG; + +#define ATH_MIN(a,b) ((a) < (b) ? (a) : (b)) +#define ATH_AC_2_TXQ(_sc, _ac) (_sc)->sc_ac2q[(_ac)] +#define TID_TO_ACTXQ(tidno) sc->sc_ac2q[ TID_TO_WME_AC(tidno)] + +#define RATE_TABLE_SIZE 32 + +#define INCR(_l, _sz) (_l) ++; (_l) &= ((_sz) - 1) + +#define IEEE80211_SEQ_MAX 4096 +#define SEQNO_FROM_BF_SEQNO(_x) (_x >> IEEE80211_SEQ_SEQ_SHIFT) +#define BAW_WITHIN(_start, _bawsz, _seqno) \ + ((((_seqno) - (_start)) & 4095) < (_bawsz)) + +#define __stats(sc, _x) sc->sc_tx_stats._x ++ +#define __statsn(sc, _x, _n) sc->sc_tx_stats._x += _n + +#define IS_HT_RATE(_rate) ((_rate) & 0x80) + +typedef enum { + ATH_TGT_AGGR_DONE, + ATH_TGT_AGGR_BAW_CLOSED, + ATH_TGT_AGGR_LIMITED, + ATH_AGGR_SHORTPKT, + ATH_AGGR_8K_LIMITED, +} ATH_AGGR_STATUS; + +#define ATH_BA_ISSET(_bm, _n) (((_n) < (WME_BA_BMP_SIZE)) && \ + ((_bm)[(_n) >> 5] & (1 << ((_n) & 31)))) + +#define ATH_DS_BA_SEQ(_ds) ((struct ath_tx_desc *)_ds)->ds_us.tx.ts_seqnum +#define ATH_DS_BA_BITMAP(_ds) (&((struct ath_tx_desc *)_ds)->ds_us.tx.ba_low) +#define ATH_DS_TX_BA(_ds) (((struct ath_tx_desc *)_ds)->ds_us.tx.ts_flags & HAL_TX_BA) +#define ATH_DS_TX_STATUS(_ds) ((struct ath_tx_desc *)_ds)->ds_us.tx.ts_status +#define ATH_DS_TX_FLAGS(_ds) ((struct ath_tx_desc *)_ds)->ds_us.tx.ts_flags +#define ATH_BA_INDEX(_st, _seq) (((_seq) - (_st)) & (IEEE80211_SEQ_MAX - 1)) + +#define ATH_AGGR_DELIM_SZ 4 +#define ATH_AGGR_MINPLEN 256 +#define ATH_AGGR_ENCRYPTDELIM 10 + +#define ATH_AGGR_GET_NDELIM(_len) \ + (((((_len) + ATH_AGGR_DELIM_SZ) < ATH_AGGR_MINPLEN) ? \ + (ATH_AGGR_MINPLEN - (_len) - ATH_AGGR_DELIM_SZ) : 0) >> 2) + +#define PADBYTES(_len) ((4 - ((_len) % 4)) % 4) +#define OWLMAX_RETRIES 10 +#define OWLMAX_BAR_RETRIES 10 + +#define ATH_AN_2_TID(_an, _tidno) (&(_an)->tid[(_tidno)]) +#define ATH_TXDESC 1 + +#define ATH_TXMAXTRY 11 +#define TARGET_NODE_MAX ATH_NODE_MAX +#define TARGET_VAP_MAX ATH_VAP_MAX + +#define ATH_NODE_TARGET(_n) ((struct ath_node *)(_n)) + +#define MAX_RATE_POWER 63 +#define ATH_COMP_PROC_NO_COMP_NO_CCS 3 + +#define ATH_BUFSTATUS_DONE 0x00000001 /* hw processing complete, desc processed by hal */ + +#define ATH_AGGR_MIN_QDEPTH 1 + +struct ath_softc_tgt; +struct ath_buf; +struct ath_txq; + +#define ATH_TXQ(_sc, _qi) (&(_sc)->sc_txq[(_qi)]) +#define ATH_TXQ_SETUP(sc, i) ((sc)->sc_txqsetup & (1<pool_handle, POOL_ID_WLAN_RX_BUF, _skb) +#define ath_free_tx_skb(_htc_handle, endpt, _skb) HTC_ReturnBuffers(_htc_handle, endpt, _skb); + +typedef void (*ath_txq_add_fn_t)(struct ath_softc_tgt *sc, struct ath_buf *bf); +typedef void (*ath_tx_comp_fn_t)(struct ath_softc_tgt *sc, struct ath_buf *bf); + +struct ath_buf_state { + ath_tx_comp_fn_t bfs_comp; /* completion function */ + ath_txq_add_fn_t bfs_txq_add; /* txq buffer add function */ + a_uint16_t bfs_pktlen; /* pktlen including crc */ + a_uint16_t bfs_seqno; /* sequence nuber */ + a_uint8_t bfs_hdrlen; /* header length */ + a_uint8_t bfs_keyix; /* key index */ + a_uint8_t bfs_atype; /* packet type */ + a_uint8_t bfs_ndelim; /* # delims for padding */ + a_uint8_t bfs_nframes; /* # frames in aggregate */ + a_uint8_t bfs_tidno; /* tid of the buffer */ + a_uint16_t bfs_al; /* length of aggregate */ + struct ath_rc_series bfs_rcs[4]; /* rate series */ + struct ath_txq *bfs_txq; /* transmit h/w queue */ + a_uint8_t bfs_protmode; /* protection mode */ + a_uint8_t bfs_keytype; /* encr key type */ + a_uint8_t bfs_retries; /* current retries */ + a_uint32_t bfs_ismcast : 1; /* is multicast */ + a_uint32_t bfs_shpream : 1; /* use short preamble */ + a_uint32_t bfs_isaggr : 1; /* is an aggregate */ + a_uint32_t bfs_isretried: 1; /* is retried */ +}; + +#define bf_comp bf_state.bfs_comp +#define bf_txq_add bf_state.bfs_txq_add +#define bf_pktlen bf_state.bfs_pktlen +#define bf_hdrlen bf_state.bfs_hdrlen +#define bf_keyix bf_state.bfs_keyix +#define bf_atype bf_state.bfs_atype +#define bf_seqno bf_state.bfs_seqno +#define bf_ndelim bf_state.bfs_ndelim +#define bf_nframes bf_state.bfs_nframes +#define bf_al bf_state.bfs_al +#define bf_tidno bf_state.bfs_tidno +#define bf_rcs bf_state.bfs_rcs +#define bf_txq bf_state.bfs_txq +#define bf_protmode bf_state.bfs_protmode +#define bf_keytype bf_state.bfs_keytype +#define bf_ismcast bf_state.bfs_ismcast +#define bf_shpream bf_state.bfs_shpream +#define bf_isaggr bf_state.bfs_isaggr +#define bf_isretried bf_state.bfs_isretried +#define bf_retries bf_state.bfs_retries + +#define ATH_GENERIC_BUF \ + asf_tailq_entry(ath_buf) bf_list; \ + struct ath_buf *bf_next; \ + struct ath_desc *bf_desc; \ + struct ath_desc *bf_descarr; \ + adf_os_dma_map_t bf_dmamap; \ + adf_os_dmamap_info_t bf_dmamap_info; \ + struct ieee80211_node_target *bf_node; \ + adf_nbuf_queue_t bf_skbhead; \ + adf_nbuf_t bf_skb; \ + struct ath_desc *bf_lastds; + +struct ath_buf +{ + ATH_GENERIC_BUF +}; + +struct ath_tx_buf +{ + ATH_GENERIC_BUF + struct ath_buf_state bf_state; + a_uint16_t bf_flags; + HTC_ENDPOINT_ID bf_endpt; + a_uint16_t al_delta; + a_uint8_t bf_cookie; +}; + +struct ath_rx_buf +{ + ATH_GENERIC_BUF + a_uint32_t bf_status; + struct ath_rx_status bf_rx_status; +}; + +#define ATH_BUF_GET_DESC_PHY_ADDR(bf) bf->bf_desc +#define ATH_BUF_GET_DESC_PHY_ADDR_WITH_IDX(bf, idx) (adf_os_dma_addr_t)(&bf->bf_descarr[idx]) +#define ATH_BUF_SET_DESC_PHY_ADDR(bf, addr) +#define ATH_BUF_SET_DESC_PHY_ADDR_WITH_IDX(bf, idx, addr) + +typedef asf_tailq_head(ath_deschead_s, ath_rx_desc) ath_deschead; +typedef asf_tailq_head(ath_bufhead_s, ath_buf) ath_bufhead; + +#define WME_NUM_TID 8 +#define WME_BA_BMP_SIZE 64 +#define WME_MAX_BA WME_BA_BMP_SIZE +#define ATH_TID_MAX_BUFS (2 * WME_MAX_BA) +#define TID_CLEANUP_INPROGRES 0x1 +#define TID_AGGR_ENABLED 0x2 +#define TID_REINITIALIZE 0x4 + +#define TAILQ_DEQ(_q, _elm, _field) do { \ + (_elm) = asf_tailq_first((_q)); \ + if (_elm) { \ + asf_tailq_remove((_q), (_elm), _field); \ + } \ + } while (0) + +#define TX_BUF_BITMAP_SIZE 32 +#define TX_BUF_BITMAP_SET(bitmap, i) bitmap[i>>5] |= ((a_uint32_t)1 << (i&0x1f)) +#define TX_BUF_BITMAP_CLR(bitmap, i) bitmap[i>>5] &= (~((a_uint32_t)1 << (i&0x1f))) +#define TX_BUF_BITMAP_IS_SET(bitmap, i) ((bitmap[i>>5] & ((a_uint32_t)1 << (i&0x1f))) != 0) + +typedef struct ath_atx_tid { + a_int32_t tidno; + a_uint16_t seq_start; + a_uint16_t seq_next; + a_uint16_t baw_size; + a_int32_t baw_head; + a_int32_t baw_tail; + a_uint32_t tx_buf_bitmap[ATH_TID_MAX_BUFS/TX_BUF_BITMAP_SIZE]; + asf_tailq_entry(ath_atx_tid) tid_qelem; + asf_tailq_head(ath_tid_rbq,ath_buf) buf_q; + a_int8_t paused; + a_int8_t sched; + a_uint8_t flag; + a_int8_t incomp; + struct ath_node_target *an; +} ath_atx_tid_t; + +struct ath_node_target { + struct ieee80211_node_target ni; + struct ath_atx_tid tid[WME_NUM_TID]; + a_int8_t an_valid; + void *an_rcnode; +}; + +struct ath_descdma { + const a_int8_t *dd_name; + struct ath_desc *dd_desc; + adf_os_dma_map_t dd_desc_dmamap; + adf_os_dma_addr_t dd_desc_paddr; + adf_os_size_t dd_desc_len; + struct ath_buf *dd_bufptr; +}; + +struct ath_txq { + a_uint32_t axq_qnum; + a_uint32_t *axq_link; + asf_tailq_head(,ath_buf) axq_q; + a_uint32_t axq_depth; + struct ath_buf *axq_linkbuf; + asf_tailq_head(,ath_atx_tid) axq_tidq; +}; + +struct wmi_rc_rate_mask_cmd { + a_uint8_t vap_index; + a_uint8_t band; + a_uint32_t mask; + a_uint16_t pad; +} POSTPACK; + +struct ath_vap_target { + struct ieee80211vap_target av_vap; + struct ath_txq av_mcastq; + struct ath_buf *av_bcbuf; + a_uint32_t av_rate_mask[2]; /* 0 - 2G, 1 - 5G */ + a_uint8_t av_minrateidx[2]; /* 0 - 2G, 1 - 5G */ + a_int8_t av_valid; +}; + +#define ATH_RXBUF_RESET(bf) \ + bf->bf_status=0 + +struct ath_softc_tgt +{ + /* Target-side HTC/HIF/WMI related data structure */ + pool_handle_t pool_handle; + hif_handle_t tgt_hif_handle; + htc_handle_t tgt_htc_handle; + wmi_handle_t tgt_wmi_handle; + + /* Target HTC Service IDs */ + HTC_SERVICE htc_beacon_service; + HTC_SERVICE htc_cab_service; + HTC_SERVICE htc_uapsd_service; + HTC_SERVICE htc_mgmt_service; + HTC_SERVICE htc_data_VO_service; + HTC_SERVICE htc_data_VI_service; + HTC_SERVICE htc_data_BE_service; + HTC_SERVICE htc_data_BK_service; + + + /* Target HTC Endpoint IDs */ + HTC_ENDPOINT_ID wmi_command_ep; + HTC_ENDPOINT_ID beacon_ep; + HTC_ENDPOINT_ID cab_ep; + HTC_ENDPOINT_ID uapsd_ep; + HTC_ENDPOINT_ID mgmt_ep; + HTC_ENDPOINT_ID data_VO_ep; + HTC_ENDPOINT_ID data_VI_ep; + HTC_ENDPOINT_ID data_BE_ep; + HTC_ENDPOINT_ID data_BK_ep; + + adf_os_handle_t sc_hdl; + adf_os_device_t sc_dev; + a_uint8_t sc_bhalq; + struct ath_ratectrl *sc_rc; + + a_uint32_t sc_invalid : 1, + sc_txstbcsupport : 1, + sc_rxstbcsupport : 2, + sc_tx_draining : 1, + sc_enable_coex : 1; + + a_int32_t sc_rxbufsize; + a_uint16_t sc_cachelsz; + + struct ath_interrupt_stats sc_int_stats; + struct ath_tx_stats sc_tx_stats; + struct ath_rx_stats sc_rx_stats; + + const HAL_RATE_TABLE *sc_rates[IEEE80211_MODE_MAX]; + const HAL_RATE_TABLE *sc_currates; + + a_uint8_t sc_rixmap[256]; + + enum ieee80211_phymode sc_curmode; + + a_uint8_t sc_protrix; + HAL_INT sc_imask; + + tq_struct sc_rxtq; + tq_struct sc_bmisstq; + tq_struct sc_txtotq; + tq_struct sc_fataltq; + + ath_bufhead sc_rxbuf; + + ath_deschead sc_rxdesc_idle; + ath_deschead sc_rxdesc; + struct ath_desc *sc_rxdesc_held; + + struct ath_buf *sc_txbuf_held; + + struct ath_descdma sc_rxdma; + struct ath_descdma sc_txdma; + struct ath_descdma sc_bdma; + + a_uint32_t *sc_rxlink; + ath_bufhead sc_txbuf; + a_uint8_t sc_txqsetup; + + struct ath_txq sc_txq[HAL_NUM_TX_QUEUES]; + struct ath_txq *sc_ac2q[WME_NUM_AC]; + tq_struct sc_txtq; + + struct ath_hal *sc_ah; + struct ath_txq *sc_cabq; + struct ath_txq *sc_uapsdq; + struct ath_node_target sc_sta[TARGET_NODE_MAX]; + struct ath_vap_target sc_vap[TARGET_VAP_MAX]; + struct ieee80211com_target sc_ic; + + ath_bufhead sc_bbuf; + a_uint64_t sc_swba_tsf; + + WMI_TXSTATUS_EVENT tx_status[2]; +}; + +#define SM(_v, _f) (((_v) << _f##_S) & _f) +#define MS(_v, _f) (((_v) & _f) >> _f##_S) + +#define ATH9K_HTC_TXSTAT_ACK 1<<0 +#define ATH9K_HTC_TXSTAT_FILT 1<<1 +#define ATH9K_HTC_TXSTAT_RTC_CTS 1<<2 +#define ATH9K_HTC_TXSTAT_MCS 1<<3 +#define ATH9K_HTC_TXSTAT_CW40 1<<4 +#define ATH9K_HTC_TXSTAT_SGI 1<<5 + +#define ATH9K_HTC_TXSTAT_RATE 0x0f +#define ATH9K_HTC_TXSTAT_RATE_S 0 +#define ATH9K_HTC_TXSTAT_EPID 0xf0 +#define ATH9K_HTC_TXSTAT_EPID_S 4 + +#define TAILQ_INSERTQ_HEAD(head, tq, field) do { \ + if ((head)->tqh_first) { \ + *(tq)->tqh_last = (head)->tqh_first; \ + (head)->tqh_first->field.tqe_prev = (tq)->tqh_last; \ + } else { \ + (head)->tqh_last = (tq)->tqh_last; \ + } \ + (head)->tqh_first = (tq)->tqh_first; \ + (tq)->tqh_first->field.tqe_prev = &(head)->tqh_first; \ + } while (0) + +#define ATH_TXQ_INSERT_TAIL(_tq, _elm, _field) do { \ + asf_tailq_insert_tail( &(_tq)->axq_q, (_elm), _field); \ + (_tq)->axq_depth++; \ + (_tq)->axq_linkbuf = (_elm); \ + } while (0) +#define ATH_TXQ_REMOVE_HEAD(_tq, _elm, _field) do { \ + asf_tailq_remove(&(_tq)->axq_q, (_elm), _field); \ + (_tq)->axq_depth--; \ + } while (0) + +struct ieee80211_rate { + struct ieee80211_rateset rates; + struct ieee80211_rateset htrates; +} __attribute__((packed)); + +struct wmi_rc_state_change_cmd { + a_uint8_t vap_index; + a_uint8_t vap_state; + a_uint8_t pad[2]; + a_uint32_t capflag; + struct ieee80211_rate rs; +} __attribute__((packed)); + +struct wmi_rc_rate_update_cmd { + a_uint8_t node_index; + a_uint8_t isNew; + a_uint8_t pad[2]; + a_uint32_t capflag; + struct ieee80211_rate rs; +} __attribute__((packed)); + +typedef enum { + OWL_TXQ_ACTIVE = 0, + OWL_TXQ_STOPPED, + OWL_TXQ_FILTERED, +} owl_txq_state_t; + +a_uint8_t ath_get_minrateidx(struct ath_softc_tgt *sc, struct ath_vap_target *avp); + +#define ath_hal_getratetable(_ah, _mode) \ + ((*(_ah)->ah_getRateTable)((_ah), (_mode))) +#define ath_hal_intrset(_ah, _mask) \ + ((*(_ah)->ah_setInterrupts)((_ah), (_mask))) +#define ath_hal_intrpend(_ah) \ + ((*(_ah)->ah_isInterruptPending)((_ah))) +#define ath_hal_getisr(_ah, _pmask) \ + ((*(_ah)->ah_getPendingInterrupts)((_ah), (_pmask))) +#define ath_hal_updatetxtriglevel(_ah, _inc) \ + ((*(_ah)->ah_updateTxTrigLevel)((_ah), (_inc))) +#define ath_hal_setuprxdesc(_ah, _ds, _size, _intreq) \ + ((*(_ah)->ah_setupRxDesc)((_ah), (_ds), (_size), (_intreq))) +#define ath_hal_rxprocdescfast(_ah, _ds, _dspa, _dsnext, _rx_stats) \ + ((*(_ah)->ah_procRxDescFast)((_ah), (_ds), (_dspa), (_dsnext), (_rx_stats))) +#define ath_hal_stoptxdma(_ah, _qnum) \ + ((*(_ah)->ah_stopTxDma)((_ah), (_qnum))) +#define ath_hal_aborttxdma(_ah) \ + ((*(_ah)->ah_abortTxDma)(_ah)) +#define ath_hal_set11n_txdesc(_ah, _ds, _pktlen, _type, _txpower,\ + _keyix, _keytype, _flags) \ + ((*(_ah)->ah_set11nTxDesc)(_ah, _ds, _pktlen, _type, _txpower, _keyix,\ + _keytype, _flags)) +#define ath_hal_set11n_ratescenario(_ah, _ds, _durupdate, _rtsctsrate, _rtsctsduration, \ + _series, _nseries, _flags) \ + ((*(_ah)->ah_set11nRateScenario)(_ah, _ds, _durupdate, _rtsctsrate, _rtsctsduration,\ + _series, _nseries, _flags)) +#define ath_hal_clr11n_aggr(_ah, _ds) \ + ((*(_ah)->ah_clr11nAggr)(_ah, _ds)) +#define ath_hal_set11n_burstduration(_ah, _ds, _burstduration) \ + ((*(_ah)->ah_set11nBurstDuration)(_ah, _ds, _burstduration)) +#define ath_hal_set11n_virtualmorefrag(_ah, _ds, _vmf) \ + ((*(_ah)->ah_set11nVirtualMoreFrag)(_ah, _ds, _vmf)) +#define ath_hal_setuptxdesc(_ah, _ds, _plen, _hlen, _atype, _txpow, \ + _txr0, _txtr0, _keyix, _ant, _flags, \ + _rtsrate, _rtsdura, \ + _compicvlen, _compivlen, _comp) \ + ((*(_ah)->ah_setupTxDesc)((_ah), (_ds), (_plen), (_hlen), (_atype), \ + (_txpow), (_txr0), (_txtr0), (_keyix), (_ant), \ + (_flags), (_rtsrate), (_rtsdura), \ + (_compicvlen), (_compivlen), (_comp))) +#define ath_hal_fillkeytxdesc(_ah, _ds, _keytype) \ + ((*(_ah)->ah_fillKeyTxDesc)((_ah), (_ds), (_keytype))) +#define ath_hal_filltxdesc(_ah, _ds, _l, _first, _last, _ds0) \ + ((*(_ah)->ah_fillTxDesc)((_ah), (_ds), (_l), (_first), (_last), (_ds0))) +#define ath_hal_txprocdesc(_ah, _ds) \ + ((*(_ah)->ah_procTxDesc)((_ah), (_ds))) +#define ath_hal_putrxbuf(_ah, _bufaddr) \ + ((*(_ah)->ah_setRxDP)((_ah), (_bufaddr))) +#define ath_hal_rxena(_ah) \ + ((*(_ah)->ah_enableReceive)((_ah))) +#define ath_hal_stopdmarecv(_ah) \ + ((*(_ah)->ah_stopDmaReceive)((_ah))) +#define ath_hal_stoppcurecv(_ah) \ + ((*(_ah)->ah_stopPcuReceive)((_ah))) +#define ath_hal_htsupported(_ah) \ + (ath_hal_getcapability(_ah, HAL_CAP_HT, 0, NULL) == HAL_OK) +#define ath_hal_rxstbcsupport(_ah, _rxstbc) \ + (ath_hal_getcapability(_ah, HAL_CAP_RX_STBC, 0, _rxstbc) == HAL_OK) +#define ath_hal_txstbcsupport(_ah, _txstbc) \ + (ath_hal_getcapability(_ah, HAL_CAP_TX_STBC, 0, _txstbc) == HAL_OK) +#define ath_hal_getrtsaggrlimit(_ah, _pv) \ + (ath_hal_getcapability(_ah, HAL_CAP_RTS_AGGR_LIMIT, 0, _pv) == HAL_OK) +#define ath_hal_puttxbuf(_ah, _q, _bufaddr) \ + ((*(_ah)->ah_setTxDP)((_ah), (_q), (_bufaddr))) + #define ath_hal_txstart(_ah, _q) \ + ((*(_ah)->ah_startTxDma)((_ah), (_q))) +#define ath_hal_setrxfilter(_ah, _filter) \ + ((*(_ah)->ah_setRxFilter)((_ah), (_filter))) +#define ath_hal_gettsf64(_ah) \ + ((*(_ah)->ah_getTsf64)((_ah))) +#define ath_hal_intrset(_ah, _mask) \ + ((*(_ah)->ah_setInterrupts)((_ah), (_mask))) +#define ath_hal_getcapability(_ah, _cap, _param, _result) \ + ((*(_ah)->ah_getCapability)((_ah), (_cap), (_param), (_result))) +#define ath_hal_set11n_aggr_first(_ah, _ds, _aggrlen, _numdelims) \ + ((*(_ah)->ah_set11nAggrFirst)(_ah, _ds, _aggrlen, _numdelims)) +#define ath_hal_set11n_aggr_middle(_ah, _ds, _numdelims) \ + ((*(_ah)->ah_set11nAggrMiddle)(_ah, _ds, _numdelims)) +#define ath_hal_set11n_aggr_last(_ah, _ds) \ + ((*(_ah)->ah_set11nAggrLast)(_ah, _ds)) +#define ath_hal_numtxpending(_ah, _q) \ + ((*(_ah)->ah_numTxPending)((_ah), (_q))) + +#endif /* _DEV_ATH_ATHVAR_H */ diff --git a/target_firmware/wlan/if_ethersubr.h b/target_firmware/wlan/if_ethersubr.h new file mode 100755 index 0000000..32d5068 --- /dev/null +++ b/target_firmware/wlan/if_ethersubr.h @@ -0,0 +1,79 @@ +/*- + * Copyright (c) 2002-2004 Sam Leffler, Errno Consulting + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer, + * without modification. + * 2. Redistributions in binary form must reproduce at minimum a disclaimer + * similar to the "NO WARRANTY" disclaimer below ("Disclaimer") and any + * redistribution must be conditioned upon including a substantially + * similar Disclaimer requirement for further binary redistribution. + * 3. Neither the names of the above-listed copyright holders nor the names + * of any contributors may be used to endorse or promote products derived + * from this software without specific prior written permission. + * + * Alternatively, this software may be distributed under the terms of the + * GNU General Public License ("GPL") version 2 as published by the Free + * Software Foundation. + * + * NO WARRANTY + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS + * ``AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT + * LIMITED TO, THE IMPLIED WARRANTIES OF NONINFRINGEMENT, MERCHANTIBILITY + * AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL + * THE COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR SPECIAL, EXEMPLARY, + * OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER + * IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF + * THE POSSIBILITY OF SUCH DAMAGES. + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/madwifi/net80211/if_ethersubr.h#1 $ + */ + +#ifndef _NET_IF_ETHERSUBR_H_ +#define _NET_IF_ETHERSUBR_H_ + +#define ETHER_ADDR_LEN 6 /* length of an Ethernet address */ +#define ETHER_TYPE_LEN 2 /* length of the Ethernet type field */ +#define ETHER_CRC_LEN 4 /* length of the Ethernet CRC */ +#define ETHER_HDR_LEN (ETHER_ADDR_LEN*2+ETHER_TYPE_LEN) +#define ETHER_MAX_LEN 1518 + +#define ETHERMTU (ETHER_MAX_LEN-ETHER_HDR_LEN-ETHER_CRC_LEN) + +/* + * Structure of a 10Mb/s Ethernet header. + */ +/* struct ether_header { */ +/* u_char ether_dhost[ETHER_ADDR_LEN]; */ +/* u_char ether_shost[ETHER_ADDR_LEN]; */ +/* u_short ether_type; */ +/* } adf_os_packed; */ + +#ifndef ETHERTYPE_PAE +#define ETHERTYPE_PAE 0x888e /* EAPOL PAE/802.1x */ +#endif +#ifndef ETHERTYPE_IP +#define ETHERTYPE_IP 0x0800 /* IP protocol */ +#endif + +/* + * Structure of a 48-bit Ethernet address. + */ +/* struct ether_addr { */ +/* u_char octet[ETHER_ADDR_LEN]; */ +/* } adf_os_packed; */ + +#define ETHER_IS_MULTICAST(addr) (*(addr) & 0x01) /* is address mcast/bcast? */ + +#define VLAN_PRI_SHIFT 13 /* Shift to find VLAN user priority */ +#define VLAN_PRI_MASK 7 /* Mask for user priority bits in VLAN */ + + +#endif /* _NET_IF_ETHERSUBR_H_ */ diff --git a/target_firmware/wlan/if_llc.h b/target_firmware/wlan/if_llc.h new file mode 100755 index 0000000..4fc7ee3 --- /dev/null +++ b/target_firmware/wlan/if_llc.h @@ -0,0 +1,198 @@ +/*- + * Copyright (c) 2002-2004 Sam Leffler, Errno Consulting + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer, + * without modification. + * 2. Redistributions in binary form must reproduce at minimum a disclaimer + * similar to the "NO WARRANTY" disclaimer below ("Disclaimer") and any + * redistribution must be conditioned upon including a substantially + * similar Disclaimer requirement for further binary redistribution. + * 3. Neither the names of the above-listed copyright holders nor the names + * of any contributors may be used to endorse or promote products derived + * from this software without specific prior written permission. + * + * Alternatively, this software may be distributed under the terms of the + * GNU General Public License ("GPL") version 2 as published by the Free + * Software Foundation. + * + * NO WARRANTY + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS + * ``AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT + * LIMITED TO, THE IMPLIED WARRANTIES OF NONINFRINGEMENT, MERCHANTIBILITY + * AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL + * THE COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR SPECIAL, EXEMPLARY, + * OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER + * IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF + * THE POSSIBILITY OF SUCH DAMAGES. + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/madwifi/net80211/if_llc.h#1 $ + * $NetBSD: if_llc.h,v 1.12 1999/11/19 20:41:19 thorpej Exp $ + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/madwifi/net80211/if_llc.h#1 $ + */ + +/* + * Copyright (c) 1988, 1993 + * The Regents of the University of California. All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright + * notice, this list of conditions and the following disclaimer in the + * documentation and/or other materials provided with the distribution. + * 3. All advertising materials mentioning features or use of this software + * must display the following acknowledgement: + * This product includes software developed by the University of + * California, Berkeley and its contributors. + * 4. Neither the name of the University nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE REGENTS AND CONTRIBUTORS ``AS IS'' AND + * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + * ARE DISCLAIMED. IN NO EVENT SHALL THE REGENTS OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS + * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) + * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT + * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY + * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF + * SUCH DAMAGE. + * + * @(#)if_llc.h 8.1 (Berkeley) 6/10/93 + * $FreeBSD: src/sys/net/if_llc.h,v 1.9 2002/09/23 06:25:08 alfred Exp $ + */ + +#ifndef _NET_IF_LLC_H_ +#define _NET_IF_LLC_H_ + +/* + * IEEE 802.2 Link Level Control headers, for use in conjunction with + * 802.{3,4,5} media access control methods. + * + * Headers here do not use bit fields due to shortcommings in many + * compilers. + */ + +struct llc { + a_uint8_t llc_dsap; + a_uint8_t llc_ssap; + union { + struct { + a_uint8_t control; + a_uint8_t format_id; + a_uint8_t class; + a_uint8_t window_x2; + } type_u adf_os_packed; + struct { + a_uint8_t num_snd_x2; + a_uint8_t num_rcv_x2; + } type_i adf_os_packed; + struct { + a_uint8_t control; + a_uint8_t num_rcv_x2; + } type_s adf_os_packed; + struct { + a_uint8_t control; + /* + * We cannot put the following fields in a structure because + * the structure rounding might cause padding. + */ + a_uint8_t frmr_rej_pdu0; + a_uint8_t frmr_rej_pdu1; + a_uint8_t frmr_control; + a_uint8_t frmr_control_ext; + a_uint8_t frmr_cause; + } type_frmr adf_os_packed; + struct { + a_uint8_t control; + a_uint8_t org_code[3]; + a_uint16_t ether_type; + } type_snap adf_os_packed; + struct { + a_uint8_t control; + a_uint8_t control_ext; + } type_raw adf_os_packed; + } llc_un /* XXX adf_os_packed ??? */; +} adf_os_packed; + +struct frmrinfo { + a_uint8_t frmr_rej_pdu0; + a_uint8_t frmr_rej_pdu1; + a_uint8_t frmr_control; + a_uint8_t frmr_control_ext; + a_uint8_t frmr_cause; +} adf_os_packed; + +#define llc_control llc_un.type_u.control +#define llc_control_ext llc_un.type_raw.control_ext +#define llc_fid llc_un.type_u.format_id +#define llc_class llc_un.type_u.class +#define llc_window llc_un.type_u.window_x2 +#define llc_frmrinfo llc_un.type_frmr.frmr_rej_pdu0 +#define llc_frmr_pdu0 llc_un.type_frmr.frmr_rej_pdu0 +#define llc_frmr_pdu1 llc_un.type_frmr.frmr_rej_pdu1 +#define llc_frmr_control llc_un.type_frmr.frmr_control +#define llc_frmr_control_ext llc_un.type_frmr.frmr_control_ext +#define llc_frmr_cause llc_un.type_frmr.frmr_cause +#define llc_snap llc_un.type_snap + +/* + * Don't use sizeof(struct llc_un) for LLC header sizes + */ +#define LLC_ISFRAMELEN 4 +#define LLC_UFRAMELEN 3 +#define LLC_FRMRLEN 7 +#define LLC_SNAPFRAMELEN 8 + +/* + * Unnumbered LLC format commands + */ +#define LLC_UI 0x3 +#define LLC_UI_P 0x13 +#define LLC_DISC 0x43 +#define LLC_DISC_P 0x53 +#define LLC_UA 0x63 +#define LLC_UA_P 0x73 +#define LLC_TEST 0xe3 +#define LLC_TEST_P 0xf3 +#define LLC_FRMR 0x87 +#define LLC_FRMR_P 0x97 +#define LLC_DM 0x0f +#define LLC_DM_P 0x1f +#define LLC_XID 0xaf +#define LLC_XID_P 0xbf +#define LLC_SABME 0x6f +#define LLC_SABME_P 0x7f + +/* + * Supervisory LLC commands + */ +#define LLC_RR 0x01 +#define LLC_RNR 0x05 +#define LLC_REJ 0x09 + +/* + * Info format - dummy only + */ +#define LLC_INFO 0x00 + +/* + * ISO PDTR 10178 contains among others + */ +#define LLC_X25_LSAP 0x7e +#define LLC_SNAP_LSAP 0xaa +#define LLC_ISO_LSAP 0xfe + +#endif /* _NET_IF_LLC_H_ */ diff --git a/target_firmware/wlan/if_owl.c b/target_firmware/wlan/if_owl.c new file mode 100755 index 0000000..e7f4e28 --- /dev/null +++ b/target_firmware/wlan/if_owl.c @@ -0,0 +1,2164 @@ +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "if_ethersubr.h" +#include "if_llc.h" + +#ifdef USE_HEADERLEN_RESV +#include +#endif + +#include +#include "if_athrate.h" +#include "if_athvar.h" +#include "ah_desc.h" +#include "if_ath_pci.h" + +#define ath_tgt_free_skb adf_nbuf_free + +#define OFDM_PLCP_BITS 22 +#define HT_RC_2_MCS(_rc) ((_rc) & 0x0f) +#define HT_RC_2_STREAMS(_rc) ((((_rc) & 0x78) >> 3) + 1) +#define L_STF 8 +#define L_LTF 8 +#define L_SIG 4 +#define HT_SIG 8 +#define HT_STF 4 +#define HT_LTF(_ns) (4 * (_ns)) +#define SYMBOL_TIME(_ns) ((_ns) << 2) // ns * 4 us +#define SYMBOL_TIME_HALFGI(_ns) (((_ns) * 18 + 4) / 5) // ns * 3.6 us + +static a_uint16_t bits_per_symbol[][2] = { + /* 20MHz 40MHz */ + { 26, 54 }, // 0: BPSK + { 52, 108 }, // 1: QPSK 1/2 + { 78, 162 }, // 2: QPSK 3/4 + { 104, 216 }, // 3: 16-QAM 1/2 + { 156, 324 }, // 4: 16-QAM 3/4 + { 208, 432 }, // 5: 64-QAM 2/3 + { 234, 486 }, // 6: 64-QAM 3/4 + { 260, 540 }, // 7: 64-QAM 5/6 + { 52, 108 }, // 8: BPSK + { 104, 216 }, // 9: QPSK 1/2 + { 156, 324 }, // 10: QPSK 3/4 + { 208, 432 }, // 11: 16-QAM 1/2 + { 312, 648 }, // 12: 16-QAM 3/4 + { 416, 864 }, // 13: 64-QAM 2/3 + { 468, 972 }, // 14: 64-QAM 3/4 + { 520, 1080 }, // 15: 64-QAM 5/6 +}; + +void owltgt_tx_processq(struct ath_softc_tgt *sc, struct ath_txq *txq, + owl_txq_state_t txqstate); +static void ath_tgt_txqaddbuf(struct ath_softc_tgt *sc, struct ath_txq *txq, + struct ath_buf *bf, struct ath_desc *lastds); +void ath_rate_findrate_11n_Hardcoded(struct ath_softc_tgt *sc, + struct ath_rc_series series[]); +void ath_buf_set_rate_Hardcoded(struct ath_softc_tgt *sc, + struct ath_tx_buf *bf) ; +static a_int32_t ath_tgt_txbuf_setup(struct ath_softc_tgt *sc, + struct ath_tx_buf *bf, ath_data_hdr_t *dh); +static void ath_tx_freebuf(struct ath_softc_tgt *sc, struct ath_tx_buf *bf); +static void ath_tx_uc_comp(struct ath_softc_tgt *sc, struct ath_tx_buf *bf); +static void ath_update_stats(struct ath_softc_tgt *sc, struct ath_buf *bf); +void adf_print_buf(adf_nbuf_t buf); +static void ath_tgt_tx_enqueue(struct ath_txq *txq, struct ath_atx_tid *tid); + +struct ath_buf * ath_tgt_tx_prepare(struct ath_softc_tgt *sc, + adf_nbuf_t skb, ath_data_hdr_t *dh); +void ath_tgt_tx_comp_aggr(struct ath_softc_tgt *sc, struct ath_tx_buf *bf); +struct ieee80211_frame *ATH_SKB_2_WH(adf_nbuf_t skb); + +void ath_tgt_tx_send_normal(struct ath_softc_tgt *sc, struct ath_tx_buf *bf); + +static void ath_tgt_tx_sched_normal(struct ath_softc_tgt *sc, ath_atx_tid_t *tid); +static void ath_tgt_tx_sched_aggr(struct ath_softc_tgt *sc, ath_atx_tid_t *tid); + +static struct ath_node_target * owltarget_findnode(struct tx_frame_heade *dh, + struct ath_softc_tgt *sc, + struct adf_nbuf_t *skb); +extern a_int32_t ath_chainmask_sel_logic(void *); +static a_int32_t ath_get_pktlen(struct ath_buf *bf, a_int32_t hdrlen); +static void ath_tgt_txq_schedule(struct ath_softc *sc, struct ath_txq *txq); + +typedef void (*ath_ft_set_atype_t)(struct ath_softc_tgt *sc, struct ath_buf *bf); + +static void +ath_tx_set_retry(struct ath_softc_tgt *sc, struct ath_tx_buf *bf); + +static void +ath_bar_tx(struct ath_softc_tgt *sc, ath_atx_tid_t *tid, struct ath_tx_buf *bf); +static void +ath_tx_update_baw(ath_atx_tid_t *tid, int seqno); +static void +ath_tx_retry_subframe(struct ath_softc_tgt *sc, struct ath_tx_buf *bf, + ath_bufhead *bf_q, struct ath_tx_buf **bar); + +static void +ath_tx_comp_aggr_error(struct ath_softc_tgt *sc, struct ath_tx_buf *bf, ath_atx_tid_t *tid); + +void ath_tx_addto_baw(ath_atx_tid_t *tid, struct ath_tx_buf *bf); +static inline void ath_tx_retry_unaggr(struct ath_softc_tgt *sc, struct ath_tx_buf *bf); +static void ath_tx_comp_unaggr(struct ath_softc_tgt *sc, struct ath_tx_buf *bf); +static void ath_update_aggr_stats(struct ath_softc_tgt *sc, struct ath_tx_desc *ds, + int nframes, int nbad); +static inline void ath_aggr_resume_tid(struct ath_softc_tgt *sc, ath_atx_tid_t *tid); +static void ath_tx_comp_cleanup(struct ath_softc_tgt *sc, struct ath_tx_buf *bf); + +int ath_tgt_tx_add_to_aggr(struct ath_softc_tgt *sc, + struct ath_buf *bf,int datatype, + ath_atx_tid_t *tid, int is_burst); + +struct ieee80211_frame *ATH_SKB_2_WH(adf_nbuf_t skb) +{ + a_uint8_t *anbdata; + a_uint32_t anblen; + + adf_nbuf_peek_header(skb, &anbdata, &anblen); + + return((struct ieee80211_frame *)anbdata); +} + +#undef adf_os_cpu_to_le16 + +static a_uint16_t adf_os_cpu_to_le16(a_uint16_t x) +{ + return ((((x) & 0xff00) >> 8) | (((x) & 0x00ff) << 8)); +} + +static inline void +ath_aggr_resume_tid(struct ath_softc_tgt *sc, ath_atx_tid_t *tid) +{ + struct ath_txq *txq; + + txq = TID_TO_ACTXQ(tid->tidno); + tid->paused = 0; + + if (asf_tailq_empty(&tid->buf_q)) + return; + + ath_tgt_tx_enqueue(txq, tid); + ath_tgt_txq_schedule(sc, txq); +} + +static inline void +ath_aggr_pause_tid(struct ath_softc_tgt *sc, ath_atx_tid_t *tid) +{ + tid->paused =1; +} + +static a_uint32_t ath_pkt_duration(struct ath_softc_tgt *sc, + a_uint8_t rix, struct ath_tx_buf *bf, + a_int32_t width, a_int32_t half_gi) +{ + const HAL_RATE_TABLE *rt = sc->sc_currates; + a_uint32_t nbits, nsymbits, duration, nsymbols; + a_uint8_t rc; + a_int32_t streams; + a_int32_t pktlen; + + pktlen = bf->bf_isaggr ? bf->bf_al : bf->bf_pktlen; + rc = rt->info[rix].rateCode; + + if (!IS_HT_RATE(rc)) + return ath_hal_computetxtime(sc->sc_ah, rt, pktlen, rix, + bf->bf_shpream); + + nbits = (pktlen << 3) + OFDM_PLCP_BITS; + nsymbits = bits_per_symbol[HT_RC_2_MCS(rc)][width]; + nsymbols = (nbits + nsymbits - 1) / nsymbits; + + if (!half_gi) + duration = SYMBOL_TIME(nsymbols); + else + duration = SYMBOL_TIME_HALFGI(nsymbols); + + streams = HT_RC_2_STREAMS(rc); + duration += L_STF + L_LTF + L_SIG + HT_SIG + HT_STF + HT_LTF(streams); + + return duration; +} + +static void ath_dma_map(struct ath_softc_tgt *sc, struct ath_buf *bf) +{ + adf_nbuf_t skb = bf->bf_skb; + + skb = adf_nbuf_queue_first(&bf->bf_skbhead); + adf_nbuf_map(sc->sc_dev, bf->bf_dmamap, skb, ADF_OS_DMA_TO_DEVICE); +} + +static void ath_dma_unmap(struct ath_softc_tgt *sc, struct ath_buf *bf) +{ + adf_nbuf_t skb = bf->bf_skb; + + skb = adf_nbuf_queue_first(&bf->bf_skbhead); + adf_nbuf_unmap( sc->sc_dev, bf->bf_dmamap, ADF_OS_DMA_TO_DEVICE); +} + +static void ath_filltxdesc(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_desc *ds0, *ds = bf->bf_desc; + a_uint8_t i; + + ds0 = ds; + adf_nbuf_dmamap_info(bf->bf_dmamap, &bf->bf_dmamap_info); + + for (i = 0; i < bf->bf_dmamap_info.nsegs; i++, ds++) { + + ds->ds_data = bf->bf_dmamap_info.dma_segs[i].paddr; + + if (i == (bf->bf_dmamap_info.nsegs - 1)) { + ds->ds_link = 0; + bf->bf_lastds = ds; + } else + ds->ds_link = ATH_BUF_GET_DESC_PHY_ADDR_WITH_IDX(bf, i+1); + + ath_hal_filltxdesc(sc->sc_ah, ds + , bf->bf_dmamap_info.dma_segs[i].len + , i == 0 + , i == (bf->bf_dmamap_info.nsegs - 1) + , ds0); + } +} + +static void ath_tx_tgt_setds(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_desc *ds0, *ds = bf->bf_desc; + adf_nbuf_t skb; + adf_nbuf_queue_t skbhead; + a_int32_t i, dscnt = 0; + + switch (bf->bf_protmode) { + case IEEE80211_PROT_RTSCTS: + bf->bf_flags |= HAL_TXDESC_RTSENA; + break; + case IEEE80211_PROT_CTSONLY: + bf->bf_flags |= HAL_TXDESC_CTSENA; + break; + default: + break; + } + + ath_hal_set11n_txdesc(sc->sc_ah, ds + , bf->bf_pktlen + , bf->bf_atype + , 60 + , bf->bf_keyix + , bf->bf_keytype + , bf->bf_flags | HAL_TXDESC_INTREQ); + + ath_filltxdesc(sc, bf); +} + +static struct ath_buf *ath_buf_toggle(struct ath_softc_tgt *sc, + struct ath_tx_buf *bf, + a_uint8_t retry) +{ + struct ath_tx_buf *tmp = NULL; + adf_nbuf_t buf = NULL; + + adf_os_assert(sc->sc_txbuf_held != NULL); + + tmp = sc->sc_txbuf_held; + + if (retry) { + ath_dma_unmap(sc, bf); + adf_nbuf_queue_init(&tmp->bf_skbhead); + buf = adf_nbuf_queue_remove(&bf->bf_skbhead); + adf_os_assert(buf); + adf_nbuf_queue_add(&tmp->bf_skbhead, buf); + + adf_os_assert(adf_nbuf_queue_len(&bf->bf_skbhead) == 0); + + tmp->bf_next = bf->bf_next; + tmp->bf_endpt = bf->bf_endpt; + tmp->bf_tidno = bf->bf_tidno; + tmp->bf_skb = bf->bf_skb; + tmp->bf_node = bf->bf_node; + tmp->bf_isaggr = bf->bf_isaggr; + tmp->bf_flags = bf->bf_flags; + tmp->bf_state = bf->bf_state; + tmp->bf_retries = bf->bf_retries; + tmp->bf_comp = bf->bf_comp; + tmp->bf_nframes = bf->bf_nframes; + tmp->bf_cookie = bf->bf_cookie; + + bf->bf_isaggr = 0; + bf->bf_next = NULL; + bf->bf_skb = NULL; + bf->bf_node = NULL; + bf->bf_flags = 0; + bf->bf_comp = NULL; + + bf->bf_retries = 0; + bf->bf_nframes = 0; + + ath_dma_map(sc, tmp); + ath_tx_tgt_setds(sc, tmp); + } + + sc->sc_txbuf_held = bf; + + return tmp; +} + +static void ath_tgt_skb_free(struct ath_softc_tgt *sc, + adf_nbuf_queue_t *head, + HTC_ENDPOINT_ID endpt) +{ + adf_nbuf_t tskb; + + while (adf_nbuf_queue_len(head) != 0) { + tskb = adf_nbuf_queue_remove(head); + ath_free_tx_skb(sc->tgt_htc_handle,endpt,tskb); + } +} + +static void ath_buf_comp(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + ath_dma_unmap(sc, bf); + ath_tgt_skb_free(sc, &bf->bf_skbhead,bf->bf_endpt); + bf->bf_skb = NULL; + bf->bf_node = NULL; + bf = ath_buf_toggle(sc, bf, 0); + if (bf != NULL) { + asf_tailq_insert_tail(&sc->sc_txbuf, bf, bf_list); + } +} + +static void ath_buf_set_rate(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_hal *ah = sc->sc_ah; + const HAL_RATE_TABLE *rt; + struct ath_desc *ds = bf->bf_desc; + HAL_11N_RATE_SERIES series[4]; + a_int32_t i, flags; + a_uint8_t rix, cix, rtsctsrate; + a_uint32_t aggr_limit_with_rts; + a_uint32_t ctsduration = 0; + a_int32_t prot_mode = AH_FALSE; + + rt = sc->sc_currates; + rix = bf->bf_rcs[0].rix; + flags = (bf->bf_flags & (HAL_TXDESC_RTSENA | HAL_TXDESC_CTSENA)); + cix = rt->info[sc->sc_protrix].controlRate; + + if (bf->bf_protmode != IEEE80211_PROT_NONE && + (rt->info[rix].phy == IEEE80211_T_OFDM || + rt->info[rix].phy == IEEE80211_T_HT) && + (bf->bf_flags & HAL_TXDESC_NOACK) == 0) { + cix = rt->info[sc->sc_protrix].controlRate; + prot_mode = AH_TRUE; + } else { + if (ath_hal_htsupported(ah) && (!bf->bf_ismcast)) + flags = HAL_TXDESC_RTSENA; + + for (i = 4; i--;) { + if (bf->bf_rcs[i].tries) { + cix = rt->info[bf->bf_rcs[i].rix].controlRate; + break; + } + + } + } + + ath_hal_getrtsaggrlimit(sc->sc_ah, &aggr_limit_with_rts); + + if (bf->bf_isaggr && aggr_limit_with_rts && + bf->bf_al > aggr_limit_with_rts) { + flags &= ~(HAL_TXDESC_RTSENA); + } + + adf_os_mem_set(series, 0, sizeof(HAL_11N_RATE_SERIES) * 4); + + for (i = 0; i < 4; i++) { + if (!bf->bf_rcs[i].tries) + continue; + + rix = bf->bf_rcs[i].rix; + + series[i].Rate = rt->info[rix].rateCode | + (bf->bf_shpream ? rt->info[rix].shortPreamble : 0); + + series[i].Tries = bf->bf_rcs[i].tries; +#ifdef MAGPIE_MERLIN + series[i].RateFlags = ((bf->bf_rcs[i].flags & ATH_RC_RTSCTS_FLAG) ? + HAL_RATESERIES_RTS_CTS : 0 ) | + ((bf->bf_rcs[i].flags & ATH_RC_CW40_FLAG) ? + HAL_RATESERIES_2040 : 0 ) | + ((bf->bf_rcs[i].flags & ATH_RC_HT40_SGI_FLAG) ? + HAL_RATESERIES_HALFGI : 0 ) | + ((bf->bf_rcs[i].flags & ATH_RC_TX_STBC_FLAG) ? + HAL_RATESERIES_STBC: 0); +#else + series[i].RateFlags = ((bf->bf_rcs[i].flags & ATH_RC_RTSCTS_FLAG) ? + HAL_RATESERIES_RTS_CTS : 0 ) | + ((bf->bf_rcs[i].flags & ATH_RC_CW40_FLAG) ? + HAL_RATESERIES_2040 : 0 ) | + ((bf->bf_rcs[i].flags & ATH_RC_HT40_SGI_FLAG) ? + HAL_RATESERIES_HALFGI : 0 ); +#endif + series[i].PktDuration = ath_pkt_duration(sc, rix, bf, + (bf->bf_rcs[i].flags & ATH_RC_CW40_FLAG) != 0, + (bf->bf_rcs[i].flags & ATH_RC_HT40_SGI_FLAG)); + + series[i].ChSel = sc->sc_ic.ic_tx_chainmask; + + if (prot_mode) + series[i].RateFlags |= HAL_RATESERIES_RTS_CTS; + + if (bf->bf_rcs[i].flags & ATH_RC_DS_FLAG) + series[i].RateFlags |= HAL_RATESERIES_RTS_CTS; + } + + rtsctsrate = rt->info[cix].rateCode | + (bf->bf_shpream ? rt->info[cix].shortPreamble : 0); + + ath_hal_set11n_ratescenario(ah, ds, 1, + rtsctsrate, ctsduration, + series, 4, + flags); +} + +static void ath_tgt_rate_findrate(struct ath_softc_tgt *sc, + struct ath_node_target *an, + a_int32_t shortPreamble, + size_t frameLen, + a_int32_t numTries, + a_int32_t numRates, + a_int32_t stepDnInc, + a_uint32_t rcflag, + struct ath_rc_series series[], + a_int32_t *isProbe) +{ + ath_rate_findrate(sc, an, 1, frameLen, 10, 4, 1, + ATH_RC_PROBE_ALLOWED, series, isProbe); +} + +static void owl_tgt_tid_init(struct ath_atx_tid *tid) +{ + int i; + + tid->seq_start = tid->seq_next = 0; + tid->baw_size = WME_MAX_BA; + tid->baw_head = tid->baw_tail = 0; + tid->paused = 0; + tid->flag = 0; + tid->sched = AH_FALSE; + + asf_tailq_init(&tid->buf_q); + + for (i = 0; i < ATH_TID_MAX_BUFS; i++) { + TX_BUF_BITMAP_CLR(tid->tx_buf_bitmap, i); + } +} + +static void owl_tgt_tid_cleanup(struct ath_softc_tgt *sc, + struct ath_atx_tid *tid) +{ + tid->incomp--; + if (tid->incomp) { + return; + } + + tid->flag &= ~TID_CLEANUP_INPROGRES; + + if (tid->flag & TID_REINITIALIZE) { + adf_os_print("TID REINIT DONE for tid %p\n", tid); + tid->flag &= ~TID_REINITIALIZE; + owl_tgt_tid_init(tid); + } else { + ath_aggr_resume_tid(sc, tid); + } +} + +void owl_tgt_node_init(struct ath_node_target * an) +{ + struct ath_atx_tid *tid; + int tidno, i; + + for (tidno = 0, tid = &an->tid[tidno]; tidno < WME_NUM_TID;tidno++, tid++) { + tid->tidno = tidno; + tid->an = an; + + if ( tid->flag & TID_CLEANUP_INPROGRES ) { + tid->flag |= TID_REINITIALIZE; + adf_os_print("tid[%p]->incomp is not 0: %d\n", + tid, tid->incomp); + } else { + owl_tgt_tid_init(tid); + } + } +} + +void ath_tx_status_clear(struct ath_softc_tgt *sc) +{ + int i; + + for (i = 0; i < 2; i++) { + sc->tx_status[i].cnt = 0; + } +} + +struct WMI_TXSTATUS_EVENT* ath_tx_status_get(struct ath_softc_tgt *sc) +{ + WMI_TXSTATUS_EVENT *txs = NULL; + int i; + + for (i = 0; i < 2; i++) { + if (sc->tx_status[i].cnt < HTC_MAX_TX_STATUS) { + txs = &sc->tx_status[i]; + break; + } + } + + return txs; +} + +void ath_tx_status_update(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_tx_desc *ds = bf->bf_lastds; + WMI_TXSTATUS_EVENT *txs; + + if (sc->sc_tx_draining) + return; + + txs = ath_tx_status_get(sc); + if (txs == NULL) + return; + + txs->txstatus[txs->cnt].cookie = bf->bf_cookie; + txs->txstatus[txs->cnt].ts_rate = SM(bf->bf_endpt, ATH9K_HTC_TXSTAT_EPID); + + if (ds->ds_txstat.ts_status & HAL_TXERR_FILT) + txs->txstatus[txs->cnt].ts_flags |= ATH9K_HTC_TXSTAT_FILT; + + if (!(ds->ds_txstat.ts_status & HAL_TXERR_XRETRY) && + !(ds->ds_txstat.ts_status & HAL_TXERR_FIFO) && + !(ds->ds_txstat.ts_status & HAL_TXERR_TIMER_EXPIRED) && + !(ds->ds_txstat.ts_status & HAL_TXERR_FILT)) + txs->txstatus[txs->cnt].ts_flags |= ATH9K_HTC_TXSTAT_ACK; + + ath_tx_status_update_rate(sc, bf->bf_rcs, ds->ds_txstat.ts_rate, txs); + + txs->cnt++; +} + +void ath_tx_status_update_aggr(struct ath_softc_tgt *sc, struct ath_tx_buf *bf, + struct ath_tx_desc *ds, struct ath_rc_series rcs[], + int txok) +{ + WMI_TXSTATUS_EVENT *txs; + + if (sc->sc_tx_draining) + return; + + txs = ath_tx_status_get(sc); + if (txs == NULL) + return; + + txs->txstatus[txs->cnt].cookie = bf->bf_cookie; + txs->txstatus[txs->cnt].ts_rate = SM(bf->bf_endpt, ATH9K_HTC_TXSTAT_EPID); + + if (txok) + txs->txstatus[txs->cnt].ts_flags |= ATH9K_HTC_TXSTAT_ACK; + + if (rcs) + ath_tx_status_update_rate(sc, rcs, ds->ds_txstat.ts_rate, txs); + + txs->cnt++; +} + +void ath_tx_status_send(struct ath_softc_tgt *sc) +{ + int i; + + if (sc->sc_tx_draining) + return; + + for (i = 0; i < 2; i++) { + if (sc->tx_status[i].cnt) { + wmi_event(sc->tgt_wmi_handle, WMI_TXSTATUS_EVENTID, + &sc->tx_status[i], sizeof(WMI_TXSTATUS_EVENT)); + /* FIXME: Handle failures. */ + sc->tx_status[i].cnt = 0; + } + } +} + +static void owltgt_tx_process_cabq(struct ath_softc_tgt *sc, struct ath_txq *txq) +{ + ath_hal_intrset(sc->sc_ah, sc->sc_imask & ~HAL_INT_SWBA); + owltgt_tx_processq(sc, txq, OWL_TXQ_ACTIVE); + ath_hal_intrset(sc->sc_ah, sc->sc_imask); +} + +void owl_tgt_tx_tasklet(TQUEUE_ARG data) +{ + struct ath_softc_tgt *sc = (struct ath_softc_tgt *)data; + a_int32_t i; + a_uint32_t qcumask = ((1 << HAL_NUM_TX_QUEUES) - 1); + struct ath_txq *txq; + ath_data_hdr_t *dh; + + ath_tx_status_clear(sc); + + for (i = 0; i < (HAL_NUM_TX_QUEUES - 6); i++) { + txq = ATH_TXQ(sc, i); + + if (ATH_TXQ_SETUP(sc, i)) { + if (txq == sc->sc_cabq) + owltgt_tx_process_cabq(sc, txq); + else + owltgt_tx_processq(sc, txq, OWL_TXQ_ACTIVE); + } + } + + ath_tx_status_send(sc); +} + +void owltgt_tx_processq(struct ath_softc_tgt *sc, struct ath_txq *txq, + owl_txq_state_t txqstate) +{ + struct ath_tx_buf *bf; + struct ath_tx_desc *ds; + HAL_STATUS status; + + for (;;) { + if (asf_tailq_empty(&txq->axq_q)) { + txq->axq_link = NULL; + txq->axq_linkbuf = NULL; + break; + } + + bf = asf_tailq_first(&txq->axq_q); + + ds = bf->bf_lastds; + status = ath_hal_txprocdesc(sc->sc_ah, ds); + + if (status == HAL_EINPROGRESS) { + if (txqstate == OWL_TXQ_ACTIVE) + break; + else if (txqstate == OWL_TXQ_STOPPED) { + __stats(sc, tx_stopfiltered); + ds->ds_txstat.ts_flags = 0; + ds->ds_txstat.ts_status = HAL_OK; + } else { + ds->ds_txstat.ts_flags = HAL_TX_SW_FILTERED; + } + } + + ATH_TXQ_REMOVE_HEAD(txq, bf, bf_list); + if ((asf_tailq_empty(&txq->axq_q))) { + __stats(sc, tx_qnull); + txq->axq_link = NULL; + txq->axq_linkbuf = NULL; + } + + if (bf->bf_comp) { + bf->bf_comp(sc, bf); + } else { + ath_tx_status_update(sc, bf); + ath_buf_comp(sc, bf); + } + + if (txqstate == OWL_TXQ_ACTIVE) { + ath_tgt_txq_schedule(sc, txq); + } + } +} + +static struct ieee80211_frame* ATH_SKB2_WH(adf_nbuf_t skb) +{ + a_uint8_t *anbdata; + a_uint32_t anblen; + + adf_nbuf_peek_header(skb, &anbdata, &anblen); + return((struct ieee80211_frame *)anbdata); +} + +void +ath_tgt_tid_drain(struct ath_softc_tgt *sc, struct ath_atx_tid *tid) +{ + struct ath_tx_buf *bf; + + while (!asf_tailq_empty(&tid->buf_q)) { + TAILQ_DEQ(&tid->buf_q, bf, bf_list); + ath_tx_freebuf(sc, bf); + } + + tid->seq_next = tid->seq_start; + tid->baw_tail = tid->baw_head; +} + +static void ath_tgt_tx_comp_normal(struct ath_softc_tgt *sc, + struct ath_tx_buf *bf) +{ + struct ath_node_target *an = ATH_NODE_TARGET(bf->bf_node); + struct ath_desc *ds = bf->bf_lastds; + ath_atx_tid_t *tid = ATH_AN_2_TID(an, bf->bf_tidno); + + if (tid->flag & TID_CLEANUP_INPROGRES) { + owl_tgt_tid_cleanup(sc, tid); + } + + if (bf->bf_node) { + ath_tx_uc_comp(sc, bf); + } + + ath_tx_freebuf(sc, bf); +} + +static struct ieee80211_node_target * ath_tgt_find_node(struct ath_softc_tgt *sc, + a_int32_t node_index) +{ + struct ath_node_target *an; + a_int32_t i; + struct ieee80211_node_target *ni; + + if (node_index > TARGET_NODE_MAX) + return NULL; + + an = &sc->sc_sta[node_index]; + ni = &an->ni; + + if (an->an_valid) { + if (ni->ni_vap == NULL) { + return NULL; + } + return ni; + } + + return NULL; +} + +static struct ath_buf* ath_buf_alloc(struct ath_softc_tgt *sc) +{ + struct ath_tx_buf *bf = NULL; + + bf = asf_tailq_first(&sc->sc_txbuf); + if (bf != NULL) { + adf_os_mem_set(&bf->bf_state, 0, sizeof(struct ath_buf_state)); + asf_tailq_remove(&sc->sc_txbuf, bf, bf_list); + } else { + adf_os_assert(0); + } + + return bf; +} + +struct ath_buf* ath_tgt_tx_prepare(struct ath_softc_tgt *sc, + adf_nbuf_t skb, ath_data_hdr_t *dh) +{ + struct ath_tx_buf *bf; + struct ath_txq *txq; + struct ieee80211_node_target *ni; + a_uint32_t flags = adf_os_ntohl(dh->flags); + struct ath_atx_tid *tid; + + ni = ath_tgt_find_node(sc, dh->ni_index); + if (ni == NULL) + return NULL; + + tid = ATH_AN_2_TID(ATH_NODE_TARGET(ni), dh->tidno); + if (tid->flag & TID_REINITIALIZE) { + adf_os_print("drop frame due to TID reinit\n"); + return NULL; + } + + bf = ath_buf_alloc(sc); + if (!bf) { + __stats(sc, tx_nobufs); + return NULL; + } + + bf->bf_tidno = dh->tidno; + bf->bf_txq = TID_TO_ACTXQ(bf->bf_tidno); + bf->bf_keytype = dh->keytype; + bf->bf_keyix = dh->keyix; + bf->bf_protmode = dh->flags & (IEEE80211_PROT_RTSCTS | IEEE80211_PROT_CTSONLY); + bf->bf_node = (struct ath_node_target *)ni; + + adf_nbuf_queue_add(&bf->bf_skbhead, skb); + skb = adf_nbuf_queue_first(&(bf->bf_skbhead)); + + if (adf_nbuf_queue_len(&(bf->bf_skbhead)) == 0) { + __stats(sc, tx_noskbs); + return NULL; + } + + adf_os_assert(skb); + + bf->bf_skb = skb; + + ath_tgt_txbuf_setup(sc, bf, dh); + ath_dma_map(sc, bf); + ath_tx_tgt_setds(sc, bf); + + return bf; +} + +static void ath_tgt_tx_seqno_normal(struct ath_tx_buf *bf) +{ + struct ieee80211_node_target *ni = bf->bf_node; + struct ath_node_target *an = ATH_NODE_TARGET(ni); + struct ieee80211_frame *wh = ATH_SKB_2_WH(bf->bf_skb); + struct ath_atx_tid *tid = ATH_AN_2_TID(an, bf->bf_tidno); + + u_int8_t fragno = (wh->i_seq[0] & 0xf); + + INCR(ni->ni_txseqmgmt, IEEE80211_SEQ_MAX); + + bf->bf_seqno = (tid->seq_next << IEEE80211_SEQ_SEQ_SHIFT); + + *(u_int16_t *)wh->i_seq = adf_os_cpu_to_le16(bf->bf_seqno); + wh->i_seq[0] |= fragno; + + if (!(wh->i_fc[1] & IEEE80211_FC1_MORE_FRAG)) + INCR(tid->seq_next, IEEE80211_SEQ_MAX); +} + +static a_int32_t ath_key_setup(struct ieee80211_node_target *ni, + struct ath_tx_buf *bf) +{ + struct ieee80211_frame *wh = ATH_SKB_2_WH(bf->bf_skb); + const struct ieee80211_cipher *cip; + struct ieee80211_key *k; + + if (!(wh->i_fc[1] & IEEE80211_FC1_WEP)) { + bf->bf_keytype = HAL_KEY_TYPE_CLEAR; + bf->bf_keyix = HAL_TXKEYIX_INVALID; + return 0; + } + + switch (bf->bf_keytype) { + case HAL_KEY_TYPE_WEP: + bf->bf_pktlen += IEEE80211_WEP_ICVLEN; + break; + case HAL_KEY_TYPE_AES: + bf->bf_pktlen += IEEE80211_WEP_MICLEN; + break; + case HAL_KEY_TYPE_TKIP: + bf->bf_pktlen += IEEE80211_WEP_ICVLEN; + break; + default: + break; + } + + if (bf->bf_keytype == HAL_KEY_TYPE_AES || + bf->bf_keytype == HAL_KEY_TYPE_TKIP) + ieee80211_tgt_crypto_encap(wh, ni, bf->bf_keytype); + + return 0; +} + +static void ath_tgt_txq_add_ucast(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_hal *ah = sc->sc_ah; + struct ath_txq *txq; + struct ath_node_target *an; + HAL_STATUS status; + static a_int32_t count = 0,i; + volatile a_int32_t txe_val; + + adf_os_assert(bf); + + txq = bf->bf_txq; + + status = ath_hal_txprocdesc(sc->sc_ah, bf->bf_lastds); + + ATH_TXQ_INSERT_TAIL(txq, bf, bf_list); + + if (txq->axq_link == NULL) { + ath_hal_puttxbuf(ah, txq->axq_qnum, ATH_BUF_GET_DESC_PHY_ADDR(bf)); + } else { + *txq->axq_link = ATH_BUF_GET_DESC_PHY_ADDR(bf); + + txe_val = OS_REG_READ(ah, 0x840); + if (!(txe_val & (1<< txq->axq_qnum))) + ath_hal_puttxbuf(ah, txq->axq_qnum, ATH_BUF_GET_DESC_PHY_ADDR(bf)); + } + + txq->axq_link = &bf->bf_lastds->ds_link; + ath_hal_txstart(ah, txq->axq_qnum); +} + +static a_int32_t ath_tgt_txbuf_setup(struct ath_softc_tgt *sc, + struct ath_tx_buf *bf, + ath_data_hdr_t *dh) + +{ + struct ath_node_target *an = ATH_NODE_TARGET(bf->bf_node); + struct ieee80211_frame *wh = ATH_SKB2_WH(bf->bf_skb); + struct ieee80211_node_target *ni = (struct ieee80211_node_target *)an; + struct ieee80211vap_target *vap = ni->ni_vap; + struct ieee80211com_target *ic = &sc->sc_ic; + a_int32_t retval, fragno = 0; + a_uint32_t flags = adf_os_ntohl(dh->flags); + + ath_tgt_tx_seqno_normal(bf); + + bf->bf_txq_add = ath_tgt_txq_add_ucast; + bf->bf_hdrlen = ieee80211_anyhdrsize(wh); + bf->bf_pktlen = ath_get_pktlen(bf, bf->bf_hdrlen); + bf->bf_ismcast = IEEE80211_IS_MULTICAST(wh->i_addr1); + + if ((retval = ath_key_setup(bf->bf_node, bf)) < 0) + return retval; + + if (flags & ATH_SHORT_PREAMBLE) + bf->bf_shpream = AH_TRUE; + else + bf->bf_shpream = AH_FALSE; + + bf->bf_flags = HAL_TXDESC_CLRDMASK; + bf->bf_atype = HAL_PKT_TYPE_NORMAL; + + return 0; +} + +static a_int32_t +ath_get_pktlen(struct ath_buf *bf, a_int32_t hdrlen) +{ + adf_nbuf_t skb = bf->bf_skb; + a_int32_t pktlen; + + skb = adf_nbuf_queue_first(&bf->bf_skbhead); + pktlen = adf_nbuf_len(skb); + + pktlen -= (hdrlen & 3); + pktlen += IEEE80211_CRC_LEN; + + return pktlen; +} + +void +ath_tgt_tx_send_normal(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_node_target *an = bf->bf_node; + struct ath_rc_series rcs[4]; + struct ath_rc_series mrcs[4]; + a_int32_t shortPreamble = 0; + a_int32_t isProbe = 0; + + adf_os_mem_set(rcs, 0, sizeof(struct ath_rc_series)*4 ); + adf_os_mem_set(mrcs, 0, sizeof(struct ath_rc_series)*4 ); + + if (!bf->bf_ismcast) { + ath_tgt_rate_findrate(sc, an, shortPreamble, + 0, 0, 0, 0, 0, + rcs, &isProbe); + memcpy(bf->bf_rcs, rcs, sizeof(rcs)); + } else { + mrcs[1].tries = mrcs[2].tries = mrcs[3].tries = 0; + mrcs[1].rix = mrcs[2].rix = mrcs[3].rix = 0; + mrcs[0].rix = 0; + mrcs[0].tries = 1; + mrcs[0].flags = 0; + memcpy(bf->bf_rcs, mrcs, sizeof(mrcs)); + } + + ath_buf_set_rate(sc, bf); + bf->bf_txq_add(sc, bf); +} + +static void +ath_tx_freebuf(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + a_int32_t i ; + struct ath_desc *bfd = NULL; + + for (bfd = bf->bf_desc, i = 0; i < bf->bf_dmamap_info.nsegs; bfd++, i++) { + ath_hal_clr11n_aggr(sc->sc_ah, bfd); + ath_hal_set11n_burstduration(sc->sc_ah, bfd, 0); + ath_hal_set11n_virtualmorefrag(sc->sc_ah, bfd, 0); + } + + ath_dma_unmap(sc, bf); + + ath_tgt_skb_free(sc, &bf->bf_skbhead,bf->bf_endpt); + + bf->bf_skb = NULL; + bf->bf_comp = NULL; + bf->bf_node = NULL; + bf->bf_next = NULL; + bf = ath_buf_toggle(sc, bf, 0); + bf->bf_retries = 0; + bf->bf_isretried = 0; + + if (bf != NULL) + asf_tailq_insert_tail(&sc->sc_txbuf, bf, bf_list); +} + +static void +ath_tx_uc_comp(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + ath_tx_status_update(sc, bf); + ath_update_stats(sc, bf); + ath_rate_tx_complete(sc, ATH_NODE_TARGET(bf->bf_node), + bf->bf_lastds, bf->bf_rcs, 1, 0); +} + +static void +ath_update_stats(struct ath_softc_tgt *sc, struct ath_buf *bf) +{ + struct ieee80211_node_target *ni = bf->bf_node; + struct ath_tx_desc *ds = bf->bf_desc; + struct ath_node_target *an = ATH_NODE_TARGET(ni); + u_int32_t sr, lr; + struct ieee80211_cb *cb; + + if (ds->ds_txstat.ts_status == 0) { + if (ds->ds_txstat.ts_rate & HAL_TXSTAT_ALTRATE) + sc->sc_tx_stats.ast_tx_altrate++; + } else { + if (ds->ds_txstat.ts_status & HAL_TXERR_XRETRY) + sc->sc_tx_stats.ast_tx_xretries++; + if (ds->ds_txstat.ts_status & HAL_TXERR_FIFO) + sc->sc_tx_stats.ast_tx_fifoerr++; + if (ds->ds_txstat.ts_status & HAL_TXERR_FILT) + sc->sc_tx_stats.ast_tx_filtered++; + if (ds->ds_txstat.ts_status & HAL_TXERR_TIMER_EXPIRED) + sc->sc_tx_stats.ast_tx_timer_exp++; + } + sr = ds->ds_txstat.ts_shortretry; + lr = ds->ds_txstat.ts_longretry; + sc->sc_tx_stats.ast_tx_shortretry += sr; + sc->sc_tx_stats.ast_tx_longretry += lr; +} + +void +ath_tgt_send_mgt(struct ath_softc_tgt *sc,adf_nbuf_t hdr_buf, adf_nbuf_t skb, + HTC_ENDPOINT_ID endpt) +{ + struct ieee80211_node_target *ni; + struct ieee80211vap_target *vap; + struct ath_vap_target *avp; + struct ath_hal *ah = sc->sc_ah; + a_uint8_t rix, txrate, ctsrate, cix = 0xff, *data; + a_uint32_t ivlen = 0, icvlen = 0, subtype, flags, ctsduration, fval; + a_int32_t i, iswep, ismcast, hdrlen, pktlen, try0, len; + struct ath_desc *ds=NULL, *ds0=NULL; + struct ath_txq *txq=NULL; + struct ath_tx_buf *bf; + HAL_PKT_TYPE atype; + const HAL_RATE_TABLE *rt; + HAL_BOOL shortPreamble; + struct ieee80211_frame *wh; + struct ath_rc_series rcs[4]; + HAL_11N_RATE_SERIES series[4]; + ath_mgt_hdr_t *mh; + struct ieee80211com_target *ic = &sc->sc_ic; + a_int8_t keyix; + + if (!hdr_buf) { + adf_nbuf_peek_header(skb, &data, &len); + adf_nbuf_pull_head(skb, sizeof(ath_mgt_hdr_t)); + } else { + adf_nbuf_peek_header(hdr_buf, &data, &len); + } + + adf_os_assert(len >= sizeof(ath_mgt_hdr_t)); + + mh = (ath_mgt_hdr_t *)data; + adf_nbuf_peek_header(skb, &data, &len); + wh = (struct ieee80211_frame *)data; + + adf_os_mem_set(rcs, 0, sizeof(struct ath_rc_series)*4); + adf_os_mem_set(series, 0, sizeof(HAL_11N_RATE_SERIES)*4); + + bf = asf_tailq_first(&sc->sc_txbuf); + if (!bf) + goto fail; + + asf_tailq_remove(&sc->sc_txbuf, bf, bf_list); + + ni = ath_tgt_find_node(sc, mh->ni_index); + if (!ni) + goto fail; + + bf->bf_endpt = endpt; + bf->bf_cookie = mh->cookie; + bf->bf_protmode = mh->flags & (IEEE80211_PROT_RTSCTS | IEEE80211_PROT_CTSONLY); + txq = &sc->sc_txq[1]; + iswep = wh->i_fc[1] & IEEE80211_FC1_WEP; + ismcast = IEEE80211_IS_MULTICAST(wh->i_addr1); + hdrlen = ieee80211_anyhdrsize(wh); + pktlen = len; + keyix = HAL_TXKEYIX_INVALID; + pktlen -= (hdrlen & 3); + pktlen += IEEE80211_CRC_LEN; + + if (iswep) + keyix = mh->keyix; + + adf_nbuf_map(sc->sc_dev, bf->bf_dmamap, skb, ADF_OS_DMA_TO_DEVICE); + + bf->bf_skb = skb; + adf_nbuf_queue_add(&bf->bf_skbhead, skb); + + ds = bf->bf_desc; + rt = sc->sc_currates; + adf_os_assert(rt != NULL); + + if (mh->flags == ATH_SHORT_PREAMBLE) + shortPreamble = AH_TRUE; + else + shortPreamble = AH_FALSE; + + flags = HAL_TXDESC_CLRDMASK; + + switch (wh->i_fc[0] & IEEE80211_FC0_TYPE_MASK) { + case IEEE80211_FC0_TYPE_MGT: + subtype = wh->i_fc[0] & IEEE80211_FC0_SUBTYPE_MASK; + + if (subtype == IEEE80211_FC0_SUBTYPE_PROBE_RESP) + atype = HAL_PKT_TYPE_PROBE_RESP; + else if (subtype == IEEE80211_FC0_SUBTYPE_ATIM) + atype = HAL_PKT_TYPE_ATIM; + else + atype = HAL_PKT_TYPE_NORMAL; + + break; + default: + atype = HAL_PKT_TYPE_NORMAL; + break; + } + + avp = &sc->sc_vap[mh->vap_index]; + + rcs[0].rix = ath_get_minrateidx(sc, avp); + rcs[0].tries = ATH_TXMAXTRY; + rcs[0].flags = 0; + + adf_os_mem_copy(bf->bf_rcs, rcs, sizeof(rcs)); + rix = rcs[0].rix; + try0 = rcs[0].tries; + txrate = rt->info[rix].rateCode; + + if (shortPreamble){ + txrate |= rt->info[rix].shortPreamble; + } + + vap = ni->ni_vap; + bf->bf_node = ni; + + if (ismcast) { + flags |= HAL_TXDESC_NOACK; + try0 = 1; + } else if (pktlen > vap->iv_rtsthreshold) { + flags |= HAL_TXDESC_RTSENA; + cix = rt->info[rix].controlRate; + } + + if ((bf->bf_protmode != IEEE80211_PROT_NONE) && + rt->info[rix].phy == IEEE80211_T_OFDM && + (flags & HAL_TXDESC_NOACK) == 0) { + cix = rt->info[sc->sc_protrix].controlRate; + sc->sc_tx_stats.ast_tx_protect++; + } + + *(a_uint16_t *)&wh->i_seq[0] = adf_os_cpu_to_le16(ni->ni_txseqmgmt << + IEEE80211_SEQ_SEQ_SHIFT); + INCR(ni->ni_txseqmgmt, IEEE80211_SEQ_MAX); + + ctsduration = 0; + if (flags & (HAL_TXDESC_RTSENA|HAL_TXDESC_CTSENA)) { + adf_os_assert(cix != 0xff); + ctsrate = rt->info[cix].rateCode; + if (shortPreamble) { + ctsrate |= rt->info[cix].shortPreamble; + if (flags & HAL_TXDESC_RTSENA) /* SIFS + CTS */ + ctsduration += rt->info[cix].spAckDuration; + if ((flags & HAL_TXDESC_NOACK) == 0) /* SIFS + ACK */ + ctsduration += rt->info[cix].spAckDuration; + } else { + if (flags & HAL_TXDESC_RTSENA) /* SIFS + CTS */ + ctsduration += rt->info[cix].lpAckDuration; + if ((flags & HAL_TXDESC_NOACK) == 0) /* SIFS + ACK */ + ctsduration += rt->info[cix].lpAckDuration; + } + ctsduration += ath_hal_computetxtime(ah, + rt, pktlen, rix, shortPreamble); + try0 = 1; + } else + ctsrate = 0; + + flags |= HAL_TXDESC_INTREQ; + + ath_hal_setuptxdesc(ah, ds + , pktlen + , hdrlen + , atype + , 60 + , txrate, try0 + , keyix + , 0 + , flags + , ctsrate + , ctsduration + , icvlen + , ivlen + , ATH_COMP_PROC_NO_COMP_NO_CCS); + + bf->bf_flags = flags; + + /* + * Set key type in tx desc while sending the encrypted challenge to AP + * in Auth frame 3 of Shared Authentication, owl needs this. + */ + if (iswep && (keyix != HAL_TXKEYIX_INVALID) && + (wh->i_fc[0] & IEEE80211_FC0_SUBTYPE_MASK) == IEEE80211_FC0_SUBTYPE_AUTH) + ath_hal_fillkeytxdesc(ah, ds, mh->keytype); + + ath_filltxdesc(sc, bf); + + for (i=0; i<4; i++) { + series[i].Tries = 2; + series[i].Rate = txrate; + series[i].ChSel = sc->sc_ic.ic_tx_chainmask; + series[i].RateFlags = 0; + } + ath_hal_set11n_ratescenario(ah, ds, 0, ctsrate, ctsduration, series, 4, 0); + ath_tgt_txqaddbuf(sc, txq, bf, bf->bf_lastds); + + return; +fail: + HTC_ReturnBuffers(sc->tgt_htc_handle, endpt, skb); + return; +} + +static void +ath_tgt_txqaddbuf(struct ath_softc_tgt *sc, + struct ath_txq *txq, struct ath_buf *bf, + struct ath_desc *lastds) +{ + struct ath_hal *ah = sc->sc_ah; + + ATH_TXQ_INSERT_TAIL(txq, bf, bf_list); + + if (txq->axq_link == NULL) { + ath_hal_puttxbuf(ah, txq->axq_qnum, ATH_BUF_GET_DESC_PHY_ADDR(bf)); + } else { + *txq->axq_link = ATH_BUF_GET_DESC_PHY_ADDR(bf); + } + + txq->axq_link = &lastds->ds_link; + ath_hal_txstart(ah, txq->axq_qnum); +} + +void ath_tgt_handle_normal(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + ath_atx_tid_t *tid; + struct ath_node_target *an; + struct ath_desc *ds; + struct ath_txq *txq = bf->bf_txq; + a_bool_t queue_frame; + + an = (struct ath_node_target *)bf->bf_node; + adf_os_assert(an); + + tid = &an->tid[bf->bf_tidno]; + adf_os_assert(tid); + + bf->bf_comp = ath_tgt_tx_comp_normal; + INCR(tid->seq_start, IEEE80211_SEQ_MAX); + ath_tgt_tx_send_normal(sc, bf); +} + +static void +ath_tgt_tx_enqueue(struct ath_txq *txq, struct ath_atx_tid *tid) +{ + if (tid->paused) + return; + + if (tid->sched) + return; + + tid->sched = AH_TRUE; + asf_tailq_insert_tail(&txq->axq_tidq, tid, tid_qelem); +} + +static void +ath_tgt_txq_schedule(struct ath_softc *sc, struct ath_txq *txq) +{ + struct ath_atx_tid *tid; + u_int8_t bdone; + HAL_STATUS status; + u_int8_t smps_mode; + struct ieee80211_node *ieee_node; + u_int32_t aggr_limit_with_rts; + + bdone = AH_FALSE; + + do { + TAILQ_DEQ(&txq->axq_tidq, tid, tid_qelem); + + if (tid == NULL) + return; + + tid->sched = AH_FALSE; + + if (tid->paused) + continue; + + if (!(tid->flag & TID_AGGR_ENABLED)) + ath_tgt_tx_sched_normal(sc,tid); + else + ath_tgt_tx_sched_aggr(sc,tid); + + bdone = AH_TRUE; + + if (!asf_tailq_empty(&tid->buf_q)) { + ath_tgt_tx_enqueue(txq, tid); + } + + } while (!asf_tailq_empty(&txq->axq_tidq) && !bdone); +} + +void +ath_tgt_handle_aggr(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + ath_atx_tid_t *tid; + struct ath_node_target *an; + struct ath_desc *ds; + struct ath_txq *txq = bf->bf_txq; + a_bool_t queue_frame, within_baw; + + an = (struct ath_node_target *)bf->bf_node; + adf_os_assert(an); + + tid = &an->tid[bf->bf_tidno]; + adf_os_assert(tid); + + bf->bf_comp = ath_tgt_tx_comp_aggr; + + within_baw = BAW_WITHIN(tid->seq_start, tid->baw_size, + SEQNO_FROM_BF_SEQNO(bf->bf_seqno)); + + queue_frame = ( (txq->axq_depth >= ATH_AGGR_MIN_QDEPTH) || + (!asf_tailq_empty(&tid->buf_q)) || + (tid->paused) || (!within_baw) ); + + if (queue_frame) { + asf_tailq_insert_tail(&tid->buf_q, bf, bf_list); + ath_tgt_tx_enqueue(txq, tid); + } else { + ath_tx_addto_baw(tid, bf); + __stats(sc, txaggr_nframes); + ath_tgt_tx_send_normal(sc, bf); + } +} + +static void +ath_tgt_tx_sched_normal(struct ath_softc_tgt *sc, ath_atx_tid_t *tid) +{ + struct ath_buf *bf; + struct ath_txq *txq =TID_TO_ACTXQ(tid->tidno);; + + do { + if (asf_tailq_empty(&tid->buf_q)) + break; + + bf = asf_tailq_first(&tid->buf_q); + asf_tailq_remove(&tid->buf_q, bf, bf_list); + ath_tgt_tx_send_normal(sc, bf); + + } while (txq->axq_depth < ATH_AGGR_MIN_QDEPTH); +} + +static void +ath_tgt_tx_sched_aggr(struct ath_softc_tgt *sc, ath_atx_tid_t *tid) +{ + struct ath_tx_buf *bf, *bf_last; + ATH_AGGR_STATUS status; + ath_bufhead bf_q; + struct ath_txq *txq = TID_TO_ACTXQ(tid->tidno); + struct ath_desc *ds = NULL; + int i; + + + if (asf_tailq_empty(&tid->buf_q)) + return; + + do { + if (asf_tailq_empty(&tid->buf_q)) + break; + + asf_tailq_init(&bf_q); + + status = ath_tgt_tx_form_aggr(sc, tid, &bf_q); + + if (asf_tailq_empty(&bf_q)) + break; + + bf = asf_tailq_first(&bf_q); + bf_last = asf_tailq_last(&bf_q, ath_bufhead_s); + + if (bf->bf_nframes == 1) { + + if(bf->bf_retries == 0) + __stats(sc, txaggr_single); + bf->bf_isaggr = 0; + bf->bf_lastds = &(bf->bf_descarr[bf->bf_dmamap_info.nsegs -1]); + bf->bf_lastds->ds_link = 0; + bf->bf_next = NULL; + + for(ds = bf->bf_desc; ds <= bf->bf_lastds; ds++) + ath_hal_clr11n_aggr(sc->sc_ah, ds); + + ath_buf_set_rate(sc, bf); + bf->bf_txq_add(sc, bf); + + continue; + } + + bf_last->bf_next = NULL; + bf_last->bf_lastds->ds_link = 0; + bf_last->bf_ndelim = 0; + + bf->bf_isaggr = 1; + ath_buf_set_rate(sc, bf); + ath_hal_set11n_aggr_first(sc->sc_ah, bf->bf_desc, bf->bf_al, + bf->bf_ndelim); + bf->bf_lastds = bf_last->bf_lastds; + + for (i = 0; i < bf_last->bf_dmamap_info.nsegs; i++) + ath_hal_set11n_aggr_last(sc->sc_ah, &bf_last->bf_descarr[i]); + + if (status == ATH_AGGR_8K_LIMITED) { + adf_os_assert(0); + break; + } + + bf->bf_txq_add(sc, bf); + } while (txq->axq_depth < ATH_AGGR_MIN_QDEPTH && + status != ATH_TGT_AGGR_BAW_CLOSED); +} + +static u_int32_t ath_lookup_rate(struct ath_softc_tgt *sc, + struct ath_node_target *an, + struct ath_tx_buf *bf) +{ + int i, prate; + u_int32_t max4msframelen, frame_length; + u_int16_t aggr_limit, legacy=0; + const HAL_RATE_TABLE *rt = sc->sc_currates; + struct ieee80211_node_target *ieee_node = (struct ieee80211_node_target *)an; + + if (bf->bf_ismcast) { + bf->bf_rcs[1].tries = bf->bf_rcs[2].tries = bf->bf_rcs[3].tries = 0; + bf->bf_rcs[0].rix = 0xb; + bf->bf_rcs[0].tries = ATH_TXMAXTRY - 1; + bf->bf_rcs[0].flags = 0; + } else { + ath_tgt_rate_findrate(sc, an, AH_TRUE, 0, ATH_TXMAXTRY-1, 4, 1, + ATH_RC_PROBE_ALLOWED, bf->bf_rcs, &prate); + } + + max4msframelen = IEEE80211_AMPDU_LIMIT_MAX; + + for (i = 0; i < 4; i++) { + if (bf->bf_rcs[i].tries) { + frame_length = bf->bf_rcs[i].max4msframelen; + + if (rt->info[bf->bf_rcs[i].rix].phy != IEEE80211_T_HT) { + legacy = 1; + break; + } + + max4msframelen = ATH_MIN(max4msframelen, frame_length); + } + } + + if (prate || legacy) + return 0; + + if (sc->sc_ic.ic_enable_coex) + aggr_limit = ATH_MIN((max4msframelen*3)/8, sc->sc_ic.ic_ampdu_limit); + else + aggr_limit = ATH_MIN(max4msframelen, sc->sc_ic.ic_ampdu_limit); + + if (ieee_node->ni_maxampdu) + aggr_limit = ATH_MIN(aggr_limit, ieee_node->ni_maxampdu); + + return aggr_limit; +} + +int ath_tgt_tx_form_aggr(struct ath_softc_tgt *sc, ath_atx_tid_t *tid, + ath_bufhead *bf_q) +{ + struct ath_tx_buf *bf_first ,*bf_prev = NULL; + int nframes = 0, rl = 0;; + struct ath_desc *ds = NULL; + struct ath_tx_buf *bf; + u_int16_t aggr_limit = (64*1024 -1), al = 0, bpad = 0, al_delta; + u_int16_t h_baw = tid->baw_size/2, prev_al = 0, prev_frames = 0; + + bf_first = asf_tailq_first(&tid->buf_q); + + do { + bf = asf_tailq_first(&tid->buf_q); + adf_os_assert(bf); + + if (!BAW_WITHIN(tid->seq_start, tid->baw_size, + SEQNO_FROM_BF_SEQNO(bf->bf_seqno))) { + + bf_first->bf_al= al; + bf_first->bf_nframes = nframes; + return ATH_TGT_AGGR_BAW_CLOSED; + } + + if (!rl) { + aggr_limit = ath_lookup_rate(sc, tid->an, bf); + rl = 1; + } + + al_delta = ATH_AGGR_DELIM_SZ + bf->bf_pktlen; + + if (nframes && (aggr_limit < (al + bpad + al_delta + prev_al))) { + bf_first->bf_al= al; + bf_first->bf_nframes = nframes; + return ATH_TGT_AGGR_LIMITED; + } + +#ifdef PROJECT_K2 + if ((nframes + prev_frames) >= ATH_MIN((h_baw), 17)) { +#else + if ((nframes + prev_frames) >= ATH_MIN((h_baw), 22)) { +#endif + bf_first->bf_al= al; + bf_first->bf_nframes = nframes; + return ATH_TGT_AGGR_LIMITED; + } + + ath_tx_addto_baw(tid, bf); + asf_tailq_remove(&tid->buf_q, bf, bf_list); + asf_tailq_insert_tail(bf_q, bf, bf_list); + nframes ++; + + adf_os_assert(bf); + + adf_os_assert(bf->bf_comp == ath_tgt_tx_comp_aggr); + + al += bpad + al_delta; + bf->bf_ndelim = ATH_AGGR_GET_NDELIM(bf->bf_pktlen); + + switch (bf->bf_keytype) { + case HAL_KEY_TYPE_AES: + bf->bf_ndelim += ATH_AGGR_ENCRYPTDELIM; + break; + case HAL_KEY_TYPE_WEP: + case HAL_KEY_TYPE_TKIP: + bf->bf_ndelim += 64; + break; + case HAL_KEY_TYPE_WAPI: + bf->bf_ndelim += 12; + break; + default: + break; + } + + bpad = PADBYTES(al_delta) + (bf->bf_ndelim << 2); + + if (bf_prev) { + bf_prev->bf_next = bf; + bf_prev->bf_lastds->ds_link = ATH_BUF_GET_DESC_PHY_ADDR(bf); + } + bf_prev = bf; + + for(ds = bf->bf_desc; ds <= bf->bf_lastds; ds++) + ath_hal_set11n_aggr_middle(sc->sc_ah, ds, bf->bf_ndelim); + + } while (!asf_tailq_empty(&tid->buf_q)); + + bf_first->bf_al= al; + bf_first->bf_nframes = nframes; + + return ATH_TGT_AGGR_DONE; +} + +void ath_tx_addto_baw(ath_atx_tid_t *tid, struct ath_tx_buf *bf) +{ + int index, cindex; + + if (bf->bf_isretried) { + return; + } + + index = ATH_BA_INDEX(tid->seq_start, SEQNO_FROM_BF_SEQNO(bf->bf_seqno)); + cindex = (tid->baw_head + index) & (ATH_TID_MAX_BUFS - 1); + + TX_BUF_BITMAP_SET(tid->tx_buf_bitmap, cindex); + + if (index >= ((tid->baw_tail - tid->baw_head) & (ATH_TID_MAX_BUFS - 1))) { + tid->baw_tail = cindex; + INCR(tid->baw_tail, ATH_TID_MAX_BUFS); + } +} + +void ath_tgt_tx_comp_aggr(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_node_target *an = ATH_NODE_TARGET(bf->bf_node); + ath_atx_tid_t *tid = ATH_AN_2_TID(an, bf->bf_tidno); + struct ath_tx_desc lastds; + struct ath_tx_desc *ds = &lastds; + struct ath_rc_series rcs[4]; + u_int16_t seq_st; + u_int32_t *ba; + int ba_index; + int nbad = 0; + int nframes = bf->bf_nframes; + struct ath_buf *bf_next; + ath_bufhead bf_q; + int tx_ok = 1; + struct ath_buf *bar = NULL; + struct ath_txq *txq; + + txq = bf->bf_txq; + + if (tid->flag & TID_CLEANUP_INPROGRES) { + ath_tx_comp_cleanup(sc, bf); + return; + } + + adf_os_mem_copy(ds, bf->bf_lastds, sizeof (struct ath_tx_desc)); + adf_os_mem_copy(rcs, bf->bf_rcs, sizeof(rcs)); + + if (ds->ds_txstat.ts_flags == HAL_TX_SW_FILTERED) { + adf_os_assert(0); + return; + } + + if (!bf->bf_isaggr) { + ath_tx_comp_unaggr(sc, bf); + return; + } + + __stats(sc, tx_compaggr); + + asf_tailq_init(&bf_q); + + seq_st = ATH_DS_BA_SEQ(ds); + ba = ATH_DS_BA_BITMAP(ds); + tx_ok = (ATH_DS_TX_STATUS(ds) == HAL_OK); + + if (ATH_DS_TX_STATUS(ds) & HAL_TXERR_XRETRY) { + ath_tx_comp_aggr_error(sc, bf, tid); + return; + } + + if (tx_ok && !ATH_DS_TX_BA(ds)) { + __stats(sc, txaggr_babug); + adf_os_print("BA Bug?\n"); + ath_tx_comp_aggr_error(sc, bf, tid); + return; + } + + while (bf) { + ba_index = ATH_BA_INDEX(seq_st, SEQNO_FROM_BF_SEQNO(bf->bf_seqno)); + bf_next = bf->bf_next; + + if (tx_ok && ATH_BA_ISSET(ba, ba_index)) { + __stats(sc, txaggr_compgood); + ath_tx_update_baw(tid, SEQNO_FROM_BF_SEQNO(bf->bf_seqno)); + ath_tx_status_update_aggr(sc, bf, ds, rcs, 1); + ath_tx_freebuf(sc, bf); + } else { + ath_tx_retry_subframe(sc, bf, &bf_q, &bar); + nbad ++; + } + bf = bf_next; + } + + ath_update_aggr_stats(sc, ds, nframes, nbad); + ath_rate_tx_complete(sc, an, ds, rcs, nframes, nbad); + + if (bar) { + ath_bar_tx(sc, tid, bar); + } + + if (!asf_tailq_empty(&bf_q)) { + __stats(sc, txaggr_prepends); + TAILQ_INSERTQ_HEAD(&tid->buf_q, &bf_q, bf_list); + ath_tgt_tx_enqueue(txq, tid); + } +} + +static void +ath_tx_comp_aggr_error(struct ath_softc_tgt *sc, struct ath_tx_buf *bf, + ath_atx_tid_t *tid) +{ + + + struct ath_tx_desc lastds; + struct ath_desc *ds = &lastds; + struct ath_rc_series rcs[4]; + struct ath_buf *bar = NULL; + struct ath_buf *bf_next; + int nframes = bf->bf_nframes; + ath_bufhead bf_q; + struct ath_txq *txq; + + asf_tailq_init(&bf_q); + txq = bf->bf_txq; + + adf_os_mem_copy(ds, bf->bf_lastds, sizeof (struct ath_tx_desc)); + adf_os_mem_copy(rcs, bf->bf_rcs, sizeof(rcs)); + + while (bf) { + bf_next = bf->bf_next; + ath_tx_retry_subframe(sc, bf, &bf_q, &bar); + bf = bf_next; + } + + ath_update_aggr_stats(sc, ds, nframes, nframes); + ath_rate_tx_complete(sc, tid->an, ds, rcs, nframes, nframes); + + if (bar) { + ath_bar_tx(sc, tid, bar); + } + + if (!asf_tailq_empty(&bf_q)) { + __stats(sc, txaggr_prepends); + TAILQ_INSERTQ_HEAD(&tid->buf_q, &bf_q, bf_list); + ath_tgt_tx_enqueue(txq, tid); + } +} + +static void +ath_tx_comp_cleanup(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + + struct ath_node_target *an = ATH_NODE_TARGET(bf->bf_node); + ath_atx_tid_t *tid = ATH_AN_2_TID(an, bf->bf_tidno); + struct ath_tx_desc lastds; + struct ath_tx_desc *ds = &lastds; + struct ath_rc_series rcs[4]; + u_int16_t seq_st; + u_int32_t *ba; + int ba_index; + int nbad = 0; + int nframes = bf->bf_nframes; + struct ath_buf *bf_next; + int tx_ok = 1; + + adf_os_mem_copy(ds, bf->bf_lastds, sizeof (struct ath_tx_desc)); + adf_os_mem_copy(rcs, bf->bf_rcs, sizeof(rcs)); + + seq_st = ATH_DS_BA_SEQ(ds); + ba = ATH_DS_BA_BITMAP(ds); + tx_ok = (ATH_DS_TX_STATUS(ds) == HAL_OK); + + if (!bf->bf_isaggr) { + ath_update_stats(sc, bf); + + __stats(sc, tx_compunaggr); + + ath_tx_status_update(sc, bf); + + ath_tx_freebuf(sc, bf); + + if (tid->flag & TID_CLEANUP_INPROGRES) { + owl_tgt_tid_cleanup(sc, tid); + + } + + return; + } + + while (bf) { + ba_index = ATH_BA_INDEX(seq_st, SEQNO_FROM_BF_SEQNO(bf->bf_seqno)); + bf_next = bf->bf_next; + + ath_tx_status_update_aggr(sc, bf, ds, rcs, 0); + + ath_tx_freebuf(sc, bf); + + tid->incomp--; + if (!tid->incomp) { + tid->flag &= ~TID_CLEANUP_INPROGRES; + ath_aggr_resume_tid(sc, tid); + break; + } + + bf = bf_next; + } + + ath_update_aggr_stats(sc, ds, nframes, nbad); + ath_rate_tx_complete(sc, an, ds, rcs, nframes, nbad); +} + +static void +ath_tx_retry_subframe(struct ath_softc_tgt *sc, struct ath_tx_buf *bf, + ath_bufhead *bf_q, struct ath_tx_buf **bar) +{ + + struct ath_node_target *an = ATH_NODE_TARGET(bf->bf_node); + ath_atx_tid_t *tid = ATH_AN_2_TID(an, bf->bf_tidno); + struct ath_desc *ds = NULL; + int i = 0; + + __stats(sc, txaggr_compretries); + + for(ds = bf->bf_desc, i = 0; i < bf->bf_dmamap_info.nsegs; ds++, i++) { + ath_hal_clr11n_aggr(sc->sc_ah, ds); + ath_hal_set11n_burstduration(sc->sc_ah, ds, 0); + ath_hal_set11n_virtualmorefrag(sc->sc_ah, ds, 0); + } + + if (bf->bf_retries >= OWLMAX_RETRIES) { + __stats(sc, txaggr_xretries); + ath_tx_update_baw(tid, SEQNO_FROM_BF_SEQNO(bf->bf_seqno)); + ath_tx_status_update_aggr(sc, bf, bf->bf_lastds, NULL, 0); + + if (!*bar) + *bar = bf; + else + ath_tx_freebuf(sc, bf); + return; + } + + if (!bf->bf_next) { + __stats(sc, txaggr_errlast); + bf = ath_buf_toggle(sc, bf, 1); + } else + bf->bf_lastds = &(bf->bf_descarr[bf->bf_dmamap_info.nsegs - 1]); + + ath_tx_set_retry(sc, bf); + asf_tailq_insert_tail(bf_q, bf, bf_list); +} + +static void +ath_update_aggr_stats(struct ath_softc_tgt *sc, + struct ath_tx_desc *ds, int nframes, + int nbad) +{ + + u_int8_t status = ATH_DS_TX_STATUS(ds); + u_int8_t txflags = ATH_DS_TX_FLAGS(ds); + + __statsn(sc, txaggr_longretries, ds->ds_txstat.ts_longretry); + __statsn(sc, txaggr_shortretries, ds->ds_txstat.ts_shortretry); + + if (txflags & HAL_TX_DESC_CFG_ERR) + __stats(sc, txaggr_desc_cfgerr); + + if (txflags & HAL_TX_DATA_UNDERRUN) + __stats(sc, txaggr_data_urun); + + if (txflags & HAL_TX_DELIM_UNDERRUN) + __stats(sc, txaggr_delim_urun); + + if (!status) { + return; + } + + if (status & HAL_TXERR_XRETRY) + __stats(sc, txaggr_compxretry); + + if (status & HAL_TXERR_FILT) + __stats(sc, txaggr_filtered); + + if (status & HAL_TXERR_FIFO) + __stats(sc, txaggr_fifo); + + if (status & HAL_TXERR_XTXOP) + __stats(sc, txaggr_xtxop); + + if (status & HAL_TXERR_TIMER_EXPIRED) + __stats(sc, txaggr_timer_exp); +} + +static void +ath_tx_comp_unaggr(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_node_target *an = ATH_NODE_TARGET(bf->bf_node); + ath_atx_tid_t *tid = ATH_AN_2_TID(an, bf->bf_tidno); + struct ath_desc *ds = bf->bf_lastds; + + ath_update_stats(sc, bf); + ath_rate_tx_complete(sc, an, ds, bf->bf_rcs, 1, 0); + + if (ATH_DS_TX_STATUS(ds) & HAL_TXERR_XRETRY) { + ath_tx_retry_unaggr(sc, bf); + return; + } + __stats(sc, tx_compunaggr); + + ath_tx_update_baw(tid, SEQNO_FROM_BF_SEQNO(bf->bf_seqno)); + ath_tx_status_update(sc, bf); + ath_tx_freebuf(sc, bf); +} + +static inline void +ath_tx_retry_unaggr(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_node_target *an = ATH_NODE_TARGET(bf->bf_node); + ath_atx_tid_t *tid = ATH_AN_2_TID(an, bf->bf_tidno); + struct ath_txq *txq; + + txq = bf->bf_txq; + + if (bf->bf_retries >= OWLMAX_RETRIES) { + __stats(sc, txunaggr_xretry); + ath_tx_update_baw(tid, SEQNO_FROM_BF_SEQNO(bf->bf_seqno)); + ath_tx_status_update(sc, bf); + ath_bar_tx(sc, tid, bf); + return; + } + + __stats(sc, txunaggr_compretries); + if (!bf->bf_lastds->ds_link) { + __stats(sc, txunaggr_errlast); + bf = ath_buf_toggle(sc, bf, 1); + } + + ath_tx_set_retry(sc, bf); + asf_tailq_insert_head(&tid->buf_q, bf, bf_list); + ath_tgt_tx_enqueue(txq, tid); +} + +static void +ath_tx_update_baw(ath_atx_tid_t *tid, int seqno) +{ + int index; + int cindex; + + index = ATH_BA_INDEX(tid->seq_start, seqno); + cindex = (tid->baw_head + index) & (ATH_TID_MAX_BUFS - 1); + + TX_BUF_BITMAP_CLR(tid->tx_buf_bitmap, cindex); + + while (tid->baw_head != tid->baw_tail && + (!TX_BUF_BITMAP_IS_SET(tid->tx_buf_bitmap, tid->baw_head))) { + INCR(tid->seq_start, IEEE80211_SEQ_MAX); + INCR(tid->baw_head, ATH_TID_MAX_BUFS); + } +} + +static void ath_tx_set_retry(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ieee80211_frame *wh; + + __stats(sc, txaggr_retries); + + bf->bf_isretried = 1; + bf->bf_retries ++; + wh = ATH_SKB_2_WH(bf->bf_skb); + wh->i_fc[1] |= IEEE80211_FC1_RETRY; +} + +void ath_tgt_tx_cleanup(struct ath_softc_tgt *sc, struct ath_node_target *an, + ath_atx_tid_t *tid, a_uint8_t discard_all) +{ + struct ath_tx_buf *bf; + struct ath_tx_buf *bf_next; + struct ath_txq *txq; + + txq = TID_TO_ACTXQ(tid->tidno); + + bf = asf_tailq_first(&tid->buf_q); + + while (bf) { + if (discard_all || bf->bf_isretried) { + bf_next = asf_tailq_next(bf, bf_list); + TAILQ_DEQ(&tid->buf_q, bf, bf_list); + if (bf->bf_isretried) + ath_tx_update_baw(tid, SEQNO_FROM_BF_SEQNO(bf->bf_seqno)); + ath_tx_freebuf(sc, bf); + bf = bf_next; + continue; + } + bf->bf_comp = ath_tgt_tx_comp_normal; + bf = asf_tailq_next(bf, bf_list); + } + + ath_aggr_pause_tid(sc, tid); + + while (tid->baw_head != tid->baw_tail) { + if (TX_BUF_BITMAP_IS_SET(tid->tx_buf_bitmap, tid->baw_head)) { + tid->incomp++; + tid->flag |= TID_CLEANUP_INPROGRES; + TX_BUF_BITMAP_CLR(tid->tx_buf_bitmap, tid->baw_head); + } + INCR(tid->baw_head, ATH_TID_MAX_BUFS); + INCR(tid->seq_start, IEEE80211_SEQ_MAX); + } + + if (!(tid->flag & TID_CLEANUP_INPROGRES)) { + ath_aggr_resume_tid(sc, tid); + } +} + +/******************/ +/* BAR Management */ +/******************/ + +static void ath_tgt_delba_send(struct ath_softc_tgt *sc, + struct ieee80211_node_target *ni, + a_uint8_t tidno, a_uint8_t initiator, + a_uint16_t reasoncode) +{ + struct ath_node_target *an = ATH_NODE_TARGET(ni); + ath_atx_tid_t *tid = ATH_AN_2_TID(an, tidno); + struct wmi_data_delba wmi_delba; + + tid->flag &= ~TID_AGGR_ENABLED; + + ath_tgt_tx_cleanup(sc, an, tid, 1); + + wmi_delba.ni_nodeindex = ni->ni_nodeindex; + wmi_delba.tidno = tid->tidno; + wmi_delba.initiator = 1; + wmi_delba.reasoncode = IEEE80211_REASON_UNSPECIFIED; + + __stats(sc, txbar_xretry); + wmi_event(sc->tgt_wmi_handle, + WMI_DELBA_EVENTID, + &wmi_delba, + sizeof(wmi_delba)); +} + +static void ath_bar_retry(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_node_target *an = ATH_NODE_TARGET(bf->bf_node); + ath_atx_tid_t *tid = ATH_AN_2_TID(an, bf->bf_tidno); + + if (bf->bf_retries >= OWLMAX_BAR_RETRIES) { + ath_tgt_delba_send(sc, bf->bf_node, tid->tidno, 1, + IEEE80211_REASON_UNSPECIFIED); + ath_tgt_tid_drain(sc, tid); + + bf->bf_comp = NULL; + ath_buf_comp(sc, bf); + return; + } + + __stats(sc, txbar_compretries); + + if (!bf->bf_lastds->ds_link) { + __stats(sc, txbar_errlast); + bf = ath_buf_toggle(sc, bf, 1); + } + + bf->bf_lastds->ds_link = 0; + + ath_tx_set_retry(sc, bf); + ath_tgt_txq_add_ucast(sc, bf); +} + +static void ath_bar_tx_comp(struct ath_softc_tgt *sc, struct ath_tx_buf *bf) +{ + struct ath_desc *ds = bf->bf_lastds; + struct ath_node_target *an; + ath_atx_tid_t *tid; + struct ath_txq *txq; + + an = (struct ath_node_target *)bf->bf_node; + tid = &an->tid[bf->bf_tidno]; + txq = TID_TO_ACTXQ(tid->tidno); + + if (ATH_DS_TX_STATUS(ds) & HAL_TXERR_XRETRY) { + ath_bar_retry(sc, bf); + return; + } + + ath_aggr_resume_tid(sc, tid); + + bf->bf_comp = NULL; + ath_buf_comp(sc, bf); +} + +static void ath_bar_tx(struct ath_softc_tgt *sc, + ath_atx_tid_t *tid, struct ath_tx_buf *bf) +{ + adf_nbuf_t skb; + struct ieee80211_frame_bar *bar; + u_int8_t min_rate; + struct ath_desc *ds, *ds0; + HAL_11N_RATE_SERIES series[4]; + int i = 0; + adf_nbuf_queue_t skbhead; + a_uint8_t *anbdata; + a_uint32_t anblen; + + __stats(sc, tx_bars); + + memset(&series, 0, sizeof(series)); + + ath_aggr_pause_tid(sc, tid); + + skb = adf_nbuf_queue_remove(&bf->bf_skbhead); + adf_nbuf_peek_header(skb, &anbdata, &anblen); + adf_nbuf_trim_tail(skb, anblen); + bar = (struct ieee80211_frame_bar *) anbdata; + + min_rate = 0x0b; + + ath_dma_unmap(sc, bf); + adf_nbuf_queue_add(&bf->bf_skbhead, skb); + + bar->i_fc[1] = IEEE80211_FC1_DIR_NODS; + bar->i_fc[0] = IEEE80211_FC0_VERSION_0 | + IEEE80211_FC0_TYPE_CTL | + IEEE80211_FC0_SUBTYPE_BAR; + bar->i_ctl = tid->tidno << IEEE80211_BAR_CTL_TID_S | + IEEE80211_BAR_CTL_COMBA; + bar->i_seq = adf_os_cpu_to_le16(tid->seq_start << IEEE80211_SEQ_SEQ_SHIFT); + + bf->bf_seqno = tid->seq_start << IEEE80211_SEQ_SEQ_SHIFT; + + adf_nbuf_put_tail(skb, sizeof(struct ieee80211_frame_bar)); + + bf->bf_comp = ath_bar_tx_comp; + bf->bf_tidno = tid->tidno; + bf->bf_node = &tid->an->ni; + ath_dma_map(sc, bf); + adf_nbuf_dmamap_info(bf->bf_dmamap, &bf->bf_dmamap_info); + + ds = bf->bf_desc; + ath_hal_setuptxdesc(sc->sc_ah, ds + , adf_nbuf_len(skb) + IEEE80211_CRC_LEN + , 0 + , HAL_PKT_TYPE_NORMAL + , ATH_MIN(60, 60) + , min_rate + , ATH_TXMAXTRY + , bf->bf_keyix + , 0 + , HAL_TXDESC_INTREQ + | HAL_TXDESC_CLRDMASK + , 0, 0, 0, 0 + , ATH_COMP_PROC_NO_COMP_NO_CCS); + + skbhead = bf->bf_skbhead; + bf->bf_isaggr = 0; + bf->bf_next = NULL; + + for (ds0 = ds, i=0; i < bf->bf_dmamap_info.nsegs; ds0++, i++) { + ath_hal_clr11n_aggr(sc->sc_ah, ds0); + } + + ath_filltxdesc(sc, bf); + + for (i = 0 ; i < 4; i++) { + series[i].Tries = ATH_TXMAXTRY; + series[i].Rate = min_rate; + series[i].ChSel = sc->sc_ic.ic_tx_chainmask; + } + + ath_hal_set11n_ratescenario(sc->sc_ah, bf->bf_desc, 0, 0, 0, series, 4, 4); + ath_tgt_txq_add_ucast(sc, bf); +} diff --git a/target_firmware/wlan/include/athdefs.h b/target_firmware/wlan/include/athdefs.h new file mode 100755 index 0000000..61cc22c --- /dev/null +++ b/target_firmware/wlan/include/athdefs.h @@ -0,0 +1,84 @@ +#ifndef __ATHDEFS_H__ +#define __ATHDEFS_H__ + +/* + * This file contains definitions that may be used across both + * Host and Target software. Nothing here is module-dependent + * or platform-dependent. + */ + +/* + * Generic error codes that can be used by hw, sta, ap, sim, dk + * and any other environments. Since these are enums, feel free to + * add any more codes that you need. + */ + +typedef enum { + A_ERROR = -1, /* Generic error return */ + A_OK = 0, /* success */ + /* Following values start at 1 */ + A_DEVICE_NOT_FOUND, /* not able to find PCI device */ + A_NO_MEMORY, /* not able to allocate memory, not available */ + A_MEMORY_NOT_AVAIL, /* memory region is not free for mapping */ + A_NO_FREE_DESC, /* no free descriptors available */ + A_BAD_ADDRESS, /* address does not match descriptor */ + A_WIN_DRIVER_ERROR, /* used in NT_HW version, if problem at init */ + A_REGS_NOT_MAPPED, /* registers not correctly mapped */ + A_EPERM, /* Not superuser */ + A_EACCES, /* Access denied */ + A_ENOENT, /* No such entry, search failed, etc. */ + A_EEXIST, /* The object already exists (can't create) */ + A_EFAULT, /* Bad address fault */ + A_EBUSY, /* Object is busy */ + A_EINVAL, /* Invalid parameter */ + A_EMSGSIZE, /* Inappropriate message buffer length */ + A_ECANCELED, /* Operation canceled */ + A_ENOTSUP, /* Operation not supported */ + A_ECOMM, /* Communication error on send */ + A_EPROTO, /* Protocol error */ + A_ENODEV, /* No such device */ + A_EDEVNOTUP, /* device is not UP */ + A_NO_RESOURCE, /* No resources for requested operation */ + A_HARDWARE, /* Hardware failure */ + A_PENDING, /* Asynchronous routine; will send up results la + ter (typically in callback) */ + A_EBADCHANNEL, /* The channel cannot be used */ + A_DECRYPT_ERROR, /* Decryption error */ + A_PHY_ERROR, /* RX PHY error */ + A_CONSUMED /* Object was consumed */ +} A_STATUS; + +#define A_SUCCESS(x) (x == A_OK) +#define A_FAILED(x) (!A_SUCCESS(x)) + +#ifndef TRUE +#define TRUE 1 +#endif + +#ifndef FALSE +#define FALSE 0 +#endif + +#ifndef NULL +#define NULL ((void *)0) +#endif + +/* + * The following definition is WLAN specific definition + */ +typedef enum { + MODE_11A = 0, /* 11a Mode */ + MODE_11G = 1, /* 11g + 11b Mode */ + MODE_11B = 2, /* 11b Mode */ + MODE_11GONLY = 3, /* 11g only Mode */ + MODE_UNKNOWN = 4, + MODE_MAX = 4 +} WLAN_PHY_MODE; + +typedef enum { + WLAN_11A_CAPABILITY = 1, + WLAN_11G_CAPABILITY = 2, + WLAN_11AG_CAPABILITY = 3, +} WLAN_CAPABILITY; + +#endif /* __ATHDEFS_H__ */ diff --git a/target_firmware/wlan/include/htc.h b/target_firmware/wlan/include/htc.h new file mode 100755 index 0000000..9b1b269 --- /dev/null +++ b/target_firmware/wlan/include/htc.h @@ -0,0 +1,226 @@ +/* + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + */ + +#ifndef __HTC_H__ +#define __HTC_H__ + +#ifndef ATH_TARGET +#endif + +#define A_OFFSETOF(type,field) ((int)(&(((type *)NULL)->field))) + +#define ASSEMBLE_UNALIGNED_UINT16(p,highbyte,lowbyte) \ + (((a_uint16_t)(((a_uint8_t *)(p))[(highbyte)])) << 8 | (a_uint16_t)(((a_uint8_t *)(p))[(lowbyte)])) + +/* alignment independent macros (little-endian) to fetch UINT16s or UINT8s from a + * structure using only the type and field name. + * Use these macros if there is the potential for unaligned buffer accesses. */ +#define A_GET_UINT16_FIELD(p,type,field) \ + ASSEMBLE_UNALIGNED_UINT16(p, \ + A_OFFSETOF(type,field) + 1, \ + A_OFFSETOF(type,field)) + +#define A_SET_UINT16_FIELD(p,type,field,value) \ + { \ + ((a_uint8_t *)(p))[A_OFFSETOF(type,field)] = (a_uint8_t)((value) >> 8); \ + ((a_uint8_t *)(p))[A_OFFSETOF(type,field) + 1] = (a_uint8_t)(value); \ + } + +#define A_GET_UINT8_FIELD(p,type,field) \ + ((a_uint8_t *)(p))[A_OFFSETOF(type,field)] + +#define A_SET_UINT8_FIELD(p,type,field,value) \ + ((a_uint8_t *)(p))[A_OFFSETOF(type,field)] = (value) + +/****** DANGER DANGER *************** + * + * The frame header length and message formats defined herein were + * selected to accommodate optimal alignment for target processing. This reduces code + * size and improves performance. + * + * Any changes to the header length may alter the alignment and cause exceptions + * on the target. When adding to the message structures insure that fields are + * properly aligned. + * + */ + +/* endpoint defines */ +typedef enum +{ + ENDPOINT_UNUSED = -1, + ENDPOINT0 = 0, /* this is reserved for the control endpoint */ + ENDPOINT1 = 1, + ENDPOINT2 = 2, + ENDPOINT3 = 3, + ENDPOINT4, + ENDPOINT5, + ENDPOINT6, + ENDPOINT7, + ENDPOINT8, + ENDPOINT_MAX = 22 /* maximum number of endpoints for this firmware build, max application + endpoints = (ENDPOINT_MAX - 1) */ +} HTC_ENDPOINT_ID; + +/* HTC frame header */ +typedef PREPACK struct _HTC_FRAME_HDR{ + /* do not remove or re-arrange these fields, these are minimally required + * to take advantage of 4-byte lookaheads in some hardware implementations */ + a_uint8_t EndpointID; + a_uint8_t Flags; + a_uint16_t PayloadLen; /* length of data (including trailer) that follows the header */ + + /***** end of 4-byte lookahead ****/ + + a_uint8_t ControlBytes[4]; + + /* message payload starts after the header */ + +} POSTPACK HTC_FRAME_HDR; + +/* frame header flags */ +#define HTC_FLAGS_NEED_CREDIT_UPDATE (1 << 0) +#define HTC_FLAGS_RECV_TRAILER (1 << 1) +#define HTC_FLAGS_CREDIT_REDISTRIBUTION (1 << 2) + +#define HTC_HDR_LENGTH (sizeof(HTC_FRAME_HDR)) +#define HTC_MAX_TRAILER_LENGTH 255 +#define HTC_MAX_PAYLOAD_LENGTH (2048 - sizeof(HTC_FRAME_HDR)) + +/* HTC control message IDs */ +typedef enum { + HTC_MSG_READY_ID = 1, + HTC_MSG_CONNECT_SERVICE_ID = 2, + HTC_MSG_CONNECT_SERVICE_RESPONSE_ID = 3, + HTC_MSG_SETUP_COMPLETE_ID = 4, + HTC_MSG_CONFIG_PIPE_ID = 5, + HTC_MSG_CONFIG_PIPE_RESPONSE_ID = 6, +} HTC_MSG_IDS; + +#define HTC_MAX_CONTROL_MESSAGE_LENGTH 256 + +/* base message ID header */ +typedef PREPACK struct { + a_uint16_t MessageID; +} POSTPACK HTC_UNKNOWN_MSG; + +/* HTC ready message + * direction : target-to-host */ +typedef PREPACK struct { + a_uint16_t MessageID; /* ID */ + a_uint16_t CreditCount; /* number of credits the target can offer */ + a_uint16_t CreditSize; /* size of each credit */ + a_uint8_t MaxEndpoints; /* maximum number of endpoints the target has resources for */ + a_uint8_t _Pad1; +} POSTPACK HTC_READY_MSG; + +#define HTC_SERVICE_META_DATA_MAX_LENGTH 128 + +/* connect service + * direction : host-to-target */ +typedef PREPACK struct { + a_uint16_t MessageID; + a_uint16_t ServiceID; /* service ID of the service to connect to */ + a_uint16_t ConnectionFlags; /* connection flags */ + a_uint8_t DownLinkPipeID; + a_uint8_t UpLinkPipeID; + +#define HTC_CONNECT_FLAGS_REDUCE_CREDIT_DRIBBLE (1 << 2) /* reduce credit dribbling when + the host needs credits */ +#define HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_MASK (0x3) +#define HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_ONE_FOURTH 0x0 +#define HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_ONE_HALF 0x1 +#define HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_THREE_FOURTHS 0x2 +#define HTC_CONNECT_FLAGS_THRESHOLD_LEVEL_UNITY 0x3 + + a_uint8_t ServiceMetaLength; /* length of meta data that follows */ + a_uint8_t _Pad1; + + /* service-specific meta data starts after the header */ + +} POSTPACK HTC_CONNECT_SERVICE_MSG; + +/* connect response + * direction : target-to-host */ +typedef PREPACK struct { + a_uint16_t MessageID; + a_uint16_t ServiceID; /* service ID that the connection request was made */ + a_uint8_t Status; /* service connection status */ + a_uint8_t EndpointID; /* assigned endpoint ID */ + a_uint16_t MaxMsgSize; /* maximum expected message size on this endpoint */ + a_uint8_t ServiceMetaLength; /* length of meta data that follows */ + a_uint8_t _Pad1; + + /* service-specific meta data starts after the header */ + +} POSTPACK HTC_CONNECT_SERVICE_RESPONSE_MSG; + +typedef PREPACK struct { + a_uint16_t MessageID; + /* currently, no other fields */ +} POSTPACK HTC_SETUP_COMPLETE_MSG; + +/* config pipe + * direction : host-to-target */ +typedef PREPACK struct { + a_uint16_t MessageID; + a_uint8_t PipeID; /* Pipe ID of the service to connect to */ + a_uint8_t CreditCount; /* CreditCount */ + //a_uint8_t _Pad1; +} POSTPACK HTC_CONFIG_PIPE_MSG; + +/* config pipe + * direction : host-to-target */ +typedef PREPACK struct { + a_uint16_t MessageID; + a_uint8_t PipeID; /* Pipe ID of the service to connect to */ + a_uint8_t Status; /* status */ + //a_uint8_t _Pad1; +} POSTPACK HTC_CONFIG_PIPE_RESPONSE_MSG; + +/* connect response status codes */ +#define HTC_SERVICE_SUCCESS 0 /* success */ +#define HTC_SERVICE_NOT_FOUND 1 /* service could not be found */ +#define HTC_SERVICE_FAILED 2 /* specific service failed the connect */ +#define HTC_SERVICE_NO_RESOURCES 3 /* no resources (i.e. no more endpoints) */ +#define HTC_SERVICE_NO_MORE_EP 4 /* specific service is not allowing any more + endpoints */ + +/* shihhung: config pipe response status code */ +#define HTC_CONFIGPIPE_SUCCESS 0 +#define HTC_CONFIGPIPE_NOSUCHPIPE 1 +#define HTC_CONFIGPIPE_NORESOURCE 2 + +/* report record IDs */ +typedef enum { + HTC_RECORD_NULL = 0, + HTC_RECORD_CREDITS = 1, + HTC_RECORD_LOOKAHEAD = 2, +} HTC_RPT_IDS; + +typedef PREPACK struct { + a_uint8_t RecordID; /* Record ID */ + a_uint8_t Length; /* Length of record */ +} POSTPACK HTC_RECORD_HDR; + +typedef PREPACK struct { + a_uint8_t EndpointID; /* Endpoint that owns these credits */ + a_uint8_t Credits; /* credits to report since last report */ +} POSTPACK HTC_CREDIT_REPORT; + +typedef PREPACK struct { + a_uint8_t PreValid; /* pre valid guard */ + a_uint8_t LookAhead[4]; /* 4 byte lookahead */ + a_uint8_t PostValid; /* post valid guard */ + + /* NOTE: the LookAhead array is guarded by a PreValid and Post Valid guard bytes. + * The PreValid bytes must equal the inverse of the PostValid byte */ + +} POSTPACK HTC_LOOKAHEAD_REPORT; + +#ifndef ATH_TARGET +//#include "athendpack.h" +#endif + +#endif /* __HTC_H__ */ diff --git a/target_firmware/wlan/include/htc_services.h b/target_firmware/wlan/include/htc_services.h new file mode 100755 index 0000000..a21a7dc --- /dev/null +++ b/target_firmware/wlan/include/htc_services.h @@ -0,0 +1,42 @@ +/* + * Copyright (c) 2007 Atheros Communications Inc. + * All rights reserved. + */ + +#ifndef __HTC_SERVICES_H__ +#define __HTC_SERVICES_H__ + +/* Current service IDs */ + +typedef enum { + RSVD_SERVICE_GROUP = 0, + WMI_SERVICE_GROUP = 1, + + HTC_TEST_GROUP = 254, + HTC_SERVICE_GROUP_LAST = 255 +} HTC_SERVICE_GROUP_IDS; + +#define MAKE_SERVICE_ID(group,index) \ + (int)(((int)group << 8) | (int)(index)) + +/* NOTE: service ID of 0x0000 is reserved and should never be used */ +#define HTC_CTRL_RSVD_SVC MAKE_SERVICE_ID(RSVD_SERVICE_GROUP,1) +#define HTC_LOOPBACK_RSVD_SVC MAKE_SERVICE_ID(RSVD_SERVICE_GROUP,2) +#define WMI_CONTROL_SVC MAKE_SERVICE_ID(WMI_SERVICE_GROUP,0) + +#define WMI_BEACON_SVC MAKE_SERVICE_ID(WMI_SERVICE_GROUP,1) +#define WMI_CAB_SVC MAKE_SERVICE_ID(WMI_SERVICE_GROUP,2) + +#define WMI_UAPSD_SVC MAKE_SERVICE_ID(WMI_SERVICE_GROUP,3) +#define WMI_MGMT_SVC MAKE_SERVICE_ID(WMI_SERVICE_GROUP,4) + +#define WMI_DATA_VO_SVC MAKE_SERVICE_ID(WMI_SERVICE_GROUP,5) +#define WMI_DATA_VI_SVC MAKE_SERVICE_ID(WMI_SERVICE_GROUP,6) + +#define WMI_DATA_BE_SVC MAKE_SERVICE_ID(WMI_SERVICE_GROUP,7) +#define WMI_DATA_BK_SVC MAKE_SERVICE_ID(WMI_SERVICE_GROUP,8) + +/* raw stream service (i.e. flash, tcmd, calibration apps) */ +#define HTC_RAW_STREAMS_SVC MAKE_SERVICE_ID(HTC_TEST_GROUP,0) + +#endif /*HTC_SERVICES_H_*/ diff --git a/target_firmware/wlan/include/k2/wlan_cfg.h b/target_firmware/wlan/include/k2/wlan_cfg.h new file mode 100755 index 0000000..2f90417 --- /dev/null +++ b/target_firmware/wlan/include/k2/wlan_cfg.h @@ -0,0 +1,56 @@ +#ifndef _WLAN_CFG_H_ +#define _WLAN_CFG_H_ + +/************************** ATH configurations **************************/ +#ifdef _DEBUG_BUILD_ +#ifdef RX_SCATTER +#define ATH_RXDESC 30 /* number of RX descriptors */ +#endif + +#ifdef RX_SCATTER +#define ATH_RXBUF ATH_RXDESC /* number of RX buffers */ +#else +#define ATH_RXBUF 15 /* number of RX buffers */ +#endif +#define ATH_TXBUF 26 /* number of TX buffers */ + +#else + +#ifdef RX_SCATTER +#define ATH_RXDESC 11 /* number of RX descriptors */ +#endif // end of _DEBUG_BUILD + +#ifdef RX_SCATTER +#define ATH_RXBUF ATH_RXDESC /* number of RX buffers */ +#else +#define ATH_RXBUF 15 /* number of RX buffers */ +#endif +#define ATH_TXBUF 30 /* number of TX buffers */ +#endif + +#ifdef FUSION_USB_FW +#undef ATH_RXBUF +#undef ATH_TXBUF + +#define ATH_RXBUF 11 +#define ATH_TXBUF 33 +#endif + +#define ATH_BCBUF 1 /* number of beacon buffers */ +#define ATH_WMI_MAX_CMD_REPLY 2 +#define ATH_WMI_MAX_EVENTS 8 + +//#define ATH_DISABLE_RC /* Use fixed rate instead of rate control */ +#define ATH_BUF_OPTIMIZATION +#define ATH_NO_VIRTUAL_MEMORY +#define ATH_SUPPORT_XB_ONLY +#define ATH_ENABLE_WLAN_FOR_K2 + +#define ATH_VERSION_MAJOR 1 +#define ATH_VERSION_MINOR 3 + +/************************** HAL configurations **************************/ + +#define HAL_DESC_OPTIMIZATION + +#endif /* _WLAN_CFG_H_ */ diff --git a/target_firmware/wlan/include/magpie/wlan_cfg.h b/target_firmware/wlan/include/magpie/wlan_cfg.h new file mode 100755 index 0000000..415e29f --- /dev/null +++ b/target_firmware/wlan/include/magpie/wlan_cfg.h @@ -0,0 +1,41 @@ +#ifndef _WLAN_CFG_H_ +#define _WLAN_CFG_H_ + +/************************** ATH configurations **************************/ +#ifdef RX_SCATTER +#define ATH_RXDESC 40 /* number of RX descriptors */ +#endif + +#ifdef RX_SCATTER +#define ATH_RXBUF 40 /* number of RX buffers */ +#else +#define ATH_RXBUF 20 /* number of RX buffers */ +#endif +#define ATH_TXBUF 45 /* number of TX buffers */ +#define ATH_BCBUF 1 /* number of beacon buffers */ +#define ATH_WMI_MAX_CMD_REPLY 2 +#define ATH_WMI_MAX_EVENTS 8 + +#ifdef FUSION_USB_FW +#undef ATH_RXBUF +#undef ATH_RXDESC + +#define ATH_RXDESC 20 +#define ATH_RXBUF 20 +#endif +//#define ATH_DISABLE_RC /* Use fixed rate instead of rate control */ + +#define ATH_BUF_OPTIMIZATION +#define ATH_NO_VIRTUAL_MEMORY + +//#define ATH_SUPPORT_XB_ONLY +#define ATH_SUPPORT_A_MODE + +#define ATH_VERSION_MAJOR 1 +#define ATH_VERSION_MINOR 3 + +/************************** HAL configurations **************************/ + +#define HAL_DESC_OPTIMIZATION + +#endif /* _WLAN_CFG_H_ */ diff --git a/target_firmware/wlan/include/wlan_hdr.h b/target_firmware/wlan/include/wlan_hdr.h new file mode 100755 index 0000000..1fd1272 --- /dev/null +++ b/target_firmware/wlan/include/wlan_hdr.h @@ -0,0 +1,202 @@ +#ifndef _WLAN_HDR_H +#define _WLAN_HDR_H + +/* Please make sure the size of ALL headers is on word alignment */ + +#define M_FF 0x02 /* fast frame */ + +#define RX_STATS_SIZE 10 + +struct rx_frame_header { + a_uint32_t rx_stats[RX_STATS_SIZE]; +}; + +#define ATH_DATA_TYPE_AGGR 0x1 +#define ATH_DATA_TYPE_NON_AGGR 0x2 +#define ATH_SHORT_PREAMBLE 0x1 + +typedef struct _mgt_header { + a_uint8_t ni_index; + a_uint8_t vap_index; + a_uint8_t tidno; + a_uint8_t flags; + a_int8_t keytype; + a_int8_t keyix; + a_uint8_t cookie; + a_uint8_t pad; +} POSTPACK ath_mgt_hdr_t; + +typedef struct _beacon_header { + a_uint8_t vap_index; + a_uint8_t len_changed; + a_uint16_t reserved; +} ath_beacon_hdr_t; + +#define M_LINK0 0x01 /* frame needs WEP encryption */ +#define M_UAPSD 0x08 /* frame flagged for u-apsd handling */ + +/* Tx frame header flags definition */ +//Reserved bit-0 for selfCTS +//Reserved bit-1 for RTS +#define TFH_FLAGS_USE_MIN_RATE 0x100 + +typedef struct __data_header { + a_uint8_t datatype; + a_uint8_t ni_index; + a_uint8_t vap_index; + a_uint8_t tidno; + a_uint32_t flags; + a_int8_t keytype; + a_int8_t keyix; + a_uint8_t cookie; + a_uint8_t pad; +} POSTPACK ath_data_hdr_t; + +#define RX_HEADER_SPACE HTC_HDR_LENGTH + sizeof(struct rx_frame_header) + +struct ieee80211com_target { + a_uint32_t ic_ampdu_limit; + a_uint8_t ic_ampdu_subframes; + a_uint8_t ic_enable_coex; + a_uint8_t ic_tx_chainmask; + a_uint8_t pad; +}; + +#define ATH_NODE_MAX 8 /* max no. of nodes */ +#define ATH_VAP_MAX 2 /* max no. of vaps */ + +#define VAP_TARGET_SIZE 12 + +struct ieee80211vap_target +{ + a_uint8_t iv_vapindex; + a_uint8_t iv_opmode; /* enum ieee80211_opmode */ + a_uint8_t iv_myaddr[IEEE80211_ADDR_LEN]; + a_uint8_t iv_ath_cap; + a_uint16_t iv_rtsthreshold; + a_uint8_t pad; + + /* Internal */ + a_uint8_t iv_nodeindex; + struct ieee80211_node_target *iv_bss; +}; + +/* NB: this must have the same value as IEEE80211_FC1_PWR_MGT */ +#define IEEE80211_NODE_PWR_MGT 0x0010 /* power save mode enabled */ +#define IEEE80211_NODE_AREF 0x0020 /* authentication ref held */ +#define IEEE80211_NODE_UAPSD 0x0040 /* U-APSD power save enabled */ +#define IEEE80211_NODE_UAPSD_TRIG 0x0080 /* U-APSD triggerable state */ +#define IEEE80211_NODE_UAPSD_SP 0x0100 /* U-APSD SP in progress */ +#define IEEE80211_NODE_ATH 0x0200 /* Atheros Owl or follow-on device */ +#define IEEE80211_NODE_OWL_WORKAROUND 0x0400 /* Owl WDS workaround needed*/ +#define IEEE80211_NODE_WDS 0x0800 /* WDS link */ + +#define NODE_TARGET_SIZE 22 + +struct ieee80211_node_target +{ + a_uint8_t ni_macaddr[IEEE80211_ADDR_LEN]; + a_uint8_t ni_bssid[IEEE80211_ADDR_LEN]; + a_uint8_t ni_nodeindex; + a_uint8_t ni_vapindex; + a_uint8_t ni_is_vapnode; + a_uint16_t ni_flags; + a_uint16_t ni_htcap; + a_uint16_t ni_maxampdu; + a_uint8_t pad; + + /* + * Internal. + * Should move to ath_node_target later on ... + */ + a_uint16_t ni_txseqmgmt; + a_uint16_t ni_iv16; + a_uint32_t ni_iv32; + struct ieee80211vap_target *ni_vap; +}; + +struct ath_interrupt_stats { + a_uint32_t ast_rx; + a_uint32_t ast_rxorn; + a_uint32_t ast_rxeol; + a_uint32_t ast_txurn; + a_uint32_t ast_txto; + a_uint32_t ast_cst; +}; + +struct ath_tx_stats { + a_uint32_t ast_tx_xretries; /* tx failed 'cuz too many retries */ + a_uint32_t ast_tx_fifoerr; /* tx failed 'cuz FIFO underrun */ + a_uint32_t ast_tx_filtered; /* tx failed 'cuz xmit filtered */ + a_uint32_t ast_tx_timer_exp; /* tx timer expired */ + a_uint32_t ast_tx_shortretry; /* tx on-chip retries (short) */ + a_uint32_t ast_tx_longretry; /* tx on-chip retries (long) */ + + a_uint32_t ast_tx_rts; /* tx frames with rts enabled */ + a_uint32_t ast_tx_altrate; /* tx frames with alternate rate */ + a_uint32_t ast_tx_protect; /* tx frames with protection */ + + a_uint32_t tx_tgt; /* tx data pkts recieved on target */ + a_uint32_t tx_qnull; /* txq empty occurences */ + + a_uint32_t txaggr_nframes; /* no. of frames aggregated */ + a_uint32_t tx_compunaggr; /* tx unaggregated frame completions */ + a_uint32_t tx_compaggr; /* tx aggregated completions */ + a_uint32_t txaggr_retries; /* tx retries of sub frames */ + a_uint32_t txaggr_single; /* tx frames not aggregated */ + a_uint32_t txaggr_compgood; /* tx aggr good completions */ + a_uint32_t txaggr_compretries; /* tx aggr unacked subframes */ + a_uint32_t txaggr_prepends; /* tx aggr old frames requeued */ + a_uint32_t txaggr_data_urun; /* data underrun for an aggregate */ + a_uint32_t txaggr_delim_urun; /* delimiter underrun for an aggr */ + a_uint32_t txaggr_errlast; /* tx aggr: last sub-frame failed */ + a_uint32_t txaggr_longretries; /* tx aggr h/w long retries */ + a_uint32_t txaggr_babug; /* tx aggr : BA bug */ + a_uint32_t txaggr_compxretry; /* tx aggr excessive retries */ + a_uint32_t txaggr_shortretries;/* tx aggr h/w short retries */ + a_uint32_t txaggr_timer_exp; /* tx aggr : tx timer expired */ + a_uint32_t txunaggr_compretries; /* tx non-aggr unacked subframes */ + a_uint32_t txaggr_filtered; /* filtered aggr packet */ + a_uint32_t txaggr_fifo; /* fifo underrun of aggregate */ + a_uint32_t txaggr_xtxop; /* txop exceeded for an aggregate */ + a_uint32_t txaggr_desc_cfgerr; /* aggr descriptor config error */ + a_uint32_t txunaggr_errlast; /* tx non-aggr: last frame failed */ + a_uint32_t txunaggr_xretry; /* tx unaggregated excessive retries */ + a_uint32_t txaggr_xretries; /* tx excessive retries of aggr */ + + a_uint32_t tx_stopfiltered; /* tx pkts filtered for requeueing */ + a_uint32_t tx_noskbs; /* tx no skbs for encapsulations */ + a_uint32_t tx_nobufs; /* tx no descriptors */ + + a_uint32_t tx_bars; /* tx bars sent */ + a_uint32_t txbar_xretry; /* tx bars excessively retried */ + a_uint32_t txbar_compretries; /* tx bars retried */ + a_uint32_t txbar_errlast; /* tx bars last frame failed */ +}; + +struct ath_rx_stats { + a_uint32_t ast_rx_nobuf; /* rx setup failed 'cuz no skbuff */ + a_uint32_t ast_rx_send; + a_uint32_t ast_rx_done; +}; + +struct ath_aggr_info { + a_uint8_t nodeindex; + a_uint8_t tidno; + a_uint8_t aggr_enable; + a_uint8_t padding; +}; + +struct wmi_data_delba { + a_uint8_t ni_nodeindex; + a_uint8_t tidno; + a_uint8_t initiator; + a_uint8_t reasoncode; +}; + +struct wmi_fw_version { + a_uint16_t major; + a_uint16_t minor; +}; + +#endif diff --git a/target_firmware/wlan/include/wmi.h b/target_firmware/wlan/include/wmi.h new file mode 100755 index 0000000..bf68eda --- /dev/null +++ b/target_firmware/wlan/include/wmi.h @@ -0,0 +1,269 @@ +/* + * Copyright (c) 2004-2006 Atheros Communications Inc. + * All rights reserved. + * + * This file contains the definitions of the WMI protocol specified in the + * Wireless Module Interface (WMI). It includes definitions of all the + * commands and events. Commands are messages from the host to the WM. + * Events and Replies are messages from the WM to the host. + * + * Ownership of correctness in regards to WMI commands + * belongs to the host driver and the WM is not required to validate + * parameters for value, proper range, or any other checking. + * + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/include/wmi.h#6 $ + * + */ + +#ifndef _WMI_H_ +#define _WMI_H_ + +#include "athdefs.h" + +#ifdef __cplusplus +extern "C" { +#endif + +#define HTC_PROTOCOL_VERSION 0x0002 +#define HTC_PROTOCOL_REVISION 0x0000 + +#define WMI_PROTOCOL_VERSION 0x0002 +#define WMI_PROTOCOL_REVISION 0x0000 + +#define ATH_MAC_LEN 6 /* length of mac in bytes */ +#define WMI_CMD_MAX_LEN 100 +#define WMI_CONTROL_MSG_MAX_LEN 256 +#define WMI_OPT_CONTROL_MSG_MAX_LEN 1536 +#define IS_ETHERTYPE(_typeOrLen) ((_typeOrLen) >= 0x0600) +#define RFC1042OUI {0x00, 0x00, 0x00} + +#define IP_ETHERTYPE 0x0800 + +#define WMI_IMPLICIT_PSTREAM 0xFF +#define WMI_MAX_THINSTREAM 15 + +struct host_app_area_s { + a_uint32_t wmi_protocol_ver; +}; + +/* + * Data Path + */ +typedef PREPACK struct { + a_uint8_t dstMac[ATH_MAC_LEN]; + a_uint8_t srcMac[ATH_MAC_LEN]; + a_uint16_t typeOrLen; +} POSTPACK ATH_MAC_HDR; + +typedef PREPACK struct { + a_uint8_t dsap; + a_uint8_t ssap; + a_uint8_t cntl; + a_uint8_t orgCode[3]; + a_uint16_t etherType; +} POSTPACK ATH_LLC_SNAP_HDR; + +typedef enum { + DATA_MSGTYPE = 0x0, + CNTL_MSGTYPE, + SYNC_MSGTYPE, + OPT_MSGTYPE, +} WMI_MSG_TYPE; + + +typedef PREPACK struct { + a_int8_t rssi; + a_uint8_t info; /* WMI_MSG_TYPE in lower 2 bits - b1b0 */ + /* UP in next 3 bits - b4b3b2 */ +#define WMI_DATA_HDR_MSG_TYPE_MASK 0x03 +#define WMI_DATA_HDR_MSG_TYPE_SHIFT 0 +#define WMI_DATA_HDR_UP_MASK 0x07 +#define WMI_DATA_HDR_UP_SHIFT 2 +#define WMI_DATA_HDR_IS_MSG_TYPE(h, t) (((h)->info & (WMI_DATA_HDR_MSG_TYPE_MASK)) == (t)) +} POSTPACK WMI_DATA_HDR; + + +#define WMI_DATA_HDR_SET_MSG_TYPE(h, t) (h)->info = (((h)->info & ~(WMI_DATA_HDR_MSG_TYPE_MASK << WMI_DATA_HDR_MSG_TYPE_SHIFT)) | (t << WMI_DATA_HDR_MSG_TYPE_SHIFT)) +#define WMI_DATA_HDR_SET_UP(h, p) (h)->info = (((h)->info & ~(WMI_DATA_HDR_UP_MASK << WMI_DATA_HDR_UP_SHIFT)) | (p << WMI_DATA_HDR_UP_SHIFT)) + +/* + * Control Path + */ +typedef PREPACK struct { + a_uint16_t commandId; + a_uint16_t seqNo; +} POSTPACK WMI_CMD_HDR; /* used for commands and events */ + +/* + * List of Commnands + */ +typedef enum { + WMI_ECHO_CMDID = 0x0001, + WMI_ACCESS_MEMORY_CMDID, + + /* Commands to Target */ + WMI_GET_FW_VERSION, + WMI_DISABLE_INTR_CMDID, + WMI_ENABLE_INTR_CMDID, + WMI_ATH_INIT_CMDID, + WMI_ABORT_TXQ_CMDID, + WMI_STOP_TX_DMA_CMDID, + WMI_ABORT_TX_DMA_CMDID, + WMI_DRAIN_TXQ_CMDID, + WMI_DRAIN_TXQ_ALL_CMDID, + WMI_START_RECV_CMDID, + WMI_STOP_RECV_CMDID, + WMI_FLUSH_RECV_CMDID, + WMI_SET_MODE_CMDID, + WMI_NODE_CREATE_CMDID, + WMI_NODE_REMOVE_CMDID, + WMI_VAP_REMOVE_CMDID, + WMI_VAP_CREATE_CMDID, + WMI_REG_READ_CMDID, + WMI_REG_WRITE_CMDID, + WMI_RC_STATE_CHANGE_CMDID, + WMI_RC_RATE_UPDATE_CMDID, + WMI_TARGET_IC_UPDATE_CMDID, + WMI_TX_AGGR_ENABLE_CMDID, + WMI_TGT_DETACH_CMDID, + WMI_NODE_UPDATE_CMDID, + WMI_INT_STATS_CMDID, + WMI_TX_STATS_CMDID, + WMI_RX_STATS_CMDID, + WMI_BITRATE_MASK_CMDID, +} WMI_COMMAND_ID; + +/* + * Frame Types + */ +typedef enum { + WMI_FRAME_BEACON = 0, + WMI_FRAME_PROBE_REQ, + WMI_FRAME_PROBE_RESP, + WMI_FRAME_ASSOC_REQ, + WMI_FRAME_ASSOC_RESP, + WMI_NUM_MGMT_FRAME +} WMI_MGMT_FRAME_TYPE; + +/* + * Connect Command + */ +typedef enum { + INFRA_NETWORK = 0x01, + ADHOC_NETWORK = 0x02, + ADHOC_CREATOR = 0x04, + OPT_NETWORK = 0x08, +} NETWORK_TYPE; + +typedef enum { + OPEN_AUTH = 0x01, + SHARED_AUTH = 0x02, + LEAP_AUTH = 0x04, /* different from IEEE_AUTH_MODE definitions */ +} DOT11_AUTH_MODE; + +typedef enum { + NONE_AUTH = 0x01, + WPA_AUTH = 0x02, + WPA_PSK_AUTH = 0x03, + WPA2_AUTH = 0x04, + WPA2_PSK_AUTH = 0x05, + WPA_AUTH_CCKM = 0x06, + WPA2_AUTH_CCKM = 0x07, +} AUTH_MODE; + +typedef enum { + NONE_CRYPT = 0x01, + WEP_CRYPT = 0x02, + TKIP_CRYPT = 0x03, + AES_CRYPT = 0x04, +} CRYPTO_TYPE; + +#define WMI_MIN_CRYPTO_TYPE NONE_CRYPT +#define WMI_MAX_CRYPTO_TYPE (AES_CRYPT + 1) + +#define WMI_MIN_KEY_INDEX 0 +#define WMI_MAX_KEY_INDEX 3 + +#define WMI_MAX_KEY_LEN 32 + +#define WMI_MAX_SSID_LEN 32 + +typedef enum { + CONNECT_ASSOC_POLICY_USER = 0x0001, + CONNECT_SEND_REASSOC = 0x0002, + CONNECT_IGNORE_WPAx_GROUP_CIPHER = 0x0004, + CONNECT_PROFILE_MATCH_DONE = 0x0008, + CONNECT_IGNORE_AAC_BEACON = 0x0010, + CONNECT_CSA_FOLLOW_BSS = 0x0020, +} WMI_CONNECT_CTRL_FLAGS_BITS; + +#define DEFAULT_CONNECT_CTRL_FLAGS (CONNECT_CSA_FOLLOW_BSS) + +/* + * WMI_ECHO_CMDID + */ +#define WMI_ECHOCMD_MSG_MAX_LEN 53//64 - HTC_HDR_LENGTH + sizeof(WMI_CMD_HDR) - 1 + +typedef PREPACK struct { + a_uint8_t msgSize; + a_uint8_t msgData[1]; +} POSTPACK WMI_ECHO_CMD; + +/* + * WMI_ACCESS_MEMORY_CMDID + */ +#define WMI_ACCESS_MEMORY_MAX_TUPLES 8 + +typedef PREPACK struct { + a_uint16_t addressL; + a_uint16_t addressH; + a_uint16_t valueL; + a_uint16_t valueH; +} POSTPACK WMI_AVT; + +typedef PREPACK struct { + a_uint16_t tupleNumL; + a_uint16_t tupleNumH; + WMI_AVT avt[1]; +} POSTPACK WMI_ACCESS_MEMORY_CMD; + +/* + * List of Events (target to host) + */ +typedef enum { + WMI_TGT_RDY_EVENTID = 0x1001, + WMI_SWBA_EVENTID, + WMI_FATAL_EVENTID, + WMI_TXTO_EVENTID, + WMI_BMISS_EVENTID, + WMI_DELBA_EVENTID, + WMI_TXSTATUS_EVENTID +} WMI_EVENT_ID; + +typedef PREPACK struct { + a_uint64_t tsf; + a_uint8_t beaconPendingCount; +} POSTPACK WMI_SWBA_EVENT; + +typedef PREPACK struct { + a_uint8_t cookie; + a_uint8_t ts_rate; + a_uint8_t ts_flags; +} POSTPACK __WMI_TXSTATUS_EVENT; + +#define HTC_MAX_TX_STATUS 12 + +typedef PREPACK struct { + a_uint8_t cnt; + __WMI_TXSTATUS_EVENT txstatus[HTC_MAX_TX_STATUS]; +} POSTPACK WMI_TXSTATUS_EVENT; + +#ifndef ATH_TARGET +//#include "athendpack.h" +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* _WMI_H_ */ diff --git a/target_firmware/wlan/queue.h b/target_firmware/wlan/queue.h new file mode 100755 index 0000000..34bf4b7 --- /dev/null +++ b/target_firmware/wlan/queue.h @@ -0,0 +1,567 @@ +/* + * Copyright (c) 1991, 1993 + * The Regents of the University of California. All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright + * notice, this list of conditions and the following disclaimer in the + * documentation and/or other materials provided with the distribution. + * 4. Neither the name of the University nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE REGENTS AND CONTRIBUTORS ``AS IS'' AND + * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + * ARE DISCLAIMED. IN NO EVENT SHALL THE REGENTS OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS + * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) + * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT + * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY + * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF + * SUCH DAMAGE. + * + * @(#)queue.h 8.5 (Berkeley) 8/20/94 + * $FreeBSD: src/sys/sys/queue.h,v 1.58 2004/04/07 04:19:49 imp Exp $ + * $Id: //depot/sw/branches/fusion_usb/target_firmware/wlan/target/madwifi/include/sys/queue.h#1 $ + */ + +#ifndef _SYS_QUEUE_H_ +#define _SYS_QUEUE_H_ + +/* + * This file defines four types of data structures: singly-linked lists, + * singly-linked tail queues, lists and tail queues. + * + * A singly-linked list is headed by a single forward pointer. The elements + * are singly linked for minimum space and pointer manipulation overhead at + * the expense of O(n) removal for arbitrary elements. New elements can be + * added to the list after an existing element or at the head of the list. + * Elements being removed from the head of the list should use the explicit + * macro for this purpose for optimum efficiency. A singly-linked list may + * only be traversed in the forward direction. Singly-linked lists are ideal + * for applications with large datasets and few or no removals or for + * implementing a LIFO queue. + * + * A singly-linked tail queue is headed by a pair of pointers, one to the + * head of the list and the other to the tail of the list. The elements are + * singly linked for minimum space and pointer manipulation overhead at the + * expense of O(n) removal for arbitrary elements. New elements can be added + * to the list after an existing element, at the head of the list, or at the + * end of the list. Elements being removed from the head of the tail queue + * should use the explicit macro for this purpose for optimum efficiency. + * A singly-linked tail queue may only be traversed in the forward direction. + * Singly-linked tail queues are ideal for applications with large datasets + * and few or no removals or for implementing a FIFO queue. + * + * A list is headed by a single forward pointer (or an array of forward + * pointers for a hash table header). The elements are doubly linked + * so that an arbitrary element can be removed without a need to + * traverse the list. New elements can be added to the list before + * or after an existing element or at the head of the list. A list + * may only be traversed in the forward direction. + * + * A tail queue is headed by a pair of pointers, one to the head of the + * list and the other to the tail of the list. The elements are doubly + * linked so that an arbitrary element can be removed without a need to + * traverse the list. New elements can be added to the list before or + * after an existing element, at the head of the list, or at the end of + * the list. A tail queue may be traversed in either direction. + * + * For details on the use of these macros, see the queue(3) manual page. + * + * + * SLIST LIST STAILQ TAILQ + * _HEAD + + + + + * _HEAD_INITIALIZER + + + + + * _ENTRY + + + + + * _INIT + + + + + * _EMPTY + + + + + * _FIRST + + + + + * _NEXT + + + + + * _PREV - - - + + * _LAST - - + + + * _FOREACH + + + + + * _FOREACH_SAFE + + + + + * _FOREACH_REVERSE - - - + + * _FOREACH_REVERSE_SAFE - - - + + * _INSERT_HEAD + + + + + * _INSERT_BEFORE - + - + + * _INSERT_AFTER + + + + + * _INSERT_TAIL - - + + + * _CONCAT - - + + + * _REMOVE_HEAD + - + - + * _REMOVE + + + + + * + */ +#define QUEUE_MACRO_DEBUG 0 +#if QUEUE_MACRO_DEBUG +/* Store the last 2 places the queue element or head was altered */ +struct qm_trace { + char * lastfile; + a_int32_t lastline; + char * prevfile; + a_int32_t prevline; +}; + +#define TRACEBUF struct qm_trace trace; +#define TRASHIT(x) do {(x) = (void *)-1;} while (0) + +#define QMD_TRACE_HEAD(head) do { \ + (head)->trace.prevline = (head)->trace.lastline; \ + (head)->trace.prevfile = (head)->trace.lastfile; \ + (head)->trace.lastline = __LINE__; \ + (head)->trace.lastfile = __FILE__; \ +} while (0) + +#define QMD_TRACE_ELEM(elem) do { \ + (elem)->trace.prevline = (elem)->trace.lastline; \ + (elem)->trace.prevfile = (elem)->trace.lastfile; \ + (elem)->trace.lastline = __LINE__; \ + (elem)->trace.lastfile = __FILE__; \ +} while (0) + +#else +#define QMD_TRACE_ELEM(elem) +#define QMD_TRACE_HEAD(head) +#define TRACEBUF +#define TRASHIT(x) +#endif /* QUEUE_MACRO_DEBUG */ + +/* + * Singly-linked List declarations. + */ +#define SLIST_HEAD(name, type) \ +struct name { \ + struct type *slh_first; /* first element */ \ +} + +#define SLIST_HEAD_INITIALIZER(head) \ + { NULL } + +#define SLIST_ENTRY(type) \ +struct { \ + struct type *sle_next; /* next element */ \ +} + +/* + * Singly-linked List functions. + */ +#define SLIST_EMPTY(head) ((head)->slh_first == NULL) + +#define SLIST_FIRST(head) ((head)->slh_first) + +#define SLIST_FOREACH(var, head, field) \ + for ((var) = SLIST_FIRST((head)); \ + (var); \ + (var) = SLIST_NEXT((var), field)) + +#define SLIST_FOREACH_SAFE(var, head, field, tvar) \ + for ((var) = SLIST_FIRST((head)); \ + (var) && ((tvar) = SLIST_NEXT((var), field), 1); \ + (var) = (tvar)) + +#define SLIST_FOREACH_PREVPTR(var, varp, head, field) \ + for ((varp) = &SLIST_FIRST((head)); \ + ((var) = *(varp)) != NULL; \ + (varp) = &SLIST_NEXT((var), field)) + +#define SLIST_INIT(head) do { \ + SLIST_FIRST((head)) = NULL; \ +} while (0) + +#define SLIST_INSERT_AFTER(slistelm, elm, field) do { \ + SLIST_NEXT((elm), field) = SLIST_NEXT((slistelm), field); \ + SLIST_NEXT((slistelm), field) = (elm); \ +} while (0) + +#define SLIST_INSERT_HEAD(head, elm, field) do { \ + SLIST_NEXT((elm), field) = SLIST_FIRST((head)); \ + SLIST_FIRST((head)) = (elm); \ +} while (0) + +#define SLIST_NEXT(elm, field) ((elm)->field.sle_next) + +#define SLIST_REMOVE(head, elm, type, field) do { \ + if (SLIST_FIRST((head)) == (elm)) { \ + SLIST_REMOVE_HEAD((head), field); \ + } \ + else { \ + struct type *curelm = SLIST_FIRST((head)); \ + while (SLIST_NEXT(curelm, field) != (elm)) \ + curelm = SLIST_NEXT(curelm, field); \ + SLIST_NEXT(curelm, field) = \ + SLIST_NEXT(SLIST_NEXT(curelm, field), field); \ + } \ +} while (0) + +#define SLIST_REMOVE_HEAD(head, field) do { \ + SLIST_FIRST((head)) = SLIST_NEXT(SLIST_FIRST((head)), field); \ +} while (0) + +/* + * Singly-linked Tail queue declarations. + */ +#define STAILQ_HEAD(name, type) \ +struct name { \ + struct type *stqh_first;/* first element */ \ + struct type **stqh_last;/* addr of last next element */ \ +} + +#define STAILQ_HEAD_INITIALIZER(head) \ + { NULL, &(head).stqh_first } + +#define STAILQ_ENTRY(type) \ +struct { \ + struct type *stqe_next; /* next element */ \ +} + +/* + * Singly-linked Tail queue functions. + */ +#define STAILQ_CONCAT(head1, head2) do { \ + if (!STAILQ_EMPTY((head2))) { \ + *(head1)->stqh_last = (head2)->stqh_first; \ + (head1)->stqh_last = (head2)->stqh_last; \ + STAILQ_INIT((head2)); \ + } \ +} while (0) + +#define STAILQ_EMPTY(head) ((head)->stqh_first == NULL) + +#define STAILQ_FIRST(head) ((head)->stqh_first) + +#define STAILQ_FOREACH(var, head, field) \ + for((var) = STAILQ_FIRST((head)); \ + (var); \ + (var) = STAILQ_NEXT((var), field)) + + +#define STAILQ_FOREACH_SAFE(var, head, field, tvar) \ + for ((var) = STAILQ_FIRST((head)); \ + (var) && ((tvar) = STAILQ_NEXT((var), field), 1); \ + (var) = (tvar)) + +#define STAILQ_INIT(head) do { \ + STAILQ_FIRST((head)) = NULL; \ + (head)->stqh_last = &STAILQ_FIRST((head)); \ +} while (0) + +#define STAILQ_INSERT_AFTER(head, tqelm, elm, field) do { \ + if ((STAILQ_NEXT((elm), field) = STAILQ_NEXT((tqelm), field)) == NULL)\ + (head)->stqh_last = &STAILQ_NEXT((elm), field); \ + STAILQ_NEXT((tqelm), field) = (elm); \ +} while (0) + +#define STAILQ_INSERT_HEAD(head, elm, field) do { \ + if ((STAILQ_NEXT((elm), field) = STAILQ_FIRST((head))) == NULL) \ + (head)->stqh_last = &STAILQ_NEXT((elm), field); \ + STAILQ_FIRST((head)) = (elm); \ +} while (0) + +#define STAILQ_INSERT_TAIL(head, elm, field) do { \ + STAILQ_NEXT((elm), field) = NULL; \ + *(head)->stqh_last = (elm); \ + (head)->stqh_last = &STAILQ_NEXT((elm), field); \ +} while (0) + +#define STAILQ_LAST(head, type, field) \ + (STAILQ_EMPTY((head)) ? \ + NULL : \ + ((struct type *) \ + ((char *)((head)->stqh_last) - asf_offsetof(struct type, field)))) + +#define STAILQ_NEXT(elm, field) ((elm)->field.stqe_next) + +#define STAILQ_REMOVE(head, elm, type, field) do { \ + if (STAILQ_FIRST((head)) == (elm)) { \ + STAILQ_REMOVE_HEAD((head), field); \ + } \ + else { \ + struct type *curelm = STAILQ_FIRST((head)); \ + while (STAILQ_NEXT(curelm, field) != (elm)) \ + curelm = STAILQ_NEXT(curelm, field); \ + if ((STAILQ_NEXT(curelm, field) = \ + STAILQ_NEXT(STAILQ_NEXT(curelm, field), field)) == NULL)\ + (head)->stqh_last = &STAILQ_NEXT((curelm), field);\ + } \ +} while (0) + + +#define STAILQ_REMOVE_AFTER(head, elm, field) do { \ + if (STAILQ_NEXT(elm, field)) { \ + if ((STAILQ_NEXT(elm, field) = \ + STAILQ_NEXT(STAILQ_NEXT(elm, field), field)) == NULL)\ + (head)->stqh_last = &STAILQ_NEXT((elm), field); \ + } \ +} while (0) + + +#define STAILQ_REMOVE_HEAD(head, field) do { \ + if ((STAILQ_FIRST((head)) = \ + STAILQ_NEXT(STAILQ_FIRST((head)), field)) == NULL) \ + (head)->stqh_last = &STAILQ_FIRST((head)); \ +} while (0) + +#define STAILQ_REMOVE_HEAD_UNTIL(head, elm, field) do { \ + if ((STAILQ_FIRST((head)) = STAILQ_NEXT((elm), field)) == NULL) \ + (head)->stqh_last = &STAILQ_FIRST((head)); \ +} while (0) + +/* + * List declarations. + */ +#define LIST_HEAD(name, type) \ +struct name { \ + struct type *lh_first; /* first element */ \ +} + +#define ATH_LIST_HEAD(name, type) \ +struct name { \ + struct type *lh_first; /* first element */ \ +} + +#define LIST_HEAD_INITIALIZER(head) \ + { NULL } + +#define LIST_ENTRY(type) \ +struct { \ + struct type *le_next; /* next element */ \ + struct type **le_prev; /* address of previous next element */ \ +} + +/* + * List functions. + */ + +#define LIST_EMPTY(head) ((head)->lh_first == NULL) + +#define LIST_FIRST(head) ((head)->lh_first) + +#define LIST_FOREACH(var, head, field) \ + for ((var) = LIST_FIRST((head)); \ + (var); \ + (var) = LIST_NEXT((var), field)) + +#define LIST_FOREACH_SAFE(var, head, field, tvar) \ + for ((var) = LIST_FIRST((head)); \ + (var) && ((tvar) = LIST_NEXT((var), field), 1); \ + (var) = (tvar)) + +#define LIST_INIT(head) do { \ + LIST_FIRST((head)) = NULL; \ +} while (0) + +#define LIST_INSERT_AFTER(listelm, elm, field) do { \ + if ((LIST_NEXT((elm), field) = LIST_NEXT((listelm), field)) != NULL)\ + LIST_NEXT((listelm), field)->field.le_prev = \ + &LIST_NEXT((elm), field); \ + LIST_NEXT((listelm), field) = (elm); \ + (elm)->field.le_prev = &LIST_NEXT((listelm), field); \ +} while (0) + +#define LIST_INSERT_BEFORE(listelm, elm, field) do { \ + (elm)->field.le_prev = (listelm)->field.le_prev; \ + LIST_NEXT((elm), field) = (listelm); \ + *(listelm)->field.le_prev = (elm); \ + (listelm)->field.le_prev = &LIST_NEXT((elm), field); \ +} while (0) + +#define LIST_INSERT_HEAD(head, elm, field) do { \ + if ((LIST_NEXT((elm), field) = LIST_FIRST((head))) != NULL) \ + LIST_FIRST((head))->field.le_prev = &LIST_NEXT((elm), field);\ + LIST_FIRST((head)) = (elm); \ + (elm)->field.le_prev = &LIST_FIRST((head)); \ +} while (0) + +#define LIST_NEXT(elm, field) ((elm)->field.le_next) + +#define LIST_REMOVE(elm, field) do { \ + if (LIST_NEXT((elm), field) != NULL) \ + LIST_NEXT((elm), field)->field.le_prev = \ + (elm)->field.le_prev; \ + *(elm)->field.le_prev = LIST_NEXT((elm), field); \ +} while (0) + +/* + * Tail queue declarations. + */ +#define TAILQ_HEAD(name, type) \ +struct name { \ + struct type *tqh_first; /* first element */ \ + struct type **tqh_last; /* addr of last next element */ \ + TRACEBUF \ +} + +#define TAILQ_HEAD_INITIALIZER(head) \ + { NULL, &(head).tqh_first } + +#define TAILQ_ENTRY(type) \ +struct { \ + struct type *tqe_next; /* next element */ \ + struct type **tqe_prev; /* address of previous next element */ \ + TRACEBUF \ +} + +/* + * Tail queue functions. + */ +#define TAILQ_CONCAT(head1, head2, field) do { \ + if (!TAILQ_EMPTY(head2)) { \ + *(head1)->tqh_last = (head2)->tqh_first; \ + (head2)->tqh_first->field.tqe_prev = (head1)->tqh_last; \ + (head1)->tqh_last = (head2)->tqh_last; \ + TAILQ_INIT((head2)); \ + QMD_TRACE_HEAD(head); \ + QMD_TRACE_HEAD(head2); \ + } \ +} while (0) + +#define TAILQ_EMPTY(head) ((head)->tqh_first == NULL) + +#define TAILQ_FIRST(head) ((head)->tqh_first) + +#define TAILQ_FOREACH(var, head, field) \ + for ((var) = TAILQ_FIRST((head)); \ + (var); \ + (var) = TAILQ_NEXT((var), field)) + +#define TAILQ_FOREACH_SAFE(var, head, field, tvar) \ + for ((var) = TAILQ_FIRST((head)); \ + (var) && ((tvar) = TAILQ_NEXT((var), field), 1); \ + (var) = (tvar)) + +#define TAILQ_FOREACH_REVERSE(var, head, headname, field) \ + for ((var) = TAILQ_LAST((head), headname); \ + (var); \ + (var) = TAILQ_PREV((var), headname, field)) + +#define TAILQ_FOREACH_REVERSE_SAFE(var, head, headname, field, tvar) \ + for ((var) = TAILQ_LAST((head), headname); \ + (var) && ((tvar) = TAILQ_PREV((var), headname, field), 1); \ + (var) = (tvar)) + +#define TAILQ_INIT(head) do { \ + TAILQ_FIRST((head)) = NULL; \ + (head)->tqh_last = &TAILQ_FIRST((head)); \ + QMD_TRACE_HEAD(head); \ +} while (0) + +#define TAILQ_INSERT_AFTER(head, listelm, elm, field) do { \ + if ((TAILQ_NEXT((elm), field) = TAILQ_NEXT((listelm), field)) != NULL)\ + TAILQ_NEXT((elm), field)->field.tqe_prev = \ + &TAILQ_NEXT((elm), field); \ + else { \ + (head)->tqh_last = &TAILQ_NEXT((elm), field); \ + QMD_TRACE_HEAD(head); \ + } \ + TAILQ_NEXT((listelm), field) = (elm); \ + (elm)->field.tqe_prev = &TAILQ_NEXT((listelm), field); \ + QMD_TRACE_ELEM(&(elm)->field); \ + QMD_TRACE_ELEM(&listelm->field); \ +} while (0) + +#define TAILQ_INSERT_BEFORE(listelm, elm, field) do { \ + (elm)->field.tqe_prev = (listelm)->field.tqe_prev; \ + TAILQ_NEXT((elm), field) = (listelm); \ + *(listelm)->field.tqe_prev = (elm); \ + (listelm)->field.tqe_prev = &TAILQ_NEXT((elm), field); \ + QMD_TRACE_ELEM(&(elm)->field); \ + QMD_TRACE_ELEM(&listelm->field); \ +} while (0) + +#define TAILQ_INSERT_HEAD(head, elm, field) do { \ + if ((TAILQ_NEXT((elm), field) = TAILQ_FIRST((head))) != NULL) \ + TAILQ_FIRST((head))->field.tqe_prev = \ + &TAILQ_NEXT((elm), field); \ + else \ + (head)->tqh_last = &TAILQ_NEXT((elm), field); \ + TAILQ_FIRST((head)) = (elm); \ + (elm)->field.tqe_prev = &TAILQ_FIRST((head)); \ + QMD_TRACE_HEAD(head); \ + QMD_TRACE_ELEM(&(elm)->field); \ +} while (0) + +#define TAILQ_INSERT_TAIL(head, elm, field) do { \ + TAILQ_NEXT((elm), field) = NULL; \ + (elm)->field.tqe_prev = (head)->tqh_last; \ + *(head)->tqh_last = (elm); \ + (head)->tqh_last = &TAILQ_NEXT((elm), field); \ + QMD_TRACE_HEAD(head); \ + QMD_TRACE_ELEM(&(elm)->field); \ +} while (0) + +#define TAILQ_LAST(head, headname) \ + (*(((struct headname *)((head)->tqh_last))->tqh_last)) + +#define TAILQ_NEXT(elm, field) ((elm)->field.tqe_next) + +#define TAILQ_PREV(elm, headname, field) \ + (*(((struct headname *)((elm)->field.tqe_prev))->tqh_last)) + +#define TAILQ_REMOVE(head, elm, field) do { \ + if ((TAILQ_NEXT((elm), field)) != NULL) \ + TAILQ_NEXT((elm), field)->field.tqe_prev = \ + (elm)->field.tqe_prev; \ + else { \ + (head)->tqh_last = (elm)->field.tqe_prev; \ + QMD_TRACE_HEAD(head); \ + } \ + *(elm)->field.tqe_prev = TAILQ_NEXT((elm), field); \ + TRASHIT((elm)->field.tqe_next); \ + TRASHIT((elm)->field.tqe_prev); \ + QMD_TRACE_ELEM(&(elm)->field); \ +} while (0) + + +#ifdef _KERNEL + +/* + * XXX insque() and remque() are an old way of handling certain queues. + * They bogusly assumes that all queue heads look alike. + */ + +struct quehead { + struct quehead *qh_link; + struct quehead *qh_rlink; +}; + +#if defined(__GNUC__) || defined(__INTEL_COMPILER) + +static __inline void +insque(void *a, void *b) +{ + struct quehead *element = (struct quehead *)a, + *head = (struct quehead *)b; + + element->qh_link = head->qh_link; + element->qh_rlink = head; + head->qh_link = element; + element->qh_link->qh_rlink = element; +} + +static __inline void +remque(void *a) +{ + struct quehead *element = (struct quehead *)a; + + element->qh_link->qh_rlink = element->qh_rlink; + element->qh_rlink->qh_link = element->qh_link; + element->qh_rlink = 0; +} + +#else /* !(__GNUC__ || __INTEL_COMPILER) */ + +void insque(void *a, void *b); +void remque(void *a); + +#endif /* __GNUC__ || __INTEL_COMPILER */ + +#endif /* _KERNEL */ + +#endif /* !_SYS_QUEUE_H_ */ diff --git a/target_firmware/wlan/ratectrl.h b/target_firmware/wlan/ratectrl.h new file mode 100755 index 0000000..87f9b1b --- /dev/null +++ b/target_firmware/wlan/ratectrl.h @@ -0,0 +1,262 @@ +/* + * Copyright (c) 2000-2002 Atheros Communications, Inc., All Rights Reserved + * + * Definitions for core driver + * This is a common header file for all platforms and operating systems. + */ +#ifndef _RATECTRL_H_ +#define _RATECTRL_H_ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include + +#include "if_athrate.h" +#include "if_athvar.h" + +#define FALSE 0 +#define TRUE 1 + +typedef int8_t A_RSSI; +typedef int32_t A_RSSI32; +typedef u_int8_t WLAN_PHY; + +#ifndef INLINE +#define INLINE __inline +#endif + +#ifndef A_MIN +#define A_MIN(a,b) ((a)<(b)?(a):(b)) +#endif + +#ifndef A_MAX +#define A_MAX(a,b) ((a)>(b)?(a):(b)) +#endif + +/* + * Use the hal os glue code to get ms time; we supply + * a null arg because we know it's not needed. + */ +#define A_MS_TICKGET() OS_GETUPTIME(NULL) +#define A_MEM_ZERO(p,s) OS_MEMZERO(p,s) + +#define WLAN_PHY_OFDM IEEE80211_T_OFDM +#define WLAN_PHY_TURBO IEEE80211_T_TURBO +#define WLAN_PHY_CCK IEEE80211_T_CCK +#define WLAN_PHY_XR (IEEE80211_T_TURBO+1) + +enum { + WLAN_RC_PHY_CCK, + WLAN_RC_PHY_OFDM, + WLAN_RC_PHY_TURBO, + WLAN_RC_PHY_XR, + WLAN_RC_PHY_HT_20_SS, + WLAN_RC_PHY_HT_20_DS, + WLAN_RC_PHY_HT_40_SS, + WLAN_RC_PHY_HT_40_DS, + WLAN_RC_PHY_HT_20_SS_HGI, + WLAN_RC_PHY_HT_20_DS_HGI, + WLAN_RC_PHY_HT_40_SS_HGI, + WLAN_RC_PHY_HT_40_DS_HGI, + WLAN_RC_PHY_MAX +}; + +#define IS_CHAN_TURBO(_c) (((_c)->channelFlags & CHANNEL_TURBO) != 0) +#define IS_CHAN_2GHZ(_c) (((_c)->channelFlags & CHANNEL_2GHZ) != 0) + +#define PKTLOG_RATE_CTL_FIND(_sc, log_data, flags) ath_log_rcfind(_sc, log_data, flags); +#define PKTLOG_RATE_CTL_UPDATE(_sc, log_data, flags) ath_log_rcupdate(_sc, log_data, flags); +#define ASSERT(condition) + +#define WIRELESS_MODE_11NA IEEE80211_MODE_11NA +#define WIRELESS_MODE_11NG IEEE80211_MODE_11NG +#define WIRELESS_MODE_MAX IEEE80211_MODE_MAX + +#define RX_FLIP_THRESHOLD 3 /* XXX */ + +#ifdef MAGPIE_MERLIN +#define MAX_TX_RATE_TBL 46 +#define MAX_TX_RATE_PHY 48 +#else +#define MAX_TX_RATE_TBL 54//46 +#define MAX_TX_RATE_PHY 56//48 +#endif + +/* + * State structures for new rate adaptation code + * + * NOTE: Modifying these structures will impact + * the Perl script that parses packet logging data. + * See the packet logging module for more information. + */ +typedef struct TxRateCrtlState_s { + A_UINT8 per; /* recent estimate of packet error rate (%) */ +} TxRateCtrlState; + +typedef struct TxRateCtrl_s { + TxRateCtrlState state[MAX_TX_RATE_TBL]; /* state for each rate */ + A_UINT8 rateTableSize; /* rate table size */ + A_UINT8 probeRate; /* rate we are probing at */ + A_UINT32 rssiTime; /* msec timestamp for last ack rssi */ + A_UINT32 probeTime; /* msec timestamp for last probe */ + A_UINT8 hwMaxRetryPktCnt; /* num packets since we got HW max retry error */ + A_UINT8 maxValidRate; /* maximum number of valid rate */ + A_UINT8 validRateIndex[MAX_TX_RATE_TBL]; /* rc Index is valid for this Sib */ + A_UINT32 perDownTime; /* msec timstamp for last PER down step */ + A_UINT8 rcPhyMode; + A_UINT8 rateMaxPhy; /* Phy index for the max rate */ +} TX_RATE_CTRL; + +typedef struct phy_rate_ctrl { + /* 11n state */ + A_UINT8 validPhyRateCount[WLAN_RC_PHY_MAX]; /* valid rate count */ + A_UINT8 validPhyRateIndex[WLAN_RC_PHY_MAX][MAX_TX_RATE_TBL]; /* index */ +}PHY_STATE_CTRL; + +/* per-node state */ +struct atheros_node { + TX_RATE_CTRL txRateCtrl; /* rate control state proper */ + A_UINT32 lastRateKbps; /* last rate in Kb/s */ + A_UINT32 htcap; /* ht capabilites */ + A_UINT8 singleStream :1, /* When TRUE, only single stream Tx possible */ + stbc :2; /* Rx stbc capability */ + +}; + +#define ATH_NODE_ATHEROS(an) (an->an_rcnode) + +/* + * Rate Table structure for various modes - 'b', 'a', 'g', 'xr'; + * order of fields in info structure is important because hardcoded + * structures are initialized within the hal for these + */ + +typedef struct { + int rateCount; + A_UINT8 rateCodeToIndex[RATE_TABLE_SIZE]; /* backward mapping */ + struct { + int valid; /* Valid for use in rate control */ + WLAN_PHY phy; /* CCK/OFDM/TURBO/XR */ + A_UINT16 rateKbps; /* Rate in Kbits per second */ + A_UINT16 userRateKbps; /* User rate in KBits per second */ + A_UINT8 rateCode; /* rate that goes into hw descriptors */ + A_UINT8 shortPreamble; /* Mask for enabling short preamble in rate code for CCK */ + A_UINT8 dot11Rate; /* Value that goes into supported rates info element of MLME */ + A_UINT8 controlRate; /* Index of next lower basic rate, used for duration computation */ + A_RSSI rssiAckValidMin; /* Rate control related information */ + A_RSSI rssiAckDeltaMin; /* Rate control related information */ + A_UINT16 lpAckDuration; /* long preamble ACK duration */ + A_UINT16 spAckDuration; /* short preamble ACK duration*/ + A_UINT32 max4msFrameLen; /* Maximum frame length(bytes) for 4ms tx duration */ + struct { + A_UINT32 word4Retries; + A_UINT32 word5Rates; + } normalSched; + struct { + A_UINT32 word4Retries; + A_UINT32 word5Rates; + } shortSched; + struct { + A_UINT32 word4Retries; + A_UINT32 word5Rates; + } probeSched; + struct { + A_UINT32 word4Retries; + A_UINT32 word5Rates; + } probeShortSched; + struct { + A_UINT32 word4Retries; + A_UINT32 word5Rates; + } uapsd_normalSched; + struct { + A_UINT32 word4Retries; + A_UINT32 word5Rates; + } uapsd_shortSched; +#ifdef ATH_REMOVE_5G_RATE_TABLE +#ifdef ATH_REMOVE_TURBO_RATE_TABLE +#ifdef ATH_REMOVE_XR_RATE_TABLE + } info[12]; +#else +} info[32]; +#endif +#else +} info[32]; +#endif +#else +} info[32]; +#endif +A_UINT32 probeInterval; /* interval for ratectrl to probe for + other rates */ +A_UINT32 rssiReduceInterval; /* interval for ratectrl to reduce RSSI */ +A_UINT8 regularToTurboThresh; /* upperbound on regular (11a or 11g) + mode's rate before switching to turbo*/ +A_UINT8 turboToRegularThresh; /* lowerbound on turbo mode's rate before + switching to regular */ +A_UINT8 pktCountThresh; /* mode switch recommendation criterion: + number of consecutive packets sent at + rate beyond the rate threshold */ +A_UINT8 initialRateMax; /* the initial rateMax value used in + rcSibUpdate() */ +A_UINT8 numTurboRates; /* number of Turbo rates in the rateTable */ +A_UINT8 xrToRegularThresh; /* threshold to switch to Normal mode */ +} RATE_TABLE; + +/* per-device state */ +struct atheros_softc { + struct ath_ratectrl arc; + /* phy tables that contain rate control data */ + void *hwRateTable[WIRELESS_MODE_MAX]; + A_UINT32 tx_chainmask; + A_UINT32 currentTxRateKbps; + A_UINT32 currentTxRateIndex; +}; + +/* + * Update the SIB's rate control information + * + * This should be called when the supported rates change + * (e.g. SME operation, wireless mode change) + * + * It will determine which rates are valid for use. + */ +void +rcSibUpdate(struct ath_softc_tgt *sc, + struct ath_node_target *an, + A_BOOL keepState, + struct ieee80211_rateset *pRateSet); + +/* + * This routine is called to initialize the rate control parameters + * in the SIB. It is called initially during system initialization + * or when a station is associated with the AP. + */ +void rcSibInit(struct ath_softc_tgt *, struct ath_node_target *); + +/* + * Determines and returns the new Tx rate index. + */ +A_UINT16 rcRateFind(struct ath_softc_tgt *, struct atheros_node *, + A_UINT32 frameLen,const RATE_TABLE *pRateTable); + +struct fusion_rate_info { + A_UINT32 txrate; + A_UINT8 rssi; + A_UINT8 per; +}; + +void ar5416AttachRateTables(struct atheros_softc *sc); + +#endif /* _RATECTRL_H_ */ diff --git a/target_firmware/wlan/ratectrl11n.h b/target_firmware/wlan/ratectrl11n.h new file mode 100755 index 0000000..fa44f7c --- /dev/null +++ b/target_firmware/wlan/ratectrl11n.h @@ -0,0 +1,176 @@ +/* + * Copyright (c) 2000-2002 Atheros Communications, Inc., All Rights Reserved + * + * Definitions for core driver + * This is a common header file for all platforms and operating systems. + */ +#ifndef _RATECTRL11N_H_ +#define _RATECTRL11N_H_ + +/* HT 20/40 rates. If 20 bit is enabled then that rate is + * used only in 20 mode. If both 20/40 bits are enabled + * then that rate can be used for both 20 and 40 mode */ + +#define TRUE_20 0x2 +#define TRUE_40 0x4 +#define TRUE_2040 (TRUE_20|TRUE_40) +#define TRUE_ALL_11N (TRUE_2040|TRUE) + +enum { + WLAN_RC_DS = 0x01, + WLAN_RC_40 = 0x02, + WLAN_RC_SGI = 0x04, + WLAN_RC_HT = 0x08, +}; + +typedef enum { + WLAN_RC_LEGACY = 0, + WLAN_RC_HT_LNPHY = 1, + WLAN_RC_HT_PLPHY = 2, + WLAN_RC_MAX = 3 +} WLAN_RC_VERS; + +#define WLAN_RC_PHY_DS(_phy) ((_phy == WLAN_RC_PHY_HT_20_DS) \ + || (_phy == WLAN_RC_PHY_HT_40_DS) \ + || (_phy == WLAN_RC_PHY_HT_20_DS_HGI) \ + || (_phy == WLAN_RC_PHY_HT_40_DS_HGI)) +#define WLAN_RC_PHY_40(_phy) ((_phy == WLAN_RC_PHY_HT_40_SS) \ + || (_phy == WLAN_RC_PHY_HT_40_DS) \ + || (_phy == WLAN_RC_PHY_HT_40_SS_HGI) \ + || (_phy == WLAN_RC_PHY_HT_40_DS_HGI)) +#define WLAN_RC_PHY_20(_phy) ((_phy == WLAN_RC_PHY_HT_20_SS) \ + || (_phy == WLAN_RC_PHY_HT_20_DS) \ + || (_phy == WLAN_RC_PHY_HT_20_SS_HGI) \ + || (_phy == WLAN_RC_PHY_HT_20_DS_HGI)) +#define WLAN_RC_PHY_SGI(_phy) ((_phy == WLAN_RC_PHY_HT_20_SS_HGI) \ + || (_phy == WLAN_RC_PHY_HT_20_DS_HGI) \ + || (_phy == WLAN_RC_PHY_HT_40_SS_HGI) \ + || (_phy == WLAN_RC_PHY_HT_40_DS_HGI)) + +#define WLAN_RC_PHY_HT(_phy) (_phy >= WLAN_RC_PHY_HT_20_SS) + +/* Returns the capflag mode */ + +#define WLAN_RC_CAP_MODE(capflag) (((capflag & WLAN_RC_HT_FLAG)? \ + (capflag & WLAN_RC_40_FLAG)?TRUE_40:TRUE_20: \ + TRUE)) + +/* Return TRUE if flag supports HT20 && client supports HT20 or + * return TRUE if flag supports HT40 && client supports HT40. + * This is used becos some rates overlap between HT20/HT40. + */ + +#define WLAN_RC_PHY_HT_VALID(flag, capflag) (((flag & TRUE_20) && !(capflag \ + & WLAN_RC_40_FLAG)) || ((flag & TRUE_40) && \ + (capflag & WLAN_RC_40_FLAG))) + +#define WLAN_RC_DS_FLAG (0x01) +#define WLAN_RC_40_FLAG (0x02) +#define WLAN_RC_HT40_SGI_FLAG (0x04) +#define WLAN_RC_HT_FLAG (0x08) +#define WLAN_RC_STBC_FLAG (0x30) /* 2 bits */ +#define WLAN_RC_STBC_FLAG_S ( 4) +#define WLAN_RC_WEP_TKIP_FLAG (0x100) + +/* Index into the rate table */ +#define INIT_RATE_MAX_20 23 +#define INIT_RATE_MAX_40 40 + +/* + * Rate Table structure for various modes - 'b', 'a', 'g', 'xr'; + * order of fields in info structure is important because hardcoded + * structures are initialized within the hal for these + */ + +#ifndef MAGPIE_MERLIN // K2 +#define RATE_TABLE_11N_SIZE 54 +#else +#define RATE_TABLE_11N_SIZE 64 +#endif + +#define MAX_SUPPORTED_MCS 128 + +typedef struct regDataLenTable { + A_UINT8 numEntries; + A_UINT16 frameLenRateIndex[RATE_TABLE_11N_SIZE]; +} REG_DATALEN_TABLE; + +typedef struct { + A_BOOL valid; /* Valid for use in rate control */ + A_BOOL validSingleStream;/* Valid for use in rate control for single stream operation */ +#ifdef MAGPIE_MERLIN + A_BOOL validSTBC; /* Valid for use in rate control for single stream operation */ +#endif + WLAN_PHY phy; /* CCK/OFDM/TURBO/XR */ + A_UINT32 rateKbps; /* Rate in Kbits per second */ + A_UINT32 userRateKbps; /* User rate in KBits per second */ + A_UINT8 rateCode; /* rate that goes into hw descriptors */ + A_UINT8 shortPreamble; /* Mask for enabling short preamble in rate code for CCK */ + A_UINT8 dot11Rate; /* Value that goes into supported rates info element of MLME */ + A_UINT8 controlRate; /* Index of next lower basic rate, used for duration computation */ + A_RSSI rssiAckValidMin; /* Rate control related information */ + A_RSSI rssiAckDeltaMin; /* Rate control related information */ + A_UINT8 baseIndex; /* base rate index */ + A_UINT8 cw40Index; /* 40cap rate index */ + A_UINT8 sgiIndex; /* shortgi rate index */ + A_UINT8 htIndex; /* shortgi rate index */ + A_UINT8 txChainMask_2ch; /* transmit chain mask */ + A_UINT8 txChainMask_3ch; /* transmit chain mask */ + A_UINT32 max4msframelen; /* Maximum frame length(bytes) for 4ms tx duration */ + A_BOOL uapsdvalid; /* Valid for UAPSD nodes */ +} rc11n_info_t; + +typedef struct { + A_UINT8 rateCount; + A_UINT8 probeInterval; /* interval for ratectrl to probe for other rates */ + A_UINT8 rssiReduceInterval; /* interval for ratectrl to reduce RSSI */ + A_UINT8 initialRateMax; /* the initial rateMax value used in rcSibUpdate() */ + rc11n_info_t info[]; +} RATE_TABLE_11N; + +/* + * Update the SIB's rate control information + * + * This should be called when the supported rates change + * (e.g. SME operation, wireless mode change) + * + * It will determine which rates are valid for use. + */ +void rcSibUpdate_11n(struct ath_softc_tgt *, + struct ath_node_target *, + A_UINT32 capflag, + A_BOOL keepState, + struct ieee80211_rate *rs); + +/* + * Determines and returns the new Tx rate index. + */ +void rcRateFind_11n(struct ath_softc_tgt *sc, + struct ath_node_target *an, + int numTries, + int numRates, + int stepDnInc, + unsigned int rcflag, + struct ath_rc_series series[], + int *isProbe); + +/* + * This routine is called by the Tx interrupt service routine to give + * the status of previous frames. + */ +void rcUpdate_11n(struct ath_softc_tgt *sc, + struct ath_node_target *an, + A_UINT8 curTxAnt, + int finalTSIdx, + int Xretries, + struct ath_rc_series rcs[], + int nFrames, + int nBad, + int sh_lo_retry); + +void ath_tx_status_update_rate(struct ath_softc_tgt *sc, + struct ath_rc_series rcs[], + int series, + WMI_TXSTATUS_EVENT *txs); + +#endif /* _RATECTRL11N_H_ */ diff --git a/target_firmware/wlan/ratectrl_11n_ln.c b/target_firmware/wlan/ratectrl_11n_ln.c new file mode 100755 index 0000000..7e62e27 --- /dev/null +++ b/target_firmware/wlan/ratectrl_11n_ln.c @@ -0,0 +1,1252 @@ +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include + +#include +#include "ah_desc.h" + +#include "ratectrl.h" +#include "ratectrl11n.h" + +INLINE A_RSSI median(A_RSSI a, A_RSSI b, A_RSSI c); + +static void ath_rate_newassoc_11n(struct ath_softc_tgt *sc, struct ath_node_target *an, int isnew, + unsigned int capflag, struct ieee80211_rate *rs); + + +static void ath_rate_tx_complete_11n(struct ath_softc_tgt *sc, struct ath_node_target *an, + struct ath_tx_desc *ds, + struct ath_rc_series rcs[], int nframes, + int nbad); + +static void ath_rate_findrate_11n(struct ath_softc_tgt *sc, + struct ath_node_target *an, + size_t frameLen, + int numTries, + int numRates, + int stepDnInc, + unsigned int rcflag, + struct ath_rc_series series[], + int *isProbe); + +static void +rcSortValidRates(const RATE_TABLE_11N *pRateTable, TX_RATE_CTRL *pRc) +{ + A_UINT8 i,j; + + for (i=pRc->maxValidRate-1; i > 0; i--) { + for (j=0; j <= i-1; j++) { +#ifdef MAGPIE_MERLIN + if (pRateTable->info[pRc->validRateIndex[j]].rateKbps > + pRateTable->info[pRc->validRateIndex[j+1]].rateKbps) +#else + // K2 + if (pRateTable->info[pRc->validRateIndex[j]].userRateKbps > + pRateTable->info[pRc->validRateIndex[j+1]].userRateKbps) +#endif + { + A_UINT8 tmp=0; + tmp = pRc->validRateIndex[j]; + pRc->validRateIndex[j] = pRc->validRateIndex[j+1]; + pRc->validRateIndex[j+1] = tmp; + } + } + } +} + +/* Access functions for validTxRateMask */ + +static void +rcInitValidTxMask(TX_RATE_CTRL *pRc) +{ + A_UINT8 i; + + for (i = 0; i < pRc->rateTableSize; i++) { + pRc->validRateIndex[i] = FALSE; + } +} + +static INLINE void +rcSetValidTxMask(TX_RATE_CTRL *pRc, A_UINT8 index, A_BOOL validTxRate) +{ + ASSERT(index < pRc->rateTableSize); + pRc->validRateIndex[index] = validTxRate ? TRUE : FALSE; + +} + +static INLINE A_BOOL +rcIsValidTxMask(TX_RATE_CTRL *pRc, A_UINT8 index) +{ + ASSERT(index < pRc->rateTableSize); + return (pRc->validRateIndex[index]); +} + +/* Iterators for validTxRateMask */ +static INLINE A_BOOL +rcGetNextValidTxRate(const RATE_TABLE_11N *pRateTable, TX_RATE_CTRL *pRc, + A_UINT8 curValidTxRate, A_UINT8 *pNextIndex) +{ + A_UINT8 i; + + for (i = 0; i < pRc->maxValidRate-1; i++) { + if (pRc->validRateIndex[i] == curValidTxRate) { + *pNextIndex = pRc->validRateIndex[i+1]; + return TRUE; + } + } + + /* No more valid rates */ + *pNextIndex = 0; + + return FALSE; +} + +static INLINE A_BOOL +rcGetNextLowerValidTxRate(const RATE_TABLE_11N *pRateTable, TX_RATE_CTRL *pRc, + A_UINT8 curValidTxRate, A_UINT8 *pNextIndex) +{ + A_INT8 i; + + for (i = 1; i < pRc->maxValidRate ; i++) { + if (pRc->validRateIndex[i] == curValidTxRate) { + *pNextIndex = pRc->validRateIndex[i-1]; + return TRUE; + } + } + + return FALSE; +} + +/* Return true only for single stream */ + +static A_BOOL +rcIsValidPhyRate(A_UINT32 phy, A_UINT32 capflag, A_BOOL ignoreCW) +{ + if (WLAN_RC_PHY_HT(phy) && !(capflag & WLAN_RC_HT_FLAG)) { + return FALSE; + } + + if (WLAN_RC_PHY_DS(phy) && !(capflag & WLAN_RC_DS_FLAG)) { + return FALSE; + } + if (WLAN_RC_PHY_SGI(phy) && !(capflag & WLAN_RC_HT40_SGI_FLAG)) { + return FALSE; + } + + if (!ignoreCW && WLAN_RC_PHY_HT(phy)) { + if (WLAN_RC_PHY_40(phy) && !(capflag & WLAN_RC_40_FLAG)) { + return FALSE; + } + + if (!WLAN_RC_PHY_40(phy) && (capflag & WLAN_RC_40_FLAG)) { + return FALSE; + } + } + + return TRUE; +} + +/* + * Initialize the Valid Rate Index from valid entries in Rate Table + */ +static A_UINT8 rcSibInitValidRates(const RATE_TABLE_11N *pRateTable, + TX_RATE_CTRL *pRc, + A_UINT32 capflag, + PHY_STATE_CTRL *pPhyStateCtrl) +{ + A_UINT8 i, hi = 0; + A_UINT8 singleStream = (capflag & WLAN_RC_DS_FLAG) ? 0 : 1; + A_UINT8 valid; + + for (i = 0; i < pRateTable->rateCount; i++) { + if (singleStream) { + valid = pRateTable->info[i].validSingleStream; + } else { + valid = pRateTable->info[i].valid; + } + + if (valid == TRUE) { + A_UINT32 phy = pRateTable->info[i].phy; + + if (!rcIsValidPhyRate(phy, capflag, FALSE)) + continue; + + pPhyStateCtrl->validPhyRateIndex[phy][pPhyStateCtrl->validPhyRateCount[phy]] = i; + pPhyStateCtrl->validPhyRateCount[phy] += 1; + + rcSetValidTxMask(pRc, i, TRUE); + + hi = A_MAX(hi, i); + } + } + + return hi; +} + +/* + * Initialize the Valid Rate Index from Rate Set + */ +static A_UINT8 +rcSibSetValidRates(const RATE_TABLE_11N *pRateTable, + TX_RATE_CTRL *pRc, + struct ieee80211_rateset *pRateSet, + A_UINT32 capflag, + struct ath_node_target *an, + PHY_STATE_CTRL *pPhyStateCtrl) +{ + A_UINT8 i, j, hi = 0; + A_UINT8 singleStream = (capflag & WLAN_RC_DS_FLAG) ? 0 : 1; + A_UINT32 valid; + struct atheros_node *pSib = ATH_NODE_ATHEROS(an); + + /* Use intersection of working rates and valid rates */ + for (i = 0; i < pRateSet->rs_nrates; i++) { + for (j = 0; j < pRateTable->rateCount; j++) { + A_UINT32 phy = pRateTable->info[j].phy; + +#ifdef MAGPIE_MERLIN + if (pSib->stbc) { + valid = pRateTable->info[j].validSTBC; + } else if (singleStream) { +#else + if (singleStream) { +#endif + valid = pRateTable->info[j].validSingleStream; + } else { + valid = pRateTable->info[j].valid; + } + + /* + * We allow a rate only if its valid and the capflag matches one of + * the validity (TRUE/TRUE_20/TRUE_40) flags + */ + + if (((pRateSet->rs_rates[i] & 0x7F) == + (pRateTable->info[j].dot11Rate & 0x7F)) + && ((valid & WLAN_RC_CAP_MODE(capflag)) == + WLAN_RC_CAP_MODE(capflag)) && !WLAN_RC_PHY_HT(phy)) { + if (!rcIsValidPhyRate(phy, capflag, FALSE)) + continue; + + pPhyStateCtrl->validPhyRateIndex[phy][pPhyStateCtrl->validPhyRateCount[phy]] = j; + pPhyStateCtrl->validPhyRateCount[phy] += 1; + + rcSetValidTxMask(pRc, j, TRUE); + hi = A_MAX(hi, j); + } + } + } + + return hi; +} + +static A_UINT8 +rcSibSetValidHtRates(const RATE_TABLE_11N *pRateTable, + TX_RATE_CTRL *pRc, + A_UINT8 *pMcsSet, + A_UINT32 capflag, + struct ath_node_target *an, + PHY_STATE_CTRL *pPhyStateCtrl) +{ + A_UINT8 i, j, hi = 0; + A_UINT8 singleStream = (capflag & WLAN_RC_DS_FLAG) ? 0 : 1; + A_UINT8 valid; + struct atheros_node *pSib = ATH_NODE_ATHEROS(an); + + /* Use intersection of working rates and valid rates */ + for (i = 0; i < ((struct ieee80211_rateset *)pMcsSet)->rs_nrates; i++) { + for (j = 0; j < pRateTable->rateCount; j++) { + A_UINT32 phy = pRateTable->info[j].phy; + +#ifdef MAGPIE_MERLIN + if (pSib->stbc) { + valid = pRateTable->info[j].validSTBC; + } else if (singleStream) { +#else + if (singleStream) { +#endif + valid = pRateTable->info[j].validSingleStream; + } else { + valid = pRateTable->info[j].valid; + } + + if (((((struct ieee80211_rateset *)pMcsSet)->rs_rates[i] & 0x7F) + != (pRateTable->info[j].dot11Rate & 0x7F)) + || !WLAN_RC_PHY_HT(phy) + || !WLAN_RC_PHY_HT_VALID(valid, capflag) + || ((pRateTable->info[j].dot11Rate == 15) && + (valid & TRUE_20) && + (capflag & WLAN_RC_WEP_TKIP_FLAG)) ) + { + continue; + } + + if (!rcIsValidPhyRate(phy, capflag, FALSE)) + continue; + + pPhyStateCtrl->validPhyRateIndex[phy][pPhyStateCtrl->validPhyRateCount[phy]] = j; + pPhyStateCtrl->validPhyRateCount[phy] += 1; + + rcSetValidTxMask(pRc, j, TRUE); + hi = A_MAX(hi, j); + } + } + + return hi; +} + +/* + * Update the SIB's rate control information + * + * This should be called when the supported rates change + * (e.g. SME operation, wireless mode change) + * + * It will determine which rates are valid for use. + */ +static void +rcSibUpdate_ht(struct ath_softc_tgt *sc, struct ath_node_target *an, + A_UINT32 capflag, A_BOOL keepState, struct ieee80211_rate *pRateSet) +{ + RATE_TABLE_11N *pRateTable = 0; + struct atheros_node *pSib = ATH_NODE_ATHEROS(an); + struct atheros_softc *asc = (struct atheros_softc*)sc->sc_rc; + A_UINT8 *phtMcs = (A_UINT8*)&pRateSet->htrates; + TX_RATE_CTRL *pRc = (TX_RATE_CTRL *)(pSib); + PHY_STATE_CTRL mPhyCtrlState; + + A_UINT8 i, j, k, hi = 0, htHi = 0; + + pRateTable = (RATE_TABLE_11N*)asc->hwRateTable[sc->sc_curmode]; + + /* Initial rate table size. Will change depending on the working rate set */ + pRc->rateTableSize = MAX_TX_RATE_TBL; + + /* Initialize thresholds according to the global rate table */ + for (i = 0 ; (i < pRc->rateTableSize) && (!keepState); i++) { + pRc->state[i].per = 0; + } + + /* Determine the valid rates */ + rcInitValidTxMask(pRc); + + for (i = 0; i < WLAN_RC_PHY_MAX; i++) { + for (j = 0; j < MAX_TX_RATE_PHY; j++) { + mPhyCtrlState.validPhyRateIndex[i][j] = 0; + } + mPhyCtrlState.validPhyRateCount[i] = 0; + } + + pRc->rcPhyMode = (capflag & WLAN_RC_40_FLAG); + + if (pRateSet == NULL || !pRateSet->rates.rs_nrates) { + /* No working rate, just initialize valid rates */ + hi = rcSibInitValidRates(pRateTable, pRc, capflag, &mPhyCtrlState); + } else { + /* Use intersection of working rates and valid rates */ + hi = rcSibSetValidRates(pRateTable, pRc, &(pRateSet->rates), + capflag, an, &mPhyCtrlState); + + if (capflag & WLAN_RC_HT_FLAG) { + htHi = rcSibSetValidHtRates(pRateTable, pRc, phtMcs, + capflag, an, &mPhyCtrlState); + } + + hi = A_MAX(hi, htHi); + } + + pRc->rateTableSize = hi + 1; + pRc->rateMaxPhy = 0; + + ASSERT(pRc->rateTableSize <= MAX_TX_RATE_TBL); + + for (i = 0, k = 0; i < WLAN_RC_PHY_MAX; i++) { + for (j = 0; j < mPhyCtrlState.validPhyRateCount[i]; j++) { + pRc->validRateIndex[k++] = mPhyCtrlState.validPhyRateIndex[i][j]; + } + + if (!rcIsValidPhyRate(i, pRateTable->initialRateMax, TRUE) || + !mPhyCtrlState.validPhyRateCount[i]) + continue; + + pRc->rateMaxPhy = mPhyCtrlState.validPhyRateIndex[i][j-1]; + } + + ASSERT(pRc->rateTableSize <= MAX_TX_RATE_TBL); + ASSERT(k <= MAX_TX_RATE_TBL); + + pRc->rateMaxPhy = pRc->validRateIndex[k-4]; + pRc->maxValidRate = k; + + rcSortValidRates(pRateTable, pRc); +} + +void +rcSibUpdate_11n(struct ath_softc_tgt *sc, struct ath_node_target *pSib, + A_UINT32 capflag, A_BOOL keepState, struct ieee80211_rate *pRateSet) +{ + rcSibUpdate_ht(sc, + pSib, + ((capflag & ATH_RC_DS_FLAG) ? WLAN_RC_DS_FLAG : 0) | + ((capflag & ATH_RC_HT40_SGI_FLAG) ? WLAN_RC_HT40_SGI_FLAG : 0) | + ((capflag & ATH_RC_HT_FLAG) ? WLAN_RC_HT_FLAG : 0) | + ((capflag & ATH_RC_CW40_FLAG) ? WLAN_RC_40_FLAG : 0) | + ((capflag & ATH_RC_TX_STBC_FLAG) ? WLAN_RC_STBC_FLAG : 0), + keepState, + pRateSet); +} + +/* + * Return the median of three numbers + */ +INLINE A_RSSI median(A_RSSI a, A_RSSI b, A_RSSI c) +{ + if (a >= b) { + if (b >= c) { + return b; + } else if (a > c) { + return c; + } else { + return a; + } + } else { + if (a >= c) { + return a; + } else if (b >= c) { + return c; + } else { + return b; + } + } +} + +static A_UINT8 +rcRateFind_ht(struct ath_softc_tgt *sc, struct atheros_node *pSib, + const RATE_TABLE_11N *pRateTable, A_BOOL probeAllowed, A_BOOL *isProbing) +{ + A_UINT32 dt; + A_UINT32 bestThruput, thisThruput; + A_UINT32 nowMsec; + A_UINT8 rate, nextRate, bestRate; + A_RSSI rssiLast, rssiReduce = 0; + A_UINT8 maxIndex, minIndex; + A_INT8 index; + TX_RATE_CTRL *pRc = NULL; + + pRc = (TX_RATE_CTRL *)(pSib ? (pSib) : NULL); + + *isProbing = FALSE; + + /* + * Age (reduce) last ack rssi based on how old it is. + * The bizarre numbers are so the delta is 160msec, + * meaning we divide by 16. + * 0msec <= dt <= 25msec: don't derate + * 25msec <= dt <= 185msec: derate linearly from 0 to 10dB + * 185msec <= dt: derate by 10dB + */ + + nowMsec = A_MS_TICKGET(); + dt = nowMsec - pRc->rssiTime; + + /* + * Now look up the rate in the rssi table and return it. + * If no rates match then we return 0 (lowest rate) + */ + + bestThruput = 0; + maxIndex = pRc->maxValidRate-1; + + minIndex = 0; + bestRate = minIndex; + + /* + * Try the higher rate first. It will reduce memory moving time + * if we have very good channel characteristics. + */ + for (index = maxIndex; index >= minIndex ; index--) { + A_UINT8 perThres; + + rate = pRc->validRateIndex[index]; + if (rate > pRc->rateMaxPhy) { + continue; + } + + /* if the best throughput is already larger than the userRateKbps.. + * then we could skip of rest of calculation.. + */ + if( bestThruput >= pRateTable->info[rate].userRateKbps) + break; + + /* + * For TCP the average collision rate is around 11%, + * so we ignore PERs less than this. This is to + * prevent the rate we are currently using (whose + * PER might be in the 10-15 range because of TCP + * collisions) looking worse than the next lower + * rate whose PER has decayed close to 0. If we + * used to next lower rate, its PER would grow to + * 10-15 and we would be worse off then staying + * at the current rate. + */ + perThres = pRc->state[rate].per; + if ( perThres < 12 ) { + perThres = 12; + } + + thisThruput = pRateTable->info[rate].userRateKbps * (100 - perThres); + if (bestThruput <= thisThruput) { + bestThruput = thisThruput; + bestRate = rate; + } + } + + rate = bestRate; + + /* + * Must check the actual rate (rateKbps) to account for non-monoticity of + * 11g's rate table + */ + + if (rate >= pRc->rateMaxPhy && probeAllowed) { + rate = pRc->rateMaxPhy; + + /* Probe the next allowed phy state */ + /* FIXME: Check to make sure ratMax is checked properly */ + if (rcGetNextValidTxRate( pRateTable, pRc, rate, &nextRate) && + (nowMsec - pRc->probeTime > pRateTable->probeInterval) && + (pRc->hwMaxRetryPktCnt >= 1)) + { + rate = nextRate; + pRc->probeRate = rate; + pRc->probeTime = nowMsec; + pRc->hwMaxRetryPktCnt = 0; + *isProbing = TRUE; + + } + } + + /* + * Make sure rate is not higher than the allowed maximum. + * We should also enforce the min, but I suspect the min is + * normally 1 rather than 0 because of the rate 9 vs 6 issue + * in the old code. + */ + if (rate > (pRc->rateTableSize - 1)) { + rate = pRc->rateTableSize - 1; + } + + /* record selected rate, which is used to decide if we want to do fast frame */ + if (!(*isProbing) && pSib) { + pSib->lastRateKbps = pRateTable->info[rate].rateKbps; + ((struct atheros_softc*)sc->sc_rc)->currentTxRateKbps = pSib->lastRateKbps; + ((struct atheros_softc*)sc->sc_rc)->currentTxRateIndex = rate; + } + + return rate; +} + +static void +rcRateSetseries(const RATE_TABLE_11N *pRateTable , + struct ath_rc_series *series, + A_UINT8 tries, A_UINT8 rix, + A_BOOL rtsctsenable, A_UINT32 chainmask,int stbc) +{ + series->tries = tries; + series->flags = (rtsctsenable? ATH_RC_RTSCTS_FLAG : 0) | + (WLAN_RC_PHY_DS(pRateTable->info[rix].phy) ? ATH_RC_DS_FLAG : 0) | + (WLAN_RC_PHY_40(pRateTable->info[rix].phy) ? ATH_RC_CW40_FLAG : 0) | + (WLAN_RC_PHY_SGI(pRateTable->info[rix].phy) ? ATH_RC_HT40_SGI_FLAG : 0); +#ifdef MAGPIE_MERLIN + if (stbc) { + /* For now, only single stream STBC is supported */ + if (pRateTable->info[rix].rateCode >= 0x80 && + pRateTable->info[rix].rateCode <= 0x87) + { + series->flags |= ATH_RC_TX_STBC_FLAG; + } + } +#endif + series->rix = pRateTable->info[rix].baseIndex; + series->max4msframelen = pRateTable->info[rix].max4msframelen; + series->txrateKbps = pRateTable->info[rix].rateKbps; + + /* If the hardware is capable of multiple transmit chains (chainmask is 3, 5 or 7), + * then choose the number of transmit chains dynamically based on entries in the rate table. + */ +#ifndef ATH_ENABLE_WLAN_FOR_K2 + if(chainmask == 7) + series->tx_chainmask = pRateTable->info[rix].txChainMask_3ch; + else if(chainmask == 1) + series->tx_chainmask = 1; + else + series->tx_chainmask = pRateTable->info[rix].txChainMask_2ch; /*Chainmask is 3 or 5*/ +#else + series->tx_chainmask = 1; +#endif +} + +static A_UINT8 +rcRateGetIndex(struct ath_softc_tgt *sc, struct ath_node_target *an, + const RATE_TABLE_11N *pRateTable , + A_UINT8 rix, A_UINT16 stepDown, A_UINT16 minRate) +{ + A_UINT32 j; + A_UINT8 nextIndex; + struct atheros_node *pSib = ATH_NODE_ATHEROS(an); + TX_RATE_CTRL *pRc = (TX_RATE_CTRL *)(pSib); + + if (minRate) { + for (j = RATE_TABLE_11N_SIZE; j > 0; j-- ) { + if (rcGetNextLowerValidTxRate(pRateTable, pRc, rix, &nextIndex)) { + rix = nextIndex; + } else { + break; + } + } + } else { + for (j = stepDown; j > 0; j-- ) { + if (rcGetNextLowerValidTxRate(pRateTable, pRc, rix, &nextIndex)) { + rix = nextIndex; + } else { + break; + } + } + } + + return rix; +} + +void rcRateFind_11n(struct ath_softc_tgt *sc, struct ath_node_target *an, + int numTries, int numRates, int stepDnInc, + unsigned int rcflag, struct ath_rc_series series[], int *isProbe) +{ + A_UINT8 i = 0; + A_UINT8 tryPerRate = 0; + struct atheros_softc *asc = (struct atheros_softc*)sc->sc_rc; + RATE_TABLE_11N *pRateTable = (RATE_TABLE_11N *)asc->hwRateTable[sc->sc_curmode]; + struct atheros_node *asn = ATH_NODE_ATHEROS(an); + A_UINT8 rix, nrix; + A_UINT8 dot11Rate; + A_UINT8 rateCode; + WLAN_PHY phy; + + rix = rcRateFind_ht(sc, asn, pRateTable, (rcflag & ATH_RC_PROBE_ALLOWED) ? 1 : 0, + isProbe); + nrix = rix; + + if ((rcflag & ATH_RC_PROBE_ALLOWED) && (*isProbe)) { + /* set one try for probe rates. For the probes don't enable rts */ + rcRateSetseries(pRateTable, &series[i++], 1, nrix, + FALSE, asc->tx_chainmask, asn->stbc); + + /* + * Get the next tried/allowed rate. No RTS for the next series + * after the probe rate + */ + nrix = rcRateGetIndex( sc, an, pRateTable, nrix, 1, FALSE); + } + + tryPerRate = (numTries/numRates); + + /* Set the choosen rate. No RTS for first series entry. */ + rcRateSetseries(pRateTable, &series[i++], tryPerRate, + nrix, FALSE, asc->tx_chainmask, asn->stbc); + + /* Fill in the other rates for multirate retry */ + for (; i < numRates; i++) { + A_UINT8 tryNum; + A_UINT8 minRate; + + tryNum = ((i + 1) == numRates) ? numTries - (tryPerRate * i) : tryPerRate ; + minRate = (((i + 1) == numRates) && (rcflag & ATH_RC_MINRATE_LASTRATE)) ? 1 : 0; + + nrix = rcRateGetIndex(sc, an, pRateTable, nrix, stepDnInc, minRate); + + /* All other rates in the series have RTS enabled */ + rcRateSetseries(pRateTable, &series[i], tryNum, + nrix, TRUE, asc->tx_chainmask, asn->stbc); + } + + /* + * BUG 26545: + * Change rate series to enable aggregation when operating at lower MCS rates. + * When first rate in series is MCS2 in HT40 @ 2.4GHz, series should look like: + * {MCS2, MCS1, MCS0, MCS0}. + * When first rate in series is MCS3 in HT20 @ 2.4GHz, series should look like: + * {MCS3, MCS2, MCS1, MCS1} + * So, set fourth rate in series to be same as third one for above conditions. + */ + if (sc->sc_curmode == IEEE80211_MODE_11NG) { + dot11Rate = pRateTable->info[rix].dot11Rate; + phy = pRateTable->info[rix].phy; + if (i == 4 && + ((dot11Rate == 2 && phy == WLAN_RC_PHY_HT_40_SS) || + (dot11Rate == 3 && phy == WLAN_RC_PHY_HT_20_SS))) + { + series[3].rix = series[2].rix; + series[3].flags = series[2].flags; + series[3].max4msframelen = series[2].max4msframelen; + } + } + + /* + * 2009/02/06 + * AP91 Kite: NetGear OTA location-4 downlink. + * Enable RTS/CTS at MCS 3-0 for downlink throughput. + */ + if (sc->sc_curmode == IEEE80211_MODE_11NG) { + dot11Rate = pRateTable->info[rix].dot11Rate; + if (dot11Rate <= 3 ) { + series[0].flags |= ATH_RC_RTSCTS_FLAG; + } + } +} + +static void +rcUpdate_ht(struct ath_softc_tgt *sc, struct ath_node_target *an, int txRate, + A_BOOL Xretries, int retries, A_UINT8 curTxAnt, + A_UINT16 nFrames, A_UINT16 nBad) +{ + TX_RATE_CTRL *pRc; + A_UINT32 nowMsec = A_MS_TICKGET(); + A_BOOL stateChange = FALSE; + A_UINT8 lastPer; + int rate,count; + struct atheros_node *pSib = ATH_NODE_ATHEROS(an); + struct atheros_softc *asc = (struct atheros_softc*)sc->sc_rc; + RATE_TABLE_11N *pRateTable = (RATE_TABLE_11N *)asc->hwRateTable[sc->sc_curmode]; + u_int32_t txRateKbps; + + static A_UINT32 nRetry2PerLookup[10] = { + 100 * 0 / 1, // 0 + 100 * 1 / 4, // 25 + 100 * 1 / 2, // 50 + 100 * 3 / 4, // 75 + 100 * 4 / 5, // 80 + 100 * 5 / 6, // 83.3 + 100 * 6 / 7, // 85.7 + 100 * 7 / 8, // 87.5 + 100 * 8 / 9, // 88.8 + 100 * 9 / 10 // 90 + }; + + if (!pSib) + return; + + pRc = (TX_RATE_CTRL *)(pSib); + + ASSERT(retries >= 0 && retries < MAX_TX_RETRIES); + ASSERT(txRate >= 0); + + if (txRate < 0) { + return; + } + + lastPer = pRc->state[txRate].per; + + if (Xretries) { + /* Update the PER. */ + if (Xretries == 1) { + pRc->state[txRate].per += 30; + if (pRc->state[txRate].per > 100) { + pRc->state[txRate].per = 100; + } + } else { + /* Xretries == 2 */ + + count = sizeof(nRetry2PerLookup) / sizeof(nRetry2PerLookup[0]); + if (retries >= count) { + retries = count - 1; + } + + /* new_PER = 7/8*old_PER + 1/8*(currentPER) */ + pRc->state[txRate].per = (A_UINT8)(pRc->state[txRate].per - + (pRc->state[txRate].per / 8) + ((100) / 8)); + } + + /* Xretries == 1 or 2 */ + + if (pRc->probeRate == txRate) + pRc->probeRate = 0; + } else { + /* Xretries == 0 */ + + /* + * Update the PER. Make sure it doesn't index out of array's bounds. + */ + count = sizeof(nRetry2PerLookup) / sizeof(nRetry2PerLookup[0]); + if (retries >= count) { + retries = count - 1; + } + + if (nBad) { + /* new_PER = 7/8*old_PER + 1/8*(currentPER) */ + /* + * Assuming that nFrames is not 0. The current PER + * from the retries is 100 * retries / (retries+1), + * since the first retries attempts failed, and the + * next one worked. For the one that worked, nBad + * subframes out of nFrames wored, so the PER for + * that part is 100 * nBad / nFrames, and it contributes + * 100 * nBad / (nFrames * (retries+1)) to the above + * PER. The expression below is a simplified version + * of the sum of these two terms. + */ + if (nFrames > 0) + pRc->state[txRate].per = (A_UINT8)(pRc->state[txRate].per - + (pRc->state[txRate].per / 8) + + ((100*(retries*nFrames + nBad)/(nFrames*(retries+1))) / 8)); + } else { + /* new_PER = 7/8*old_PER + 1/8*(currentPER) */ + + pRc->state[txRate].per = (A_UINT8)(pRc->state[txRate].per - + (pRc->state[txRate].per / 8) + (nRetry2PerLookup[retries] / 8)); + } + + /* + * If we got at most one retry then increase the max rate if + * this was a probe. Otherwise, ignore the probe. + */ + + if (pRc->probeRate && pRc->probeRate == txRate) { + if (retries > 0 || 2 * nBad > nFrames) { + /* + * Since we probed with just a single attempt, + * any retries means the probe failed. Also, + * if the attempt worked, but more than half + * the subframes were bad then also consider + * the probe a failure. + */ + pRc->probeRate = 0; + } else { + pRc->rateMaxPhy = pRc->probeRate; + + if (pRc->state[pRc->probeRate].per > 30) { + pRc->state[pRc->probeRate].per = 20; + } + + pRc->probeRate = 0; + + /* + * Since this probe succeeded, we allow the next probe + * twice as soon. This allows the maxRate to move up + * faster if the probes are succesful. + */ + pRc->probeTime = nowMsec - pRateTable->probeInterval / 2; + } + } + + if (retries > 0) { + /* + * Don't update anything. We don't know if this was because + * of collisions or poor signal. + * + * Later: if rssiAck is close to pRc->state[txRate].rssiThres + * and we see lots of retries, then we could increase + * pRc->state[txRate].rssiThres. + */ + pRc->hwMaxRetryPktCnt = 0; + } else { + /* + * It worked with no retries. First ignore bogus (small) + * rssiAck values. + */ + if (txRate == pRc->rateMaxPhy && pRc->hwMaxRetryPktCnt < 255) { + pRc->hwMaxRetryPktCnt++; + } + + } + } + + /* For all cases */ + + ASSERT((pRc->rateMaxPhy >= 0 && pRc->rateMaxPhy <= pRc->rateTableSize && + pRc->rateMaxPhy != INVALID_RATE_MAX)); + + /* + * If this rate looks bad (high PER) then stop using it for + * a while (except if we are probing). + */ + if (pRc->state[txRate].per >= 55 && txRate > 0 && + pRateTable->info[txRate].rateKbps <= + pRateTable->info[pRc->rateMaxPhy].rateKbps) + { + rcGetNextLowerValidTxRate(pRateTable, pRc, (A_UINT8) txRate, + &pRc->rateMaxPhy); + + /* Don't probe for a little while. */ + pRc->probeTime = nowMsec; + } + + /* Make sure the rates below this have lower PER */ + /* Monotonicity is kept only for rates below the current rate. */ + if (pRc->state[txRate].per < lastPer) { + for (rate = txRate - 1; rate >= 0; rate--) { + if (pRateTable->info[rate].phy != pRateTable->info[txRate].phy) { + break; + } + + if (pRc->state[rate].per > pRc->state[rate+1].per) { + pRc->state[rate].per = pRc->state[rate+1].per; + } + } + } + + /* Maintain monotonicity for rates above the current rate*/ + for (rate = txRate; rate < pRc->rateTableSize - 1; rate++) { + if (pRc->state[rate+1].per < pRc->state[rate].per) { + pRc->state[rate+1].per = pRc->state[rate].per; + } + } + + /* Every so often, we reduce the thresholds and PER (different for CCK and OFDM). */ + if (nowMsec - pRc->perDownTime >= pRateTable->rssiReduceInterval) { + for (rate = 0; rate < pRc->rateTableSize; rate++) { + pRc->state[rate].per = 7*pRc->state[rate].per/8; + } + + pRc->perDownTime = nowMsec; + } +} + +/* + * This routine is called by the Tx interrupt service routine to give + * the status of previous frames. + */ +void rcUpdate_11n(struct ath_softc_tgt *sc, struct ath_node_target *an, + A_UINT8 curTxAnt, + int finalTSIdx, int Xretries, + struct ath_rc_series rcs[], int nFrames, + int nBad, int long_retry) +{ + A_UINT32 series = 0; + A_UINT32 rix; + struct atheros_softc *asc = (struct atheros_softc*)sc->sc_rc; + RATE_TABLE_11N *pRateTable = (RATE_TABLE_11N *)asc->hwRateTable[sc->sc_curmode]; + struct atheros_node *pSib = ATH_NODE_ATHEROS(an); + TX_RATE_CTRL *pRc = (TX_RATE_CTRL *)(pSib); + A_UINT8 flags; + + if (!an) { + adf_os_assert(0); + return; + } + + ASSERT (rcs[0].tries != 0); + + /* + * If the first rate is not the final index, there are intermediate rate failures + * to be processed. + */ + if (finalTSIdx != 0) { + + /* Process intermediate rates that failed.*/ + for (series = 0; series < finalTSIdx ; series++) { + if (rcs[series].tries != 0) { + flags = rcs[series].flags; + /* If HT40 and we have switched mode from 40 to 20 => don't update */ + if ((flags & ATH_RC_CW40_FLAG) && + (pRc->rcPhyMode != (flags & ATH_RC_CW40_FLAG))) { + return; + } + if ((flags & ATH_RC_CW40_FLAG) && (flags & ATH_RC_HT40_SGI_FLAG)) { + rix = pRateTable->info[rcs[series].rix].htIndex; + } else if (flags & ATH_RC_HT40_SGI_FLAG) { + rix = pRateTable->info[rcs[series].rix].sgiIndex; + } else if (flags & ATH_RC_CW40_FLAG) { + rix = pRateTable->info[rcs[series].rix].cw40Index; + } else { + rix = pRateTable->info[rcs[series].rix].baseIndex; + } + + /* FIXME:XXXX, too many args! */ + rcUpdate_ht(sc, an, rix, Xretries? 1 : 2, rcs[series].tries, + curTxAnt, nFrames, nFrames); + } + } + } else { + /* + * Handle the special case of MIMO PS burst, where the second aggregate is sent + * out with only one rate and one try. Treating it as an excessive retry penalizes + * the rate inordinately. + */ + if (rcs[0].tries == 1 && Xretries == 1) { + Xretries = 2; + } + } + + flags = rcs[series].flags; + /* If HT40 and we have switched mode from 40 to 20 => don't update */ + if ((flags & ATH_RC_CW40_FLAG) && + (pRc->rcPhyMode != (flags & ATH_RC_CW40_FLAG))) { + return; + } + if ((flags & ATH_RC_CW40_FLAG) && (flags & ATH_RC_HT40_SGI_FLAG)) { + rix = pRateTable->info[rcs[series].rix].htIndex; + } else if (flags & ATH_RC_HT40_SGI_FLAG) { + rix = pRateTable->info[rcs[series].rix].sgiIndex; + } else if (flags & ATH_RC_CW40_FLAG) { + rix = pRateTable->info[rcs[series].rix].cw40Index; + } else { + rix = pRateTable->info[rcs[series].rix].baseIndex; + } + + /* FIXME:XXXX, too many args! */ + rcUpdate_ht(sc, an, rix, Xretries, long_retry, curTxAnt, + nFrames, nBad); +} + +void ath_tx_status_update_rate(struct ath_softc_tgt *sc, + struct ath_rc_series rcs[], + int series, + WMI_TXSTATUS_EVENT *txs) +{ + struct atheros_softc *asc = (struct atheros_softc*)sc->sc_rc; + RATE_TABLE_11N *pRateTable = (RATE_TABLE_11N *)asc->hwRateTable[sc->sc_curmode]; + + /* HT Rate */ + if (pRateTable->info[rcs[series].rix].rateCode & 0x80) { + txs->txstatus[txs->cnt].ts_rate |= SM(pRateTable->info[rcs[series].rix].dot11Rate, + ATH9K_HTC_TXSTAT_RATE); + txs->txstatus[txs->cnt].ts_flags |= ATH9K_HTC_TXSTAT_MCS; + + if (rcs[series].flags & ATH_RC_CW40_FLAG) + txs->txstatus[txs->cnt].ts_flags |= ATH9K_HTC_TXSTAT_CW40; + + if (rcs[series].flags & ATH_RC_HT40_SGI_FLAG) + txs->txstatus[txs->cnt].ts_flags |= ATH9K_HTC_TXSTAT_SGI; + + } else { + txs->txstatus[txs->cnt].ts_rate |= SM(rcs[series].rix, ATH9K_HTC_TXSTAT_RATE); + } + + if (rcs[series].flags & ATH_RC_RTSCTS_FLAG) + txs->txstatus[txs->cnt].ts_flags |= ATH9K_HTC_TXSTAT_RTC_CTS; + +} + +struct ath_ratectrl * +ath_rate_attach(struct ath_softc_tgt *sc) +{ + struct atheros_softc *asc; + + asc = adf_os_mem_alloc(sizeof(struct atheros_softc)); + if (asc == NULL) + return NULL; + + adf_os_mem_set(asc, 0, sizeof(struct atheros_softc)); + asc->arc.arc_space = sizeof(struct atheros_node); + + ar5416AttachRateTables(asc); + + asc->tx_chainmask = 1; + + return &asc->arc; +} + +void +ath_rate_detach(struct ath_ratectrl *rc) +{ + adf_os_mem_free(rc); +} + +void +ath_rate_findrate(struct ath_softc_tgt *sc, + struct ath_node_target *an, + int shortPreamble, + size_t frameLen, + int numTries, + int numRates, + int stepDnInc, + unsigned int rcflag, + struct ath_rc_series series[], + int *isProbe) +{ + struct ieee80211vap *vap = an->ni.ni_vap; + struct atheros_node *oan = ATH_NODE_ATHEROS(an); + struct atheros_softc *asc = (struct atheros_softc *) sc->sc_rc; + RATE_TABLE *pRateTable = (RATE_TABLE *)asc->hwRateTable[sc->sc_curmode]; + u_int32_t *retrySched; + + *isProbe = 0; + + if (!numRates || !numTries) { + return; + } + + ath_rate_findrate_11n(sc, an, frameLen, numTries, numRates, stepDnInc, + rcflag, series, isProbe); +} + +#define MS(_v, _f) (((_v) & _f) >> _f##_S) + +void +ath_rate_tx_complete(struct ath_softc_tgt *sc, + struct ath_node_target *an, + struct ath_tx_desc *ds, + struct ath_rc_series rcs[], + int nframes, int nbad) +{ + struct atheros_softc *asc = (struct atheros_softc *) sc->sc_rc; + const RATE_TABLE *pRateTable = (RATE_TABLE *)asc->hwRateTable[sc->sc_curmode]; + u_int8_t txRate = ds->ds_txstat.ts_rate &~ HAL_TXSTAT_ALTRATE; + + ath_rate_tx_complete_11n(sc, an, ds, rcs, nframes, nbad); +} + +void +ath_rate_newassoc(struct ath_softc_tgt *sc, struct ath_node_target *an, int isnew, + unsigned int capflag, struct ieee80211_rate *rs) +{ + ath_rate_newassoc_11n(sc, an, isnew, capflag, rs); +} + +void ath_rate_node_update(struct ath_softc_tgt *sc, + struct ath_node_target *an, + a_int32_t isnew, + a_uint32_t capflag, + struct ieee80211_rate *rs) +{ + struct ieee80211_node_target *ni = &an->ni; + + ath_rate_newassoc(sc, ATH_NODE_TARGET(ni), isnew, capflag, rs); +} + +static int init_ath_rate_atheros(void); +static void exit_ath_rate_atheros(void); + +void +ath_rate_newstate(struct ath_softc_tgt *sc, + struct ieee80211vap_target *vap, + enum ieee80211_state state, + a_uint32_t capflag, + struct ieee80211_rate *rs) +{ + struct ieee80211_node_target *ni = vap->iv_bss; + struct atheros_softc *asc = (struct atheros_softc *) sc->sc_rc; + + asc->tx_chainmask = sc->sc_ic.ic_tx_chainmask; + ath_rate_newassoc(sc, ni, 1, capflag, rs); +} + +static void +ath_rate_findrate_11n(struct ath_softc_tgt *sc, + struct ath_node_target *an, + size_t frameLen, + int numTries, + int numRates, + int stepDnInc, + unsigned int rcflag, + struct ath_rc_series series[], + int *isProbe) +{ + struct ieee80211vap *vap = an->ni.ni_vap; + struct atheros_node *oan = ATH_NODE_ATHEROS(an); + + *isProbe = 0; + if (!numRates || !numTries) { + return; + } + + rcRateFind_11n(sc, an, numTries, numRates, stepDnInc, rcflag, series, isProbe); +} + +static void +ath_rate_tx_complete_11n(struct ath_softc_tgt *sc, + struct ath_node_target *an, + struct ath_tx_desc *ds, + struct ath_rc_series rcs[], + int nframes, int nbad) +{ + int finalTSIdx = ds->ds_txstat.ts_rate; + int tx_status = 0; + + if ((ds->ds_txstat.ts_status & HAL_TXERR_XRETRY) || + (ds->ds_txstat.ts_status & HAL_TXERR_FIFO) || + (ds->ds_txstat.ts_flags & HAL_TX_DATA_UNDERRUN) || + (ds->ds_txstat.ts_flags & HAL_TX_DELIM_UNDERRUN)) { + tx_status = 1; + } + + rcUpdate_11n(sc, an, + ds->ds_txstat.ts_antenna, finalTSIdx, + tx_status, rcs, nframes , nbad, + ds->ds_txstat.ts_longretry); +} + +static void +ath_rate_newassoc_11n(struct ath_softc_tgt *sc, struct ath_node_target *an, int isnew, + unsigned int capflag, struct ieee80211_rate *rs) +{ + struct ieee80211vap *vap = an->ni.ni_vap; + + if (isnew) { + struct atheros_node *oan = ATH_NODE_ATHEROS(an); + + oan->htcap = ((capflag & ATH_RC_DS_FLAG) ? WLAN_RC_DS_FLAG : 0) | + ((capflag & ATH_RC_HT40_SGI_FLAG) ? WLAN_RC_HT40_SGI_FLAG : 0) | + ((capflag & ATH_RC_HT_FLAG) ? WLAN_RC_HT_FLAG : 0) | + ((capflag & ATH_RC_CW40_FLAG) ? WLAN_RC_40_FLAG : 0) | + ((capflag & ATH_RC_WEP_TKIP_FLAG) ? WLAN_RC_WEP_TKIP_FLAG : 0); + +#ifdef MAGPIE_MERLIN + /* Rx STBC is a 2-bit mask. Needs to convert from ath definition to wlan definition. */ + + oan->htcap |= (((capflag & ATH_RC_RX_STBC_FLAG) >> ATH_RC_RX_STBC_FLAG_S) + << WLAN_RC_STBC_FLAG_S); + + /* If only one chain is enabled, do not do stbc. */ + if (sc->sc_txstbcsupport) { + oan->stbc = (capflag & ATH_RC_RX_STBC_FLAG) >> ATH_RC_RX_STBC_FLAG_S; + } else { + oan->stbc = 0; + } + +#endif + rcSibUpdate_11n(sc, an, oan->htcap, 0, rs); + } +} + +void ath_rate_mcs2rate(struct ath_softc_tgt *sc,a_uint8_t sgi, a_uint8_t ht40, + a_uint8_t rateCode, a_uint32_t *txrate, a_uint32_t *rxrate) +{ + int idx; + struct atheros_softc *asc = (struct atheros_softc*)sc->sc_rc; + RATE_TABLE_11N *pRateTable = (RATE_TABLE_11N *)asc->hwRateTable[sc->sc_curmode]; + a_uint32_t rateKbps = 0; + + *txrate = asc->currentTxRateKbps; + + /* look 11NA table for rateKbps*/ + for (idx = 0; idx < pRateTable->rateCount && !rateKbps; ++idx) { + if (pRateTable->info[idx].rateCode == rateCode) { + if(ht40 && sgi) { + if(pRateTable->info[idx].valid == TRUE_40 && + pRateTable->info[idx].phy == WLAN_RC_PHY_HT_40_DS_HGI) + rateKbps = pRateTable->info[idx].rateKbps; + } else if (ht40) { + if (pRateTable->info[idx].valid == TRUE_40)/* HT40 only*/ + rateKbps = pRateTable->info[idx].rateKbps; + } else { + if (pRateTable->info[idx].valid != FALSE) + rateKbps = pRateTable->info[idx].rateKbps; + } + } + } + + *rxrate = rateKbps; +} diff --git a/toolchain/inst/xtensa-elf/include/xtensa/config/core.h b/toolchain/inst/xtensa-elf/include/xtensa/config/core.h new file mode 100644 index 0000000..01bcfdd --- /dev/null +++ b/toolchain/inst/xtensa-elf/include/xtensa/config/core.h @@ -0,0 +1,1222 @@ +/* + * xtensa/config/core.h -- HAL definitions dependent on CORE configuration + * + * This header file is sometimes referred to as the "compile-time HAL" or CHAL. + * It pulls definitions tailored for a specific Xtensa processor configuration. + * + * Sources for binaries meant to be configuration-independent generally avoid + * including this file (they may use the configuration-specific HAL library). + * It is normal for the HAL library source itself to include this file. + */ + +/* + * Copyright (c) 2005-2007 by Tensilica Inc. ALL RIGHTS RESERVED. + * These coded instructions, statements, and computer programs are the + * copyrighted works and confidential proprietary information of Tensilica Inc. + * They may not be modified, copied, reproduced, distributed, or disclosed to + * third parties in any manner, medium, or form, in whole or in part, without + * the prior written consent of Tensilica Inc. + */ + + +#ifndef XTENSA_CONFIG_CORE_H +#define XTENSA_CONFIG_CORE_H + +/* CONFIGURATION INDEPENDENT DEFINITIONS: */ +#ifdef __XTENSA__ +#include +#else +#include "../hal.h" +#endif + +/* CONFIGURATION SPECIFIC DEFINITIONS: */ +#ifdef __XTENSA__ +#include +#include +#include +#else +#include "core-isa.h" +#include "core-matmap.h" +#include "tie.h" +#endif + +#if defined (_ASMLANGUAGE) || defined (__ASSEMBLER__) +#ifdef __XTENSA__ +#include +#else +#include "tie-asm.h" +#endif +#endif /*_ASMLANGUAGE or __ASSEMBLER__*/ + + +/*---------------------------------------------------------------------- + GENERAL + ----------------------------------------------------------------------*/ + +/* + * Separators for macros that expand into arrays. + * These can be predefined by files that #include this one, + * when different separators are required. + */ +/* Element separator for macros that expand into 1-dimensional arrays: */ +#ifndef XCHAL_SEP +#define XCHAL_SEP , +#endif +/* Array separator for macros that expand into 2-dimensional arrays: */ +#ifndef XCHAL_SEP2 +#define XCHAL_SEP2 },{ +#endif + + + +/*---------------------------------------------------------------------- + ISA + ----------------------------------------------------------------------*/ + +#if XCHAL_HAVE_BE +# define XCHAL_HAVE_LE 0 +# define XCHAL_MEMORY_ORDER XTHAL_BIGENDIAN +#else +# define XCHAL_HAVE_LE 1 +# define XCHAL_MEMORY_ORDER XTHAL_LITTLEENDIAN +#endif + + + +/*---------------------------------------------------------------------- + INTERRUPTS + ----------------------------------------------------------------------*/ + +/* Indexing macros: */ +#define _XCHAL_INTLEVEL_MASK(n) XCHAL_INTLEVEL ## n ## _MASK +#define XCHAL_INTLEVEL_MASK(n) _XCHAL_INTLEVEL_MASK(n) /* n = 0 .. 15 */ +#define _XCHAL_INTLEVEL_ANDBELOWMASK(n) XCHAL_INTLEVEL ## n ## _ANDBELOW_MASK +#define XCHAL_INTLEVEL_ANDBELOW_MASK(n) _XCHAL_INTLEVEL_ANDBELOWMASK(n) /* n = 0 .. 15 */ +#define _XCHAL_INTLEVEL_NUM(n) XCHAL_INTLEVEL ## n ## _NUM +#define XCHAL_INTLEVEL_NUM(n) _XCHAL_INTLEVEL_NUM(n) /* n = 0 .. 15 */ +#define _XCHAL_INT_LEVEL(n) XCHAL_INT ## n ## _LEVEL +#define XCHAL_INT_LEVEL(n) _XCHAL_INT_LEVEL(n) /* n = 0 .. 31 */ +#define _XCHAL_INT_TYPE(n) XCHAL_INT ## n ## _TYPE +#define XCHAL_INT_TYPE(n) _XCHAL_INT_TYPE(n) /* n = 0 .. 31 */ +#define _XCHAL_TIMER_INTERRUPT(n) XCHAL_TIMER ## n ## _INTERRUPT +#define XCHAL_TIMER_INTERRUPT(n) _XCHAL_TIMER_INTERRUPT(n) /* n = 0 .. 3 */ + + +#define XCHAL_HAVE_HIGHLEVEL_INTERRUPTS XCHAL_HAVE_HIGHPRI_INTERRUPTS +#define XCHAL_NUM_LOWPRI_LEVELS 1 /* number of low-priority interrupt levels (always 1) */ +#define XCHAL_FIRST_HIGHPRI_LEVEL (XCHAL_NUM_LOWPRI_LEVELS+1) /* level of first high-priority interrupt (always 2) */ +/* Note: 1 <= LOWPRI_LEVELS <= EXCM_LEVEL < DEBUGLEVEL <= NUM_INTLEVELS < NMILEVEL <= 15 */ + +/* These values are constant for existing Xtensa processor implementations: */ +#define XCHAL_INTLEVEL0_MASK 0x00000000 +#define XCHAL_INTLEVEL8_MASK 0x00000000 +#define XCHAL_INTLEVEL9_MASK 0x00000000 +#define XCHAL_INTLEVEL10_MASK 0x00000000 +#define XCHAL_INTLEVEL11_MASK 0x00000000 +#define XCHAL_INTLEVEL12_MASK 0x00000000 +#define XCHAL_INTLEVEL13_MASK 0x00000000 +#define XCHAL_INTLEVEL14_MASK 0x00000000 +#define XCHAL_INTLEVEL15_MASK 0x00000000 + +/* Array of masks of interrupts at each interrupt level: */ +#define XCHAL_INTLEVEL_MASKS XCHAL_INTLEVEL0_MASK \ + XCHAL_SEP XCHAL_INTLEVEL1_MASK \ + XCHAL_SEP XCHAL_INTLEVEL2_MASK \ + XCHAL_SEP XCHAL_INTLEVEL3_MASK \ + XCHAL_SEP XCHAL_INTLEVEL4_MASK \ + XCHAL_SEP XCHAL_INTLEVEL5_MASK \ + XCHAL_SEP XCHAL_INTLEVEL6_MASK \ + XCHAL_SEP XCHAL_INTLEVEL7_MASK \ + XCHAL_SEP XCHAL_INTLEVEL8_MASK \ + XCHAL_SEP XCHAL_INTLEVEL9_MASK \ + XCHAL_SEP XCHAL_INTLEVEL10_MASK \ + XCHAL_SEP XCHAL_INTLEVEL11_MASK \ + XCHAL_SEP XCHAL_INTLEVEL12_MASK \ + XCHAL_SEP XCHAL_INTLEVEL13_MASK \ + XCHAL_SEP XCHAL_INTLEVEL14_MASK \ + XCHAL_SEP XCHAL_INTLEVEL15_MASK + +/* These values are constant for existing Xtensa processor implementations: */ +#define XCHAL_INTLEVEL0_ANDBELOW_MASK 0x00000000 +#define XCHAL_INTLEVEL8_ANDBELOW_MASK XCHAL_INTLEVEL7_ANDBELOW_MASK +#define XCHAL_INTLEVEL9_ANDBELOW_MASK XCHAL_INTLEVEL7_ANDBELOW_MASK +#define XCHAL_INTLEVEL10_ANDBELOW_MASK XCHAL_INTLEVEL7_ANDBELOW_MASK +#define XCHAL_INTLEVEL11_ANDBELOW_MASK XCHAL_INTLEVEL7_ANDBELOW_MASK +#define XCHAL_INTLEVEL12_ANDBELOW_MASK XCHAL_INTLEVEL7_ANDBELOW_MASK +#define XCHAL_INTLEVEL13_ANDBELOW_MASK XCHAL_INTLEVEL7_ANDBELOW_MASK +#define XCHAL_INTLEVEL14_ANDBELOW_MASK XCHAL_INTLEVEL7_ANDBELOW_MASK +#define XCHAL_INTLEVEL15_ANDBELOW_MASK XCHAL_INTLEVEL7_ANDBELOW_MASK + +/* Mask of all low-priority interrupts: */ +#define XCHAL_LOWPRI_MASK XCHAL_INTLEVEL1_ANDBELOW_MASK + +/* Mask of all interrupts masked by PS.EXCM (or CEXCM): */ +#define XCHAL_EXCM_MASK XCHAL_INTLEVEL_ANDBELOW_MASK(XCHAL_EXCM_LEVEL) + +/* Array of masks of interrupts at each range 1..n of interrupt levels: */ +#define XCHAL_INTLEVEL_ANDBELOW_MASKS XCHAL_INTLEVEL0_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL1_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL2_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL3_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL4_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL5_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL6_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL7_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL8_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL9_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL10_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL11_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL12_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL13_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL14_ANDBELOW_MASK \ + XCHAL_SEP XCHAL_INTLEVEL15_ANDBELOW_MASK + +#if 0 /*XCHAL_HAVE_NMI*/ +/* NMI "interrupt level" (for use with EXCSAVE_n, EPS_n, EPC_n, RFI n): */ +# define XCHAL_NMILEVEL (XCHAL_NUM_INTLEVELS+1) +#endif + +/* Array of levels of each possible interrupt: */ +#define XCHAL_INT_LEVELS XCHAL_INT0_LEVEL \ + XCHAL_SEP XCHAL_INT1_LEVEL \ + XCHAL_SEP XCHAL_INT2_LEVEL \ + XCHAL_SEP XCHAL_INT3_LEVEL \ + XCHAL_SEP XCHAL_INT4_LEVEL \ + XCHAL_SEP XCHAL_INT5_LEVEL \ + XCHAL_SEP XCHAL_INT6_LEVEL \ + XCHAL_SEP XCHAL_INT7_LEVEL \ + XCHAL_SEP XCHAL_INT8_LEVEL \ + XCHAL_SEP XCHAL_INT9_LEVEL \ + XCHAL_SEP XCHAL_INT10_LEVEL \ + XCHAL_SEP XCHAL_INT11_LEVEL \ + XCHAL_SEP XCHAL_INT12_LEVEL \ + XCHAL_SEP XCHAL_INT13_LEVEL \ + XCHAL_SEP XCHAL_INT14_LEVEL \ + XCHAL_SEP XCHAL_INT15_LEVEL \ + XCHAL_SEP XCHAL_INT16_LEVEL \ + XCHAL_SEP XCHAL_INT17_LEVEL \ + XCHAL_SEP XCHAL_INT18_LEVEL \ + XCHAL_SEP XCHAL_INT19_LEVEL \ + XCHAL_SEP XCHAL_INT20_LEVEL \ + XCHAL_SEP XCHAL_INT21_LEVEL \ + XCHAL_SEP XCHAL_INT22_LEVEL \ + XCHAL_SEP XCHAL_INT23_LEVEL \ + XCHAL_SEP XCHAL_INT24_LEVEL \ + XCHAL_SEP XCHAL_INT25_LEVEL \ + XCHAL_SEP XCHAL_INT26_LEVEL \ + XCHAL_SEP XCHAL_INT27_LEVEL \ + XCHAL_SEP XCHAL_INT28_LEVEL \ + XCHAL_SEP XCHAL_INT29_LEVEL \ + XCHAL_SEP XCHAL_INT30_LEVEL \ + XCHAL_SEP XCHAL_INT31_LEVEL + +/* Array of types of each possible interrupt: */ +#define XCHAL_INT_TYPES XCHAL_INT0_TYPE \ + XCHAL_SEP XCHAL_INT1_TYPE \ + XCHAL_SEP XCHAL_INT2_TYPE \ + XCHAL_SEP XCHAL_INT3_TYPE \ + XCHAL_SEP XCHAL_INT4_TYPE \ + XCHAL_SEP XCHAL_INT5_TYPE \ + XCHAL_SEP XCHAL_INT6_TYPE \ + XCHAL_SEP XCHAL_INT7_TYPE \ + XCHAL_SEP XCHAL_INT8_TYPE \ + XCHAL_SEP XCHAL_INT9_TYPE \ + XCHAL_SEP XCHAL_INT10_TYPE \ + XCHAL_SEP XCHAL_INT11_TYPE \ + XCHAL_SEP XCHAL_INT12_TYPE \ + XCHAL_SEP XCHAL_INT13_TYPE \ + XCHAL_SEP XCHAL_INT14_TYPE \ + XCHAL_SEP XCHAL_INT15_TYPE \ + XCHAL_SEP XCHAL_INT16_TYPE \ + XCHAL_SEP XCHAL_INT17_TYPE \ + XCHAL_SEP XCHAL_INT18_TYPE \ + XCHAL_SEP XCHAL_INT19_TYPE \ + XCHAL_SEP XCHAL_INT20_TYPE \ + XCHAL_SEP XCHAL_INT21_TYPE \ + XCHAL_SEP XCHAL_INT22_TYPE \ + XCHAL_SEP XCHAL_INT23_TYPE \ + XCHAL_SEP XCHAL_INT24_TYPE \ + XCHAL_SEP XCHAL_INT25_TYPE \ + XCHAL_SEP XCHAL_INT26_TYPE \ + XCHAL_SEP XCHAL_INT27_TYPE \ + XCHAL_SEP XCHAL_INT28_TYPE \ + XCHAL_SEP XCHAL_INT29_TYPE \ + XCHAL_SEP XCHAL_INT30_TYPE \ + XCHAL_SEP XCHAL_INT31_TYPE + +/* Array of masks of interrupts for each type of interrupt: */ +#define XCHAL_INTTYPE_MASKS XCHAL_INTTYPE_MASK_UNCONFIGURED \ + XCHAL_SEP XCHAL_INTTYPE_MASK_SOFTWARE \ + XCHAL_SEP XCHAL_INTTYPE_MASK_EXTERN_EDGE \ + XCHAL_SEP XCHAL_INTTYPE_MASK_EXTERN_LEVEL \ + XCHAL_SEP XCHAL_INTTYPE_MASK_TIMER \ + XCHAL_SEP XCHAL_INTTYPE_MASK_NMI \ + XCHAL_SEP XCHAL_INTTYPE_MASK_WRITE_ERROR + +/* Interrupts that can be cleared using the INTCLEAR special register: */ +#define XCHAL_INTCLEARABLE_MASK (XCHAL_INTTYPE_MASK_SOFTWARE+XCHAL_INTTYPE_MASK_EXTERN_EDGE+XCHAL_INTTYPE_MASK_WRITE_ERROR) +/* Interrupts that can be triggered using the INTSET special register: */ +#define XCHAL_INTSETTABLE_MASK XCHAL_INTTYPE_MASK_SOFTWARE + +/* Array of interrupts assigned to each timer (CCOMPARE0 to CCOMPARE3): */ +#define XCHAL_TIMER_INTERRUPTS XCHAL_TIMER0_INTERRUPT \ + XCHAL_SEP XCHAL_TIMER1_INTERRUPT \ + XCHAL_SEP XCHAL_TIMER2_INTERRUPT \ + XCHAL_SEP XCHAL_TIMER3_INTERRUPT + + + +/* For backward compatibility and for the array macros, define macros for + * each unconfigured interrupt number (unfortunately, the value of + * XTHAL_INTTYPE_UNCONFIGURED is not zero): */ +#if XCHAL_NUM_INTERRUPTS == 0 +# define XCHAL_INT0_LEVEL 0 +# define XCHAL_INT0_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 1 +# define XCHAL_INT1_LEVEL 0 +# define XCHAL_INT1_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 2 +# define XCHAL_INT2_LEVEL 0 +# define XCHAL_INT2_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 3 +# define XCHAL_INT3_LEVEL 0 +# define XCHAL_INT3_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 4 +# define XCHAL_INT4_LEVEL 0 +# define XCHAL_INT4_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 5 +# define XCHAL_INT5_LEVEL 0 +# define XCHAL_INT5_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 6 +# define XCHAL_INT6_LEVEL 0 +# define XCHAL_INT6_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 7 +# define XCHAL_INT7_LEVEL 0 +# define XCHAL_INT7_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 8 +# define XCHAL_INT8_LEVEL 0 +# define XCHAL_INT8_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 9 +# define XCHAL_INT9_LEVEL 0 +# define XCHAL_INT9_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 10 +# define XCHAL_INT10_LEVEL 0 +# define XCHAL_INT10_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 11 +# define XCHAL_INT11_LEVEL 0 +# define XCHAL_INT11_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 12 +# define XCHAL_INT12_LEVEL 0 +# define XCHAL_INT12_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 13 +# define XCHAL_INT13_LEVEL 0 +# define XCHAL_INT13_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 14 +# define XCHAL_INT14_LEVEL 0 +# define XCHAL_INT14_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 15 +# define XCHAL_INT15_LEVEL 0 +# define XCHAL_INT15_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 16 +# define XCHAL_INT16_LEVEL 0 +# define XCHAL_INT16_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 17 +# define XCHAL_INT17_LEVEL 0 +# define XCHAL_INT17_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 18 +# define XCHAL_INT18_LEVEL 0 +# define XCHAL_INT18_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 19 +# define XCHAL_INT19_LEVEL 0 +# define XCHAL_INT19_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 20 +# define XCHAL_INT20_LEVEL 0 +# define XCHAL_INT20_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 21 +# define XCHAL_INT21_LEVEL 0 +# define XCHAL_INT21_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 22 +# define XCHAL_INT22_LEVEL 0 +# define XCHAL_INT22_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 23 +# define XCHAL_INT23_LEVEL 0 +# define XCHAL_INT23_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 24 +# define XCHAL_INT24_LEVEL 0 +# define XCHAL_INT24_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 25 +# define XCHAL_INT25_LEVEL 0 +# define XCHAL_INT25_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 26 +# define XCHAL_INT26_LEVEL 0 +# define XCHAL_INT26_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 27 +# define XCHAL_INT27_LEVEL 0 +# define XCHAL_INT27_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 28 +# define XCHAL_INT28_LEVEL 0 +# define XCHAL_INT28_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 29 +# define XCHAL_INT29_LEVEL 0 +# define XCHAL_INT29_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 30 +# define XCHAL_INT30_LEVEL 0 +# define XCHAL_INT30_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif +#if XCHAL_NUM_INTERRUPTS <= 31 +# define XCHAL_INT31_LEVEL 0 +# define XCHAL_INT31_TYPE XTHAL_INTTYPE_UNCONFIGURED +#endif + + +/* + * Masks and levels corresponding to each *external* interrupt. + */ + +#define XCHAL_EXTINT0_MASK (1 << XCHAL_EXTINT0_NUM) +#define XCHAL_EXTINT0_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT0_NUM) +#define XCHAL_EXTINT1_MASK (1 << XCHAL_EXTINT1_NUM) +#define XCHAL_EXTINT1_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT1_NUM) +#define XCHAL_EXTINT2_MASK (1 << XCHAL_EXTINT2_NUM) +#define XCHAL_EXTINT2_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT2_NUM) +#define XCHAL_EXTINT3_MASK (1 << XCHAL_EXTINT3_NUM) +#define XCHAL_EXTINT3_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT3_NUM) +#define XCHAL_EXTINT4_MASK (1 << XCHAL_EXTINT4_NUM) +#define XCHAL_EXTINT4_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT4_NUM) +#define XCHAL_EXTINT5_MASK (1 << XCHAL_EXTINT5_NUM) +#define XCHAL_EXTINT5_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT5_NUM) +#define XCHAL_EXTINT6_MASK (1 << XCHAL_EXTINT6_NUM) +#define XCHAL_EXTINT6_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT6_NUM) +#define XCHAL_EXTINT7_MASK (1 << XCHAL_EXTINT7_NUM) +#define XCHAL_EXTINT7_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT7_NUM) +#define XCHAL_EXTINT8_MASK (1 << XCHAL_EXTINT8_NUM) +#define XCHAL_EXTINT8_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT8_NUM) +#define XCHAL_EXTINT9_MASK (1 << XCHAL_EXTINT9_NUM) +#define XCHAL_EXTINT9_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT9_NUM) +#define XCHAL_EXTINT10_MASK (1 << XCHAL_EXTINT10_NUM) +#define XCHAL_EXTINT10_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT10_NUM) +#define XCHAL_EXTINT11_MASK (1 << XCHAL_EXTINT11_NUM) +#define XCHAL_EXTINT11_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT11_NUM) +#define XCHAL_EXTINT12_MASK (1 << XCHAL_EXTINT12_NUM) +#define XCHAL_EXTINT12_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT12_NUM) +#define XCHAL_EXTINT13_MASK (1 << XCHAL_EXTINT13_NUM) +#define XCHAL_EXTINT13_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT13_NUM) +#define XCHAL_EXTINT14_MASK (1 << XCHAL_EXTINT14_NUM) +#define XCHAL_EXTINT14_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT14_NUM) +#define XCHAL_EXTINT15_MASK (1 << XCHAL_EXTINT15_NUM) +#define XCHAL_EXTINT15_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT15_NUM) +#define XCHAL_EXTINT16_MASK (1 << XCHAL_EXTINT16_NUM) +#define XCHAL_EXTINT16_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT16_NUM) +#define XCHAL_EXTINT17_MASK (1 << XCHAL_EXTINT17_NUM) +#define XCHAL_EXTINT17_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT17_NUM) +#define XCHAL_EXTINT18_MASK (1 << XCHAL_EXTINT18_NUM) +#define XCHAL_EXTINT18_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT18_NUM) +#define XCHAL_EXTINT19_MASK (1 << XCHAL_EXTINT19_NUM) +#define XCHAL_EXTINT19_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT19_NUM) +#define XCHAL_EXTINT20_MASK (1 << XCHAL_EXTINT20_NUM) +#define XCHAL_EXTINT20_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT20_NUM) +#define XCHAL_EXTINT21_MASK (1 << XCHAL_EXTINT21_NUM) +#define XCHAL_EXTINT21_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT21_NUM) +#define XCHAL_EXTINT22_MASK (1 << XCHAL_EXTINT22_NUM) +#define XCHAL_EXTINT22_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT22_NUM) +#define XCHAL_EXTINT23_MASK (1 << XCHAL_EXTINT23_NUM) +#define XCHAL_EXTINT23_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT23_NUM) +#define XCHAL_EXTINT24_MASK (1 << XCHAL_EXTINT24_NUM) +#define XCHAL_EXTINT24_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT24_NUM) +#define XCHAL_EXTINT25_MASK (1 << XCHAL_EXTINT25_NUM) +#define XCHAL_EXTINT25_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT25_NUM) +#define XCHAL_EXTINT26_MASK (1 << XCHAL_EXTINT26_NUM) +#define XCHAL_EXTINT26_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT26_NUM) +#define XCHAL_EXTINT27_MASK (1 << XCHAL_EXTINT27_NUM) +#define XCHAL_EXTINT27_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT27_NUM) +#define XCHAL_EXTINT28_MASK (1 << XCHAL_EXTINT28_NUM) +#define XCHAL_EXTINT28_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT28_NUM) +#define XCHAL_EXTINT29_MASK (1 << XCHAL_EXTINT29_NUM) +#define XCHAL_EXTINT29_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT29_NUM) +#define XCHAL_EXTINT30_MASK (1 << XCHAL_EXTINT30_NUM) +#define XCHAL_EXTINT30_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT30_NUM) +#define XCHAL_EXTINT31_MASK (1 << XCHAL_EXTINT31_NUM) +#define XCHAL_EXTINT31_LEVEL XCHAL_INT_LEVEL(XCHAL_EXTINT31_NUM) + + +/*---------------------------------------------------------------------- + EXCEPTIONS and VECTORS + ----------------------------------------------------------------------*/ + +/* For backward compatibility ONLY -- DO NOT USE (will be removed in future release): */ +#define XCHAL_HAVE_OLD_EXC_ARCH XCHAL_HAVE_XEA1 /* (DEPRECATED) 1 if old exception architecture (XEA1), 0 otherwise (eg. XEA2) */ +#define XCHAL_HAVE_EXCM XCHAL_HAVE_XEA2 /* (DEPRECATED) 1 if PS.EXCM bit exists (currently equals XCHAL_HAVE_TLBS) */ +#ifdef XCHAL_USER_VECTOR_VADDR +#define XCHAL_PROGRAMEXC_VECTOR_VADDR XCHAL_USER_VECTOR_VADDR +#define XCHAL_USEREXC_VECTOR_VADDR XCHAL_USER_VECTOR_VADDR +#endif +#ifdef XCHAL_USER_VECTOR_PADDR +# define XCHAL_PROGRAMEXC_VECTOR_PADDR XCHAL_USER_VECTOR_PADDR +# define XCHAL_USEREXC_VECTOR_PADDR XCHAL_USER_VECTOR_PADDR +#endif +#ifdef XCHAL_KERNEL_VECTOR_VADDR +# define XCHAL_STACKEDEXC_VECTOR_VADDR XCHAL_KERNEL_VECTOR_VADDR +# define XCHAL_KERNELEXC_VECTOR_VADDR XCHAL_KERNEL_VECTOR_VADDR +#endif +#ifdef XCHAL_KERNEL_VECTOR_PADDR +# define XCHAL_STACKEDEXC_VECTOR_PADDR XCHAL_KERNEL_VECTOR_PADDR +# define XCHAL_KERNELEXC_VECTOR_PADDR XCHAL_KERNEL_VECTOR_PADDR +#endif + +#if 0 +#if XCHAL_HAVE_DEBUG +# define XCHAL_DEBUG_VECTOR_VADDR XCHAL_INTLEVEL_VECTOR_VADDR(XCHAL_DEBUGLEVEL) +/* This one should only get defined if the corresponding intlevel paddr macro exists: */ +# define XCHAL_DEBUG_VECTOR_PADDR XCHAL_INTLEVEL_VECTOR_PADDR(XCHAL_DEBUGLEVEL) +#endif +#endif + +/* Indexing macros: */ +#define _XCHAL_INTLEVEL_VECTOR_VADDR(n) XCHAL_INTLEVEL ## n ## _VECTOR_VADDR +#define XCHAL_INTLEVEL_VECTOR_VADDR(n) _XCHAL_INTLEVEL_VECTOR_VADDR(n) /* n = 0 .. 15 */ + +/* + * General Exception Causes + * (values of EXCCAUSE special register set by general exceptions, + * which vector to the user, kernel, or double-exception vectors). + * + * DEPRECATED. Please use the equivalent EXCCAUSE_xxx macros + * defined in . (Note that these have slightly + * different names, they don't just have the XCHAL_ prefix removed.) + */ +#define XCHAL_EXCCAUSE_ILLEGAL_INSTRUCTION 0 /* Illegal Instruction */ +#define XCHAL_EXCCAUSE_SYSTEM_CALL 1 /* System Call */ +#define XCHAL_EXCCAUSE_INSTRUCTION_FETCH_ERROR 2 /* Instruction Fetch Error */ +#define XCHAL_EXCCAUSE_LOAD_STORE_ERROR 3 /* Load Store Error */ +#define XCHAL_EXCCAUSE_LEVEL1_INTERRUPT 4 /* Level 1 Interrupt */ +#define XCHAL_EXCCAUSE_ALLOCA 5 /* Stack Extension Assist */ +#define XCHAL_EXCCAUSE_INTEGER_DIVIDE_BY_ZERO 6 /* Integer Divide by Zero */ +#define XCHAL_EXCCAUSE_SPECULATION 7 /* Speculation */ +#define XCHAL_EXCCAUSE_PRIVILEGED 8 /* Privileged Instruction */ +#define XCHAL_EXCCAUSE_UNALIGNED 9 /* Unaligned Load Store */ +/*10..15 reserved*/ +#define XCHAL_EXCCAUSE_ITLB_MISS 16 /* ITlb Miss Exception */ +#define XCHAL_EXCCAUSE_ITLB_MULTIHIT 17 /* ITlb Mutltihit Exception */ +#define XCHAL_EXCCAUSE_ITLB_PRIVILEGE 18 /* ITlb Privilege Exception */ +#define XCHAL_EXCCAUSE_ITLB_SIZE_RESTRICTION 19 /* ITlb Size Restriction Exception */ +#define XCHAL_EXCCAUSE_FETCH_CACHE_ATTRIBUTE 20 /* Fetch Cache Attribute Exception */ +/*21..23 reserved*/ +#define XCHAL_EXCCAUSE_DTLB_MISS 24 /* DTlb Miss Exception */ +#define XCHAL_EXCCAUSE_DTLB_MULTIHIT 25 /* DTlb Multihit Exception */ +#define XCHAL_EXCCAUSE_DTLB_PRIVILEGE 26 /* DTlb Privilege Exception */ +#define XCHAL_EXCCAUSE_DTLB_SIZE_RESTRICTION 27 /* DTlb Size Restriction Exception */ +#define XCHAL_EXCCAUSE_LOAD_CACHE_ATTRIBUTE 28 /* Load Cache Attribute Exception */ +#define XCHAL_EXCCAUSE_STORE_CACHE_ATTRIBUTE 29 /* Store Cache Attribute Exception */ +/*30..31 reserved*/ +#define XCHAL_EXCCAUSE_COPROCESSOR0_DISABLED 32 /* Coprocessor 0 disabled */ +#define XCHAL_EXCCAUSE_COPROCESSOR1_DISABLED 33 /* Coprocessor 1 disabled */ +#define XCHAL_EXCCAUSE_COPROCESSOR2_DISABLED 34 /* Coprocessor 2 disabled */ +#define XCHAL_EXCCAUSE_COPROCESSOR3_DISABLED 35 /* Coprocessor 3 disabled */ +#define XCHAL_EXCCAUSE_COPROCESSOR4_DISABLED 36 /* Coprocessor 4 disabled */ +#define XCHAL_EXCCAUSE_COPROCESSOR5_DISABLED 37 /* Coprocessor 5 disabled */ +#define XCHAL_EXCCAUSE_COPROCESSOR6_DISABLED 38 /* Coprocessor 6 disabled */ +#define XCHAL_EXCCAUSE_COPROCESSOR7_DISABLED 39 /* Coprocessor 7 disabled */ +#define XCHAL_EXCCAUSE_FLOATING_POINT 40 /* Floating Point Exception */ +/*40..63 reserved*/ + + +/* + * Miscellaneous special register fields. + * + * For each special register, and each field within each register: + * XCHAL__VALIDMASK is the set of bits defined in the register. + * XCHAL___BITS is the number of bits in the field. + * XCHAL___NUM is 2^bits, the number of possible values + * of the field. + * XCHAL___SHIFT is the position of the field within + * the register, starting from the least significant bit. + * + * DEPRECATED. Please use the equivalent macros defined in + * . (Note that these have different names.) + */ + +/* DBREAKC (special register number 160): */ +#define XCHAL_DBREAKC_VALIDMASK 0xC000003F +#define XCHAL_DBREAKC_MASK_BITS 6 +#define XCHAL_DBREAKC_MASK_NUM 64 +#define XCHAL_DBREAKC_MASK_SHIFT 0 +#define XCHAL_DBREAKC_MASK_MASK 0x0000003F +#define XCHAL_DBREAKC_LOADBREAK_BITS 1 +#define XCHAL_DBREAKC_LOADBREAK_NUM 2 +#define XCHAL_DBREAKC_LOADBREAK_SHIFT 30 +#define XCHAL_DBREAKC_LOADBREAK_MASK 0x40000000 +#define XCHAL_DBREAKC_STOREBREAK_BITS 1 +#define XCHAL_DBREAKC_STOREBREAK_NUM 2 +#define XCHAL_DBREAKC_STOREBREAK_SHIFT 31 +#define XCHAL_DBREAKC_STOREBREAK_MASK 0x80000000 +/* PS (special register number 230): */ +#define XCHAL_PS_VALIDMASK 0x00070F3F +#define XCHAL_PS_INTLEVEL_BITS 4 +#define XCHAL_PS_INTLEVEL_NUM 16 +#define XCHAL_PS_INTLEVEL_SHIFT 0 +#define XCHAL_PS_INTLEVEL_MASK 0x0000000F +#define XCHAL_PS_EXCM_BITS 1 +#define XCHAL_PS_EXCM_NUM 2 +#define XCHAL_PS_EXCM_SHIFT 4 +#define XCHAL_PS_EXCM_MASK 0x00000010 +#define XCHAL_PS_UM_BITS 1 +#define XCHAL_PS_UM_NUM 2 +#define XCHAL_PS_UM_SHIFT 5 +#define XCHAL_PS_UM_MASK 0x00000020 +#define XCHAL_PS_RING_BITS 2 +#define XCHAL_PS_RING_NUM 4 +#define XCHAL_PS_RING_SHIFT 6 +#define XCHAL_PS_RING_MASK 0x000000C0 +#define XCHAL_PS_OWB_BITS 4 +#define XCHAL_PS_OWB_NUM 16 +#define XCHAL_PS_OWB_SHIFT 8 +#define XCHAL_PS_OWB_MASK 0x00000F00 +#define XCHAL_PS_CALLINC_BITS 2 +#define XCHAL_PS_CALLINC_NUM 4 +#define XCHAL_PS_CALLINC_SHIFT 16 +#define XCHAL_PS_CALLINC_MASK 0x00030000 +#define XCHAL_PS_WOE_BITS 1 +#define XCHAL_PS_WOE_NUM 2 +#define XCHAL_PS_WOE_SHIFT 18 +#define XCHAL_PS_WOE_MASK 0x00040000 +/* EXCCAUSE (special register number 232): */ +#define XCHAL_EXCCAUSE_VALIDMASK 0x0000003F +#define XCHAL_EXCCAUSE_BITS 6 +#define XCHAL_EXCCAUSE_NUM 64 +#define XCHAL_EXCCAUSE_SHIFT 0 +#define XCHAL_EXCCAUSE_MASK 0x0000003F +/* DEBUGCAUSE (special register number 233): */ +#define XCHAL_DEBUGCAUSE_VALIDMASK 0x0000003F +#define XCHAL_DEBUGCAUSE_ICOUNT_BITS 1 +#define XCHAL_DEBUGCAUSE_ICOUNT_NUM 2 +#define XCHAL_DEBUGCAUSE_ICOUNT_SHIFT 0 +#define XCHAL_DEBUGCAUSE_ICOUNT_MASK 0x00000001 +#define XCHAL_DEBUGCAUSE_IBREAK_BITS 1 +#define XCHAL_DEBUGCAUSE_IBREAK_NUM 2 +#define XCHAL_DEBUGCAUSE_IBREAK_SHIFT 1 +#define XCHAL_DEBUGCAUSE_IBREAK_MASK 0x00000002 +#define XCHAL_DEBUGCAUSE_DBREAK_BITS 1 +#define XCHAL_DEBUGCAUSE_DBREAK_NUM 2 +#define XCHAL_DEBUGCAUSE_DBREAK_SHIFT 2 +#define XCHAL_DEBUGCAUSE_DBREAK_MASK 0x00000004 +#define XCHAL_DEBUGCAUSE_BREAK_BITS 1 +#define XCHAL_DEBUGCAUSE_BREAK_NUM 2 +#define XCHAL_DEBUGCAUSE_BREAK_SHIFT 3 +#define XCHAL_DEBUGCAUSE_BREAK_MASK 0x00000008 +#define XCHAL_DEBUGCAUSE_BREAKN_BITS 1 +#define XCHAL_DEBUGCAUSE_BREAKN_NUM 2 +#define XCHAL_DEBUGCAUSE_BREAKN_SHIFT 4 +#define XCHAL_DEBUGCAUSE_BREAKN_MASK 0x00000010 +#define XCHAL_DEBUGCAUSE_DEBUGINT_BITS 1 +#define XCHAL_DEBUGCAUSE_DEBUGINT_NUM 2 +#define XCHAL_DEBUGCAUSE_DEBUGINT_SHIFT 5 +#define XCHAL_DEBUGCAUSE_DEBUGINT_MASK 0x00000020 + + + + +/*---------------------------------------------------------------------- + TIMERS + ----------------------------------------------------------------------*/ + +/*#define XCHAL_HAVE_TIMERS XCHAL_HAVE_CCOUNT*/ + + + +/*---------------------------------------------------------------------- + INTERNAL I/D RAM/ROMs and XLMI + ----------------------------------------------------------------------*/ + +#define XCHAL_NUM_IROM XCHAL_NUM_INSTROM /* (DEPRECATED) */ +#define XCHAL_NUM_IRAM XCHAL_NUM_INSTRAM /* (DEPRECATED) */ +#define XCHAL_NUM_DROM XCHAL_NUM_DATAROM /* (DEPRECATED) */ +#define XCHAL_NUM_DRAM XCHAL_NUM_DATARAM /* (DEPRECATED) */ + +#define XCHAL_IROM0_VADDR XCHAL_INSTROM0_VADDR /* (DEPRECATED) */ +#define XCHAL_IROM0_PADDR XCHAL_INSTROM0_PADDR /* (DEPRECATED) */ +#define XCHAL_IROM0_SIZE XCHAL_INSTROM0_SIZE /* (DEPRECATED) */ +#define XCHAL_IROM1_VADDR XCHAL_INSTROM1_VADDR /* (DEPRECATED) */ +#define XCHAL_IROM1_PADDR XCHAL_INSTROM1_PADDR /* (DEPRECATED) */ +#define XCHAL_IROM1_SIZE XCHAL_INSTROM1_SIZE /* (DEPRECATED) */ +#define XCHAL_IRAM0_VADDR XCHAL_INSTRAM0_VADDR /* (DEPRECATED) */ +#define XCHAL_IRAM0_PADDR XCHAL_INSTRAM0_PADDR /* (DEPRECATED) */ +#define XCHAL_IRAM0_SIZE XCHAL_INSTRAM0_SIZE /* (DEPRECATED) */ +#define XCHAL_IRAM1_VADDR XCHAL_INSTRAM1_VADDR /* (DEPRECATED) */ +#define XCHAL_IRAM1_PADDR XCHAL_INSTRAM1_PADDR /* (DEPRECATED) */ +#define XCHAL_IRAM1_SIZE XCHAL_INSTRAM1_SIZE /* (DEPRECATED) */ +#define XCHAL_DROM0_VADDR XCHAL_DATAROM0_VADDR /* (DEPRECATED) */ +#define XCHAL_DROM0_PADDR XCHAL_DATAROM0_PADDR /* (DEPRECATED) */ +#define XCHAL_DROM0_SIZE XCHAL_DATAROM0_SIZE /* (DEPRECATED) */ +#define XCHAL_DROM1_VADDR XCHAL_DATAROM1_VADDR /* (DEPRECATED) */ +#define XCHAL_DROM1_PADDR XCHAL_DATAROM1_PADDR /* (DEPRECATED) */ +#define XCHAL_DROM1_SIZE XCHAL_DATAROM1_SIZE /* (DEPRECATED) */ +#define XCHAL_DRAM0_VADDR XCHAL_DATARAM0_VADDR /* (DEPRECATED) */ +#define XCHAL_DRAM0_PADDR XCHAL_DATARAM0_PADDR /* (DEPRECATED) */ +#define XCHAL_DRAM0_SIZE XCHAL_DATARAM0_SIZE /* (DEPRECATED) */ +#define XCHAL_DRAM1_VADDR XCHAL_DATARAM1_VADDR /* (DEPRECATED) */ +#define XCHAL_DRAM1_PADDR XCHAL_DATARAM1_PADDR /* (DEPRECATED) */ +#define XCHAL_DRAM1_SIZE XCHAL_DATARAM1_SIZE /* (DEPRECATED) */ + + + +/*---------------------------------------------------------------------- + CACHE + ----------------------------------------------------------------------*/ + + +/* Max for both I-cache and D-cache (used for general alignment): */ +#if XCHAL_ICACHE_LINESIZE > XCHAL_DCACHE_LINESIZE +# define XCHAL_CACHE_LINEWIDTH_MAX XCHAL_ICACHE_LINEWIDTH +# define XCHAL_CACHE_LINESIZE_MAX XCHAL_ICACHE_LINESIZE +#else +# define XCHAL_CACHE_LINEWIDTH_MAX XCHAL_DCACHE_LINEWIDTH +# define XCHAL_CACHE_LINESIZE_MAX XCHAL_DCACHE_LINESIZE +#endif + +#define XCHAL_ICACHE_SETSIZE (1< XCHAL_DCACHE_SETWIDTH +# define XCHAL_CACHE_SETWIDTH_MAX XCHAL_ICACHE_SETWIDTH +# define XCHAL_CACHE_SETSIZE_MAX XCHAL_ICACHE_SETSIZE +#else +# define XCHAL_CACHE_SETWIDTH_MAX XCHAL_DCACHE_SETWIDTH +# define XCHAL_CACHE_SETSIZE_MAX XCHAL_DCACHE_SETSIZE +#endif + +/* Instruction cache tag bits: */ +#define XCHAL_ICACHE_TAG_V_SHIFT 0 +#define XCHAL_ICACHE_TAG_V 0x1 /* valid bit */ +#if XCHAL_ICACHE_WAYS > 1 +# define XCHAL_ICACHE_TAG_F_SHIFT 1 +# define XCHAL_ICACHE_TAG_F 0x2 /* fill (LRU) bit */ +#else +# define XCHAL_ICACHE_TAG_F_SHIFT 0 +# define XCHAL_ICACHE_TAG_F 0 /* no fill (LRU) bit */ +#endif +#if XCHAL_ICACHE_LINE_LOCKABLE +# define XCHAL_ICACHE_TAG_L_SHIFT (XCHAL_ICACHE_TAG_F_SHIFT+1) +# define XCHAL_ICACHE_TAG_L (1 << XCHAL_ICACHE_TAG_L_SHIFT) /* lock bit */ +#else +# define XCHAL_ICACHE_TAG_L_SHIFT XCHAL_ICACHE_TAG_F_SHIFT +# define XCHAL_ICACHE_TAG_L 0 /* no lock bit */ +#endif +/* Data cache tag bits: */ +#define XCHAL_DCACHE_TAG_V_SHIFT 0 +#define XCHAL_DCACHE_TAG_V 0x1 /* valid bit */ +#if XCHAL_DCACHE_WAYS > 1 +# define XCHAL_DCACHE_TAG_F_SHIFT 1 +# define XCHAL_DCACHE_TAG_F 0x2 /* fill (LRU) bit */ +#else +# define XCHAL_DCACHE_TAG_F_SHIFT 0 +# define XCHAL_DCACHE_TAG_F 0 /* no fill (LRU) bit */ +#endif +#if XCHAL_DCACHE_IS_WRITEBACK +# define XCHAL_DCACHE_TAG_D_SHIFT (XCHAL_DCACHE_TAG_F_SHIFT+1) +# define XCHAL_DCACHE_TAG_D (1 << XCHAL_DCACHE_TAG_D_SHIFT) /* dirty bit */ +#else +# define XCHAL_DCACHE_TAG_D_SHIFT XCHAL_DCACHE_TAG_F_SHIFT +# define XCHAL_DCACHE_TAG_D 0 /* no dirty bit */ +#endif +#if XCHAL_DCACHE_LINE_LOCKABLE +# define XCHAL_DCACHE_TAG_L_SHIFT (XCHAL_DCACHE_TAG_D_SHIFT+1) +# define XCHAL_DCACHE_TAG_L (1 << XCHAL_DCACHE_TAG_D_SHIFT) /* lock bit */ +#else +# define XCHAL_DCACHE_TAG_L_SHIFT XCHAL_DCACHE_TAG_D_SHIFT +# define XCHAL_DCACHE_TAG_L 0 /* no lock bit */ +#endif + + +/*---------------------------------------------------------------------- + MMU + ----------------------------------------------------------------------*/ + +/* See for more details. */ + +#define XCHAL_HAVE_MMU XCHAL_HAVE_TLBS /* (DEPRECATED; use XCHAL_HAVE_TLBS instead; will be removed in future release) */ + +/* Indexing macros: */ +#define _XCHAL_ITLB_SET(n,_what) XCHAL_ITLB_SET ## n ## _what +#define XCHAL_ITLB_SET(n,what) _XCHAL_ITLB_SET(n, _ ## what ) +#define _XCHAL_ITLB_SET_E(n,i,_what) XCHAL_ITLB_SET ## n ## _E ## i ## _what +#define XCHAL_ITLB_SET_E(n,i,what) _XCHAL_ITLB_SET_E(n,i, _ ## what ) +#define _XCHAL_DTLB_SET(n,_what) XCHAL_DTLB_SET ## n ## _what +#define XCHAL_DTLB_SET(n,what) _XCHAL_DTLB_SET(n, _ ## what ) +#define _XCHAL_DTLB_SET_E(n,i,_what) XCHAL_DTLB_SET ## n ## _E ## i ## _what +#define XCHAL_DTLB_SET_E(n,i,what) _XCHAL_DTLB_SET_E(n,i, _ ## what ) +/* + * Example use: XCHAL_ITLB_SET(XCHAL_ITLB_ARF_SET0,ENTRIES) + * to get the value of XCHAL_ITLB_SET_ENTRIES where is the first auto-refill set. + */ + +/* Number of entries per autorefill way: */ +#define XCHAL_ITLB_ARF_ENTRIES (1< 0 && XCHAL_DTLB_ARF_WAYS > 0 && XCHAL_MMU_RINGS >= 2 +# define XCHAL_HAVE_PTP_MMU 1 /* have full MMU (with page table [autorefill] and protection) */ +#else +# define XCHAL_HAVE_PTP_MMU 0 /* don't have full MMU */ +#endif +#endif + +/* + * For full MMUs, report kernel RAM segment and kernel I/O segment static page mappings: + */ +#if XCHAL_HAVE_PTP_MMU +#define XCHAL_KSEG_CACHED_VADDR 0xD0000000 /* virt.addr of kernel RAM cached static map */ +#define XCHAL_KSEG_CACHED_PADDR 0x00000000 /* phys.addr of kseg_cached */ +#define XCHAL_KSEG_CACHED_SIZE 0x08000000 /* size in bytes of kseg_cached (assumed power of 2!!!) */ +#define XCHAL_KSEG_BYPASS_VADDR 0xD8000000 /* virt.addr of kernel RAM bypass (uncached) static map */ +#define XCHAL_KSEG_BYPASS_PADDR 0x00000000 /* phys.addr of kseg_bypass */ +#define XCHAL_KSEG_BYPASS_SIZE 0x08000000 /* size in bytes of kseg_bypass (assumed power of 2!!!) */ + +#define XCHAL_KIO_CACHED_VADDR 0xE0000000 /* virt.addr of kernel I/O cached static map */ +#define XCHAL_KIO_CACHED_PADDR 0xF0000000 /* phys.addr of kio_cached */ +#define XCHAL_KIO_CACHED_SIZE 0x10000000 /* size in bytes of kio_cached (assumed power of 2!!!) */ +#define XCHAL_KIO_BYPASS_VADDR 0xF0000000 /* virt.addr of kernel I/O bypass (uncached) static map */ +#define XCHAL_KIO_BYPASS_PADDR 0xF0000000 /* phys.addr of kio_bypass */ +#define XCHAL_KIO_BYPASS_SIZE 0x10000000 /* size in bytes of kio_bypass (assumed power of 2!!!) */ + +#define XCHAL_SEG_MAPPABLE_VADDR 0x00000000 /* start of largest non-static-mapped virtual addr area */ +#define XCHAL_SEG_MAPPABLE_SIZE 0xD0000000 /* size in bytes of " */ +/* define XCHAL_SEG_MAPPABLE2_xxx if more areas present, sorted in order of descending size. */ +#endif + + +/*---------------------------------------------------------------------- + MISC + ----------------------------------------------------------------------*/ + +/* Data alignment required if used for instructions: */ +#if XCHAL_INST_FETCH_WIDTH > XCHAL_DATA_WIDTH +# define XCHAL_ALIGN_MAX XCHAL_INST_FETCH_WIDTH +#else +# define XCHAL_ALIGN_MAX XCHAL_DATA_WIDTH +#endif + +/* + * Names kept for backward compatibility. + * (Here "RELEASE" is now a misnomer; these are product *versions*, not the releases + * under which they are released. In the T10##.# era there was no distinction.) + */ +#define XCHAL_HW_RELEASE_MAJOR XCHAL_HW_VERSION_MAJOR +#define XCHAL_HW_RELEASE_MINOR XCHAL_HW_VERSION_MINOR +#define XCHAL_HW_RELEASE_NAME XCHAL_HW_VERSION_NAME + + + + +/*---------------------------------------------------------------------- + COPROCESSORS and EXTRA STATE + ----------------------------------------------------------------------*/ + +#define XCHAL_EXTRA_SA_SIZE XCHAL_NCP_SA_SIZE +#define XCHAL_EXTRA_SA_ALIGN XCHAL_NCP_SA_ALIGN +#define XCHAL_CPEXTRA_SA_SIZE XCHAL_TOTAL_SA_SIZE +#define XCHAL_CPEXTRA_SA_ALIGN XCHAL_TOTAL_SA_ALIGN + +#if defined (_ASMLANGUAGE) || defined (__ASSEMBLER__) + +#define xchal_extratie_load xchal_ncptie_load +#define xchal_extratie_store xchal_ncptie_store +#define xchal_extratie_load_a2 xchal_ncptie_load_a2 +#define xchal_extratie_store_a2 xchal_ncptie_store_a2 +#define xchal_extra_load xchal_ncp_load +#define xchal_extra_store xchal_ncp_store +#define xchal_extra_load_a2 xchal_ncp_load_a2 +#define xchal_extra_store_a2 xchal_ncp_store_a2 +#define xchal_extra_load_funcbody xchal_ncp_load_a2 +#define xchal_extra_store_funcbody xchal_ncp_store_a2 + +/* Empty placeholder macros for undefined coprocessors: */ +# ifndef XCHAL_CP0_NAME +# define xchal_cp0_store_a2 xchal_cp0_store a2, a3 +# define xchal_cp0_load_a2 xchal_cp0_load a2, a3 + .macro xchal_cp0_store ptr tmp + .endm + .macro xchal_cp0_load ptr tmp + .endm +# endif +# ifndef XCHAL_CP1_NAME +# define xchal_cp1_store_a2 xchal_cp1_store a2, a3 +# define xchal_cp1_load_a2 xchal_cp1_load a2, a3 + .macro xchal_cp1_store ptr tmp + .endm + .macro xchal_cp1_load ptr tmp + .endm +# endif +# ifndef XCHAL_CP2_NAME +# define xchal_cp2_store_a2 xchal_cp2_store a2, a3 +# define xchal_cp2_load_a2 xchal_cp2_load a2, a3 + .macro xchal_cp2_store ptr tmp + .endm + .macro xchal_cp2_load ptr tmp + .endm +# endif +# ifndef XCHAL_CP3_NAME +# define xchal_cp3_store_a2 xchal_cp3_store a2, a3 +# define xchal_cp3_load_a2 xchal_cp3_load a2, a3 + .macro xchal_cp3_store ptr tmp + .endm + .macro xchal_cp3_load ptr tmp + .endm +# endif +# ifndef XCHAL_CP4_NAME +# define xchal_cp4_store_a2 xchal_cp4_store a2, a3 +# define xchal_cp4_load_a2 xchal_cp4_load a2, a3 + .macro xchal_cp4_store ptr tmp + .endm + .macro xchal_cp4_load ptr tmp + .endm +# endif +# ifndef XCHAL_CP5_NAME +# define xchal_cp5_store_a2 xchal_cp5_store a2, a3 +# define xchal_cp5_load_a2 xchal_cp5_load a2, a3 + .macro xchal_cp5_store ptr tmp + .endm + .macro xchal_cp5_load ptr tmp + .endm +# endif +# ifndef XCHAL_CP6_NAME +# define xchal_cp6_store_a2 xchal_cp6_store a2, a3 +# define xchal_cp6_load_a2 xchal_cp6_load a2, a3 + .macro xchal_cp6_store ptr tmp + .endm + .macro xchal_cp6_load ptr tmp + .endm +# endif +# ifndef XCHAL_CP7_NAME +# define xchal_cp7_store_a2 xchal_cp7_store a2, a3 +# define xchal_cp7_load_a2 xchal_cp7_load a2, a3 + .macro xchal_cp7_store ptr tmp + .endm + .macro xchal_cp7_load ptr tmp + .endm +# endif + + /******************** + * Macros to create functions that save and restore the state of *any* TIE + * coprocessor (by dynamic index). + */ + + /* + * Macro that expands to the body of a function + * that stores the selected coprocessor's state (registers etc). + * Entry: a2 = ptr to save area in which to save cp state + * a3 = coprocessor number + * Exit: any register a2-a15 (?) may have been clobbered. + */ + .macro xchal_cpi_store_funcbody +# ifdef XCHAL_CP0_NAME + bnez a3, 99f + xchal_cp0_store_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP1_NAME + bnei a3, 1, 99f + xchal_cp1_store_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP2_NAME + bnei a3, 2, 99f + xchal_cp2_store_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP3_NAME + bnei a3, 3, 99f + xchal_cp3_store_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP4_NAME + bnei a3, 4, 99f + xchal_cp4_store_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP5_NAME + bnei a3, 5, 99f + xchal_cp5_store_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP6_NAME + bnei a3, 6, 99f + xchal_cp6_store_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP7_NAME + bnei a3, 7, 99f + xchal_cp7_store_a2 + j 90f +99: +# endif +90: + .endm + + /* + * Macro that expands to the body of a function + * that loads the selected coprocessor's state (registers etc). + * Entry: a2 = ptr to save area from which to restore cp state + * a3 = coprocessor number + * Exit: any register a2-a15 (?) may have been clobbered. + */ + .macro xchal_cpi_load_funcbody +# ifdef XCHAL_CP0_NAME + bnez a3, 99f + xchal_cp0_load_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP1_NAME + bnei a3, 1, 99f + xchal_cp1_load_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP2_NAME + bnei a3, 2, 99f + xchal_cp2_load_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP3_NAME + bnei a3, 3, 99f + xchal_cp3_load_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP4_NAME + bnei a3, 4, 99f + xchal_cp4_load_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP5_NAME + bnei a3, 5, 99f + xchal_cp5_load_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP6_NAME + bnei a3, 6, 99f + xchal_cp6_load_a2 + j 90f +99: +# endif +# ifdef XCHAL_CP7_NAME + bnei a3, 7, 99f + xchal_cp7_load_a2 + j 90f +99: +# endif +90: + .endm + +#endif /*_ASMLANGUAGE or __ASSEMBLER__*/ + + +/* Other default macros for undefined coprocessors: */ +#ifndef XCHAL_CP0_NAME +# define XCHAL_CP0_SA_SIZE 0 +# define XCHAL_CP0_SA_ALIGN 1 +# define XCHAL_CP0_NAME 0 +# define XCHAL_CP0_SA_CONTENTS_LIBDB_NUM 0 +# define XCHAL_CP0_SA_CONTENTS_LIBDB /* empty */ +#endif +#ifndef XCHAL_CP1_NAME +# define XCHAL_CP1_SA_SIZE 0 +# define XCHAL_CP1_SA_ALIGN 1 +# define XCHAL_CP1_NAME 0 +# define XCHAL_CP1_SA_CONTENTS_LIBDB_NUM 0 +# define XCHAL_CP1_SA_CONTENTS_LIBDB /* empty */ +#endif +#ifndef XCHAL_CP2_NAME +# define XCHAL_CP2_SA_SIZE 0 +# define XCHAL_CP2_SA_ALIGN 1 +# define XCHAL_CP2_NAME 0 +# define XCHAL_CP2_SA_CONTENTS_LIBDB_NUM 0 +# define XCHAL_CP2_SA_CONTENTS_LIBDB /* empty */ +#endif +#ifndef XCHAL_CP3_NAME +# define XCHAL_CP3_SA_SIZE 0 +# define XCHAL_CP3_SA_ALIGN 1 +# define XCHAL_CP3_NAME 0 +# define XCHAL_CP3_SA_CONTENTS_LIBDB_NUM 0 +# define XCHAL_CP3_SA_CONTENTS_LIBDB /* empty */ +#endif +#ifndef XCHAL_CP4_NAME +# define XCHAL_CP4_SA_SIZE 0 +# define XCHAL_CP4_SA_ALIGN 1 +# define XCHAL_CP4_NAME 0 +# define XCHAL_CP4_SA_CONTENTS_LIBDB_NUM 0 +# define XCHAL_CP4_SA_CONTENTS_LIBDB /* empty */ +#endif +#ifndef XCHAL_CP5_NAME +# define XCHAL_CP5_SA_SIZE 0 +# define XCHAL_CP5_SA_ALIGN 1 +# define XCHAL_CP5_NAME 0 +# define XCHAL_CP5_SA_CONTENTS_LIBDB_NUM 0 +# define XCHAL_CP5_SA_CONTENTS_LIBDB /* empty */ +#endif +#ifndef XCHAL_CP6_NAME +# define XCHAL_CP6_SA_SIZE 0 +# define XCHAL_CP6_SA_ALIGN 1 +# define XCHAL_CP6_NAME 0 +# define XCHAL_CP6_SA_CONTENTS_LIBDB_NUM 0 +# define XCHAL_CP6_SA_CONTENTS_LIBDB /* empty */ +#endif +#ifndef XCHAL_CP7_NAME +# define XCHAL_CP7_SA_SIZE 0 +# define XCHAL_CP7_SA_ALIGN 1 +# define XCHAL_CP7_NAME 0 +# define XCHAL_CP7_SA_CONTENTS_LIBDB_NUM 0 +# define XCHAL_CP7_SA_CONTENTS_LIBDB /* empty */ +#endif + +/* Indexing macros: */ +#define _XCHAL_CP_SA_SIZE(n) XCHAL_CP ## n ## _SA_SIZE +#define XCHAL_CP_SA_SIZE(n) _XCHAL_CP_SA_SIZE(n) /* n = 0 .. 7 */ +#define _XCHAL_CP_SA_ALIGN(n) XCHAL_CP ## n ## _SA_ALIGN +#define XCHAL_CP_SA_ALIGN(n) _XCHAL_CP_SA_ALIGN(n) /* n = 0 .. 7 */ + +#define XCHAL_CPEXTRA_SA_SIZE_TOR2 XCHAL_CPEXTRA_SA_SIZE /* Tor2Beta only - do not use */ + +/* Link-time HAL global variables that report coprocessor numbers by name + (names are case-preserved from the original TIE): */ +#if !defined(_ASMLANGUAGE) && !defined(_NOCLANGUAGE) && !defined(__ASSEMBLER__) +# define _XCJOIN(a,b) a ## b +# define XCJOIN(a,b) _XCJOIN(a,b) +# ifdef XCHAL_CP0_NAME +extern const unsigned char XCJOIN(Xthal_cp_id_,XCHAL_CP0_IDENT); +extern const unsigned int XCJOIN(Xthal_cp_mask_,XCHAL_CP0_IDENT); +# endif +# ifdef XCHAL_CP1_NAME +extern const unsigned char XCJOIN(Xthal_cp_id_,XCHAL_CP1_IDENT); +extern const unsigned int XCJOIN(Xthal_cp_mask_,XCHAL_CP1_IDENT); +# endif +# ifdef XCHAL_CP2_NAME +extern const unsigned char XCJOIN(Xthal_cp_id_,XCHAL_CP2_IDENT); +extern const unsigned int XCJOIN(Xthal_cp_mask_,XCHAL_CP2_IDENT); +# endif +# ifdef XCHAL_CP3_NAME +extern const unsigned char XCJOIN(Xthal_cp_id_,XCHAL_CP3_IDENT); +extern const unsigned int XCJOIN(Xthal_cp_mask_,XCHAL_CP3_IDENT); +# endif +# ifdef XCHAL_CP4_NAME +extern const unsigned char XCJOIN(Xthal_cp_id_,XCHAL_CP4_IDENT); +extern const unsigned int XCJOIN(Xthal_cp_mask_,XCHAL_CP4_IDENT); +# endif +# ifdef XCHAL_CP5_NAME +extern const unsigned char XCJOIN(Xthal_cp_id_,XCHAL_CP5_IDENT); +extern const unsigned int XCJOIN(Xthal_cp_mask_,XCHAL_CP5_IDENT); +# endif +# ifdef XCHAL_CP6_NAME +extern const unsigned char XCJOIN(Xthal_cp_id_,XCHAL_CP6_IDENT); +extern const unsigned int XCJOIN(Xthal_cp_mask_,XCHAL_CP6_IDENT); +# endif +# ifdef XCHAL_CP7_NAME +extern const unsigned char XCJOIN(Xthal_cp_id_,XCHAL_CP7_IDENT); +extern const unsigned int XCJOIN(Xthal_cp_mask_,XCHAL_CP7_IDENT); +# endif +#endif + + + + +/*---------------------------------------------------------------------- + DERIVED + ----------------------------------------------------------------------*/ + +#if XCHAL_HAVE_BE +#define XCHAL_INST_ILLN 0xD60F /* 2-byte illegal instruction, msb-first */ +#define XCHAL_INST_ILLN_BYTE0 0xD6 /* 2-byte illegal instruction, 1st byte */ +#define XCHAL_INST_ILLN_BYTE1 0x0F /* 2-byte illegal instruction, 2nd byte */ +#else +#define XCHAL_INST_ILLN 0xF06D /* 2-byte illegal instruction, lsb-first */ +#define XCHAL_INST_ILLN_BYTE0 0x6D /* 2-byte illegal instruction, 1st byte */ +#define XCHAL_INST_ILLN_BYTE1 0xF0 /* 2-byte illegal instruction, 2nd byte */ +#endif +/* Belongs in xtensa/hal.h: */ +#define XTHAL_INST_ILL 0x000000 /* 3-byte illegal instruction */ + + +/* + * Because information as to exactly which hardware version is targeted + * by a given software build is not always available, compile-time HAL + * Hardware-Release "_AT" macros are fuzzy (return 0, 1, or XCHAL_MAYBE): + * (Here "RELEASE" is now a misnomer; these are product *versions*, not the releases + * under which they are released. In the T10##.# era there was no distinction.) + */ +#if XCHAL_HW_CONFIGID_RELIABLE +# define XCHAL_HW_RELEASE_AT_OR_BELOW(major,minor) (XTHAL_REL_LE( XCHAL_HW_VERSION_MAJOR,XCHAL_HW_VERSION_MINOR, major,minor ) ? 1 : 0) +# define XCHAL_HW_RELEASE_AT_OR_ABOVE(major,minor) (XTHAL_REL_GE( XCHAL_HW_VERSION_MAJOR,XCHAL_HW_VERSION_MINOR, major,minor ) ? 1 : 0) +# define XCHAL_HW_RELEASE_AT(major,minor) (XTHAL_REL_EQ( XCHAL_HW_VERSION_MAJOR,XCHAL_HW_VERSION_MINOR, major,minor ) ? 1 : 0) +# define XCHAL_HW_RELEASE_MAJOR_AT(major) ((XCHAL_HW_VERSION_MAJOR == (major)) ? 1 : 0) +#else +# define XCHAL_HW_RELEASE_AT_OR_BELOW(major,minor) ( ((major) < 1040 && XCHAL_HAVE_XEA2) ? 0 \ + : ((major) > 1050 && XCHAL_HAVE_XEA1) ? 1 \ + : XTHAL_MAYBE ) +# define XCHAL_HW_RELEASE_AT_OR_ABOVE(major,minor) ( ((major) >= 2000 && XCHAL_HAVE_XEA1) ? 0 \ + : (XTHAL_REL_LE(major,minor, 1040,0) && XCHAL_HAVE_XEA2) ? 1 \ + : XTHAL_MAYBE ) +# define XCHAL_HW_RELEASE_AT(major,minor) ( (((major) < 1040 && XCHAL_HAVE_XEA2) || \ + ((major) >= 2000 && XCHAL_HAVE_XEA1)) ? 0 : XTHAL_MAYBE) +# define XCHAL_HW_RELEASE_MAJOR_AT(major) XCHAL_HW_RELEASE_AT(major,0) +#endif + +/* + * Specific errata: + */ + +/* + * Erratum T1020.H13, T1030.H7, T1040.H10, T1050.H4 (fixed in T1040.3 and T1050.1; + * relevant only in XEA1, kernel-vector mode, level-one interrupts and overflows enabled): + */ +#define XCHAL_MAYHAVE_ERRATUM_XEA1KWIN (XCHAL_HAVE_XEA1 && \ + (XCHAL_HW_RELEASE_AT_OR_BELOW(1040,2) != 0 \ + || XCHAL_HW_RELEASE_AT(1050,0))) + + + +#endif /*XTENSA_CONFIG_CORE_H*/ diff --git a/toolchain/inst/xtensa-elf/include/xtensa/corebits.h b/toolchain/inst/xtensa-elf/include/xtensa/corebits.h new file mode 100644 index 0000000..9fbb994 --- /dev/null +++ b/toolchain/inst/xtensa-elf/include/xtensa/corebits.h @@ -0,0 +1,149 @@ +/* + * xtensa/corebits.h - Xtensa Special Register field positions, masks, values. + * + * (In previous releases, these were defined in specreg.h, a generated file. + * This file is not generated, ie. it is processor configuration independent.) + */ + +/* $Id: //depot/rel/BadgerPass/Xtensa/OS/include/xtensa/corebits.h#3 $ */ + +/* + * Copyright (c) 2005-2007 by Tensilica Inc. ALL RIGHTS RESERVED. + * These coded instructions, statements, and computer programs are the + * copyrighted works and confidential proprietary information of Tensilica Inc. + * They may not be modified, copied, reproduced, distributed, or disclosed to + * third parties in any manner, medium, or form, in whole or in part, without + * the prior written consent of Tensilica Inc. + */ + +#ifndef XTENSA_COREBITS_H +#define XTENSA_COREBITS_H + +/* EXCCAUSE register fields: */ +#define EXCCAUSE_EXCCAUSE_SHIFT 0 +#define EXCCAUSE_EXCCAUSE_MASK 0x3F +/* EXCCAUSE register values: */ +/* + * General Exception Causes + * (values of EXCCAUSE special register set by general exceptions, + * which vector to the user, kernel, or double-exception vectors). + */ +#define EXCCAUSE_ILLEGAL 0 /* Illegal Instruction */ +#define EXCCAUSE_SYSCALL 1 /* System Call (SYSCALL instruction) */ +#define EXCCAUSE_INSTR_ERROR 2 /* Instruction Fetch Error */ +# define EXCCAUSE_IFETCHERROR 2 /* (backward compatibility macro, deprecated, avoid) */ +#define EXCCAUSE_LOAD_STORE_ERROR 3 /* Load Store Error */ +# define EXCCAUSE_LOADSTOREERROR 3 /* (backward compatibility macro, deprecated, avoid) */ +#define EXCCAUSE_LEVEL1_INTERRUPT 4 /* Level 1 Interrupt */ +# define EXCCAUSE_LEVEL1INTERRUPT 4 /* (backward compatibility macro, deprecated, avoid) */ +#define EXCCAUSE_ALLOCA 5 /* Stack Extension Assist (MOVSP instruction) for alloca */ +#define EXCCAUSE_DIVIDE_BY_ZERO 6 /* Integer Divide by Zero */ +#define EXCCAUSE_SPECULATION 7 /* Use of Failed Speculative Access (not implemented) */ +#define EXCCAUSE_PRIVILEGED 8 /* Privileged Instruction */ +#define EXCCAUSE_UNALIGNED 9 /* Unaligned Load or Store */ +/* Reserved 10..11 */ +#define EXCCAUSE_INSTR_DATA_ERROR 12 /* PIF Data Error on Instruction Fetch (RB-200x and later) */ +#define EXCCAUSE_LOAD_STORE_DATA_ERROR 13 /* PIF Data Error on Load or Store (RB-200x and later) */ +#define EXCCAUSE_INSTR_ADDR_ERROR 14 /* PIF Address Error on Instruction Fetch (RB-200x and later) */ +#define EXCCAUSE_LOAD_STORE_ADDR_ERROR 15 /* PIF Address Error on Load or Store (RB-200x and later) */ +#define EXCCAUSE_ITLB_MISS 16 /* ITLB Miss (no ITLB entry matches, hw refill also missed) */ +#define EXCCAUSE_ITLB_MULTIHIT 17 /* ITLB Multihit (multiple ITLB entries match) */ +#define EXCCAUSE_INSTR_RING 18 /* Ring Privilege Violation on Instruction Fetch */ +/* Reserved 19 */ /* Size Restriction on IFetch (not implemented) */ +#define EXCCAUSE_INSTR_PROHIBITED 20 /* Cache Attribute does not allow Instruction Fetch */ +/* Reserved 21..23 */ +#define EXCCAUSE_DTLB_MISS 24 /* DTLB Miss (no DTLB entry matches, hw refill also missed) */ +#define EXCCAUSE_DTLB_MULTIHIT 25 /* DTLB Multihit (multiple DTLB entries match) */ +#define EXCCAUSE_LOAD_STORE_RING 26 /* Ring Privilege Violation on Load or Store */ +/* Reserved 27 */ /* Size Restriction on Load/Store (not implemented) */ +#define EXCCAUSE_LOAD_PROHIBITED 28 /* Cache Attribute does not allow Load */ +#define EXCCAUSE_STORE_PROHIBITED 29 /* Cache Attribute does not allow Store */ +/* Reserved 30..31 */ +#define EXCCAUSE_CP_DISABLED(n) (32+(n)) /* Access to Coprocessor 'n' when disabled */ +#define EXCCAUSE_CP0_DISABLED 32 /* Access to Coprocessor 0 when disabled */ +#define EXCCAUSE_CP1_DISABLED 33 /* Access to Coprocessor 1 when disabled */ +#define EXCCAUSE_CP2_DISABLED 34 /* Access to Coprocessor 2 when disabled */ +#define EXCCAUSE_CP3_DISABLED 35 /* Access to Coprocessor 3 when disabled */ +#define EXCCAUSE_CP4_DISABLED 36 /* Access to Coprocessor 4 when disabled */ +#define EXCCAUSE_CP5_DISABLED 37 /* Access to Coprocessor 5 when disabled */ +#define EXCCAUSE_CP6_DISABLED 38 /* Access to Coprocessor 6 when disabled */ +#define EXCCAUSE_CP7_DISABLED 39 /* Access to Coprocessor 7 when disabled */ +/*#define EXCCAUSE_FLOATING_POINT 40*/ /* Floating Point Exception (not implemented) */ +/* Reserved 40..63 */ + +/* PS register fields: */ +#define PS_WOE_SHIFT 18 +#define PS_WOE_MASK 0x00040000 +#define PS_WOE PS_WOE_MASK +#define PS_CALLINC_SHIFT 16 +#define PS_CALLINC_MASK 0x00030000 +#define PS_CALLINC(n) (((n)&3)<4) 0 2 or >3 (TBD) + * T1030.0 0 1 (HAL beta) + * T1030.{1,2} 0 3 Equivalent to first release. + * T1030.n (n>=3) 0 >= 3 (TBD) + * T1040.n 1040 n Full CHAL available from T1040.2 + * T1050.n 1050 n . + * 6.0.n 6000 n Xtensa Tools v6 (RA-200x.n) + * 7.0.n 7000 n Xtensa Tools v7 (RB-200x.n) + * 7.1.n 7010 n Xtensa Tools v7.1 (RB-200x.(n+2)) + * + * + * Note: there is a distinction between the software version with + * which something is compiled (accessible using XTHAL_RELEASE_* macros) + * and the software version with which the HAL library was compiled + * (accessible using Xthal_release_* global variables). This + * distinction is particularly relevant for vendors that distribute + * configuration-independent binaries (eg. an OS), where their customer + * might link it with a HAL of a different Xtensa software version. + * In this case, it may be appropriate for the OS to verify at run-time + * whether XTHAL_RELEASE_* and Xthal_release_* are compatible. + * [Guidelines as to which version is compatible with which are not + * currently provided explicitly, but might be inferred from reading + * OSKit documentation for all releases -- compatibility is also highly + * dependent on which HAL features are used. Each version is usually + * backward compatible, with very few exceptions if any.] + * + * Notes: + * Tornado 2.0 supported in T1020.3+, T1030.1+, and T1040.{0,1} only. + * Tornado 2.0.2 supported in T1040.2+, T1050, and 6.0. + * Compile-time HAL port of NucleusPlus supported by T1040.2 and later. + */ + +/* Version comparison operators (among major/minor pairs): */ +#define XTHAL_REL_GE(maja,mina, majb,minb) ((maja) > (majb) || \ + ((maja) == (majb) && (mina) >= (minb))) +#define XTHAL_REL_GT(maja,mina, majb,minb) ((maja) > (majb) || \ + ((maja) == (majb) && (mina) > (minb))) +#define XTHAL_REL_LE(maja,mina, majb,minb) ((maja) < (majb) || \ + ((maja) == (majb) && (mina) <= (minb))) +#define XTHAL_REL_LT(maja,mina, majb,minb) ((maja) < (majb) || \ + ((maja) == (majb) && (mina) < (minb))) +#define XTHAL_REL_EQ(maja,mina, majb,minb) ((maja) == (majb) && (mina) == (minb)) + +/* Fuzzy (3-way) logic operators: */ +#define XTHAL_MAYBE -1 /* 0=NO, 1=YES, -1=MAYBE */ +#define XTHAL_FUZZY_AND(a,b) (((a)==0 || (b)==0) ? 0 : ((a)==1 && (b)==1) ? 1 : XTHAL_MAYBE) +#define XTHAL_FUZZY_OR(a,b) (((a)==1 || (b)==1) ? 1 : ((a)==0 && (b)==0) ? 0 : XTHAL_MAYBE) +#define XTHAL_FUZZY_NOT(a) (((a)==0 || (a)==1) ? (1-(a)) : XTHAL_MAYBE) + + +/* + * Architectural limit, independent of configuration: + */ +#define XTHAL_MAX_CPS 8 /* max number of coprocessors (0..7) */ + +/* Misc: */ +#define XTHAL_LITTLEENDIAN 0 +#define XTHAL_BIGENDIAN 1 + + + +#if !defined(_ASMLANGUAGE) && !defined(_NOCLANGUAGE) && !defined(__ASSEMBLER__) +#ifdef __cplusplus +extern "C" { +#endif + +/*---------------------------------------------------------------------- + HAL + ----------------------------------------------------------------------*/ + +/* Constant to be checked in build = (XTHAL_MAJOR_REV<<16)|XTHAL_MINOR_REV */ +extern const unsigned int Xthal_rev_no; + + +/*---------------------------------------------------------------------- + Optional/Custom Processor State + ----------------------------------------------------------------------*/ + +/* save & restore the extra processor state */ +extern void xthal_save_extra(void *base); +extern void xthal_restore_extra(void *base); + +extern void xthal_save_cpregs(void *base, int); +extern void xthal_restore_cpregs(void *base, int); +/* versions specific to each coprocessor id */ +extern void xthal_save_cp0(void *base); +extern void xthal_save_cp1(void *base); +extern void xthal_save_cp2(void *base); +extern void xthal_save_cp3(void *base); +extern void xthal_save_cp4(void *base); +extern void xthal_save_cp5(void *base); +extern void xthal_save_cp6(void *base); +extern void xthal_save_cp7(void *base); +extern void xthal_restore_cp0(void *base); +extern void xthal_restore_cp1(void *base); +extern void xthal_restore_cp2(void *base); +extern void xthal_restore_cp3(void *base); +extern void xthal_restore_cp4(void *base); +extern void xthal_restore_cp5(void *base); +extern void xthal_restore_cp6(void *base); +extern void xthal_restore_cp7(void *base); +/* pointers to each of the functions above */ +extern void* Xthal_cpregs_save_fn[XTHAL_MAX_CPS]; +extern void* Xthal_cpregs_restore_fn[XTHAL_MAX_CPS]; +/* similarly for non-windowed ABI (may be same or different) */ +extern void* Xthal_cpregs_save_nw_fn[XTHAL_MAX_CPS]; +extern void* Xthal_cpregs_restore_nw_fn[XTHAL_MAX_CPS]; + +/*extern void xthal_save_all_extra(void *base);*/ +/*extern void xthal_restore_all_extra(void *base);*/ + +/* space for processor state */ +extern const unsigned int Xthal_extra_size; +extern const unsigned int Xthal_extra_align; +extern const unsigned int Xthal_cpregs_size[XTHAL_MAX_CPS]; +extern const unsigned int Xthal_cpregs_align[XTHAL_MAX_CPS]; +extern const unsigned int Xthal_all_extra_size; +extern const unsigned int Xthal_all_extra_align; +/* coprocessor names */ +extern const char * const Xthal_cp_names[XTHAL_MAX_CPS]; + +/* initialize the extra processor */ +/*extern void xthal_init_extra(void);*/ +/* initialize the TIE coprocessor */ +/*extern void xthal_init_cp(int);*/ + +/* initialize the extra processor */ +extern void xthal_init_mem_extra(void *); +/* initialize the TIE coprocessor */ +extern void xthal_init_mem_cp(void *, int); + +/* the number of TIE coprocessors contiguous from zero (for Tor2) */ +extern const unsigned int Xthal_num_coprocessors; + +/* actual number of coprocessors */ +extern const unsigned char Xthal_cp_num; +/* index of highest numbered coprocessor, plus one */ +extern const unsigned char Xthal_cp_max; +/* index of highest allowed coprocessor number, per cfg, plus one */ +/*extern const unsigned char Xthal_cp_maxcfg;*/ +/* bitmask of which coprocessors are present */ +extern const unsigned int Xthal_cp_mask; + +/* read & write extra state register */ +/*extern int xthal_read_extra(void *base, unsigned reg, unsigned *value);*/ +/*extern int xthal_write_extra(void *base, unsigned reg, unsigned value);*/ + +/* read & write a TIE coprocessor register */ +/*extern int xthal_read_cpreg(void *base, int cp, unsigned reg, unsigned *value);*/ +/*extern int xthal_write_cpreg(void *base, int cp, unsigned reg, unsigned value);*/ + +/* return coprocessor number based on register */ +/*extern int xthal_which_cp(unsigned reg);*/ + + +/*---------------------------------------------------------------------- + Register Windows + ----------------------------------------------------------------------*/ + +/* number of registers in register window */ +extern const unsigned int Xthal_num_aregs; +extern const unsigned char Xthal_num_aregs_log2; + + +/*---------------------------------------------------------------------- + Cache + ----------------------------------------------------------------------*/ + +/* size of the cache lines in log2(bytes) */ +extern const unsigned char Xthal_icache_linewidth; +extern const unsigned char Xthal_dcache_linewidth; +/* size of the cache lines in bytes (2^linewidth) */ +extern const unsigned short Xthal_icache_linesize; +extern const unsigned short Xthal_dcache_linesize; + +/* size of the caches in bytes (ways * 2^(linewidth + setwidth)) */ +extern const unsigned int Xthal_icache_size; +extern const unsigned int Xthal_dcache_size; +/* cache features */ +extern const unsigned char Xthal_dcache_is_writeback; + +/* invalidate the caches */ +extern void xthal_icache_region_invalidate( void *addr, unsigned size ); +extern void xthal_dcache_region_invalidate( void *addr, unsigned size ); +extern void xthal_icache_line_invalidate(void *addr); +extern void xthal_dcache_line_invalidate(void *addr); +/* write dirty data back */ +extern void xthal_dcache_region_writeback( void *addr, unsigned size ); +extern void xthal_dcache_line_writeback(void *addr); +/* write dirty data back and invalidate */ +extern void xthal_dcache_region_writeback_inv( void *addr, unsigned size ); +extern void xthal_dcache_line_writeback_inv(void *addr); + +/* sync icache and memory */ +extern void xthal_icache_sync( void ); +/* sync dcache and memory */ +extern void xthal_dcache_sync( void ); + + +/*---------------------------------------------------------------------- + Debug + ----------------------------------------------------------------------*/ + +/* 1 if debug option configured, 0 if not: */ +extern const int Xthal_debug_configured; + +/* Set (plant) and remove software breakpoint, both synchronizing cache: */ +extern unsigned int xthal_set_soft_break(void *addr); +extern void xthal_remove_soft_break(void *addr, unsigned int); + + +/*---------------------------------------------------------------------- + Disassembler + ----------------------------------------------------------------------*/ + +/* Max expected size of the return buffer for a disassembled instruction (hint only): */ +#define XTHAL_DISASM_BUFSIZE 80 + +/* Disassembly option bits for selecting what to return: */ +#define XTHAL_DISASM_OPT_ADDR 0x0001 /* display address */ +#define XTHAL_DISASM_OPT_OPHEX 0x0002 /* display opcode bytes in hex */ +#define XTHAL_DISASM_OPT_OPCODE 0x0004 /* display opcode name (mnemonic) */ +#define XTHAL_DISASM_OPT_PARMS 0x0008 /* display parameters */ +#define XTHAL_DISASM_OPT_ALL 0x0FFF /* display everything */ + +/* routine to get a string for the disassembled instruction */ +extern int xthal_disassemble( unsigned char *instr_buf, void *tgt_addr, + char *buffer, unsigned buflen, unsigned options ); + +/* routine to get the size of the next instruction. Returns 0 for + illegal instruction */ +extern int xthal_disassemble_size( unsigned char *instr_buf ); + + +/*---------------------------------------------------------------------- + Instruction/Data RAM/ROM Access + ----------------------------------------------------------------------*/ + +extern void* xthal_memcpy(void *dst, const void *src, unsigned len); +extern void* xthal_bcopy(const void *src, void *dst, unsigned len); + + +/*---------------------------------------------------------------------- + MP Synchronization + ----------------------------------------------------------------------*/ + +extern int xthal_compare_and_set( int *addr, int test_val, int compare_val ); + +/*extern const char Xthal_have_s32c1i;*/ + + +/*---------------------------------------------------------------------- + Miscellaneous + ----------------------------------------------------------------------*/ + +extern const unsigned int Xthal_release_major; +extern const unsigned int Xthal_release_minor; +extern const char * const Xthal_release_name; +extern const char * const Xthal_release_internal; + +extern const unsigned char Xthal_memory_order; +extern const unsigned char Xthal_have_windowed; +extern const unsigned char Xthal_have_density; +extern const unsigned char Xthal_have_booleans; +extern const unsigned char Xthal_have_loops; +extern const unsigned char Xthal_have_nsa; +extern const unsigned char Xthal_have_minmax; +extern const unsigned char Xthal_have_sext; +extern const unsigned char Xthal_have_clamps; +extern const unsigned char Xthal_have_mac16; +extern const unsigned char Xthal_have_mul16; +extern const unsigned char Xthal_have_fp; +extern const unsigned char Xthal_have_speculation; +extern const unsigned char Xthal_have_threadptr; + +extern const unsigned char Xthal_have_pif; +extern const unsigned short Xthal_num_writebuffer_entries; + +extern const unsigned int Xthal_build_unique_id; +/* Version info for hardware targeted by software upgrades: */ +extern const unsigned int Xthal_hw_configid0; +extern const unsigned int Xthal_hw_configid1; +extern const unsigned int Xthal_hw_release_major; +extern const unsigned int Xthal_hw_release_minor; +extern const char * const Xthal_hw_release_name; +extern const char * const Xthal_hw_release_internal; + +#ifdef __cplusplus +} +#endif +#endif /*!_ASMLANGUAGE && !_NOCLANGUAGE && !__ASSEMBLER__ */ + + + + + +/**************************************************************************** + Definitions Useful for PRIVILEGED (Supervisory or Non-Virtualized) Code + ****************************************************************************/ + + +#ifndef XTENSA_HAL_NON_PRIVILEGED_ONLY + +/*---------------------------------------------------------------------- + Constant Definitions (shared with assembly) + ----------------------------------------------------------------------*/ + +/* + * Architectural limits, independent of configuration. + * Note that these are ISA-defined limits, not micro-architecture implementation + * limits enforced by the Xtensa Processor Generator (which may be stricter than + * these below). + */ +#define XTHAL_MAX_INTERRUPTS 32 /* max number of interrupts (0..31) */ +#define XTHAL_MAX_INTLEVELS 16 /* max number of interrupt levels (0..15) */ + /* (as of T1040, implementation limit is 7: 0..6) */ +#define XTHAL_MAX_TIMERS 4 /* max number of timers (CCOMPARE0..CCOMPARE3) */ + /* (as of T1040, implementation limit is 3: 0..2) */ + +/* Interrupt types: */ +#define XTHAL_INTTYPE_UNCONFIGURED 0 +#define XTHAL_INTTYPE_SOFTWARE 1 +#define XTHAL_INTTYPE_EXTERN_EDGE 2 +#define XTHAL_INTTYPE_EXTERN_LEVEL 3 +#define XTHAL_INTTYPE_TIMER 4 +#define XTHAL_INTTYPE_NMI 5 +#define XTHAL_INTTYPE_WRITE_ERROR 6 +#define XTHAL_MAX_INTTYPES 7 /* number of interrupt types */ + +/* Timer related: */ +#define XTHAL_TIMER_UNCONFIGURED -1 /* Xthal_timer_interrupt[] value for non-existent timers */ +#define XTHAL_TIMER_UNASSIGNED XTHAL_TIMER_UNCONFIGURED /* (for backwards compatibility only) */ + +/* Local Memory ECC/Parity: */ +#define XTHAL_MEMEP_PARITY 1 +#define XTHAL_MEMEP_ECC 2 +/* Flags parameter to xthal_memep_inject_error(): */ +#define XTHAL_MEMEP_F_LOCAL 0 /* local memory (default) */ +#define XTHAL_MEMEP_F_DCACHE_DATA 4 /* data cache data */ +#define XTHAL_MEMEP_F_DCACHE_TAG 5 /* data cache tag */ +#define XTHAL_MEMEP_F_ICACHE_DATA 6 /* instruction cache data */ +#define XTHAL_MEMEP_F_ICACHE_TAG 7 /* instruction cache tag */ +#define XTHAL_MEMEP_F_CORRECTABLE 16 /* inject correctable error + (default is non-corr.) */ + + +/* Access Mode bits (tentative): */ /* bit abbr unit short_name PPC equ - Description */ +#define XTHAL_AMB_EXCEPTION 0 /* 001 E EX fls: EXception none + exception on any access (aka "illegal") */ +#define XTHAL_AMB_HITCACHE 1 /* 002 C CH fls: use Cache on Hit ~(I CI) + [or H HC] way from tag match; + [or U UC] (ISA: same except Isolate case) */ +#define XTHAL_AMB_ALLOCATE 2 /* 004 A AL fl?: ALlocate none + [or F FI fill] refill cache on miss, way from LRU + (ISA: Read/Write Miss Refill) */ +#define XTHAL_AMB_WRITETHRU 3 /* 008 W WT --s: WriteThrough W WT + store immediately to memory (ISA: same) */ +#define XTHAL_AMB_ISOLATE 4 /* 010 I IS fls: ISolate none + use cache regardless of hit-vs-miss, + way from vaddr (ISA: use-cache-on-miss+hit) */ +#define XTHAL_AMB_GUARD 5 /* 020 G GU ?l?: GUard G * + non-speculative; spec/replay refs not permitted */ +#if 0 +#define XTHAL_AMB_COHERENT 6 /* 040 M MC ?ls: Mem/MP Coherent M + on read, other CPU/bus-master may need to supply data; + on write, maybe redirect to or flush other CPU dirty line; etc */ +#define XTHAL_AMB_ORDERED x /* 000 O OR fls: ORdered G * + mem accesses cannot be out of order */ +#define XTHAL_AMB_FUSEWRITES x /* 000 F FW --s: FuseWrites none + allow combining/merging multiple writes + (to same datapath data unit) into one + (implied by writeback) */ +#define XTHAL_AMB_TRUSTED x /* 000 T TR ?l?: TRusted none + memory will not bus error (if it does, + handle as fatal imprecise interrupt) */ +#define XTHAL_AMB_PREFETCH x /* 000 P PR fl?: PRefetch none + on refill, read line+1 into prefetch buffers */ +#define XTHAL_AMB_STREAM x /* 000 S ST ???: STreaming none + access one of N stream buffers */ +#endif /*0*/ + +#define XTHAL_AM_EXCEPTION (1< = bit is set + * '-' = bit is clear + * '.' = bit is irrelevant / don't care, as follows: + * E=1 makes all others irrelevant + * W,F relevant only for stores + * "2345" + * Indicates which Xtensa releases support the corresponding + * access mode. Releases for each character column are: + * 2 = prior to T1020.2: T1015 (V1.5), T1020.0, T1020.1 + * 3 = T1020.2 and later: T1020.2+, T1030 + * 4 = T1040 + * 5 = T1050 (maybe), LX1, LX2, LX2.1 + * 7 = LX2.2 + * And the character column contents are: + * = support by release(s) + * "." = unsupported by release(s) + * "?" = support unknown + */ + /* FOMGIWACE 23457 */ +/* For instruction fetch: */ +#define XTHAL_FAM_EXCEPTION 0x001 /* ........E 23457 exception */ +#define XTHAL_FAM_ISOLATE 0x012 /* .---I.-C- ..... isolate */ +#define XTHAL_FAM_BYPASS 0x000 /* .----.--- 23457 bypass */ +#define XTHAL_FAM_NACACHED 0x002 /* .----.-C- ..... cached no-allocate (frozen) */ +#define XTHAL_FAM_CACHED 0x006 /* .----.AC- 23457 cached */ +/* For data load: */ +#define XTHAL_LAM_EXCEPTION 0x001 /* ........E 23457 exception */ +#define XTHAL_LAM_ISOLATE 0x012 /* .---I.-C- 23457 isolate */ +#define XTHAL_LAM_BYPASS 0x000 /* .O---.--- 2.... bypass speculative */ +#define XTHAL_LAM_BYPASSG 0x020 /* .O-G-.--- .3457 bypass guarded */ +#define XTHAL_LAM_NACACHED 0x002 /* .O---.-C- 2.... cached no-allocate speculative */ +#define XTHAL_LAM_NACACHEDG 0x022 /* .O-G-.-C- .3457 cached no-allocate guarded */ +#define XTHAL_LAM_CACHED 0x006 /* .----.AC- 23457 cached speculative */ +#define XTHAL_LAM_CACHEDG 0x026 /* .?-G-.AC- ..... cached guarded */ +#define XTHAL_LAM_CACHEDM 0x046 /* .-M--.AC- ....7 cached MP-coherent (experimental) */ +/* For data store: */ +#define XTHAL_SAM_EXCEPTION 0x001 /* ........E 23457 exception */ +#define XTHAL_SAM_ISOLATE 0x032 /* .--GI--C- 23457 isolate */ +#define XTHAL_SAM_BYPASS 0x028 /* -O-G-W--- 23457 bypass */ +/*efine XTHAL_SAM_BYPASSF 0x028*/ /* F--G-W--- ..... bypass write-combined */ +#define XTHAL_SAM_WRITETHRU 0x02A /* -O-G-W-C- 23457 writethrough */ +/*efine XTHAL_SAM_WRITETHRUF 0x02A*/ /* F--G-W-C- ..... writethrough write-combined */ +#define XTHAL_SAM_WRITEALLOC 0x02E /* -O-G-WAC- ..... writethrough-allocate */ +/*efine XTHAL_SAM_WRITEALLOCF 0x02E*/ /* F--G-WAC- ..... writethrough-allocate write-combined */ +#define XTHAL_SAM_WRITEBACK 0x066 /* F-MG--AC- ...57 writeback (MP-coherent if configured) */ + +#if 0 +/* + Cache attribute encoding for CACHEATTR (per ISA): + (Note: if this differs from ISA Ref Manual, ISA has precedence) + + Inst-fetches Loads Stores + ------------- ------------ ------------- +0x0 FCA_EXCEPTION ?LCA_NACACHED_G* SCA_WRITETHRU "uncached" (cached no-allocate) +0x1 FCA_CACHED LCA_CACHED SCA_WRITETHRU cached +0x2 FCA_BYPASS LCA_BYPASS_G* SCA_BYPASS bypass +0x3 FCA_CACHED LCA_CACHED SCA_WRITEALLOCF write-allocate + or LCA_EXCEPTION SCA_EXCEPTION (if unimplemented) +0x4 FCA_CACHED LCA_CACHED SCA_WRITEBACK write-back + or LCA_EXCEPTION SCA_EXCEPTION (if unimplemented) +0x5..D FCA_EXCEPTION LCA_EXCEPTION SCA_EXCEPTION (reserved) +0xE FCA_EXCEPTION LCA_ISOLATE SCA_ISOLATE isolate +0xF FCA_EXCEPTION LCA_EXCEPTION SCA_EXCEPTION illegal + * Prior to T1020.2?, guard feature not supported, this defaulted to speculative (no _G) +*/ +#endif /*0*/ + + +#if !defined(_ASMLANGUAGE) && !defined(_NOCLANGUAGE) && !defined(__ASSEMBLER__) +#ifdef __cplusplus +extern "C" { +#endif + + +/*---------------------------------------------------------------------- + Register Windows + ----------------------------------------------------------------------*/ + +/* This spill any live register windows (other than the caller's): + * (NOTE: current implementation require privileged code, but + * a user-callable implementation is possible.) */ +extern void xthal_window_spill( void ); + + +/*---------------------------------------------------------------------- + Optional/Custom Processor State + ----------------------------------------------------------------------*/ + +/* validate & invalidate the TIE register file */ +extern void xthal_validate_cp(int); +extern void xthal_invalidate_cp(int); + +/* read and write cpenable register */ +extern void xthal_set_cpenable(unsigned); +extern unsigned xthal_get_cpenable(void); + + +/*---------------------------------------------------------------------- + Interrupts + ----------------------------------------------------------------------*/ + +/* the number of interrupt levels */ +extern const unsigned char Xthal_num_intlevels; +/* the number of interrupts */ +extern const unsigned char Xthal_num_interrupts; + +/* mask for level of interrupts */ +extern const unsigned int Xthal_intlevel_mask[XTHAL_MAX_INTLEVELS]; +/* mask for level 0 to N interrupts */ +extern const unsigned int Xthal_intlevel_andbelow_mask[XTHAL_MAX_INTLEVELS]; + +/* level of each interrupt */ +extern const unsigned char Xthal_intlevel[XTHAL_MAX_INTERRUPTS]; + +/* type per interrupt */ +extern const unsigned char Xthal_inttype[XTHAL_MAX_INTERRUPTS]; + +/* masks of each type of interrupt */ +extern const unsigned int Xthal_inttype_mask[XTHAL_MAX_INTTYPES]; + +/* interrupt numbers assigned to each timer interrupt */ +extern const int Xthal_timer_interrupt[XTHAL_MAX_TIMERS]; + +/* INTENABLE,INTERRUPT,INTSET,INTCLEAR register access functions: */ +extern unsigned xthal_get_intenable( void ); +extern void xthal_set_intenable( unsigned ); +extern unsigned xthal_get_interrupt( void ); +#define xthal_get_intread xthal_get_interrupt /* backward compatibility */ +extern void xthal_set_intset( unsigned ); +extern void xthal_set_intclear( unsigned ); + + +/*---------------------------------------------------------------------- + Debug + ----------------------------------------------------------------------*/ + +/* Number of instruction and data break registers: */ +extern const int Xthal_num_ibreak; +extern const int Xthal_num_dbreak; + + +/*---------------------------------------------------------------------- + Core Counter + ----------------------------------------------------------------------*/ + +/* counter info */ +extern const unsigned char Xthal_have_ccount; /* set if CCOUNT register present */ +extern const unsigned char Xthal_num_ccompare; /* number of CCOMPAREn registers */ + +/* get CCOUNT register (if not present return 0) */ +extern unsigned xthal_get_ccount(void); + +/* set and get CCOMPAREn registers (if not present, get returns 0) */ +extern void xthal_set_ccompare(int, unsigned); +extern unsigned xthal_get_ccompare(int); + + +/*---------------------------------------------------------------------- + Miscellaneous + ----------------------------------------------------------------------*/ + +extern const unsigned char Xthal_have_prid; +extern const unsigned char Xthal_have_exceptions; +extern const unsigned char Xthal_xea_version; +extern const unsigned char Xthal_have_interrupts; +extern const unsigned char Xthal_have_highlevel_interrupts; +extern const unsigned char Xthal_have_nmi; + +extern unsigned xthal_get_prid( void ); + + +/*---------------------------------------------------------------------- + Virtual interrupt prioritization (DEPRECATED) + ----------------------------------------------------------------------*/ + +/* Convert between interrupt levels (as per PS.INTLEVEL) and virtual interrupt priorities: */ +extern unsigned xthal_vpri_to_intlevel(unsigned vpri); +extern unsigned xthal_intlevel_to_vpri(unsigned intlevel); + +/* Enables/disables given set (mask) of interrupts; returns previous enabled-mask of all ints: */ +extern unsigned xthal_int_enable(unsigned); +extern unsigned xthal_int_disable(unsigned); + +/* Set/get virtual priority of an interrupt: */ +extern int xthal_set_int_vpri(int intnum, int vpri); +extern int xthal_get_int_vpri(int intnum); + +/* Set/get interrupt lockout level for exclusive access to virtual priority data structures: */ +extern void xthal_set_vpri_locklevel(unsigned intlevel); +extern unsigned xthal_get_vpri_locklevel(void); + +/* Set/get current virtual interrupt priority: */ +extern unsigned xthal_set_vpri(unsigned vpri); +extern unsigned xthal_get_vpri(void); +extern unsigned xthal_set_vpri_intlevel(unsigned intlevel); +extern unsigned xthal_set_vpri_lock(void); + + +/*---------------------------------------------------------------------- + Generic Interrupt Trampolining Support (DEPRECATED) + ----------------------------------------------------------------------*/ + +typedef void (XtHalVoidFunc)(void); + +/* Bitmask of interrupts currently trampolining down: */ +extern unsigned Xthal_tram_pending; + +/* + * Bitmask of which interrupts currently trampolining down synchronously are + * actually enabled; this bitmask is necessary because INTENABLE cannot hold + * that state (sync-trampolining interrupts must be kept disabled while + * trampolining); in the current implementation, any bit set here is not set + * in INTENABLE, and vice-versa; once a sync-trampoline is handled (at level + * one), its enable bit must be moved from here to INTENABLE: + */ +extern unsigned Xthal_tram_enabled; + +/* Bitmask of interrupts configured for sync trampolining: */ +extern unsigned Xthal_tram_sync; + +/* Trampoline support functions: */ +extern unsigned xthal_tram_pending_to_service( void ); +extern void xthal_tram_done( unsigned serviced_mask ); +extern int xthal_tram_set_sync( int intnum, int sync ); +extern XtHalVoidFunc* xthal_set_tram_trigger_func( XtHalVoidFunc *trigger_fn ); + + +/*---------------------------------------------------------------------- + Internal Memories + ----------------------------------------------------------------------*/ + +extern const unsigned char Xthal_num_instrom; +extern const unsigned char Xthal_num_instram; +extern const unsigned char Xthal_num_datarom; +extern const unsigned char Xthal_num_dataram; +extern const unsigned char Xthal_num_xlmi; + +/* Each of the following arrays contains at least one entry, + * or as many entries as needed if more than one: */ +extern const unsigned int Xthal_instrom_vaddr[]; +extern const unsigned int Xthal_instrom_paddr[]; +extern const unsigned int Xthal_instrom_size []; +extern const unsigned int Xthal_instram_vaddr[]; +extern const unsigned int Xthal_instram_paddr[]; +extern const unsigned int Xthal_instram_size []; +extern const unsigned int Xthal_datarom_vaddr[]; +extern const unsigned int Xthal_datarom_paddr[]; +extern const unsigned int Xthal_datarom_size []; +extern const unsigned int Xthal_dataram_vaddr[]; +extern const unsigned int Xthal_dataram_paddr[]; +extern const unsigned int Xthal_dataram_size []; +extern const unsigned int Xthal_xlmi_vaddr[]; +extern const unsigned int Xthal_xlmi_paddr[]; +extern const unsigned int Xthal_xlmi_size []; + + +/*---------------------------------------------------------------------- + Cache + ----------------------------------------------------------------------*/ + +/* number of cache sets in log2(lines per way) */ +extern const unsigned char Xthal_icache_setwidth; +extern const unsigned char Xthal_dcache_setwidth; +/* cache set associativity (number of ways) */ +extern const unsigned int Xthal_icache_ways; +extern const unsigned int Xthal_dcache_ways; +/* cache features */ +extern const unsigned char Xthal_icache_line_lockable; +extern const unsigned char Xthal_dcache_line_lockable; + +/* cache attribute register control (used by other HAL routines) */ +extern unsigned xthal_get_cacheattr( void ); +extern unsigned xthal_get_icacheattr( void ); +extern unsigned xthal_get_dcacheattr( void ); +extern void xthal_set_cacheattr( unsigned ); +extern void xthal_set_icacheattr( unsigned ); +extern void xthal_set_dcacheattr( unsigned ); +/* set cache attribute (access modes) for a range of memory */ +extern int xthal_set_region_attribute( void *addr, unsigned size, + unsigned cattr, unsigned flags ); +/* Bits of flags parameter to xthal_set_region_attribute(): */ +#define XTHAL_CAFLAG_EXPAND 0x000100 /* only expand allowed access to range, don't reduce it */ +#define XTHAL_CAFLAG_EXACT 0x000200 /* return error if can't apply change to exact range specified */ +#define XTHAL_CAFLAG_NO_PARTIAL 0x000400 /* don't apply change to regions partially covered by range */ +#define XTHAL_CAFLAG_NO_AUTO_WB 0x000800 /* don't writeback data after leaving writeback attribute */ +#define XTHAL_CAFLAG_NO_AUTO_INV 0x001000 /* don't invalidate after disabling cache (entering bypass) */ + +/* enable caches */ +extern void xthal_icache_enable( void ); /* DEPRECATED */ +extern void xthal_dcache_enable( void ); /* DEPRECATED */ +/* disable caches */ +extern void xthal_icache_disable( void ); /* DEPRECATED */ +extern void xthal_dcache_disable( void ); /* DEPRECATED */ + +/* invalidate the caches */ +extern void xthal_icache_all_invalidate( void ); +extern void xthal_dcache_all_invalidate( void ); +/* write dirty data back */ +extern void xthal_dcache_all_writeback( void ); +/* write dirty data back and invalidate */ +extern void xthal_dcache_all_writeback_inv( void ); +/* prefetch and lock specified memory range into cache */ +extern void xthal_icache_region_lock( void *addr, unsigned size ); +extern void xthal_dcache_region_lock( void *addr, unsigned size ); +extern void xthal_icache_line_lock(void *addr); +extern void xthal_dcache_line_lock(void *addr); +/* unlock from cache */ +extern void xthal_icache_all_unlock( void ); +extern void xthal_dcache_all_unlock( void ); +extern void xthal_icache_region_unlock( void *addr, unsigned size ); +extern void xthal_dcache_region_unlock( void *addr, unsigned size ); +extern void xthal_icache_line_unlock(void *addr); +extern void xthal_dcache_line_unlock(void *addr); + + + +/*---------------------------------------------------------------------- + Local Memory ECC/Parity + ----------------------------------------------------------------------*/ + +/* Inject memory errors; flags is bit combination of XTHAL_MEMEP_F_xxx: */ +extern void xthal_memep_inject_error(void *addr, int size, int flags); + + + +/*---------------------------------------------------------------------- + Memory Management Unit + ----------------------------------------------------------------------*/ + +extern const unsigned char Xthal_have_spanning_way; +extern const unsigned char Xthal_have_identity_map; +extern const unsigned char Xthal_have_mimic_cacheattr; +extern const unsigned char Xthal_have_xlt_cacheattr; +extern const unsigned char Xthal_have_cacheattr; +extern const unsigned char Xthal_have_tlbs; + +extern const unsigned char Xthal_mmu_asid_bits; /* 0 .. 8 */ +extern const unsigned char Xthal_mmu_asid_kernel; +extern const unsigned char Xthal_mmu_rings; /* 1 .. 4 (perhaps 0 if no MMU and/or no protection?) */ +extern const unsigned char Xthal_mmu_ring_bits; +extern const unsigned char Xthal_mmu_sr_bits; +extern const unsigned char Xthal_mmu_ca_bits; +extern const unsigned int Xthal_mmu_max_pte_page_size; +extern const unsigned int Xthal_mmu_min_pte_page_size; + +extern const unsigned char Xthal_itlb_way_bits; +extern const unsigned char Xthal_itlb_ways; +extern const unsigned char Xthal_itlb_arf_ways; +extern const unsigned char Xthal_dtlb_way_bits; +extern const unsigned char Xthal_dtlb_ways; +extern const unsigned char Xthal_dtlb_arf_ways; + +/* Convert between virtual and physical addresses (through static maps only): */ +/*** WARNING: these two functions may go away in a future release; don't depend on them! ***/ +extern int xthal_static_v2p( unsigned vaddr, unsigned *paddrp ); +extern int xthal_static_p2v( unsigned paddr, unsigned *vaddrp, unsigned cached ); + + +#ifdef __cplusplus +} +#endif +#endif /*!_ASMLANGUAGE && !_NOCLANGUAGE && !__ASSEMBLER__ */ + +#endif /* !XTENSA_HAL_NON_PRIVILEGED_ONLY */ + + + + +/**************************************************************************** + EXPERIMENTAL and DEPRECATED Definitions + ****************************************************************************/ + + +#if !defined(_ASMLANGUAGE) && !defined(_NOCLANGUAGE) && !defined(__ASSEMBLER__) +#ifdef __cplusplus +extern "C" { +#endif + +#ifdef INCLUDE_DEPRECATED_HAL_CODE +extern const unsigned char Xthal_have_old_exc_arch; +extern const unsigned char Xthal_have_mmu; +extern const unsigned int Xthal_num_regs; +extern const unsigned char Xthal_num_iroms; +extern const unsigned char Xthal_num_irams; +extern const unsigned char Xthal_num_droms; +extern const unsigned char Xthal_num_drams; +extern const unsigned int Xthal_configid0; +extern const unsigned int Xthal_configid1; +#endif + +#ifdef INCLUDE_DEPRECATED_HAL_DEBUG_CODE +#define XTHAL_24_BIT_BREAK 0x80000000 +#define XTHAL_16_BIT_BREAK 0x40000000 +extern const unsigned short Xthal_ill_inst_16[16]; +#define XTHAL_DEST_REG 0xf0000000 /* Mask for destination register */ +#define XTHAL_DEST_REG_INST 0x08000000 /* Branch address is in register */ +#define XTHAL_DEST_REL_INST 0x04000000 /* Branch address is relative */ +#define XTHAL_RFW_INST 0x00000800 +#define XTHAL_RFUE_INST 0x00000400 +#define XTHAL_RFI_INST 0x00000200 +#define XTHAL_RFE_INST 0x00000100 +#define XTHAL_RET_INST 0x00000080 +#define XTHAL_BREAK_INST 0x00000040 +#define XTHAL_SYSCALL_INST 0x00000020 +#define XTHAL_LOOP_END 0x00000010 /* Not set by xthal_inst_type */ +#define XTHAL_JUMP_INST 0x00000008 /* Call or jump instruction */ +#define XTHAL_BRANCH_INST 0x00000004 /* Branch instruction */ +#define XTHAL_24_BIT_INST 0x00000002 +#define XTHAL_16_BIT_INST 0x00000001 +typedef struct xthal_state { + unsigned pc; + unsigned ar[16]; + unsigned lbeg; + unsigned lend; + unsigned lcount; + unsigned extra_ptr; + unsigned cpregs_ptr[XTHAL_MAX_CPS]; +} XTHAL_STATE; +extern unsigned int xthal_inst_type(void *addr); +extern unsigned int xthal_branch_addr(void *addr); +extern unsigned int xthal_get_npc(XTHAL_STATE *user_state); +#endif /* INCLUDE_DEPRECATED_HAL_DEBUG_CODE */ + +#ifdef __cplusplus +} +#endif +#endif /*!_ASMLANGUAGE && !_NOCLANGUAGE && !__ASSEMBLER__ */ + +#endif /*XTENSA_HAL_H*/ diff --git a/toolchain/inst/xtensa-elf/include/xtensa/xtruntime.h b/toolchain/inst/xtensa-elf/include/xtensa/xtruntime.h new file mode 100644 index 0000000..1c9173b --- /dev/null +++ b/toolchain/inst/xtensa-elf/include/xtensa/xtruntime.h @@ -0,0 +1,169 @@ +/* + * xtruntime.h -- general C definitions for single-threaded run-time + * + * Copyright (c) 2002-2006 by Tensilica Inc. ALL RIGHTS RESERVED. + * These coded instructions, statements, and computer programs are the + * copyrighted works and confidential proprietary information of Tensilica Inc. + * They may not be modified, copied, reproduced, distributed, or disclosed to + * third parties in any manner, medium, or form, in whole or in part, without + * the prior written consent of Tensilica Inc. + */ + +#ifndef XTRUNTIME_H +#define XTRUNTIME_H + +#include +#include + +#ifndef XTSTR +#define _XTSTR(x) # x +#define XTSTR(x) _XTSTR(x) +#endif + +#define _xtos_set_execption_handler _xtos_set_exception_handler /* backward compatibility */ +#define _xtos_set_saved_intenable _xtos_ints_on /* backward compatibility */ +#define _xtos_clear_saved_intenable _xtos_ints_off /* backward compatibility */ + +#if !defined(_ASMLANGUAGE) && !defined(__ASSEMBLER__) + +#ifdef __cplusplus +extern "C" { +#endif + +/*typedef void (_xtos_timerdelta_func)(int);*/ +#ifdef __cplusplus +typedef void (_xtos_handler_func)(...); +#else +typedef void (_xtos_handler_func)(); +#endif +typedef _xtos_handler_func *_xtos_handler; + +/* + * unsigned XTOS_SET_INTLEVEL(int intlevel); + * This macro sets the current interrupt level. + * The 'intlevel' parameter must be a constant. + * This macro returns a 32-bit value that must be passed to + * XTOS_RESTORE_INTLEVEL() to restore the previous interrupt level. + * XTOS_RESTORE_JUST_INTLEVEL() also does this, but in XEA2 configs + * it restores only PS.INTLEVEL rather than the entire PS register + * and thus is slower. + */ +#if !XCHAL_HAVE_INTERRUPTS +# define XTOS_SET_INTLEVEL(intlevel) +# define XTOS_SET_MIN_INTLEVEL(intlevel) +# define XTOS_RESTORE_INTLEVEL(restoreval) +# define XTOS_RESTORE_JUST_INTLEVEL(restoreval) +#elif XCHAL_HAVE_XEA2 +/* In XEA2, we can simply safely set PS.INTLEVEL directly: */ +/* NOTE: these asm macros don't modify memory, but they are marked + * as such to act as memory access barriers to the compiler because + * these macros are sometimes used to delineate critical sections; + * function calls are natural barriers (the compiler does not know + * whether a function modifies memory) unless declared to be inlined. */ +# define XTOS_SET_INTLEVEL(intlevel) ({ unsigned __tmp; \ + __asm__ __volatile__( "rsil %0, " XTSTR(intlevel) "\n" \ + : "=a" (__tmp) : : "memory" ); \ + __tmp;}) +# define XTOS_SET_MIN_INTLEVEL(intlevel) ({ unsigned __tmp, __tmp2, __tmp3; \ + __asm__ __volatile__( "rsr %0, " XTSTR(PS) "\n" /* get old (current) PS.INTLEVEL */ \ + "movi %2, " XTSTR(intlevel) "\n" \ + "extui %1, %0, 0, 4\n" /* keep only INTLEVEL bits of parameter */ \ + "blt %2, %1, 1f\n" \ + "rsil %0, " XTSTR(intlevel) "\n" \ + "1:\n" \ + : "=a" (__tmp), "=&a" (__tmp2), "=&a" (__tmp3) : : "memory" ); \ + __tmp;}) +# define XTOS_RESTORE_INTLEVEL(restoreval) do{ unsigned __tmp = (restoreval); \ + __asm__ __volatile__( "wsr %0, " XTSTR(PS) " ; rsync\n" \ + : : "a" (__tmp) : "memory" ); \ + }while(0) +# define XTOS_RESTORE_JUST_INTLEVEL(restoreval) _xtos_set_intlevel(restoreval) +#else +/* In XEA1, we have to rely on INTENABLE register virtualization: */ +extern unsigned _xtos_set_vpri( unsigned vpri ); +extern unsigned _xtos_vpri_enabled; /* current virtual priority */ +# define XTOS_SET_INTLEVEL(intlevel) _xtos_set_vpri(~XCHAL_INTLEVEL_ANDBELOW_MASK(intlevel)) +# define XTOS_SET_MIN_INTLEVEL(intlevel) _xtos_set_vpri(_xtos_vpri_enabled & ~XCHAL_INTLEVEL_ANDBELOW_MASK(intlevel)) +# define XTOS_RESTORE_INTLEVEL(restoreval) _xtos_set_vpri(restoreval) +# define XTOS_RESTORE_JUST_INTLEVEL(restoreval) _xtos_set_vpri(restoreval) +#endif + +/* + * The following macros build upon the above. They are generally used + * instead of invoking the SET_INTLEVEL and SET_MIN_INTLEVEL macros directly. + * They all return a value that can be used with XTOS_RESTORE_INTLEVEL() + * or _xtos_restore_intlevel() or _xtos_restore_just_intlevel() to restore + * the effective interrupt level to what it was before the macro was invoked. + * In XEA2, the DISABLE macros are much faster than the MASK macros + * (in all configs, DISABLE sets the effective interrupt level, whereas MASK + * makes ensures the effective interrupt level is at least the level given + * without lowering it; in XEA2 with INTENABLE virtualization, these macros + * affect PS.INTLEVEL only, not the virtual priority, so DISABLE has partial + * MASK semantics). + * + * A typical critical section sequence might be: + * unsigned rval = XTOS_DISABLE_EXCM_INTERRUPTS; + * ... critical section ... + * XTOS_RESTORE_INTLEVEL(rval); + */ +/* Enable all interrupts (those activated with _xtos_ints_on()): */ +#define XTOS_ENABLE_INTERRUPTS XTOS_SET_INTLEVEL(0) +/* Disable low priority level interrupts (they can interact with the OS): */ +#define XTOS_DISABLE_LOWPRI_INTERRUPTS XTOS_SET_INTLEVEL(XCHAL_NUM_LOWPRI_LEVELS) +#define XTOS_MASK_LOWPRI_INTERRUPTS XTOS_SET_MIN_INTLEVEL(XCHAL_NUM_LOWPRI_LEVELS) +/* Disable interrupts that can interact with the OS: */ +#define XTOS_DISABLE_EXCM_INTERRUPTS XTOS_SET_INTLEVEL(XCHAL_EXCM_LEVEL) +#define XTOS_MASK_EXCM_INTERRUPTS XTOS_SET_MIN_INTLEVEL(XCHAL_EXCM_LEVEL) +#if 0 /* XTOS_LOCK_LEVEL is not exported to applications */ +/* Disable interrupts that can interact with the OS, or manipulate virtual INTENABLE: */ +#define XTOS_DISABLE_LOCK_INTERRUPTS XTOS_SET_INTLEVEL(XTOS_LOCK_LEVEL) +#define XTOS_MASK_LOCK_INTERRUPTS XTOS_SET_MIN_INTLEVEL(XTOS_LOCK_LEVEL) +#endif +/* Disable ALL interrupts (not for common use, particularly if one's processor + * configuration has high-level interrupts and one cares about their latency): */ +#define XTOS_DISABLE_ALL_INTERRUPTS XTOS_SET_INTLEVEL(15) + + +extern unsigned int _xtos_ints_off( unsigned int mask ); +extern unsigned int _xtos_ints_on( unsigned int mask ); +extern unsigned _xtos_set_intlevel( int intlevel ); +extern unsigned _xtos_set_min_intlevel( int intlevel ); +extern unsigned _xtos_restore_intlevel( unsigned restoreval ); +extern unsigned _xtos_restore_just_intlevel( unsigned restoreval ); +extern _xtos_handler _xtos_set_interrupt_handler( int n, _xtos_handler f ); +extern _xtos_handler _xtos_set_interrupt_handler_arg( int n, _xtos_handler f, void *arg ); +extern _xtos_handler _xtos_set_exception_handler( int n, _xtos_handler f ); + +extern void _xtos_memep_initrams( void ); +extern void _xtos_memep_enable( int flags ); + +/* Deprecated (but kept because they were documented): */ +extern unsigned int _xtos_read_ints( void ); /* use xthal_get_interrupt() instead */ +extern void _xtos_clear_ints( unsigned int mask ); /* use xthal_set_intclear() instead */ + +#if XCHAL_NUM_CONTEXTS > 1 +extern unsigned _xtos_init_context(int context_num, int stack_size, + _xtos_handler_func *start_func, int arg1); +#endif + +/* Deprecated: */ +#if XCHAL_NUM_TIMERS > 0 +extern void _xtos_timer_0_delta( int cycles ); +#endif +#if XCHAL_NUM_TIMERS > 1 +extern void _xtos_timer_1_delta( int cycles ); +#endif +#if XCHAL_NUM_TIMERS > 2 +extern void _xtos_timer_2_delta( int cycles ); +#endif +#if XCHAL_NUM_TIMERS > 3 +extern void _xtos_timer_3_delta( int cycles ); +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* !_ASMLANGUAGE && !__ASSEMBLER__ */ + +#endif /* XTRUNTIME_H */ -- 2.31.1